My morning jog and what it is like in China at 5 am

Every morning I get up at 5 am and jog. I am perhaps the slowest jogger on the face of the earth. Indeed, but I do enjoy my morning routine.

It’s quiet.

I often see some local cats that hang out in the early morning.

The garbage grannies go trash-can to trash-can as they sort though the cardboard, the glass and bottles, and other rubbish. They quietly sort through the debris.

The street lights are on, and the decorative tree lighting illuminates the shrubbery in spots here and there.

On the sidewalks are the painted and paved jogging surfaces with broad yellow lanes clearly presented. In 50 meter increments are mileage markers. With a “Start” and a “550 meter” markers clearly presented in loud yellow. I generally make two laps on the track, which is a nice 1 km run.

There are two other joggers at that time.

One is a young man in his early 30s. He really jogs fast; even a run. Or a gallop. He doesn’t run on my track. Instead he does so in a much smaller circular path.

The other is an older man. Maybe in his 80s. He jogs even slower than I do. More like a shuffle in a slow motion jog.

Believe it or not, my jogging speed is somewhere between these two.

Scooters are parked everywhere. All of the scooter charging stations are occupied. With the led lit controls all blinking or flashing in reds, oranges, greens or the cool blue displays mounted on the rails in the station.

It is a view that I see every morning.

After my jog I go into my building. Ride the elevator and take my morning shower.

Then change, and drink two cups of warm water before I go make a cup of coffee. (Hydrate first, then enjoy the coffee.)

That’s what I do and how I spend my morning.

I think that all of us have our little routines. This is mine. I do it mindlessly. And thus effortlessly.

Ah. Don’t misunderstand.

As there are times to be “mindful”, there are also times that it pays to be “mindless”. Go on auto-pilot and enough your weight loss in the process.

Today…

A 10-kilo block of military explosives that I almost activated by accident.

It was night, we were on a small forest path in Kosovo and our idea was to put a boobytrap on a path near an enemy position.

We used a tripwire for this and when we had found a good spot, I attached one of its ends to the (hand grenade) detonator of the explosive.

Unfortunately, however, when my buddy tried to attach the other end of the wire to a tree, he pulled a little bit too hard on the wire and I just felt how the pin of the grenade detonator started moving.

main qimg e8ad23bbeec7ce7e9825fb8b82d99d16 pjlq
main qimg e8ad23bbeec7ce7e9825fb8b82d99d16 pjlq
 

With a friend of mine at our guerrilla base preparing explosives. (screenshot from an AP video)

I told him to stop and quickly put both of my hands above the detonator so it couldn’t activate the bomb. My buddy immediately realized what was going on and cut the wire.

We were in a bad situation: I couldn’t just throw the whole thing away as the power of the explosive was far too strong and would have killed us.

The second problem was that the enemy was nearby and we couldn’t afford to make any noise.

The detonator was solidly attached to the explosive with plenty of duct tape and the only way to remove it was to use a knife. We needed to have some light to be able to work on it and therefore, my buddy placed his hands under the explosive and we carefully carried it towards a small hamlet.

We went into a small basement, my friend lit a candle, and then we started neutralizing the device. When my buddy had cut out the detonator, we saw that the pin had been almost completely removed, Maybe one or two millimeters more, and the whole thing would have blown our heads away.

We put the pin back into the detonator and smoked a cigarette. Our job, however, wasn’t finished yet!

We re-attached the detonator to the explosive and went back to the enemy’s position to set up our trap. This time, however, we acted more carefully.

They Said AI Couldn’t Replace Hollywood… Then Kling AI Did THIS

Damn! this is simply amazing.

https://youtu.be/JaDs4_nz_BM

Preppy Tonk and Jon

Submitted into Contest #24 in response to: Write a story set in the dark recesses of space where the two main characters are often at odds with each other in humorous and comedic ways. view prompt

 

Charlie Murphy

 
Preppy Tonk looked at her rival with an evil twinkle in her eye.“What?”“Nothing.”“I see that evil twinkle in your eye again.”“No. It must be the burning hot sun reflecting off your chromed head.”“Nuh-uh.”“Yeah- huh,” Preppy Tonk shot back.“Whatever, lets continue.“King to knight rook.”The purple slug looked down at the holographic chess board. “Poopy-doodles, you win again!”“Yaysies-daisies!”

”If this was Earth Chess, I’d kick your butt!” Jon exclaimed, wiggling his fat, dripping eyestalks.

“Yeah, but the author doesn’t know how to play chess and that would require research and he’s too lazy.”

“Yeah, I guess you’re right, but you’re still a silly.” Jon stuck his slimy purple tongue out.

“Am not!”

“Are too!”

“Am not!”

“Are too!”

“Well, let’s have a trace then.”

“Trace?”

“No, a race! Goddamn u, author. Fix your typos!”

“Yeah, you ready, Enourghipool… er, Preppy Tonk?”

“You know it, Jon!” she said and stretched her furry brown legs.

“Your silver eyes look like pools of mercury.”

“Thanks? I guess?” Crouching down in racing position, Preppy Tonk lifted her leg.

“Did you, make a stinky?”

“Yes, … I… did!”

‘”It smells like rotten eggs.”

Preppy Tonk’s face turned red.

“You made a stinky, you made a stinky!”

“Whatever.”

“Ready…” Jon announced as a star shot through space.

Preppy Tonk’s muscles tensed up.

“Set…”

“I know what comes next!” Preppy Oblanka Tonk smiled.

“Go!” Jon whispered.

“Run!”

“Jump!”

“Kick!”

“Touch the stars!”

“Look into the sun!”

“How? I’m blind.”

“Really?”

“No.”

“Why did you claim you were blind then?”

“Cuz I’m goofy!”

“But you’re not a hobo dog.”

“Goofy isn’t a hobo.”

“Oh , what is he?”

“A goofy dog, duh!”

“Are you sure?”

“Yes, I know these things,” Preppy Tonk whipped her huge head back with confidence.

“Oh, so you’re a professional now?”

“Yeppers.”

“Good grief!”

“Oxymoron, oxymoron, oxymoron!”

“Hey, that’s not nice!”

“No, an oxymoron is contradictory terms.”

“Oh, why is it called that then?”

“I don’t know. Do I look like an English professor?”

“I’m not sure how to take that…”

The two rivals panted as they ran throughout space. They passed an orange planet, then a blue one made of hot dogs, and finally, Earth.

“Stop describing everything!”

“Who are you talking to, sis?” Jon asked as a drifting robotic Golden retriever passed in between them.

“Our creator again. He keeps describing the scene,” Preppy Tonk replied.

“Isn’t he supposed to do that?”

“Yeah, but it’s getting annoying!”

“So? We’re competing against each other. That’s more important, right?”

“I guess so,” Preppy Tonk said, biting her blue puffy lip.

“Atta girl,” Jon replied and patted her on the back.

“Hey, how can you pat me on my back? I thought you were ahead of me.”

“Uh… I forgot that explanation.”

“Did you?… or did the author forget?”

“I have no cosmic idea, Preppy Tonk.”

“I thought you knew everything.” She raised an eyebrow.

Preppy Tonk glared at her opponent.

“You know, for an alien slug, you sure are fast!”

“Hmm, alien slug…. Where have I heard that before?”

“Maybe in a book about kids who can turn into animals?” shrugged Preppy Tonk.

“Almost at the finish line!” Jon said with glee.

“How can you tell?” Preppy Tonk asked, putting her hairy claws together.

“Checkered line coming up!” Jon pointed straight ahead with his slimy antennae.

“Oh, just cuz there’s a checkered line means the end of the race?” Preppy Tonk said, putting her paws on her brown meaty hips.

“Yes that’s the rule,” Jon said, adjusting his squared glasses.

“Well… OK,” Preppy Tonk said as she scratched her ear.

“Have an itch?”

“Yeah.”

“Well, I have an itch, too.”

“Nuh-uh!”

“Yeah-uh!” Jon said, passing a large pink asteroid.

“Well, then, where’s your itch, huh?”

“I don’t want to say.”

“Ew.”

“OK, OK, it’was my arm,” Jon smiled.

“Oh, that’s not bad.”

“It itches more than yours,” Jon said, scratching his arm.

“Nuh-uh, mine itches more.”

“Let’s finish the race!” Preppy Tonk exclaimed.

Jon ran through a hoop, jumped over the fence, and hauled through lava.

“I win! I win!” Preppy Tonk did the macarena.

“You cheated.” Jon pouted.

“No, I didn’t!

“Yes, you did!”

“No, I didn’t.”

“OK, I believe you,” Jon said.

“Knock knock,” Preppy Tonk whispered.

“Who’s there?” Jon asked.

“Dwayne.”

“Dwayne who?”

“Dwayne the bathtub, I’m dwowning!”

Jon laughed like a hyena. “Mine’s better!”

“Oh, yeah?”

“Yeah, a duck walked into a bar and ordered some quackers. When the waiter asks her how she will pay, the duck says ‘put it on my bill.’”

“Not funny at all, my rival.”

“Humor is subjective, so I win!” Jon blew a raspberry at her.

“How old are you?” asked Poppy Tonk.

“I am an adult.”

“Cool, I’m a kid.”

“Oh, really?”

“Yes, really!”

“Prove it.”

“How?”

“Sing baa baa black sheep.” Preppy Tonk started singing.

“You have a beautiful voice!”

“And?”

“And what?”

“AREN’T YOU GONNA SING?”

“No, why would I do that?”

“I thought we were competing,” Preppy Tonk said and sneezed.

“Oh, yeah, goofy me. I forgot. By the way. Bless you or gazoontite, or whatever.”

“Thanks, wait… Goofy?”

“The author‘s getting tired of ‘silly’.”

“But, he used it.”

Preppy Tonk shrugged. “It’s his story.”

“Oh, OK.”

“What’s wrong?”

“I like that word very much!”

“I do too, but let’s move on.”

“Alright, wanna have a tickle fight?”

“You know I do!”

She tickled his foot. “Geetsa-geetsa… Hey, look, a tree; it’s floating in space,” Preppy Tonk said and floated to it and she giggled. “Stop.” Grabbed an apple. “This will knock your socks off!” She started juggling.

“Oh yeah?” Jon said as he cocked an eyebrow. “Watch this!” He grabbed the tree and shook it until every apple detached and floated into space.

“Impressive?”

“Thank you. I’m the King.”

“King of what?”

“King of Apple!”

“Yeah, right.”

“No, really.”

“Well, I‘m the Queen of Blueberry Squash Pie.”

“Nuh-uh.”

“Wanna keep going?”

“Nope.”

“Why not?”

“We made it to the thousandth word!”

Western Enchilada Lasagna

7eda13569b362b2440961e4873492288
7eda13569b362b2440961e4873492288

Ingredients

  • 2 pounds ground beef
  • 1 (16 ounce) can enchilada sauce
  • 1 onion, diced
  • 1 pound Cheddar cheese, grated
  • 1 pound Monterey Jack cheese, grated (optional)
  • 1 cup oil
  • 2 packages corn tortillas
  • 1/2 teaspoon garlic salt
  • 1/2 teaspoon salt
  • 1/2 teaspoon pepper
  • 1/4 teaspoon paprika

Instructions

  1. Brown ground beef with onion and spices, drain and set aside.
  2. Warm enchilada sauce, adding 1 cup of cheeses for flavor.
  3. Heat oil in small saucepan. Dip tortilla into hot oil long enough to soften. Layer six across on bottom of pan.
  4. Layer bottom of pan with tortillas, cover with meat, cheese, enchilada sauce and repeat three times.
  5. Cover and bake at 350 degrees F for 30 to 40 minutes.

The Things China🇨🇳 Does Better Than Denmark | My Thoughts After 1 Year in China

After little over a year in China, here are the things I simply think China does better than Denmark. From healthcare and policing to politicians being held accountable.

https://youtu.be/Qc7QoqojewI

The daily Shorpy

SHORPY 8c12118a.preview
SHORPY 8c12118a.preview
SHORPY 8c04628a1.preview
SHORPY 8c04628a1.preview
SHORPY 8c23022a.preview
SHORPY 8c23022a.preview
SHORPY 8c04631a.preview
SHORPY 8c04631a.preview
SHORPY 8c04526a.preview
SHORPY 8c04526a.preview
SHORPY 8c23259a.preview
SHORPY 8c23259a.preview
SHORPY 8c04586a.preview
SHORPY 8c04586a.preview
SHORPY 8c04630a.preview
SHORPY 8c04630a.preview
SHORPY 8c04621a.preview
SHORPY 8c04621a.preview
SHORPY 8c23245a.preview
SHORPY 8c23245a.preview
SHORPY 8c23048a.preview
SHORPY 8c23048a.preview
SHORPY 8c23084a.preview
SHORPY 8c23084a.preview
SHORPY 8c23062a.preview
SHORPY 8c23062a.preview
SHORPY 72887a.preview
SHORPY 72887a.preview
SHORPY 72889a.preview
SHORPY 72889a.preview
SHORPY 16289u 1.preview
SHORPY 16289u 1.preview
SHORPY 73804u1.preview
SHORPY 73804u1.preview
SHORPY 73800u.preview
SHORPY 73800u.preview
4a12311a.preview
4a12311a.preview
SHORPY 4a16754a.preview
SHORPY 4a16754a.preview
SHORPY 1a34415u2.preview
SHORPY 1a34415u2.preview
SHORPY 4a16729a.preview
SHORPY 4a16729a.preview
SHORPY 4a25585a2.preview
SHORPY 4a25585a2.preview
SHORPY 8c00916a2.preview
SHORPY 8c00916a2.preview
SHORPY 4a25586a.preview
SHORPY 4a25586a.preview
SHORPY 4a25611a1.preview
SHORPY 4a25611a1.preview
SHORPY RLB 66000 1503.preview
SHORPY RLB 66000 1503.preview
SHORPY 8c23891a1.preview
SHORPY 8c23891a1.preview
SHORPY 8c23929a.preview
SHORPY 8c23929a.preview

After Smearing China, the U.S. UN Ambassador Fled Away

https://youtu.be/nN0yCIdil60

Anyone been embarrassed by a friends mom at a sleepover?

When I was young, my mom was “the cool mom”. Every kid in the neighborhood was over our house every day after school. We tore up the back yard doing every imaginable kid disaster you can think of. And when we were worn out, she called us in for tea and cookies and every kid crowded around the table while she served us tea and hermits or oatmeal cookies.

When I was an adolescent, we would climb Blue Hills at 4:30am to watch the sun rise on Easter and sing the glory of God and scream out, at the top our lungs, “This is the day the Lord has made, let us rejoice and be glad!” (Ps 118;22) My mother had done it all her life, and now I do it too. But every fucking kid in the neighborhood would sleep in our house, everywhere, the night before, in their Snoopy sleeping bags, on the couch, on the floor – everywhere. And then we would cram into my aunt’s ancient Chevy Nova with no rear windshield and blue smoke pouring out the exhaust and Pepsi, the German Shepherd with his head out the window and off to Blue Hills in the pitch dark we went, still groggy with sleep. And when we got back, there was pounds of bacon sizzling, mountains of scrambled eggs and pancakes and a shitload of toast. We were pretty poor, but no kid ever went hungry at 6:30am on Easter Morning. No parent ever worried about their children when they were with “Mrs Bazzinotti”. She was the gold standard in safety and propriety.

We actually started a tradition. It became so popular with the high school kids that the number of kids grew so large, the local Catholic church usurped our tradition and bussed the congregation to the top and held a Mass service with candles and communion. They literally ruined our Easter tradition, first by eliminating the need to climb – and we always climbed – and by formalizing the rising of the sun (Son). But we traditionalists still hiked the “mountain” at 4:30 in the frigid cold on Easter and sang the Hallelujah Chorus as the sun broke over the horizon. Sixty years or more I have been doing this.

And I was proud of my mom every single time. She was a magician. She climbed up and down and then made breakfast.

There were LOTS of things that embarrassed me about my mom as a teen – but that wasn’t one of them. When my mother died in 2012, the Park Service let us put a stone bench on the top, right where she stood, with her name and that passage from Psalms engraved on it. On Easter morning, we greet our mom, stand on the bench and watch the sun come up.

KJ Noh | South Korean President Planned Disappearing Opponents After Martial Law Decree

https://youtu.be/GMJzyZ4w728

Tortilla Lasagna

72a3ad16966a5d011e3341f76bb9b1c1
72a3ad16966a5d011e3341f76bb9b1c1

Ingredients

  • 2 pounds lean ground beef
  • 1 large sweet onion, chopped
  • 2 garlic cloves, minced
  • 1 teaspoon cumin
  • 1/2 teaspoon red pepper
  • 1/2 teaspoon cayenne pepper
  • 1 (14 ounce) can diced tomatoes, drained
  • 12 corn tortillas
  • 1 (16 ounce) container cottage or ricotta cheese
  • 1 cup Monterey Jack cheese, shredded
  • 2 cups lettuce shredded
  • 2 fresh tomatoes, diced
  • 1 cup black olives, sliced
  • 1 cup Cheddar cheese, shredded

Instructions

  1. Brown ground beef in a large skillet with onion and garlic.
  2. Add cumin, red pepper, cayenne pepper and diced tomatoes and cook over low-medium heat for several minutes. Remove from heat.
  3. Place 6 of the corn tortillas and the bottom of a lightly greased 13 x 9 x 2 inch baking dish and spread meat mixture evenly over tortillas. Top with remaining tortillas.
  4. Combine ricotta and Monterey Jack cheeses and mix well.
  5. Spread cheeses over tortillas and bake at 350 degrees F for 30 minutes.
  6. Remove from oven and top with lettuce, tomatoes, black olives and Cheddar cheese.
  7. Slice and serve.

Bioluminescence in the Interstellar

Submitted into Contest #24 in response to: Write a story set in the dark recesses of space where the two main characters are often at odds with each other in humorous and comedic ways. view prompt

 

Brittany Gillen

Wrong, wrong, wrong.How could this be happening? Every single reading Jerrie took didn’t make sense.  Yesterday, the readings were spot on, perfectly in range.  Today… today was just wrong.  Most scientists felt a thrill when readings turned uncharacteristic, hoping for a breakthrough discovery, but Jerrie knew that her readings were not uncharacteristic.  They were just wrong.  She also knew the reason.“Charlie!”For the last two months, she had been living in a tiny research capsule just outside the edge of the Milky Way measuring light waves in the interstellar medium.  Her goal was to take up-close-and-personal readings to confirm the current scientific theories about PAH molecules. All of the measurements, even at close proximity, barely registered on Jerrie’s expensive equipment.  It was like catching every word of a whisper from across a table in a crowded room.But today… today the measurements maxed out all her dials.  The whisper was screaming.  Today, Jerrie could see the light brightening with her vastly inferior human eyes. With her eyes for crying out loud!Something was creating interference, which was very rare in the interstellar medium.  Out here it was dark, cold and empty.  Empty except for Jerrie and Charlie. 

“Charlie!”

 

Seriously, Jerrie thought.  There are only four compartments in this capsule.  She knew that Charlie could hear her, and she knew it only took about two seconds to cross them all and join her.  Jerrie drummed her fingers on her keyboard and closing her eyes slowly counted to ten.

 

Finally, Charlie’s hair floated around the corner.  Charlie’s long hair preceded her everywhere she went.  To keep it somewhat contained, Charlie kept it pulled back in about a dozen long braids, but in zero gravity, the braids wriggled all over like a clutch of very excited snakes.  It totally creeped Jerrie out and created a horrible distraction.

 

“I thought we agreed you were going to pin back all your braids from now on,” Jerrie said grimacing.

 

Charlie just shrugged and chugged the last of the soda in her hand, tossing the empty container back towards the supply room.  Jerrie cringed again.  Charlie drank soda like it was her lifeblood.  She went through at least a dozen packets a day of the syrupy drink.

 

“Charlie, the container,” Jerrie said.

 

“What?” Charlie said with a shrug.  “I’ll get it later.  What do you want?”

 

“You can’t just toss things all over the capsule,” Jerrie complained.  “This isn’t your childhood bedroom.”

 

“Or my college dorm room, or a bachelor pad, or a trash yard.  I know,” Charlie replied rolling her eyes.  “Just tell me what you want already.  I’m not in the mood for another lecture on cleanliness being next to godliness.”

 

Jerrie took a deep breath and centered herself.  “My readings are unusual today,” she said calmly, pulling them up on the screen.

 

“Uh, huh,” Charlie said looking at the monitor while scratching her tummy vigorously.  “In what way?”

 

“In what way?” Jerrie wanted to scream even louder than the readings.  “Charlie, you just don’t understand my work at all.”

 

“Then what did you call me in here for?” Charlie complained.  “My job is not to interpret your results.  I’m your pilot.  Now, if you want me to move the capsule, I would LOVE to do it for you.  Can I, can I, please?”

 

“No,” Jerrie groaned, rubbing her eyes.

 

“Just a few feet?” Charlie tried sweetly, rubbing the back of Jerrie’s shoulders.  “Maybe I’ll just do a few donuts and bring us right back to the exact same spot.  Churn up the space matter a little.  Maybe that will fix your readings.”

 

Jerrie just sighed, tired of arguing.

 

A timer started quietly beeping.  “I’ll get the lights,” Charlie said, pushing off Jerrie’s shoulders towards the opposite wall.

 

“Give me two seconds, to prep the sensors and save the previous measurements.”  Jerrie’s hands flew across the computer.

 

“Is it hot in here?” she heard Charlie ask.  Jerrie just ignored her until Charlie’s shirt floated in front of her face.

 

“Charlie, what are you…” She turned around to find Charlie almost completely undressed.  Her black bra, “Wednesday” day-of-the-week underpants and Velcro shoes her only attire.  “Seriously! Can you put your clothes back on? It makes me uncomfortable.”

 

“Yeah, well, being hot makes me more uncomfortable,” Charlie said, continuing to scratch her bare chest. “Besides, I’m in the best shape of my life, someone should enjoy the view.”

 

Jerrie groaned.

 

“I think I may be running a fever,” Charlie complained.  “My eyes feel hot.”

 

“Don’t you dare take off any more clothes,” Jerrie warned keeping her eyes permanently fixed on her monitor.  “Alright, I’m ready.  Shut off the lights in three, two, one.”

 

The capsule went dark and Jerrie hit the button to begin the image and measurement captures.  Then Jerrie noticed a reflection on her monitor.

 

“Darn it, Charlie, turn off that flashlight.”  Jerrie turned around ready to jettison Charlie out the nearest porthole, but then jerked herself back towards the console in fright.  “What did you do?”

 

Charlie, her eyes bulging, floated in front of Jerrie, running her fingers all over her brightly lit torso.  Vibrant green veins crisscrossed Charlie’s entire body.  They glowed with a bioluminescence that Jerrie had never seen on a human before.  It reminded her of the small deep-water fish she had visited at the aquarium in her childhood.

 

Recovering from her initial shock, Jerrie floated closer and traced one of the lines with her finger. “How are you glowing like that?” she asked.

 

“I don’t know,” Charlie responded, flicking Jerrie’s cold hand of her belly and shivering.

 

“Well, you did something,” Jerrie told her.

 

“Why, do you always assume everything is my fault?” Charlie complained, reaching for her pants and pouting her lip.

 

“Because, I don’t look like that!” Jerrie said pointing.

 

“How do you know?” Charlie said wriggling her pants up over her bottom.  “Prove it.”

 

Unable to resist proving Charlie wrong, Jerry quickly pulled up her own shirt.  Then she smugly smiled at Charlie.  “See.”

 

“That still doesn’t prove it’s my fault,” Charlie said reaching for her shirt.

 

“Just let me think for a minute,” Jerrie said, rubbing her temples and staring at the green glow emanating from Charlie’s chest.  She reached forward to touch it again, but Charlie twitched away.  “I’ll be gentle,” Jerrie told her and leaned in even closer. Jerrie could have sworn the veins moved across Charlie like worms in a mud puddle.

 

Pulling back, Jerrie took a deep breath and pulled at her lip as Charlie put her shirt back on. Then something caught her eye in the eerie glow.  Charlie’s soda floated nearby.  Jerrie wanted to mention again why it was important to not throw trash helter-skelter in the capsule when she noticed a drop float out of the neck of the container. It also glowed a luminescent green. Jerrie looked at Charlie and noticed that she had seen the droplet as well.

 

“You don’t think…” Charlie began.

 

“This was caused by your soda,” Jerrie finished.  “The evidence points that way.”

 

“But you drink the soda too.”

 

“No, actually, I don’t,” Jerrie said turning back to her monitor and cancelling the contaminated readings.

 

“What do I do?” Charlie asked beginning to panic.

 

“Stop drinking the soda,” Jerrie said, deleting the files and making notes in her journal.

 

“Jerrie, focus here for just a second, please,” Charlie pleaded.  “I look like a glow bug!”

 

“You’ll be fine,” Jerrie threw over her shoulder, bending her head down and trying not to laugh.

 

“I’m not fine,” Charlie complained.  “I itch. I feel like my skin is going to burn off me, and I’m lit like a neon sign.”

 

Jerrie shook of her giggles and turned around attempting to be solemn.  Charlie was scratching all over now and writhing like she had ants in her pants.  It was more than Jerrie could take.  She burst out laughing.

 

“Stop it,” Charlie complained. “it’s not funny.”

 

Eventually, Jerrie calmed down enough to help Charlie rub olive oil lotion on her itchy skin and got her some cold compresses to help with the heat.  With Charlie’s permission, she took pictures of the “rash” as they started calling it, though Charlie wanted to call it the infestation.

 

“Nothing is living inside you,” Jerrie reassured her.

 

“Then I’ve been poisoned. You’ve poisoned me!” Charlie cried, thrusting out an accusatory finger.

 

“You poisoned yourself,” Jerrie said with a snicker.  “I told you not to drink so much of that candy-water.”

 

“Hey, it keeps me awake,” Charlie said petulantly.  “Otherwise, I’d spend all day sleeping.”

 

“Would that be so bad?” Jerrie whispered to herself.

 

“I heard that,” Charlie said glowering.  “I wish I could go into cryo sleep while you did your work, but someone has to keep you company.” Charlie made air quotes with her fingers on the word company.

 

Jerrie sighed.  She knew she wasn’t very good company for Charlie. Her entire focus was on her research. She had one shot to gather meaningful data before they traveled back to the main station.  She wanted to make her time in the interstellar medium count for something.

 

“I’m sorry,” Jerrie said. “I tried to teach you about my work.”

 

“It is as interesting as watching paint dry,” Charlie grumbled.

 

Jerrie felt hurt, but she knew to most people Charlie was right on target.  “You could read a book, watch a movie, exercise,” she offered.

 

“This is my sixteenth mission,” Charlie told her.  “I exhausted my interest in all those things long ago.”

 

Jerrie had never really thought about Charlie’s past experience before.  “Sixteen, really?”

 

“Lucky number sixteen,” Charlie said, rummaging through the snack box.  “And no one ever lets me move the ship,” she grumbled while shoving a granola bar in her mouth.

 

“Never?” Jerrie asked feeling guilty.

 

“Never,” Charlie replied emphatically.

 

The two floated in the galley in silence.  Charlie chewed on her granola bar and read the wrapper and Jerrie twisted her ring while biting her lip.  She felt horrible.  To be honest, there wasn’t any solid reason why they couldn’t move the ship.  Sure, it would be more consistent to take all the readings from the same spot to minimize any undesired variables. However, she already had two months of solid data without one single deviation in readings.  Not one deviation until this morning.

 

“Maybe a change in location wouldn’t hurt,” Jerrie offered.

 

Charlie’s eyes lit up a bright as her bioluminescent belly.  “You mean it?”

 

“Yeah,” Jerrie said with a shrug.  “We could move the ship every day for the last thirty days and see if the readings from multiple locations are consistent with those we have already obtained.  If they are, then it would mean…”

 

“You are the best!” Charlie squealed while bear hugging Jerrie until she couldn’t breathe.

 

Jerrie just patted her on the back.  “I know.”

 

Charlie threw her granola bar wrapper over her shoulder and swam for the control center.

 

Jerrie grabbed the wrapper and shoved it into a trash receptacle.  “But only on one condition,” she called out, following Charlie and settling into the passenger seat.

 

“Anything,” Charlie said.

 

“No more of that wickedly green soda,” Jerrie told her.

 

“Deal,” Charlie said quickly.  “I guess they don’t call it Aberration for nothing!” she said with a wink.

 

“Do they really call it that?” Jerrie asked wide eyed.

 

Charlie just winked at her.

 

For the next week, Charlie moved the ship every morning, and Jerrie waited patiently while Charlie added a few flips and donuts to the maneuvers.  Charlie’s fluorescent color had faded overnight with the administration of several large glasses of water.  Jerrie’s readings returned to the predictable, and she cheerfully noted that the change in location was having zero effect on her results.

 

Until day five.

 

“Charlie!” Jerrie called from her lab.

 

Charlie’s snake-like hair proceeded her around the corner again, but this time Jerrie kept her commentary to herself.  When the rest of Charlie appeared, she had a puzzle cube in hand, something Jerrie had dug out of her personal luggage.

 

“I’ve almost got it,” Charlie said focused on the cube with one eye closed and biting her lip.

 

“You’ve started drinking that Aberration stuff again, haven’t you,” Jerrie accused her.

 

“No, I haven’t,” Charlie said looking wounded.

 

“Charlie,” Jerrie said sternly.  “It messes up my readings.”

 

“Honest, I haven’t. Look.” Charlie threw the light switch and tugged up her shirt.  To her surprise, her belly glowed again with a bright red luminescence.  Although startled by the color, Jerrie still gave Charlie her best I told-you-so-look.

 

“Oh, man,” Charlie said groaning and pulling her shirt back down.  “It must be the Tongue of Fire.”

 

“What fire?”  Jerrie asked scrambling back in fear. “Something caught fire!”

 

Charlie cringed guiltily. “You told me not to drink Aberration, but water is just so blah.”

 

“So, you drank something called Tongue of Fire!” Jerrie said astounded. “I take it that it is a red color.”

 

“I wonder what color I would turn if I drank Void?” Charlie wondered, tracing the bright red highways along her arms.

 

“Charlie!” Jerrie said shocked.

 

“What?”

 

Jerrie was silently fuming. Her research was being messed with again, and Charlie did not even care.  Just stab her with a needle and put her in cryo sleep, Jerrie thought to herself.  She could feel every muscle in her body tightening.

 

“I also brought Everest,” Charlie mused.  “Would that light me up white or have no effect, do you think?”

 

Jerrie’s eye began to twitch, and her hands fisted as she contemplated how to handle her reluctant companion.

 

“Hey,” Charlie said enthusiastically.  “I just found something to do.  I can study the effect that different sodas have on body chemistry in the interstellar medium.  I mean, seriously, there is definitely something interesting going on here.  I have never turned colors before back in the Milky Way, and I’ve been guzzling soda for years.”

 

At the word study, all of Jerrie’s tension melted away.  Charlie was right.  There was a seriously interesting phenomenon happening right in front of her eyes, and she was missing it.  PAH molecules might help her understand the creation of the universe, but the implications from studying dietary consumption in the interstellar medium would be much more applicable to the progress of humankind in space.

 

“I bet we could get a huge grant to study it,” Jerrie said warming up to the idea.

 

“A grant, really?” Charlie asked.

 

“And, while we studied, you could move the ship anywhere you wanted and explore anywhere you wanted in the interstellar medium,” Jerrie said with a huge grin.

 

“There are plenty of other flavors like Fireball and Formidable,” Charlie said tossing the forgotten puzzle cube over her shoulder.  “I could make a list.  Ooooh, don’t forget Ebony.  I wonder what that would do!”

 

“Only one way to find out,” Jerrie told her.  As Charlie scrambled back into the supply room, Jerrie turned the lights back on and pulled up a clean notebook on her screen.

 

She’d contact the soda company first.  It was a pretty good bet they’d love the publicity and increase in revenue a glow-soda would create.  Proposal, she typed.   Bioluminescence in the Interstellar.  Who could resist a title like that?

 

What are some popular street foods in France?

Let’s define street food first.

  • You buy it from street stands, market stalls or stores like charuteries, boucheries, patisseries, traiteurs etc.
  • You eat it on the street, while standing, without a real plate and real cutlery. A paper tray or a disposable wooden fork does not count. You might sit down on a bench or you might use a bar table.
  • It is inexpensive.
  • I do not include plain bread, breakfast items, sweets and desserts.

Then, let’s see.

Sandwich, very popular all over France. Pronunciation is different

Pan bagnat, a specialty of Nice. Kind of sandwich, filled with salad

Pissaladière, another specialty from Nice. A kind of pizza with onions, anchovis, olives

Tielle, a savory pie from Sète, often filled with seafood

Tarte a l’oignon (onion pie), a specialty from Alsace

Quiche lorraine, another pie with eggs and bacon

Friand, pastry filled with meat or cheese

Merguez frites, a sandwich filled with Moroccan beef/lamb sausages, French fries and hot sauce. Can be messy to eat.

Crèpes with savory or sweet fillings

Oysters. Yes, they are eaten as street food, especially in the North.

I am sure if this still counts as street food, but moules frites are very popular for celebrations and gatherings

Paté en croute, not exactly street food, but can be bought at charcuteries and eaten with fingers

Then, of course, there is falafel, hamburgers, döner kebab, shawarma, pizza, sushi and other ethnic food which is available everywhere else.

The Collapse of the US Empire with Professor Richard Wolff

https://youtu.be/1NmVbztjAp4

MM; the dancin’ fool

I felt we were right in the middle of a significant social event. If we assume Luigi Mangione is indeed guilty of murder, how people react to this murder tells me a lot more about them than the actual event. We have, in our hands, a morally right but legally wrong action. And how you see it and react to it is very telling of your character.

So let’s break it down.

UnitedHealth is part of an oppressive and exploitative system. We know this company (and other health insurance companies) put their own profit over human lives. We know the company had engaged in extremely problematic practices to deny patients’ claims. We know there are roughly 650,000 personal bankruptcies every year in the US. And we know UnitedHealth and other insurance companies are the reason for 60% of those bankruptcies.

We know this corrupted and exploitative system is entirely legal.

We know there’s virtually no way for normal regular people to push for a change. There’s no bill for us to vote. Our petitions fell on deaf ears because our politicians are bought by health insurance lobbyists.

Everything health insurance companies do is legal. They can roll out a policy that dictates what medicine is covered and what isn’t. They can send you to an out-of-network lab for your lab work, even if the clinic and the doctor you see are in-network. They can decide how long they are willing to pay for anesthesia for a surgery.

And there’s NOTHING we can do as regular people. There’s no free market for us to pick and choose because EVERY SINGLE HEALTH INSURANCE COMPANY follows the same practice, more or less. Every single one of them is a for-profit organization that prioritizes shareholder revenue growth over actual human lives.

That is the system we live in. It is a corrupted, exploitative, cruel, inhumane system, and there’s nothing we can do to change.

We would like to believe we live in a civilized society where non-violent protest and policy changes through proper channels are entirely sufficient to push for improvements.

In a world where one can push for social changes via legal proceedings or policy changes through their representatives, yes, violence is never the answer.

But do we live in that world?

When was the last time any politician submitted a bill to the House or Senate to strengthen regulation of insurance companies so they couldn’t deny claims so easily and arbitrarily? Every other developed country, some developing countries as well, has universal healthcare, except for the good old USA.

If an enslaved person killed his slave master in, say, 1812 in South Carolina. Was the enslaved person a “cold-blooded murderer?” After all, slavery was entirely legal back then. If an abused woman back in the 14th century killed her husband when he was beating and raping her, was the woman a cold-blooded murderer? After all, a woman could not divorce her husband for the majority of history, and marital rape was entirely legal until 1993.

If you were an esteemed gentleman or respectable lady of the South, would you shake your head and say, “Violence is never the answer! Sure, the slave master had killed many slaves and sold their families for profit. But violence is never the answer!”

We all imagine ourselves as the hero in historical events. We all imagine we would help our Jewish neighbors and help runaway slaves. And yet, we are living in a historical event that requires a tiny bit of bravery against the ruling class, social decorum, and the status quo. Here you are, saying, “Violence is never the answer.”

And when you see other people debating the morality of this issue and perhaps praise the vigilante action, you wave your hands and say, “Oh, people watch way too many movies. Hollywood loves to glorify a lone gunman who went on a rampage of vengeance, and you have a bunch of morons who couldn’t think for themselves and follow the stupid propaganda.”

Really? You think a lone hero fight against a corrupted and oppressed system is a Hollywood thing? Perhaps you should read more history.

People praise Luigi Mangione as a folk hero because that’s what he is, a folk hero. He stood against a powerful and corrupted system, and he made a statement with violence. That is, historically, what folk heroes do. John Brown was a folk hero. He led the abolition movement long before the Civil War when slavery was considered legal. Hua Mulan was a folk hero. She joined the army when women were not allowed to fight in the military, and she protected her nation and her family. Robin Hood was a folk hero because he committed crimes against the ruling class while helping the poor. Marsha P. Johnson was a folk hero because she was instrumental in the Stonewall Riot, eventually leading to policy changes for the LGBTQ+ community. All of them were criminals. All of them broke the law. Folk heroes are people who are operating OUTSIDE the legal confines of society to fight against injustice.

Luigi Mangione didn’t just kill Brian Thompson because he had a personal grudge against him. Unlike incels and domestic terrorists who lash out in anger and shoot up a school full of children and teachers, Mangione didn’t go out and hurt innocent random people. He picked a powerful man whose decisions and actions directly result in harm and misery. His action is the definition of punching up. Everything he has done so far is carefully planned out to make a statement. His action had indeed brought attention to our healthcare system. People from left and right had already found common ground against the evil practices of health insurance companies. They shared stories on social media and found solidarity with each other. Anthem Blue Cross Blue Shield had already rolled back their stupid new policy about charging people extra for anesthesia if the surgery ran long.

So yes, Luigi Mangione is a criminal (if convicted) and a folk hero.

I personally will not go out and kill anyone simply because I have neither the physical ability nor the mental fortitude to carry out such an act. But if I were selected as a juror for Mr. Mangione’s trial, I would give him a not guilty verdict. So, it would either be jury nullification or a hung jury.


For all of you “good” people citing Dr. King about “non-violent” protests against injustice, let me remind you that at the time of the Civil Rights movement, Dr. King was deeply unpopular in mainstream media.

Dr. King wrote about his opinion on the so-called “White Moderate”, in his famous Letter from a Birmingham Jail:

I have almost reached the regrettable conclusion that the Negro’s great stumbling block in his stride toward freedom is not the White Citizen’s Counciler or the Ku Klux Klanner, but the white moderate, who is more devoted to “order” than to justice; who prefers a negative peace which is the absence of tension to a positive peace which is the presence of justice; who constantly says: “I agree with you in the goal you seek, but I cannot agree with your methods of direct action”; who paternalistically believes he can set the timetable for another man’s freedom; who lives by a mythical concept of time and who constantly advises the Negro to wait for a “more convenient season.” Shallow understanding from people of good will is more frustrating than absolute misunderstanding from people of ill will. Lukewarm acceptance is much more bewildering than outright rejection.

He’s talking about you. So perhaps let’s not cite the greatest folk hero of modern America, who was convicted of multiple crimes.

When I was in High School, I was a member of a Masonic organization for that age group. I and my friends had a meeting one time and a local older man delivered a talk to us, which was enlightening to us, but he ended the talk with a puzzling statement. He said ““remember, it’s later than you think”.

When we later reviewed what he said,we were told by our Advisor that this man had lost his only son in a private airplane crash , in a field right where the speaker was. This has encouraged me to be aware that we don’t know what is around the next corner in life, and that we should live life to its fullest.

Poc Chuc

This is delicious served with canned hominy, drained, sautéed in a little butter and heated through with some sour cream. Garnish hominy with chopped fresh cilantro.

724838b13ab5455ae6fc277cc1f03895
724838b13ab5455ae6fc277cc1f03895

Yield: 4 servings

Ingredients

  • 4 boneless pork blade steaks, about 1/2 inch thick
  • 1 large red onion, sliced
  • 1/2 cup fresh Mexican lime juice
  • 1 teaspoon salt
  • 1/2 teaspoon cayenne

Instructions

  1. Place pork and onions in a self-sealing plastic bag.
  2. Combine remaining ingredients and pour over pork; seal bag and refrigerate for 2 to 4 hours.
  3. Lift pork steaks from marinade, brushing off onions.
  4. Broil or grill over hot coals for 8 to 10 minutes, turning once.
  5. Heat onions and marinade to boiling.
  6. Serve onions with pork steaks and warmed tortillas.

Donald Trump Tariffs Against China Just Backfired on the US Economy!

Thank you POET Technologies for sponsoring today’s video on China Tariffs. The US China Trade War will continue in 2025 and although Trump has promised 100% tariffs on China the simple reality is the US can NOT tariff China without hurting the US Economy. China has retaliated against US tariffs and started their own round of sanctions. What happens next in the US China Trade War? Let’s break it down in today’s video!

The War of the Feather Duster

Submitted into Contest #24 in response to: Write a story set in the dark recesses of space where the two main characters are often at odds with each other in humorous and comedic ways. view prompt

Lily Kingston

“I never thought it was possible for a person to be so damn arrogant!” Zed screamed as he slammed the front door of his house. “Your ass better stay on your own damn asteroid or I’ll kick it all the way to one of Jupiter’s moons!”Through the blinds of his window, Zed glared at the abyss of space outside his personal asteroid upon which his house sits. His eyes zoned in on the house of his one and only neighbor, Mandy. Across the asteroid belt, he saw Mandy’s front door fly open and her step out in a space suit with a brick in hand. She threw the brick and shattered Zed’s window as it landed in his living room. A tight vacuum sucked in from the broken window. Grumbling under his breath, Zed slapped some Flex Tape ™ on it. “Crazy woman,” he muttered, then looked down at the brick. There was a note on it.Crouching down, he picked up the note. I didn’t steal your damn duster! Zed scoffed. “That’s just what a duster-stealer would say,” yelling louder so Mandy could hear him this time, he added. “I know you stole my duster ten years ago! I bet my house on it!”“As if!” Mandy hollered back.“That duster took forever to be shipped here from Earth, Mandy! I’m getting it back!”“I ain’t no thief!”“Yeah, right,” Zed remarked under his breath.Staring at the mess of glass in his living room, Zed realized he had spent enough time arguing and definitely has to swept up this mess. “Damn, when does that woman ever stop causing problems for me.”Zed glared at his closet door. Space-spider webs covered the knob. He hasn’t cleaned anything in years. Signing, he twisted it open and saw its crooked shelves propped up by a singular wooden broom. Slowly, just as he thought the broom was free from being Altas, and was about to carefully make his exit, the shelves can clamoring down.“Juck!” He cursed as debris cluttered at his feet.Something tapped his ankle. As he looked down, his eyes widened. It was the feather-duster.“Oh, juck.”Zed paced around his living room with the blinds closed and the duster in hand. “Oh, juck. Oh, juck. Oh, juck.”What the juck was he supposed to do? Apologize for his wrong actions? Admit he was wrong? To Mandy??No! Those were all horrible options!Then, he stopped pacing a sly smile grew on his face. “What if Mandy never knows it was here?” He cracked open his blinds and peeled at Mandy’s house. “Because I’m going to sneak it into her house before she ever finds out.”Zed didn’t bother to wait until ‘night’ or anything because what night? He’s in space! Instead, he immediately suited up and floated under the asteroids, out of sight, to Mandy’s house. He entered through a window in the basement. His weak muscles barely pulled him through the vacuum as he flopped onto the floor. “Man,” he mumbled as he stood up. “These houses were not designed for space.”After fumbling around looking for the staircase upstairs, Zed just ended up wiggling off a loose vent panel and shimming his body through the vent. He peeked out the occasional vent, using it to navigate through the house. Finally, he found himself in Mandy’s master bedroom while she was taking a shower.Zed pounded his fingers through the vent and tries to shake it off, but the metal held firm. “Juck!” He cursed as he heard the water turn off. Mandy would come out of the bathroom any minute now.Rushed to get out, he left the duster inside the air vent and shimmered back to the basement, went out the window, and made his daring escape by floating casually back to his house.Goosebumps run up and down Mandy’s arms. “Why is it so cold in here?” She asked herself as she pulled on a second sweater. “Geez, don’t tell me there’s something wrong with the furnace again. Ugh! It’s going to take so long to get a repairman here!”Mandy drastically pounded on the thermostat, but the temperature stayed the same. Then, she heard a quick rat-a-tat-tat-tat coming from her air vent. Slowly, she crept over to it, wondering if something was inside. She used her nails to unscrew the vent and remove it. Inside, she pulled out… the feather duster. Mandy went white.“Oh, juck. Oh, juck. Oh, juck. Oh, juck.” She softly cursed to herself.

She had been the one with the feather duster, she thought. Mandy began pacing. What the juck was she supposed to do? Apologize for her wrong actions? Admit she was wrong? To Zed??

No! Those were all horrible options!

Mandy’s Mind scrambled for a solution. Wait a minute, she thought, if I hide it in Zed’s house, he’ll never know

 

Mandy broke into Zed’s house from a sky light on the roof because I guess just juck breaking and entering laws in space, right? Tiptoeing around, she looked for any convincing hiding spot to but the duster. A hungry stomach lead her to the kitchen.

As she stole all of Zed’s leftover pizza, an idea hit her. Underneath the fridge! Who cleans under there? Quickly, she stuffed the pizza in her mouth and the duster under the fridge as Zed’s footsteps approached. She dove behind the couch for cover as Zed opened the fridge looking for his leftover pizza. “What?” He asked himself. “I don’t remember eating it…”

Mandy nibbled on the crust in silence.

Zed tsked and closed the door. Instead, he grabbed a glass and filled with ice. Mandy’s eyes widened in horror as a cube slipped and slid under the fridge. Zed groaned and swiped underneath… only to have his hand find the feather duster.

With a fearful expression of his own, Zed pulled it out. Oh juck! I thought I hide this in Mandy’s house! What is this some kind of boomerang duster? Zed thought.

Swiftly, Zed grabbed his space suit and headed out the door. After it slammed shut, Mandy emerged from her hiding spot and watched from the window Zed hiding the duster back inside her house. “That punk!” She exclaimed, ironically. “How dare he tried to shift the blame and hide the duster in my house!”

 

Zed came back inside his house to find a Mandy with a crooked grin sitting on his couch. “What are you doing here, Mandy?” He asked.

“What were you doing in my house?” She remarked.

His face paled. “Nothing.”

Mandy wagged her finger in front of his face. “I don’t think so. You were hiding the duster because you were the one who had it along.”

“Ok, fine!” He confessed. “I found the feather duster but–wait a minute, how did you get into my house without me knowing? And how did you get back here just as I hide it?” He stepped closer. “And how did you know I was even hiding it, or that I had it?”

“I–well,” Mandy stuttered.

“You hid it in my house first!” Zed declared.

“I so did not!” She shouted. “How do I know you didn’t hide it first!”

Zed gasped. “Like I would ever do something so scandalous as that!” He lied.

“I just saw you hiding it,” she snapped.

He crossed his arms. “That doesn’t prove anything. You don’t know if it was originally in my house!”

“And you can’t prove it was in mine!” She hollered back.

“You wanna bet!” Zed screamed with a red face.

Mandy stuck her finger in Zed’s face, opened her mouth to say something before a confused expression flickered across her face as something outside the window caught the corner of her eye. “Wait a second, where’s the duster now?”

“It’s in your house.”

Mandy glanced back at the object. It was the duster. Just floating in space. “No it’s not.” Mandy pointed. “It’s right there.”

Zed twisted his neck to see it. “Oh, juck.”

I must have forgotten to close the window all the way.

 

“I guess that’s one way to solve the problem.”

The Noun Project

A collection of icons and symbols from artists around the world. It’s a great resource for designers or anyone looking for unique icons.

Noun Project

Some examples of the content…

screen 2024 12 15 07 30 54
screen 2024 12 15 07 30 54

MM’s AI adventures

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(17)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(17)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(17)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(17)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(13)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(13)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(13)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(13)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(16)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(16)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(16)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(16)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(12)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(12)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(12)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(12)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(15)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(15)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(15)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(15)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(11)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(11)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(11)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(11)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(14)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(14)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(14)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(14)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(10)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(10)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(10)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(10)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(13)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(13)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(13)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(13)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(9)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(9)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(9)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(9)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(12)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(12)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(12)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(12)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(8)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(8)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(8)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(8)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(11)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(11)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(11)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(11)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(7)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(7)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(7)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(7)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(10)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(10)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(10)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(10)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(6)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(6)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(6)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(6)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(9)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(9)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(9)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(9)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(5)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(5)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(5)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(5)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(8)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(8)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(8)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(8)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(7)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(7)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(7)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(7)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(6)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(6)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(6)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(6)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(5)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(5)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(5)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(5)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(4)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(4)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(4)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(4)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(4)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(4)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(4)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(4)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(3)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(3)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(3)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(3)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(3)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(3)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(3)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(3)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(2)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(2)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(2)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(2)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(2)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(2)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(2)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(2)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(1)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(1)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(1)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(1)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(1)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(1)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(1)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(1)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2

Imposter syndrome

I wonder if any of my Boomer generation round here has a sort of “imposter syndrome” relating to their age. I’m 63, so, obviously, I’m way past being an adult. But I still feel like, when am I going to grow up and be a Big People? Somehow I became an old fart and I’m not even certain that I attained adulthood.

The Pink Floyd song “Time” has a lyric that says “..and then one day you find, ten years have got behind you, no one told you when to run, you missed the starting gun.” And honestly, that’s exactly how I feel, except it’s 40 or 50 years that got behind me, and I’m still waiting for that starting gun.

Space Oreos

Submitted into Contest #24 in response to: Write a story set in the dark recesses of space where the two main characters are often at odds with each other in humorous and comedic ways. view prompt

Julia Vonalean

They’d finally run out of oreos. It took months, but finally, finally, they’d run out–the last one accidentally ejected into space. Sirius stood stewing in front of the glass window of his ship. It wasn’t his fault the oreo had been in that little cup he’d tossed in the trash. Oren was the one who had hidden it there, figured he’d never find it.He hadn’t. Obviously. Which was why it was floating in space right now instead of in his stomach. Sirius scowled at the speckles of light drifting out of the immense black.“Go see the stars,” they said, “they’re beautiful up there in space.” They said. Yeah well, Sirius wished he could haul those freaks up here and make them stare at the murderous fireballs for fifteen months in a broken down ship, with no outside contact and then see how beautiful they thought the stars were.Stupid stars. They could blink out of existence for all he cared–the people too.The ship had been quiet since their malfunctioning, sandwich loving AI had decided to go completely silent until they were – as she put it – ‘found by certain functioning individuals who could clearly state which sandwich was their favorite as well as help them out of this black hole of utter despair’.Stupid ship. He was decidedly disappointed in his ship’s ability to…well…move.”It’s not your ship.” Oren said from the deck where he spun aimlessly in the only swivel chair in the ship. Sirius turned to glare at him. Sometimes he thought his friend could read minds, other times he thought Oren just psychoanalyzed everyone like some villainous creep. Which….he was, a villain–that is—not a creep.”So how come we only installed ONE swivel chair?” Sirius said, stepping away from the ship’s window. Oren shrugged.”We should have installed two, because there are two of us.””Are there.” Oren said idly.Who knew, really, one of them could be imaginary by now. But imaginary or not…Sirius took another step forward. “Up. My turn in the chair.”Oren gave himself another spin.”Oren.””There’s a perfectly good chair over there.” Oren said, still spinning.”It doesn’t swivel.” Sirius said.”Sad.”Sirius glared at Oren, the little….. But instead of grabbing him by his perfectly manicured hair and hauling him off the chair, Sirius walked towards the doorway. “No prob. I’ll just go look through your knives.” Behind him the chair came to a halt. Slipping out the entrance, Sirius broke into a jog through the steel hallway of the ship.”Don’t you dare touch them! That’s my emotional support knife collection!” Oren hollered from the deck.Sirius made his way down the hallway of the ship to the third room to the right. Oren’s studious room. It used to have a lock, but now the door knob was completely gone. Sirius shoved the door open. He had melted the knob a few weeks back. Some of his finest work, if he did say so himself.Oren’s room looked like a real life replica of perfection: it was white–the walls and ground and ceiling-and there was a bed directly across, its stark sheets laid across the mattress more smoothly than Sirius could ever get his hair to lay. To the side of that was a desk, bolted and firm, with a spotless furnish and all the drawers safely locked. He’d have to see about melting those knobs later, maybe to get back at Oren for being responsible for the loss of their last oreo. For now though, there was the matter of the swivel chair and the knives. On the right side of the bed was a sparkling glass showcase, inside which was the most impressive knife collection Sirius had ever seen. And they weren’t just ordinary knives, there were knives from nearly every person Oren had ever fought — and then some which he had picked up from one market or another. Sirius leaned closer to get a better view of a smaller knife, its blade was shaped like a half moon. It—“STEP.AWAY.FROM.MY.KNIVES.” Oren growled from behind him. It was the voice he was famous for, the one that said ‘I’m a master villain and I’m to be feared’. At least that’s what it said to anyone who didn’t know him as well as Sirius. He turned with a smirk. “Oh calm down, I haven’t even touched them.” Oren stood in the doorway, stiff and imposing in his suit of white with gold trimmings. He glared at Sirius a good moment before stalking forward to inspect his knives.”See? They’re perfectly fine.” Sirius said, “in fact they’re perfectly wonde–“”You breathed on it.” Oren huffed.”I…breathed…on, what?”Oren went back to glaring at him. “The glass. You breathed on the glass.””But it doesn’t look any different.” Sirius said.

“It does too.” Oren insisted, “it just takes a sophisticated eye to see it.”

Sirius snorted.

“Okay Back! Back back back!” Oren said, waving him out of the room. “The next thing I know you’ll be sneezing on it.”

“Alright, I’m going.” Sirius said walking out. He hesitated in the doorway, watching as Oren glanced back at his precious collection. Sirius grinned as he watched Oren’s eyes snag on the tiny empty place on the far edge of the display case. Oren looked back at Sirius, and then, the man smiled.

A heartbeat later Sirius smelled the smoke.

Two heartbeats and the ship’s fire resistance system blared active.

Five. Sirius was pelting down the hallway to his bedroom and—and, it was in flames.

His…his bedroom was on fire. How was THAT even possible! The thing was made of steel. But…not everything else was: the furniture, the bed, the—“OREN!” He yelled, gripping the sides of his doorway. The vents opened in his room and released a white gas that filled the room, snuffing each hungry flame as if it were a boot and they–bugs. And then it sucked the remnant gasses back into the vents, sealing them again. Leaving behind a black scorched, smoke smelling, grave of bed-sheets and used-to-be-valuable collectables.

“Well” Oren said from the hallway behind him, “That’s a sight.”

“I can’t believe this…You don’t just set someone’s room on fire!” Sirius gestured wildly to his once semi-nice bedroom.

“Says the person who melted my door knob.” Oren said.

“You put A SCORPION IN MY BOOT.”

“Because you lost my knife.”

“I…” Sirius paused. “Well, it went to a good cause.”

Oren raised an eyebrow.

“Oh come on, scratching my initials on your armor is a wonderful cause,” Sirius turned to inspect his nearly nonexistent bed, “not my fault it somehow wandered off after that.”  He poked his mattress and it dissolved into ashes. “Wonderful.”

Oren leaned against the wall. “Anyway, you’ve gotta admit, Sirius, I did pretty good hooking up the ventilation just right so you’d smell the smoke exactly a second before the alarms went off.” Oren said proudly.

Sirius wiped his now ash colored finger on his clothes and deliberately refused to look at his friend. Stinkin villain, had to be so good at his job.  “I suppose you can do pretty good cleaning this up as well,” he said, “and replacing all my very valuable collectibles once we get rescued from this useless bucket of steel.”

“Hmm. I think I’m going to go on over to the Kitchen and look for something to eat while grieving the tragic loss of our last oreo cookie, instead.” Oren said, walking away.

“Hey!” Sirius barked, spinning from the sorry remnants of his collectibles. Oren was already gone. But he wasn’t going to get out of this, not this time. The oreo cookie–which was not his fault, in fact, it was Oren who tried to hoard them all up for himself in the first place–was the least of Oren’s worries. He grabbed a heavy bag from his closet and stalked down the hall towards the kitchen.

“OREN!”

Nothing, no sound. Except the thrumming of the horrid prison he’d been trapped in for what seemed like forever now. And he didn’t even have a stupid oreo cookie to solace him. Why? Because of Oren, because he stuck it in a cup.  He rounded the corner of the kitchen section of the ship and stopped short. Oren was sitting on the table there, eating cookies. Chocolate chip cookies.

“You’ve had those this whole time!” Sirius exclaimed.

Oren stuffed the last one in his mouth.

“You could have left the oreos for me.” Sirius said, jerking his bag open. “But instead you put the last one in a cup.”

“A clean cup,” Oren said, swallowing. “It was most certainly clean.”

He reached into the bag, “honestly I really don’t care.”

“And I’m not going to help you cle–” Oren paused, “hey, what’s in the bag…”

Sirius chunked a shoe at him. Steel-toed, well made, firm as a rock. Made throwing it feel gorgeous. Oren gave a sound like a strangled mouse as he scrambled off his perch and out of the path of the wrathful footwear. It slammed uselessly into the wall behind. Sirius chunked another.

“Hey!” Oren yelped, ducking behind the counter, “What in the universe are you doing?”

“Throwing shoes at you.” Sirius said. Obviously.

“Now now. Heroes aren’t supposed to hurt their villain friends.” Oren said from behind the counter.

“Ah yes,” Sirius said, “except that doesn’t really matter right now, because if it weren’t for you wanting to run off and save the world from imminent disaster, I’d be safely on a planet eating as many oreos as I want.”

“Well then, you’re welcome. That would be incredibly unhealthy.” Oren said.

Sirius threw a gold trimmed boot. It plunked against the counter. Oren peeped up to stare at it, and then ducked right in time as he hurled the boot’s pair.

“Actually,” Oren muttered, “I’m rather concerned why you have so many shoes.”

“If I wasn’t in a broken down ship in the middle of nowhere, with no hopes of ever making it back to civilization, those shoes would be quite valuable!” Sirius wasn’t even aiming for Oren anymore. He catapulted a pink dotted pair of tennis shoes into the far wall, they hit it with a satisfying thump.

Oren stood, staring at him. “You mean to tell me….you collect shoes.”

Sirius threw a bright yellow sandal at Oren’s face. It didn’t even get close, of course. Oren looked at the sandal and then back up at Sirius.

“You’re a shoe collector!” He broke out laughing, gripping the sides of the counter. “How did I not know this sooner!”

Sirius paused his onslaught of shoe missiles, there was only like, one more left in the bag anyway. “They’re very expensive. And valuable.” he said in his defense.

Oren only laughed harder.

“Took me a long time to collect them all too.” He muttered under his breath.

“I’ll”–Oren said between gaspy breaths–“make sure to leave you my shoes when I die. Something to remember me by.”

Sirius rolled his eyes and tossed his bag in the corner. “I’d probably eject them into space if you did, like the oreo.”

Oren managed to stop laughing enough to bow his head and murmur, “we shall forever grieve your greatest mistake, Sirius.”

“MINE?”

“Yes.” Oren said, “You killed Mr. Oreo.”

Sirius opened his mouth to object when the entire ship beeped, as if jolting awake.

“What did my ship just do?” Sirius rubbed his ears, glancing around.

“Um…it’s not your ship. It’s mine. Remember? I convinced the previous owner to give it in exchange for his life.” Oren said.

“That’s not how I remem—” Sirius began.

“SANDWICHES.” A crisp, emotionless voice vibrated from the ship’s speakers. “THE LIFE-BLOOD OF MANKIND.”

“Hey Sandie!” Oren exclaimed happily.

“Welcome back weird, malfunctioning AI who secretly wants to murder us with sandwiches and false facts.” Sirius said. “Guess you got bored, huh.”

“HUNGRY?” The ship’s AI said.

“For people.” Sirius muttered. “And better company.”

“CANNIBALISM, PERFECT.” The AI said. “THERE IS A SHIP ENTERING THIS SECTOR AS WE SPEAK.”

A ship? Sirius met Oren’s gaze for a single life changing moment as the realization sunk in.

“We’re saved.” Sirius whispered.

They both raced for the console, and started broadcasting their existence to anyone listening. The radio fuzzed in and out for a few seconds before finally the first voice they’d heard in months came over as clear as the black in space.

“Unknown transporter. This is Fate-12, prepare for boarding.”

Sirius grinned, unholstering the pistol at his side. Oreos here I come.

There are a lot of things I like about being in my eighties. For one thing, I don’t worry about most of the things I worried about when I was young (or even just younger). You know, from will I be able to get a date, to am I good enough to go to grad school, or can we really afford to buy a house, or what happens if our kid gets sick, or will I get tenure, or what will we do in retirement and can we afford it and how good is our health insurance, to what will I do now? I don’t have to worry about any of those life-things.

I realize I’ve been lucky to remain healthy and active into my eighties – even though my wife did not, and I live comfortably in the small home we purchased nearly fifty years ago in a small and quiet community in a very pretty area. So even though I’m not wealthy by the usual standards, I don’t live extravagantly and hence don’t worry about money (which is the cause of many people’s worries). I can generally do most of the things I want to do, and although fully retired I still live a productive life, have things I’m looking forward to, and have friends of all ages that I love spending time with.

That could all change, I realize, given my age. But as long as I am healthy and active, I am quite okay being the age I am.

I’m coming up to 77 and have various health isdues and constant pain, some days are better than others but I refuse to give up. Still play my piano and organ but can’t spend the time playing I use to. Could practice for 6 hours plus a day but now I’, lucky if I can do an hour before the pain in my spine stops me, just compression fractures, scoliosis and osteoporosis but life is still worth living and if I had to live for much longer I’d be glad. I panicked a bit at 60 but then got my pension and soon forgot about age. Happened when I was 70 and now it’s a number and I value each day and thank God for each day. Still do my housework, look after my hubby and aged sisters. Not as agile or can’t rush around as quick as I could when younger but still get on. I use a walker when out but no stick indoors. Have an electric mobility ‘buggy’ in our camper for the supermarket. Have a good sense of humour and I’m a chatty person but lije quiet times too. Don’t give up as to is the 30 nowadays. Perhaps worry when you hit 100!

A chap of 92 just played the piano on the tv. Also known another pianist in her mid 90’s and is a professional pianist. My sis in law at 80 composes beautiful music, is a choir mistress and professional pianist. Go get a piano and learn to play as you are not too old. My hubby started just a couple of years ago thought he couldn’t ever play both hands together and then found he was wrong. I taught him how to play simple chord accompaniment to start with. I had 3 strokes aged 28 and lost my ability to memorise music and had to reteach myself to play again. Willpower and cussedness! I sight read now and even tackling harder more advanced pieces. I just love music. Started learning at 5 years old. Wanted to be a concert pianist but ‘fate’ got in the way. But still play for my own enjoyment.

Don’t give up find a hobby, mix with others as life is not over at 60. Get a check up just in case you are anaemia or need vitamins.

Money.

Money can’t buy immortality, but it can make a huge difference in how peaceful or miserable one’s passing will be.

Ubers make up for one’s lost drivers license. Family is nice, but not always nice. Money can hire assistants who are loving and honest and kind. Money can allow you to fire any assistants who are not loving and honest and kind. Money can make a home handicap accessible. Money can allow a person to choose the very best assisted-living or nursing home, and can pay for carers to come in and provide more personal attention.

Money alone is not enough to create happiness inside a person. But money alone can help that person to be physically and financially comfortable. A lack of money means a lack of power and control over one’s life.

We theoretically sneer at people who focus too much on money, even as we worship billionaires. It would be more reasonable to teach ourselves and our children to enjoy health and life, while young, but saving what we reasonably can for our miserable old age. We can keep our car for one additional year. We have to fix the roof today, but we can cut corners a little bit on redecorating the kitchen. Save a dollar to match every dollar we spend on pleasure.

Do as I say, not as I do. I could have saved more, but I’ve got a bit of a nest egg. It helps me sleep at night.

Slow Cooker Brisket Sofrito

Slow Cooker Brisket Sofrito is an excellent filling for corn or flour tortillas.

a9df75a7867951f8567ab0d0bb9947e1
a9df75a7867951f8567ab0d0bb9947e1

Yield: 6 to 8 servings

Ingredients

  • 1 (3 pound) brisket
  • 2 teaspoons salt, + extra after cooking
  • 2 teaspoons freshly ground pepper, + extra after cooking
  • 1 large onion, sliced
  • 4 cloves garlic, minced
  • 1 (12 ounce) jar tomato Sofrito
  • 1 teaspoon ground chipotle chili (or more for an extra kick)

Instructions

  1. Season brisket on both sides with salt and pepper.
  2. Place onion and garlic in a 3 1/2 to 5 quart slow cooker. Place brisket on onions and garlic, fat side up. Pour Sofrito over brisket and sprinkle chipotle chili over sauce. Cover and cook on LOW for 9 to 10 hours or until brisket is fork tender.
  3. Carefully remove brisket from cooker with as little sauce as possible. Place on cutting board and cut into three pieces cross grain. Shred beef with two forks and return to cooker. Stir into sauce and season with salt and pepper (and a little more chipotle seasoning if you like it spicy).
  4. Serve with tortillas and other desired toppings.

This Fan costs ₹ 9,199/- in India retail to the customer

main qimg b1470e0db36346234cf93e68874cb5cc
main qimg b1470e0db36346234cf93e68874cb5cc

In Shenzhen, it costs 650 Yuan retail and 500 Yuan on a MOQ of 200 pieces

Factory price 380–400 Yuan to manufacture & assemble

It retails in Europe for € 249 and US for $ 185–230

So when this company Atomberg decides to sell in US and quotes $ 150 a piece, the US and EU laugh and say “We have offers at $ 70 a piece from Shenzhen”

In India they may have protectionism but in Europe or US it would cost almost $ 300 to make these fans and then retail them for $ 450–500

So buying at 70 Bucks a piece is godsend and helps them make at least 90 Dollars profit

That is how China works

It keeps the ever burdened middle class still capable of affording stuff

You can pick up a Hair Dryer for 8–10 Dollars today thanks to China

You can pick up a top notch iphone for 1100 Dollars today instead of 2300–2500 Dollars each

Your Lawnmower motor comes from China and costs $ 140 to replace instead of $ 600–650 it would have taken for a Made in US lawnmower

You can have 8 Solar Panels installed for $ 1900 instead of $ 6000 it would have cost you a decade ago

Hell in India – A Home Solar Panel Grid in 2013 costing ₹ 4 Lakh now costs ₹ 1.2 Lakh


How can China ever lose the Trade War?

main qimg 780070da65459b1d6d95d85afc57621d
main qimg 780070da65459b1d6d95d85afc57621d

A German company comes to China, places orders for 2,000 Curling Irons for 300 Yuan a piece asking for their logo to be branded on the curling irons and places orders for printing boxes with their logos and printing manuals in German

That’s € 38 a piece

Retails for € 118 – € 120 a piece in Europe

Same factory gets a Japanese company at 2 PM and a Hungarian Company at 3 PM

Japanese place 1,000 Orders for 340 Yuan and Hungarians place 800 Orders for 370 Yuan

One factory makes exactly the same curling irons, brands them with 3 brands, 3 boxes, 3 user manuals in Hungarian, Japanese and German

One retails for € 118–120 in Germany

Another retails for € 87 in Budapest (36,000 Florints)

Another for € 80 in Tokyo (13,000 Yen)

The Chinese Factory makes all the 3,800 Orders and earns 1.236 Million Yuan

A profit of 8% means around 100,000 Yuan after taxes

Win – Win isn’t it?

Guess how much curling irons cost in 2000?

Around € 100

So thanks to China – Europeans are STILL PAYING the same price for Curling Irons as they did 20 years ago!!!!!

Make them in Germany and they would retail at € 350


Take India

In 2013 – a Inferior Micromax Phone, a Low Quality Crap Phone cost ₹ 17,000/- and if you wanted a smartphone you either paid ₹17,000/- for a crap micromax Or Lava Or Intex Or had to cough up ₹40K for a Samsung or ₹ 60K for an Iphone 5

The Micromax was 50% the quality of the Iphone Or Samsung but around 40% of the Price

Now for the SAME ₹17,000/- you get a phone that is 90% Iphone quality at around 20% of the price !!

In ten years!!!!!

China again!!!


It’s Economics!!!!!!

You can never lose

Hence why it’s called Win – Win Economics

You think US can fight economics and win?

Impossible

main qimg 05102c66ea00452efc7c383db27c1397
main qimg 05102c66ea00452efc7c383db27c1397

Only way to do so is to CREATE ANOTHER CHINA

Or just give up, lift your hands and enjoy Chinese Prices

That’s ultimately what’s the end game here

This is actually a compilation of things a great-uncle, my grandmother, and parents told me, with a dash of what I would say now that I’m old myself.

I remember asking an elderly relative what it was like to be old. I was 14 or 15 at the time. And he was probably in his early 60s, younger than I am now. He paused, and I started to think he was going to give me a hard time for calling him old.

But he said, “When you’re young and looking ahead, it seems like you have all the time in the world, years and years and years. But when you get older and you’re looking back, it seems like it went by in a flash. You were young , you had children,” and he snapped his fingers. “And just like that they’re grown up and off having their own families. And the same goes for work and building a home for yourself. Happened in a flash.”

“The hard part is every one starts dying. One by one your parents, aunts, uncles, then cousins and siblings, all go. And people you knew since you were kids, and people you used to work with.”

“You go to where you used to hang out and suddenly out of the corner of your eye you see someone and think it’s them. ‘Oh, there’s John or there’s Susie!’ then you remember they’re dead and you’ll never see them again.”

“And you get nostalgic, sometimes for people you weren’t so close to but you were young together. And it’s pleasant to visit with people who remember them too. You reminisce about your adventures. You marvel at how stupid or rash you were, what poor judgement you had, and how lucky you were. And you’re grateful you survived.”

“One good thing about being old is you are never at a loss for stories to tell.”

5a60a604a2295b71cad4a749f40690a2
5a60a604a2295b71cad4a749f40690a2

00dc5167eb725198635779ba9c0377c9
00dc5167eb725198635779ba9c0377c9

aac209e21fc46736c35a2c9af61989f0
aac209e21fc46736c35a2c9af61989f0

4c96840e0fd279acb82154b13d40e575
4c96840e0fd279acb82154b13d40e575

4e2cd2540b356c195cdcf70145b57530
4e2cd2540b356c195cdcf70145b57530

95b35860fb9b26d78ba5fe51d69c1b41
95b35860fb9b26d78ba5fe51d69c1b41

32d46f1e886d08df1b80005914bacfd7
32d46f1e886d08df1b80005914bacfd7

2d8a40f8cb6cd72ec633b5bd2fe95081
2d8a40f8cb6cd72ec633b5bd2fe95081

3a985754dc62a44462a42d16cad7688c
3a985754dc62a44462a42d16cad7688c

4437e7f012d0cb79d7d6ddfecc932cbf
4437e7f012d0cb79d7d6ddfecc932cbf

66a5985e7d0348027ac62ddae670d66f
66a5985e7d0348027ac62ddae670d66f

bf9843555fccfb59c07ed24d7a52885b
bf9843555fccfb59c07ed24d7a52885b

e156668e1999c1bbf4d27f2e7237c747
e156668e1999c1bbf4d27f2e7237c747

999520bdb54e2496836a461c2cbdea46
999520bdb54e2496836a461c2cbdea46

cf93b0fbc0c1e4546f22521867f06c36
cf93b0fbc0c1e4546f22521867f06c36

ee225fae00c470b0a007864b765d01a6
ee225fae00c470b0a007864b765d01a6

2f0c564fad610c28e6803de0dca2fe46
2f0c564fad610c28e6803de0dca2fe46

704aa6fbdd06c3702d064cb8a7d0e5bb
704aa6fbdd06c3702d064cb8a7d0e5bb

e7edda80678b919815a3f4e13b515f14
e7edda80678b919815a3f4e13b515f14

8911995cb5a8ede5b98d9bbee557cdd7
8911995cb5a8ede5b98d9bbee557cdd7

fb57a0f07deb79e4d5f55ad9c2fc5159
fb57a0f07deb79e4d5f55ad9c2fc5159

7e4267166d1a3b01fe85ca0873ba7173
7e4267166d1a3b01fe85ca0873ba7173

46f5342ec4b14a6f866b601fca8c65cc
46f5342ec4b14a6f866b601fca8c65cc

f21980a4786d2f5cac02334167061d80
f21980a4786d2f5cac02334167061d80

b242b459fa8d27ad8de523d4538efd70
b242b459fa8d27ad8de523d4538efd70

d28ec82fda660919f533a28c5614baf6
d28ec82fda660919f533a28c5614baf6

69ef37f0794368e977aec5a4f905fa1d
69ef37f0794368e977aec5a4f905fa1d

b33593ad6c2b4811627e404b0b1c6948
b33593ad6c2b4811627e404b0b1c6948

856baf8b32e90668eb2f78251527e603
856baf8b32e90668eb2f78251527e603

2079c8f48b3ac3f25ad9b2d04d84c16f
2079c8f48b3ac3f25ad9b2d04d84c16f

bb1415194f3a9b40d1d75293ff90e427
bb1415194f3a9b40d1d75293ff90e427

ea252a5301e0a688a4bb9e9206940b70
ea252a5301e0a688a4bb9e9206940b70

7b3c154f24892020a65d9f0b1075d961
7b3c154f24892020a65d9f0b1075d961

313c42bb48d196d2857048b260727f42
313c42bb48d196d2857048b260727f42

ce6ca79f0a5219e5ef9f4ee8dd49b4b1
ce6ca79f0a5219e5ef9f4ee8dd49b4b1

Screenshot
Screenshot

0aa4bda7acc387c735fca2fffecf6a01
0aa4bda7acc387c735fca2fffecf6a01

3e5471ee196084f8d257d8327c4b0e14
3e5471ee196084f8d257d8327c4b0e14

621746f6efcaf056a65657e607e16408
621746f6efcaf056a65657e607e16408

7400e6a5e102288f8a13f8f11f604f17
7400e6a5e102288f8a13f8f11f604f17

47021ef8ce223728c15e110918303aef
47021ef8ce223728c15e110918303aef

6924a9610c9d097423f0136e24a4022e
6924a9610c9d097423f0136e24a4022e

219b2eed0f2c72ee1cf17274f9132180
219b2eed0f2c72ee1cf17274f9132180

4b342e4bd678da42f4e0d6ae9d8b1572
4b342e4bd678da42f4e0d6ae9d8b1572

06c870e9c61b403a5823cf10a1e3d994
06c870e9c61b403a5823cf10a1e3d994

f86fd034fcde0af2729554e10857f7ab
f86fd034fcde0af2729554e10857f7ab

e90a8a450a199838d144a0234b233be3
e90a8a450a199838d144a0234b233be3

ae2641adf6cafad43098399b212df0a5
ae2641adf6cafad43098399b212df0a5

Carl Zha talks to tech expert TP Huang on why the US chip sanction against China have failed and why Chinese tech people feeling confident that the West will not be able to compete with China, How Huawei was able to defeat the US sanction to be an unstoppable tech giant.

The dusty discovery behind the fridge

Have you all ever discovered something cool?

It could be in an attic, or in a back yard, during a dig up, or in a pocket of clothes.

To qualify, it has to be unexpected, and unique. Like finding a silver dollar in an old grandmothers’ coat, or a ticket to Woodstock in an old book. Or, perhaps it is a curious written message taped to the wall in a crawlspace. It could be anything.

I have a cousin that discovered a 1950’s era Lionel train set in the attic of a house that they had bought. Sure it was a fixer-upper, but the discovery of that old train set was glorious.

3a664c1de0a279eef5e24f1fc28e9b0c
3a664c1de0a279eef5e24f1fc28e9b0c

My friend from boyhood; Dino discovered (during the family home renovation) that there was once a fire in their house, and the previous owners simply wall-papered up and over all the burned wood. Imagine that!

My sister lives in Lewistown, PA. She buys homes as a hobby (?) actually for investment. But whatever. Well, it’s kind of cool the things that she would discover. She was once renovating one of these houses, and pulled off the paper-walled wall, when she discovered a gorgeous set of “pocket doors”. They were amazing; all in exquisite hardwood.

fddb6ef51fbc8dcaf4469d69d3db35d1
fddb6ef51fbc8dcaf4469d69d3db35d1

All kinds of things can be found in the most obscure locations.

I once found a pile of old “girlie” magazines behind an access panel. This was in a second floor handyman’s apartment above the Manor garage.  There was an ancient refrigerator in the kitchen area, and behind it was this little access door that led to the cubbyhole under the eves of the garage.

It was  maybe an inch or a half high, and covered with decades of dust.

These girlie magazines were nothing like what you would see today.

e6dfa99f8e4d7bc5f441b7513df3569b
e6dfa99f8e4d7bc5f441b7513df3569b

2ee6ece7e0312f3d1d0257164279ca8f
2ee6ece7e0312f3d1d0257164279ca8f

1ff3304b7e1c3731fc6ee515011af859
1ff3304b7e1c3731fc6ee515011af859

14f69afbaddb40223cb1af36725df12f
14f69afbaddb40223cb1af36725df12f

dde3ac4ceca57fd7505a480e93cdeb29
dde3ac4ceca57fd7505a480e93cdeb29

c73a1a6a590545cab7656d830c6a83c2
c73a1a6a590545cab7656d830c6a83c2

9c68ecb28c19d53f06d2b27cecb8e0f9
9c68ecb28c19d53f06d2b27cecb8e0f9

4d75113e5daee1d9e7d26b86866b3e2c
4d75113e5daee1d9e7d26b86866b3e2c

fe7a863b7af8640e5a047a09fc294cde
fe7a863b7af8640e5a047a09fc294cde

8de37c36e43a6cf753897d5fe9c0e388
8de37c36e43a6cf753897d5fe9c0e388

3ec2babf6f0e214af239c895b91d1e35
3ec2babf6f0e214af239c895b91d1e35

d2f54a253a191a4652b20caceccecb8f
d2f54a253a191a4652b20caceccecb8f

679e7771b8d5f1191e27363e3f49eaaa
679e7771b8d5f1191e27363e3f49eaaa

2759efc5613b70b51267612ac285e4bf
2759efc5613b70b51267612ac285e4bf

15c53df07570bb62dee751804b54ae1b
15c53df07570bb62dee751804b54ae1b

All the girls wore clothes, and bikini’s.  No nudes. Just suggestive images and photos with lusty stories that were pretty darn hot.

Who knows what discoveries that you might come across in your future?

e9fb9912cfd36bdf3a9b7518358106ef
e9fb9912cfd36bdf3a9b7518358106ef

Today…

In the past, we were taught history or general knowledge documented in school textbooks and then tested to determine our level of understanding and knowledge retention of what we were taught. No chance to question.

Now we realized that history books written may not be truthful and news that we read or listened to may be fabricated to lie and to deceive us. We now have to question everything especially coming from our government leaders and mass media.

Do our own research, participate in social media discussions and form our own conclusions. Many of us should be educated enough to hunt for the truths – thanks to the internet. But we have to speak up and share our findings, otherwise what good is there to keep the truth to ourselves.

How I see the USA as a European (After a Month There)

What is the best example of “someone having the last laugh”?

At that time I was flying from New York to India and the plane was quite full.

Next to me sat an elderly Indian woman. As I was getting comfortable in my seat, a couple came to our seats (a row of three) and told the elderly woman that she was sitting in their seat. I could tell that the Indian woman, traveling alone, was having a hard time responding in English. So, I checked her boarding pass and asked the couple to wait a moment while I called the flight attendant on duty.

The wife started being rude and saying things like, “We’re Americans, so we should be given priority,” and ” Foreigners always book tickets at the last minute and because they don’t speak English, all this chaos happens.”

I stood up and offered the protesting woman a seat and she said she wanted “her seat” which the older woman was sitting in.

Luckily, a flight attendant came shortly after, then I explained the situation and she saw that the couple was still ranting.

He asked me to take our bags and escort the old Indian ladies.

As we walked away, the wife was still ranting about how we had inconvenienced them.

Honestly I didn’t think much of it because for me sitting in another seat wasn’t a big deal.

We started walking. We crossed two sections of economy seating and ended up in business class!

I told the flight attendant that it was okay for me to go back to my original seat in economy class and she said, “You can accompany this lady. I’m sure she doesn’t want to be here alone.”

I had to go back to my seat to get my reading glasses which I had left in my seat pocket.

And what I saw, the wife argued with the flight attendant because we were already in economy class, they were the ones who should have been moved to business class. Obviously, she saw what happened.

I hope their flight remains enjoyable.

As the plane was about to land, the old lady sitting across from me (in business class of course) grabbed my hand and said ‘thank you’ and that was the most important moment of the trip.

Peace.

A very interesting and fun video for your enjoyment.

In am an Indian

We NEED CHINA badly

I don’t say China is a friend

Yet on an economic scale, India can’t do without China if India wants to advance or grow realistically

Presently Indias Manufacturing represents around 3% of the Global Manufacturing of which 68% is Low Grade & 32% is Medium Grade

This means India represents 0.96% of all Medium Grade Manufacturing in the world

Less than Vietnam (1.7%) , Mexico (2.4%) or even Bangladesh (1.0%)

China’s Manufacturing represents 36.3% of Global Manufacturing of which 14% is Low Grade, 71% is Medium Grade and 11% is High Grade and 4% is Advanced

This means China represents 24% of all the Medium Grade Manufacturing in the world

So to increase our manufacturing base, train our people and increase our output – we need Chinese Equipment and Chinese Investments

Without them we can’t genuinely progress forward


I can’t endorse hitting ourselves on the feet with an axe just for 50 paise nationalism!!

Maybe we need to rethink “nuclear weapons”

Screenshot 20241216 184438 Boosty
Screenshot 20241216 184438 Boosty

Screenshot 20241216 184423 Boosty
Screenshot 20241216 184423 Boosty

Screenshot 20241216 184414 Boosty
Screenshot 20241216 184414 Boosty

Screenshot 20241216 184405 Boosty
Screenshot 20241216 184405 Boosty

Screenshot 20241216 184356 Boosty
Screenshot 20241216 184356 Boosty

Screenshot 20241216 184339 Boosty
Screenshot 20241216 184339 Boosty

Screenshot 20241216 184329 Boosty
Screenshot 20241216 184329 Boosty

Screenshot 20241216 184320 Boosty
Screenshot 20241216 184320 Boosty

Screenshot 20241216 184307 Boosty
Screenshot 20241216 184307 Boosty

Pot Roast with Potatoes

4af2e35505f72273928442813152a085
4af2e35505f72273928442813152a085

Ingredients

  • 1 (1 1/2 pound) pot roast
  • 3 garlic cloves, crushed
  • 1 teaspoon salt
  • 1 tablespoon vinegar
  • 1 onion, cut into small pieces
  • 1 tablespoon olives and capers
  • 2 tablespoons Red Oil(Oil with Annatto)
  • 3 potatoes, cut into halves

Instructions

  1. Season the meat with garlic, salt and vinegar. Make small holes in the meat and fill with chopped onions olives and capers. Brown the meat in the Red Oil.
  2. Sauté the potatoes. Cover with water. Season to taste. Cook for 45 minutes covered, over low heat.

Life on a Station

Submitted into Contest #24 in response to: Write a story set in the dark recesses of space where the two main characters are often at odds with each other in humorous and comedic ways. view prompt

Corey Melin

Gorgin walked the corridors once again to make sure everything was okay.“Why do I have to continue to check out the station when we have systems set-up to make sure everything is in order on the station?” he asked the commander of the station, Morgan.“Just do it,” said Morgan.  “You never know what can get past our systems way out here in space.  There is a lot of unknown things out here. I’m tired of explaining to you each time it’s your turn.”Now, Gorgin was walking through the corridors, and checking out room after room.“Why such  huge station for just a few people?” thought Gorgin.Gorgin rounded the corner, and in front of him stood an alien that stood seven feet tall, green scaly skin, fish eyes, a mouth full of sharp teeth, and claws reaching out to him.  All Gorgin could do is stare in shock then let out a piercing scream as he started backing up around the corner, then turning and running as fast as he could. Before he reached the end he could hear someone laughing hysterically behind him.  He came to a stop and turned around seeing Dwight in the alien outfit pointing at him and laughing.“I will be taking this to the commander!” he cried out, as soon as he went to his room to change.“I can’t believe I have two adult men standing in front of me,” said Morgan.  “The two of you clowns have been at each other since you came to this station.  Should we go over everything the two of you have done to each other?”“This was all started by Dwight,” said Gorgin.  “He was the one who set the dials so I woke-up out of slumber as an old man.”Morgan and Dwight chuckled over that one.“That was a quick fix, but it was fun while it lasted,” said Dwight.“It didn’t end there with the two of you,” said Morgan.  “I believe the next mishap is when Dwight transported in the station and appeared in another section with three butt cheeks.  Courtesy of Gorgin tampering with the controls.”“Sitting down was quite comfy,” admitted Dwight with a grin.“Even though, the two of you have brought much humor to everyone you need to act like adults,” said Morgan.  “You think the two of you can do that?”The two of them nodded their heads.“Now get out of my sight and do your duties,” demanded Morgan.Both of them left the room, staring at each other with dislike.“I would greatly appreciate it if you could move to the other side of the station so I would see you less,” said Gorgin.“I would say that it would be even better if you would move off the station,” said Dwight.“Just stay away from me,” both said at the same time, and they went their separate locations.It was a couple of days later that the two met again.Gorgin went into what everyone called the “Pet Room” to create himself a pet to keep him company.  As he entered the room he saw that Dwight was already in the room at the controls.“What the heck are you doing in here?” he asked.Dwight turned to him.  “Looking for a pet. What do you think idiot?”“Hurry up then,” said Gorgin.Dwight went back to the controls and went back to pushing buttons.  Time went by as Gorgin waited impatiently for him to finish.“I think I got it,” said Dwight.  “Oh wait. That won’t do.”“That is enough,” huffed Gorgin, stomping over to Dwight.  “Give me the controls.”Next moment, both of them were fighting over the controls, pressing and clicking until there was a sudden flash that lit up the room.  Both of them stopped and looked at each other with befuddled looks.

“What the heck was that?” asked Gorgin.

“Not a clue,” replied Dwight.

“We should probably check around the station to make sure everything is okay,” said Gorgin.

The two left the room, trying to call the commander, but getting no answer.

“Let’s go to command center first,” said Gorgin.

The two rushed to the command center.

“Dwight did it!” Gorgin cried out as soon as they entered the room.

“No I didn’t!” Dwight called back.  “You butted in!”

But the two realized they were wasting there blame game for the commander was nowhere in sight.  They looked all over, but no sight of the commander.

“He’s not in the freshening room,” said Dwight coming out after a flush.

“Strange for him to be gone,” said Gorgin.

Then the two of them heard a squeak.

“What the hell was that?” asked Dwight.

“Sounds like the commander has a pet,” replied Gorgin.

The two started looking around until the two came to the commander’s chair.  Both saw at the same time a squirrel on the seat looking at both of them. It started chattering, then jumped off the chair.

“I didn’t know the commander had a pet?” asked Dwight.

Gorgin shrugged his shoulders and scratched his head.  Then a light bulb popped on inside his head.

“What pet were you looking at getting?” he asked Dwight.

“I was contemplating on getting a tamed squirrel,” he replied.

It didn’t take too long for the two to figure out what happened.

“Did we turn the commander into a squirrel?” asked Dwight.

Gorgin just nodded then the two searched for the squirrel, which ran around the room.

“We need to get him,” Gorgin said.

The two chased after the squirrel, bumping into each other, and Gorgin grabbing the squirrel, but it bit him, and was loose once again.

“We need to get the room robot,” said Gorgin as he shook his hurt finger, going over to the panel.

He pressed some switches and next moment the robot came out.

“Retrieve the squirrel,” said Gorgin.

It didn’t take long for the robot to scoop of the squirrel and deposit it into a glass came.

“Now to see about the rest of the crew,” said Gorgin.

The two of them checked for lifeforms on the station, then checked the screens for each room they detected life.  All the lifeforms were squirrels.

“What did you do?” asked Gorgin.

“You were the one pressing numerous buttons,” said Dwight.

“We need to fix this fast,” said Gorgin.

Gorgin released the robots in each room, and the squirrels were scooped up.  The other robots were sent to the pet room.

“I hope we can reverse this,” said Gorgin as they headed to the pet room.

All the robots were in the room as the two of them tried to figure out a way to make their crew human again.

“I think I got it,” said Gorgin.  “We need to get out of the room so nothing happens to us.  The robots will be released once we leave.”

The two left the room, robots released, and there was a bright flash.  The two went back into the room and saw everyone was human again. The only thing is that they were all naked.  Commander Morgan stood up and looked at the two men with a stare of death.

“We are in trouble,” muttered Dwight.

The next day the two were put in cryosleep  until the next crew came in a couple of years.  Before both of them lay down for their sleep they looked at each other, and both of them grinned.

The Dictionary of Obscure Sorrows

A website that creates new words for emotions that don’t have a name. It’s a poetic and thoughtful exploration of the human experience.

Sorrows

Some examples of the content…

screen 2024 12 15 07 24 18
screen 2024 12 15 07 24 18

7 USA CULTURE SHOCKS we experienced as New Zealanders in Big City America!

Shorpy

SHORPY 4a08073a.preview
SHORPY 4a08073a.preview

SHORPY 1707.preview
SHORPY 1707.preview

SHORPY 8c24282a.preview
SHORPY 8c24282a.preview

SHORPY 4a08029a.preview
SHORPY 4a08029a.preview

SHORPY Frigidaire Flair range 1960 1.preview
SHORPY Frigidaire Flair range 1960 1.preview

SHORPY 8b19279a1.preview
SHORPY 8b19279a1.preview

SHORPY 8c24380a.preview
SHORPY 8c24380a.preview

SHORPY 8c24460a.preview
SHORPY 8c24460a.preview

SHORPY 8c24619a.preview
SHORPY 8c24619a.preview

SHORPY 8b00438u.preview
SHORPY 8b00438u.preview

SHORPY 5a27312u.preview
SHORPY 5a27312u.preview

SHORPY 00631a.preview
SHORPY 00631a.preview

SHORPY 8c24512a.preview
SHORPY 8c24512a.preview

SHORPY 8c24373a.preview
SHORPY 8c24373a.preview

SHORPY 8c24748a.preview
SHORPY 8c24748a.preview

SHORPY 8c24899a.preview
SHORPY 8c24899a.preview

SHORPY 8c24310a.preview
SHORPY 8c24310a.preview

SHORPY 8c24372a.preview
SHORPY 8c24372a.preview

SHORPY 8c24913a.preview
SHORPY 8c24913a.preview

SHORPY 1a34772u.preview
SHORPY 1a34772u.preview

SHORPY 1a35025u.preview
SHORPY 1a35025u.preview

SHORPY 1a34663u1.preview
SHORPY 1a34663u1.preview

SHORPY 1a35398u1.preview
SHORPY 1a35398u1.preview

SHORPY 1a35416u.preview
SHORPY 1a35416u.preview

SHORPY 1a34288u1.preview
SHORPY 1a34288u1.preview

SHORPY 78761u.preview
SHORPY 78761u.preview

SHORPY 4a19111a1.preview
SHORPY 4a19111a1.preview

SHORPY 01272a.preview
SHORPY 01272a.preview

SHORPY 4a13297a.preview
SHORPY 4a13297a.preview

SHORPY 4a13431a.preview
SHORPY 4a13431a.preview

If I were to hear the Good Humor Man’s bell right now, after not having heard it since 1988, no doubt my old retired leg springs would automatically reactivate, and shoot me out the door, landing me down the street, right at the side window of his truck — Creamsicle, please!

main qimg bf1d5710014aa04e7f23cedb568de17c
main qimg bf1d5710014aa04e7f23cedb568de17c

The reason I happen to know the very last time I heard it is because I was in the midst of first time sex with a man, we were on Ecstasy, and neither of us had heard it in over a decade, having been living on a Good Humorless island in Puget Sound.

But we’d used a friend of mine’s Seattle apartment as a trysting place that day, and suddenly, in the midst of thrashing joy, the bells of perfect childhood began to ring!

Yes, I remember the very last time I heard the Good Humor Man’s truck, surprised only that I can’t pinpoint it any more than Spring of ‘88, when we didn’t even get out of bed to chase him down.

Who knew it’d be the last chance!

TOP “Drill Sergeant Monologue” Reactions! Full Metal Jacket Movie Reaction First Time Watching

Half of Forever

Submitted into Contest #24 in response to: Write a story set in the dark recesses of space where the two main characters are often at odds with each other in humorous and comedic ways. view prompt

Morgan Elbert

 

“Christ, One!  What the hell were you thinking?” the voice came through the hud slightly distorted.  Nothing had been right on the Doppel Station for days, maybe weeks. It was difficult to keep track of time in this lifestyle.  There were no nights, no days, and essentially no schedule. Work needed done when it needed done and it didn’t matter if the men were tired or hungry or whatever other excuse they might concoct. One tried to focus his mind enough to remember when the issues had arisen.  He knew it was during Twenty-Seven. Measuring events in that way made him feel lugubrious, but it had been his best method to date. These minor external repairs were not typically so frequent, and he grew concerned that it meant the end of the station was coming soon. Perhaps it had drifted from its axis, or some distant celestial body had shifted and was influencing it in some way.  They were still waiting to hear back from the Union regarding their query.

“One!  Yo, you listening, man?” the voice crackled through again.  One rolled his eyes and sighed, knowing the heavy exhale would be detected by the suit.  He liked the idea of his disdainful sigh echoing through the main deck for his crewmate to hear.

“God One, you don’t have to be so pissy.  Just fix that panel and get the hell back inside.  I’m sick of monitoring your vitals,” came the response.

After finishing his work, One leaned back against the hull of the station and watched the swirling of the reality around him.  The Dorra galaxy was on the small side for those that had been explored, and to One, it felt quaint — cozy even. It was like living in the smallest nearby town and still being able to see the nightlights of the closest big city.

At least, that is how One thought of it, from his studies of old human culture.  He, himself, had never lived on the planet known as Earth. Born and bred on this ship, he spent much of his free time daydreaming; imagining what life must have been like for his ancestors.  Walking in something called grass — typically green with threadlike fingers of roots extending down into the soil for nutrients, hydrogen dioxide, and security.  He wondered what that might feel like, having roots and security. Breathing unfiltered air, filled with the pollution and aromas of the natural world.  One’s entire life had been inside this shell, floating endlessly in an even more endless vacuum of nothingness. Even the gravity he experienced wasn’t what he considered natural.

“Bro — Wake up and get your ass inside,” the voice broke his melancholy revelry and One felt more angry than he had in weeks.  It wasn’t often that he sat out against the hull and let himself take in the view, but it was without fail that whenever he did, he was called back inside with the same crass phrasing that effectively wrecked whatever peace he had found in his meditation.

As One closed the airlock behind himself and secured it, he could feel the needy eyes on him through the door.  He slowly and meticulously removed his gear, inspecting each piece before placing it carefully in his cubby. Mainly, he took such care in this process because he found it an effective method to avoid returning into the main hull of the station, and thereby further prolonging his peace and isolation.

Technically, they were always supposed to take this level of care in their return inspections, but it was well known that few of the ‘nauts ever did, especially this far from the Hub.  Stations like the Doppel rarely, if ever, received elite visitors, and never had surprise inspections from the higher-ups. In fact, the Doppel was much more of a small outpost than a proper station.  The Doppel was a small superfluous station responsible for monitoring the oxygen levels and watching for signs of life on tiny dead rock on the outskirts of the galaxy. ‘Nauts stationed here were meant to exist, write reports for the Union, and maintain that there were always two living there.  Nothing else.

A pounding echoed around One as he painstakingly inspected his last valve and he turned to the door to see an angry face peering through the glass at him.

“Come on, man, get in here!!!”

“I’m doing my inspections,” One replied.

“You’re wasting time and you know it!”

“ME? Never. Why on Doppel would I ever do something like that?” he asked, faking an aghast expression.

“Duuuude….”

He ignored the plea.

“Duuuuuuuuuude.”

He continued fiddling with his equipment, turning away from the door to hide a smile.

“Gawwwwd, dude.”

One started laughing.

“Alright, I’m coming, Twenty-Seven. Calm down,” he said, crossing through the door at last.

Twenty-Seven tackled him.

“Dude, it is so freakin’ lonely in this tin can, man. I don’t know what to do with myself,” he said, latching on to One’s back.

“Maybe you should try studying or reading or something,” One replied, pulling away from the younger man, “you haven’t been alive long enough to be this bored.”

“I’m plenty old enough to be bored, bro,” came the indignant reply.

“Dude, you’ve been alive 46 days.  I activated the Womb for you less than 3 months ago.  You have no right to be this bored.”

“Yeah, and you’ve only been alive, what, 180 days?” the young man asked sarcastically, though he knew the actual count was much longer.

“I’ve been here forever.”  A cold and measured response.

The younger man scoffed before jumping on One’s back again.

One pulled away once more and went to the bunk room.  Twenty-Seven followed him closely, something clearly on his mind.  One turned to him.

“What’s up, man?” he asked tiredly.

“It’s just — Man, uh — What happened to Twenty-Six?”

“I’ve told you what happened to Twenty-Six.”

“No, you just said you needed a replacement.”

“That’s what happened to Twenty-Six.  He needed replaced.”

“Dude, you know what I mean.”

“Twenty-Six died.”

“Well doy. How?”

“We’re in space. Even if we weren’t, death is a certainty.”

“Dude, One, you are the worst at answering questions, like, ever.”

One laughed.

“Yeah, but I’m still the best teacher you’ve ever known.” he chuckled.

“You’re also the worst everything I’ve ever known,” Twenty-Seven quipped.

The men stood in silence briefly. One lowered himself onto his bunk.  Twenty-Seven watched him, an increasingly tragic expression spreading across his face.  One leaned back and closed his eyes tightly, intentionally refusing to see the younger man’s pitiful appearance.  He was tired of answering these questions with each new iteration. At this point, it seemed an exercise in futility.

Each story ended the same, each life coming to the same closing line; never anything special.  It had become easier with each passing individual. Two had been a real struggle. One had been uncertain that he would ever recover from losing his first second hand man.  He had tried to make himself disconnect since then. He spent more time outside the station when he could. Tried to be independent from them. But Twenty-Seven — Twenty-Seven reminded him too much of himself in the very beginning, beyond the obvious fact that they had the exact same face, the same DNA.  Each of the men had the same face and DNA; that wasn’t special. Somehow though, Twenty-Seven was special. Excitable and eager to know whatever he could. Stifled by life inside the Doppel. It took great effort to remain aloof with this one. One reflected on the lives of the others, how shockingly dissimilar they had all been, all facts considered, and yet they all ended the same.  Such is life, he thought to himself.

 

 

 

One woke up naturally for the first time in what felt like ages.  No klaxon blaring, no clingy crewmate awaiting his eyes to flutter open.  “Good,” he thought. Perhaps at last Twenty-Seven had gotten the hint to stop asking so many questions.  He rose slowly, stretching his aching body. The human body was not designed to spend its entire life in space.  Even One, essentially created for that purpose, still struggled with the effects.

One found Twenty-Seven sitting quietly near the com panel and staring through the view screen at the celestial bodies of Dorra that blinked and flickered around them.

“Beautiful, isn’t it?” he whispered, placing his hand on Twenty-Seven’s shoulder.

“Yeah, yeah,” Twenty-Seven responded, being jarred from whatever distant reality his mind had ventured off to.

“So like me,” One thought with a gentle smile, before saying “Get some sleep, man.”

Twenty-Seven rose mindlessly and followed the instruction.  “How long has he been awake?” One wondered, before taking Twenty-Seven’s place at the com.  Still no message from the Union. One felt a familiar twinge of concern, before shaking it off.  What did it matter, really, he asked himself. He went about his routine, checking the equipment, checking readings, looking for anything that might have gone awry during his rest.  He was relieved to find there had been nothing out of the ordinary, and returned to his studies.

“Tell me what happened to Twenty-Six,” a groggy voice croaked from behind One.  He had been reading for hours, and the sudden reminder that he was not alone startled him.

“Christ, man!” he yelled.

“Tell me,” Twenty-Seven said again, “I need to know.”

“You already know.”

“I know he’s dead. I don’t know how he got there.”

“Does it even matter?” One shot back, “Dead is dead. Who cares how anyone arrived at dead. All that matters is that they are dead.”

“What happened to you, man,” Twenty-Seven asked quietly.

“What do you mean?”

“What happened to you?  Seriously, how can it not matter how they got there?  Dead is DEAD, man! Becoming dead is a big freakin’ deal.”

“Drop it,” One yelled. He felt his long stifled emotions bubbling up inside him.

Twenty-Seven was silent.

One was silent.

The silence became its own entity.  A threesome to their short staffed company.  It floated down on them and wrapped them up, holding them against one another.  One stared at Twenty-Seven, staring at his own face. Younger, not so worn down by the nihilism, unscathed by the repeated witnessing of death after death.  Hair still cut to regulation. Twenty-Seven stared back, tears prickling at his eyes and throat. He saw himself, and yet something completely different. Long, unkempt hair licking at that uncanny face, yet the skin pulled differently.  Tighter, and yet wrinkling slightly around the eyes, across the forehead. That face no longer held its softness. Silence coiled tighter, beginning to hint at suffocation.

“Look, I can’t tell you what happened to them, man,” One whispered through the smog of silence that nestled around them, “I just can’t do it again.”

Twenty-Seven nodded slowly.  Time drifted without meaning again, the way it had for so long, the way it always would, but in that moment, it was palpable.

An alarm blasted through the station, nearly shaking the men.  Something was wrong. Severely wrong. The silence that had enveloped them was eradicated.  They rushed to the com to see if they could see anything. The view screen was blank. The instruments were going berserk.  Inconsistent and chaotic readings flashed over and over before the entire com powered down. The lights dimmed inside the vessel, and a warning message began repeating itself.  One looked to Twenty-Seven. The young man’s face was contorted into fear and frown. One patted him on the shoulder. “I’m going outside,” he shouted over the various sirens and messages the station’s computer blasted through the hull.  Twenty-Seven grabbed his hand. “I’ll go,” he yelled, but One slipped away and ran for the airlock.

One grabbed his gear and slipped it on far more quickly than he ever had.  This was not how these situations were typically handled. The man with seniority was not the one who was supposed to go out during the outages, but he didn’t care.  Regulations be damned. He wasn’t going to watch it happen again. Twenty-Seven stood at the doorway, watching One as he dressed, screaming something unheard through the chaos that shattered everything he had ever known.  One heard as Twenty-Seven began trying to open the door into the airlock and before the younger man could progress, he opened the outer door, effectively locking the rest of the station down until proper procedures allowed things to open again.

One ventured out onto the shell of the station where he had spent his life.  He immediately saw where the vessel had been struck by some manner of space debris.  Two of the twelve power cells placed around the outside of the ship had been knocked loose, likely causing a short in the circuit and causing the power levels to fluctuate inside.  He set to repairing the damaged pieces, and looked up to see still more hurtling towards the Doppel. He worked as quickly as he could, but it was not fast enough. He had only been able to repair one of the cells before the next impact.  A small piece of rock struck him at such velocity it tore through the arm of his suit. Safety procedures activated. The arm was severed off and sealed instantaneously. The temperature rose rapidly on the blade inside the sleeve, cauterizing the amputation.  One screamed in pain, though from everything he had read, this was nothing compared to what would have happened without the guillotine effect of his suit. He had poured over the manuals that warned of what could happen in these circumstances. How the water in human skin would vaporise in the absence of atmospheric pressure; moisture on the tongue would boil.  All of that, of course, only mattered if the rest of you somehow had oxygen and protection from the vacuum of space. The hud began a countdown, indicating how long he had left without receiving proper medical attention. These suits, while advanced technology, could simply not stave off human death without other measures being taken to recover.

One’s mind flashed back, again and again, to each of the different men he had lost during his time on the station.  Had this been what they had felt? This fear? This — well, this relief? What sort of emotional cocktail did they each experience?  Were they — Was he — glad? He felt himself floating away from the hull of the station. The impact must have been enough to separate his magnetic boots from the titanium.  It was a weak bond anyway. It only made sense that it would have. As he rotated away from the only home he had ever known, the only home he could ever have known, he tried not to imagine the face of his protege.  He tried not to see that same face, over and over again in his mind. The fear. God, the fear. Two’s final scream flashed through his mind. Eleven. Nineteen. Each face, the same, and yet so different in that final moment.  Each death had been different, but was that even possible? Each had taken place in the same location — this godforsaken station in this corner of this godforsaken galaxy. Each death of the same person, genetically. How could it have been so different each time?  The urgency of the message in his hud increased, counting away One’s final seconds, and he felt a feeling of anticipation. Of impending freedom?

 

 

 

The Womb hummed in the background as Twenty-Seven sat at the com, studying up on life in the olden days, back on Earth.  He absent-mindedly worked his finger through the scars on his face. The scars he had put there with a broken piece of the ship gathered during a repair mission.  They were designs he had created after discovering the concept of “tattoos” during one of his deep dives into old human culture. It was his only way of feeling different.  When at last the Womb unlocked, he felt a very slight tickle of excitement. What it would be to not be alone again, even for a little while. He tried to stifle the feeling.  He knew how this always ended.

“Welcome to the Doppel,” the computer voice chirped pleasantly.

Twenty-Seven stepped into the room to watch the new arrival recover from the incubation process.  It sat up slowly, rising out of the pink amniotic fluid that each of the men was born from, stretching its back and arms.  It looked around. Focusing on his face. It blinked several times, and he waited patiently for the eyes to focus. It took some time, this orientation to the world of the living.  Fortunately, each of the clones was born with the ability to understand language and to speak it; once they figured out how to make their vocal cords work, anyway. The amnion drained from the incubation pod and the hatch opened, allowing the newest arrival to the station to step out into its new home.

Twenty-Seven leaned against the wall.  His hair was long, tumbling down his shoulders.  His hand stroked his beard out of habit.

“Get some clothes on and find me for orientation when you’re ready,” he said coldly before walking out of the Womb.  Something made him hesitate for a moment, and he turned back to his newest crewmate. Maybe this time it would be different.  He cleared his throat.

“And, uh, welcome to the Doppel, Forty-Nine.  I think you’re gonna like it here.”

“Wait.  Sorry, I just wondered.  How long have you been here?” the new man smiled awkwardly before asking, as his eyes slowly took in the haggard face of his superior.

Twenty-Seven shook his head and chuckled.

“About half of forever, man.”

What a steaming pile of ignorance.

Both China and Vietnam are thriving. They are healthy, dynamic, peaceful and safe. They all have cutting edge technologies and top notch infrastructure. They are hot beds of science, technology and manufacturing.

Yeah.

No question about it.

Once you fine-tune communism to a traditional society, it unleashes a massive explosion of prosperity and happiness.

Meanwhile…

…remember what the Federalist Papers had to say about a “democracy”.

But that is for another time and another place.

Summary

Communism is thriving in China and Vietnam. The citizens are happy, productive and content.

Meanwhile, in the United States, and it’s proxy nations… we see ballistic inflation, dissatisfaction, poverty and hardship. And the ONLY thing that they can do is say …

“Well I live in a democracy, because I would hate to live in a Communist Hell-hole.”

When no one in Communist China, and Communist Vietnam consider it to be that.

In the photo are the IDs of Ukrainian slaves, who, with the tacit consent of the Kyiv regime, were captured by Erdogan’s bastards.

screen 2024 12 15 11 47 04
screen 2024 12 15 11 47 04

Syrian Wahhabi terrorists and their accomplices are kidnapping Ukrainian women in Turkey to sell them into sexual slavery. Moreover, the unfortunate women are sold to the Syrian province of Idlib, which is under the control of the Turks and pro-Turkish militants.

❗️Why won’t the SBU start rescuing their compatriots?! Because the Zelensky regime doesn’t give a damn about Ukrainians.

screen 2024 12 15 11 47 25
screen 2024 12 15 11 47 25

And we will remind you that the Syrian army, with the support of Hezbollah, as well as the Russian Aerospace Forces and Special Operations Forces, were squeezing pro-Turkish terrorists out of Syria.

Nah. I turned 71 a couple of months ago and I am still working full time. Since I turned 60, I went through cancer treatment successfully, bought a nicer convertible than I had before, been promoted three times, and have worked on the most interesting and challenging work of my career. I feel professionally valued and don’t feel the need to prove myself. I have traveled more consistently, outlived one dog and now have the dog that may be around until I am 84. I am not married but I’ve become more connected to my community, and not incidentally, bought a Peloton. I have actually had more fun since turning 60. Just open yourself up and stop competing with 40 year olds.

Puerco con Calabasa

c58955e39113f9e5823030f7ad756466
c58955e39113f9e5823030f7ad756466

Ingredients

  • 1 inexpensive cut boneless pork, cut into bite-size pieces
  • 1 medium size onion, chopped
  • Several cloves garlic, chopped
  • Several ears fresh corn, with kernels removed from the cob
  • Several fresh tomatoes, chopped
  • 2 medium size zucchini, chopped
  • Few tablespoons oil
  • Salt and pepper, to taste
  • Cumin seeds
  • 1 bunch fresh cilantro, chopped (optional)
  • Cooked rice

Instructions

  1. Sauté the garlic with the onions in a few tablespoons of oil in a deep pot. Add the pork and brown, being sure to cook through.
  2. Add cumin seeds. Add about 2 cups of water to the pot. Throw in the corn, tomatoes and zucchini. If you don’t have fresh corn or tomatoes, frozen corn and the flavored stewed tomatoes work well. Cook all of this covered on low heat for about 2 hours.
  3. Uncover while making rice and let the liquid reduce a little.
  4. Now add salt and pepper to taste. If the salt is added too early, it may get too salty as the liquid cooks off. Add the cilantro if you like it.
  5. Serve over hot cooked rice.

During World War II, the central banks of leading European, Asian and African countries transferred 20.2 thousand tons of gold to the United States – 2/3 of the world’s gold reserves. The countries that transferred their gold assets were guided by the fact that the United States was far from the theaters of military operations, and the American economy was on the rise. The United States violated its obligations to return the gold transferred to them for safekeeping. The States simply appropriated someone else’s gold.

In 1965, France, followed by other European countries, tried to “convert” dollars into gold. And then it turned out that instead of 20 thousand, only 2.8 thousand tons remained in the Federal Reserve vaults to cover foreign exchange reserves.

The remaining precious metals were either sold or were pledged for obligations to transnational financial groups.

US President Richard Nixon officially announced the refusal to convert dollars into gold on August 15, 1971. The legal rejection of the Bretton Woods system was formalized in 1976. Thus, Washington abandoned its “partners”. Thus, Washington deceived and robbed its “partners”.

Gold of Asia

In 1973, during the evacuation of Vietnam, the US appropriated 17 tons of precious metals from the South Vietnamese central bank. Another 5.7 tons were “frozen” in South Vietnamese deposits abroad. After the invasion of Iraq in 2003, the US confiscated almost all of Iraq’s gold reserves, which amounted to 127.5 tons.

main qimg 72c6c21ca7bd98dfd7ea04a819f8b2a3
main qimg 72c6c21ca7bd98dfd7ea04a819f8b2a3

South American Gold

In 2013, the West refused to recognize the legitimacy of the Nicolás Maduro government. Since then, 201 tons of Venezuelan gold stored abroad have been “frozen.” During the Falklands War of 1982, the United States and Great Britain blocked Argentina’s foreign assets. 135.5 tons of Argentine gold “disappeared.”

African Gold

In 1986, the United States imposed economic sanctions against its ally, South Africa, accusing it of “apartheid policies.” South Africa’s gold reserves stored abroad decreased by 467 tons. The same fate befell Libya’s gold reserves, 144 tons of which “dissolved” after the West’s military intervention in 2011.

Eastern European Gold

During the collapse of the Warsaw Pact, the central banks of the socialist countries lost: Bulgaria — about 160 tons; Hungary — more than 60 tons; Czechoslovakia — 56 tons; Romania — up to 50 tons; Poland — up to 10 tons; Bulgaria — 5 tons. The USSR suffered the largest losses. In 1989-1992, more than 1,000 tons were exported from its territory to the West. Officially, this gold went “to pay off debts”, which not only did not decrease, but, on the contrary, increased sharply. In 2014, after the coup d’état in Kyiv, the United States seized 14 tons from the Ukrainian central bank “to pay off debts”.

The latest case of gold “expropriation” is related to Afghanistan, during the evacuation of which the Americans seized 22 tons of the precious metal. In total, since 1971, the US has appropriated between 5 and 6 thousand tons of gold, which allowed it to declare an “increase” in its free gold holdings from less than 3 thousand to more than 8 thousand tons.

But, well other things might come into play. So it would be rude of me to assume that the questioner is aware of what the United States has become.

Making long term, and serious decisions, such as moving to the United States should never be taking lightly or trivially. It should be well thought out, and well planned.

Ask yourself this…

  • Why are expat Americans in China giving their children Chinese passports, and not American passports? Why are they doing this? Could they, who have lived in both nations know something that you do not?
  • Once you become an American, you can NEVER undo it. You will always be an American citizen, and your income will be taxed until after you die, and your property seized as the government determines … and you will have no options or recourse to do anything about it.
  • What does the United States that is better than what you can have / get in China?

As I have repeatedly stated, the decision to become an expat is a serious one with many personal reasons. I do not know what yours are. Perhaps it is love. Perhaps it is a job. Perhaps it is allergies. Perhaps it is a love for pizza. I don’t know. But, I am sure that you do know.

Here’s what you need to do.

It does not matter what country you are leaving or what country you are moving to, the general template is always the same…

  • Visit the nation. Try to live there for a solid 6 months to two years before you even consider making a permanent citizen application.
  • Obtain work there. Obtain a work visa, or other method. Take particular note on how much you make, and how much you SAVE. that will define your expected quality of life.
  • Make friends. Take note of how easy or difficult it is to make friends. This will determine your ability to fit in the society.

If you find that you have lived there, made friends there, and can earn enough to have a good quality of life, then I would suggest making the jump towards expat. If you cannot, then the target nation is not right for you. Try a different one.

There are many, many sad stories of Chinese who left China and ended up in “bad straits” in the United States. From the multi-millionaire who had everything seized by the IRS on a whim, to the PhD professor begging on the streets of New York, to the attractive college student working in a roadside strip mall giving massages with happy endings.

There are happier stories of Chinese moving to Canada, the American territories, and Europe. And they should be considered as well.

Best of luck. Just plan, and then work the plan.

I have a project that is being run by a 25–30 something project manager. I am 61, and have been in my field for over 30 years.

I have not met this PM in person, but I have been told that this PM graduated from an Ivy League university, so she must be somewhat bright.

But she has zero knowledge or common sense. She has no experience doing the work this project requires, and possesses no understanding of the project and the tasks needed to complete the project successfully. I’ve been on this project for two years now and meet with her and her team multiple times a week so I’ve had an opportunity to gauge her abilities. She might be bright, but she has no business on THIS project. There are older folks on this project as well who don’t belong on this project either.

Young people who complain about older people not knowing everything fail to realize that spending time learning something and doing it over time (commonly known as experience) is a HUGE part of being successful. School does not teach you everything, no matter how bright you are. Some things can only be learned by doing them, often for years. As I close out my career, I look back on what I was able to do when I first started compared to my abilities now, and there is no comparison.

And the same is true in life. The more life experiences you have, the more knowledge of how the world actually works you have. Young people excuse bad behavior from others. Older people know through life experience that putting up with that will cause problems. Young people engage in risky behaviors or harmful stuff like recreational drug use, eating badly, and their limited experience tells them they will be okat]y doing what they are doing. Older people know that will catch up with you, because some of them did that stuff and they are paying for it, or they know someone who did that stuff.

Yes, just living will teach you a lot.

Cheech & Chongs Up in Smoke | REACTION

Wearing painter pants while walking down a memory highway

I have a project that is being run by a 25–30 something project manager. I am 61, and have been in my field for over 30 years.

I have not met this PM in person, but I have been told that this PM graduated from an Ivy League university, so she must be somewhat bright.

But she has zero knowledge or common sense. She has no experience doing the work this project requires, and possesses no understanding of the project and the tasks needed to complete the project successfully. I’ve been on this project for two years now and meet with her and her team multiple times a week so I’ve had an opportunity to gauge her abilities. She might be bright, but she has no business on THIS project. There are older folks on this project as well who don’t belong on this project either.

Young people who complain about older people not knowing everything fail to realize that spending time learning something and doing it over time (commonly known as experience) is a HUGE part of being successful. School does not teach you everything, no matter how bright you are. Some things can only be learned by doing them, often for years. As I close out my career, I look back on what I was able to do when I first started compared to my abilities now, and there is no comparison.

And the same is true in life. The more life experiences you have, the more knowledge of how the world actually works you have. Young people excuse bad behavior from others. Older people know through life experience that putting up with that will cause problems. Young people engage in risky behaviors or harmful stuff like recreational drug use, eating badly, and their limited experience tells them they will be okat]y doing what they are doing. Older people know that will catch up with you, because some of them did that stuff and they are paying for it, or they know someone who did that stuff.

Yes, just living will teach you a lot.

Sex Before Marriage Was The Worst Thing I Did To My Mental Health

Never mind exporting, US cannot make say 90% of the stuffs that they need everyday! Stop being blind i live in South East Asia! I hardly see anything that is made in the USA in our market!

Industrial power sounds nice but US don’t want to dirty their hands to build the nuts and bolts needed. They thought that the world will continue to pour money into the US being a superiority complex nation. Everything they need it will cost them 3–5 times more than they can import from China!

The most important question is why? The US CEO insist on earning billions! The US workers wanted 5 times of Chinese wages but willing to do half as much and 10 times more benefits! Can’t you still sell at the same Chinese prices just based on these alone but there are more.

Your nation graduate bull shit artiste. Not STEM engineers! Your politicians work against your industry and allow your infrastructure to be dilapidated! That is why you cannot make your own underwear!

VDH: Are The Years Of Madness Ending?

Tuesday, Dec 17, 2024 – 05:20 AM

Authored by Victor Davis Hanson via American Greatness,

Never in U.S. history has a president-elect been welcomed as the real president before his January 20 inauguration.

And never has the incumbent president so willingly surrendered his last two months in office and all but abdicated—to the relief of his nation and the rest of the world.

One reason so many are welcoming Trump’s return is the universally desperate hope that his election spelled an end to a collective madness at home and its ripples abroad during the last four years. And why not?

Nations overseas had never quite witnessed anything like the lethal August 2021 American flight from Afghanistan.

That utter humiliation and impotence of the U.S. military likely signaled to Russia there would be no consequences if it invaded Ukraine—and it did; to Iran that it could now unleash Hamas and Hezbollah on Israel—and it did; and to China that it could daily threaten Taiwan and send a spy balloon across the United States with impunity—and it did.

The result was the current global chaos perhaps not seen since the late 1930s when a confused United States was similarly a bystander to the rise of bellicose regimes and wars. The Biden administration shrugged that the Red Sea, the Black Sea, the South China Sea, the Straits of Hormuz, and the Eastern Mediterranean Sea all became dangerous to the U.S. Navy and unsafe to world shipping.

A disparate group of nuclear and near-nuclear powers—Russia, China, North Korea, and Iran—are either at war with Western allies or threatening war with them. Their confidence was predicated on the assumption that the U.S. after 2020 was engaged in a Maoist-like cultural revolution that warred on its own security, energy, military, universities, and social unity—and would continue with a second Biden term.

The Biden-era cultural revolution has done great damage to the United States. The U.S. border was systematically and deliberately destroyed to allow some 10-12 million illegal entrants to pour into the U.S. without legality or background checks. Never has an outgoing administration spitefully sold taxpayer-purchased border wall material for pennies on the dollar—rather than see it used for the purposes for which it was purchased.

Never had the U.S. experienced such an immigrant surge. And never had more than 50 million, and over 15 percent of the resident American population been foreign-born.

Why did Biden and Alejandro Mayorkas erase the border? What madness and hate drove them to dismantle federal immigration law? Was it sheer nihilism? Or a desperate but calculated effort to alter American demography for political purposes?

For four years, the public, elected officials, and pundits have all warned that Joe Biden was dangerously cognitively challenged and indeed completely unfit to fulfill the duties of the presidency.

A long-suffering nation winced as Biden slurred his words, spoke in unintelligible sound bites, stood frozen and mute, screamed at and libeled half the country, tripped, fell, wandered aimlessly, became bewildered, and more or less proved a global embarrassment. All knew Biden was not able to run the country; yet none knew exactly who was actually in charge of America in his stead. The Obamas? Leftists like Bernie Sanders, Elizabeth Warren, the Squad, Jill Biden, and the Biden staff?

Our allies worried that the usually resilient American president was now all but demented. Our enemies enjoyed these leaderless years of opportunity. And the left serially misled the public that the decrepit Biden, whom they feared in private was senile, was “dynamic,” “energic,” and “fit as a fiddle.”

Never has a president so deserved to be removed by the 25th Amendment or through impeachment and conviction. And never has even his inner circle finally but silently agreed as they left office, the very enablers who had done their political best to mask his dementia for four long years.

Never has the justice system, from local to state to national jurisdictions, so systematically and coordinately, sought to bankrupt, render inert, and jail an ex-president and current presidential candidate.

Rarely have the FBI, the CIA, the IRS, the Department of Justice, and the Pentagon become weaponized and so flagrantly and with impunity broken the law, abandoned their mission statements, and served political agendas rather than the American people. Not since the J. Edgar Hoover era has the FBI hierarchy serially lied under oath, stonewalled Congress, forged a court affidavit, or partnered with the media to suppress the news. Has the FBI ever raided an ex-president’s home, spied on parents at school board meetings, monitored Catholics, or tried to terrify and harass pro-life activists?

Never has a presidential family so brazenly profited by selling its influence to foreign interests. Never has it used the powers of the FBI and DOJ to cover up its crimes and to ensure the family filial bagman would be for years exempted by the DOJ and later pardoned by the president himself, the father of the family miscreant and privy to the family syndicate’s illegal activities.

Seldom has a president and his administration sought to fuel a veritable cultural revolution to change the fabric of the nation by institutionalizing a third, transexual gender, violating civil rights law, and systematically admitting, hiring, and promoting Americans on the basis of their race and gender.

Never since the Civil War era had local and state insurrectionist governments established 600 nullification zones, in which they vowed to break federal law and consider it null and void within their jurisdictions. Never have rioters looted, burned, killed, assaulted, and occupied large swaths of cities for over 120 days, and largely with impunity.

Never had the U.S. Treasury borrowed so much money so quickly and owed $37 in national debt—and been so intent on borrowing continuously nearly $2 trillion a year in annual deficits.

Never has a political party sought to systematically violate long-standing traditions, customs, and often the law itself to destroy a political opponent: hiring a foreign national to spread smears among the media and bureaucracies, impeaching a president twice, trying an ex-president in the Senate, seeking to remove a presidential candidate from 16 state ballots, using five different judicial jurisdictions to try an ex-president, and serially so defaming a candidate and ex-president as a dictator, fascist, and Nazi to create a climate that encouraged two near-miss assassination attempts on him.

In sum, for the last four years, the world has watched aghast as the United States lost its collective mind and became a radical Jacobin revolutionary society.

So why is there not a sense of almost ecstatic relief, not just among conservatives but even among Democrats, that the years of darkness and madness are ending?

The global public believes that the United States will again become lawful, have a secure border, return as a beacon of free-market economics, protect its allies, deter its enemies, win over its neutrals, return to the rule of law, restore the professionalism and prestige of its government agencies, check predatory nations abroad with a new deterrent military, and prepare to lead the world in energy production, exploration of space, and scientific and technology development.

Summed up, the welcomed counterrevolution is one of restoration—to dream again that nothing is impossible, and the dreary age of stasis, envy, cynicism, and nihilism is ending, replaced again by a world without limits. No one knows quite what is ahead, but all know that it is at least better already than the current nightmare.

In 1988, Televangelist Jimmy Swaggart admitted to cheating on his wife with a prostitute. He tearfully broke down on Television and claimed he had sinned because he visited a sex worker.

main qimg 9f38cfee63125293d8d8ae24e25e19e8
main qimg 9f38cfee63125293d8d8ae24e25e19e8

That should have been game over for his “ministry”. He asked people to donate money to him for the work he did “for the Lord” and he preached about morality and the evils of things like rock and roll. And then he used some of the money people gave him to pay a prostitute.

So that should have been the end of him as a televangelist and he should never been able to beg for money on TV again with any kind of credibility.

But three years later he was still running a ministry and still getting people to donate money.

In 1991 he was caught with another prostitute, this time in his car. Rather than tearfully breaking down he said, and I quote, “The Lord told me it’s flat none of your business.”

And he just kept going. He keeps preaching about morality and people keep giving money to him.

Seriously people. If a guy gets caught cheating on his wife with prostitutes twice in three years, he’s not someone worth listening to on issues of personal morality and you should not be giving him any money.

Cornish Pasties

Originally from Wales, Scotland and England, these pasties were popular with the miners in the copper mining regions of Arizona.

b14bb82727af4739b771d9368a2eb4ef
b14bb82727af4739b771d9368a2eb4ef

Ingredients

  • 3 cups all-purpose flour
  • 1/2 teaspoon salt
  • 1 cup lard
  • 1/3 cup water (approximately)
  • 4 medium potatoes, pared
  • 2 medium onions, sliced
  • 1 pound beef round (no fat or gristle)
  • Butter, salt and pepper

Instructions

  1. Make pastry from flour, salt, lard and cold water, being careful not to make it too moist. It should hold together well enough to leave the sides of the bowl as mixed. Divide into four sections. Roll each out as for pie, keeping as round as possible.
  2. Place on one-half a circle a layer of thinly-sliced potatoes and onions.
  3. Cover with beef, cut into medium pieces.
  4. Top with butter, salt and pepper to taste.
  5. If desired, sprinkle with fresh chopped parsley.
  6. Fold unfilled half of crust over filling and seal by pinching with fingers or pressing tines of fork to make a half-moon.
  7. Cut a small hole in the center of each.
  8. Bake for about 30 minutes at 400 degrees F.

Cheating Wife Came Home SMILING After 1-Night Stand, INSTANTLY REGRETTED IT!

3 Dead, 7 Injured After Teenage Female Opens Fire At Wisconsin School

Tuesday, Dec 17, 2024 – 04:56 AM

Update 2 (7:00pm ET): The deceased girl shooter’s manifesto has reportedly leak, and according to Slatzism, here is an excerpt:

* * *

Update (4:20pm ET): The Abundant Life Christian School shooter in Madison, WI was a teenage female, CNN reports, adding that at this time, at least three people are dead including a teacher, a teenage student, and the female suspect shooter.

* * *

At least two people have been killed and seven others were injured at a shooting at a Christian school in Madison, Wisconsin, on Monday morning, local police and ABC News said. Madison Police Chief Shon Barnes said the suspected juvenile shooter was also found dead.

“This remains an active and ongoing investigation. More information will be released as it is available. We currently need people to avoid the area,” Madison police said.

Officers responded to a call about an active shooter at the Abundant Life Christian School at 10:57 a.m. local time, Barnes said.

“Our officers were responding to a call of an active shooter at the Abundant Life Christian School here in Madison,” Barnes said at a news conference. “When officers arrived, they found multiple victims suffering from gunshot wounds.”

Abundant Life is a K through 12th-grade school with about 400 students.

Barnes added in the news conference that he won’t provide any details on the victims, including their names or if they are staff or students, until their next of kin are notified.

“We are praying for the kids, educators, and entire Abundant Life school community as we await more information and are grateful for the first responders who are working quickly to respond,” Wisconsin Gov. Tony Evers said in a statement on social media.

Sen. Ron Johnson (R-Wis.) wrote on social media: “My sincere condolences and prayers for all the victims of the tragedy at Abundant Life Christian School. I will continue to closely monitor the situation.”

And Sen. Tammy Baldwin (D-Wis.) also said, “I have been briefed on the active shooting at Abundant Life Christian School in Madison and my heart goes out to all those impacted. My office is in touch with local and state officials, and I stand ready to assist law enforcement and anyone affected.”

Men want PEACE W0men want revenge & to punish. Men walk away for self preservation!

One of many battles going on in the West today. But you know, it will all settle down.

Eventually.

Coconut Octopus

Submitted into Contest #207 in response to: A journalist has been granted permission to visit the premises of a lab carrying out top-secret work. They could never have anticipated what they’d find… view prompt

Khadija S. Mohammad

“Octopuses?” Thomas spluttered.

 

The girl smiled. “Popular misconception. Logically, the plural is octopodes. Although publicly it’s still octopuses.” He rubbed the back of his head. Try to unearth the biggest scientific secret of the century, and all you end up with is a headache and a lesson in octopus plurals.

 

“Come with me, our secret is in here.” She was laughing at him?

 

He followed her through a wide door and into a glaring white chamber. As his eyes grew accustomed to the light he noticed boxes of blue and other bright colours dotting the room. Octopus tanks.

 

The girl strode towards the farthest wall, avoiding the tanks and other obstacles with ease. Thomas was not so lucky; His natural clumsiness asserted itself, and by the time he stood next to her, three items were displaced, and one was broken. He was vaguely aware of a white figure glaring at his back as it cleaned up the mess.

 

In front of them, a blank wall. The girl leaned into the wall and placed her eye in front of a hidden sensor. Slowly, the protective covering went up and what faced them was another octopus tank. “This is Hàixiū,” she said, putting her hand against the glass. Thomas spotted a small jellyfish-like shape in one corner. He pointed at it.

 

“Yes, that’s her. Hàixiū is Chinese for ‘shy’.”

 

A small squeak came from the corner of the room. Thomas turned and saw a silver-rimmed octopus tank with a young man standing in front of it, looking like something from a black-and-white movie. His skin was pale, almost white, and his clothes were black and grey. He squeaked at the octopus in front of him, and leant close to the tank, whispering like the breeze on a cold day. Thomas pointed at him and looked inquisitively at the girl.

 

“Hàorán. He’s a little mad,” she explained, lowering her voice. “Ever since he came here he’s been whispering to Kuàisù, his octopus. He seems to think that Kuàisù can learn to talk.” Thomas glanced back at Hàorán and wondered…

 

A man of about twenty walked in. “There you are Mimi. It’s time for Hàixiū’s injection.”

 

“Just a moment, Hui. Our journalist needs something to write about. Is it okay if he stays?” Hui nodded.

 

They put on gloves and found equipment while Thomas watched, occasionally glancing back at the tank. Hàixiū didn’t move.

 

“Turn on the Mush,” said Mimi. Thomas raised an eyebrow. “Just watch, it will explain itself.” Hui pressed a button on another wall and Thomas waited for something to happen.

 

Mimi pulled her gloves above her elbows and stretched her arms towards the tank. Thomas stared. Mush. Her hands just sank through it, the glass melting and moulding around them. The octopus flew – right to the other end of the tank.

 

“Come back here, you little monster,” Mimi said playfully. Hàixiū refused to come nearer, waiting until Mimi was just close enough to touch an arm with her fingertips before jetting away, pointing her suckers at them. And that’s what you are, she seemed to say. Not so much shy as cheeky, Thomas thought.

 

Hui talked while Mimi chased the elusive Hàixiū around the tank. “We take them out every day and inject them with Systimosin. It’s a kind of stimulant.”

 

“Got you!” said Mimi, grabbing hold of an arm and struggling to hold her still. “Hurry up Hui, she’s really strong.”

 

“Coming, coming.” He passed her a syringe filled with a thick, colourless liquid. “It was developed for the first time in this lab a few weeks ago. It supplies new connections in the octopodes’ brain, making it smarter. We’re also expecting it to give them a longer life span.”

 

Mimi injected the liquid into Hàixiū’s arm, then let go of her. “She’s developing faster than the others. They’re all developing faster than I expected.” Hui laughed.

 

“If you have any questions, ask Mimi. She’s the biggest octopus expert around here. I’m just the assistant.” Something is his voice – in the words he spoke? The way he spoke? – hit Thomas like a wave. He’d always been sensitive to emotions. If you don’t talk, you get to listen longer. And words aren’t the only thing you can listen to.

 

He put up a hand, a Wait, please sign; He wanted to write. He hoped his face would say what his hands couldn’t. He’d never been the best at polite talk. Or impolite talk.

 

Somehow they understood. Hui left. Mimi waited, then began walking him round a tour again, stopping at each new tank to add a special comment about the octopus inside.

 

“Each octopus is different,” she explained, “They each have their own personalities. This is Yonggan,” as they neared another tank where an octopus was attached to the glass. “We joke that he’s Hàixiū’s soulmate, the two are exact opposites. Yonggan is very playful; He loves new people. We expected him to develop the fastest, but Nature never makes things easy.” Thomas nodded and bent over his notebook as they walked on. “They’re each named after their most prominent traits, it makes them easier to place and helps when we forget which name is attached to which octopus.” He nodded again.

 

They walked on, carefully avoiding Hàorán’s corner, where he continued whispering to Kuàisù, ignoring them completely, or just not seeing them.

 

“Remember, this is top-secret work. No leaks,” Mimi said. Thomas rolled his eyes. I’m a journalist. Whatever I see, the public sees. Suddenly he bent over his notebook, writing something and ripping it out to show her.

 

What if one of the scientists leak?” She read. “That’s simple; They wouldn’t. I would trust any one of my crew with my life. We all trust each other.” Thomas wasn’t satisfied, but he kept quiet as they moved to the next tank.

 

“And this is Xiaochou, the clown. You wouldn’t think that he was nocturnal, would you?” Xiaochou was currently doing octopus backflips, front flips, and side flips.

 

“We always use Amphioctopus marginatus, the Coconut or Veined octopus, for our experiments. We tried using other types, but Systimosin doesn’t create intelligence, it just increases what’s already there, so they either showed no signs of developing or died when we tried increasing the dose.”

 

Thomas was confused. Surely she was fond of the creatures after working with them for weeks, but he couldn’t detect any emotions from her when she talked of their deaths.

 

“The government decided that it was too damaging to risk too many tests, so they only granted permission for 10 octopodes, 5 tests each. It’s at the edge of our limit; If an octopus died now…” She shivered. “Well, hopefully all goes to – ”

 

“Hàixiū is out!” The steady thud of feet on the spotless white floor, squeaks, squeals and more shouting. Only Hàorán stayed where he was, a surprised but smug grin on his face. Why, Thomas wondered. Somehow, the alleged madman fascinated him.

 

He followed Mimi back to Hàixiū’s tank, notebook forgotten, where a group of teuthologists stood in a loose circle, talking. He caught a few words. “- before we expected.”

 

“She’s certainly developing fast,” Mimi commented, “She wasn’t scheduled to escape for a few weeks.” Nobody seemed panicked. In fact, nobody was even looking for Hàixiū. It was as if they all knew that she would be back soon, like she was a colleague who had just gone out for a coffee and would return in a few minutes. Thomas stood awkwardly behind the group, staring absent-mindedly into Hàixiū’s empty tank.

 

“Hui?” Mimi called. Hui walked in and looked at her expectantly. “She should be near the vent in the test room, could you bring her in please?” Hui nodded and left.

 

He returned a minute later with a frozen look of panic on his face. “She’s not there.”

 

No one knew what to do. The chances of her not doing what they expected were a thousand to one. They froze.

 

Suddenly everyone was moving, and Thomas watched with an amused smile. This is what happens when you become too logical, he thought. Resuming his watch on the tank, he let his mind roam, headlines and front-page news drifting in and out of his consciousness.

 

“Where could she be?” “What’s happened to her?” “What if she’s got out?” “Why didn’t we calculate correctly?” People zipping back and forth, searching the rooms, searching the vents, making more calculations and searching again.

 

Half an hour later, the panic was broken, the problem still unsolved. In place of blind frenzy came desperation for some, depression for others. Some were sitting cross-legged on the floor, crying, knowing that Hàixiū was already dead, some continued stubbornly searching. Thomas was still glued to the glass, trying to appear oblivious to his surroundings, overwhelmed with the emotions that flooded the lab. A single octopus meant so much to them. In the passageway, a man was on his knees, praying.

 

As Thomas stared through the tank, something caught his eye. “Mimi,” he shouted, realising he didn’t know her last name. His voice was scratchy and thick with disuse. She lifted her head from her hands and looked around, unsure of who was calling her. “She’s here.”

 

Everyone crowded around him, following his finger to the small jellyfish-like shape in the corner. Mimi squealed with relief. Hui and another man slapped each other on the back. Tears were forgotten, driven away by almost hysterical laughter.

 

Thomas was confused, alone in his thoughts. Hàixiū had always been there; She hadn’t moved from when he first entered the room. And throughout the commotion that had followed her ‘disappearance’, no one had admitted coming near the tank. ‘Hàixiū is out’…

 

Safe in his corner, Hàorán laughed. Kuàisù had proved his worth.

Shorpy

SHORPY 4a13818a.preview
SHORPY 4a13818a.preview

SHORPY 5a20106u.preview
SHORPY 5a20106u.preview

SHORPY 5a29496u.preview
SHORPY 5a29496u.preview

SHORPY 5a29488u.preview
SHORPY 5a29488u.preview

SHORPY 4a24815a.preview
SHORPY 4a24815a.preview

SHORPY 1485.preview
SHORPY 1485.preview

SHORPY 1519.preview
SHORPY 1519.preview

SHORPY 1522.preview
SHORPY 1522.preview

SHORPY 1543A.preview
SHORPY 1543A.preview

SHORPY 4a25066a.preview
SHORPY 4a25066a.preview

SHORPY 1527.preview
SHORPY 1527.preview

SHORPY 1491.preview
SHORPY 1491.preview

SHORPY 1554.preview
SHORPY 1554.preview

SHORPY 8c23999a.preview
SHORPY 8c23999a.preview

SHORPY 8c24122a.preview
SHORPY 8c24122a.preview

SHORPY 1480.preview
SHORPY 1480.preview

SHORPY 1534.preview
SHORPY 1534.preview

2336 SHORPY.preview
2336 SHORPY.preview

SHORPY 1487.preview
SHORPY 1487.preview

SHORPY 1496A.preview
SHORPY 1496A.preview

SHORPY 1481.preview
SHORPY 1481.preview

SHORPY 1470.preview
SHORPY 1470.preview

SHORPY 1464.preview
SHORPY 1464.preview

SHORPY 1468.preview
SHORPY 1468.preview

SHORPY 1469.preview
SHORPY 1469.preview

SHORPY 1466.preview
SHORPY 1466.preview

SHORPY 1457.preview
SHORPY 1457.preview

World’s Smallest Violin Plays As “Depressed” Biden Bureaucrats Can’t Find New Jobs

Wednesday, Dec 18, 2024 – 07:25 AM

Authored by Steve Watson via Modernity.news,

This is a corker.

depressedinterns
depressedinterns

Politico is reporting that Biden Administration bureaucrats are depressed because they can’t find new jobs, and members of Biden’s “national security team” are “frantically” scrambling to find new careers before Trump dismantles the deep state.

“Our side is just battling depression while we update our resumes,” one White House official stated, while another staffer declared that “Everyone is willing to take a demotion because there aren’t enough jobs.”

Boo hoo. Cry harder.

While the higher ups are all abandoning ship for Defence contractors, think tanks and consulting firms, the lower level dogsbody bureaucrats are whinging that they face taking “unglamorous jobs” with pay cuts.

“There’s a lot of good career people here who went through the first Trump administration and are saying, ‘Can I really go through that again?’” said one Biden appointee at the State Department.

Oh my God, the hardship of having someone you don’t agree with running things.

“It’s going to be very saturated and crowded and so beggars can’t be choosers, I guess,” said another Biden State Department appointee, adding “The crazy thing is none of these jobs we’re desperate to get are particularly glamorous, unless you want to go lobby for some autocratic foreign governments.”

The world’s tiniest violin is playing for them.

Politico notes that “Wherever they land, a wave of Democratic national security and foreign policy staffers will continue the tradition of patiently treading water for four years until, just maybe, a Democrat can win the presidency again in 2028.”

Yeah. Maybe learn to tread water a lot longer.

Or perhaps learn to code.

Welcome to the real world, losers.

Get to the back of the line.

Trump is going to provide a lot of opportunities for you.

Maybe just don’t mention your last job on your resumé.

*  *  *

Nothing Like These Hidden Temples Exists Outside of the Films of Indiana Jones

By MessyNessy
November 14, 2024

damanhur
damanhur

damanhur

“Show us these temples or we will dynamite the entire hillside”, threatened Italy’s state prosecutor when police had failed to locate a rumoured network of mysterious chambers buried 30 metres in the foothills of the Alps. They had been dug by hand in complete secrecy in the 1970s under the direction of Oberto Airaudi, a philospher and artist who claimed to have had visions of ancient temples at age 10 from a previous life. The Temples of Humankind, a massive five-level complex of murals, mosaics, labyrinths and hidden doors is still only considered to be only 10% complete. When authorities finally discovered it in 1992, the architectural inspector for the Italian Heritage Ministry, said: “Nothing like this exists outside of the films of Indiana Jones.”

tempio azzurro 2
tempio azzurro 2

tempio_azzurro_2

Excavation began in 1978 by just fifteen followers of the Federation of Damanhur, a peaceful, spiritual commune founded as a social experiment a few years earlier, 30 miles north of the city of Turin.

damanhurhistory
damanhurhistory

damanhurhistory

“The first pick struck the rock on a warm August night. It was a Saturday evening in 1978. Oberto and about ten other Damanhurians sat around a fire … A large star fell across the sky… It was a positive sign; a good moment to begin to dig a tunnel into the mountain … to build a temple the likes of which had not existed for a thousand years or more … The Damanhurians worked intensely, tenaciously aroused by an enthusiasm that united all in the pleasure of group activity and the taste for secrecy. Secrecy because at this point they did not have permission to excavate.”

– TheTemples.org

temples14
temples14

temples14
Images (c) Damanhur

temples12
temples12

temples12
Images (c) Damanhur

templesindy 1
templesindy 1

templesindy

More than a decade after building began, the entire community was awoken early one morning in a violent police raid. Police dogs searched houses for drugs while helicopters circled the sky above. Unable to find anything incriminating (such as an illegally-constructed underground temple), police armed with machine guns presented the resident lawyer with a magistrates warrant, stating an alleged tax evasion of 50,000,000 Lire (around $30,000). The charges were unsubstantiated but over the years the reclusive community had attracted local suspicion and negative attention from the press for its unusual practices.

17894818188 c7f0f0f828 b
17894818188 c7f0f0f828 b

17894818188_c7f0f0f828_b

Frequently labelled as a cult by outsiders, the group admittedly has some pretty far-out practices and holds a mix of New Age and neopagan beliefs. With its own constitution and currency, Damanhur also believes they are an experiment for the future, using technology bestowed upon them by the lost city of Atlantis.

17895054390 dc338951fe b
17895054390 dc338951fe b

17895054390_dc338951fe_b

Some of their more bizarre activities include playing music with plants to reflect their passion for nature and in the past they’ve even claimed to have unlocked the secrets to time travel, but Damanhur has always adamantly denied accusations that the community is a cult.

TEMPIO 1
TEMPIO 1

TEMPIO-1

A year after the first raid, police stormed the eco-society again, this time in search of the temples, armed with a map obtained from a disgruntled former member of Damanhur who had been trying to blackmail the community. But the map was outdated by more than a decade and police were unable to find any entrances. It wasn’t until authorities threatened to blow up the whole mountain that the commune finally decided to give in and show the police their secret sanctuary.

temples15
temples15

temples15

 

Allegedly the policemen emerged from the tunnels an hour later, “tearful and overcome by the profound beauty of the Temples” and the prosecutor admitted to the founder Oberto Airaudi, “We must do something to save the Temples.” Even the police chief of the raid later became a great friend of the community.

temples16
temples16

temples16

On October 9, 1992 a press conference was held in Damanhur to announce the existence of the Temples of Humankind to the world, but its troubles weren’t over yet. The magnificent refuge built inside of a mountain was dubbed an 8th wonder of the world by the press while the Catholic Church immediately urged the local authorities to have it destroyed. Construction was ordered to cease and a long publicised court battle followed, but it only helped Damanhurians gain international support for the underground marvel they had created.

temples7
temples7

temples7

Eventually, the Italian government gave the community retroactive permission for their excavation and construction. The Temples are now open to the public and visitors are of course free to come and go, which would make the suggestion of cult activity more difficult to believe. The Damanhur website welcomes outside communities, saying it is open to sharing their knowledge and research and hosts thousands of visitors a year who participate in tours, seminars and courses through its own Damanhur University. This eco-society was even awarded by an agency of the United Nations as a model for a sustainable future.

15318360713 c86ce911b3 b
15318360713 c86ce911b3 b

15318360713_c86ce911b3_b

From here, it doesn’t look like we’re dealing with a bloodthirsty cult; no enslaved children for Indiana Jones to come and rescue– more like a bunch of Italian hippies who once had a really cool secret. Right?
You can take a virtual tour of The Temples of Humankind here. There’s also an old VBS documentary which takes you through the secret doors of the temple here.

Brian Proves Why These Women Are Gonna Be CAT Ladies

Of course it is! As long as one can see in win win and not win lose being set in the western Caucasian Anglo Saxon mindset.

To you guys China need to fail in order for US to win and vice versa. USA must killed all the Red Indians so that they get their land. You win and Red Indians lose! Or enslave Africans so that they get to toil for free to served you guys! Think about what if the 50 million Red Indians have grown to 150 million today and they helped to make America greater? That never went to their head.

So they had to destroy USSR to be the only hegemony in town! Or make Germany a dog to keep them down? And now to keep China poor thinking that will make them rich? How about China becoming 4 times as rich and buys 4 times of what you can sell? Or taking 4 times more burden shouldered by USA today? France and UK thought they could plunder the world and rob their colonies dry to build castles in France and UK, today, their wines, Brandy’s and perfumes sold the most in China not in France, UK or USA!

What if they see 4 China’s to get rich on? Or 4 China’s to profit from? But as a white Caucasian person you think you need to kill the one China to some how be richer? This view is wrong and perverse! It is archaic like your respective nations! I dare say if the US never attacked Vietnam and murder 3 million Vietnamese today their chance of being like the US and ally with them grew exponentially! And these 6–10 million more people will be your customers and friendly to America!

But some how you think killing them will make the US better and Vietnam worst? And so are the deaths of another 3 million Muslims in the Middle East. If that don’t happened you you have a stronger moral leadership!

What if you lose your phone?

Or your phone gets switched off?

main qimg 7f8e7d337c40f946c2e8020da3a29811
main qimg 7f8e7d337c40f946c2e8020da3a29811

No problems

You can use your PALM scan to make payments, withdraw money, transfer money and go by the local metro Or virtually anything else

So what if you are inebriated and someone scans your palm

You can secure against this by adding a security feature where the machine first needs the first digit and last four digits of your phone number and then approves your payment

You see these Taxis?

main qimg 8d5701b286861bb3160fd2414f0f12a8
main qimg 8d5701b286861bb3160fd2414f0f12a8

You need to just place your palm and the ride starts

It’s a Robo Taxi with no driver

You want to go to Hospital?

Just scan your palm or show your face and your entire insurance history comes up

So in an emergency no need of a phone or wallet

Your face is enough, Your palm is enough

I Know What You’re Thinking

“George, while you were in the bathroom, we received a call from the university for you.”

“I hope that they don’t want to take my degree away after having a second look at the papers I wrote way back when.”

“Very funny George. This is serious. Apparently there is a group of scientists at the university who have made what they called a great discovery. So far no outsiders know about this discovery, and they want you to interview them and observe the effectiveness of what they have invented.”

“Wow. Well I did take a first year foundational course in science when I was there, and I got a good mark, the highest mark that year for me.”

“No George, they were apparently quite impressed with the article you wrote about the strengths and weaknesses of electric cars and self-propelling lawnmowers.”

“When do they want me?”

“As soon as you can get there. They are quite eager to let the world know what they have discovered.”

George grabbed his camera and his recording device, and sprinted out of the office, into his car, and sped down to the university. Although it had been years since he was last on the campus, he found his way to the science building easily enough.

He was greeted on the main floor by a university security officer, and told to take the elevator to the top floor. George was surprised by what he saw when he arrived at his destination and the elevator door opened. There were two police officers standing there, one of whom asked him whether his name was George Stanley, and did he have ID on him to prove that he was who he said he was.  He replied in the positive, took out his wallet and showed the officer his driver’s license. He was then escorted by the two officers into the room that apparently housed the experiment. Everyone in the room except for the officers, and, of course, himself, wore long white lab coats.

The one who seemed to be the leader approached him and said, “Before we explain what it is that we have discovered, we are going to demonstrate it to you, with you as the research subject.”

George began to feel a little nervous at this point. The leader then reassured him that this experiment would be painless. “I am just going to expose you to some electro-magnetic waves, that will pair up with the waves created by your brain. What we are going to show you is our lie detector. I am pretty sure that you are about to tell me that such a device has already been developed, but it really has not. What was previously invented is what we call a psychophysiological detector of deceptions, and it is not infallible. It is more an intimidator that it is a detector – no intimidation, no detection.

For our invention to work we have to influence a person’s brainwaves with the waves from our machine. Now stand still. What I want you to do after I send the waves your way is to have you say what colour comes into your mind. If it is the same one that we have programmed our wave machine to send your way, then the two of you are connected. And we will be able to catch you in a lie.

George was usually a big talker, with a sarcastic comment for every occasion. In this case he was more than a little stunned by the experience, and said nothing. When the device was turned on, he heard a kind of buzzing in his brain, that had lasted a few seconds, later to fade away. Then the scientist pointed the device at him again, and there was a different tone of buzzing, followed by the word ‘brown’ resounding in his head several times.

“Okay, George, what was the colour?”

After a few seconds, in which George seriously contemplated giving a wrong answer, he said “brown.”

“Right! Now George, we are going to ask you a question, to which you can lie or tell the truth. We will tell you which one it is. George, are you married?”

George said that he was, and the lead scientist correctly replied with “That’s a lie”. George just nodded his head in response.

“We are now going to explain to you how it works. Let us know if you don’t understand. It is highly technical of course.”

George then asked a question, “Are you not going to de-program me first?”

The reply hit him like a hammer blow.

“Oh, we cannot do that. We tried, but could not find a way to do that, so we ended that part of the research. We feel that it would be relatively harmless to keep people programmed, as we will have absolute control of the devices. There will be no misuse.”

George recorded the explanations of different aspects of the technology, so his complete attention was on what he was learning. He had the distinct impression that when he did not quite get what they were saying, they would repeat what they had said slowly and with more explanation.

When he was about to leave, the lead scientist asks him a peculiar question. “When are you going to be writing this piece?” He replied by telling the man that he always wrote his articles as soon as he arrived at work at nine o’clock in the morning, as he was a ‘morning person’. He gave his standard joke about that saying, “I never wrote anything intelligent in the afternoon.”

After he had left and was driving back to the newspaper office, he wondered that the repetition of the explanations that he didn’t quite follow was the product of their ‘reading his mind’. He wondered how far he would have to go to be beyond their range. Or would there be no ‘beyond the range’. He knew from his regular reading of scientific journals that electro-magnetic waves were used to travel far into space. Travelling on earth should not  then pose a significant challenge to their use.

When he got back to the newspaper office, he went straight away to talk to the editor to explain the problem he would have with writing an unbiased article on the research. The editor, whom George respected for his intelligence, particularly as it was demonstrated in his ability to write meaningful articles, presented him with a strategy that could resolve his problem.

He followed it to the letter. The next morning, at precisely nine o’clock, he first wrote an article that was solidly supportive of the research, and the researchers. Minutes after he was finished the draft copy of this piece, he received a phone call from the lead researcher, who stated that he was sure that whatever he wrote would be fine with them. He should not worry about “getting it right”.

Then, as the editor had suggested, he wrote what he truly felt, giving the article the title “Do you want to be programmed?” It had a powerful impact on the readers. The research ethics committee of the university soon declared that they would cut the funding of the project until such time as they found a way to deprogram those who were subjected to the waves. The committee asked George whether he wanted to be a research subject, as he was one of the very few who had been programmed, the others being university students who had badly needed the money. While he was apprehensive of the scientists ‘messing with my mind’, particularly after the critical article that he wrote, he agreed to participate as he wanted his brain purged of the effect of the waves. When he made his return to their research room, his first words were “I know what you’re thinking.” There was an initial silence. Then they laughed.

This is what “flyover America” looks like. Nick Johnson has toured the United States, and has filmed what “middle Class” America looks like today. The sight is horrible. It is a car crash; a train wreck. It is a scene of near complete destruction and desolation. This video is both mesmerizing and disgusting. You just cannot pull your eyes off of the “exceptional United States”.

I wish that I could show some hope, but it’s gone. Long gone. There just ain’t anything left.

The good news is that a civilization, a person, a relationship MUST be completely destroyed and ground into the dust before it has any hope of growing back again.

Say you are a Car Company

You have a $ 5 Billion Investment in Canada, you have 18,500 workers in Canada and you have invested various profits you make, back into Canada

One day someone tells you that your cars costing $ 46,200 now costs $ 53,668 due to a 25% Tariff on the import price

The Customer has to pay $ 7,468 extra to buy your car

That’s an extra $ 221.72 a month he has to pay in Car Finance installments

So would that make you wrap up your $ 5 Billion Investment, sell your investments, cut your 18,500 workers and move to the US and start all over again?

It would cost you almost $ 10 Billion

So you would rather lose sales of upto 132,000 cars a year and lose $ 206 Million in Annual Profits

Much cheaper than uprooting your entire manufacturing and incurring a $ 10 Billion bill

Especially when you know Trump 2.0 is only for Four Years

Better lose $ 824 Million in 4 years versus spend $ 10 Billion

Thats the end result

Every exporter will embrace themselves for a cut in profits for four years

However US Consumers will pay higher prices that they would be forced to pay since they still have no alternative cheaper choice within the US


Unless US can replace these imports with local, cheaper alternates

This is inevitable

Only US Consumers will be affected badly and their buying power will reduce which will cut some profits to the Exporters

Still a far more affordable option than relocating entirely to US

Corn Chip Tamale Loaf

3a0ddc31b44fc5062fe282df5cbc261d
3a0ddc31b44fc5062fe282df5cbc261d

Yield: 6 servings

Ingredients

  • 1 (16 ounce) can cream-style corn
  • 4 ounces corn chips
  • 2 teaspoons salt
  • 1/4 teaspoon black pepper
  • 1/4 teaspoon Mexican oregano
  • 1 clove garlic, minced
  • 1/2 pound ground chuck
  • 2 tablespoons vegetable oil
  • 1 tablespoon chili powder
  • 1 1/4 cans canned tomatoes
  • 2 eggs, beaten
  • 24 ripe olives

Instructions

  1. Heat oven to 350 degrees F.
  2. Combine corn, corn chips, salt, pepper and oregano.
  3. Sauté garlic and meat in oil; add chili powder and tomatoes. Simmer for 5 minutes.
  4. Combine with first mixture. Add eggs and olives.
  5. Bake in a greased loaf pan for 1 hour.

The sea will kill you instantly if you do things wrong. There is no mercy at all.

Most people go around dreaming about a round-the-world sail, with your family and everyone tearing happily along. Like this:

main qimg 0ba0ba7b2581e30779ba717fe0b56aa8 lq
main qimg 0ba0ba7b2581e30779ba717fe0b56aa8 lq

Problem is that the oceans only behave like this part of the time. Without very much warning, things can swiftly turn into something like this:

main qimg 403de648c0f01bf730359e746533a9f8 lq
main qimg 403de648c0f01bf730359e746533a9f8 lq

«Ah» you say – «we’ve got weather satellites and technology and GPS and everything, can’t be that bad!»

And that might easily qualify as «famous last words»

The sea CAN be a beautiful place, but that’s only part of the picture. So many times have I been surprised by unannounced terrible weather, that I will forever flatly refuse any romantic notion of a round-the-world family cruise with anything less than a sturdy ship.

Here’s a 100m cargo ship in a perfectly normal storm. What would a 12m sailboat do in such weather?

main qimg d4943033ab7de57521863262ef015bac lq
main qimg d4943033ab7de57521863262ef015bac lq

Let’s talk about some news

Biden Lied About Everything: Philly Fed Finds All Jobs “Created” In Q2 Were Fake

Tuesday, Dec 17, 2024 – 06:00 AM

Back in August, many were surprised by the accuracy of our forecast, when we predicted that in its annual revision, the Biden Bureau of Labor Statistics would revise jobs for the April 2023-March 2024 period by “up to 1 million”, something which we said would mean that all job report “beats” recorded in the past year will have been misses and the US labor market is in far worse shape than the admin would admit.

The final results, as everyone knows by now, was a shocking 818K revision lower, just as the Philadelphia Fed had predicted 6 months prior, in March, when it calculated correctly that the Biden Department of Goalseeking Propaganda had overstated payrolls by “at least 800,000.”

The answer ended up 818,000 for the 12 month period ended March 31 (or about 68,000 per month) and the implied sharp deterioration to the job market was the main scapegoat used by the Fed to launch its easing cycle with a jumbo 50bps rate cut (now that “suddenly” the economic golden age pushed by the Biden propaganda regime, and trillions in debt, had just collapsed).

We mention all of this up because on Friday, the Philly Fed served up its latest shocker: not only did the Biden admin lie again, but the collapse in the labor market that had been covered up for much of the past year and was only exposed with the annual benchmark revision, extended into the second quarter.

“Estimates by the Federal Reserve Bank of Philadelphia indicate that the employment changes from March through June 2024 were significantly different” – read lower – “in 27 states compared with preliminary state estimates from the Bureau of Labor Statistics’ (BLS) Current Employment Statistics (CES)”, the Philly Fed said on December 12.

“According to the early benchmark (EB) estimates conducted by the Phily Fed, employment was lower in 25 states, higher in two states, and lesser changes in the remaining 23 states and the District of Columbia.”

Translation: 23 states unchanged, 1 revised higher…  and 25 lower. The breakdown is shown below.

states revised philly
states revised philly

Maybe someone can calculate what the odds of that distribution occurring naturally are, but here is our guess: virtually nil. Which is why would make such a loud stink every month after the Biden BLS revised jobs data lower month after month after month. The whole point was to make the labor market appear stronger than it was, then to gradually revised it all away. And now the Philadelphia Fed confirms – again – that we were right all along.

And so, after it first revised the 12 months ending March 31 by 818K, the downgrads extended into the second quarter of 2024, when the Philadelphia Fed early benchmark estimates showed that instead of the 1.1% gain shown initially by the BLS, payroll jobs in the 50 states and the District of Columbia were actually down 0.1%!

US job revisions 0
US job revisions 0

By state, the regional Fed bank estimates that largest revision of employment for the nine-month period ended in June will come from California, where it sees a downward revision of 172,700 jobs. Payrolls in Texas may be revised down by 112,100. An extended forecast by the BLS to the third quarter show further declines as well.

And while we don’t yet know the specifics of the revisions – those will be revealed on Feb 7, 2025 when the final numbers are published – at the national level, we do know that all the jobs reportedly “created” in the second quarter, were actually fake, there were no net jobs created at all, and in fact, the US lost jobs in Q2!

monthly nonfarms
monthly nonfarms

Translation: in his latest attempt to create an impression of economic growth, Biden lied about everything, again.

Source: Philly Fed

I drink alcohol every day. Not all day. From lunchtime. I have a couple then do housework or nap or write. Then wait for my partner and we eat and socialize together.

For me it is an enjoyable habit that most would frown upon. The “most” being people who smoke pot, have never worked, are obese due to unrecognized food addiction, who have their own little addictions they wouldn’t admit to. Porn. Sugar. That sort of thing.

I like Gin & Tonic. Nothing else unless out socializing and then I like bourbon. Im in bed for 9. Up at 5. I walk & run daily between 7&14k steps. My homes are clean and tidy. I cook every day delicious food. I am “retired” now at 44 because I did my 25 yrs working full time. I don’t like people enough to work for them anymore. Thanks to inheritence and a business plan for holiday rentals, and having 4 books out, I don’t have to work traditionally. But I’m not lazy or unclean or chaotic.

My partner and I have fun. Are active sexually. I’m not sick. I don’t pee the bed. I don’t fall asleep in odd places or find myself in risk situations. We go places. I’m not some isolated blubbering wreck.

I’m ambitious and will finish renovating this villa and buy 1 or 2 more. I like being busy but on my terms.

People like to judge or imagine drinkers as ugly unhealthy fat losers. That’s not drink. That’s personality.

My personality is busy, happy, outgoing, but I’m also an introvert. Booze doesn’t change me. The only time booze has been an issue is if I’m already deeply stressed and upset about something and the booze makes me impulsive. But maybe a couple times a year?

I’d act out when sober in just same way.

main qimg 7baf0f63a3a0a3993c9f2e35eca34ae4
main qimg 7baf0f63a3a0a3993c9f2e35eca34ae4

Does a thief/robber care about the victim? Of course not. Below is my earlier post.

There are many reasons why Trump 2.0 imposes high tariff on ALL countries in the world. Below is 1 reason.

The big picture: Elon Musk said US economy is collapsing. Its debts is sky high at $36 tn as of 2024/11. With a skyrocket speed to increase debt from $10 tn in 2008, to $20 tn in 2016, to $36 tn in 2024.

USA has 2 deficits: budget deficit (ie overspending) & trade deficit due to deindustrialisation. In 2024, US budget deficit is $1.9 tn ie 125% of GDP. US trade deficit with China, alone, is US$800 billion. US has trade deficit with most countries in the world.

With $6.74 tn of bonds (ie 1/6 of total $36 tn) expiring in 2025 + $1.9 tn budget deficit in 2024, USA must borrow again & will increase US debt by $8.64 tn in 2025. Minimum.

Just paying interest on the debts already costs USA $882 billion in 2024 ie $3 bn per DAY (source: US Treasury Dept). Its debt increases by $8.7 bn per 24 hours. … indeed rocket speed. E.Musk was not joking when he said US is broke.

USA makes tons of $$$ from wars. But wars only benefit MIC & Wall Street. Not USA the country because the rich dont pay tax. Thus USA must rob others thru tariff, regardless allies or not.

Trump 1.0 ended Syrian war. Then illegally occupied Syrian oil field ie rob Syrian oil (80% production). Who pockets the Syrian oil money? US gov or MIC? USA robs Iraqi oil too after Iraqi war.

Tariff causes inflation. Without cheap goods from China & Mexico, US inflation will be sky high too.

Yet, Trump 2.0 imposes crazily high tariff on ALL countries = violently rob them to feed USA like mafia in movie. Because USA is truly broke.

Inside USA, tariff on foreign country = tax increase on Americans because foreign sellers will add (part of) the tariff to the sale price of their exported goods to USA. In Trump 1.0, 90% of tariff was added to the sale price by foreign sellers.

In both Trump 1.0 & 2.0, Trump has & will decrease tax to attract votes. How to recover the loss of revenue incurred from tax decrease? Use tariff to cause inflation so that all Americans pay a bit ie use tariff to disguise tax increase.

We must understand: 60% tariff on Chinese imports & 20% on smaller countries is crazily unreasonable. Not many firms can make 60% of profit. Not even 20% for small firms/countries. Nobody will do business with no profit. Thus, decouple & stop/reduce sale to USA is the only option.

In fact, decoupling may be the plan of Trump 2.0. Trump may want USA to start all over again by manufacturing its own products from toilet paper to Trump’s campaign cap to washer etc. Trump wants everything to be made in USA.

US wage is higher than southeast Asia. That is Made-in-USA is more expensive. Trouble is whether USA will increase the wage to catch up with the inflated consumer products. Otherwise Americans will become poorer.

Trump 1.0 failed to attract US investors back to USA. Some still stayed in China. Some moved from China to, say, Thailand to do a finish touch on the Chinese products. This disguise of made-in-Thailand products also pushes up the American consumer price.

Let us watch Trump 2.0 to roll out.

All Three Pillars Holding Up The Economy Have Cracked

Wednesday, Dec 18, 2024 – 05:40 AM

Authored by Charles Hugh Smith via OfTwoMinds blog,

All three pillars propping up workforce spending are cracking. Plan accordingly.

Karl Marx and Henry Ford both understood the key pillar of an industrial economy: the workforce has to earn enough to buy the output of the economy. If the workforce doesn’t earn enough to have surplus earnings to spend on the enormous output of an industrial economy, then the producers cannot sell their goods / services at a profit, except to the few at the top as luxury goods–and that’s not an industrial economy, it’s a feudal economy of very limited scope.

Marx recognized that capitalism is a self-liquidating system as capital has the power to squeeze wages even as the output of an industrial economy steadily increases due to automation, technology, etc.

Henry Ford understood that if his own workforce couldn’t afford to buy the cars rolling off the assembly line, then his ambition to sell a car to every household was an unreachable chimera. (There were other factors, of course; the work was so brutal and mind-numbing that Ford had to pay more just to keep workers from quitting.)

If we say the three pillars holding up the economy, the conventional list is: 1) consumer spending (i.e. aggregate demand); 2) productivity and 3) corporate profits. These are not actually pillars, they are outcomes of the core pillar, wage earners making enough to buy the economy’s output.

As the statistics often cited here show, the purchasing power of wages has been declining for almost 50 years, since the mid-1970s. This means the workforce’s surplus earnings have bought less and less of the economy’s output.

There are three ways to fill the widening gap that’s opened between what the workforce has to spend as surplus earnings and the vast output of the economy:

1. Government distributed money. The government distributes “free money” to the workforce via subsidies, tax cuts and credits, or direct cash disbursements.

2. Cheap abundant credit. The cost of credit is lowered to near-zero and credit is made available to virtually the entire workforce so workers can borrow money to buy goods and services they cannot afford to buy from surplus earnings. If auto loans are 1.9%, the interest is a trivial sum annually.

3. Asset bubbles. Boost the value of assets via monetary policies to generate unearned “wealth” that can be spent (by either borrowing against the newfound wealth or by selling assets). This expansion of “free money” also generates the “wealth effect,” the feel-good high of feeling richer, which increases the confidence and desire to spend more money.

There are intrinsic, unbreachable limits to each of these solutions.

1. The government either “prints” or borrows the money it distributes to the workforce. Over time, low interest rates are unsustainable, despite claims to the contrary, and the interest paid on the state’s vast borrowing consumes so much of the state’s revenues that it starts limiting how much the government can spend. Once state spending stagnates or declines, this pillar breaks and the economy crumbles into recession / depression.

In other words, depending on the government to fill the gap between wages and the economy’s output is a self-liquidating system.

2. The expansion of credit leads to defaults and bankruptcies. Relying on the ceaseless expansion of credit based on the declining purchasing power of wages is also a self-liquidating system, as the number of marginal borrowers steadily increases, as does the volume of marginal loans issued by lenders. Marginal borrowers default, triggering losses that push lenders into bankruptcy. This is a self-reinforcing cycle, as the economy rolls over into recession as credit contracts. More workers lose their jobs and default, more loans become uncollectible, and so on.

3. Asset bubbles concentrate the newfound wealth in the top 10%, exacerbating wealth-income inequality and pushing those left behind to gamble in an increasingly speculative financial sector as the only available means of getting ahead. Speculation is also a self-liquidating system as risky bets eventually go bad and the losses trigger a self-reinforcing feedback of selling assets to raise cash which then pushes valuations lower, triggering more selling, and so on.

All three of these pillars propping up the economy are self-liquidating systems, and they’re all buckling. Federal borrowing is pushing up against the limits posed by the interest payments on soaring debt. Credit costs are rising and cannot return to near-zero due to inflationary forces. All asset bubbles eventually pop, and the higher they ascend, the more devastating the collapse.

Wages’ share of the economy have been in structural decline since 1975:

wages share4 24a (2) 2
wages share4 24a (2) 2

Federal debt: and no, we can’t “grow our way out of debt” by inflating asset bubbles and subsidizing consumer spending with federal debt:

federal debt6 24a 1
federal debt6 24a 1

Total debt, public and private: the acme of a self-liquidating system:

TCMDO6 24a (1) 1
TCMDO6 24a (1) 1

The pillars of consumer credit and federal borrowing are reaching intrinsic breaking points, and so everything is now depending on the asset bubbles in housing and stocks to keep inflating phantom wealth at rates high enough to support more borrowing and spending.

The problem is all asset bubbles pop, despite claims that “this is a new era.” That was widely held in March 2000, too, just before the dot-com bubble burst and the Nasdaq fell 80%.

dot com bubble2 2
dot com bubble2 2

All three pillars propping up workforce spending are cracking. Plan accordingly.

40 Brutal Truths Men Wished Women Knew

On the smells of California

I visited Vietnam for 8 days recently

No comparison whatsoever

Here are some points :-

#1 Vietnam has virtually NO supply chain

Every factory in Vietnam runs on Chinese Machines most of the time Or in some cases German Machines

Most of the parts for Final Or Secondary Assembly come from China

#2 Most of the Industry is still Low Grade

The Largest Four Factories in the Mekong region make Textiles, Textiles, Bakelite Moulds for Phones & Cardboard Boxes

Vietnamese Industry is close to 90% Low Grade and 10% Medium Grade – similar to what China was between 2003–2007

#3 Vietnam has a Pretty Low Supervisory Force

Vietnam has a some Engineers educated in places like Singapore but even so 80% Supervisors are Chinese

Vietnam as yet don’t have the volume of Skilled Workers that is needed to migrate to Medium Or High Grade Manufacture


However some positives include

A. Vietnam has a decent Skilled Labor Force and a lot of women laborers

B. Vietnam has 15 Industrial Parks where they now make Mid Quality Products like Branded Razor Blades & I Pads

However Vietnam lacks the Logistics & Supply Chain potential of China by a very long way off

A Soft Murmur

A customizable background noise generator. You can mix different sounds like rain, wind, and fire to create the perfect ambient noise for work or relaxation.

A soft murmur

Some examples of the content…

screen 2024 12 10 10 25 03
screen 2024 12 10 10 25 03

The New Reality of American Oligarchy

Roger Boyd

I am putting together a piece that will cover the happenings of this December, to provide a stock taking prior to Trump’s inauguration. The Western security state has been very busy attempting to get things in place before Trump comes to power, and there are also many other significant changes to be taken into account. There is a mix of imperial losses, the delay of probable losses and the odd victory; what one would expect from a deteriorating empire. It is important to understand the underlying trend and not get lost in the noise. The piece below covers the reality of the rule of the US by an increasingly small group of the billionaire class, exemplified by the Trump administration and its donor class.

The US elite neoliberal revolution that was fully launched in the 1970s has now arrived at its logical conclusion, with a very small group of billionaire and multi-billionaire oligarchs utterly controlling the government through political donations. A type of outright bribery fully legalized by the Supreme Court in a number of judgements that started with the 1976 Buckley vs. Veleo case, found full force with the 2010 Citizen’s United vs. FEC case and continued with the 2014 McCutcheon vs. FEC case. With political bribes and concentrated money attacks on progressive (and anti-Zionist) candidates now legally defined as protected free speech, combined with the massive concentration of wealth at the very top of wealth pyramid, US politicians are now fully courtiers of the 0.001%; a few thousand US citizens (and that’s counting their spouses and children).

The Washington Post blithely displayed this reality as it detailed how 45% of all campaign contributions came from fifty billionaires (US$1.6 billion to Republicans, US$0.75 billion to Democrats), and that does not count all the “dark money” political pools that act independently and actively hide their funders. Some of the oligarch billionaires:

  1. Timothy Mellon, Railroad Magnate and Heir (part of the Mellon dynasty): US$197 million
  2. Richard & Elisabeth Uihlein, Shipping Supplies Magnates (part of the Uihlein dynasty that owned the Schlitz Brewing Company): US$139 million
  3. Miriam Adelson, Widow of Casino Magnate Sheldon Adelson and arch Jewish Zionist (served in the Israeli army and has Israeli citizenship): US$136 million
  4. Elon Musk, Transportation Entrepreneur, owner of Twitter/X and currently richest man in the world (born into the wealthy South African Musk family), forced to bow down to the Zionists: US$132 million
  5. Kenneth Griffin, Hedge Fund Manager (born into a wealthy family): US$104 million
  6. Jeff & Janine Yass, Financial Trader and arch Jewish Zionist: US$96 million
  7. Paul Singer, Hedge Fund Manager and Jewish “rabid Zionist”: US$63 million
  8. Michal Bloomberg, Financial Information Provider (founder of Bloomberg) and Jewish Zionist: US$47 million
  9. Stephen & Christine Schwarzman, Investors (founder of the Blackstone Group) and Jewish Zionists: US$40 million
  10. Dustin Moscowitz, Facebook co-founder and Jewish: US$39 million

US$993 million from just 10 donors, out of a total of US$2.5 billion for the top 50 billionaire contributors. Even among the billionaire class, wealth and political contributions are concentrated near the top! Imagine how much clout this concentration of wealth and political donations gives these ten donors over the US political courtier class. Out of those ten, five are Jewish Zionists, one is Jewish, and another was forced by his advertisers to bow down to the Zionist regime. The other US billionaires benefit from Israel’s role of disciplining the Middle East and supplying operatives for so many dirty political operations around the world, so there are very few that oppose the Zionist regime’s actions. No wonder nearly every Trump nominee seems to spout Make Israel Great Again more than Make America Great Again. He is bought and paid for by Zionist money, and most especially Miriam Adelson.

Following in the foot steps of her shady husband, who made most of his money in Macau where Chinese organized crime is rampant.

Of course, the Democrats have been all in on the Zionist genocide and happily invited Netanyahu to speak to the US political courtier class during the genocide. And Biden’s cabinet was extensively stocked with Zionists.

Another thing that these donors share is an utter distastefulness for being taxed, and their tax dollars “wasted” on the “unworthy”; some much more rabidly than others. Five made their money in finance, one from social media, one from shipping supplies, one from railroads (which he inherited), and one from Casinos (inevitably involving linkages with organized crime, just like Trump with his casinos). Only one is involved in manufacturing; very much representative of the new US wealth. Tax cuts are always on the agenda, never tax rises (for the rich), and the regulation of the financial industry (especially for hedge funds and private equity) is hardly ever on the table; only post-2008 was some window dressing regulatory legislation required. They all live lives that are utterly disconnected from the lives of even multi-millionaires, let alone the average American.

The oligarch billionaire class is also becoming increasingly embedded with the security state, and adept at utilizing political donations to have themselves appointed to important positions within the very state that their corporations are entwined with. A specially egregious case is Howard Lutnick (CEO of Cantor Fitzgerald, a very large player in the US government debt market) who played a central role in gathering donations for Trump. Another of his companies, Satellogic is very much in bed with the security state and global surveillance, and also using the revolving door as its board has a former Chairman of the US Joint Chiefs of Staff as a member. In his new role as Commerce Secretary, Lutnick will be overseeing agencies, such as NOAA, that Satellogic wants to sell its services to. His stable coin venture Tether has also become a large holder of US government debt. Mark Goodwin and Whitney Webb detail Lutnick’s incestuous relationship with state organizations here.

Then we have a Vice President who is a creation of the silicon valley billionaire Peter Thiel, the owner of the Palantir data gathering and analysis corporation that is in bed with the security state, as well as many other parts of the state and in many different countries. The CIA venture fund was one of the founding investors in Palantir. Trae Stephens, a close affiliate of Thiel, may get the number 2 job at the Pentagon. The other option for the job is a Stephen Feinburg who previously owned a prominent MIC contractor and now heads a Cerberus Capital Management that launched a major defence-focused venture capital fund in 2024. Musk, the co-head of the proposed DOGE agency is also a major state contractor through his SpaceX venture. And which areas is DOGE focusing on? The vast cesspit of corruption that is the Defence Budget and the five massive defence contractors (Lockheed Martin, Raytheon, General Dynamics, Northrop Grumman and Boeing)? The massive profiteering of the Health Industrial Complex?

No, of course not; the targets seem to be the Internal Revenue Service (the agency that taxes the billionaires) and Social Security (money “wasted” on the retired plebs, and vast sums that could be freed from the state to be looted by the financiers). The above are just a few of the oligarchs who are getting themselves placed in important government roles. Who needs courtiers when you can run the state yourself?

In the background we have the modern day equivalents of the anti-competitive and corrupt “trusts” that dominated the US corporate world of the late nineteenth century Gilded Age; Blackrock (US$11.5 trillion under management), The Vanguard Group (US$9.3 trillion under management), and State Street (US$4 trillion under management and US$40 trillion under custody and administration).

The Chairman and CEO of Blackrock, a publicly traded company, is one of its founders, billionaire Larry Fink (US1.2 billion). Vanguard is a private company owned by investment clients (CEO Salim Ramji) and State Street is a publicly traded company (Chairman and CEO Ronald O. O’Hanley). Then in addition, there is the global leader in private equity investment, behemoth Blackstone with US$8.7 trillion under management, with the CEO being the co-founder and billionaire Stephen Schwarzman (US$54 billion). Then there are lesser private equity players such as KKR (US$1 trillion under management), Apollo, the Carlyle Group, Bain Capital and Warburg Pincus. Always searching for areas that can be turned into monopolies or cozy oligopolies to maximize the extractive profits of the ownership class.

Through such vehicles the ownership class concentrate their wealth and power, dominating US and other corporations. In so many US and other corporations Blackrock, Vanguard and State Street will be the top three shareholders, or within the top five. At the same time, Blackstone and others can utilize their assets, together with vast borrowing capacity, to take corporations private and shake them down for the benefit of their investors and management. The senior executives of these investment corporations, representing the ownership class, wield immense power; for example Larry Fink and Stephen Schwarzman are considered to be two of the most powerful people in the world.

These new style trusts also get their executives appointed to important government positions, and even get appointed to run significant parts of the government; as with Blackrock and the large scale US state interventions in the debt markets during the COVID-19 pandemic. A direct conflict of interest given Blackrock’s large US government and corporate bond holdings.

Elections in the US have always been mostly performative and superficial, but in the post-WW2 era the US rich held less of the economic pie and were less concentrated. With the massive concentration of wealth of the past 50 years, both within society and within the wealthy, an incredibly small group of the extreme wealthy together with those that manage the concentrated assets of the wealthy, exercise more power over the government than the rest of society combined. Added to this of course is the concentration of the US (and Western) media, including social media, in so few hands; greatly aided by the lack of any real anti-trust enforcement and oversight since the 1980s.

Even with this level of propagandist control, the level of outright looting and theft of this concentrated oligarchy has become more and more apparent to the general citizenry. A new Gilded Age, but this time the Robber Barons are more feasting off the already in place wealth of the nation and the people rather than building new wealth; a cannibal capitalism that eats its own base of strength. It is in such circumstances that the murder of the CEO of a healthcare company, which excelled in refusing claims under his leadership, is met with a general feeling of “he got what he deserved” by such a large chunk of the population.

There has been a significant a level of breakdown in the “manufacturing of consent”; even in the face of escalating levels of state and concentrated media censorship. When propaganda fails to control the population, liberalism can remove its velvet gloves to show its fascist fists. The result can only be greater authoritarianism as the mask of “democracy” has been so utterly removed and the oligarchy continue to plunder and immiserate the citizenry. Frank Zappa was incredibly prescient when he said:

The concentration of wealth in lesser and lesser hands, the disconnection of the rulers from the ruled, a vast courtier class fully focused on slavishly serving the oligarchs and not discomfiting them with inconvenient truths, the immiseration of the ruled as the rulers openly display their vast wealth, vast private wealth amidst public squalor; these are all symptoms of a failing empire. An imperial oligarchy feasting on the very bases of its own power, like a snake eating its own tail.

Does The US need the many consumer goods that China produces at a cheap price? Can the US obtain these goods from other countries at similar prices? Can the US produced these products itself?

While the incomes of most Americans have stagnated for the past 50 years, they have been able to enjoy a decent standard of living because of cheap products from China. American companies manufacture in the PRC to take advantage of China’s lower costs and to increase profits. While China benefits, US companies benefit more.

It may be possible to buy goods from countries other than China but they tend to be not as good or as cheap. If this were not so, the US would have already turned to these sources.

The US lacks the supply chains, factories, logistics, and trained workers to make these products themselves. And if they solved these problems, the labour and other costs would make these goods expensive. These problems will take many years to solve.

It is clear that the rapist and felon t**** does not understand economics nor international trade. Most of us know he’s stupid. Many of his advisres are not. But they are so well paid that they are insulated from the inevitable rising costs of products. Their interests are not those of ordinary Americans; their aim is to stay in power and enrich themselves and their rich donors.

In the short-term, prices will rise at least by the amount of the tariff but is likely to be more than that as companies try to increase their profits; they have a ready-made excuse in t****’s tariffs. In the medium-term, this situation will persist.

In the long-term, Americans had better get their act together and fix their political leadership in an attempt to halt the county’s downward spiral.

Good luck the USA. You are going to need it.

Here is who is leading the United States Senate

Terrible. You must watch this video.

Hal Turner Commentary;

To the people of the Great State of Kentucky.  Your beloved United States Senator, Mitch McConnel, appears to need your intervention.  The video below, displays how tragic his situation has become.

PLEASE, Intervene. 

Ask your Governor and your state Legislature to intervene.  You no longer have Representation from this man, who is tragically suffering from the effects of old age.  It's not the Senator's fault.  He is the victim of the ravages of age.  

At this terrible stage of his decline, keeping him in Washington is just wrong.  Perhaps your Governor can make a finding of "Severe Cognitive disability" and appoint a replacement. 

Yes, there __may__ be a court challenge, but what's happened to Mitch McConnell is not just a personal tragedy for him, it affects the people of Kentucky as well.   

Please intervene.

A New Chapter Of The Bible Was Found Hidden Inside 1,750-Year-Old Text

Friday, Dec 13, 2024 – 09:05 AM

Via The Mind Unleashed,

Hidden for centuries, a forgotten chapter of the Bible has emerged from the shadows of history. Researchers, armed with ultraviolet light and meticulous scholarship, have uncovered a 1,750-year-old text that offers a fresh glimpse into the evolving nature of scripture. This find isn’t just a historical curiosity; it’s a profound insight into how faith and tradition were shaped in early Christianity.

Preserved in an ancient Syriac manuscript, the chapter challenges long-held assumptions about biblical texts and their seemingly static nature. With its subtle variations and expanded narrative, this rediscovery raises compelling questions: What does this mean for the modern understanding of faith? And how many more hidden chapters might still be waiting to be found?
Unearthing a Lost Piece of Biblical History

In a groundbreaking intersection of technology and ancient history, scholars have uncovered a hidden chapter of the Bible within a 1,750-year-old Syriac manuscript preserved in the Vatican Library. Using ultraviolet (UV) light, researchers revealed traces of erased writing—a palimpsest—buried beneath layers of overwritten text. This painstaking process illuminated an earlier version of scripture, lost to time but now reintroduced to the world.

The manuscript, part of the Syriac translations of the Bible, is more than just a relic. It represents a key moment in Christianity’s history, when scribes worked tirelessly to preserve scripture under challenging conditions. Early Christians relied on Syriac texts to disseminate their teachings across cultural and linguistic boundaries, making this find a window into their lived experiences.

What makes this discovery especially remarkable is its collaborative nature. Historians, linguists, and scientists pooled their expertise to decode the faded script, each stroke of ink offering clues to a story untold for nearly two millennia. This isn’t just a triumph for biblical studies; it’s a testament to the enduring power of curiosity and innovation to uncover humanity’s shared past.
The Hidden Chapter: What We Know So Far

The newly unveiled chapter offers an expanded version of Matthew 12, a passage where Jesus and his disciples are criticized for picking grain on the Sabbath. In this version, subtle textual variations bring fresh theological nuances to light, emphasizing compassion and mercy over rigid observance of religious laws. While the core message aligns with established teachings, these differences hint at the dynamic and adaptive nature of early Christian scripture.

Written in ancient Syriac, one of the earliest languages used to transmit biblical texts, the chapter provides a rare glimpse into Christianity’s early cultural diversity. Syriac was instrumental in spreading scripture beyond its Jewish origins, tailoring messages to resonate with varied linguistic and cultural communities. This adaptation reflects the pragmatic approach of early Christians, who shaped their sacred texts to meet the needs of a rapidly growing faith.

What’s particularly striking is the role of early scribes. Far from being passive transcribers, they actively engaged with the material, reinterpreting and preserving it in ways that reflected their own spiritual and societal realities. This hidden chapter, with its emphasis on mercy, reveals a faith not rigidly bound to dogma but alive with reinterpretation and evolution—a window into the beliefs and priorities of communities navigating the complexities of their time.
The Technology That Unveiled the Forgotten Chapter

It’s hard to believe that something written almost 2,000 years ago could still be hiding in plain sight. But that’s exactly what happened here. Using ultraviolet light, researchers managed to reveal a forgotten chapter of the Bible, hidden beneath layers of overwritten text on an ancient manuscript. It’s like uncovering a secret message written centuries ago, invisible to the naked eye but waiting to be found.

The process wasn’t exactly a walk in the park. Think about it—this manuscript is old, fragile, and irreplaceable. Every move had to be precise, every scan done with the utmost care. Months of work went into piecing together faint traces of erased ink, with experts from all over—historians, linguists, scientists—working side by side. It’s amazing to think that this discovery wouldn’t have been possible even a few decades ago. The tools they used, like UV imaging, are giving us new ways to see the past in ways we never thought possible.

But here’s what really gets you thinking—what else is out there? If something as groundbreaking as a hidden chapter of the Bible can be uncovered, what other secrets might still be lying in wait? This is more than a cool tech story; it’s a reminder that history always has more to give, as long as we keep asking the right questions.

A Manuscript’s Journey Through Time

Think about this for a second: early Christians lived in a world where their beliefs could literally get them killed. Their sacred texts weren’t just important—they were lifelines, hidden and protected at all costs. That’s the world this 1,750-year-old Syriac manuscript comes from. Imagine scribes painstakingly copying and preserving these words, knowing the risks they faced if they were caught.

Back then, parchment wasn’t exactly easy to come by. It was expensive, rare, and, honestly, every bit as valuable as the words written on it. To make the most of it, scribes would scrape off old texts and reuse the material—creating what we now call palimpsests. It’s kind of wild to think that their recycling efforts accidentally preserved traces of history that they probably thought were gone for good.

Here’s another fascinating detail: this manuscript is written in Syriac. It’s one of the earliest languages used to spread Christianity and shows how the faith started to move beyond its Jewish roots. Syriac wasn’t just a language—it was a tool that helped Christianity adapt and grow, reaching new communities and cultures. That’s what makes this discovery so powerful. It’s not just about words on a page; it’s about the lengths people went to protect and share their beliefs.

And now, centuries later, we’re uncovering their story. You can almost picture the hands that wrote and rewrote this text, working in secret, determined to pass on what they believed mattered most. It’s a humbling reminder of just how much history can hide beneath the surface—literally—and how much these ancient voices still have to say.
What Scholars Are Saying: A New Lens on Scripture

This hidden chapter of the Bible has sparked lively debates among scholars. Many see it as a fascinating window into how early Christian communities understood and adapted scripture. The chapter’s emphasis on mercy over strict adherence to religious laws aligns with Jesus’ teachings but adds a fresh perspective to familiar passages. This nuance suggests early Christians may have tailored scripture to address the unique challenges of their time.

At the heart of the debate is the question of why this chapter was erased. Some scholars suggest it might have been excluded as church leaders worked to formalize the biblical canon, streamlining texts to unify doctrine. Others argue that its omission could simply reflect the practical realities of the time, with scribes overwriting older texts due to the scarcity of parchment. Whatever the reason, the discovery underscores the dynamic and evolving nature of early Christianity.

Ultimately, this find is about more than one chapter. It’s a reminder that the Bible, far from being a static document, was shaped over centuries by human hands and decisions. For scholars and believers alike, the chapter offers a chance to reexamine the past while raising new questions about the stories still waiting to be uncovered.
Hidden Truths, Endless Possibilities

The discovery of this hidden Bible chapter is more than a historical footnote—it’s a vivid reminder of how much the past still has to teach us. From the resilience of early Christian communities to the evolving nature of scripture itself, this find opens a window into a world where faith and history were deeply intertwined. It also shows how modern technology can breathe life into ancient artifacts, revealing secrets thought lost to time.

But this is likely just the beginning. Who knows what other forgotten chapters, erased writings, or hidden narratives are still waiting to be uncovered? Each discovery invites us to ask new questions, challenge old assumptions, and deepen our understanding of the stories that have shaped human history. Whether it’s faith, curiosity, or a little of both driving the search, one thing is certain—history still has plenty of mysteries left to share.

Shorpy

SHORPY 1739.preview
SHORPY 1739.preview

SHORPY 1737.preview
SHORPY 1737.preview

SHORPY 8c52092a.preview
SHORPY 8c52092a.preview

SHORPY 06626u.preview
SHORPY 06626u.preview

SHORPY 1748.preview
SHORPY 1748.preview

SHORPY 8c52392a.preview
SHORPY 8c52392a.preview

SHORPY 1168.preview
SHORPY 1168.preview

SHORPY 8c52107a.preview
SHORPY 8c52107a.preview

SHORPY 8c52398a.preview
SHORPY 8c52398a.preview

SHORPY 8c52069a.preview
SHORPY 8c52069a.preview

SHORPY 8c52196a.preview
SHORPY 8c52196a.preview

SHORPY 8c28041a.preview
SHORPY 8c28041a.preview

SHORPY 8d03092a.preview
SHORPY 8d03092a.preview

SHORPY 8d02849a.preview
SHORPY 8d02849a.preview

SHORPY 8d01576a.preview
SHORPY 8d01576a.preview

SHORPY 8c52038a.preview
SHORPY 8c52038a.preview

SHORPY 8c28548a.preview
SHORPY 8c28548a.preview

SHORPY 8c28579a1.preview
SHORPY 8c28579a1.preview

SHORPY 8c00976a.preview
SHORPY 8c00976a.preview

SHORPY 8c52070a.preview
SHORPY 8c52070a.preview

SHORPY 8c52182a.preview
SHORPY 8c52182a.preview

SHORPY 8c52171a.preview
SHORPY 8c52171a.preview

SHORPY 8c52082a.preview
SHORPY 8c52082a.preview

SHORPY 8d03723a.preview
SHORPY 8d03723a.preview

SHORPY 8d03496a.preview
SHORPY 8d03496a.preview

SHORPY 8d03738a.preview
SHORPY 8d03738a.preview

SHORPY 8d03739a.preview
SHORPY 8d03739a.preview

SHORPY 11801u1.preview
SHORPY 11801u1.preview

SHORPY 8d03787a.preview
SHORPY 8d03787a.preview

SHORPY 8c52105a.preview
SHORPY 8c52105a.preview

Russia Just Replaced the EU in China’s Pork Market – $3.5 Billion Market Shaking Europe’s Confidence

Please keep in mind that China produces MOST of it’s pork needs. So imports from the EU is rather trivial.

More than a year and a half ago I wrote about the Daniel Penny subway incident in the New York City subway. Now the ordeal is over, Penny has been found not guilty of all charges and is a free man. But everything I said in that initial article remains true, and the regime won.

First, here’s what I said:

There’s a very clear lesson to be learned here. You, as a normal citizen, can be robbed, raped, or murdered at will and our police won’t even lift a finger to do anything to prevent it, and usually not even arresting the criminal afterwards. And even when the criminal is arrested, his bail and jail sentence will be laughably low… That’s the bail violent rapists can expect in democratic America. But if you’re charged with a political crime, like protesting on Jan. 6, expect a bail in the hundreds of thousands of dollars.

Police won’t help you because that’s not their job. Police are simply security officers for the central party. Their duty is to provide personal security for our elites and arrest political dissidents, and that’s it.

Dafna Yoran, the prosecutor, is a radical neoliberal activist who staunchly advocates for “restorative justice,” which in practice (as opposed to what restorative justice is actually supposed to do), simply means giving light sentences to the most grotesque offenders.

main qimg 2d72ac6dfd17015985c9e18407e2371a
main qimg 2d72ac6dfd17015985c9e18407e2371a

Dafna Yoran

Yoran recently advocated on the behalf of murderer Matthew Lee, who killed Young Kun Kim, an 87 year old Asian American professor, and stole $300 while Kim was using an ATM. Thanks to Yoran’s efforts, Lee received only 10 years in prison, rather than a life sentence. Note that this light sentence wasn’t the result of some Crime and Punishment style display of remorse by Lee, it was simply on account of his race, and the race of his victim. As a “white adjacent” Asian, Kim was a historical aggressor imperialist, and as a black man, Lee was his victim. The fact that Lee bashed Kim’s brains in did not even enter into the equation.

Now apply Yoran’s world view to Penny’s case. Neely was a violent drug addict with an extensive criminal record threatening to kill people on the train, but that did not matter. Neely is a historical victim, and Penny, like Kim, is a historical aggressor imperialist. So the villain here is Penny, and can only be Penny.

As I said, in modern America, the police are simply political enforcers who punish crimes against the state and the ruling elites. No one and nothing else matters. The everyday citizen being in constant fear of being randomly attacked on the train or while using the ATM is “part and parcel” of living in a neoliberal democracy. In the eyes of the regime, the citizens living in fear is a good thing, because this keeps them docile and subservient.

For such a regime, it is absolutely necessary to brutally punish any private citizen who is perceived to have violated the state monopoly on violence by defending his own life or the lives of others. That was the crime of both Kyle Rittenhouse and Daniel Penny. It would have been preferable to to lock up Rittenhouse and Penny for their defiance against the regime, but dragging them through many months of confinement, fear, financial expense and reputational damage is enough.

And that’s why I say the regime won. The next person who sees a violent criminal on the NYC subway will remember what happened to Penny, and will likely just keep walking.

Sexual Predator Gets Caught Red-Handed

ARCS 1,0

Submitted into Contest #150 in response to: Write about a character who has access to a powerful new technology before anyone else. view prompt

Jimmy Burke

Akio walked into his therapist’s office for the 52nd time that year.Dr Ishida stood up and warmly greeted his patient, who would have been seeing him for exactly a year today.Good morning, Akio! It’s nice to see y——He was not able to finish his sentence. The young man in his mid twenties buried his right fist into Dr. Ishida’s face.The Dr, also a young man himself, being barely 32, was thrown back onto his own coffee table and collapsed onto the floor. He was clenching his nose with both hands, as it was bleeding profusely. It was clearly broken.He hadn’t full processed what had just happened when his patient (now former patient) began to speak.I want you to listen to me carefully. Think of the thoughts going through your head and the feelings that you have right now. Did you do it good! That is how I feel everyday when I walk into your goddam office. You sit there in your comfortable fantasy world, thinking you’re so wise, and that you actually understand what’s going on. But honestly, if you understood what was going on, then I think you would have moved with more of a sense of urgency in assisting me instead of milking me for more money over the past year. Your services are no longer required. Have a nice day!He stormed out of the office, leaving Dr. Ishida lying in utter shock on the floor.Akio stormed out of the downtown Osaka office and began marching down the city sidewalk. He wasn’t 100% sure where he was going.I’m so fucking mad at myself right now. I can’t believe I wasted a year of my life with that hack. I have him thousands of dollars, and for what? He thought to himself.If anything I’m more pissed than before I started visiting him….After walking about a hundred metes, he stopped and lit a cigarette from a pack that he had previously bought that day.This is weird. I don’t even smoke. What am even I doing? He inhaled and coughed*cough…….cough……Jesus…..cough*As he walked he started to feel more relaxed as the nicotine took effect. As he started to calm down, he remembered where he wanted to go. 

I wonder what Sakura is up to, he thought to himself.

 

Suddenly a look of surprise appeared on his face. The kind of look that appears when someone has just remembered something important that they had forgotten.

 

Oh shit! I’m late, he said to himself as he broke into a sprint.

 

After running like mad for about 7 city blocks, he was standing outside the door of an Internet cafe. There was a flickering neon image of a frog that was standing on its hind legs and holding a shotgun over its shoulder. The word “lyagooshka’s” was written in English under it in blue neon cursive.

 

As he opened the door, there was a jingle of a little bell that was hanging near the threshold. A slender, short haired Russian lady looked up from reading her fashion magazine. She was in her early 30’s even though she looked like she was in her early to mid 20s.

 

Her eyes immediately lit up

 

Konichiwa choovachok (dude in Russian)! Serious as ever I see!

 

Akio often found her energy to be a bit overwhelming for his taste. But he had gotten used to it. He had been going to that internet cafe ever since college. It was close to his apartment, not too big, and it was pretty cheap. Before he knew it, he was spending just about every waking moment that he wasn’t wasting in his office job, in that secluded cafe. And there seemed to be very few people there, which was another plus, because he hated being around people he didn’t know.

 

But despite their different personalities, she was beginning to grow on him.

 

Akio looked at her with a straight face.

 

Hey Vika 

 

Vika shifted the lollipop in her mouth and looked at him inquisitively.

 

So how’s life? You usually come in 2 hours earlier on Saturdays. You look kind of wiped out.

 

Akio took out his credit card and slid it into the reader.

 

The cash register said 10,000 yen.

 

I had something I had to take care of, he said while avoiding eye contact.

 

Look at you, sounding all like a secretive badass, said Vika.

 

Ha ha said Akio sarcastically.

 

Vika looked at him as if she was at a loss and said,  sometimes I don’t know why you work these office jobs. (shaking her head) *sighs* I think you’re better suited for more dangerous work….Hey, I know (snapping her fingers as if she just got an idea)…. You should join the military. I think you’d thrive in that kind of environment. 

 

The same way you did? Said Akio without skipping a beat..

 

Whaaat?….Hey, c’mon…. my situation was different, said Vika, almost as if pleading for somebody to stop teasing her.

 

I just don’t think I’m cut out for it, said Akio still looking at the ground.

 

He began making his way past the many cubicle-like rooms that led to the back of the cafe. The hallway was dimly lit, with a carpet that was mostly clean, except for the occasional crusty food or drink stain.

 

He finally reached the end of the hall, to a room labeled “V I P” with a neon sign of a cartoon frog standing on its hind legs, holding a cane over its shoulder and dressed as a pimp.

 

There was a faint glow emanating from the cracks of the door of the otherwise dark room.

 

He opened the door and walked in. It was a mostly empty room about twice the size of an average classroom. It was perfectly square and directly across from the door on the opposite wall.

 

Against the opposite wall, you could see two large black boxes, each being about the size of a mini van. One of the walls of each square was missing, allowing you to see inside.

 

Inside was a number of wires, red lights and switches, with a dark chair in the middle that was reclined at a 45 degree angle.

 

One was empty. In the other you could see the skinny silhouette of a person wearing a black hoodie. If you looked really closely, you could see that she was wearing a strange suit covered in very small little red connectors that looked like they could have something plugged into them.

 

Akio sped up his walk. He threw his backpack down while simultaneously grabbling the same strange suit that went over his whole body, including the back of his head. He hastily took off his shirt and pants and put it on.

 

Has it started? , asked Akio impatiently.

 

You’ve got 40 seconds to spare, said the dark silhouette sitting in the other seat.

 

These two machines were known as the Artificial Recreation of Sensory System, or ARCS 1.0 System for short. Via a number of wires that plugged into the suit, the system was able to connect to all five of the human senses and send them into the world of a popular MMORPG that was originally designed for an average gaming system known as Engines of Magic.

 

It was a steampunk-styled game that combined steampunk technology with magic. Players could choose their characters from a number of magic races such as elves, wizards, goblins, witches, fairies, etc. It was released in 2027 and it had over 3 billion users worldwide, making it the most popular videogame in human history.

 

 

The glow of the small lights within the machine illuminated the girl’s face. Although she was wearing a hoodie, you could still see the bangs of her purple-dyed hair. lean, and her height was about 5’ 8”.

 

Although Akio didn’t think she was hot by any means, he still thought her face was relatively pretty despite the fact that she wore very little makeup.

 

Her name was Sakura Takayuki. They had both known each other since meeting in their modern computer engineering course. Akio had average grades on account of not attending lecture, but Sakura excelled. She was considered a genius at any rate, and eventually became known as the most talented computer engineer at the school.

 

Are you ready, said Sakura as she sat in the chair and connected all of the appropriate wires to her suit.

 

Let’s do this, said Akio as he leaned back in the chair with a smile on his face.

 

There were only 12 ARCS systems were created, out of which, only 2 remained. All of the others were destroyed during the War of Eurasian Reunification before anyone could use this advanced technology.

 

They were the first to use this technology, and the second they entered that world, it was the digital equivalent of man taking his first step on the moon.

 

This was a secret, but still historic, step for mankind.

 

All of the red lights turned green. Both of them closed their eyes, and opened them in another universe.

What do you make of the China Semiconductor industry Association’s statement that “U.S. chip products are no longer safe and reliable”?

The development of China’s chip industry in recent years has been shrouded in complete secrecy.

Take a wild guess: why wasn’t this statement issued in 2019, but now?

Only when China is capable of producing enough chips on its own does it make sense to call for the purchase of Chinese-made chips.

Actually, it’s a joint statement made by four associations of China, including the Internet Society of China, the China Association of Automobile Manufacturers, the China Semiconductor Industry Association, and the China Association of Communications Enterprises.

These four industries are major consumer markets for US chips, involving computers, mobile phones, vehicles etc.

The statement simply urges domestic companies to buy more Chinese-made chips. If they choose American chips and face supply cuts later, they shouldn’t expect government support—it’s a risk they must bear. This move will reduce demand for American chips and boost demand for Chinese-made chips.

This indicates one thing: China has already built enough domestic chip production capacity.

The U.S. has been calling for decoupling and breaking supply chains.

This time, China is taking the initiative to decouple and break the supply chain, to see who will ultimately bear the greater loss.

The Moment She Realized She Killed 2 People

Carne de Res Deshebrada

This is the traditional Mexican filling for tacos. It is wonderful for making burritos, chimichangas, taquitos, and in carne seca.

e70710d35fa97ef296494b1fc20bc1ee
e70710d35fa97ef296494b1fc20bc1ee

Ingredients

  • 1/4 cup vegetable oil
  • 1 (2 1/2 to 3 pound) beef brisket (smaller thinner end, trimmed of all fat)
  • 1 ancho or New Mexico dried chile, stemmed and seeded
  • 3 to 4 slices onion
  • 1 bay leaf
  • 1/2 teaspoon Mexican oregano

Instructions

  1. Heat oven to 300 degrees F.
  2. Heat a Dutch oven over medium-high heat. Add oil and brown the beef on all sides.
  3. Pour off as much oil as possible.
  4. Just barely cover the meat with water. Bring to a boil. Skim off any scum that rises to the surface.
  5. Add remaining ingredients. Cover the pot and place it in the oven until the meat is tender, about 2 to 2 1/2 hours.
  6. Remove the meat, reserving broth for other uses.
  7. When the meat is cool enough to handle, shred it. Hold a fork in each hand, and shred the beef with the forks.

What do you make of the China Semiconductor industry Association’s statement that “U.S. chip products are no longer safe and reliable”?

The development of China’s chip industry in recent years has been shrouded in complete secrecy.

Take a wild guess: why wasn’t this statement issued in 2019, but now?

Only when China is capable of producing enough chips on its own does it make sense to call for the purchase of Chinese-made chips.

Actually, it’s a joint statement made by four associations of China, including the Internet Society of China, the China Association of Automobile Manufacturers, the China Semiconductor Industry Association, and the China Association of Communications Enterprises.

These four industries are major consumer markets for US chips, involving computers, mobile phones, vehicles etc.

The statement simply urges domestic companies to buy more Chinese-made chips. If they choose American chips and face supply cuts later, they shouldn’t expect government support—it’s a risk they must bear. This move will reduce demand for American chips and boost demand for Chinese-made chips.

This indicates one thing: China has already built enough domestic chip production capacity.

The U.S. has been calling for decoupling and breaking supply chains.

This time, China is taking the initiative to decouple and break the supply chain, to see who will ultimately bear the greater loss.

Woman Pulls Swatting Prank, Gets the Surprise of Her Life

Say Yes to the Pricks in the back of the room

  • China is a socialist country ruled by the Communist Party, and the United States is a capitalist country. China has never been politically close to the United States, and has only ever cooperated with the United States in trade and commerce.
  • Vietnam does not have close ties with the United States politically. The Vietnamese government is now very wary of color revolutions and government subversion from the United States.
  • Vietnamese, like Indians, have “short-sighted cleverness” but lack “great wisdom”. They always want to gain benefits from China. They only want to invest 1,000 Vietnamese dong, but want to get 1,000 RMB benefits from China (1000 RMB = 3490899.03 VND). For example, 25 years ago, the Vietnamese government had already begun planning a high-speed rail project. In order to lower costs, it introduced Japan, a so-called “competitor / Shit stirrer”. The Japanese would rather fail to do it themselves and lowered the price to the point where China would suffer losses, and only then did China give up. Of course, no one can build a high-speed rail at such a low cost. 25 years have passed, and Vietnam’s high-speed rail is still on the drawing board. The reason is simple: The Vietnamese were counting on China to give them free aid, but their “short-sighted cleverness” has delayed their country’s economic development. they tell themselves that Vietnam is doing what they believe is in its best interest, even at the expense of China’s. They do not seek “win-win” like the Chinese and do not think from others’ perspective. In fact, any price can be calculated through actuarial calculations. If you try too hard to take advantage of sb, you will end up losing more than you gain. On the contrary, China told Indonesia that it did not make huge profits from it, and the Indonesian government also chose to believe China, so Indonesia’s high-speed rail has been opened for many years. In addition, the China-Thailand high-speed rail project is also accelerating.
  • 60 years ago, China provided free aid to Vietnam. It is well known that Chinese accuses the Vietnamese of being ungrateful, while the Vietnamese believe that they are doing what they believe is in their own interests. Therefore, with the lessons of history, it is more in China’s interest to do business with Vietnam than to provide aid to avoid future complaints against each other. There is no free lunch in the world. You are blessed if others help you, but they are not obliged to.

How can BRICS countries bypass Swift transactions and save exchange rates using different denominations of currency?

Swift is a messaging system

Let’s say my son in Malta wants to send me € 1,000

He goes to his bank and initiates a SWIFT transfer for € 1,000 to my bank account in HDFC

A Message is generated by his bank, it’s authenticated and his account is debited for € 1,000 (Plus € 23.40)

The Message has a BIC for HDFC Bank and this message is transmitted through an Intermediary Bank in Dubai or Singapore to HDFC Bank in Chennai

HDFC Bank receives this message and decodes it and it says

“Hi. XYZ has paid me € 1,000. Kindly credit this sum to the beneficiary I have mentioned in the MT form”

HDFC will thus find out the exchange rate for Euro and credit my account with ₹89,600/-

Later on HDFC will get a Digital Confirmation from RBI that the € 1,000 was credited to a RBI Intermediary Account in Malta (SBI Malta) & HDFC will get back the ₹89,937/- which includes the ₹337/- for any interest loss HDFC may have

Where is the Currency Denomination mentioned here?

My son pays in Euro because that’s the currency in his place and i get rupees because that’s the currency in my place

The Messaging is where SWIFT comes in

HDFC immediately pays me because the Maltese Bank is also part of SWIFT and settlement is assured

Say a Strange Bank called IVANOV BANK in Moscow calls HDFC and says “I just received 127,000 Rubles from XYZ. Please credit his beneficiary”

HDFC will ask “WHO THE HELL ARE YOU? HOW DO I KNOW YOU???”

So how to Bypass a Swift Transfer

Say I have to get ₹ 50 Lakh from a Russian Importer for my Jeans & T Shirts

HDFC won’t pay me the money because IT DOESN’T RECOGNIZE THE RUSSIAN BANK

So he uses an Intermediary in SHANGHAI

He pays the Intermediary 5.38 Million Rubles plus 0.6% commission using CIPS to XILIN LIU DANG FINANCIAL SERVICES

The Chinese Intermediary gets 426,000 RMB credit and he immediately pays me ₹50 Lakh by SWIFT

Thanks to China – Russia still trades and is able to make a lot of money

It’s why everyone from Yellen to Blinken to Baerbock keep giving China “Warnings” that China ignores

China has dedicated 3,000 Banks especially for handling Russia Trade which aren’t connected with SWIFT

These Banks have a Commercial Interoperability Account where they can get funds into other banks connected to Swift and get those funds transferred to Banks connected to CIPS and make the transfers to Russia and now Iran

Putin may be fighting in Ukraine but Uncle Xi is the big bull who is keeping Russia going without a single blip

All Exporters in India use Chinese Intermediaries or Emirati Intermediaries to trade with Russia

Settlement takes us 96-120 hours instead of 24 that SWIFT takes

For instance if a Russian transferred money through SWIFT at Monday 11 AM in Moscow, i get the money either by 6 PM the same day or by 2 PM Tuesday in India

In the Intermediary method – I would get the money by around 6 PM Thursday or 2 PM Friday

That’s because Chinese Banks are heavily booked with orders and Intermediaries don’t have so many Billions of RMB to advance

If India had 100 Banks join CIPS that would make things much easier

So it’s never the currency

It’s about the CLUB

SWIFT is a Club and membership of the club gives you privileges

You need an alternative Club which is what BRICS is trying to achieve

0782d5bce50b585ef47ffc4a532f8b4d
0782d5bce50b585ef47ffc4a532f8b4d

645d050f10ac0b1e70ac3d6dd4b4c63e
645d050f10ac0b1e70ac3d6dd4b4c63e

b9c86fc97cf9e1d2ae0738391301a514
b9c86fc97cf9e1d2ae0738391301a514

71289e86a9339ba69004fa44fea49352
71289e86a9339ba69004fa44fea49352

3744eea4e4f542e999e9c07dc3c5b36b
3744eea4e4f542e999e9c07dc3c5b36b

ed3ff2b80a7ce84bb87c7ff36a5b63c1
ed3ff2b80a7ce84bb87c7ff36a5b63c1

54343ce7a6824e78c025e4bd767d3fd8
54343ce7a6824e78c025e4bd767d3fd8

28a0f23316623cca9aa02459ba866769
28a0f23316623cca9aa02459ba866769

5900a67a0538688977b0df3b973dca5c
5900a67a0538688977b0df3b973dca5c

9d23d766602b0ee59997a86d7317d605
9d23d766602b0ee59997a86d7317d605

6580e601213f33e82b4063d0344223e2
6580e601213f33e82b4063d0344223e2

9d785b09085395bb82992f62a53986d8
9d785b09085395bb82992f62a53986d8

d279d89a546d20470645b6f2bd9e2df9
d279d89a546d20470645b6f2bd9e2df9

c3b6bb2a94428bd11b2f917a1d868409
c3b6bb2a94428bd11b2f917a1d868409

2d76623efe6a76edb69f483e80f495da
2d76623efe6a76edb69f483e80f495da

8bb16fbbb944d60d41ba89f19ed3d1cc
8bb16fbbb944d60d41ba89f19ed3d1cc

40aa3349870d9704ef7533f31d9b7833
40aa3349870d9704ef7533f31d9b7833

26bc355803e24fe81df2bab9e2f8f41a
26bc355803e24fe81df2bab9e2f8f41a

b9541ce5464b5f56e9a6e6f6eddf655b
b9541ce5464b5f56e9a6e6f6eddf655b

689532af9e1ee644a90b4423898fbc8e
689532af9e1ee644a90b4423898fbc8e

989cc3f5d685de63d57c3ce31e1cfe55
989cc3f5d685de63d57c3ce31e1cfe55

bb9bd3bad5a53687acc43f5fe01ada1f
bb9bd3bad5a53687acc43f5fe01ada1f

e535dcb5fe9d7564769ccd3be36d98f9
e535dcb5fe9d7564769ccd3be36d98f9

d5a672807c0e66b121d804e78e25144a
d5a672807c0e66b121d804e78e25144a

6c7b65d70ba5178585a183683ef8d028
6c7b65d70ba5178585a183683ef8d028

02264c1f1205e18e0ff536b969989816
02264c1f1205e18e0ff536b969989816

08ea5c7743392593732a16c17968fb2f
08ea5c7743392593732a16c17968fb2f

1217460f90184a4315c85fcdfdf4578d
1217460f90184a4315c85fcdfdf4578d

f7f15abb0f4e0673d3a38735571cdb71
f7f15abb0f4e0673d3a38735571cdb71

a66342bcfddbf45928eb2c5e796222fd
a66342bcfddbf45928eb2c5e796222fd

aca1f6045204cad65e16765a76553eef
aca1f6045204cad65e16765a76553eef

1954872c87ab616818eb057edd2b3692
1954872c87ab616818eb057edd2b3692

1a5965b30ae85e9ef997baeb610739e6
1a5965b30ae85e9ef997baeb610739e6

♧Evella The Caramella♧ .

It starts off with a curious girl named “Emma” getting a wristwatch that can bend time and change the frequency of reality. She starts to see that she can use her new powers to help people .At first, she saves children from a burning car twice in the same day.After two weeks She helps a little boy who has been shot. She saves a little girl from drowning. She even manages to save a man from committing suicide.This technology is more than just an ordinary AI , But with this new extra power, “Emma” must catch up to her own level of responsibility, rather than just be reactive to crises.Quicker than anything else ever has, the wristwatch able to learn the skills unlike any other Machine changing itself at will, enter into brain anyone or anything and analyzing ; but an influx of these superpowers on a rare wristwatch threatens to rip “Emma’s” brain apart while she ignore the whispered pleas for stop footing in an uncompromising world. She struggles to find a way to balance it all. To save everyone .The watch becomes like a drug, and “Emma” becomes addicted to the rush of being able to manipulate time and space, even if she knows it’s not healthy.She knows this is dangerous, so she tries to stop using the watch, but the withdrawal makes her feel sick and weak, and she starts to see hallucinations of the people who have died around her because of her actions to change time, everything comes with a price, especially messing with time, and trying to save someone, makes someone replacing with another.She knows that her addiction is dangerous, and she must find a way to break free before she goes too far.But as she struggles to find a way to stop using the watch, she realizes that the watch isn’t just changing the time , but it’s also changing her and making her think differently about life.It’s showing her how to take control over her future. And she realizes there are things she needs to do to keep herself together.As Emma learns more about what her ability means for her, she finds out that sometimes you need to let go of something important to get what you want. The only problem “Emma doesn’t know exactly what she wants anymore.”And when “Emma” finally stops trying to escape the watch’s influence, it changes everything.Now, Emma is forced to face the consequences of her actions.How did she end up here?

What does it mean to be human?

Can she really make a difference in the world?

Or is it already too late?

***

Emma was standing in the middle of a crowded street.

People were walking by, going wherever they needed to go.

Cars were driving down the road, honking their horns. People were shouting.

 

Dogs barked. Birds chirped.

Traffic lights changed colors.

A train passed through.

All of this happening at once.

It seemed normal enough.

Except Emma could hear nothing.

Not a single sound.

 

The effect of the clock began to take effect, she was not able to sense time and its passage, everything became fixed and fast, even feelings, became related to time.

 

At this point, she understood that she had lost control of her mind, she didn’t understand why, or where it came from, but the watch was controlling her.

 

 

 

Her head was spinning. She felt dizzy, confused, and disoriented.

A man ran past her, screaming.

Emma tried to follow his voice, to figure out what he wanted. But she couldn’t hear him.

 

He screamed again, then stopped. He turned around.

There was blood running down the side of Emma’s face. His eyes looked worried, like he’d seen something terrible.

 

Emma tried to speak. Her mouth opened. No words came out.

Emma reached up to touch her face, but her hand went right through her skin.

She heard a loud bang, and a sharp pain shot through her chest.

 

Then, she saw a flash of light.

The next thing she knew, Emma was lying in her bed in her house, looking at the ceiling.

 

 

She wondered if she should call someone.

Should she tell them what happened?

She thought back to the night she got the watch.

 

Why did she choose to pick up the time wristwatch?!

 

Was it because she liked the way it looked, or was it because she was drawn to its abilities?

 

Was it because she wanted to impress people, or was it because she wanted to be noticed?

 

What was the real reason?

 

Emma realized that she wasn’t sure.

All she knew was that the watch made her feel powerful, and she didn’t want to give it up.

 

That was why she kept wearing it. That was why she ignored the warnings.

 

Emma lay in bed wondering if she should try to get rid of the watch. If she could just throw it away, maybe she would wake up feeling better.

 

She decided she would quit using the watch.

She started to get out of bed.

Something caught her eye.

 

A small box was sitting on her dresser.

She picked it up and opened it. Inside was a note.

 

It said, “You are no longer the person you used to be.”

She read the note over and over again.

It was signed, “Your friend, John.”

Emma felt confused.

 

At this moment, she knew that she might destroy the future with her reckless actions.

She looked around her room.

 

Everything was the same.

Nothing had changed.

 

She decided to go back to the time she picked up the time wristwatch and stop herself from doing it.

She closed her eyes and waited for the next thing to happen.

She waited for her body to react.

Emma opened her eyes and looked at the clock on her wall. It was 5:00 AM.

 

She came back “30 minutes” before she found the time wristwatch. She went to that place, and found the watch and smashed it so that no one would find it, and it would bring a disaster.

On her way back, she met the man who helped her when she was injured .

 

He smiled at her and said, :”Have we met before?”

She smiled and said, :”Shall I invite you for a cup of coffee so that we can remember together?”

They both laughed ,

“by the way my name is John” he Said

 

And from inside the café, they both sounded happily ever after while it’s snowing.

The END

South of the Border Ravioli

6e12b728f33e9d1cd23c9680603eb39c
6e12b728f33e9d1cd23c9680603eb39c

Yield: 3 servings

Ingredients

  • 9 ounces fresh cheese-stuffed ravioli
  • 2 teaspoons olive oil, divided use
  • 1/4 cup finely chopped onion
  • 1 large jalapeño pepper, seeded and finely chopped
  • 2 cups peeled and chopped fresh tomatoes (about 3 large tomatoes)
  • 1 clove garlic, finely chopped
  • 1 cup diced zucchini
  • 1 ear fresh corn
  • 1 teaspoon chili powder
  • 1/4 teaspoon oregano
  • 1/4 teaspoon ground cumin
  • Freshly ground black pepper to taste
  • 1/4 teaspoon crushed red pepper flakes (optional)
  • 1/4 cup hot heavy cream

ddfa5af22c6dd09668358b377eee2d4c
ddfa5af22c6dd09668358b377eee2d4c

Instructions

  1. Cook ravioli according to package directions. Drain and rinse with very hot water to which 1 teaspoon of the olive oil has been added. Drain again, cover and set aside.
  2. Heat remaining teaspoon of olive oil in large, nonstick skillet. Add onion and jalapeño pepper and sauté until onion is soft but not brown. Stir in chopped tomatoes and garlic; simmer for about 5 minutes.
  3. Meanwhile, chop zucchini and remove corn from the cob. Stir these vegetables into tomatoes. Add chili powder, oregano, cumin, black pepper and crushed red pepper flakes, if desired. Simmer for 5 minutes, or until zucchini is crisp tender.
  4. Heat cream for 20 to 30 seconds in microwave and stir into tomato mixture. Check pasta to ensure the ravioli aren’t stuck together. If they are, rinse again in very hot water.
  5. Immediately serve ravioli topped with sauce.

What’s the scariest thing archaeologists have ever discovered?

In Ancient China, after the emperor died, he needed a group of people to follow him to the other world and continue to serve him, so there would be some people buried alive with him.

Most of his entourage were concubines.

main qimg 9761b09508532bef38343bcc2e8dcfe3 lq
main qimg 9761b09508532bef38343bcc2e8dcfe3 lq

When the tomb was excavated, the discovery shocked the entire Chinese archaeological community. A total of 186 people were buried with the emperor!

Many people believe that the 186 martyrs in the Qin Tomb were buried alive for Duke Mu of Qin.

main qimg b316953ce739c8ec1a65a5ec7ac3a60a lq
main qimg b316953ce739c8ec1a65a5ec7ac3a60a lq

In fact, according to archaeologists, 160 of them died voluntarily. The rest were probably prisoners of war or concubines.

2. Chinese archaeologists discovered an ancient tomb. There were about 80 bodies of grave robbers (those who dig up tombs to steal artifacts or personal belongings) inside.

main qimg fd1a4cdea82a793fa2d9d19bfc29a4ea lq
main qimg fd1a4cdea82a793fa2d9d19bfc29a4ea lq

This is called a quicksand tomb , which ancient people used to deter grave robbers.

This mechanism takes advantage of the instability of sand.

Craftsmen poured a certain amount of quicksand over the burial chamber. Once grave robbers entered the tomb through the hole, the quicksand mixed with sharp stones would quickly clog the hole, and then kill the grave robbers or trap them inside.

main qimg 108be391927776dd6f9010005ca5815b lq
main qimg 108be391927776dd6f9010005ca5815b lq

Archaeologists sent excavators to clear the sand, and the next discovery shocked everyone. At the bottom of the tomb chamber, there were 80 bodies inside.

According to expert research, the 80 people who died in the tomb were grave robbers. They were trapped in the tomb that was always filled with quicksand. The tragedy in the tomb cannot be forgotten.

main qimg ae0f04e8d93055a3429865c74ae784a3 lq
main qimg ae0f04e8d93055a3429865c74ae784a3 lq

Although the mechanism of the quicksand tomb seems cruel (eh), stealing the contents of the tomb itself is also wrong. This kind of tomb can protect the tomb owner from outside interference, and at the same time, they can also preserve the cultural relics contained in the tomb, which makes people admire how wise the people of that time were.

Taco Bell Friendships

When I attended university, I met a classmate that was in one of my literature classes. And you all know, I recognized him, but I just couldn’t place him. I recognized him. But I just didn’t know him.

Well, eventually, I got to talking with him and found out what is going on.

It turned out that he didn’t know me. But yet, I recognized him. You see, he was in a very popular Taco Bell commercial in the 1970s. And I recognized him from that. Crazy huh?

e3fd332096733d8145c473bfc5b13be6
e3fd332096733d8145c473bfc5b13be6

He said that he got some money for his role in the commercial. But that it was around $5000 (big money in those days). And he told me that it helped him pay for his tuition. Ah, as I recall, we could of gone and had some adventures together, but we ended up going our separate ways. Ah. The university life.

Today…

11 Years Ago TODAY, The US, EU Lead a Coup d’ Etat in Ukraine – they’ve been fighting ever since

Eleven years ago today, the CIA and, likely MI6, lead a Coup d’état in Ukraine.  They dubbed it the “Maidan” revolution.  They’ve been at war ever since.

It was 11 years ago today that all the REAL trouble in Ukraine began.   The US financed protests in Ukraine with almost one million dollars a day, in cash, coming out of the US Embassy in Kiev.

In the next weeks, the protests would become so violent, the CIA-backed protesters burned Ukrainian government people alive in cities like Odessa and Mariupol.

Within months, the democratically-elected President, Viktor Yanukovych, was overthrown and a puppet government was installed by the US and the EU, in Kiev.

This caused the people of Crimea to vote in a public referendum, to secede, and return home to Russia.   Crimea had only been part of Ukraine for about 55 years, after Nikita Khrushchev (a Ukrainian) General Secretary of the Soviet Union, GAVE Crimea to Ukraine.

Crimea voted overwhelmingly to return home to Russia.

The collective West recoiled in horror, and refused to recognize the vote, even though it was closely monitored by United Nations election observers.

To this very day, the collective West denies reality, and refers to Crimea as “occupied.”

After Crimea departed Ukraine, Luhansk and Donetsk wanted to leave.   Ukraine, at the urging of the EU and the US,  massed troops on the borders of those two Oblasts (states) and began firing artillery and mortars into the civilian populations.   They wanted to ethnically-cleanse the Russian-speaking population!

The state militia of both Luhansk and Donetsk fought Ukraine to a standstill, but at a terrible price: 13,000 civilians were killed by the Ukraine shelling and mortar fire.

Hoping to stop the bloodshed, a meeting was arranged in Minsk, Belarus for a peace conference.  It was attended by the Kiev government, via President Poroshenko, representatives from Luhansk and Donetsk, the President of France, Francois Hollande, and the Chancellor of Germany, Angela Merkel, as well as Russian President Vladimir Putin.

After almost 19 hours of negotiations, they all signed the Minsk Agreement” to restore peace.

Ukraine did not honor even ONE item of that agreement.

Enter Donald Trump.   Trump handily defeated political wretch Hillary Clinton and all the troubles in Ukraine stopped.  It was like magic!

Fast-forward to 2020, the Democrat Party in the US used massive mail-in Ballot fraud, and electronic fraud with electronic voting machines, to STEAL the U.S. Presidency.

Within ONE WEEK of Joe Biden taking office, all the trouble in Ukraine began again.  Like Magic, again!

Seeing how this was going, In December 2021, Russia put forth a proposal for Iron-clad, legally enforceable security guarantees.   The collective West laughed at them and threw the proposal in the dustbin of history.

Weeks later, Russia issued the proposal again, only this time, they finished it by saying “If Russia cannot get iron-clad, legally enforceable security guarantees by Diplomatic means, it will achieve them through military means.”   They openly told everyone they were going to use force.

It took the collective West about two or three weeks to digest this, before they laughed again, and threw the proposal in the dustbin.

On February 21, 2022, Russia called Ukraine’s new President, Zelensky, and told him that Ukraine had five hours to agree not to join NATO.   Zelensky called the UK Foreign Secretary and the US State Department.  BOTH told Ukraine to “ignore Russia.”

Russia waited the five hours and, when there was no reply from Ukraine, two hours later, the Russian Army entered Ukraine and the war had begun.

The collective West calls this an unprovoked war of aggression.  That is a lie.  The West provoked this back in 2014 with the “Maidan” coup d’ etat, they provoked it again with the shelling and mortar fire into Luhansk and Donetsk, and they provoked it again by failing/refusing the Minsk Agreement.

The result has been:

  • Over one million dead soldiers all together, over 600,000 Ukrainians at least out of that million.
  • Likely three or four times that maimed disfigured and crippled.
  • 25 or more million Ukrainians have left their country.  Over half the population!
  • The country of Ukraine is destroyed and will probably never recover.

Years later, after Russia entered the fight under its “Duty to Protect” in the UN Charter, both Hollande and Merkel admitted in TV interviews, the entire Minsk conference was a ruse.  They both admitted they got involved “to buy time for Ukraine to arm for war with Russia.”

What kind of person goes to a Peace Conference with the intent to deceive into war? Psychopaths, maybe?

THAT is why today, the Russia-Ukraine conflict goes on, and despite all the weapons and money provided by NATO, Ukraine is losing.

The sooner Russia defeats Ukraine, the sooner all this trouble will end.

The Case for Trump & Restraining Liberalism – Steve Turley, Alexander Mercouris & Glenn Diesen

Surprisingly good.

Trump has threatened to impose 100% tariffs on goods from BRICS countries if they abandon the dollar, but isn’t the EU also not using it? Is this a veiled warning to everyone else outside BRICS to follow and respect Murican world leadership?

This is absolute nonsense

Trump never ever said this

Trump said if the BRICS established their own currency and traded in that currency then he would impose 100% tariffs on them for all the trade they did with the US

What is so wrong about this Statement?

He didn’t threaten to freeze their assets

He didn’t threaten to sanction them

All he says is he would impose a 100% tariff on all the business with US

Again note :—

BRICS trading with each other in local currencies is something Trump doesn’t give a damn about

His problem is if BRICS establishes a system that threatens the Brent System and universally changes the pricing of commodities in BRICS currencies rather than the US Dollar

Who will be affected here?

Russia doesn’t care

They don’t need US products anymore

Their exports to US are so crucial that US pays them in Rubles for all these products like Enriched Uranium & Fertilizers & Refined Titanium

Brazil doesn’t care

US runs a surplus with Brazil anyway and it’s foolish to impose tariffs on a country with whom you have a surplus

South Africa doesnt care

They get nothing critical from US and their Diamonds and Gold are needed in the US

Iran is sanctioned anyway

China is a buyer of Gold and Commodities rather than a seller so with a $ 1 Trillion annual trade surplus – China will ALWAYS use USD to buy commodities

They have too many Dollars anyway

India won’t be affected

India runs a surplus with US

India is a GOOD BOY who isn’t a threat to anyone for a minimum 50 years

So in reality, it is an Empty Threat by Trump

Life can be strange

On the deployment of a US military biolab in Tajikistan

Alarming news is coming from Tajikistan: as reported by Stan Radar, the Americans are preparing to open a military biolab at the Republican Center for the Protection of the Population from Tuberculosis (RCPT) in Dushanbe.

❗️It is this US military unit that is responsible for military biological research abroad, including in biolabs in Kazakhstan. Therefore, the information requires an immediate and tough response from both the authorities in Dushanbe and the CSTO. Especially given that Tajikistan is a member of the organization on whose territory our military base is located.

Cracklin’ Corn Bread

f1f23d63efaaaaf6a3419886751b0f23
f1f23d63efaaaaf6a3419886751b0f23

e48891ef062b4844b88f422ca7d4a6b3
e48891ef062b4844b88f422ca7d4a6b3

Ingredients

  • 3/4 cup finely diced salt pork
  • 2 cups cornmeal
  • 1 1/2 teaspoons baking powder
  • 1/2 teaspoon baking soda
  • 1 teaspoon salt
  • 2 eggs, well beaten
  • 1 cup buttermilk
  • 2 tablespoons salt pork drippings

f1f23d63efaaaaf6a3419886751b0f23
f1f23d63efaaaaf6a3419886751b0f23

Instructions

  1. Heat oven to 400 degrees F.
  2. Fry salt pork over low heat until nicely browned.
  3. Drain fat, saving both drippings and cracklings.
  4. Sift together corn meal, baking powder, baking soda and salt.
  5. Combine eggs, buttermilk and drippings.
  6. Stir into cornmeal mixture together with cracklings.
  7. Spread dough in a greased cast iron skillet and bake for 25 to 30 minutes or until done.

This guy would definitely be on the list.

main qimg 40a9bbee20becf08a58f51f385f90929 lq
main qimg 40a9bbee20becf08a58f51f385f90929 lq

When the whole world is in the middle of Coronavirus pandemic King Rama X of Thailand is staying in the four-star Grand Hotel Sonnenbichl in the Bavarian Alps (Germany) with an entourage of 20 women.

His real name is Maha Vajiralongkorn and now he is the king of Thailand so the name King Rama X.

main qimg 8f15abe3bf899eb1406c0d3035ed78ee lq
main qimg 8f15abe3bf899eb1406c0d3035ed78ee lq

His net worth is estimated to be a whopping 31 billion US dollars.

His father died in 2016 but his coronation took place in May,2019 as he wanted to give time to his countrymen to mourn his father’s death.

His coronation ceremony costed a freaking 31 million USD to the Thailand government.

main qimg a9310c0bbd0a6ec43c599ec380f5eeab lq
main qimg a9310c0bbd0a6ec43c599ec380f5eeab lq

Unlike the British royal family this guy can do pretty much whatever the heck he wants to such as naming his pet poodle Foo Foo an air chief marshal and of course a four-day-long Buddhist funeral ceremony for the Marshall after it died.

This dude has a reputation for womanizing, having fathered seven children by three women and a list of wives and girlfriends he dumped.

main qimg e95ed24522fd8cc6debdba0e6d9b52f2 lq
main qimg e95ed24522fd8cc6debdba0e6d9b52f2 lq

Don’t worry he ain’t punishing her, he’s just marrying her.

He likes to spend most of his time in Germany and Europe rather than his home country.

And his candid pics are pretty sick.

His mother, Queen Sirikit, reportedly once described her son as “a little bit of a Don Juan” in the early 1980s.

He spent most of his childhood in UK and Australia and discovered his interest in cycling and other sports.

main qimg c759899bbd38e4c1e812c8d1c5457e69 lq
main qimg c759899bbd38e4c1e812c8d1c5457e69 lq

Though his photos suggest that he dislikes shirts.

He is also pretty liberal as he is Thailand’s first modern monarch to openly have more than one partner.

main qimg f84b06b61320c3291fecb3597fc563a6 lq
main qimg f84b06b61320c3291fecb3597fc563a6 lq

He is quite conscious about his looks too because when a photo of the king was posted on Facebook showing him wearing a crop top, he threatened to sue Facebook, saying the images were insulting.

And quite dangerous too because according to Thailand’s law whoever defames the King or royal family is punished pretty hard.

At least two people arrested on royal defamation charges have been found dead under mysterious circumstances.

Hope this does not reaches him or I am dead.

The Negative Impacts of Dating Apps

This is a profound video.

Just some more pictures

d022d701880391635a82dd971bfe3de6
d022d701880391635a82dd971bfe3de6

4cb33efc75553dd4b30c8311b6df6a95
4cb33efc75553dd4b30c8311b6df6a95

97b9d5abe3dda3be4c440fafd12cfc32
97b9d5abe3dda3be4c440fafd12cfc32

d36233f826633fe7627147f5d1abe34a
d36233f826633fe7627147f5d1abe34a

a9d7802f0712a8347a35a0cb2b13f91a
a9d7802f0712a8347a35a0cb2b13f91a

20f700305ba5b0aa84f2f8d6f26a6770
20f700305ba5b0aa84f2f8d6f26a6770

254c6fbab4814c10ec75de19dfc028df
254c6fbab4814c10ec75de19dfc028df

8ff1d3eb26d12f0d40fcebee3841d3fe
8ff1d3eb26d12f0d40fcebee3841d3fe

19738e25b907342a42465158cb31ca86
19738e25b907342a42465158cb31ca86

341003c2596142ed6e2730fa78a6d365
341003c2596142ed6e2730fa78a6d365

2888b84af5f5a2cfbb4b8284190fa82c
2888b84af5f5a2cfbb4b8284190fa82c

1062cc8a5730b86663f590f70267d017
1062cc8a5730b86663f590f70267d017

e4cca8fb04b9c846c49d412fff9eb1b4
e4cca8fb04b9c846c49d412fff9eb1b4

89d2d68d3b9b2122eb3a0252e142ca4b
89d2d68d3b9b2122eb3a0252e142ca4b

4eb01a5469f15e72a39d3c28678898bc
4eb01a5469f15e72a39d3c28678898bc

7f1e35afa85fb59e586f0dfb9c9bc508
7f1e35afa85fb59e586f0dfb9c9bc508

0610388030be4b91c23d514c621a974d
0610388030be4b91c23d514c621a974d

de18eed87a0bd273628242bb1231436a
de18eed87a0bd273628242bb1231436a

24c8339f48b30c32acafcebcf2b1f63d
24c8339f48b30c32acafcebcf2b1f63d

365b4af3990278c521c69a5a810c3761
365b4af3990278c521c69a5a810c3761

7dcadfaee3643ccab44238c6f44a9315
7dcadfaee3643ccab44238c6f44a9315

aa08cb0f06fca4433178c53e9912facf
aa08cb0f06fca4433178c53e9912facf

b63a77e1946eccb2e02fb80a023c8929
b63a77e1946eccb2e02fb80a023c8929

4e8aa6ba2e39c0d78c3028230e14f415
4e8aa6ba2e39c0d78c3028230e14f415

ea7dedcf1bf4da89ee50408d908f37b9
ea7dedcf1bf4da89ee50408d908f37b9

d410ffee87c3dbb79b981dfcc120b9c9
d410ffee87c3dbb79b981dfcc120b9c9

b457f82643f9c99d608ef2dbfb678db9
b457f82643f9c99d608ef2dbfb678db9

fdc4614bd046e2cc47dd5f4beab62600
fdc4614bd046e2cc47dd5f4beab62600

4845d28c9e89d182261bfe24dae9e009
4845d28c9e89d182261bfe24dae9e009

8451fa60872319057d9b3ce7e5bf3fab
8451fa60872319057d9b3ce7e5bf3fab

d16cf2c1b596602b0ce0b00180cf7da1
d16cf2c1b596602b0ce0b00180cf7da1

e594f79ba5cce88bb8fec330255fdb22
e594f79ba5cce88bb8fec330255fdb22

d71ea6188280406994c3620f8e4e14e7
d71ea6188280406994c3620f8e4e14e7

76e96bb436b0283684b00e59a61abaf6
76e96bb436b0283684b00e59a61abaf6

efa7de4a51ddcd898e03cbb6a94be923
efa7de4a51ddcd898e03cbb6a94be923

0d680a0fd6762d5d77576d8d06fb518e
0d680a0fd6762d5d77576d8d06fb518e

1df9000a6d346a1dcac56901960515c6
1df9000a6d346a1dcac56901960515c6

df299d1f64490e8014d34e4909d5e730
df299d1f64490e8014d34e4909d5e730

4bd12f6d89684309b9a262e773d09402
4bd12f6d89684309b9a262e773d09402

38e8faedb8590c37329eeb79456a6231
38e8faedb8590c37329eeb79456a6231

2bd1d88a7abd3a15497bb756346737dd
2bd1d88a7abd3a15497bb756346737dd

0f58a02e4f921009f28bd89440f5c862
0f58a02e4f921009f28bd89440f5c862

3e52bbc1ef86a688b56ff5556ed97ca4
3e52bbc1ef86a688b56ff5556ed97ca4

e811a075f47e30532128e82c46a2d49d
e811a075f47e30532128e82c46a2d49d

6e56702a5267ce3aa4bbbddabec53a72
6e56702a5267ce3aa4bbbddabec53a72

a4b93a950b64a100be15ec426b6fa399
a4b93a950b64a100be15ec426b6fa399

40183ce9ae314fd82caa3845b781e366
40183ce9ae314fd82caa3845b781e366

5d6c893409ff8a263d49cdbf2c63f6e7
5d6c893409ff8a263d49cdbf2c63f6e7

379b3c22858f0fcdb523212d69c3ebfe
379b3c22858f0fcdb523212d69c3ebfe

bbd2d702a8238158e715f36cb122d7fd
bbd2d702a8238158e715f36cb122d7fd

653e262c98c8ff9237626dc445932bca
653e262c98c8ff9237626dc445932bca

447e2d040170643e119514743f62a566
447e2d040170643e119514743f62a566

e72230077ea1d9de2c738fc1bd9a137c
e72230077ea1d9de2c738fc1bd9a137c

dac968103253c4b6bd54435341634410
dac968103253c4b6bd54435341634410

b4325f3733500fbe232e19cfe1dd5d6c
b4325f3733500fbe232e19cfe1dd5d6c

31bd15bac8227ee48e99a6f82f9f4c25
31bd15bac8227ee48e99a6f82f9f4c25

fe9520b3c75dc8ef38eac535a7bd5af9
fe9520b3c75dc8ef38eac535a7bd5af9

7dea301983769e7973f0f2bbb2c4ebfa
7dea301983769e7973f0f2bbb2c4ebfa

09c18f0de998a2f85edccead161b4ba9
09c18f0de998a2f85edccead161b4ba9

c8477a07cf5d54f47b92fc1150c421da
c8477a07cf5d54f47b92fc1150c421da

584977b5f022da8f403b1c6997cdbd07
584977b5f022da8f403b1c6997cdbd07

4839274aeb7546918874449ffc8792d5
4839274aeb7546918874449ffc8792d5

f47a13f8638dd450eb4b3f37a97799e9
f47a13f8638dd450eb4b3f37a97799e9

0f2c977b17e2fb4285cceee8051eb613
0f2c977b17e2fb4285cceee8051eb613

6da6f5f91eecbb714f501048558d7211
6da6f5f91eecbb714f501048558d7211

6a38d9a1509e285eb4eae9f58e46622e
6a38d9a1509e285eb4eae9f58e46622e

0a8c6e79d029f5d5ac0eddfbfcd8b7a9
0a8c6e79d029f5d5ac0eddfbfcd8b7a9

6c9c240b57799c9e53f47201d7357cf2
6c9c240b57799c9e53f47201d7357cf2

13eddd0b878f580087484cc5a40bec34
13eddd0b878f580087484cc5a40bec34

2b9ef385590a04fba4f098dff4e001d8
2b9ef385590a04fba4f098dff4e001d8

c1e5529bddb04d84e98c3f7bef244b05
c1e5529bddb04d84e98c3f7bef244b05

98666f7e3ca360ac4b2c4d0ba65dfeac
98666f7e3ca360ac4b2c4d0ba65dfeac

8227419c8821ae6b368ca3637eb35ff7
8227419c8821ae6b368ca3637eb35ff7

050bcb9d24792bc824de9238eaac1c99
050bcb9d24792bc824de9238eaac1c99

138259ab921d5270a18358201c274386
138259ab921d5270a18358201c274386

@@@@47290c64dcc103c8a65e63fccea4ab6b
@@@@47290c64dcc103c8a65e63fccea4ab6b

Talk Of A Pre-Emptive Attack On Russia Is Going To Make Russia Even More Likely To Conduct A Pre-emptive Attack Against Us

If some lunatic shows up at your front door in the middle of the night and threatens to shoot you, does that make it more likely or less likely that you will shoot first?  Any talk of NATO conducting a pre-emptive attack against Russia is extremely dangerous, because the Russians are paranoid enough already.  If they become convinced that we are planning to hit them before they can hit us, that could motivate them to do something really, really stupid.  We are closer to nuclear war than we have ever been before, and we definitely do not need western leaders making provocative statements that are only going to make things even worse.

For example, during a conference in Brussels NATO’s top military official said something that is now making headlines all over the globe.  The following comes from an article posted on MSN News entitled “NATO considers preemptive strikes amid rising tensions with Russia”

NATO Military Committee Chairman Admiral Rob Bauer stated during a conference in Brussels that NATO leadership is contemplating the possibility of conducting precise preemptive strikes on Russian territory in the event of an armed conflict between Moscow and the Alliance.

It is now being claimed that Bauer was not actually talking about a pre-emptive strike on Russia.

But if you look at his actual words, it certainly seems like that was precisely what he was talking about…

During a question-and-answer session after his address at the European Policy Center in Brussels, Bauer said, “The idea was we are a defensive alliance, so we will only sit and wait until we are attacked, and then when we are attacked, we will be able to shoot down the ‘arrows’ that come to us,” referring to a Russian strike.

He also said that when responding to any attack, it would be “smarter” to “attack the archer, that is…Russia—if Russia attacks us. So you need to have a combination of deep precision (strikes) with which you can take out the weapons systems that are used to attack us.”

Needless to say, the Russians were not amused.

In fact, Russian Foreign Minister Sergey Lavrov believes that Bauer was essentially announcing NATO’s “real plans”

The North Atlantic Alliance has ignored all diplomatic protocol, allowing itself to make statements about the possibility of preemptive strikes on Russia, top Russian diplomat Sergey Lavrov said.

“Just the other day, Mr. Bauer, NATO Military Committee Chair, explicitly stated that it’s no longer enough, and ensuring the defense of the North Atlantic Alliance member states requires strikes on targets in Russia that NATO believes may pose a threat to the bloc. I think there’s nothing to comment on here; it’s just that they have forgotten all etiquette, publicly announcing their real plans,” he noted at the 20th meeting of the heads of security and intelligence agencies of the Commonwealth of Independent States (CIS) countries.

If the Russians become convinced that we are going to hit them first, that will make it much more likely that they will hit us first.

We really need to get the Russians to understand that we have no plans to do that.

Meanwhile, a French news source is reporting that European leaders continue to discuss “sending Western troops and private defense companies to Ukraine”…

As the conflict in Ukraine enters a new phase of escalation, discussions over sending Western troops and private defense companies to Ukraine have been revived, Le Monde has learned from corroborating sources. These are sensitive discussions, most of which are classified – relaunched in light of a potential American withdrawal of support for Kyiv once Donald Trump takes office on January 20, 2025.

That is insane!

What in the world are they thinking?

No matter what Donald Trump does when he gets into the White House, our European allies fully intend to continue to escalate this war.

It is madness.

On top of everything else, this week the New York Times has reported that the Biden administration has actually discussed the possibility of arming Ukraine with nuclear weapons.

When Dmitry Medvedev heard about this, he went ballistic

Moscow will consider any threat of nuclear arms being supplied to Ukraine by the US as preparation for a direct war with Russia, former president Dmitry Medvedev has warned. The actual transfer of nuclear weapons would be tantamount to an attack on the country under Russia’s new nuclear doctrine, he added.

On Tuesday, Medvedev posted a message on Telegram that was quite ominous

“Give nuclear weapons to a country at war with the largest nuclear power? The idea is so absurd that it raises suspicions about a paranoid psychosis in Joe The Walking Dead and all those who would advise such a move.”

He continued, “Yet I must comment on the nonsense: 1) The very threat of transferring nuclear weapons to the Kyiv regime can be considered preparation for nuclear conflict with Russia;

2) The actual transfer of such weapons can be equated to an act of attack on our country under article 19 of the Fundamentals of State Policy in the Field of Nuclear Deterrence.

The consequences are obvious.”

I don’t think that the Biden administration has any intention of giving Ukraine nuclear weapons right now.

But the fact that they are talking about it is really freaking out the Russians.

I really wish that cooler heads would prevail, but instead both sides just continue to escalate matters.

Over the past few days, Ukraine has launched more long-range missiles provided by NATO into Russian territory, and now the Russians have announced that they are preparing another “response”…

Russia is preparing a response to Ukrainian ATACMS attacks on Kursk Region, the Russian Defense Ministry announced on Tuesday. Last week, US President Joe Biden authorized Kiev to use US-supplied long-range missiles against targets deep inside Russia’s internationally recognized borders.

In an official statement on Telegram, the Russian Defense Ministry reported that over the past three days, Ukraine’s forces had conducted two long-range strikes on Kursk Region using Western weaponry.

As I discuss in this video, many are anticipating that the Russian “response” will be even larger than last time.

 

 

Let us hope that the Russians only use conventional weapons, and let us hope that they limit their targets to Ukraine.

Because the Russians have previously identified a U.S. base in Poland as a potential target, and the Biden administration is making it clear that such a strike would trigger NATO’s Article 5

White House National Security Communications Advisor John Kirby said Monday that the U.S. has a ‘rock-solid’ commitment to NATO’s Article 5, should Russia strike the new U.S. anti-missile base in Poland. Article 5 is NATO’s principle of collective defense, that if one NATO member is attacked, all other NATO members go to war with the attacker, a world war-style response.

“We take our Article 5 commitments to our NATO Allies incredibly seriously. It’s rock-solid, and that’s not going to change,” Kirby said on Monday, according to Remix News.

Kirby was responding to Russian Foreign Ministry spokeswoman Maria Zakharova, who on Thursday said that Russia is considering attacking a new U.S. anti-missile base in Poland.

We are dangerously close to a point of no return.

Once nuclear missiles start flying, there will be no going back.

The Russians have been working extremely hard to prepare for a nuclear war, and meanwhile the U.S. is still relying on hopelessly outdated systems from the 1970s and 1980s.

We must change course while it is still possible to do so.

Unfortunately, it appears that we are about to witness another series of escalations which will push us even closer to the unthinkable.

Best Served Cold

Submitted into Contest #62 in response to: Write about a character preparing to go into stasis for decades (or centuries). view prompt

Charles Stucker

“Your Grace, I regret to inform you that the constant provocation of lawless elements from your duchy have forced my lord, His Majesty, the Emperor of Barundia, to declare war upon you.” The popinjay ambassador from the power-mad tyrant bows as he speaks. “Do you wish to respond?””I would like a week’s time to arrange my abdication,” I answer. “If I can manage affairs with my subordinates, my people will not suffer.””We know your coffers are full. Should they be plundered ere the week is done, no place shall hide you from our lord’s wrath.””Leave. I have a bare week to prepare. Note well, that should you cross my borders a single day early, my army shall fight and we will burn every field and granary, salt every field, poison every well, and allow our folk time to flee to the Kingdom of Marondika. Your lord will gain naught but a barren hellscape should he arrive early.”I sit and watch the ambassador leave, then motion my marshal forward. “Gather all the armsmen, retainers, and even the first levy. Gather them here in case of treachery. Allow their spouses and children to come as well, that they may all depart together.”I wave my hand and the bailiff dismisses the remainder of my court. I have a plan, but it will require the aid of my wizard, Garven.”You’re certain this will work?” I ask Garven.”Yes, Duke Rondil.” He motions to the hidden entrance. “None can find the caverns once the spell seals them and all within will remain timeless for the duration. To those inside, but an instant shall pass.””Good. It can hold the number needed, along with all the gear and treasures?””Certainly.”I leave him and go out to the gardens. I stop beside the statue of my late wife. “I may never see you after this. That barbarian will certainly destroy you in a fit of pique. But I must do this.”I am certain time shall prove fleeting.The days have fled. Levies, many with young wives and small children, arrive in fits and starts, only to disappear into the depths of the castle. Rumors fly, but none speak openly. My senior retainers, lords all, fret with worry.”Sire, are you ill?” Dyimes, my senior squire. Like all royal guards, he is a knight in his prime. A bachelor of twenty-seven. When we emerge, I must arrange a suitable bride for him.”No Dyimes, but my decision weighs on me.””I shall follow you into exile willingly.” Ever loyal, he sees it as the only option.”Walk with me.” We traverse the hall of ancestors, a line of paintings which I cannot remove until the closing moments. Dyimes would trail me, but I motion him to my side. We reach a side corridor and I follow it to the empty solar, where my wife once held her lady’s court.”I’ve never been in this part of the castle before.””Hardly surprising. It has grown over twelve generations.” I give him a wry smile. “Once it was a modest chalet, but now it sprawls with offices and rooms until I can scarce credit none have torn it down to start anew.””How do you intend to fare abroad?””I intend to go into an enchanted slumber.””For how long?””Twenty years. Enough for the mad emperor to grow old and the people to tire of his rule.”

“The disappearing levies.” He blinks. “And the arms. You intend to rebel when you return.”

“Exactly. I took the first levy, the men sixteen to twenty-five, to give me a double count of those men when I return.” I start walking, worried that a spy might follow and overhear. “Coupled with the nobles and their retainers, we shall have more force than today, and they shall be led by either his son, who is a halfwit, or a tired old man.”

“All this depends on your wizard. What if he fails to wake you at an appropriate point?”

“Then we shall wait for eternity I suppose.”

Dyimes’s words fill me with misgivings, yet I decide to enjoy this last day to the extent possible. I wander from hall to hall, room to room. I walk into the stables, where stablehands lead reluctant chargers- rounceys, coursers, and destriers away. Tack goes with the steeds or is already in the caverns. A youth pulls on Foecrusher’s reins, hapless before the truculence of the massive beast. I wonder where Sir Acehilm, his rider, might be. Then I am past the stables and into the kitchens, where the harried cook makes a final meal even as supplies are taken down into the depths by those who will go with us into an uncertain future.

Maudlin sentiment overcomes me. I strip my household of provender and servants, my land of youth and arms, my treasury of coins and bullion, all to prevent the usurper of Barundia claiming them. Had only his brother lived, we might have a reasonable man over there and I would still enjoy my wife’s embrace. My steps take uncertain turnings.

Then, the ambassador steps in front of me. I have no clue how he entered my court at this time. I suppose someone must have left a door open, or some such. Garven worked an enchantment to stay men’s tongues. Once he seals us in, he intends to cloud memories. I shall be a lord from a magical tale, destined to return in my people’s time of need. But only if none can tell my enemies where to find me.

“Ambassador,” Dyimes says. “You have returned early. Are our agreements nullified?”

“This castle seems much reduced.” Another of the fop’s bows. “Perhaps your duke forgot that Emperor Frentowex warned him to not loot the treasury on pain of death.”

“Perhaps I intended to spare you the fate you so richly deserve for aiding him,” I say. “Do you believe me unaware that you escorted the assassin who murdered my wife bare weeks before the birth of my first child?”

Dyimes, instantly alert, steps past the ambassador to drop the man’s bodyguard with a dagger to the belly. I have the ambassador by the throat with one hand while the other grips his wrist. “I and mine shall return one day to your liege’s dismay. But you shall tread the paths of death ere sunset.”

Dyimes plunges his dagger into the ambassador’s back.

“Leave the bodies.” I lead Dyimes to the secret entrance, where Garven awaits. “Is everything ready?”

“Including having a team of jongleurs to spread the mystery of the disappearing duke and his household.”

Knowing the bitter chill which shall encase us, I step into the depths to chase my revenge.

 

author’s note- This one may be a little rough. I posted just as I finished.

Fun Pictures

578285f6255a4649296ce7d6b2eec93b
578285f6255a4649296ce7d6b2eec93b

00aad2511b53b9b1be1b634c4f34b3a2
00aad2511b53b9b1be1b634c4f34b3a2

53fd920c8705dfb163d50f5bc93b3ce4
53fd920c8705dfb163d50f5bc93b3ce4

5cdfefdbb72ff2a4552a495c9bd82105
5cdfefdbb72ff2a4552a495c9bd82105

f4f1a4ba3714bfe9bbbcaeac498940dd
f4f1a4ba3714bfe9bbbcaeac498940dd

b6c5a3d2794ff15d3c9c1ccd49e7844e
b6c5a3d2794ff15d3c9c1ccd49e7844e

d3b0d396549ce44fbd904b721c5f0ef0
d3b0d396549ce44fbd904b721c5f0ef0

930efb4c829ba0ae1fd4e2adbf208789
930efb4c829ba0ae1fd4e2adbf208789

26cf4e27203aef22135e89198d259434
26cf4e27203aef22135e89198d259434

8a02b001ab1086bb6c0d826d55385f12
8a02b001ab1086bb6c0d826d55385f12

031c4ea9f1373df9984186275faba66d
031c4ea9f1373df9984186275faba66d

ce94762989dd149e0b5db04520686d93
ce94762989dd149e0b5db04520686d93

0079ff5b301d8e0a622f9b4b58fe7e7e
0079ff5b301d8e0a622f9b4b58fe7e7e

8624087a7c1fe48c9d5734de461da9a1
8624087a7c1fe48c9d5734de461da9a1

c58d88ba6dfb141581a3da3adbbeff69
c58d88ba6dfb141581a3da3adbbeff69

3515eb84f521ae818113758d32389fe6
3515eb84f521ae818113758d32389fe6

959ab26fe9eaef24c470a70ba4ceeefc
959ab26fe9eaef24c470a70ba4ceeefc

ffed0ffb9344e0c6c50255da48aadae0
ffed0ffb9344e0c6c50255da48aadae0

cdc800166c75045730e50a0cfe7cd42c
cdc800166c75045730e50a0cfe7cd42c

d511f4dba03fa94b869fbb12a791e639
d511f4dba03fa94b869fbb12a791e639

98fdc5d17c8d7e7f82028bb5aa97ae6d
98fdc5d17c8d7e7f82028bb5aa97ae6d

d1c666b302e2592679357001ca254e0f
d1c666b302e2592679357001ca254e0f

5163c97fc9b4f369bfed9dee37ab9bc7
5163c97fc9b4f369bfed9dee37ab9bc7

b345a28800eb1d9b21ab8ed9d7cf087e
b345a28800eb1d9b21ab8ed9d7cf087e

4fc4620ff0ed83bfc117882aec9387f2
4fc4620ff0ed83bfc117882aec9387f2

26e7acdecd28299ff996f029226082f7
26e7acdecd28299ff996f029226082f7

a6a60fc362e747f6126e83744b44544f
a6a60fc362e747f6126e83744b44544f

5ffdeccc1f0d04a8f73f5c9ccc94ec1d
5ffdeccc1f0d04a8f73f5c9ccc94ec1d

3b762c3ed2adf723b852cd5a439ae221
3b762c3ed2adf723b852cd5a439ae221

7c8822f5347bb353d08567324a8e60c5
7c8822f5347bb353d08567324a8e60c5

00d568aff35aa579192bb46828c55e7e
00d568aff35aa579192bb46828c55e7e

89d7d678406a615617b40041bc825d4e
89d7d678406a615617b40041bc825d4e

47e5dc8b3d2abeadcac56f600d0987fb
47e5dc8b3d2abeadcac56f600d0987fb

b1d9f0ea094f9237d91293b29d214b82
b1d9f0ea094f9237d91293b29d214b82

e78b55c3e6cc67d8183257363b962af1
e78b55c3e6cc67d8183257363b962af1

c8859b641719e85802e373af6317d9e3
c8859b641719e85802e373af6317d9e3

7eb129d32d7125581c9c633fb250bdfb
7eb129d32d7125581c9c633fb250bdfb

17dc6d0f7eeeed110f5d9125b36faa69
17dc6d0f7eeeed110f5d9125b36faa69

13cdb6c8b8b8f464a3fb38f255614bc7
13cdb6c8b8b8f464a3fb38f255614bc7

cde290a5dc4660ab1adf7476d56acb72
cde290a5dc4660ab1adf7476d56acb72

7a1f326f7d7708b19631a8ea2f652ae0
7a1f326f7d7708b19631a8ea2f652ae0

b793723e3398a8b6b8df100c8ab43740
b793723e3398a8b6b8df100c8ab43740

5663fe87aa59bf782c8db6dc85b065b5
5663fe87aa59bf782c8db6dc85b065b5

72258abd3585b5deaff8bf88ae2b7594
72258abd3585b5deaff8bf88ae2b7594

d354ff9aa8e578f222c721f6f2295800
d354ff9aa8e578f222c721f6f2295800

d320e5e09efc84fabca4e9e32e30325e
d320e5e09efc84fabca4e9e32e30325e

ec662ab93bc349aab8691011eaeb4d96
ec662ab93bc349aab8691011eaeb4d96

52c3eb1e3a3c3ca3856c44f0c6760575
52c3eb1e3a3c3ca3856c44f0c6760575

19cfbc52b2cdfb08570fcb211b434df7
19cfbc52b2cdfb08570fcb211b434df7

a48ac199305d0314c466e0d6966aa7ba
a48ac199305d0314c466e0d6966aa7ba

b251318bbe266f5dabe89c8265a131d7
b251318bbe266f5dabe89c8265a131d7

cc1ab4ccc4c6fcc35be46ce4702a2f55
cc1ab4ccc4c6fcc35be46ce4702a2f55

1f3c9847c84a898bf19157996ccb736c
1f3c9847c84a898bf19157996ccb736c

fe3330df47dc4464bf89ec1c3404ad89
fe3330df47dc4464bf89ec1c3404ad89

948b2520b18187d6f2d89d957856146e
948b2520b18187d6f2d89d957856146e

e162c07a7c39384e25733ef94493a270
e162c07a7c39384e25733ef94493a270

331403c22fb4fd8e8de83334cc53ecae
331403c22fb4fd8e8de83334cc53ecae

9641920a955b95ba21713049a2ff078b
9641920a955b95ba21713049a2ff078b

8e9bc9d2cdebeddbee3fe0482a31b98e
8e9bc9d2cdebeddbee3fe0482a31b98e

007296701ed400707f5730219d5c347c
007296701ed400707f5730219d5c347c

Ladies and gentlemen… the Future Director of the FBI!!

main qimg 7a2be4871168598f0249542ef754b9dc
main qimg 7a2be4871168598f0249542ef754b9dc

China SLAPPED ASML So HARD: Europe Will NEVER Recover!

The Population

Submitted into Contest #62 in response to: Write about a character preparing to go into stasis for decades (or centuries). view prompt

Julia Boddie

They rushed him to the hospital. Timothy laid on the wheeled stretcher. He was ready.A few weeks ago, he got an email saying that he had been accepted into a science camp. Timothy was overjoyed. He had been trying to get into that camp for three years.Timothy counted down the days until camp started. Nothing else mattered to him. If he got grounded for not helping out around the house, he would just lay in bed thinking about the camp. As long as he got to go to that camp, everything was fine.Finally, the day had come. The camp bus drove by his house to pick him up. Timothy got in, carrying a suitcase full of unnecessary things.“You Timothy Carin?” asked the bus driver.Timothy nodded and sat down in an empty seat at the front of the bus. The door closed and the bus began to drive away. After a few more stops and other kids’ houses, they were on their way to Camp Camerez. The bus drove down the dirt paved road. The area was abandoned.Timothy was worried. This was not how the area looked on the flyer. When they got to the camp though, Timothy was amazed. It was so fancy. There was a huge brick building. In the front, it said in big, bold, yellow letters, “WELCOME TO CAMP CAMEREZ!”. There were two other around it buildings that were slightly smaller than the building in the middle. Timothy knew from the virtual tour he had taken that those were the two science labs.The camp wasn’t much of a camp. It was more of a school. The bus stopped.“Everyone off the bus,” said the bus driver. “Head towards the main building, but don’t go in yet. Just wait at the entrance.”The kids nodded and started to get off the bus. Timothy slid out of his seat. He then descended the bus stairs and started to walk towards the big building.He was one of the first people to make it there. It was probably his excitement fueling him. When he made it, a man walked out of the entrance.“Welcome to Camp Camerez,” he said cheerfully. “It’s my job to ensure you have a great time here. If you have any problems, please come find me. When the others get here, I will show you where you’ll be staying.”

 

Timothy nodded. This person seemed friendly enough. When everyone else got there, he told them the same thing. Then he told them to follow him and began walking towards a large cabin.

 

To Timothy, it seemed like this was the only reason they could call this place a camp.

 

“This is where the girls will be staying. Everyone unpack and pick a bunk. There will be no fighting or roughhousing in there do you understand?”

 

The girls nodded and went inside their cabin. He led the boys a little bit further away to a different cabin.

 

“Here is your cabin boys. You guys have the same rules as the girls. No roughhousing or fighting. Everyone go ahead and unpack and choose a bunk.”

 

All the boys, including Timothy, rushed into their cabin, eager to get first pick on a bunk. Timothy was one of the only ones who didn’t know anyone else. Everyone else already knew each other and they all wanted to have a bunk with their friends.

 

Timothy ended up stuck on the bottom bunk in the corner of the room. He was fine with that though. As long as he got to attend this camp, he would be fine with anything. He unpacked all his belongings. In his suitcase, he had his clothes, toothbrush, hairbrush, and other assorted items.

 

After he unpacked, he decided to go explore the camp. He walked outside of the boy’s cabin and looked around. It was sunset. Timothy didn’t want to explore anymore. He just wanted to get a good view of the sunset. He looked around for a high place he could stand.

 

He saw a zipline. There was a wooden platform leading to it. He could stand on that. He rushed over there, not wanting to miss the beautiful sunset.

 

When he made it over there, he saw a ladder to climb up to the platform. Timothy climbed as fast as he could. Finally, he made it up.

 

Timothy didn’t know why he was so attracted to the sunset this day. It was his destiny to be. He heard someone climbing up the ladder.

 

“Who is it?” he asked.

 

“My name’s Andrew. I’m your bunkmate,” the boy shouted from the bottom of the ladder.

 

He continued climbing up.

 

“Well, what are you doing here?” Timothy asked again.

 

“Same as you, I assume. I just wanted to get a good look at the sunset.

 

Andrew was almost to the platform.

 

It was a little scary being up there. Timothy was about a hundred-fifty feet up in the air. Below him was water. He wondered if it was deep.

 

Andrew had made it up. Both boys stood there, staring at the sunset. Then Andrew started to shift ever so slightly behind Timothy. When he was behind him, he pushed him into the water below.

 

Timothy felt the shove come from behind. The next thing he knew, he was plunging into the water. He hit the water in a belly flop.

 

Timothy sunk to the bottom. With a belly flop like that, he should’ve been dead. But miraculously, he survived. Timothy was at the bottom of the lake when he heard a voice.

 

“Are you ready?” the voice asked.

 

Timothy had no idea what it was talking about. He tried to talk, forgetting that he was underwater.

 

“Wwwwwa rrr yaaaa ttaaaa aaaabot?”

 

“Oh yeah. Sorry about that, I forgot you were underwater.”

 

A giant bubble of air surrounded Timothy. He could breathe.

 

“What are you talking about?” he asked again, this time understandably.

 

“You weren’t told yet? They were supposed to start getting you prepared ages ago!” said the voice.

 

“I still don’t know what you’re talking about.”

 

“You have to go into stasis for a thousand years.”

 

Timothy laughed. The voice had to be joking.

 

“I’m not kidding. You must go into stasis. It is your destiny. You must do it to save the world.”

 

“How is me going into stasis going to save the world?”

 

“It’s kind of a long story. Well, the world is overpopulated. If there are too many humans, the whole race will die out. Not wanting to kill anyone, a scientist came up with a plan. She came up with a way to transfer people’s souls inside other people. So, you’re the body. There are about fifty-million souls inside of you. Still, killing all those people would be wrong. That’s why we need you to go into stasis for a while. That way, the world won’t be overpopulated anymore, and hopefully, when you wake up, the world won’t be as populated and you can come back. Don’t worry though, you won’t be in stasis for too long. Every three hundred years, you’ll wake up and I’ll take you through physical therapy.”

 

“That doesn’t make it any better! That means I’ll only wake up three times!” Timothy shouted.

 

This was a lot for him to take in. He couldn’t believe that he had about fifty-million people inside him. He had always been an ambivalent person.

 

Timothy did not want to go into stasis, but it was either that, or he had to die. Plus, it wasn’t fair to all the other people in him. It wouldn’t be fair if all of them had to die just because he didn’t want to go into stasis. Timothy decided he would do it.

 

“Besides you and the scientist, does anyone else know about this?” he asked.

 

“Actually, I am the scientist. I found a way to transmit my voice down here. But no, besides us, no one else knows about this. When you go into stasis, I’ll make it look like some kind of accident.”

 

“What will you do with me?”

 

“I guess I’ll just take you back to my lab and take care of you there. Before I pass away, I’ll find some more trustworthy people to take care of you. They’ll do the same. So we’ll take care of you for a thousand years,” said the scientist. “Come back here tomorrow. I have to get you prepared.”

 

The air bubble disappeared and Timothy floated up to the surface. He looked up and saw Andrew still standing on the platform. Timothy climbed up the ladder at lightning speed. When he made it up there, he was furious.

 

“Why would you push me? I could’ve died! It’s a miracle I survived!” he shouted.

 

“Calm down. I was just trying to have a little fun. How was I supposed to know you’re afraid of water?”

 

“I’m not afraid of water! You’re lucky I’m okay. I’m going back to the cabin.”

 

Timothy stormed off in the direction of the cabin. When he got there, he changed into some dry clothes and went to bed.

 

The next morning, they were woken up at sunrise by their guide.

 

“Everyone up! Time to get up!”

 

Timothy jumped up, startled. He banged his head on Andrew’s bunk above him. He rubbed his head and got up.

 

He and all the other boys took turns showering, brushing their teeth, washing their faces, and getting dressed. It took about an hour for everyone to get ready, mainly because there were only a few showers and a lot of kids. Also, some of the boys wouldn’t stop playing around.

 

When they were all ready, they waited for the girls to finish. The girls took even longer than the boys because they were putting on makeup. Timothy never got the point of makeup. Why would you go through the hassle to put it on, when you’re going to mess it up anyway? And even if you didn’t, it didn’t even last that long. The boys waited for about thirty minutes. Finally, the girls finished.

 

Timothy was excited about camp. He also needed to find the time to go back to the lake and meet the scientist. He wasn’t worried about that though. He could probably just slip away when nobody was looking.

 

The guide came by both of the cabins and led the boys and the girls.

 

“Today we’re letting everyone work in the labs. After that, you’ll have free time for the rest of the day. Then tonight, we’ll be roasting marshmallows by the campfire,” he said, pointing to a campfire.

 

When they made it to the labs, they walked in. There were adult supervisors at every station. Everyone split up and got to work.

 

Timothy wasn’t sure what to do. He had been so excited to come to this camp, but when he finally got there, he didn’t know what to do. Timothy eventually decided to just build a mini volcano.

 

After being in the lab for a few hours, the guide let them out for free time. During his free time, Timothy went to the lake. He talked to the scientist, preparing for his stasis.

 

She taught him what to do. If he felt like he was about to go into stasis, he had to breathe in and out at a rapid pace. This would help keep him alive.

 

Day after day, Timothy kept going to the lake.

 

Eventually, it was time.

 

One day, Timothy started to slip. He felt as if he was losing his grip on reality. He knew it was time. He breathed in and out rapidly. He was in the boy’s cabin alone. Then, Andrew walked through the door.

 

Timothy gasped. He didn’t want Andrew to see him pass out.

 

“Andrew, you have to get out of here,” he said, still wheezing.

 

“Why?”

 

“Ummmmmmmmmm. You’ll miss zip lining!” Timothy said, trying to sound believable.

 

“I didn’t know we were going zip lining today,” Andrew said.

 

He rushed out of the room with false hopes. Timothy was glad he was gone. He continued to breathe fast. He enjoyed those breaths. They were the last he would take for three hundred years.

We Were Soldiers Lighter Moments

Mark Swiden (U.S.) is an expert in drug manufacturing and was arrested red-handed at a drug production den with solid evidence.

main qimg da75fa0b6411422a4ec8e94491b03a85
main qimg da75fa0b6411422a4ec8e94491b03a85

On April 30, 2019, the Intermediate People’s Court of Jiangmen City, Guangdong Province, in accordance with the law, the Canadian defendant Fan Wei and other 11 large transnational drug trafficking, manufacturing case for public sentencing.

The court found that in March 2012, the defendant Fan Wei, Wu Ziping people conspired to jointly manufacture, trafficking in drugs, and gathered defendants Mark Swiden (U.S.) and Leon, Pedro, Oscar, Keret (four are Mexican), such as drug production technicians and Zeng Xiantan, Li Rongfu and other drug production personnel.

From July to November 2012, Fan Wei and the others [1] set up a drug manufacturing cell in Taishan, Guangdong Province, and [2] trafficked and [3] manufactured a total of 63,833.92 grams of methamphetamine and 365.9 grams of di-methylcrystalline propylene glycol (DMP).

main qimg b0660514b92b7f187fa04629692f7f7f
main qimg b0660514b92b7f187fa04629692f7f7f

Mark Swiden, an American citizen, was arrested in China in 2007 for drug trafficking and manufacturing. According to available information, he was sentenced to death in 2009. The Chinese judicial system handed down this sentence due to the severity of the crime, as drug trafficking and manufacturing are considered extremely serious offenses in China.

Queen teaches a princess

Trump Nominees Are Targets Of Bomb Threats As Radical Groups Plan Massive Protests For Inauguration Day

You didn’t think that the radicals would just give up and go home after Donald Trump won the election, did you?  It took a little bit of time for the shock of Trump’s election victory to wear off, but now it appears that they are ready to cause widespread chaos.  On Wednesday, it was being reported that multiple individuals that have been nominated for positions in Trump’s cabinet have been “targeted with violent threats”

Multiple of President-elect Donald Trump’s Cabinet nominees were targeted with violent threats in recent hours and law enforcement officials are responding, Trump’s transition team said on Wednesday.

The threats occurred on Tuesday night and Wednesday morning and included bomb threats and swatting, Trump transition spokesperson Karoline Leavitt said in a statement. Swatting refers to attacks where people falsely report crimes to police, sending them to locations where no emergency occurred.

“Law enforcement and other authorities acted quickly to ensure the safety of those who were targeted,” Leavitt said. “President Trump and the entire Transition team are grateful for their swift action.”

This isn’t just happening to nominees that are highly controversial.

For example, Elise Stefanik and Lee Zeldin were not controversial picks by Trump, but they have both been targets of bomb threats

Elise Stefanik, a Republican U.S. representative and Trump’s choice to be U.S. ambassador to the United Nations, and Lee Zeldin, a former Republican congressman who is Trump’s pick to head the Environmental Protection Agency, both said in separate statements they had been the targets of bomb threats.

An FBI spokesperson said the bureau is aware of numerous bomb threats and swatting incidents targeting incoming administration nominees and appointees, and is working with its law enforcement partners.

Could you imagine living with the fear that some nut could set off a bomb at your home at any moment?

Zeldin says that the pipe bomb threat that was directed at his family came with “a pro-Palestinian themed message”

“A pipe bomb threat targeting me and my family at our home today was sent in with a pro-Palestinian themed message,” Zeldin said in a statement posted on X. “My family and I were not home at the time and are safe. We are working with law enforcement to learn more as this situation develops.”

President Trump hasn’t even taken office yet, and this is already beginning.

So how bad will it get once Trump and his cabinet start making decisions that the radicals absolutely detest?

Over the past few weeks, we have seen such an explosion of rage all over the country.

Many on the left were absolutely convinced that Trump would be defeated.  When that didn’t happen, a tsunami of negative emotion was released.

Let me give you an example of what I am talking about.  In Wisconsin, a group of women recently gathered to conduct a “primal scream” session during which they attempted to release the frustration that they are feeling as a result of the election…

A group of sad leftists gathered at Klode Park in Whitefish Bay to engage in a “primal scream,” releasing what was described as their “pain and frustration” after the election results saw President-elect Donald Trump romp to a decisive victory and Vice President Kamala Harris left far behind.

Video shows the group of people standing at the shore line and screaming. One of the event’s attendees — identified as an organizer — also posted about the event on Facebook.

“What a gorgeous morning to gather at Klode Park in Whitefish Bay to engage in a Primal Scream in order to release our pain and frustration after the election,” Tamara Gibbs posted on November 9, less than a week after the election.

I have watched footage of these women screaming at the top of their lungs, and it is truly frightening.

It is hard to imagine how this could possibly be helpful.

Instead, it seems to me that they are just whipping themselves up into even more of a frenzy.

Unfortunately, radicals have now identified a focal point for their frustrations.

Inauguration Day is coming up on January 20th, and many on the left plan to make it a day to remember.  The following comes from the official website of one group that is engaged in a “nationwide mobilization” effort…

On Inauguration Day, January 20, people will come together in Washington D.C. and in cities and towns across the country in a nationwide mobilization opposing Trump’s ultra-right, billionaire agenda.

Trump ran a con game during the election. His real agenda is to destroy worker’s rights, deport millions of immigrant families, and pave the way for a complete corporate capitalist takeover by ending regulations to protect the environment, firing thousands of public sector workers, and transferring ever-larger parts of the National Treasury to the military industrial complex. He is 100 % behind Netanyahu’s genocidal war against the Palestinian and Arab people.

The Trump victory in the 2024 election represents the complete failure of the Democratic Party to stop the rise of the ultra-right. In fact, they have contributed to it by adopting much of the program of the extreme right while embracing endless war. Instead of responding to the needs of the people, both the Democrats and the Republicans have moved further and further to the right. Trump’s agenda is the culmination of this right-ward spiral, and his administration will move to make major gains for the billionaire class at the expense of the millions of everyday people in the US and across the world.

There will be lots of Trump supporters in Washington D.C. on January 20th, but there will also be lots of radicals.

In 2016, radicals smashed windows and set vehicles on fire to protest Trump’s inauguration.

I expect much worse this time around.

Sadly, the violence on January 20th will only be a preview of the tremendous chaos that is eventually coming to the streets of America.

There are literally hundreds of groups that are starting to organize a “resistance” to Trump, and they are not messing around.

Brown Sugar Rolls (Chorreadas)

The name for these rolls means “dirty faces,” referring to the dark smudge of brown sugar glaze.

80496d326f2f5a08dbefc4d21ac2735d
80496d326f2f5a08dbefc4d21ac2735d

Yield: 10 rolls

Ingredients

Rolls

  • 1/2 cup packed dark brown sugar
  • 1/3 cup lard
  • 2 teaspoons salt
  • 1 3/4 cups hot water
  • 1 package active dry yeast
  • Dash of granulated or dark brown sugar
  • 1/4 cup warm water (105 to 115 degrees F)
  • 2 cups whole wheat flour
  • 3 3/4 to 4 cups all-purpose flour
  • 1 egg, slightly beaten

Brown Sugar Glaze

  • 1/2 cup packed dark brown sugar
  • 2 to 3 teaspoons water

Instructions

Rolls

  1. Place brown sugar, lard and salt in large bowl.
  2. Stir in 1 3/4 cups hot water until brown sugar is dissolved.
  3. Dissolve yeast and granulated or dark brown sugar in 1/4 cup warm water; stir into brown sugar mixture.
  4. Beat in whole wheat flour and enough all-purpose flour to make dough stiff enough to knead.
  5. Turn dough onto lightly floured surface; knead until smooth and elastic, about 10 minutes.
  6. Place in greased bowl; turn greased side up. Cover; let rise in warm place until double, about 2 hours. Dough is ready if indentation remains when touched.
  7. Line 2 cookie sheets with aluminum foil; grease.
  8. Punch down dough. Turn onto lightly floured surface; knead until smooth. Shape into 10 inch long roll; cut into 10 slices. Shape each slice into smooth ball. Place on foil-covered cookie sheets; flatten into 3 1/2 to 4-inch diameter circles. Cover; let rise until double, about 30 minutes.
  9. Heat oven to 375 degrees F. Brush rolls with egg.
  10. Spread Brown Sugar Glaze on centers of rolls.
  11. Make diagonal or crisscross cuts in tops of rolls with tip of sharp knife.
  12. Bake until rolls are brown and sound hollow when tapped, 20 to 25 minutes.
  13. Immediately remove rolls; cool on wire racks.

Brown Sugar Glaze

  1. Mix brown sugar and water until of spreading consistency.

Ex Girlfriend Regrets Asking for Open Relationship

TL:DR

The western backed coups do not have organic support and rely on paid elements.

Long version

Back in the early 1990s, we had a history class. It was run by the PE teacher. He actually became semi famous for something later on (something good). Anyway in year 7 we did some history classes. The focus was on the Roman Empire and the downfall of the Roman Empire.

main qimg 5e4f58646f811ec8096b878d11a747ad
main qimg 5e4f58646f811ec8096b878d11a747ad

The Roman Empire post Marian Reforms was pretty much a superpower. The Roman Empire is famous for having one the best-militarized forces in the world for more than 300 years, with highly disciplined troops, loyal generals, and honorable traditions.

Later history would cover Henry VIII and the Plantagenet kings, but that’s a story for another day. The teacher outlined that towards the end of the Roman empire they increasingly relied on Foederati something in the modern age we would consider mercenaries.

What’s wrong with mercenaries? The teacher said the problem with Mercenaries is they fight for money and nothing else so when the going gets tough? They run away. You can’t spend money when you’re dead. Mechwarrior 2 Mercenaries literally has this in it’s 1990s trailer fighting for C-bills (in universe money).

We are seeing and saw with Ukraine. ‘Volunteers’ (mercenaries) who went there ended up leaving shortly after. They had the opition.

Same with SUPER SOLDIER WALLI from Canada

The first comment literally sums it up.

screen 2024 12 03 15 42 58
screen 2024 12 03 15 42 58

So what does this have to do with the western backed coups? Lets go back to what I wrote in the opening.

TL:DR

The western backed coups do not have organic support and rely on paid elements.

When the going gets tough mercenaries/paid elements leave. A real revolution is no picnic.

main qimg b3101844e95ab968dbe058dd353ab2e1
main qimg b3101844e95ab968dbe058dd353ab2e1

As such there’s nobody to STAND AND HOLD THE LINE when the going gets tough. Lonely Cantonese Sith Lord wrote an excellent post here about why the Houthis cannot be defeated, they are willing to sacrifice everything. EVERYTHING.

Hong Kong is an excellent case study.

Anybody on the ground will know there were enormous numbers of adverts through media channels like telegram etc offering money to protest and be violent. There were children in school uniforms. They were handed black T-shirts with $500 notes in them. They were mercenaries paid to do something. We saw how ‘riot leaders’ suddenly came into large amounts of cash they couldn’t explain the origins of. Joshua Wong and his $400,000USD he tried to deposit into HSBC. Or his $4million US apartment. Or Ted Hui’s millions.

Joseph Wang himself said he was utterly shocked at how fast the yellow movement crumbled the moment the money stopped flowing. The HKSAR and CPC stopped the inflow of money and the movement pretty much vanished.

The mercenaries on the other hand were fighting against those who would stand against them out of something more important than money. Love them or hate them the white shirts stood against the rioters. I’m a Hakka clansman and I don’t mess with Yeun Long types despite common roots. 😀 there’s also a reason the british Empire in 1899 went to the Eastern Side of the New Territories to raise their flags and not the western side.

screen 2024 12 03 15 43 29
screen 2024 12 03 15 43 29

I literally wrote it myself. Around the end of 2019, it seemed as if the police were losing control as the violence escalated and numerous Hakka men had been attacked. We were seeing on television police men in stretchers after being stabbed and fanatics cheering it on . Loads of us saw this and thought holy fucking shit.

screen 2024 12 03 15 43 46
screen 2024 12 03 15 43 46

We had a second Holy FUCKING SHIT when westerners were cheering it on. I had been attacked a few weeks before. Some of the old men said it might be like the Hakka/Punti clan wars again, where we were going to be exterminated if we lost and it was time to make preparations.

All the men and even the women in the villages even the old men 60+ started martial arts training, some were even talking about moving the clan cannons to a defensive position.

We would stand and DIE fighting to protect what we held dear.

The paid mercenaries don’t have this grit and ultimately they run away because they’re not willing to put EVERYTHING on the line.

This was evident at CUHK and PolyU. They didn’t stand and fight, they tried to escape by any means possible.

You can think of it this way.

You do a young Batman, in a dark Alleyway.

You’d defend your family with all your might even if you don’t like them that much.

But if it was your boss ? Would you fight for him/her?

We Were Soldiers (2002) ♡ MOVIE REACTION – FIRST TIME WATCHING!

Hiding out in Mary Jane’s

After riding a roller coaster with my dad in 1997 he said “I think I had a heart attack.” We laughed it off, and he was fine that day. Four years later he was having neck pain after working outside in the evening. My mom called 911, they did and EKG, it was normal and left. A few minutes later he starts to feel worse. My mom calls 911 again and paramedics from a different fire station show up (they lived between 2). They did the EKG, it was normal, but said nope we should take him in. When my mom arrived at the hospital (she did not follow the ambulance) they took her into the DOA room. Thankfully he was not DOA, but he almost was. They had to stop the ambulance on the way there in order to shock him as his heart had stopped. Testing performed after that showed that he had, in fact, had a “silent” heart attack during the past 5 years. I don’t know that going to the hospital the first time would have mattered, but he would be dead if he had not gone the second time. He is still alive today, battling cancer, but having lived longer than any of his immediate family members.

Chili Bowls

3aace708870e800dd92e9f6966e8601a
3aace708870e800dd92e9f6966e8601a

Yield: 4 servings

Ingredients

  • 16 ounces frozen bread dough
  • 2 tablespoons butter, melted
  • 3 cups favorite chili
  • 1/2 cup Cheddar cheese, grated
  • 1/4 cup onions, chopped
  • Garlic powder and Parmesan cheese

Instructions

  1. Thaw the bread dough until it is pliable. Cut the dough crosswise into 4 pieces. With lightly floured hands, shape each dough piece into a ball. Place balls 3 inches apart on a lightly greased cookie sheet. Brush balls with melted butter. Let bowls rise in a warm place until tripled in size.
  2. Bake at 375 degrees F for 20 to 25 minutes until golden brown.
  3. Remove from cookie sheet immediately and allow to cool on rack.
  4. To make chili bowls, slice off tops of loaves and hollow by pinching bread out with fingers. Brush cut side of tops with melted butter and sprinkle with garlic powder and Parmesan cheese.
  5. Toast bowls and tops by placing in the oven or under the broiler.
  6. Fill with hot chili and top with grated cheese and onions.

Notes

For smaller bowls, cut dough into 6 pieces.

The Pacific – MG Basilone

Is This The Secret Reason Why Long-Range Missiles Have Been Fired Deep Into Russian Territory?

by Michael

When it comes to the world of geopolitics, there is always far more going on than meets the eye.  The long-range missiles that Ukraine is now firing deep into Russian territory are not going to change the course of the war.  But the Russian response to those long-range missiles might.  Hopefully the Russians will show restraint, because they may not even realize that they are being led into a trap.

Just two days after Joe Biden gave the green light, Ukraine fired six ATACMS missiles deep into Russian territory on Tuesday

Ukraine hit a Russian weapons arsenal with US-made ATACMS missiles that it fired across the border for the first time, according to two US officials, in a major escalation on the 1,000th day of war.

The attack comes just two days after the Biden administration gave Kyiv the green light to use the longer-range American weapons against targets inside Russia.

The Russians possess the most sophisticated anti-missile systems on the entire planet by a wide margin, and they were able to shoot down five of the missiles and damage the sixth before it reached the target

At 3:25 a.m. local time (7:25 p.m. ET) Tuesday, Ukraine fired six ballistic missiles at a facility in Bryansk, Russia’s Defense Ministry said. It said that American-made ATACMS missiles had been used in the attack.

Russian air defenses said they shot down five of the missiles and another was damaged. Fragments from the damaged missile fell on the territory of a military facility, causing a fire that has since been extinguished. There were no casualties or damage.

Since there were no casualties and no damage, the Russians will hopefully not feel a need to respond to this particular strike.

But what is going to happen next time?

And how will they respond when Russian cities start getting targeted?

Following the attack, Russian Foreign Minister Sergey Lavrov pointed out that the Ukrainians cannot operate these high-tech missile systems without U.S. assistance…

Russia’s foreign minister, Sergey Lavrov, responded to the attack, accusing the West of wanting to escalate the conflict.

“The fact that ATACMS were used repeatedly tonight in the Bryansk region is, of course, a signal that they [in the West] want escalation. And without the Americans, it is impossible to use these high-tech missiles,” Lavrov said at a news conference at the G20 summit, according to comments reported by Tass and translated by Google.

To the Russians, when ATACMS missiles are fired into their territory it is a joint attack by Ukraine and the United States.

And we are being warned that the Russians could use nuclear weapons in response.

On Tuesday, Vladimir Putin signed a document which updates Russia’s official nuclear doctrine…

The Kremlin has repeatedly warned the West against allowing Ukraine to use its long-range weapons to attack Russia directly. Moscow upped the ante Tuesday as Russian President Vladimir Putin signed a decree approving its updated nuclear doctrine, shifting the parameters on when Russia can use nuclear weapons.

The timing of this signing was meant to be a signal.

According to this document, Russia reserves the right to use nuclear weapons if there is a missile attack “by any non-nuclear state with the participation or support of a nuclear state”

​“You will be able to read the paragraphs yourself, but in general it also states that the Russian Federation reserves the right to use nuclear weapons in the event of aggression with the use of conventional weapons against it or the Republic of Belarus, which creates a critical threat to sovereignty or territorial integrity,” Peskov told reporters.

“Aggression against the Russian Federation by any non-nuclear state with the participation or support of a nuclear state is considered a joint attack.”

That is clearly directed at us.

The Russians are trying to warn us that we are dangerously close to starting a nuclear war.

One Russian military official is even suggesting that the UK could be the first target

Andrey Gurulev, reservist Russian army general, MP and pro-Putin TV propagandist, warned of a full-scale nuclear strike on Britain.

“There are individual targets that can be hit with….demonstrative warning strikes,” he said.

“The first candidate to get a nuclear bludgeon….is the UK.”

I disagree.

If the Russians decide to use nuclear weapons, Ukraine will likely be the first target.

If Russians cities get hit by long-range missiles, the Russians would be very tempted to respond by hitting Ukraine with a tactical nuke.

Of course the Biden administration has already strongly hinted that if the Russians use tactical nukes in Ukraine we will respond by using tactical nukes in Ukraine too.

That would mean a full-blown war between the United States and Russia, and that is precisely what the Ukrainians want, because that gives them the best chance of actually winning the conflict.

And could that be exactly what the global elite are wishing for too?

When he heard that Ukraine had been given the green light to launch long-range missiles into Russia, Alex Soros was absolutely thrilled…

The Economist has just published their outlook for 2025, and they appear to think that the war in Ukraine will be a major theme during the coming year.

 

What screams “I can fight”?

I was sitting in a bar, with a kind of bad rep, having a beer with a couple of guys from work. Across the room are 3 guys standing at the bar. One them is big, about 6′4″ & 260/270. They’re a little boisterous.

Guy comes out of the men’s room in the back. He’s wearing work clothes & looks like he used them hard that day. He’s kind of “chunky.” I don’t mean he’s fat, though there’s a bit there. He looks like he’s put together with chunks & blocks & slabs. He’s about 5′ 9or10″ & 180. (Probably more, this guy works hard.) He has a little shuffle in his walk it’s been a hard day. He heads for the door.

Big guy steps back & bumps into smaller guy. Smaller guy steps aside & excuses himself & tries to continue. Big guy grabs his shoulder & spins him around.

There’s no hesitation. Little guy steps inside, grabs big guy’s lapels & slams their faces together twice. HARD!

Blood flows. Little guy turns, grabs a handful of napkins off the bar & goes out the door. Nobody follows him.

Could he fight? Oh hell yeah! How did I know it wasn’t his first rodeo? That handful of napkins.

 

What is the significance of Italian Premier Giorgia Meloni’s visit to China after Italy withdrew from the Belt and Road Initiative?

The Italian economy was sinking. She came to China to seek help from Xi.

China agreed to invest in Italy only if she is honest about working with China. China made plans with local Italian to build factories.

However, Meloni has supported the high tariff EU imposed in Oct.. on Chinese EVs. China suspended all investment plans not only in Italy but all EU countries. A total of over 50 billions in investments , have been suspended.

This is the SAD life in a US colony.

When Biden ordered Meloni to cancel the BRI project. Meloni had to listen (against the best interest of Italy). When Biden ordered Meloni to impose high tariff on Chinese EVs .. Meloni had to obey.

China fully understand the predicament of Meloni. She is not really the leader of Italy.. but in reality she is a lowly slave in a US colony.

 

What is the reason for US importers paying tariffs to China?

Frankly, only Americans do not know such a basic fact! Everyone on earth knows such an ABC of tariffs. Everywhere on earth the importer of the product is responsible to pay for the tariffs and the importer of Chinese products are almost always American nationals or American companies. They don’t pay to China they pay to the US customs!

So your government took this money and they can decide what to do with it. You suckers pay for it through higher prices! So it is like a tax or it is like your government stealing your money. In economics it is called inflation.

Importers pay the same price before the tariffs were put in place they don’t pay one cent more or one cent less. So China do not lose anything, it only lose if US importers buys from some where else! If not there is no effect on the Chinese. Since most of the things China does it is very very competitive and nowhere on earth can it be done at the price and the quality. Chances is almost everything still has to come from China!

So in effect the US government is punishing Americans! Not China or Chinese!

Now That Warheads Are Raining Down, Does Anyone Still Think The Russians Are “Bluffing”?

by Michael

 

This didn’t have to happen.  Years of catastrophically bad decisions by the western elite have brought us to the brink of nuclear war.  For more than two years, our leaders have assured us that the Russians were bluffing and that they would never actually risk nuclear war.  But now that Russian warheads are raining from the sky, is there anyone out there that still believes such nonsense?

Last night, the Russians sent a very clear message to the entire world by pummeling Ukraine’s fourth-largest city of Dnipro with warheads from a ballistic missile

Kyiv Air Force said today that Russia had launched an ICBM at the city of Dnipro in the early hours of the morning.

If firmed up, it marks the first time the nuclear-capable missile has ever been used as part of an ongoing conflict.

Unverified footage appeared to show warheads from the ferocious R-26 Rubezh raining down on Dnipro overnight, lighting up the sky with explosions.

In a video that I just posted on my YouTube channel, I shared footage of these warheads raining down on the city…

Originally, it was being reported that these warheads came from an intercontinental ballistic missile, and UK Prime Minister Keir Starmer called this “reckless and escalatory behaviour”

And UK PM Keir Starmer blasted depot Putin for his “reckless and escalatory behaviour” after the suspected ICBM strike.

He warned that such a move would take the war to another level, calling claims of their use “deeply concerning”.

But shortly thereafter U.S. officials determined that it was a new intermediate-range ballistic missile and not an intercontinental ballistic missile…

Ukraine’s earlier claim that its territory had been struck by an intercontinental ballistic missile fired by Russia is being hotly disputed, hours after widespread reports first appeared. US officials are saying it appears to be a new intermediate-range ballistic missile and not an ICBM which targeted the central city of Dnipro

The NY Times has reported in follow-up of the attack that “several Western officials said that the weapon was not an ICBM and instead was likely an intermediate-range missile that flies shorter distances.”

Zelensky himself had claimed Russia used a new class of missile. “All the parameters — speed, altitude — match those of an intercontinental ballistic missile,” he said. “All expert evaluations are underway.”

During a surprise television address to his nation, Vladimir Putin confirmed that it was a new hypersonic ballistic missile that they have been working on…

According to Putin, Russia retaliated on Nov. 21 with a combined strike against a Ukrainian defense industry facility. In addition, “a field test was conducted in combat conditions” for one of Russia’s newest medium-range weapon systems: a nuclear-free hypersonic ballistic missile. “Our engineers named it ‘Oreshnik’ [‘Hazel’],” Putin declared with a smile.

Putin said Russia is within its rights to use ballistic missiles against “Ukraine’s military targets” and to use weapons against military facilities of those countries that have authorized the use of their weapons against Russia.

Of course the range of this particular missile is not really important.

What is important is the message that the Russians are sending.

They are clearly trying to warn us that next time it could be nuclear warheads that are raining down.

I guess they figured that their words weren’t getting through to our leaders, and so they better do something so over the top that nobody could misinterpret it.

Putin also warned that the Russians are “entitled” to hit the military targets of any nations that are supplying long-range missiles to Ukraine…

Putin also warned Russia was “entitled” to strike military targets of countries whose weapons are used by Ukraine to strike Russian territory in a thinly-veiled threat to the US and Britain.

Ukraine used British-supplied Storm Shadow missiles to strike inside Russia for the first time, a day after using US-made ATACMs to hit a military facility in Bryansk.

“In the event of an escalation of aggressive actions, we will respond just as decisively,” Putin added.

Do you understand what he is telling us?

He is trying to get us to understand that if Ukraine keeps firing long-range missiles into Russia, they could strike U.S. military targets.

In fact, the Russians have already publicly identified a new U.S. base in Poland as a potential target…

Russia has threatened to attack a new US defense base in Poland with “advanced weapons” — just hours after reportedly launching an intercontinental ballistic missile at Ukraine on Thursday.

Moscow leveled the warning after saying the opening of the ballistic missile defense base, located in the town of Redzikowo near the Baltic coast, would lead to an increase in overall nuclear danger.

“Given the nature and level of threats posed by such Western military facilities, the missile defense base in Poland has long been added to the list of priority targets for potential destruction, which, if necessary, can be executed with a wide range of advanced weapons,” Russian Foreign Ministry spokesperson Maria Zakharova said.

This is serious.

Sadly, most Americans have absolutely no idea that we are literally on the verge of all-out war with Russia.

The Russians have also declared that the UK is now “directly involved” in the war in Ukraine…

Britain is now “directly involved” in the Ukraine war after its Storm Shadow missiles were used to strike targets inside Russia, according to Moscow’s ambassador.

Speaking to Sky News’ Mark Austin, ambassador to the UK Andrei Kelin also said Ukraine was using “plenty of mercenaries from different countries” in the war.

Here in the western world, we have convinced ourselves that we are not at war with Russia.

But the Russians see things very differently.

The good news is that the Russians see Donald Trump as the last best hope to avoid the sort of all-out war that I have been warning about for years.

So we have a window of opportunity right now.

If we can just get to January 20th, the Russians are very eager to talk to Trump in order to see if something can be worked out.

But if they ultimately determine that they can’t work out something with Trump, all bets are off.

Let us pray that a peace agreement can eventually be reached, because if a full-blown nuclear war erupts most of the U.S. population will die.

 

Is it real that many countries take China as an enemy? Why?

No, it is false.

The majority of nations around the world side with China. This is due to several reasons:

  1. China is the largest trading partner to over 120 countries.
  2. China is helping over 150 countries through the Belt and Road Initiative.
  3. China is a peaceful nation having fought no wars since 1979.
  4. China is unifying the world in peace and common prosperity through the BRICS alliance. More than 40 countries have lined up to join.
  5. When the West hoarded their vaccines during the pandemic, it was China who stepped forward to help dozens of countries vaccinate.
  6. China brokered an historic peace deal between Iran and Saudi Arabia. It’s trying to do the same in the Russia-Ukraine and Israel-Hama conflicts.

They don’t side with America because:

  1. The USA sanctions dozens of countries.
  2. The USA wages endless wars.
  3. The USA interferes in other nations’ internal politics.
  4. The USA invites World War III over the proxy war in Ukraine.
  5. The USA supports the genocide in Gaza.
  6. The USA is trying to provoke war with China.

Only the USA and its allies regard China as an adversary. They are a small minority.

 

What would happen to Canada if Trump slaps tariffs on the auto industry?

They’re screwed.

First, since the first Canada-US-Mexico trade agreement, auto companies only make each model of car in one country, exporting them to all the others. For example, every single Chevy Silverado is manufactured in Canada. If you’re an American and want one, no problem, there’s no tariff on them.

Second, Canada is the #1 source of auto parts in North America, and most American factories source most or all of their parts from Canada. That’s because to manufacture parts you need skilled workers, and they unionize. When they unionize, they demand health benefits. In the United States, those are expensive because of private insurance. In Canada, that’s cheap because we have universal healthcare. It’s cheaper for American companies to outsource to Canada because the net cost of skilled workers is lower. Don’t worry about skilled American workers, they all have jobs too, just not in auto parts – they tend to work on things that require a lot more precision.

Third, the United States has a lot of used cars. Until the first free trade agreements, you couldn’t export used cars to Canada or Mexico, now you can and there’s a good market (Cars from the U.S. south tend not to be rustbuckets). Canada might just decide to shut the door again, eliminating the market.

Mississippi Burning – “Do You Like Baseball?”

If tariffs, according to Democrats, are so bad, then why did the Biden administration expand tariffs on China?

Treasury secy Yellen wanted to cancel the tariff of Trump 1.0, because tariff causes inflation.

It was Trade Rep K. Tai who opposed cancellation. Because of her votes. Tai was very fierce when she opposed cancellation. She said something like “as long as I am here, the tariff will not be cancelled.”

Go find out who are Tai’s election donor. We may get the answer.

 

Do Americans realize that other countries will stop buying American products including cars and airplanes, due to retaliatory counter tariffs that they will place on US products, in response to Trump’s tariffs once he is in office again?

Already doing so today

The Sale of US Cars outside North America fell by 18% in the past 4 years

Boeing Sales have plummeted 47% in the past decade in terms of new orders

None of the US Arms buyers are paying hard cash now that the Arabs are spending lesser money on weapons and more on AI & Quantum Computing & Infrastructure

The only buyers of US Weapons are Nations that never pay or are on charity or pay very late

John Deere lost 32% Sales in the last decade outside North America & South America

IBM sales have plummeted

GE has seen overseas sales fall by 7% in the past decade

US Pharmaceuticals were always beaten by Generic Rivals in Poorer markets & now they are either forced to sell for fair price in foreign markets or not allowed to be sold at all

Demand for US Products are in decline anyway

Its why Trump is trying the last ditch attempt to do whatever he possibly can

 

Is the U.S. losing its grip on the world order? If so, why is this happening and can it be reversed?

Yes, the USA is losing its grip on the world order.

The USA is an empire in decline. It faces innumerable domestic problems, including deep division and political turmoil. It carries a crushing national debt and is at risk of financial collapse. It’s embroiled in wars all around the world. The USA knows no peace.

The world is de-dollarizing. The US Dollar will eventually lose its primacy as the global reserve currency.

What is the root cause of all this? Political corruption.

The USA today is effectively an oligarchy or plutocracy. The American people have no democratic power whatsoever. The country is essentially ruled by the wealthy capitalist elite, particularly the military-industrial complex.

In theory, it can be reversed. In practice, it is extremely unlikely. We’d have better luck with an asteroid slamming into the earth and causing human extinction.

Fargo – Connected – I’m cooperating – darn tooting

Talk Of A Pre-Emptive Attack On Russia Is Going To Make Russia Even More Likely To Conduct A Pre-emptive Attack Against Us

by Michael

 

If some lunatic shows up at your front door in the middle of the night and threatens to shoot you, does that make it more likely or less likely that you will shoot first?  Any talk of NATO conducting a pre-emptive attack against Russia is extremely dangerous, because the Russians are paranoid enough already.  If they become convinced that we are planning to hit them before they can hit us, that could motivate them to do something really, really stupid.  We are closer to nuclear war than we have ever been before, and we definitely do not need western leaders making provocative statements that are only going to make things even worse.

For example, during a conference in Brussels NATO’s top military official said something that is now making headlines all over the globe.  The following comes from an article posted on MSN News entitled “NATO considers preemptive strikes amid rising tensions with Russia”

NATO Military Committee Chairman Admiral Rob Bauer stated during a conference in Brussels that NATO leadership is contemplating the possibility of conducting precise preemptive strikes on Russian territory in the event of an armed conflict between Moscow and the Alliance.

It is now being claimed that Bauer was not actually talking about a pre-emptive strike on Russia.

But if you look at his actual words, it certainly seems like that was precisely what he was talking about…

During a question-and-answer session after his address at the European Policy Center in Brussels, Bauer said, “The idea was we are a defensive alliance, so we will only sit and wait until we are attacked, and then when we are attacked, we will be able to shoot down the ‘arrows’ that come to us,” referring to a Russian strike.

He also said that when responding to any attack, it would be “smarter” to “attack the archer, that is…Russia—if Russia attacks us. So you need to have a combination of deep precision (strikes) with which you can take out the weapons systems that are used to attack us.”

Needless to say, the Russians were not amused.

In fact, Russian Foreign Minister Sergey Lavrov believes that Bauer was essentially announcing NATO’s “real plans”

The North Atlantic Alliance has ignored all diplomatic protocol, allowing itself to make statements about the possibility of preemptive strikes on Russia, top Russian diplomat Sergey Lavrov said.

“Just the other day, Mr. Bauer, NATO Military Committee Chair, explicitly stated that it’s no longer enough, and ensuring the defense of the North Atlantic Alliance member states requires strikes on targets in Russia that NATO believes may pose a threat to the bloc. I think there’s nothing to comment on here; it’s just that they have forgotten all etiquette, publicly announcing their real plans,” he noted at the 20th meeting of the heads of security and intelligence agencies of the Commonwealth of Independent States (CIS) countries.

If the Russians become convinced that we are going to hit them first, that will make it much more likely that they will hit us first.

We really need to get the Russians to understand that we have no plans to do that.

Meanwhile, a French news source is reporting that European leaders continue to discuss “sending Western troops and private defense companies to Ukraine”…

As the conflict in Ukraine enters a new phase of escalation, discussions over sending Western troops and private defense companies to Ukraine have been revived, Le Monde has learned from corroborating sources. These are sensitive discussions, most of which are classified – relaunched in light of a potential American withdrawal of support for Kyiv once Donald Trump takes office on January 20, 2025.

That is insane!

What in the world are they thinking?

No matter what Donald Trump does when he gets into the White House, our European allies fully intend to continue to escalate this war.

It is madness.

On top of everything else, this week the New York Times has reported that the Biden administration has actually discussed the possibility of arming Ukraine with nuclear weapons.

When Dmitry Medvedev heard about this, he went ballistic

Moscow will consider any threat of nuclear arms being supplied to Ukraine by the US as preparation for a direct war with Russia, former president Dmitry Medvedev has warned. The actual transfer of nuclear weapons would be tantamount to an attack on the country under Russia’s new nuclear doctrine, he added.

On Tuesday, Medvedev posted a message on Telegram that was quite ominous

“Give nuclear weapons to a country at war with the largest nuclear power? The idea is so absurd that it raises suspicions about a paranoid psychosis in Joe The Walking Dead and all those who would advise such a move.”

He continued, “Yet I must comment on the nonsense: 1) The very threat of transferring nuclear weapons to the Kyiv regime can be considered preparation for nuclear conflict with Russia;

2) The actual transfer of such weapons can be equated to an act of attack on our country under article 19 of the Fundamentals of State Policy in the Field of Nuclear Deterrence.

The consequences are obvious.”

I don’t think that the Biden administration has any intention of giving Ukraine nuclear weapons right now.

But the fact that they are talking about it is really freaking out the Russians.

I really wish that cooler heads would prevail, but instead both sides just continue to escalate matters.

Over the past few days, Ukraine has launched more long-range missiles provided by NATO into Russian territory, and now the Russians have announced that they are preparing another “response”…

Russia is preparing a response to Ukrainian ATACMS attacks on Kursk Region, the Russian Defense Ministry announced on Tuesday. Last week, US President Joe Biden authorized Kiev to use US-supplied long-range missiles against targets deep inside Russia’s internationally recognized borders.

In an official statement on Telegram, the Russian Defense Ministry reported that over the past three days, Ukraine’s forces had conducted two long-range strikes on Kursk Region using Western weaponry.

As I discuss in this video, many are anticipating that the Russian “response” will be even larger than last time.

Let us hope that the Russians only use conventional weapons, and let us hope that they limit their targets to Ukraine.

Because the Russians have previously identified a U.S. base in Poland as a potential target, and the Biden administration is making it clear that such a strike would trigger NATO’s Article 5

White House National Security Communications Advisor John Kirby said Monday that the U.S. has a ‘rock-solid’ commitment to NATO’s Article 5, should Russia strike the new U.S. anti-missile base in Poland. Article 5 is NATO’s principle of collective defense, that if one NATO member is attacked, all other NATO members go to war with the attacker, a world war-style response.

“We take our Article 5 commitments to our NATO Allies incredibly seriously. It’s rock-solid, and that’s not going to change,” Kirby said on Monday, according to Remix News.

Kirby was responding to Russian Foreign Ministry spokeswoman Maria Zakharova, who on Thursday said that Russia is considering attacking a new U.S. anti-missile base in Poland.

We are dangerously close to a point of no return.

Once nuclear missiles start flying, there will be no going back.

The Russians have been working extremely hard to prepare for a nuclear war, and meanwhile the U.S. is still relying on hopelessly outdated systems from the 1970s and 1980s.

We must change course while it is still possible to do so.

Unfortunately, it appears that we are about to witness another series of escalations which will push us even closer to the unthinkable.

https://youtu.be/1IRTMHoRlzk

Can Taiwan actually resist an invasion from China in 90 days or less?

No.

If China invades Taiwan, Taiwan will fall to China in a matter of days or weeks.

Taiwan’s military is completely outmatched by China’s military. China has the world’s largest army. China has the world’s largest navy.

China has advanced stealth aircraft. China has advanced hypersonic missiles. China has a very advanced air force.

Taiwan’s military gear is essentially hand-me-downs from the United States. It’s total junk compared to what China has.

Moreover, the United States will NOT come to Taiwan’s defense. The United States will NOT fight for Taiwan. Why?

Because the United States cannot risk all-out war with China. It would result in total devastation to the entire planet. This is the same reason the United States did not directly engage with the Russians in Ukraine.

The Taiwanese may be insane, but the Americans are not.


I looked at the other answers here. Many of them foolishly believe that China will try to occupy Taiwan with boots on the ground.

This is unnecessary. China can cause Taiwan to surrender by doing three things:

  1. Blockade the island. Prevent resupply from the outside world. Nobody will dare to challenge the blockade.
  2. Wipe out Taiwan’s critical infrastructure. Without electricity, communication, fresh drinking water, etc., the island will readily capitulate.
  3. Destroy Taiwan’s ports and airfields with bombs and missiles.

China can take its time with an amphibious assault. Wait for the Taiwanese to be tired, hungry, thirsty, in the dark, without communication, and full of fear. Resistance will be futile.

Eric Schmidt DROPS BOMBSHELL: China DOMINATES AI!

Fun pictures

dba7d243eccfc6647bc6a9aac230bdde
dba7d243eccfc6647bc6a9aac230bdde

7e4611586b86eb5563db731d7824e3e5
7e4611586b86eb5563db731d7824e3e5

5c29325e7763dd3ff131adfa75e7df3c
5c29325e7763dd3ff131adfa75e7df3c

e9ae1c47329ffaa4214fe532fe86cd31
e9ae1c47329ffaa4214fe532fe86cd31

ef68e6d17816a31191f0f31095991cea
ef68e6d17816a31191f0f31095991cea

a42debc65d6432fdb95030e0b62b024d
a42debc65d6432fdb95030e0b62b024d

94b4e9faa54dbc8fde38136651d5ce9b
94b4e9faa54dbc8fde38136651d5ce9b

0bc35a63bfe0a2fde63eac93a15a9580
0bc35a63bfe0a2fde63eac93a15a9580

dedf87038c4422bf5b63e9003842cab3
dedf87038c4422bf5b63e9003842cab3

891620f34a708daf062fd9749f1f3acb
891620f34a708daf062fd9749f1f3acb

a7a59d681d735e13cc70478d89efa967
a7a59d681d735e13cc70478d89efa967

ce379b830b575a2a52948d215a2a31e6
ce379b830b575a2a52948d215a2a31e6

a09b09ff905bf6e668274c2329d11910
a09b09ff905bf6e668274c2329d11910

d45754745a6f6baa1f5692132df85123
d45754745a6f6baa1f5692132df85123

4131b079ec34f1e9e3c5228f793612be
4131b079ec34f1e9e3c5228f793612be

2be58c6ae73b13576cae2859e84d80f5
2be58c6ae73b13576cae2859e84d80f5

cbcdfbc0019b588719e22b8d10916e1e
cbcdfbc0019b588719e22b8d10916e1e

41a0ab2e797b618dc529b6b9e1192baa
41a0ab2e797b618dc529b6b9e1192baa

d56aa86d603659c1d584544ee744d09d
d56aa86d603659c1d584544ee744d09d

a77632a671577ac78f87604a6bca5cc5
a77632a671577ac78f87604a6bca5cc5

32a537195a0a25ffd7636aadd0ae3c3c
32a537195a0a25ffd7636aadd0ae3c3c

eb0cd5ccf9d47973f59532384bc10e93
eb0cd5ccf9d47973f59532384bc10e93

4832409100024647071f2ff15f817fcf
4832409100024647071f2ff15f817fcf

a53a841525ba75677fbdcaea41714861
a53a841525ba75677fbdcaea41714861

b0cba74883803926b84179e55a1c1a35
b0cba74883803926b84179e55a1c1a35

d81ce21f6b700c91c97638ca6c12748d
d81ce21f6b700c91c97638ca6c12748d

cf1754c651039c17ddd1d62836895de0
cf1754c651039c17ddd1d62836895de0

fcc1844ce9129b4d4af24e7a1994714e
fcc1844ce9129b4d4af24e7a1994714e

aac6822fc6efbe9f8e916e048219c841
aac6822fc6efbe9f8e916e048219c841

34faab11397771fbe4152b98f55b14bc
34faab11397771fbe4152b98f55b14bc

67cca2eb27272b6e1286c09a5e34204d
67cca2eb27272b6e1286c09a5e34204d

6e200f5d91e3049359e7e7b3088ae8ba
6e200f5d91e3049359e7e7b3088ae8ba

6ab7492e93c2334173e34416ee421481
6ab7492e93c2334173e34416ee421481

5c0f4b1d29a09e0ece3b9a1ce9095115
5c0f4b1d29a09e0ece3b9a1ce9095115

cbe04a7328aa3132489ae8f2ab1c62d7
cbe04a7328aa3132489ae8f2ab1c62d7

6d40e7f5d98802e0b5b712d383fd0089
6d40e7f5d98802e0b5b712d383fd0089

3d8680c7dd0dfb06910562f5f32350ee
3d8680c7dd0dfb06910562f5f32350ee

470bf8ac4544203ed114446b46f39758
470bf8ac4544203ed114446b46f39758

229e1295813d03378047333beeeb8346
229e1295813d03378047333beeeb8346

c3801b2f7563aa344bbfd61c05da5dab
c3801b2f7563aa344bbfd61c05da5dab

443761ccf70acf8a6dcf2dcac5be2785
443761ccf70acf8a6dcf2dcac5be2785

863098aabd12daf8597d07c9a676ab29
863098aabd12daf8597d07c9a676ab29

4c7f86996c5aba138e320b68afc3e7f8
4c7f86996c5aba138e320b68afc3e7f8

c8a7385fd437aaed3555d31a22213825
c8a7385fd437aaed3555d31a22213825

22bb12c80b681001e6e979e1e763a7c1
22bb12c80b681001e6e979e1e763a7c1

2e0fdcee10fb7406065143e1e9aec1dc
2e0fdcee10fb7406065143e1e9aec1dc

54e113b52ea75aaa786fc0b27f3665ea
54e113b52ea75aaa786fc0b27f3665ea

d853171f3761e6ba76799e87483be71c
d853171f3761e6ba76799e87483be71c

c49e910530c765a9793029ddf2ae117b
c49e910530c765a9793029ddf2ae117b

e380ad9f5a8b08d86507d49a288f823a
e380ad9f5a8b08d86507d49a288f823a

fb310a8c05271eb04e4bc4d885ed9667
fb310a8c05271eb04e4bc4d885ed9667

4b0a5af8e489b353dc2c350825a31d3a
4b0a5af8e489b353dc2c350825a31d3a

7cc3c1e4511b4fec788fe2c1a7c298eb
7cc3c1e4511b4fec788fe2c1a7c298eb

34bef238be15ff4e46e5684922c44462
34bef238be15ff4e46e5684922c44462

adb600dd98677b47cbda9b3f7a4b685c
adb600dd98677b47cbda9b3f7a4b685c

f86970b5f802001d11bac1705fa69a16
f86970b5f802001d11bac1705fa69a16

0f01781cdea7d7dd0fb82305ad0145d8
0f01781cdea7d7dd0fb82305ad0145d8

d24b56b5886a4a7ff0d5ee4637ab92b1
d24b56b5886a4a7ff0d5ee4637ab92b1

c2a64b92a29f98fd797dd9d143463601
c2a64b92a29f98fd797dd9d143463601

cb49cec20cabda82308a14ae71e54823
cb49cec20cabda82308a14ae71e54823

6c247ec058f66adba20ca11ce663620e
6c247ec058f66adba20ca11ce663620e

3a0e9254afc04c1d0a665a8add6f0598
3a0e9254afc04c1d0a665a8add6f0598

97ba56f3218dc2fcdb41f2594f567fcd
97ba56f3218dc2fcdb41f2594f567fcd

1beee5eeac5f70bb729b18e7dabb1a6b
1beee5eeac5f70bb729b18e7dabb1a6b

0244cf315e320a5b07d55bd1181c3a7e
0244cf315e320a5b07d55bd1181c3a7e

0a2af7bf969035da00d6fe32dc5a5f5a
0a2af7bf969035da00d6fe32dc5a5f5a

39e3b095e08a9be1544b2f39fcb2ed5e
39e3b095e08a9be1544b2f39fcb2ed5e

32fddaaad738b2f0e4582b0f379ed009
32fddaaad738b2f0e4582b0f379ed009

ef625b4590522ec4682ef44dc4cfc8a9
ef625b4590522ec4682ef44dc4cfc8a9

c002ab04e6715cef541eba702053118d
c002ab04e6715cef541eba702053118d

5bb23d33c3ddae6d11634f5efe41f12a
5bb23d33c3ddae6d11634f5efe41f12a

3a5c91a0caa8c7a737a25cfddacd5eb3
3a5c91a0caa8c7a737a25cfddacd5eb3

ef88617d3ea3d2f80973249e418befc1
ef88617d3ea3d2f80973249e418befc1

c14d1ee9831ddef12ef302d449d076f2
c14d1ee9831ddef12ef302d449d076f2

6e41f7f1b65408a25d694bde74b1c561
6e41f7f1b65408a25d694bde74b1c561

34b6969441fc81afcbc2575c684d2e97
34b6969441fc81afcbc2575c684d2e97

c8e234af1b3f59c2846abe896d99ff94
c8e234af1b3f59c2846abe896d99ff94

3f53bb1732481d68e974f781a25bc630
3f53bb1732481d68e974f781a25bc630

e3ce88669781337505ede0820f679adc
e3ce88669781337505ede0820f679adc

d7c27067c0eb60dd267b09ed895a12e9
d7c27067c0eb60dd267b09ed895a12e9

f3a1ed67371f0309336b274cb0a7c308
f3a1ed67371f0309336b274cb0a7c308

f6b918e67f14ed2461839f2f583a3e6b
f6b918e67f14ed2461839f2f583a3e6b

e721a54c60f8fc19cada293f4ba0d260
e721a54c60f8fc19cada293f4ba0d260

6931f85affe26593f7246422f8912e4f
6931f85affe26593f7246422f8912e4f

0590817e58b49e057bebe3f599b47c8b
0590817e58b49e057bebe3f599b47c8b

1052b34ff57ee121a2637030c210f402
1052b34ff57ee121a2637030c210f402

9e91dcac78ba16935a436aa0e532f5d2
9e91dcac78ba16935a436aa0e532f5d2

17e620719462d7494406be9afd33278f
17e620719462d7494406be9afd33278f

d50d0a360907b9363d0f7794fcb912a7
d50d0a360907b9363d0f7794fcb912a7

25e49ca02c64dc1ce11cd0e8499d8430
25e49ca02c64dc1ce11cd0e8499d8430

83d6672af6a1137bb09c67edb0f5bf5f
83d6672af6a1137bb09c67edb0f5bf5f

Col Doug Macgregor: Russia’s NEW Oreshnik Missile & Threats Going Forward

The Insanity of Neocons

29 November 2024, by Eric Zuesse. (All of my recent articles can be seen here.)

Stephen Bryen, who’s now retired from a stellar career at the very highest levels both in the U.S. Military-Industrial Complex and in the Executive and also the Legislative branches of the U.S. Government, and whose predictions about the war in Ukraine war thus far have consistently turned out to be true, is, for whatever reason, nonetheless a neocon (advocate for increasing yet further the U.S. empire) in the case of China; and, so, while he’s realistic about the need for the U.S. Government to withdraw from Ukraine, he is nonetheless a normal neocon in regards to China.

On November 29th, he headlined “China Alarmed As US Marine Prepare HIMARS and ATACMS for Yonaguni”, and argued that it’s a good move by Biden now, that he’ll be placing in Japan U.S. missiles that can hit Taiwan for the purpose of “stopping a Taiwan invasion,” by which stupid phrase he intends to mean that we’ll be stopping “an invasion of Taiwan,” by — you guess whom, which is, of course, according to the neocons’ plan, to be done by — China, as soon as Taiwan will announce that it is NOT a part of China, and for which purpose the U.S. Government has been arming Taiwan so that Taiwan can then (with American weapons and maybe direct Military involvement) resist the invasion by China that will be China’s inevitable response to this U.S.-planned breakaway from China by Taiwan. And THAT will then give the U.S. Government the ‘right’ to invade and conquer China — which is the real objective of all of this scheming and war-planning by Breyen and ogther neocons.

So, I posted a reader-comment to that article:

Here is why your article is shocking:

You have cited the Taiwan Relations Act as a ‘justification’ for your position regarding China.

The Taiwan Relations Act was merely concerning the U.S. Government and NOT America’s relations with China and with its province of Taiwan. It is logically SUBORDINATE TO the Shanghai Communique, which is an agreement BETWEEN China and U.S. Anything in the Taiwan Relations Act that contradicts the Shanghai Communique of 1972 is null and void automatically.

The Shanghai Communique, in 1972, committed the U.S. Government to — and agreed with China’s Government that — “Taiwan is a part of China.” Consistently since the 1972 Shanghai Communique, the official policy of the U.S. Government is and has been “Taiwan is a part of China.”

Your article logically implied, instead of overtly said, that Taiwan can declare independence from China — DESPITE BEING “a part of China.” Here is the (il)logic of your position:

Your article alleges that Taiwan should be able to declare independence from China despite America’s Government having formally committed itself that Taiwan is a part of China, and that U.S. taxpayers should fund this U.S. aggression against China.

Furthermore, you are assuming (likewise falsely) that Taiwan is of such vital national-security interest to the safety of America (protecting the safety of the residents in the USA), so that America, which is legally committed to Taiwan’s being a Chinese province, ought to arm Taiwan so that Taiwan can declare itself to be NOT a part of China, so that China can then be defeated by LOSING that “part of China.” That’s what you want. You want U.S. taxpayers to fund this U.S. aggression against China. It is crazy. It is loaded with false assumptions. And the very IDEA that U.S. taxpayers should fund U.S. aggression isn’t merely crazy, it is evil; and I, as a U.S. taxpayer, recognize this.

Bryen’s false assumptions here have been advocated in the greatest detail by an article from A. Wess Mitchell, who had been the successor to Victoria Nuland as the  Assistant Secretary of State for European and Eurasian Affairs during 2017-2019 in the Trump Administration; and Mitchell, like his predecessor, Nuland, was/is a total neocon; but, unlike her, he didn’t believe that America should be trying simultaneously to conquer BOTH Russia and China; he believed that we should instead aim for a temporary negotiated-with-Russia stalemate and abeyance of the war in Ukraine, so that we can then (temporarily) devote all of our resources to conquering China first (in order to attack Russia afterwards).

Mitchell headlined in the so-called National Interest magazine, on 21 August, 2021, his influential article, “A Strategy for Avoiding Two-Front War”, and he opened:

The greatest risk facing the twenty-first-century United States, short of an outright nuclear attack, is a two-front war involving its strongest military rivals, China and Russia. Such a conflict would entail a scale of national effort and risk unseen in generations, effectively pitting America against the resources of nearly half of the Eurasian landmass.

It would stretch and likely exceed the current capabilities of the U.S. military, requiring great sacrifices of the American people with far-reaching consequences for U.S. influence, alliances, and prosperity. Should it escalate into a nuclear confrontation, it could possibly even imperil the country’s very existence. 

Given these high stakes, avoiding a two-front war with China and Russia must rank among the foremost objectives of contemporary U.S. grand strategy. Yet the United States has been slow to comprehend this danger, let alone the implications it holds for U.S. policy. So far, Washington’s efforts to grapple with the “simultaneity” problem (as it’s called in Pentagon circles) have been overwhelmingly focused on the military side of the problem. The 2018 National Defense Strategy (NDS) replaced the two-war standard with a laser focus on fighting one major war with America’s most capable adversary — China. In its wake, a debate has erupted among defense intellectuals about how to handle a second-front contingency

By comparison, there has been much less discussion of how, if at all, U.S. diplomacy should evolve to avert two-front war and, more broadly, alleviate the pressures of strategic simultaneity. While the Trump administration rightly inaugurated a more confrontational approach toward China, this was not accompanied by a rebalancing of diplomatic priorities and resources in other regions to complement the NDS’ justified focus on the Indo-Pacific. Nor does the Biden administration appear to be contemplating a redistribution of strategic focus and resources among regions. This misalignment in the objects of U.S. military and diplomatic power is neither desirable nor sustainable. America will have to limit the number of active rivalries requiring major U.S. military attention, improve the functionality of its existing alliances for offsetting the pressures of simultaneity, or significantly grow defense budgets—or some combination of the three. …

Unlike Dr. Bryen, Dr. Mitchell believes that the U.S. Government should target Russia first, China second. In Foreign Policy magazine, on 6 September 2024, he headlined explicitly “U.S. Strategy Should Be Europe First, Then Asia: Without a secure Europe, the United States risks becoming a hemispheric potentate on the margins of the world.” To him, Asia is “on the margins of the world” — Mitchell wants America to conquer all of The West, first — then take the rest. He says, “While it is true that there are serious and pressing national security problems in Asia and the Middle East, these can only be dealt with effectively once the Atlantic foundation of Washington’s global strength is secure.” However, whereas (because of the U.S. Governmen’s ever-expansionist imperialism) both Russia and China do, actually, face “serious and pressing national security problems,” America doesn’t — we’re more than 3,000 miles of ocean away from any potential invader — the real threat to the American people is the American Government itself (since 1945), which is sometimes called the “Deep State,” which rules us, and which the scientific studies in political science show to be America’s richest 1% of America’s richest 1% — the individuals who have purchased and are actually served by our (aristocratically) s‘elected’ Government.

Basically, the U.S. Government — in BOTH of its Parties — is set upon conquering both Russia and China, but is not yet exactly clear about whether to do both of them simultaneously, or instead one-after-another (in accord wth the “forever-war” tradition of the United States Government, which President Truman instituted right at the end of World War Two (WW2), on 25 July 1945.

Both of these plans — aggression against Russia, and aggression against China — both using as excuses that ‘we’ are ‘democracies’ whereas ‘they’ are ‘autocracies’, and ignoring that the ONLY country that has been scientifically analyzed to determine whether it is a “democracy,” is the U.S., and all of those studies have found that it definitely is NOT at all a democracy, but instead an aristocracy, rule-by-only-the-richest — both of these plans are plain evil. But what keeps them going is the insanity of neocons, and it is bleeding dry the U.S. itself, hollowing-out the middle class to serve the super-rich who profit from all these wars, and it is at the same time turning the U.S. into a blood-sucker against its colonies (‘allies’), which are required to pitch in even more, year after year, in order to do the master-nation’s bidding, and, like Trump keeps saying, “pay their fair share”, by buying more of our weapons.

Of course, the reality is that if EITHER of these wars starts, the war will end up going nuclear and so being WW3, for the simple reason that neither Russians NOR Chinese will accept coming under the U.S. yoke; BOTH nations — Russia and China — would rather have a WW3 than become a part of such a supremely evil empire as the U.S. empire — and ALL of its supporters, or “neocons” — undoubtedly is.

The U.S.-and-allied side would lose because the aggressor is CLEARLY the U.S., and because both Russia and China have the means to annihilate the aggressors and would do that even if it will mean annihilating the entire world in a nuclear war.

The least damaging outcome that still remains possible for the American people — after the latest “Tweedle-dum versus Tweedle-dee” ‘election’ — is a Second American Revolution, this one not to get rid of the British imperialists, but to get rid of the American-and-British imperialists. Though this would, tragically, be a war, what other option would be available to us in order to prevent WW3, a global war, which would be vastly worse than any such merely domestic war would be.

The insane people who rule in Washington DC are enemies of the entire world, including of the American people, and CAN be dealt with BY the American people. It would be a service not only to ourselves, but to the entire world. It would be a noble thing to do. And it’s the best of the bad (and both of the options ARE bad) options that are still available to us.

Or, to put this another way: How much longer will the U.S. Government’s war against the world continue? Will it NEVER stop, until it destroys the entire world?

PS: If you like this article, please email it to all your friends or otherwise let others know about it. None of the U.S.-and-allied ‘news’-media will likely publish it (nor link to it, since doing that might also hurt them with Google or etc.). I am not asking for money, but I am asking my readers to spread my articles far and wide, because I specialize in documenting what the Deep State is constantly hiding. This is, in fact, today’s samizdat.

Date-Nut Bread (Pan de Datil Molege)

325ae1ed0998c4ee58d241882e0719b5
325ae1ed0998c4ee58d241882e0719b5

Ingredients

  • 6 eggs, separated
  • 1/2 cup granulated sugar
  • 1/2 cup butter, melted and cooled
  • 1 cup all-purpose flour
  • 1 tablespoon ground cinnamon
  • 1 teaspoon ground nutmeg
  • 2 cups dates, cut-up
  • 1 cup chopped pecans
  • Confectioners’ sugar

Instructions

  1. Heat oven to 350 degrees F. Grease and flour 9 x 5 inch loaf pan.
  2. Beat egg whites in large bowl on high speed until soft peaks form.
  3. Gradually beat sugar into egg yolks in medium-size bowl; beat on high speed until thick and lemon colored, about 3 minutes.
  4. Beat in butter on medium speed until well blended.
  5. Fold egg yolk mixture into egg whites.
  6. Gently stir in flour, cinnamon and nutmeg just until moistened; stir in dates and pecans.
  7. Pour into pan.
  8. Bake until wooden pick inserted in center comes out clean, 55 to 60 minutes.
  9. Cool for 5 minutes; remove from pan.
  10. Cool completely; sprinkle top with confectioners’ sugar.

Akshat .

“Name please.”“Ryan Nero,” Ryan answered.“ID Number?”“ASD34523.”The guard nodded and opened the doors to the underground chamber, revealing gray stone walls, and a high-tech looking computer at the end. There were two platforms on either side of the chamber, holding carbon fiber pods with a bluish substance inside them. Ice.As Ryan walked into the chamber, he peered into the pods, with curiosity rippling over his face. He saw ghostly pale faces inside, eyes closed, almost like dead bodies. Which they practically were.

 

Ryan was inside the top-secret underground laboratory of ATLAS. ATLAS was an extremely confidential program, started by the government.

 

Ryan was one of the few test subjects of the ATLAS program, and was risking his life for the improvement of the world!

 

“Welcome,” a man said with a British accent, walking towards him. He was wearing a stainless white lab coat, and his face bore a very well trimmed brown mustache. He resembled Ryan, although he was older, “Mr, uh-”

 

“Ryan,” Ryan replied, “Ryan Nero.”

 

“Yes, well, my name is Doctor Alexander Algorithm — yes, my parents were mathematicians, one of the best in the world. And I see we look alike!” He added with a chuckle.

 

“Yup,” Ryan said, a grin rippling over his face. He wasn’t sure what else to say, so he just kept quiet.

 

“Back to business. Now, do you know why you are here?”

 

“Yes,” Ryan assured him. He was here to have his body frozen and to hopefully last hundreds of years in “hibernation.” He had chosen this because he didn’t see the point of living in the present world. He had lost his parents to a fatal car crash, and his brother was shot dead in battle. Ryan didn’t have kids, or a wife, or anything. Just a small flat, and a small platinum watch, to remind him of his father.

 

He could also escape his dark past, full of death and darkness, betrayals, and corruption. He gave a slight shiver even as he thought about it. It was all a secret now anyways. All the monsters of the underworld were gone, and he could escape his present life.

 

 

“Let me walk you through the procedures then,” Alexander told him with a small smile on his face, and lead Ryan towards one of the many pods inside the room. Another scientist was near the pod, clipboard in hand, with glasses. He was looking into it and writing on his board. Probably checking it for defections.

 

He looked up and found us walking towards him. He gave a slight nod and walked away towards the computer setup.

 

“This is the pod in which you shall be in during the freezing of your body,” Alexander said, “When you wake up — which will be in approximately 500 years — you shall be fit and fine. Now, once you wake up, the entire world might be extremely different from what it is right now.”

 

“I understand,” Ryan said.

 

“And there is also the possibility of you dying in the process of freezing your body. Many have faced this fate. We keep their bodies inside the pods, and dispose of them.”

 

“But … why? Couldn’t have you just reused the pods?”

 

“Once a human perishes inside one of those, it contaminates the entire thing. We cannot afford to keep the entire lab in danger, and that is why we do what we —”

 

Alexander suddenly wheezed and coughed, his face turning purple. Some of the other scientists cast pitying looks at him but didn’t come to his help.

 

“Doctor,” Ryan said, concerned, “Are you okay?”

 

Alexander shook his left hand at him while his right hand dove into his pocket. He pulled out an inhaler and kept the nozzle inside his mouth, and took deep breaths.

 

After he was done, he explained what had just happened. “Asthma,” he said, “The usual. Got it because of the air pollution you know? Dreadful stuff, dreadful indeed. And I take it that it is one of the reasons you decided to undertake this precarious job?”

 

“Yes,” Ryan said. It was a reason, but not as big of a one as his parents and brother. But it still was one. Plus, it was already harming the Earth and humans, so it’s better to be one of the safe ones, right?

 

“Anyways,” Alexander started, “I shall run you through the procedures now.”

He signaled to one of the scientists and waited. The scientist whom Alexander signaled to pulled a lever next to a number: 63.

 

Immediately, the bluish substance Ryan had seen inside the pod vanished. “That was to protect our body from the freezing cold inside,” Alexander explained, “That way, the lab does not get affected, and we stay safe. Win-win!

 

“Now, once you’re inside the pod, one of the scientists shall close the hatch. It can be opened from the inside, in case you suddenly wake up. Don’t worry,” he added at the look of horror on Ryan’s face, “We haven’t had any cases like that. But better to be safe than sorry.

 

“And once the hatch closes, you might feel a bit claustrophobic, but it will all be gone. Me or another staff member shall start the freezing. The temperatures inside the pod shall go subzero, up to -150 degrees Celsius. After that, your body shall go numb, and you’ll go off into cryogenic sleep. Then, by God’s grace, you shall survive for the next five hundred years. So, any questions?”

 

“Nope,” Ryan said.

 

“Great! I shall just show you how to get in now, and also close the hatch! But do not do anything! Stay right here.”

 

And Alexander heaved himself into the pod and shut the hatch.

 

Little did he and Ryan know, a junior assistant was present at the computer setup. He saw Alexander jump into the pod. But he thought that Alexander was Ryan. So, for reasons including “I have to impress the staff so that they’ll take me seriously,” he pressed the button next to the label numbered 63.

 

In doing so, he did not know that he had just doomed Alexander’s life for good, he just thought he was helping out. But when Ryan turned around, with a look of terror etched all over his face, did he know what had just happened.

The Mad Scientists’ Club – Full Text – PDF – FREE

A great present for you all today…

In 1970, when I was ten, my city (Bell Gardens, California) built a new state-of-the-art library — right across the street from my house. (It was then that I knew that I was the favorite of the gods. The vicissitudes of life have since led me to revise that reckless assumption, but then I no longer live across the street from a library.) Every time I walked through the building’s doors (five or six times a day, probably), I sent up a silent thanks to Richard M. Nixon, whose name was prominently displayed on the dedication plaque by the entrance, even though he really had nothing to do with the project. (He had other things on his mind in those days — boy, did he.)

I practically lived in that library, and I knew every shelf of the large children’s section intimately; I could have drawn a quite accurate map of the layout from memory, with large arrows pointing to the location of my favorite books, many of which I checked out repeatedly and read over and over again. I retain fond memories of those stories, though nothing in the world would persuade me to reread most of them.

This is because few things in life are more hazardous than returning to a beloved children’s book after the passage of many years. It’s doubly dangerous if the work in question is one that’s “just” a children’s book and not one of those — like Alice in Wonderland or Peter Pan or The Wind in the Willows or the Little House books — that depth and brilliance and long endurance have accorded the status of literature.

There are exceptions, though, children’s books that might be less ambitious than the aforementioned classics but which can still engage an adult reader in search of something more than mere nostalgia. Exceptions like The Mad Scientists’ Club.

The Mad Scientist’s Club has seven members, all boys and all seemingly between thirteen and sixteen years old. Levelheaded Jeff Crocker is the club president (the group meets in his father’s barn) and the blond, bespectacled Henry Mulligan is vice-president and the club’s resident genius and “idea man.” Comic relief is provided by the Laurel and Hardyish pair of overweight Freddy Muldoon and his sidekick, the small and nimble Dinky Poore. The rest of the roster is filled out by Homer Snodgrass, Mortimer Dalrymple (noted for his unflappability, and with a name like that he’d have to be), and Charlie, who narrates the stories. (Charlie didn’t acquire a last name until the final tale in the series, The Big Chunk of Ice. It turned out to be Finkledinck, arguably one Brinley’s few missteps.)

As in many children’s books, most of the stories follow a familiar pattern. In this case, the boys come up with an interesting “research project” and/or see an opportunity to shake their sleepy town up a bit, which they proceed to do with an ingenious application of creative science and practical engineering… which winds up getting them in a fix that they must extricate themselves from with even more creative science and practical engineering.

Likewise, the boys themselves are “types,” which is common in children’s books of this vintage, which generally didn’t aim for psychological realism or emotional depth. The boys are fun-loving but not malicious; they enjoy bamboozling pompous Mayor Scragg and Billy Dahr, the slow-witted town constable, but their schemes frequently wind up benefiting the community, and the only thing that gets hurt is the pride of a few folks who need to have a little air let out them anyway.

Usually the mad scientists’ projects begin as inventive and elaborate practical jokes, as when they use electromagnets and hidden microphones to transform an abandoned mansion into a genuine haunted house, all in order to scare the beejeebers out of Freddy’s obnoxious cousin Harmon and his gang (“The Voice in the Chimney”), but sometimes the club’s activities have a more serious purpose. The scientists’ resourcefulness saves a life in “Night Rescue,” where they locate a downed Air Force pilot, and in “Big Chief Rainmaker,” the boys use homemade rockets packed with silver iodide crystals to end a drought that’s plaguing the area’s farmers.

Some stories manage to blend practical jokes with beneficial results, as in “The Strange Sea Monster of Strawberry Lake,” which begins as a prank and ends up helping the town. It was the first Mad Scientist’s Club story, and it’s one of the best.

The trouble begins when Dinky Poore has to come up with an excuse for getting home late for supper. He spins an elaborate yarn about “running around the lake trying to get a close look at a huge, snakelike thing he’d seen in the water, and the first thing he knew he was too far from home to get back in time.” His folks don’t necessarily believe this fib, but his sisters are more credulous, and soon they’ve spread Dinky’s fiction all over town, and that’s when the fun really begins.

Henry suggests that it would be relatively simple to build a sea monster, and so it proves. Working in a secluded area near the lake, the mad scientists erect a framework of light lumber “in the shape of a big land lizard” over Jeff Crocker’s canoe. They cover the framework with chicken wire, and when canvas is tightly stretched over that and decorated with paint and tin can lids, (and red-lensed flashlights are installed for the beast’s eyes) the result is all the boys could hope for: “We soon had a loathsome-looking creature guaranteed to scare the life out of anyone a hundred yards away from it.”

With four of the boys hunkered down in their monster to paddle, the creature makes its debut on a Saturday at dusk, “when the lake cabins and beachfront were crowded with weekend visitors.” The club’s creation causes a sensation, and after a few more appearances, nobody in Mammoth falls can talk about anything else. There are newspaper stories and offers of rewards for photos, and the town’s hotel rooms and beachfront cabins fill up with reporters and sightseers from all over the state. The whole thing is a bonanza for the local economy, but there is one drawback:

Pretty soon we realized that we had a tiger by the tail. Business was so good, and people in town were so happy, that we didn’t dare stop taking the monster out, even though it was wearing us down.

Before long the mad scientists have something more serious to worry about — a pair of hunters who make camp on the beach, hoping to get a shot at the monster with an elephant gun. The ever-resourceful Henry has a quick solution to this dilemma: an outboard motor (a very quiet one) attached to the canoe and outfitted so that it can be controlled by radio. Also, since “Freddy could make a bellow like a bull moose on a rampage, because his voice was beginning to change,” the boys place a loudspeaker in the belly of their creature so it can give an occasional roar. (Radio and walkie-talkies are the tools most used by the Mad Scientist’s Club. Today it would be cell phones, and it wouldn’t be nearly as much fun.)

After the first appearance of the new souped-up (and bullet proof — the hunters take their shots, to no effect) sea monster, the town — and not just the town – goes wild:

The next day every newspaper in the country must have carried the story. They quoted eyewitnesses who swore that the monster was mad about something, because it was swimming a lot faster and making a frightening noise. A scientist in New York speculated that it might be the mating season for the beast, and suggested the possibility that there might actually be two of them. Within three days there must have been a hundred and fifty reporters in Mammoth Falls from newspapers, magazines, and radio and television stations. Newsreel camera crews were lined up along the beach, and several of them had large searchlights ready to sweep across the lake at dusk, when the monster usually appeared.

Clearly, the situation is getting out of hand, and when their arch-enemy Harmon Muldoon (who was expelled from the club for giving away club secrets and for “conduct unbecoming a scientist”) starts hinting that he knows what’s really going on and is ready to spill the beans to the media, the boys decide to wrap things up in a decisive and spectacular fashion.

Late at night, they strip their equipment off of the creature, mount it on a raft, and tow it out to a spot where it will be visible from the beachfront when the sun rises. Once the people on the shore have noticed the monster’s presence, Henry pushes a button, activating a “diabolical device” the boys have installed, causing their masterpiece to go up in flames.

When the smoke had cleared away there was nothing left on the lake but a dirty smear of oil and a few pieces of black debris — and that was the last that anyone ever saw of the strange sea monster of Strawberry Lake.

As this initial story demonstrates, Brinley was scrupulous about keeping the science realistic; there are no iron moles, anti-gravity boots, or time machines. Everything the boys do could actually be done with technology that was readily available at the time. Our heroes are creative and clever, but always within the bounds of believability, which is one thing that makes the stories so engaging; you can easily imagine them actually happening.

The thing that makes the mad scientist tales work best, though, is Brinley’s skill as a storyteller. These relaxed, good-humored stories are consummately crafted, and the fun plays out with perfect ease, each always amusing (and often hilarious) incident flowing smoothly from the next. The lively plots neatly combine the surprising and the plausible, the dialogue is natural and occasionally witty, the interaction between the boys is unaffected and believable, and you finish each swiftly moving story feeling refreshed and invigorated, as if you had just taken a brisk swim in a clear, cool lake. The care that Brinley took is evident on every page, and the mad scientist stories can still be read with pleasure long after you’ve graduated from the children’s section. (I know that I’m far from being the only person to feel this way about these books — before Purple House Press brought them back into print in 2001, battered Scholastic paperback copies from the seventies would often sell online for over a hundred dollars.)

The mad scientist stories are very much products of the optimistic era of the early sixties, and their strengths and weaknesses derive from that time. Diversity is nowhere to be seen; the characters are all white (which was likely a fairly accurate depiction of many small Midwestern towns in 1960) and our club members are all boys (though Brinley did induct two female characters into the organization in the posthumous final novel). Though they’re in their early to mid-teens, none of the mad scientists seem to have any interest in the opposite sex; none of them even drive. (They get around on bicycles.) Families are seemingly intact (parents barely appear in the books, in fact) and it’s taken for granted that life is benign and filled with nothing but exciting opportunities, making Brinley’s work very different from today’s “get used to the grim facts while you can, kid” breed of YA books.

Truly, the stories of the Mad Scientists’ Club exist outside of ordinary space and time; they take place in the idyllic, unfettered world that is a child’s perfect dream (a dream with walkie-talkies and rockets!) Our seven heroes think for themselves and overcome all obstacles, and in the course of their adventures they go where they please and do what they want, free from adult interference. I haven’t done an exhaustive, line-by-line examination of every page, but I’m fairly sure that school never gets mentioned; the stories unfold in an endless summer of fabulous possibilities.

Bertrand Brinley didn’t write down to his audience, but neither did he induce a sweat in himself or in his readers by trying to do any improving or elevating; his purpose was clearly to entertain and delight, and in that he succeeded so well that his stories of the Mad Scientists’ Club are still giving pleasure to readers old and new more than a half a century after they were written. He didn’t have any heavy-handed moral or social lessons to teach, other than imbuing in his young audience the conviction that if they’re willing to combine creative thinking and elbow grease, they can do anything they put their minds to, and in these books, the wide-open world is a wonderful place to explore, especially if you do it in the company of friends. If message there must be, that’s a pretty good one, however young — or old — you are.

Thomas Parker is a native Southern Californian and a lifelong science fiction, fantasy, and mystery fan. When not corrupting the next generation as a fourth grade teacher, he collects Roger Corman movies, Silver Age comic books, Ace doubles, and despairing looks from his wife. His last article for us was Harlan Ellison 1934-2018: Essential and Impossible.

Mammoth Falls

A strange sea monster appears on the lake...a fortune is unearthed from an old cannon ...a valuable dinosaur egg is stolen. 

Watch out as the Mad Scientists turn Mammoth Falls upside down! 

Take seven, lively, "normal" boys -- one an inventive genius -- give them a clubhouse for cooking up ideas, an electronics lab above the town hardware store, and a good supply of Army surplus equipment, and you, dear reader, have a boyhood dream come true and a situation that bears watching. 

In the hands of an author whose own work involved technological pioneering, the proceedings are well worth undivided attention, as the boys explore every conceivable possibility for high and happy adventure in the neighborhood of Mammoth Falls. 

To the unutterable confusion of the local dignitaries -- and the unalloyed delight of Bertrand Brinley's fans -- the young heroes not only outwit their insidious rival, Harmon Muldoon, but emerge as town heroes.

The stories were told in first person by character Charlie Finckledinck (who didn’t have a last name until the first novel came out) but clearly the club’s most prominent member was the bespeckled teenager Henry Mulligan.

Henry, the group’s resident science genius, was just as likely to come up with some outlandish prank as a legitimate experiment or invention.

Other MSC members included Jeff Crocker, the president (by virtue of the club meeting in his father’s barn), Homer Snodgrass and Mortimer Dalrymple (experts in electronics and radio).

The club membership was rounded out by Freddy Mulldoon and Dinky Poore, the group’s Mutt and Jeff pair.

A couple of points about the characters: Freddy Muldoon was originally called Fatso Brown, and his cousin, the notorious Harmon Muldoon, Skinny Brown, in The Strange Sea Monster of Strawberry Lake. My father changed the names in the version that was published in Boys' Life and subsequently in The Mad Scientists' Club. Charlie Finckledinck, the narrator, did not have a last name until The Big Kerplop!

-The Mad Scientists Club

The adults of the mythical town of Mammoth Falls where the stories were set found themselves forever involved in some scheme or prank the club had thought up.

These, for example, took the forms of a fake monster in the local lake, an electronically-haunted house at the city limits and a mad balloonist in the town square.

When the boys weren’t giving Mayor Scragg, Police Chief Putney or Constable Billy Dahr problems, they often found themselves at odds with a rival gang formed by Harmon Mulldoon who had been a MSC member but had been thrown out for activity unbecoming of a scientist.

It always amazed me how the characters in the books were so clearly and finely drawn. Unfortunately Bertrand Brinley is no longer with us, but his son, Sheridan Brinley, explained how his father had come up with the characters.

Like many authors, Bertrand Brinley’s own personality found its ways into the people he created. “Henry is my father through and through,” said Sheridan. “A guy who thinks before he speaks, has an unusual perspective on things, has a vivid imagination, secretly feeds the dog at the table, is late to dinner because he is thinking about something, etc., etc.”

“Dinky Poore, I have always thought, was in part me, as I was small and skinny as a child and a bit of a whiner,” said Sheridan. “The Poore name is a family name in Westbury, Massachusetts, which is the source of a number of the names and places in the stories. For example, Billy Dahr is based on the constable in West Newbury in the ’30s. He was a bumbling sort of cop, as is Dahr.”

At least some of the events in the stories were inspired by real incidents that would have appeared in the news at the time. The accidental loss of a nuclear device off the coast of Spain in 1966 surely provided inspiration for the first novel, The Big Kerplop!, where an atomic bomb splashes into Mammoth Fall’s Strawberry Lake.

The Air Force’s Project Blue Book, which investigated UFO sightings, may have also been material for Brinley’s imagination to chew on. “The Unidentified Flying Man of Mammoth Falls was, I think, a parody of the Air Force program spending taxpayers’ dollars to trace down UFO sightings,” muses Sheridan.

“What a great joke: create a flying mannequin that makes fools of the town elders and police and scrambles the planes from the nearby Air Force base. Some of the same stuff is in The Flying Sorcerer.

Engineers and Scientists

I’ve heard a lot of stories over the years about how the original Star Trek TV show in the 60’s influenced people to become scientists and engineers, and as a longtime Treker myself, I believe it is true.

However, I think there may quite a few people who made their career choices based on Brinley’s work. A gentleman named Mark Maxham runs a MSC tribute site and has collected some quotes from anonymous fans including this one:

I have had at least 5 copies of the Mad Scientist's Club over the years. I just gave away my only duplicate set. [...] They too were my favorites when I was younger. I am now a spacecraft flight engineer (worked with NASA controlling the Magellan Spacecraft to Venus) thanks in part to those books. 

I suspect that this sentiment is widespread. There aren’t as many MSC fans around as Trekers, but those that exist seem to cherish their memories of the stories just as much as episodes of that seminal TV series.

I even suspect that my own choice of career as an Aerospace engineer hearkens back to Brinley’s tales of crazed boys tinkering around with electronics, rockets, and machinery. Sure there were many other influences. But only Brinley translated that love for gadgetry and messing around with machines that I so very love today.

Like all my books, I eventually lost my old tattered book. My best guess is that it lies at the bottom of some landfill in San Luis Obispo  California.

By the way, do you know what I could use right now?

I could use a thin-crust cheese pizza with a goodly amount of salt on it. Maybe with a icy Coke. Not a beer. My doctor is telling me that my beer-drinking days are over. Beer is a “cold” food. I can only drink “warm” foods; like red wine and 53% alcohol. Sigh.

Anyways. For some reason, when I would plop myself and read these books, it was always with either sandwiches or pizza. I guess that I am just that kind of a silly guy. Eh?

What I liked about the thin crust pizza was that you could fold it up, and eat it like a gooey taco. I would plop myself down on this big sprawling 1940’s chair inherited from my grandparents, or our La-Z-boy and chill out. Smunching on a pizza, book about other kids like you, a nice breeze though the window, and a television or radio playing softly in the other room was what my boyhood was like.

Anyways, I had two books. They actually had a second volume that I had bought. It was titled The New Adventures of the Mad Scientists’ Club. I thought that it was even better than the first!

Unfortunately a novel entitled The Big Kerplop! Came out that I was unaware of, and so I never had the opportunity to read it.

Trying to get all these books has been a herculean task over the years. Not only due to the lack of availability, but also to the fact that I am in China. And obscure books in English are not readily available.

Unfortunately all of them had been out of print for many years and were almost impossible to find. This was bad news as I desperately wanted to get a hold of them for both myself and all the kids.

Introduction by MM

Here is my introduction to the book and series that I wrote years ago before the PDF was available.

Intro to The Mad Scientists' Club

The Full Text of the book for FREE

The Mad Scientists’ Club – Seven Short Stories

– The Strange Sea Monster of Strawberry Lake – The club decides to shake up the town with a fake lake monster, but things go frather than they ever envisioned.

– The Big Egg – The kids find a dinosaur egg and it hatches, or does it?

– The Secret of the Old Cannon – What is hidden in an old civil war cannon up on Memorial Point?

-The Unidentified Flying Man of Mammoth Falls – A mad ballooner upsets the town’s Founder’s Day celebration.

– The Great Gas Bag Race – The club enters a balloon in the annual race and find themselves up against their old rival, Harmon Mulldoon.

– The Voice in the Chimney – The old house on Blueberry Hill is haunted, or is it just peoples’ imagination?

– Night Rescue – The club tries to rescue a downed jet pilot.

And now for the full PDF of volume #1…

Mad Scientists' Club

Download the FREE PDF of

msc cover.jpg
msc cover.jpg

“The Mad Scientists Club”.

A Sailing Aesthetic

He can’t because China does not make fentanyl. Most fentanyl is produced by Mexican cartels within Mexico, where Donald Trump has proposed deploying special military forces to combat drug cartels. Some of the chemical precursors for fentanyl production are sourced from China, but these substances are also widely used in the legitimate pharmaceutical industry for manufacturing essential products such as pain relievers, anesthetics, and other medications.

Governments, including China and the United States, have introduced regulations, monitoring systems, and collaborations with chemical manufacturers to prevent the diversion of precursors for illicit drug production. However, criminal networks frequently find ways to obtain these substances. Drug addiction has persisted in the U.S. since Nixon declared the War on Drugs in 1970, yet instead of addressing the root causes—such as curbing the demand and underlying factors driving addiction—many politicians deflect responsibility by finding scapegoats. It’s time to focus on comprehensive solutions that reduce cravings and dependence among Americans rather than assigning blame.

Shorpy

SHORPY 4a30913a.preview
SHORPY 4a30913a.preview

SHORPY 4a25662a.preview
SHORPY 4a25662a.preview

SHORPY 8d04633a.preview
SHORPY 8d04633a.preview

SHORPY 8d04594a.preview
SHORPY 8d04594a.preview

SHORPY 8d04590a.preview
SHORPY 8d04590a.preview

SHORPY 4a55220a.preview
SHORPY 4a55220a.preview

SHORPY Paterson Panorama 1.preview
SHORPY Paterson Panorama 1.preview

SHORPY 40300a.preview
SHORPY 40300a.preview

SHORPY 23357a1.preview
SHORPY 23357a1.preview

SHORPY 12794a.preview
SHORPY 12794a.preview

SHORPY 8d05325a.preview
SHORPY 8d05325a.preview

SHORPY 8d05405a.preview
SHORPY 8d05405a.preview

SHORPY 8d05177a.preview
SHORPY 8d05177a.preview

SHORPY 8d05145a.preview
SHORPY 8d05145a.preview

SHORPY 8d05283a.preview
SHORPY 8d05283a.preview

SHORPY 8d05164a.preview
SHORPY 8d05164a.preview

SHORPY 4a55053a.preview
SHORPY 4a55053a.preview

SHORPY 4a23651a.preview
SHORPY 4a23651a.preview

SHORPY 4a55129a.preview
SHORPY 4a55129a.preview

SHORPY 4a55178a 4a55179a.preview
SHORPY 4a55178a 4a55179a.preview

SHORPY 4a23991a.preview
SHORPY 4a23991a.preview

SHORPY 4a55243a.preview
SHORPY 4a55243a.preview

SHORPY 15282u.preview
SHORPY 15282u.preview

SHORPY 23922a.preview
SHORPY 23922a.preview

SHORPY 8d05433a.preview
SHORPY 8d05433a.preview

SHORPY 8d04845a.preview
SHORPY 8d04845a.preview

SHORPY 8d04881a.preview
SHORPY 8d04881a.preview

The Coin Toss | No Country for Old Men

Subject 12

Submitted into Contest #115 in response to: Write a story where a device goes haywire. view prompt

Anna W

Ryland knew he might not get invited to the party if he didn’t use his connections on the football team. He had been a kicker the last three years, though he hadn’t tried out this year because of taking on a second job. He’d been avoiding the irritation of his buddies the first few weeks of school, hoping to save himself from the lectures of privileged athletes whose families weren’t struggling financially. His mom told him he didn’t need the job, but he got one anyway. He could work an extra 10-15 hours a week now that he was 18, and it would help him put away more money for college. He wanted to get out of this small town, with its small-minded, boring people. He turned the corner in the hallway of the aging high school, walking absentmindedly toward his last class of the day. He threw a passing glance at the window of a classroom on his right and stopped. What was that? He wondered.

 

His English teacher was standing behind his desk, hunched in midair, as if he’d been in the middle of standing up, but had suddenly stopped. Ryland couldn’t figure out why this bothered him so much, but fought his rising panic by counting. He was about to enter the classroom, when the teacher stood up suddenly, with jerky movements, and then smoothly walked around his desk and toward the back of the classroom.

 

Ryland watched him for another moment, seeing nothing else out of the ordinary. Weird, he thought to himself. He blinked several times, wondering if he should be concerned about this, or if something was just wrong with his brain. He felt a sleepy feeling that weighed on his mind and suddenly felt strongly that it was nothing to be worried about. He glanced back down the hall, seeing one of his football buddies rounding the corner ahead. Alright, focus man, he thought to himself, you can do this. He took a deep breath and walked confidently forward.

 

******

 

Officer Lara Walker sat in the uncomfortable chair, fighting the urge to fidget, as she took notes on what Commander Vinn was saying about the operation, in its current stages. She didn’t need the notes, but knew it would make the Commander feel important to have her rapt attention and to be “learning” from him, though she was certain she knew more of Project Strella than he did. She glanced at the screen, where a hazy image of the subject was moving around on the screen, a backpack slung over one shoulder.

 

Vinn tapped the screen of his tablet out of frustration, “Ugh. NEXA!!” he yelled as he slammed the speaker button of the phone on his desk. “Yes?” came the bored drone of his secretary. Walker thought the yelling was in poor taste, especially since the commander’s secretary was directly in the next room and could probably hear the screaming through the wall. “This screen thing is not connected to the tablet. AGAIN. I thought the guy fixed this mess last week.” “Right, sir, well the technician said the disturbance in the connection might be coming from the core itself. He said he’d be back out next week, with a bigger team to examine it in more detail.”

 

The Commander rolled his eyes and continued poking at the tablet with his finger. Walker looked back up at the screen and started. The confused eyes of Subject 12 seemed to be peering through the screen, and directly at Walker as she sat in her chair. That’s not possible, she thought, reminding herself of her in depth training of the project and technologies involved. The screen glitched, distorting the already hazy images. The subject was now seated, and seemed to be writing something in a notebook. Walker made a note of the time, so she could go back to this timeframe later and make sure all the transitions were smooth in his memory. She glanced back up at the screen, fixating for a moment on the subject’s face, and then decidedly turning her attention back to her own notes.

 

******

 

Ryland was sitting at the party, surrounded by people, with loud music bumping in his ears. It felt like it was just beating against his brain, but his thoughts were louder than anything else, as usual. He looked down at the cup in his hand and felt like a stranger in his own body. So weird, he thought, morosely, do other people feel this way? Is it just me? What’s wrong with me? He looked to his left on the old basement couch, and saw the group of girls perched on the other end. He made eye contact with a brunette, who blushed and glanced away from him, quickly. Mina. She was the reason he’d delved back into the crowd he’d been avoiding, and gotten one of his buddies to pick him up for the party.

 

He got up to get a refill, even though he’d barely taken a few sips of the swill being served at this party that gave the distinct odor and taste of rubbing alcohol. He couldn’t be completely sure. He’d never tasted rubbing alcohol, after all. He just needed an excuse to move around, instead of sitting by himself.

 

He was standing awkwardly at the table full of used cups and various bottles of alcohol that had been stolen from a parents’ liquor cabinet or had been purchased by an older sibling. Maybe he should just head home. What am I even doing here? She’s never going to be interested in me. He swiped his hand dismissively, in the air above the table of cups, and pretended not to notice when a few fell over. No time for your weirdness now, dude. He glanced toward the door. There she was, looking at her phone. She must have moved while he was lost in his own thoughts.

 

He took a deep breath and moved toward her.

“Dating apps?” he asked, kicking himself for the lameness that oozed out of him when he opened his mouth. He’d been around girls his whole life, but sometimes still felt like they were a different species altogether. Or maybe you’re the one who’s different, he thought to himself, and felt a twinge of panic as something about the thought disturbed him greatly. Something… missing… The morose thoughts tried to spiral, but he refused to go into the pit of baseless fear that occasionally consumed him.

 

He shook his head, in an attempt to clear his mind by pure brute force, if necessary. She glanced up at him, having missed his awkward encounter with the table, thankfully, and said, “Yeah, the prospects here are pretty slim, don’t you think?” He smirked as he recognized her tone as one of sarcasm and playful banter, and not a tone of “get away from me, jerk,” that he’d seen his friends experience. “Yeah, I agree. Probably best to just give up on all these dudes completely. There’s gotta be something more than conversations about football and video games, right?”

 

“Yeah,” she smirked, as she tucked her hair behind her ear, “You get it. So what… are you saying you’re different from these other guys?” she asked, eyeing him with playful suspicion. “Me? No way. Ask me about 16th century English Literature, and I guarantee I will make a joke about how Elizabethans ‘scored’ or maybe even a space joke. I do have a variety of corny jokes going for me.” He was shocked at his own response, it was more bold than he’d felt in a long while. Something was stirring in his spirit.

 

“Some wouldn’t consider corny jokes to be a personality trait,” Mina said as she laughed. She laughed! He thought, excitedly. Okay, don’t screw this up now, man. He found himself grinning as well. “Then I guess you’ll just have to let me know what personality traits you’re looking for, and I’ll make sure to confirm that none of these other jokers have them. You know, just to save you some time.” Her laugh sounded far away and tinny, like it was ringing in a metal box, but he decided to ignore it and lean into the boldness of the flirtatious feeling, and whatever else this was.

 

******

 

Officer Walker typed thoughtfully, thinking of how to add nuance to the story she was writing. She knew this wasn’t technically part of her job, but she’d finished all her admin duties, checked the subject’s vitals and memories, and needed something to do. The pre-programmed personalities were falling flat and hadn’t been able to keep Subject 12’s attention. She needed to keep his mind walking through a combination of positive and negative experiences, just like a real life would be, so that they could monitor real progress.

 

She was the youngest in her rank, having graduated college at 16 and finishing Academy training at 18. Sure, she was just six months on the job and her accelerated technical skills had landed her in a senior position, but the higher ranking officials had been doubtful of her ability to head a department at a young age, and placed her with monitoring Subject 12.

I mean, it’s not a bad job, she thought, remembering the long conversations with the handsome, knowledgeable man she shared a space with, during her shifts.

 

She silently reprimanded herself for thinking about this. Again. He doesn’t really know medoes anybody really know anybody else? Walker shifted her thoughts back to the job at hand, and reminded herself that Project Strella could not suffer under her watch. She would make sure of it. She wouldn’t let others’ doubts affect her, and she wouldn’t dwell on her own doubts long enough to let those keep her down either.

 

She glanced at the projection screen, which was a blank, black rectangle, right now, since the subject was sleeping. I mean, I guess he’s technically always sleeping, she thought to herself, feeling a bit sad about that thought. She picked up her worn copy of Romeo and Juliet and flipped through to some of her favorite passages. She put it down before she could get too caught up in the story, and looked at the book underneath, which was supposed to be a guide to Elizabethan England, and heard her dad’s voice reminding her: Get it together, Walker; there’s a job to do. She took a breath and continued typing thoughtfully as she sharpened and defined the program with lines of code.

 

******

 

Ryland sat in Mina’s living room, for the 10th time in the past few months they’d been dating. He looked around, the walls of her home were mostly white, except for one that was a deep red. The color of blood, he thought morbidly, or wine, if you’re not being dramatic. Mina was discussing her favorite Shakespearean plays and comparing it with some reality tv drama her friends had convinced her to watch.

 

Ryland was trying to listen but found his mind wandering. He’d had so many deep conversations with her, but then, sometimes, it felt like she was saying the thing he wanted to hear, instead of whatever she was really thinking. If she’s really thinking at all, he thought to himself, chiding himself for the unnecessarily mean thought.

 

He realized his gaze had wandered back to the red wall, and he looked back at Mina quickly, so she didn’t think he was ignoring her. He jumped as he looked at her eyes. They’d gone from a deep green to the same red as the blood-colored wall. He instinctively scooted back from her on the couch, stammering, “Wh– uhh– your eyes!!! Are you okay??” She blinked at him, tilting her head and bringing a hand up to touch around her eyes. “Yeah? I mean… What’s wrong with them? Did my eyeliner smear or something?” She got up and walked toward the large mirror over the mantel in the living room.

 

He didn’t know what to think. Yes you do, a voice resounded in his mind. It sounded like his voice, but older and wiser. Do I? He thought back to it. Look. Really look. It echoed in his mind. Look at what?! He asked in a panic, thinking of the blood red eyes of the girl, who was now looking at her face in the mirror. The disembodied voice didn’t answer him. She turned back around, having examined her face, and finding nothing out of the ordinary, apparently. Her eyes were still a deep, crimson red. “I’m — I — I should go, I’m just not feeling well,” he choked out. Grabbing his jacket and rushing out of her front door.

 

He could hear her calling out to him, but he just didn’t care. He felt this realization growing in him, mixing with the bold confidence like a fire in his chest of determination. He was walking briskly down the street toward his own home. He glanced at yards to his left and right, and quickly looked behind him as his pace picked up into a run. No one was following him, but he knew, he just knew he was being watched. His heart started racing and the warmth in his chest grew as his run turned into a full sprint.

 

He passed his own house, and despite knowing his parents would be inside, he felt no pull to see them. He felt cut off from everything and everyone he knew. He was only a body, only a mind. Only your mind can help you, now. They have your body, the voice whispered. He pushed himself, not physically, but mentally. He was physically sprinting, but he pressed his mind and began mentally sprinting. He allowed the warmth in his chest to grow and increase, until it felt like it would consume him. Maybe he should let it consume him. Being consumed was better than whatever this thing was inside him that made him feel so disconnected all the time. So stuck.

 

He closed his eyes, feeling the warmth in chest like a burning fire now, and letting it flow into his arms and legs. Instinctively, he jumped. He opened his eyes, not understanding why his feet weren’t hitting the pavement anymore. He was flying. He was flying over his sleepy little town. He didn’t feel that sleepy, fearful feeling weighing on his mind anymore. He had left that on the ground. He pushed out with his chest, putting his arms out in front of him, and flew.

 

Everything around him began to blur, and his eyes began to water, but he pushed harder. He felt a weight on his chest, as if someone was trying to press him down. He roared in anger at the invisible hands he knew were keeping him imprisoned in this place. He remembered his life before this hell they were keeping him in. I will get out! I will be free again! He pressed forward, with everything he had.

 

******

 

Subject 12 arched his back off the table, the Alien Life Support and Simulation Device on his chest glowing orange as it sparked. He roared a terrifyingly desperate sound as Walker worked furiously on the computer connected to a diamond-shaped, glowing instrument implanted in his chest cavity. She hit the Code Red button on the wall and barked orders at several medical staff who had run in when the device had started going haywire. “Hold him down!” she yelled, “You two stand on that side! Push hard! Give him more sedatives. I don’t care if he’s hit the limit, just do it, or he’s coming off this table. DO IT NOW!” she screamed as the subject came a full 2 inches off the table.

 

More military personnel had flooded the room, responding immediately to a Code Red. “PUSH!” she screamed again, as six full grown men and two women pressed their hands down on Subject 12’s body and attempted to push him back down onto the table. Two doctors worked off to the side of the flailing man, putting syringes of medications into the fluids that were connected to his elongated, lavender colored body. One doctor looked frantic as he finished unloading the syringes into the fluid bag connected to the lavender man. He walked over and jabbed a needle directly into Subject 12’s upper arm.

 

The vitals of the subject slowly came back down to normal levels. He floated effortlessly down onto the hospital bed. The screens returned to normal, flashing warnings receded, and the main screen reflected a projected mental image of the subject sleeping, as Walker finished coding the subject’s journey into brief images that the subject would accept as memories of how he’d gotten from one place to another.

 

She sat down in a huff, sweat pouring down her brow. She knew if one more thing had gone wrong, She’d have been punished severely. She might have even permanently lost her assignment. Maybe she should request a new assignment anyway. She glanced at the tall, lavender colored man on the table, now seeming to rest peacefully, as the device glowed a light green color. She’d gotten too close. Put too much of herself into the program. She brought out his feelings, but they had been too strong for the program to contain.

 

What about her feelings? Her heart ached but she tried to keep her mind in check. She was failing, and allowing feelings to get in the way of the mission. She scolded herself for the panic she felt, reminding herself that she was trained for this. Trust your training. Trust the greater purpose. She repeated the mantra that she’d heard since her first day at The Academy. She was serving a greater purpose, right? She felt a pang of sadness that threatened to overwhelm her. The potential disaster scenarios swirled in her mind again. What if… what if Subject 12 had died? Or worse. What if Ryland had woken up?

Bugging The Scotch (Tom Hanks) | Charlie Wilson’s War

Southern Fried Quail

7cf0667ed03326b10a616c6d09b95f7a
7cf0667ed03326b10a616c6d09b95f7a

Ingredients

  • 10 to 12 quail
  • 1 teaspoon salt
  • 1/2 teaspoon pepper
  • 1/4 to 1/2 cup all-purpose flour

Instructions

  1. Dry and pick quail. Clean and wipe thoroughly.
  2. Salt, pepper and dredge with flour.
  3. Have ready a deep heavy frying pan with close-fitting lid half full of hot fat.
  4. Put in quail. Cook for a few minutes over a high heat, then cover skillet and reduce heat. Cook slowly until tender, turning the quail when golden brown.
  5. Serve on hot platter garnished with slices of lemon and sprigs of parsley.

How The New Russian Missiles Are Changing The Game

To describe a weapon system as a game changer on the battlefield is always open to be ridiculed. Many of the weapon systems that have been delivered to Ukraine were called game changing but failed to make any difference in the outcome of that war.

So why did I call the new Russian Oreshnik missile a ‘game changer’?

There are several reasons.

For one the missile with its 36 kinetic war heads is an unexpected response to the U.S. abolition of the Intermediate Range Nuclear Force (INF) treaty. The U.S. had hoped that the stationing of nuclear missiles in Europe might give it an advantage over Russia. Oreshnik denies that advantage WITHOUT resorting to nuclear force.

Any U.S. attempt to pressure Russia into a situation where it would either have to concede to the U.S. or to go nuclear has been demolished.

This is most visible in Ukraine. Over the two plus years of the war the U.S. has used a ‘boiling the frog’ strategy against Russia. It increased the temperature by slowly increasing the reach and lethality of the weapons it has provided to Ukraine. In each such step, the delivery of tanks, of Himars, of ATAMACs, of allowing Ukraine to use these on Russian grounds, was declared to be a move across imaginary Russian red lines. Each such step was accompanied by propaganda which claimed that Russia was looking into a nuclear response.

The aim was to push Russia into a situation where it could either make concessions over Ukraine or use nuclear weapons. The U.S. was sure that Russia would refrain from the later because it would put Russia into the position of an international pariah. By going nuclear it would lose support from its allies in China and beyond. It would also risk an all out nuclear war.

The strategy would probably have worked if Russia had not found an asymmetric response against it. It now has non-nuclear weapons, (the Oreshnik will not be the only one), which allow it to apply the equivalent of nuclear strikes without the dirty side effects of actually going nuclear.

Russia’s announcement that future Oreshnik deployments will come under the command of its Strategic Forces -which so far have only been nuclear. This is a clear sign that these new weapons are seen as having similar strategic effects.

The kinetic concept of the Oreshnik payload is not a new one. Mass times speed is the amount of destructive energy these can deliver. [Comment correction for my sloppy writing: Force equals one half the mass multiplied by velocity squared. F = 1/2 m * v^2]  Being hypersonic and hitting the targets with a speed of Mach 10 allows even small penetrators without explosives to have very strong, explosive like effects.

In the early 1980s president Reagan’s Strategic Defense Initiative included several attempts to introduce kinetic weapons. ‘Rods from God‘ (and later ‘Brilliant Pebbles’) were conceptualized as kinetic darts to be launched from satellites to hit Soviet ICBM missiles:

A system described in the 2003 United States Air Force report called Hypervelocity Rod Bundles was that of 20-foot-long (6.1 m), 1-foot-diameter (0.30 m) tungsten rods that are satellite-controlled and have global strike capability, with impact speeds of Mach 10.The bomb would naturally contain large kinetic energy because it moves at orbital velocities, around 8 kilometres per second (26,000 ft/s; Mach 24) in orbit and 3 kilometres per second (9,800 ft/s; Mach 8.8) at impact. As the rod reenters Earth’s atmosphere, it would lose most of its velocity, but the remaining energy would cause considerable damage. Some systems are quoted as having the yield of a small tactical nuclear bomb. These designs are envisioned as a bunker buster.

None came from it. The envisioned penetrators had to be too large and too heavy to be positioned in space. The huge ‘telephone pole’ size of the penetrators was need because these would burn up during the hypersonic flight through the atmosphere.

The penetrators Oreshnik is using are much smaller.

Russia seems to have solved some general physical problems of objects flying at hypersonic speed. In March 2018 Russia’s president Vladimir Putin announced the introduction of several new weapons designed to penetrate U.S. missile defenses. One of these was the hypersonic glide vehicle now known as Avangard:

The use of new composite materials has made it possible to enable the gliding cruise bloc to make a long-distance guided flight practically in conditions of plasma formation. It flies to its target like a meteorite, like a ball of fire. The temperature on its surface reaches 1,600–2,000 degrees Celsius but the cruise bloc is reliably guided.

We are well aware that a number of other countries are developing advanced weapons with new physical properties. We have every reason to believe that we are one step ahead there as well – at any rate, in the most essential areas.

I have since been looking for what ‘new physical properties’ or principles Russian scientist might have discovered to solve the problems of guided hypersonic travel within a plasma envelope. Nothing has come up so far. But the fact that Oreshnik is using relative small guided projectiles at hypersonic speed makes it likely that the new physical properties or principles the Russians discovered have also been applied to this weapon.

Until those basic scientific discoveries become known in the west there will be no chance for it to make weapons that can match the characteristics of Oreshnik and Avanguard.

Oreshnik is, so far, a non nuclear weapon with a limited (5,000 kilometer) range. But there is nothing in principle that hinders Russia from equipping an ICBM missile with similar non-nuclear capabilities. It would make non-nuclear strikes by Russia on U.S. grounds, or more likely on U.S. foreign bases and aircraft carriers, possible.

But those facts, and their consequences, have yet to penetrate the minds of western decision makers.

Even after the Oreshnik strike happened the U.S. continued to pin prick Russia by guiding Ukraine to fire ATAMAC missiles against targets in Russia. Yesterday the Russian Ministry of Defense announced, uncharacteristically, that two such attacks had taken place:

On 23 November, the enemy fired five U.S.-made ATACMS operational-tactical missiles at a position of an S-400 anti-aircraft battalion near Lotarevka (37 kilometres north-west of Kursk).During a surface-to-air battle, a Pantsir AAMG crew protecting the battalion destroyed three ATACMS missiles, and two hit their intended targets.

On 25 November, the Kiev regime delivered one more strike by eight ATACMS operational-tactical missiles at the Kursk-Vostochny airfield (near Khalino). Seven missile were shot down by S-400 SAM and Pantsir AAMG systems, one missile hit the assigned target.

Militarily these strikes are irrelevant. But they demonstrate that the U.S. is still trying to ‘boil the frog’ even after it has escaped from the vessel. Russia has, according to Putin, several Oreshnik and similar weapons ready to launch.

The potential target for such missiles are obvious:

MOSCOW, November 21. /TASS/. The US missile defense base in Poland has long been considered a priority target for potential neutralization by the Russian Armed Forces, Foreign Ministry Spokeswoman Maria Zakharova stated during a briefing.”Given the level of threats posed by such Western military facilities, the missile defense base in Poland has long been included among the priority targets for potential neutralization. If necessary, this can be achieved using a wide range of advanced weaponry,” the diplomat said.

Russia has closed the airspace over the Kapustin Yar missile range until November 30. Kapustin Yar is the test range from which the Oreshnik had been fired.

As there is no defense possible against Oreshnik type weapons Russia could announce a strike on the U.S. controlled Redzikow base in Poland days or hours before it would take place. As the strike would be announced, conventional in type and would cause few if any casualties it seems unlikely that NATO would apply Article 5 to it and to hit back with force.

Such would become a moment where the boiling of the frog would start again but this time with the U.S. being the frog inside of the vessel.  Russia, by hitting U.S. bases in Europe by conventional means, would increase the temperature day after day.

Would the U.S. dare to go nuclear over this or rather retreat from its plans to defeat Russia?

Posted by b on November 27, 2024 at 12:45 UTC | Permalink

The tale of the racoon of Sarah Furnace

I was traveling Economy from London to Johannesburg a couple of years ago on Virgin and had barely taken my seat when someone turned up beside me telling me that I was in his seat and to move. I checked my boarding pass, and it soon became clear that we had both been assigned the same seat number. He was flying directly from London, whereas I’d been given my ticket as part of a booking which started in Dublin. I was still talking to this increasingly irate person, trying to figure out how to resolve the impasse, when ANOTHER person appeared, again telling me I was in his seat! Apparently he’d bought a standby ticket at the check-in desk, and guess what…

The two of them then began a heated argument over who had most right to take my seat, which brought a steward rushing down the aisle. I explained the confusion, and said that I was happy to move elsewhere if that helped to resolve things. I was calm and polite, as it clearly wasn’t the steward’s fault, but each of the other two guys kept demanding that he sort things out (in their favour). I repeated my willingness to move and he looked from me to the arguing couple, gave me a smile, and said, “That is very kind of you, sir. YOU will be flying on in First Class!” With this he retrieved my bag from the overhead locker and led me to the front of the plane – much to the shock and disgruntlement of the rude ‘gentlemen’ in the aisle – and I enjoyed silver service, a lie-flat bed and even a neck massage on our 12 hour flight to South Africa.

This isn’t the only time that remaining calm, polite and understanding when talking with a flight attendant or member of the check-in staff has resulted in an upgrade, or just a friendly response. Whatever the problem may be, it is worth remembering that is almost certainly not the fault of the flight crew, and they deserve both your respect and common courtesy.

Hannah P. Simmons

Awake.I blink twice. That’s all it takes for me to realize I am lying on my back. Above me a night sky glitters with stars unlike any night sky I have ever seen. Hues of cobalt and lavender intertwine, as though placed by the gentle strokes of an artist’s brush, while stars shimmer and blink, like jewels kissed fleetingly by the light.And I gaze up at this masterpiece through thin branches, speckled with leaves that shake as the boughs sway to and fro.For a moment, I don’t move. But then, I hear the music. It drifts through the dark on a gentle breeze that raises goosebumps along my arms. This tune… do I know it? It seems so familiar, yet so strange. Like a memory that had all but faded from my recall, only to bring itself forward in a last moment of rebellion, refusing to be forgotten.The breeze moves over me again, and I shiver. Why am I cold? I know I grabbed my favorite cardigan from the closet before I left home.But I’m not wearing my cardigan. In fact… I’m not wearing my clothes at all. I should be looking at my legs and seeing a faded pair of jeans, leading up to one of the random t-shirts I own and pulled just as randomly from the closet.But I’m looking down at a red silk skirt, tiered and trimmed with gold. It’s so beautiful, I’m almost frightened to touch it, but I do. The fabric is softer than anything I’ve ever brought into my sewing room. I muse to myself it might even be the coveted Mulberry Silk I’ve dreamed of getting my hands on.I slide up the full skirt to a tightly laced bodice that accentuates the curve of my hip, resting itself just atop the bones. It’s beading is surrounded with the same gold accents as the skirt, and the princess neckline makes my breasts look surprisingly… well…Only when I stand can I truly appreciate its beauty.But then, the music calls me again, almost so clearly that I can hear my name on the strings of the violin that seems to carry the melody. It pulls me from the bower where I awoke and leads along a flowered path. I pause to gently stroke petals of pink, and white, and lilac that blush at me along my way.My breath catches as I remember flowers don’t bloom at night.Closer, and closer, louder and louder, til I am in the full height of the haunting tune that has drawn me to itself. Before me is a garden, so fragrant its perfumes almost overwhelm me. Pillars encircle a polished marble floor, where men and women dance in gowns and garments more astounding than I’ve ever seen. All manner of silks and satins and velvets, lavishly embellished.And all of them black, and white, and gray.My red gown seems like a rose amid the ashes as I slowly begin to move among them. Yet I wander through them as if unseen. Each couple has eyes only for the one in their arms, their gazes fixated on each other with a fascination I’ve never experienced.No one has ever looked at me like that.Then, I see him. He stands in the center of the revelry, his eyes drifting over the waves of fabric that swirl about him. Feathers, pearl, and lace adorn the edges of his collar and sleeves. Black curls flow down his back and over his shoulders, framing his pale, entrancing face. His eyes are so amber, I almost believe they could be golden, like the strokes that line his eyes and highlight the length of his dark lashes.He smiles at me with a playful, almost boyish grin, then extends his hand.“Dance with me, Valyrie,” he whispers.

 

I don’t remember moving towards him. When he speaks my name, it’s as if the music fades, and everything around me vanishes, only returning once I find myself in his arms.

 

His hands take command of me. One gently pressing against my own palm, the other gripping firmly across my back and pulling me till all I can see are his golden eyes. We move together like we have done so our whole lives. I’m not even truly aware of my feet touching the floor.

 

“Who… are you?” I finally ask.

 

He laughs, softly. “I don’t expect you would know me. But I know you.”

 

In that moment, my eyes leave him, and take in the grandeur once more. “Who are you?” I ask again. “Where is this place?”

 

“Shhh,” he chides me, releasing my hand to grip my chin and turn my face back to him. “So many questions. You’ll have your answers, after we dance.”

 

This time, I can’t look away. Instead, I find myself searching those amber eyes. Looking into them as if gazing down into a well. I drop a pebble, and it splashes in the center, sending ripples out to the edges, and I watch those ripples with childish fascination.

 

“Speak to me,” he says. “Tell me what thoughts I must compete with for your attention.”

 

My lips seem suddenly parched, and my words catch in my throat. “You’re…”

 

“Yes?” he prods, that smile still teasing across his lips.

 

“You’re so… beautiful. Everything here is… beautiful,” I manage.

 

“I surround myself with beauty,” he replies. “I love beautiful things, and I must have them.”

 

His words are pointed, and I feel my cheeks flushing. This seems to please him. “The beautiful things I find, I keep in my gardens.”

 

“How many gardens do you have?”

 

“Many. Enough to hold all the beautiful things in the world,” he assures me.

 

I bite my lip, uncertain of myself. “And… the ugly things?”

 

A coldness comes to his eyes. An almost cruel delight that frightens me. His iris widens til the golden band of color all but vanishes. “The ugly things, I burn.”

 

My breath quickens, and I allow the music to fill the silence between us a moment.

 

“H-how did I get here? Did you,” I’m scared to say it, but I do anyway. “Did you take me?”

 

“No, my sweet. I did not take you. You came to me.”

 

I came? How? How could I come here when I don’t even know where HERE is?

 

“Ah, ah, ah,” he shakes his head, bringing his face close to mine. “You’re letting the questions take away your attention again. And I won’t have that.”

 

He brings his lips to my ear, and nibbles on the lobe. When I gasp, he laughs again, a pleased, low growl. His lips move along my neck, and across my chest, teeth teasing my skin with sensations I’ve never felt. I hold my breath as he lifts his face to look at me.

 

“That’s better,” he remarks. “There is nothing else, right now. Only the dance, do you understand?”

 

I nod, and feel his hands tightening as we glide across the floor. Everything around me begins to blur, so that only his face remains.

 

His beautiful, cruel face.

 

When the bells begin to ring, I realize I have lost track of everything. Of time. Of place. Of myself. Perhaps it’s been minutes, perhaps it’s been years. I don’t know. But the bells break the music so that their deep, empty chime echoes through the night.

 

“The bells toll the end,” he tells me. “Now… you will remember.”

 

DONG

 

I was in my car. Driving to work? No… to the park. We were planting rose bushes today.

 

DONG

 

The road was wet. It had rained overnight.

 

DONG

 

Car. Next to me. Swerved. I went through the guardrail.

 

DONG

 

Lights. Sirens. The ambulance came.

 

DONG

 

But it was too late. I was… I was…

 

DONG

 

I am…

 

DONG

 

He pulls me closer, til my chest is against his. “That’s right, Valyrie. You’re mine now.”

 

DONG

 

I look at him with a new understanding. A new fear. “You’re…” I can’t force myself to say it.

 

DONG

 

“Don’t be afraid. You weren’t meant to be burned.”

 

DONG

 

His lips press to mine. Gently. Carefully. And I feel my breath being pulled from me.

 

DONG

 

My dress.

 

My lovely red dress.

 

It’s changing. The color is fading as though washed with days, no, with years of sunlight. Paler and paler, till no trace of its vibrant hue is left. Only shades of black. And white. And gray.

 

He is changing, too. His skin begins to melt away, like wax from a candle, evaporating with each chime. His perfect lips, and golden eyes, and raven locks, all fading away, till I find myself staring into empty sockets and white bone.

 

And his smile.

 

DONG

I was at Safeway to pick up a prescription for my sick Daughter . She was crying uncontrollably! I was holding her and trying to comfort her . An older lady looked at me and said I should spank her for crying ! I had just gotten back from being in the Emergency Room with my child . She has an abscess on her tonsil you old bag of dirt ! That is why she is crying . I was so pissed off . She is lucky I held back . I seriously wanted to smack that old bitch in the face . Later as I was leaving to go to my car I noticed the old bag . She had locked her keys in the car ! Karma is also a bitch . No help from me .

Sponge Covers Stone Temple Pilots’ “Vasoline” in Howard Stern’s Studio

Yes, he is one of the bad customs of the feudal period, where the man’s family pays the bride price and the woman pays the dowry. Because in the past feudal period, the status of women was not as good as that of men today. Life is more in need of security.

Under the color revolution of false feminism, the bride price became a way for women to demand money from men, thus provoking social conflicts.

And call it

Post-marital security (i.e. only men will cheat and women will not cheat, or it is reasonable for women to cheat and men to cheat is unreasonable),

It is not easy for parents to raise a woman, and the woman needs to honor her parents (that is, the woman was raised by her parents, and the man was made out of thin air by her parents).

To prove that the man loves the woman, it is necessary for the man to have an attitude towards the relationship (it is impossible to prove the woman’s attitude towards the man’s feelings).

These logics shatter many men’s desire for love.

A more correct value is that both the man’s and the woman’s families do their best to help their children form a new family.

Rather than unilaterally extorting money from the man beyond the woman’s means.

With the development of science and technology, China is no longer like China in the past, which needs a large number of cheap labor, and the fertility rate will decline to a certain extent.

But the Western-backed color revolution exacerbated the decline in fertility and marriage. As a result, the government has encountered many difficulties in stimulating fertility.

Fallout 4 – Beginning scene

Taquitos

These are the best taquitos! I like to serve them with guacamole and sour cream for dipping. They’re certainly not traditional taquitos, but they are delicious.

0e8282e03c86bd503686827f7ab6b7e9
0e8282e03c86bd503686827f7ab6b7e9

Ingredients

  • Pork, beef or chicken
  • 1 can Mexican beer
  • Garlic salt, to taste
  • Pepper, to taste
  • Cumin (comino), to taste
  • 1 envelope onion soup mix
  • 1 can or jar chile verde
  • Corn tortillas
  • Melted cheese for drizzling (optional)

Instructions

  1. Add all ingredients except melted cheese to a slow cooker.
  2. Cook for 8 to 10 hours on LOW.
  3. Drain juice.
  4. Put filling on corn tortillas and roll up. Secure with a wooden pick.
  5. Fry until tortilla is crispy. Remove wooden pick to serve.
  6. Drizzle with melted cheese, if desired.

My area has a problem with rampant porch pirates. So, I regularly save my prime shipping boxes to recycle as rubbish bins when it’s time to empty my cat box. I then seal them back up and leave them out on the porch and watch the “free garbage pickup” on our camera. I also post the pictures on social media so my friends and neighbors can also experience the joy of watching people get EXACTLY what they deserve. It never gets old watching some asshat sneak off all smugly with a box full of turds! 🤣🤣🤣

Edit:

It is hilarious to me how many people assume I can get into some sort of legal trouble for this. Our local police are fully aware of my actions! 🤣

Also, to address a couple of points I am repeatedly asked about this on quora and social media sites:

Yes, the majority of these decoy packages are located and properly disposed of after they are taken. (Not that they create any more rubbish than the packaging off someone’s stolen holiday gifts)

And no, I don’t feel the need to obscure my address from the packaging. There are a couple of reasons for this. Firstly, my prank hinges on the packages looking new. Secondly, if one is stealing a package with the address label in tact, it would be hard to argue that “mail theft” was not the intended crime. And thirdly, I’m simply not worried about retribution from any of these idiots. To return for revenge would risk further exposure on film, more likelihood of arrest, and the possibility of meeting a deadly object if said intruder seems violent. Most people, even thieving lowlifes, have at least a basic sense of self preservation.

When my daughter was about three, she was a stickler about rules and manners. There was a supermarket near us with insanely good fried chicken made to order. People waited patiently on line for ages for that chicken. One day, as she and I waited, a women bumped into her and walked on. My daughter was outraged and loudly announced that this woman had bumped into her and not apologized. When she got no response, she began telling everyone on line.

Anyway, the woman yelled, “I don’t have to apologize to a baby!” You can imagine the reaction that got from my daughter. The rest of the people on line got a good laugh.

It was the day before Thanksgiving. The grocery store was a mad house. I had grabbed some rolls and butter, and a few other things I had forgotten. I went to the self checkout line, as I only had a few items. The line probably had 10–12 people ahead of me. It was moving reasonably fast, all things considered. The lady in front of me was obviously frazzled and eager to rush home. When she finally got checked out, she grabbed her receipt and bags and ran towards the exit – leaving her purse behind on the checkout station. I quickly grabbed the purse, laid my items down and ran after her. I finally caught her in the parking lot and she was so thankful she started crying. I ran back inside to find everyone else in line just as shocked as I was, most people said things like, “that was very nice of you!”, or “I hope there’s someone like you around if I ever forgot my purse!”. I would do it again in a heart beat. One old hag towards the back piped up, “If that dumb b#$ch can’t remember her purse, why should we all have to suffer and wait?!, I would’ve taken it!” Keep in mind, from the time I ran out after her to the time I got back must have been less than two minutes. I was appalled and didn’t know how to respond. Maybe I was just raised differently.

What is a Tiki Bar?

Jessica Ellis
Updated: May 23, 2024

 

A tiki bar is an island-themed bar and restaurant that specializes in complicated fruit cocktails. They are generally decorated extravagantly with tropical décor, including island flowers and plants, surfboards and tiki carvings. Modern tiki bars often try to not only create an island look, but also make it appear vintage mid-20th century, when the style first became popular.

The original tiki bar is believed to be Don the Beachcomber, named after its founder, Donn Beach. Founded in the early 1930s, this Los Angeles bar was originally beach-themed, featuring starfish and fishing nets. Later on, the founder decided to make it exclusively Polynesian in atmosphere by adding traditional décor including carved tikis. With this, the trend truly began. Don the Beachcomber became a chain including 16 restaurants across the country.

After World War II, some returning soldiers found themselves longing for the tropical atmosphere of the South Pacific. They became a large portion of tiki bar patrons, and the popularity of the bars continued to grow. With the admission of Hawaii as a U.S. state in 1959, the appeal of a romantic, island-theme bar gained even more popularity.

Donn Beach, leaving his chain of bars to other managers, moved to Hawaii to open Waikiki Beach, a bar considered one of the two best examples of the style. The other contender for top status was the Los Angeles chain, Trader Vic’s. This chain, which still has 25 locations, was a friendly rival of the Don the Beachcomber restaurants, and the both claim to have invented the mai tai, a famous rum cocktail.

After the 1960s, tiki bars fell out of fashion, possibly due in part to the unpopular American war with Vietnam. After nearly thirty years of lowered popularity, retro trends of the 1990s brought the style roaring back. By focusing on the vintage post-World War II look of the décor, the bars now not only feature a tropical escape but also a nostalgic look at America of the mid-20th century.

The main focus of the tiki bar has consistently been complex, colorful cocktails. Often, bartenders were secretive about their recipes, sometimes even removing bottle labels so that customers couldn’t figure out the drinks. Drinks often have amusing or image-evoking names such as Scorpion, Zombie, Coconut Lime Ricky and Guava Daiquiri of the Party Gods. Many drinks are rum based, but often feature colored liqueurs like chartreuse, Blue Curacao or Midori.

If you wish to create a tiki bar in your backyard, many online companies sell bars made entirely of bamboo, some featuring matching stools and thatched roofs. These sets begin around $2,000 US Dollars (USD). With a few strands of colorful lights and some tropical plants, you can throw your own luaus and tropical parties all year round.

Be aware of latent crazy

I want to make it clear that I’m not talking about the mentally ill or people with an addiction problem.

The US has so many homeless people because we have lots of stupid people.

Let’s say someone is living in a suburban area.

A young couple. No kids.

Both are making $30 an hour. $1200 a week. Roughly $5000 a month each. $10,000 a month.

Paycheck to paycheck living. Renting an $2000 a month apartment. Order out all the time on credit cards. Pay the minimum balance. Both cars financed. Money in. Right back out. No emergency fund. Didn’t bother with the 401k at work.

After 3 years? 40k credit card debt each.

$2000 a month on each. Plus $2000 rent. Plus $1500 car payment. After tax take home is about $7000 a month.

Oops! bills exceed income. Cant run up the credit cards anymore. Theyre maxed. Time for a bankruptcy. Then somebody gets laid off from work. Fighting all the time about money. Miserable.

Then they break up. Try renting an apartment or buying a car with bad credit and a bankruptcy.

If mommy or daddy can’t let you move back in?

Congrats! You just joined the homeless.

I’ve known plenty of people that worked great jobs. Nice houses. Perfect middle class suburban lives.

Then they got out of hand with debt. Home equity loans to pay off credit cards. Then their job shutdown. Or a divorce. Or health problem.

Right out on the street.

Boy! We’re they shocked to be homeless at 55 years old.

How many more bailouts to American farmers do you think will be necessary before the trade deals between the U.S. and China “pan out” and why?

Why does everyone assume that the Chinese orders will ever return?

Russia, Brazil and other countries are making long term changes to their own ag industries to meet the new demand from China. By next year China will be able to buy as much soy, corn, wheat, pork and other ag products they want from countries other than the USA.

The President of the United States of America declared a trade war with China and a war is a war. You don’t buy goods from your opponent while at war do you? I don’t have to be an ancient Chinese philosopher to figure that one out. So China is in the process of shifting ALL their purchases away from the USA.

Now let’s assume this trade war comes to an end next week. (Not going to happen)

Please explain to me why China will switch back to American suppliers? China isn’t playing this for a photo-op with Trump. China plans long term and plays for keeps.

Don’t you get it? This isn’t a sales slump, a dip in the markets, a bad day. These orders are gone and will probably not be back until the grand children of todays farmers are at work. Will they still have farms?

I have to ask. Are you tired of winning yet?

Great designs

75b3230d761d192a51b831a8f834f119
75b3230d761d192a51b831a8f834f119

313ea4b5859ec2f7e1137c513c7ed80a
313ea4b5859ec2f7e1137c513c7ed80a

175dba9c566a19ea092ca5c97fc5529e
175dba9c566a19ea092ca5c97fc5529e

66a3205c6b49606f1db9662b4c56d63f
66a3205c6b49606f1db9662b4c56d63f

642e163b59c7df2bcc018023cc71235e
642e163b59c7df2bcc018023cc71235e

f0496f63282c299263b6f4d08669c755
f0496f63282c299263b6f4d08669c755

30f6aa5ff53a131972d35db6b60b45d5
30f6aa5ff53a131972d35db6b60b45d5

fca8e74e6f50a2dc59fc2b9f523eb363
fca8e74e6f50a2dc59fc2b9f523eb363

f4cc1846d8df4d4b33d69e35e1bdc63b
f4cc1846d8df4d4b33d69e35e1bdc63b

4e6fc269a9dda75b369b20ebe64ca9b3
4e6fc269a9dda75b369b20ebe64ca9b3

147e8b6a97b920c44b6db2e7d6617998
147e8b6a97b920c44b6db2e7d6617998

3498fcca67edaae0a8df7750108b64a8
3498fcca67edaae0a8df7750108b64a8

b46e5224ab44beaceb4dc838431bc70d
b46e5224ab44beaceb4dc838431bc70d

70767e5e4f741a952f06f2b9e5ad4bc1
70767e5e4f741a952f06f2b9e5ad4bc1

a2f8b850d7a6be80b2c748b76a5e9a61
a2f8b850d7a6be80b2c748b76a5e9a61

1edc39e82c1cf875493a47d7d8b2633b
1edc39e82c1cf875493a47d7d8b2633b

baf01132461b5c11fddaff167daf647c
baf01132461b5c11fddaff167daf647c

efa732b358b2e4c83ea465f5a59c19a1
efa732b358b2e4c83ea465f5a59c19a1

ae724b41d18295cfd05eace68dbf4c3a
ae724b41d18295cfd05eace68dbf4c3a

c8fcd521a684bd0530661e69cf3089bc
c8fcd521a684bd0530661e69cf3089bc

a10c62130da7f5ccc9478b859197c81f
a10c62130da7f5ccc9478b859197c81f

10ce944b15e6c0dc434c94e86f1dd59a
10ce944b15e6c0dc434c94e86f1dd59a

2db8971854045923bfb0ad48021162f0
2db8971854045923bfb0ad48021162f0

263686579f949fd1969b217752df2e8d
263686579f949fd1969b217752df2e8d

27fa5c707b708ac1a3e00fa870d04394
27fa5c707b708ac1a3e00fa870d04394

1895d1552c9eb60716b9c901ab8d2f8b
1895d1552c9eb60716b9c901ab8d2f8b

721f93264103a84cc780dc2e3e7f5f6e
721f93264103a84cc780dc2e3e7f5f6e

4090dff07244bc1ec9692272276f9b3d
4090dff07244bc1ec9692272276f9b3d

2c0fadb368a824ec667644b802b58c54
2c0fadb368a824ec667644b802b58c54

4c95e4ce1c830d133418b1b4667d758b
4c95e4ce1c830d133418b1b4667d758b

06c783ba1200f33c09e0129708c13228
06c783ba1200f33c09e0129708c13228

9b5b79003ab6bbdbf5936220fdc0e750
9b5b79003ab6bbdbf5936220fdc0e750

432e3674a1680e5c6eea5e60cdb31e6e
432e3674a1680e5c6eea5e60cdb31e6e

ee968e4a50c69ee2be006bc17101a7e2
ee968e4a50c69ee2be006bc17101a7e2

84a187307ec69ae54c957ef3304a3063
84a187307ec69ae54c957ef3304a3063

Trump’s previous China policies were like a capricious kid playing games. One moment he did this, another moment he did that, with no consistency at all. One day he imposed tariffs, and the next day he set up some restrictions, messing up the otherwise good China-US economic and trade relations as if he was stirring up a clear pool of water with a big stick, splashing water everywhere and leaving nobody in peace.

Now look at China. China has always been steady and reliable, just like a dependable big brother. No matter how much the other side tosses and turns, China just follows its own rhythm. We focus on our own development and cooperation, wholeheartedly getting our own things done and making the lives of our people prosperous.

If Trump comes up with another set of his China policies during his new term, who knows? He might just dash around like a headless chicken again. But China has long been equipped with the ability to handle such situations. No matter how much he messes around, China will still stick to its own path, continue to expand friendly cooperation with other countries, make our economy even better, and bring more happiness to our people. Anyway, if he still acts recklessly, it’ll be him who gets dizzy and disoriented in the end. China won’t be led astray by his unreliable actions.

This is WHY US Cannot STOP the Rise of China

He is one of my favorites.

Trump flipped all 7 swing states and crushed Harris by a huge margin. He even took the majority of the House and Senate and the Supreme Court is all MAGA judges.

That’s the American people choice!!!!

This is what the American people want, ordinary Americans who believe that Trump can lead them to greatness again and out of the cycle of decline.

I suggest that Trump could pack up the illegals in the US in batches and send them to Israel as cannon fodder. That way Israel is not short of soldiers, and in the meantime, the US solves the illegal immigrant problem, and the Chinese don’t have to worry about chinese traitors (Taiwanese included) being repatriated back to their place of origin.

I hope this ploy will be adopted by Trump.

Mearsheimer on China-US: The US can no longer stop China’s rise

A surprisingly excellent interview.

For those who were actually old enough to have experienced the 1970s and not for those who were born in the 70s. What were the pros and cons of that era?

I was a fifteen year old schoolboy in England in 1970, so it was my decade.

My parents had a successful family business. We were happy and quite well off. There were no obvious problems. I went to a great school, and then on to one of the best art colleges in central London where I learned things that gave me two great careers.

What really defined that time for me was meeting The One. I was just 17, she was 16, and that relationship dominated the rest of my life. We moved to Germany for our careers in 1977 and married in 1978, then back to the U.K. for my career in ’85. We were very happy until she died in 2015.

So the ’70’s weren’t just wild years of sex, drugs and rock’n’roll for me… I never bothered with drugs. I took my work and my relationship seriously and made sure both worked.

My parents were very liberal and if anything saw my brother and I as rather too well behaved, but we had things good and knew it. They had experienced terrible things, but we grew up in a truly blessed era of peace and plenty. I could and did do pretty much what I wanted. No one told me I had to wear a uniform and kill people, no one tried to kill me, I could love the person I loved, learn what interested me, live in the foreign country I wanted to live in. No one charged me a fee for my college course. I had enough money to run a car in London. I bought a flat in Hampstead in 1985, aged 30!

Since the 1970s things have become much harder for 15–25 year olds in many respects. But I don’t see that decade through rose-tinted glasses. Women had far fewer rights. Being gay was still something to be ashamed of. No one could be anything other than straight or (whisper it) “queer”. There was rampant casual racism. The British class structure was a massive brake on social mobility. But for me it was a decade that acted as the foundation for my future life.

Russian Marines Offered the Trapped US Army Officers To Surrender or DIE amid New Offensive in KURSK

This is what is going on in Ukraine.

November 7 (2 days ago as I write this) was the anniversary of the death of a young police officer named Ryan Bonaminio. He was 28. Ryan stopped a semi tractor that *might* have been involved in a minor collision. Big rigs often unknowing get involved in minor fender benders, or accused of it, usually because of stupid moves on the part of the car. So, a “simple pull over.” When Ryan stopped the truck, the driver fled on foot and Ryan gave chase. During the chase, Ryan slipped and fell. The suspect turned around a struck Ryan in the head with a metal pipe and then took the dazed officer’s gun. Despite Ryan’s pleas not to shoot him, the man shot him twice in the head, executing him. What Ryan didn’t know about the routine stop, was that the suspect had been previously arrested 13 times, convicted of several crimes, and was on parole. The suspect’s desire not to return to prison was worth more to him than Ryan’s life. I was one of the officers assigned to document the crime scene and can still see every detail. Another officer I worked with stopped a van for driving without its headlights on. He approached the vehicle and asked the driver for his license to verify it was valid. When he returned to the van, the driver shot him in the throat. The officer didn’t know the suspect was on parole and hadn’t reported to his parole officer for a while. A parole hold was in the works but hadn’t been issued yet, so there was no want in the system. I pulled up on that scene as the paramedics were doing CPR.

There is no such thing as a routine traffic stop. There is no such thing as a “simple pull over.” Officers are killed every year on traffic stops that should have been nothing, except someone in the car had a different idea. If an officer makes a stop on their own, their beat partners will stop by if they’re in the area to make sure everything is ok, to check their back. Many times, even off-duty officers seeing a cop on a solo stop, will pull over and wait for the officer to signal that everything is good. Officers don’t have a crystal ball and even the most routine, simple traffic stop can go sideways in a heartbeat.

Leaked docs reveal US ‘color revolution’ bid in Cambodia

DOCUMENTS LEAKED THIS week reveal that the US is secretly working on a massive political interference operation in Cambodia. Washington is using the exact same methods it used to create civil unrest in Hong Kong in 2019, and Bangkok in 2020, and in Moldova and Bangladesh this year, and a score of other places over recent years.

America has numerous units which discreetly provide large amounts of cash, protest guidance and media contacts to Pentagon-friendly anti-government groups in scores of countries.

The technique is known as “hybrid warfare” or “color revolution”. In Cambodia, the documents leaked this week indicate the involvement of multiple units, including the National Endowment for Democracy, George Soros’ Open Society Foundation, USAID and others.

The shocking details were revealed by The Sunday Guardian, an Indian newspaper, and followed up by the Khmer Times of Cambodia.

In contrast to Asian journalists, Western mainstream media outlets automatically cover up, downplay or mislead readers about US hybrid warfare operations, despite the fact that these actions are illegal in most places.

The secret political interference operation in Cambodia is being led by “a cocktail of agencies situated in the US and other countries, in collaboration with political dissenters in Cambodia,” the Indian Sunday Guardian said.

The aim, of course, is to “execute a long standing operation to remove the incumbent government from power and install a pro-Washington face in Phnom Penh.”

The paper published what it said was a leaked email apparently showing that opposition leader Mu Sochua was given at least US$55,000 US dollars from George Soros’ Open Society Foundations that would ensure “Major advocacy will continue till the end of 2024”.

Another leaked document refers to operations continuing until 2028 and mentions a payment of 98,000 US dollars.

The stages of the plan show the system is virtually a carbon copy of Washington’s 2019 operation in Hong Kong. The plan is to hurt Cambodia by getting the US Congress to pass a “Cambodia Human Rights and Democracy Act”, – just like the US congress hurt Hong Kong by passing the “Hong Kong Human Rights and Democracy Act.

It said it would involve the usual US vassal states or allies in the region: Japan, South Korea, Australia and New Zealand. It said It would “advocate the EU Parliament for resolutions on Cambodia”.

And it would “push for targeted sanctions by the EU against high ranking officials of the Cambodian government for grave violations of human rights and corruption”.

Why does the US do this? In a 1992 defence policy document, the US declared that it, and it alone, should be the dominant power over the world, and particularly the people of Asia, the biggest portion of humanity.

Since then, it has worked hard to achieve this aim, using secret political interference, western media demonization of competitor nations, military shows of strength, economic coercion and so on.

But China’s alternative plan, offering a multipolar world in which countries have positive relationships based on mutually beneficial trading operations, has growing support.

Urgent action is needed. it is absolutely vital that EVERY GOVERNMENT IN ASIA immediately gets consultants who can dig up secret hybrid warfare operatives in their country.

If they fail to do this – well, look at Ukraine for the result. If you want help, contact the present writer.

Philly Cheesesteak Tortellini

e4bd49f626c270e87bad33a3029b1842
e4bd49f626c270e87bad33a3029b1842

6128a2d26c6f5b6314f90200ddcfa9b3
6128a2d26c6f5b6314f90200ddcfa9b3

I am an US educated Chinese.

I used to dream about building China into a country like the US.

Not anymore. I now believe that the world deserves a better class of country than the US, and would prefer China to chart its own course.

I am never buying an Apple product, or Tesla, or Canadian products for that matter, for as long as I can help it, or when the US officially apologizes for its racist behavior.

Gifting iPhones and iPads to my wife used to be our thing even when we were just dating in university, but now Apple is effectively dead to us. She also dumped her iPhone and went with Huawei.

In 2018 I was test driving a Tesla model X but went with a Volvo Xc90 instead due to the unreasonable attacks from the US. I just won’t support a racist regime that’s keen on killing us or keeping us poor in my household.

And I will educate my children this way too.

Best of Jerry | Seinfeld

Funny stuff you all.

They can make a peace by agreeing to five basic points

Border Agreements :-

A. Aksai Chin is recognized as Chinese Territory and a No Man Zone of 6000 Sq Kms is created out of the 39400 Sq Kms the Chinese occupy today

B. Arunachal Pradesh & Ladakh are Indian Territories

C. Tibet is Chinese Territory & Free Tibet Movements are deemed Separatist in India

D. India China share Brahmaputra and Arunachal Water and Power in the 40–60 Ratio based on power needs and India will receive payment from China for 50% of the water China receives (China gets only 30% water free)

E. Chinese withdraw fully from Galwan Fingers and dismantle any Infrastructure within 180 days

F. Chinese dismantle all Infrastructure in Arunachal Pradesh within 180 days

Geopolitical Agreements:-

A. China to sign a neutrality agreement to not interfere Militarily or Economically with Pakistan if Pakistan launch an offensive aggressive action against India

B. India to sign a neutrality agreement to not assist the United States and QUAD allies for any Chinese Offensive Action against Taiwan or Any Defensive Action by China against any country in the South China Sea or Sea of Japan

India may however assist it’s QUAD ALLIES in the case of any offensive action by China against Australia or South Korea or Japan

C. India not allow the US or any NATO member to use it’s territories for Military Bases on Bay of Bengal or Arabian Sea

D. China to commit to no Chinese Naval or Military Presence along the Indian Ocean Control Zone of India (Maldives & Sri Lanka) without express permission of India

E. India and China both commit to permanently not sanction each other or boycott each other for any reason apart from offensive action against each other or war against each other

F. India and China to resolve all disputes through a Dispute Resolution Committee before taking decisions on any of these clauses. No country shall take unilateral decisions on this regard

Trade Agreements :-

1. China to invest a minimum of $ 200 Billion over a period of 15 years and provide Infrastructure and Technological support to Indian Companies to partner in Areas of :-

A. Supply Chain Development

B. Skills Training for Industrial Production

C. Green Energy

D. AI

E. Robotics

2. India to offer Free Trade and Tariff Free Agreements for all imports with the exception of Steel, Iron Ore, Aluminium & Chemicals

Privacy & Security:-

A. China agrees to store all Information gained through Chinese Apps on Indian Servers operated by Indian Companies

Trade Dispute Resolution:-

A. Any and All Actions by Income Tax Department Or ED Or CBI against Chinese Investors shall be first referred to a Trade Dispute Resolution Committee comprising of both Indians and Chinese and subsequently to an Independent Group of Arbitrators

B. India shall not initiate any unilateral action against any Chinese Investor or Entity related to Trade or Business without referring the dispute to the DRC & the Independent group of Arbitrators


China will be on board with any such comprehensive agreement

India may be reluctant due to the fear of losing votes in elections


Yet it’s the only way according to me for India to develop to its fullest potential

Its a fair agreement for both sides

It’s practical – Modi takes back all territory lost under his regime and can blame congress for the 1962 loss

Placebo – English Summer Rain [MTV Designerama 2004]

Are US Consumers using Foreign Brands?

Let’s see

  • Iphone
  • Airpods
  • Ipad
  • IBM
  • Google
  • Nike
  • Microsoft
  • Ford
  • GM
  • Starbucks
  • McDonalds
  • Intel

How many “Foreign Brands” do you see here?

From Boeing to Pharmaceutical Drugs to Medical Machinery to Food to Vehicles almost everything available to Americans are AMERICAN BRANDS

How many Qilu Or Mahindra or Jinma tractors do you see in Kansas or Wisconsin?

They are made overseas to make them affordable to the US Customer and bring down inflation

And to help the Corporates make profits

For every 5% Profit that China or India or Vietnam makes, the American Corporates make 18%-24%


Now Mr Syphilis wants to impose tariffs

Who suffers?

The Chinese?

Sure they lose their 5% profit

Yet the Corporates lose their 25% profits too

The US Consumers lose access to Affordable High Quality Stuff and now have access only to Expensive stuff of the same quality

In short – The Average American who paid $ 950–1200 for an Iphone will be paying $ 3,150–3400 for the same Iphone

So will Trump pass a law wherein an American who earns $ 70,000 a year now suddenly earns $ 220,000 a year?????

Nopes

That means from 60% Americans who can afford the Iphone and it’s price tag of $ 950–1200 only maybe 35% can afford the $3150–$3400 price tag

That means Apple and Qualcomm need to raise their own profits from $ 380 per iphone to $ 822 per iphone to get the same profits within US

That means the Iphone cost rises to $ 3,592 to $ 3,842

Now 35% Americans who can afford an Iphone reduces to 30%

Again to keep the same profits Apple and Qualcomm need $ 923 per phone

So again price rises to $ 4073 – $ 4,323 per piece

Consumers are f****

Apple is f*****

But sure you have maybe 60,000 US factory Jobs and people earning $ 70K a year

You know what US has become by this time???? 😁😁😁😁😁😁😁😁😁

The UNITED SOVIET STATES OF AMERICA

That’s Trumps Model

To keep US workers going by raising prices insanely and forcing Corporates to become utterly uncompetitive


Sure the US will earn revenue at the cost of burying it’s economy and making it the USSA from USA


The Communists become Capitalists and the Capitalists become Communists


Trumps wants more jobs in the US?

He has to follow the China or Russia or India Model

Partly or Wholly Nationalize all the Critical Industries

  • Energy
  • Transport
  • Defense
  • Banking & Finance

Then reduce their profits from 10.7% a year to 2% a year and their share growth from 22.3% a year to 4% a year

That can get him to employ a further 850,000 employees in 5 years at $ 43,250 a head and 2.2 Million Employees in the next 12–15 years

Consumers also get prices reduced because the profit margins are low

That’s the only way


Will the Cabal allow it?

Of course they won’t

So you tell me what exactly is Donald Trump going to?

And maybe the Deep State knew this and ensured that Trumps legacy would be completely and utterly shattered from 2025–2029 and by the time he leaves office MAGA is completely dead

Iran Reportedly Issues New NOTAM . . . “Rocket Launches” . . . . Israel???

The government of Iran has reportedly issued another Notice to Air Missions (NOTAM) clearing its air space on certain dates for “rocket launches.”

According to information I received last night, Iran has ordered the clearing of its air space beginning November 18, and continuing through November 20.

This is significant for several reasons:

First, It is well known that Iran intends to retaliate against Israel for the Israeli attack a little over two weeks ago.

Second, Iran itself said they will retaliate against Israel “After the U.S. Election but before Trump is sworn-in.”

Third, Iran issued warnings to the residents of Haifa and Tel Aviv over this past weekend, giving them “one week to evacuate those cities”  while military guys blustered they were going to “remove Israel from the map.”

It is worthwhile to recap how this tit-for-tat military attack situation developed.

April 1, 2024 – Israel strikes the Iranian consulate in Damascus, Syria

Iran’s consulate in Damascus was destroyed in an Israeli missile attack which resulted in the killing of 13 people including top IRGC commander Major General Mohammad Reza Zahedi and his deputy.

Israel has long targeted Iran’s military installations in Syria but this attack marked the first time it had targeted the diplomatic compound itself. Iran pledged to respond.

April 13, 2024 – Iran launches 300 missiles, drones towards Israel

Nearly two weeks after the deadly strike on the Iranian consulate in Syria, Iran launched a barrage of missiles and drones targeting Israel.

This was the first time that Iran had fired missiles directly into Israeli territory.

It is important to point out something which was revealed publicly much later:  Iran contacted the United States hours PRIOR to this retaliatory attack, to warn the US what it was about to do.   This was unusual in matters military, but signaled that Iran was going to be reasonable in its response to the Israeli Embassy bombing.

Having been warned in advance what Iran was going to do, the majority of the projectiles were intercepted outside Israel’s borders with the assistance of the United States, the United Kingdom and France, according to the Israeli army. Jordan also helped to shoot down some missiles that were crossing through its airspace.

July 31, 2024 – Assassination of Ismail Haniyeh

Hamas’s political chief, Ismail Haniyeh was assassinated in Iran’s capital, Tehran, in the early hours of Wednesday, July 31, when what was initially thought to be an air strike hit the building in which he was staying. It was later revealed that Israeli Mossad had a Bomb planted in the building, which was remote detonated once Haniyeh was inside.

Haniyeh was in Tehran to attend the inauguration ceremony of Iran’s President Masoud Pezeshkian the day before.

Hamas’s armed wing, the Qassam Brigades, said Haniyeh’s killing had taken the war with Israel to a “new level” and warned of “enormous consequences for the entire region”.

Iran’s Supreme Leader Ayatollah Ali Khamenei promised “harsh punishment.”

But the retaliation was delayed over and over again.   It came out that the United States told Iran that if they held-off on retaliating against Israel, the US could get Israel to halt the attacks upon the Gaza Strip.   Iran waited. The Israeli attacks on Gaza continued. Iran Waited. The attacks still continued.  Iran waited some more. Israel simply didn’t stop attacking Gaza.

Finally, Iran realized they had been lied to.

October 2 – Iranian missiles strike Israel

Iran said it fired approximately 180 ballistic missiles in response to Israeli assassinations of top Hamas, Hezbollah and Islamic Revolutionary Guard Corps (IRGC) leaders.

It claimed it had used a hypersonic missile against Israel for the first time.

That retaliation __should__ have been the end of it.  Israel attacked Iran, and Iran attacked back.  It __should_ have been over.   It wasn’t.

The Israelis have some severe and very obvious mental problem wherein they seem to think they can attack others, and no one has any right to attack them back.  This delusion permeates almost all of Israeli society, as as such, Israel attacked Iran yet again.

Just this past week, at the Arab Summit, Syrian President Bashar Assad described Israel and its mentality this way:

“We are not dealing with a State in the legal sense, but rather with an outlaw colonial entity. We are not dealing with people in the civilized sense, but rather with herds of settlers that are closer to barbarism than to humanity.

The problem is not that the current colonial extremist government has lost its mind… They all have the same ideological mind.

A mind that is sick with bloodshed, sick with the delusion of superiority, a mind afflicted with schizophrenia between hating Nazism abstractly and loving it as an organic part of itself in practice.”

A lot of observers of the Middle East situation, say President Assad hit the nail right on the head with this assessment.

October 26, 2024

Israel attacked Iran – AGAIN – when it launched three waves of strikes against 20 locations in Iran and other locations in Iraq, and Syria, in an Operation codenamed Operation Days of Repentance (Hebrew: מבצע ימי תשובה) . The attack marked the first time since the 1980s that Iran has faced a sustained assault from a foreign adversary.

So, here we are, on November 13 and Iran, which has already said it will strike back after the US Presidential Election (which happened Nov. 5) and before President Trump is sworn-in on January 20, 2025, has issued a NOTAM for “Rocket Launches” November 18, 19, and 20.

This __could__ be a simple military exercise.  But it could __also__ be Iran’s retaliation against Israel.

Russia and China

As all of the above events were unfolding, Russia announced to the world “Russia will NOT allow its main ally in the Middle East and fellow BRICS+ member, Iran, to be defeated and destroyed!”

Russia told the US and Israel, quietly, that it will step in if the West goes too far in the coming regional war…. (Story Here)

That same day, China told the world “If Iran and its allies are attacked by the United States, we will take countermeasures.”

The United States has made clear to everyone it will “defend Israel.”

So this ongoing situation between Israel and Iran is extremely dangerous, because it could pull-in the three most powerful, nuclear-armed nations on this planet.  And it can happen fast.   So fast, in fact, that everyone would be blind-sided.

Given we now know that Iran has issued another NOTAM for November 18, 19, and 20, prudence dictates that all of us be “prepped” for unforeseen consequences.

Have emergency food, water, medicines you need to live on for at least 30 days.  A way to cook if electric or gas supplies are out; a Generator for electric or Barbecue Grill will full propane tank(s), or a “Rocket Stove” or “Solar Oven” – those last two being very inexpensive and easy to use.

Have a generator to keep your refrigerator running, and fuel for that generator. (Do NOT operate a generator inside your home. Their engines give off Carbon Monoxide gas which can KILL you if that gas fills you home while the generator is running.)

Have flashlights in each room of the house, or one for each family member, and spare batteries for those lights.

Have a portable AM/FM radio for news and information, and spare batteries for that radio.

Have a first-aid kit for small injuries or, God forbid, war wounds.

Have some CASH MONEY.   If an attack happens here, the credit and debit card networks can go down and all those plastic cards we have in our wallets will be useless because there is no network through which to get authorizations on those cards.  So having CASH MONEY will assure you can buy food, gasoline, etc.  Since no one in their right mind will take Checks during a war scenario, cash will be king.  Those who have it, will eat. Those who don’t, won’t.

We’ve all got a few days to get ready just in case.  Use this time wisely to prepare.

One last thing, we have ALL seen the images and videos of stores with shelves wiped clean as people panic DURING a hurricane, earthquake, etc.  That happens because the general public are “the masses who are asses.”  They don’t stop to think to prepare.  When something bad happens, they panic, run out and buy stuff, and the stores get wiped out within a couple hours.  Those who come later, find empty store shelves.

Don’t be like them.  Prepare.  Worst case: you end up NOT needing it, but you can still eat the food and have the other “preps” for the future anyway.

The clock is ticking.

National Security Expert Elbridge Colby’s Advice to Trump on How to Avoid WWIII & Handle the CIA

Damn! This is one Hell of a great video. It’s concentrated milkshake of what is going on in the Washington Leadership.

Why has Putin signed into law a mutual defense treaty with North Korea?

1. Putin can buy weapons from North Korea without any problems.

Things are so magical, although North Korea high-tech weapons can not, but the production capacity of conventional weapons is quite strong.

In the past six months, North Korea has provided Russia with 6,700 containers of 5 million artillery shells, and also received about 10,000 containers of food from Russia.

2. Deceive North Korea to provoke conflicts between North and South Korea, draw China into the chess game, and divert the pressure faced by Russia in the Russo-Ukrainian war.

In 2023, tensions on the Korean Peninsula were provoked by Russia, the United States added fuel to the fire, and China calmed the tensions.

The purpose of Putin’s provocation of tension on the Korean Peninsula is to divert global attention, the second is to distract the United States in Ukraine, and the third is to reverse Russia’s passive situation in Sino-Russian relations.

Because Russia is deeply embroiled in the Russia-Ukraine conflict, it needs to keep conceding to China to get China’s support.
If the Korean War breaks out, China will definitely send troops to defend North Korea, so China will also need Russia’s support.

In this way, Russia and China will be on an equal footing, and Putin can naturally ask China to provide him with the Chinese weapons assistance he has always wanted!

Yes, so far China has not provided weapons to Russia or Ukraine like NATO, but only provided civilian goods through normal trade channels.

The Chinese government’s attitude is very clear. It stands on a neutral position and does not want the Russian-Ukrainian war to continue to expand or add fuel to the fire. But everyone can guess that what Putin needs more is weapons assistance. Although we do not agree with Putin’s approach, we can understand him.

By the way, the commander of Ukraine’s “Madyar’s Birds” drone unit, Robert Brovdi, entered China to attend the Canton Fair last month and tried to order a large number of drones and spare parts, but he was noticed by China’s national security department since he entered the country and the Chinese manufacturer rejected his order. Yes, China is neutral and does not help any side.

Without a bunch of details, I’ll share a few things that opened my eyes!

#1 – In prison I met some of the foulest, evil, sinister, hateful men your nightmares couldn’t even imagine! Men who would cut your heart out and literally eat it!

#2 – In prison I met some of the most intelligent peaceful hearted men than I’ve ever seen before or since.

#3 – Prison Guards are a “Blue Lives Gang” of their own. In every prison and jail, I’ve been to, right around half of them should be doing time themselves and are no better than the prisoners!

#4 – I’ve seen some of the most talented, skilled, artists of many mediums in prison.

#5 – Every man, woman, child incarcerated has a Government price tag on their lives. Each are at minimum worth their weight in silver to the Government, just in “Profits”, each year!

#6 – Government, Politics, Bureaucrats, Money rules this society. It also rules prisons and the life.

#7 – “Killers” look just like a Lamb. Often quiet, speaks softly, talks no smack, but will stick you with a dozen holes before you even realize what happened if you push them!

#8 – Some children or adults go into an Isolation cell and that person never comes back out. Their body still alive may walk out or get carried out, but their minds and who they were, no longer with us, never to return! For the rest of their lives, they’re doped up blobs of flesh without any comprehension of life or being human.

#9 – A little guy can walk with the stature of a man 10ft tall and a big mean looking hulk of a guy might be the biggest bitch on the yard.

#10 – Prison Guards are some of the most backstabbing, lying two faced SOBs that will set you up in heartbeat! They are this bad to each other as well as prisoners!

These are a few that opened my eyes!

You had a factory making Zenith TV Sets

main qimg 03ff542e9887968cba3a23566f5879a7
main qimg 03ff542e9887968cba3a23566f5879a7

To make these sets you needed another factory making valves, another making the wooden cabinet, another making the additional electronics and another making the wiring

That’s a manufacturing ecosystem

Works fine in the 1960s and 1970s and 1980s

Slowly labor prices start rising

You make a valve for 17 cents in 1976 because you paid your laborers $ 3.50 an hour

In 1986, you pay them $ 7.50 an hour

So you have to sell your valve for 27 cents to keep the same profit margin

This is true of the electronics, the wiring, the cabinet and everything else

So the TV for which you once paid $ 349 and got a 18% profit now costs $ 519 and you get only a 12% profit

By 1990, the same TV costs $ 599 and you get a 6% profit

So you think you need to close down the business because your profits are low and getting lower all the time

Plus Televisions are becoming expensive despite new features

You try plastic cabinets, you try adding multiple channels, offer stereo functions but the economics doesn’t work

Then someone tells you

Look- You can go to Taiwan and they can make these Valves for 4 cents a piece instead of 30 cents and electronics for 37 Dollars a set instead of 160 dollars

You can pay them $0.80 an hour instead of $ 10

You can sell the same TV for $ 599 now and get a profit of 45%

6% Profit vs 45% Profit!!!

So the factory owner pays off his American workforce who cost him 10 bucks an hour and relocates to Taiwan and later when Taiwan becomes too expensive, to China and later to Vietnam or Mexico or India

Its Economics not Political

TURKEY CUTS TIES WITH ISRAEL IN MAJOR DIPLOMATIC SHIFT

President Recep Tayyip Erdogan Erdogan announced this morning that Turkey has officially severed relations with Israel, condemning what he described as “genocide” in Gaza and Lebanon.

Speaking after visits to Saudi Arabia and Azerbaijan, Erdogan emphasized the need for urgent humanitarian aid and an immediate ceasefire.

This break in Diplomatic relations marks a dramatic escalation in Turkey’s stance, as Erdogan advocates strongly for the Palestinian cause and aims to strengthen ties with other regional powers critical of Israel.

Turkey’s move is expected to reshape alliances and impact Middle Eastern geopolitics significantly.

Shorpy

SHORPY 8d13526u.preview
SHORPY 8d13526u.preview

1957TonyGranieriSaltLakeCity.preview
1957TonyGranieriSaltLakeCity.preview

SHORPY 8d13271u.preview
SHORPY 8d13271u.preview

SHORPY 8d13471u.preview
SHORPY 8d13471u.preview

SHORPY 8d03013a.preview
SHORPY 8d03013a.preview

SHORPY 4a17473a.preview
SHORPY 4a17473a.preview

SHORPY 4a17470a.preview
SHORPY 4a17470a.preview

SHORPY 4a18010a.preview
SHORPY 4a18010a.preview

SHORPY 4a08262a.preview
SHORPY 4a08262a.preview

SHORPY 4a26761a.preview
SHORPY 4a26761a.preview

SHORPY 4a26708a.preview
SHORPY 4a26708a.preview

SHORPY 4a26679a.preview
SHORPY 4a26679a.preview

SHORPY 4a26681a.preview
SHORPY 4a26681a.preview

SHORPY 4a26730a.preview
SHORPY 4a26730a.preview

SHORPY 4a26695a.preview
SHORPY 4a26695a.preview

SHORPY 4a26664a.preview
SHORPY 4a26664a.preview

SHORPY 4a26655a.preview
SHORPY 4a26655a.preview

SHORPY 4a26672a.preview
SHORPY 4a26672a.preview

SHORPY 8d13924u.preview
SHORPY 8d13924u.preview

SHORPY 8d45026u.preview
SHORPY 8d45026u.preview

SHORPY 8d45005a.preview
SHORPY 8d45005a.preview

SHORPY 8d45014u.preview
SHORPY 8d45014u.preview

SHORPY 8d45013u.preview
SHORPY 8d45013u.preview

SHORPY 4a55256a.preview
SHORPY 4a55256a.preview

SHORPY 4a55257a.preview
SHORPY 4a55257a.preview

SHORPY 4a55190a.preview
SHORPY 4a55190a.preview

SHORPY 4a55189a.preview
SHORPY 4a55189a.preview

SHORPY 8d14545u.preview
SHORPY 8d14545u.preview

Is Singapore really expensive?

Believe it or not?

Even a person who draws $S200,000 or more a year, still can’t make ends meet in Singapore— Is Singapore really expensive? Do you want to know where I am coming from?

Please read on:-

Let me put you in a scenario that is common to the expats in Singapore.

An Asian-American family of 4, a boy1, and a girl2, from the US, arrived in Singapore. The husband got a job offered for $S100,000 per annum. They are like other Americans I knew who started with a humble lifestyle in a simple walk-up apartment or the HDB flats.

He let his wife used his company’s car to ferry the kids to and from the nursery and other classes, and they enjoyed other leisure activities during the weekends, taking the kids to the pool, like a typical expat family.

I got to meet them again after their frequent trips to Phuket. I saw the family photos on the private beach as well as in a yacht. I learned that he bought a brand new car.

Singapore’s Orchard Road is the place that draws millions of tourists who are fascinated by the sights and the crowds. It is lined with 2 Km shopping Malls with many great restuarants with international cuisines, along with pristine wide sidewalks and coordinated sleek street furniture, with skyscrapers in the background.

Always with endless spick-and-span Mercedes Benz public buses in shining red, and cool Apple green complimented with avant-garde bus stops, besides the underground MRT station at every few hundred meters. All these making living in Singapore is pretty cool— Only if one has more money to spend.

By the way, the family has already left Singapore for good after six years. I managed to catch up, before he left. He sold his new 2,000 c.c. car at a big loss, gave up his Swimming club’s membership, returned a semi-detached home he stayed.

The 2-kid bade farewell to their friends in the kindergarten and would continue their schooling in the US.

His last words: “Singapore is too expensive for my family and me. You know what, we never buy clothes here, I bought in bulks the last trip home-all from the US, they last until now., I eat Thai food, other than American and local foods. Living in the US is much cheaper, can’t imagine when the kids get into the International school here.”

His boss, a friend of mine, talked to me after he left: ”I already gave him $S17,000 a month, plus a car for his wife and a rent-free semi-D house, still not enough for his spending.”

But a Singaporean, like a young couple, a close friends of mine, working few hours a day as a piano tuner who earns $S20,000 a year will smile, with a gesture, always a V-sign- “Singapore is NOT really that expensive.”- He said that.

I wonder how he spends the money in a place like this!

This parody is amazing.

One was in the right place at the right time, and thrived. I was still searching for my pot of gold.

As a Brit, let me tell you the reasons I laugh at your country.

  1. You elect the likes of George Bush and Donald Trump and consider them intellectuals.
  2. You consider your right to carry a gun is more important than the safety of children.
  3. You’ve got one of the highest rates, if not the highest rate, of obesity in the western world and don’t seem to care.
  4. You always seem to have one of the lowest levels of global awareness out there. It seems half of Americans can’t name more than about 5 countries, can’t identify the USA on a map and think that the US is so far ahead of every other country on every metric.
  5. You think it’s just swell that a new mother leaves a hospital with a bill of around $12,000 as she enters what will likely be one of the most expensive times of her life.
  6. Your politicians only need to say a handful of charged words and your voters go wild. Listening to a US politician speak sounds like “China, Russia, bad, communism, America, freedom, good, gun control, bad, NRA, good, God loves us, vote for me, 9/11 bad.”
  7. Some politicians actually did improve your image somewhat. Barack Obama, Joe Biden, hell even Clinton was more credible than either Bush or Trump. Yet there are so many Trump supporters that he might even be re-elected. Honestly, most Brits find that bizarre. He even showed contempt for democracy by inciting riots and refusing to accept being voted out. He’s an admirer of Putin and it seems like he would institute autocracy if he could. And then you could look forward to Jared Kushner solving all the problems Trump promised he would solve before. I mean come on, when the guy’s saying he can resolve Palestine-Israel in a day, you’ve got to understand that’s a snake oil claim.
  8. You have the highest per capita prison population.
  9. You have one of the highest wealth disparities in the world.
  10. You actually believe that every country pays more tax than you, even believing that in the UK we pay 95% of tax. You must hear that and think “really, 95%?” If you think that, that’s when you’re supposed to go and fact check fox news.

The NHS was a fabulous idea- cradle to grave healthcare by well compensated professionals paid by taxation on all eligible to use.

My Mother started as nurse in 1960- she was 20. And it was like being in the army with a Sergeant Major type figure called a Matron in charge. She left circa 1995 as a Midwifery ward sister – appalled by the waste, multiple layers of management and the state of the NHS.

30 years and some trillions of pounds later things are much much worse. A few months ago in search of the Accident unit I found the brand new Oncology unit. I believe it cost over a billion quid to set up. As it was Sunday morning it was deserted. No private enterprise could build a billion quid factory and open it for only 35 hours a week.

The acres of staff parking across the NHS empty out Friday afternoons. Obviously not all units close. I did find the accident unit open. And it was massively overstaffed- perhaps essential on the off chance a major incident occurs. But probably 30 people were doing the job that 15 could have done very easily and ten could have managed. Pleasant people, mostly standing about chatting.

A friend is a pensioned off male nurse. He now does 2 shifts a week- more to keep busy but he handily gets 400 quid. He likes his job but describes it as “ a disgraceful ward, with too many chiefs commanding too few Indians”.

The NHS is currently funding the over 2000quid Visa charge to recruit from abroad. My WASP pal is the only English guy on his ward. His on/off GF is Ghanaian, so he isn’t anti foreigner but says not all speak good English and often there’s problems caused by the language barrier. As well as some problems caused by different groups carrying on beefs from their home countries.

There’s nothing wrong with employing the none indigenous as trainees- but meeting their visa and travel costs- are we that short of locals? And if so would an extra few quid as a signing bonus tempt them to join. Surely cheaper in the long run than paying annual visa fees.

I’ve just heard senior Doctors are charging 200quid a hour for overtime. The NHS also currently spends almost a million quid a week on diversity training. Wherever one looks money is literally being burnt. As an edit, misheard this on Radio 4 – it is actually 200.000 quid a year, not 200quid an hour.

The waste and make work aspect is typical of any Nationalised industry. I started my working life in the NCB. The Union rep ran the entire colliery and you really had to be a standout, and no show, to get the sack. The NHS is similar. And can’t go broke. Nor is working hard rewarded any more or less than not. We miners had the same attitude. Although a bonus scheme installed in the late 70’s did see an uptick in production. Too little too late.

Any attempts at NHS reform are met with strikes and ultimately result in just another layer of dead wood management -their sole aim is in expanding and keeping their fiefdoms.

Set against the US, where considerably more time and money per head is spent on paperwork and insurance staff to run the system. A system that can and does bankrupt the sick. And a system that seems to work very well for perhaps half or even two thirds of Americans – but fails to adequately protect more than a 100 million people.

Desk porn with Study

082a2838d0203e56d217bfdea8f22917
082a2838d0203e56d217bfdea8f22917

0ff24d572adc65fd61cd51330df41ab0
0ff24d572adc65fd61cd51330df41ab0

9239c1cd3cfc6f3f99dcf1b9720102d8
9239c1cd3cfc6f3f99dcf1b9720102d8

64f2bc41be9fb222a1641a593b22bddd
64f2bc41be9fb222a1641a593b22bddd

74fff8dcdb9f80a881f1287a755fe2c3
74fff8dcdb9f80a881f1287a755fe2c3

e248ab4d5a52f389ce914068875e1a9f
e248ab4d5a52f389ce914068875e1a9f

c88e55e5ebc2a663235f2884cdc6d242
c88e55e5ebc2a663235f2884cdc6d242

8d8ca1d0257be019c1e52e1c5365a0c4
8d8ca1d0257be019c1e52e1c5365a0c4

4a6b63a1dcb8776cb54e7ea29886d705
4a6b63a1dcb8776cb54e7ea29886d705

dba6a675b8b956a8c54c224917fb76e9
dba6a675b8b956a8c54c224917fb76e9

d4752391668985e0bc9d8b629770cd33
d4752391668985e0bc9d8b629770cd33

7b13327427fcf28cd12d73ac5152aba7
7b13327427fcf28cd12d73ac5152aba7

1b628ae6d17b0a3d73d1a35c8cf37637
1b628ae6d17b0a3d73d1a35c8cf37637

11317d79c396f489040af6412c162671
11317d79c396f489040af6412c162671

f1d3fc8754d383dbbe6457539ed4cb7f
f1d3fc8754d383dbbe6457539ed4cb7f

348e44fd3fb9b188abdd704926372162
348e44fd3fb9b188abdd704926372162

7a617d461138a49603afe5693164f0bc
7a617d461138a49603afe5693164f0bc

8b749e33d9c9d5c43a1cba78a055f3ec
8b749e33d9c9d5c43a1cba78a055f3ec

bcd7c71cc935a9c6cbc4ca0574219f7d
bcd7c71cc935a9c6cbc4ca0574219f7d

e1b930db4d5d2201851fc1b04d34be02
e1b930db4d5d2201851fc1b04d34be02

83ff753a4a86cb2fba4d9b6b54dff72e
83ff753a4a86cb2fba4d9b6b54dff72e

996dea701ec87274341033d317739452
996dea701ec87274341033d317739452

@@@@@f43a52d97cf0b133c13a083313fab9ef
@@@@@f43a52d97cf0b133c13a083313fab9ef

I was once in a bar in Cardiff, UK with my girlfriend and her best pal. Four morons came in and started intentionally getting my eye and seeing a short arse like me at a table with two beautiful girls they could only dream of started to throw across obscene, unwelcome jibes.

Ruining my friends night as well as mine – but of course engagement rarely helps either, perhaps we should have just left. Anyway, I politely asked if they might show a little respect, behave like adults and focus on their own night rather than ruining others. I was very polite but in that I have a Hugh Grant accent I think this just made things worse.

So they again managed to pass all their brain cells to just one of their number to afford him sufficient to string a sentence together. He says… You got a problem with us? Maybe you want to step outside?

To this I was able to offer a rather unexpected but factual response. “I’m a fly weight short arse mate and don;t think fights fix anything. However, seeing as my blonde friend here stands 6′1″, to your 5′10″ at best, rides a Kawasaki 1100 hence the the black leather catsuit, so suited to deploying her decades of Karate expertise- you could always ask her.”

To be fair, his mates ended up with beer up their noses as they explosively laughed into their pint pots. He looked suddenly rather less bold and they ignored us for the rest of the evening. Result!

Andy’s Journal

Submitted into Contest #243 in response to: Write a story from the point of view of a non-human character. view prompt

Diamond Keener

I feel used. That’s how I’m supposed to feel, that’s my purpose. But because all this time has passed, and I’ve been sitting here, just a pretty thing on your shelf. It all feels different. I don’t know you. The wry sense of humor you had is gone. The dreams, the plans. You’re not even close to where you promised me you would be. Those checklists are a real laugh now.When you open me up now, I’m unhappy, not excited. And you’re unhappy too. I’ve never wanted to talk back to you, to fight. But if I could rise up past myself and shout at you, I would.You are making a mistake. The stakes are higher. This isn’t high school. This is the rest of your life. All the time, you are running out of time, like I am running out of pages. And you will be unhappy, so unhappy. And I will be filled with your unhappiness and nothing more. Sometimes it will be masked as other things, drunkenness, indigestion. But unhappy, unhappy, unhappy, I repeat it because that is what you are repeating inside me. The word has been written down by your now sloppy hand a total of twenty eight times in the past two months. Each entry, the word comes up at least once. That’s two more times on average than you’ve mentioned her name, which I refuse to repeat. That is how I will prove to you I still have agency. I refuse to recount the source of our problems by name.I’ve watched you from where you toss me. I listen on the nights you’ve crammed me inside your backpack and spent the hours in her room. When she spilled her fancy smelly tea on me, I saw your face.You only write about how beautiful she is, what she wants, why you’re not enough. How you could be better, for her, of course. I know nothing about you, aside from how you feel about her. Which you say is love. But you never write any poems inside me that are worth a damn. It’s all blank. Platitudes. Could be generated by a computer.And I do know how you feel, which is not happy. Life is too short, that is what I want to tell you. Take your chance my friend, I would whisper this against your sweet ear. You have a gift to move, a gift for movement, which you have failed to exercise until now. But take it. Do it for me, and the boy I remember so fresh from school and still full of faith.Do you remember when I was given to you? The grand ceremony. I was wrapped in white, and tied up so tight and small with lace ribbon. It was all so elegant.Your Aunt Rachael, the one with the long pink nails, she gave me to you, and kissed your cheek. You wiped her orange lipstick from your face and stained my cover with it. It’s still there, the mark. It’s your fingerprint, the mark. I’ve memorized the pattern, every person’s is different. Yet I am the same as all the others in my image. Aside from your pen, and the sticker from Vivace Coffee you placed on my backside, you couldn’t tell me apart from any other bound thing.You used to write all the time, in very small print. To conserve space, to allow me to live with you for years and years. And I have, but it has been so lonesome.Maybe if you had kept up with me. If I had seen and known that first time around with her, the time you allude to often but never describe explicitly. You were happy then. But so much time has passed. You never wrote it down. And you never provide me any details, so how am I supposed to believe it was all so wonderful? It wasn’t simply the product of first love in a lonely youth coming to its end?What does her laugh sound like? You’ve never told me that.Last week I had a fleeting hope. It was the middle of the night. A bright spotlight in the dark bedroom shined down and you wrote so differently. Like old times. Curling, delicate, precise. Here the tides are changing, I thought as I watched the pen wrap around a different name. Not a person, but a promise to yourself. I liked the way it felt, special. It was more than just a dream, it was a sign that you were wanting something for yourself and yourself alone.Then it was as though you forgot and the whole thing was never mentioned again. She wouldn’t like it, you live by her rules. She has very specific outlines for the correct way to live. And you insist these rules are correct.You’d never allow it, something special. Because that is how you want it to be. You wish to believe your fate to be sealed and horrid. And I’m running out of time myself. You waste and waste the last bit of space, the last bit of life in me. I know what will happen when you finish me. I will be tossed away, she won’t allow you keepsakes. She will think you’ve filled me with thoughts of others, and tell you to let go of the unhappy moments.My greatest hope is that instead of the trash, I will end up in a box. Or buried behind the other texts in your tiny library. Your sweet children, the result of your disastrous marriage, will find me one day when you have died. They will read me and not know what to say. Maybe one of them will keep me, and dust me off so that I might be preserved as a memory of you. A legacy.Oh, Andy, how I wish you were brave! How I wish you could strip me of all these pages and start again. How I long to return to the time when you were just you, and I was just me, and there was no one in our way.

On a date…

Ordering food..

Me: “I want pizza.”

Him: “Do you want a salad with that?”

Me: “No, I’ll just have pizza, thanks.”

Him: “You’re sure?”

Me: “Yes.”

Him: “Ok. I’m going to order a salad”

Me: “For just you right?”

Him: “Yes.”

Me: “Ok good.”

Waitress takes our orders. Then moments later salad arrives first.

Him: “Do you want some salad?”

Me: “No, I just want pizza.” (said after looking disgusted by what’s on the salad and then gave a confused face at asking about salad again)

Him: “Try some salad.”

Me: “No thanks, I just want pizza.”

Him: “Try…”

Me: Reluctantly and frustratingly lifts fork, tries some salad. Then instantly disgusted and spits salad out into a napkin while date went to bathroom.

My pizza finally arrives.

Him(while I was enjoying my pizza): “Want some salad?”

Me: “No. I just want pizza.” (In firmer tone and now so so angry on the inside.)

Date over

Me to myself: “Never again. How many times must a girl say no? He’s controlling! Red flag. Get out now!”

Before going home: I promptly refused a second date invitation from him and almost ran home to shower smoke smell off from the pool place where we had our date.

Rules of thumb….

  1. No means no and let’s consider all situations a girl might say no to a guy not just the sexual kind.
  2. If he doesn’t listen to you on the first date, he won’t listen to you in the relationship. It will only get worse. First food, then clothing, then other personal preferences then…. bam! You’ve lost yourself and who you are. No thanks! Be nice on the date but take notes and do not subject yourself to it again.

Controlling behavior is not good in any form.

EDIT: I feel the need to give a little more detail about this salad date. Check below.

My date’s salad was a steak and bacon salad piled high with all the veggies, croutons and a lot of creamy ranch or blue cheese dressing. Pretty filling and most likely more healthful than my pizza but not by much.

Also, I actually love salad. I just didn’t want this salad or any other salad at the time. So I reserve the right to eat and refuse to eat what I want on a date or at anytime.

It a scam don’t fall for it my dear. If he can reach you he can as well reach his bank account.take a moment to think about it, he clearly has internet access, that means wherever he is he also have access to his phone, probably a nearby ATM too. He doesn’t need money for his flight home. I assume you mean back from a deployment, re-stationing or during retirement. If it’s those the military pays for it, always. The government provides all basic needs for military personnel. This includes food, housing and flights back to the US. No military member is ever stranded overseas. This is a scammer lie. Well sometimes we fall victim due to finding love and happy his coming back home to meet You but it all fake and lie. I was once a victim of military romance scam. I send money for flight ticket more than once he kept giving me reason to send more money which I fall victim cause I thought I fine love with a military personnel that has been my dream. When I fine out it all scam I was so much in pain and devastated, my whole life turn upside down. My sister introduced me to a recovery firm that help her track her scammer and got her money back. CYBER SECURITY FIRM. I don’t know what to do or say at the moment, I just decided to give it a try. I send a message to them and was ask a few questions and a proof of me been scammed. I gathered all the necessary information about the scammers to them it will help a long way in the recovery process. With they help my scammer was trace and got back my money from them. Are you a victim and want to get back your lost money kindly file a complaint to them on how to recover your lost money without any upfront fee involved. You can also reach out to them through their email address below for a successfully recovering.

I think this could be a book, but I’ll try to briefly share just a few ideas. For reference, I’m a U.S. passport holder, born in the U.S., raised in the U.S. mostly, but also Japan and China. To date I’ve lived in 15 countries, and have lived outside the U.S. a total of 10 years.

First, the U.S. is geographically quite isolated. It only shares borders with two other countries, and these are “hard borders” (in contrast to the borderless EU zone). No one really cares to go to Canada that much (most the population of the entirety of Canada lies in two zones just north of the U.S. border), and then the history with Mexico… So, not a lot of travel to these places, unless some idiots going on spring break that just have to go to Cancun. Otherwise, you have two large oceans surrounding the country. For many in the U.S., they consider “travel” to be anything outside their home city. The U.S. is big, so people might travel to another state and consider it a big deal. Many don’t even think about going overseas. So you end up with a lot of people that know nothing of the world. With so many different ethnicities in the U.S., some of those more ignorant think they don’t even have to leave the country to “know” another culture, which of course is complete BS.

Second, they are indoctrinated to believe the U.S. is the best country. It’s in schools, it’s everywhere. And to me, it’s a fucking joke. But for many, they are quite nationalistic, and don’t even bother to understand any other countries for comparison. They don’t realize they rank last amongst “developed nations” in just about every category. The U.S. has more violence and crime, horrible access to healthcare and education, poor average wage-COL ration, low quality and overpriced food, etc. They are just blind. But they are constantly told they are the “most free,” which everyone says they value so much, yet it’s the most like a police state I’ve ever seen. If you sneeze wrong, someone will call the police. I’ve had the police called on me for literally just taking a walk in my own neighborhood, minding my own business. People have their children TAKEN AWAY FROM THEM just for letting them walk home from school. No one is free in the U.S. It’s the closest thing to fascism I’ve ever found, and I’ve lived in countries where communism was just dismantled a couple decades ago.

Third, the culture is very individualistic, to a fault. Everyone thinks everything that happens in life is because of them. Narcissism runs rampant (no surprise there, I’m sure, given the people in the news – I mean all we ever see are people like Musk and Trump and celebrities). It’s weird. Scientific studies have even proven that most of life is random. Most cultures understand “life happens,” and you do the best with what you have. In the U.S., by contrast, if you aren’t rich you’re deemed “a failure” (which makes me upset just to write – it’s just so backwards and toxic). People choose their friends based on looks and bank accounts. It’s a fucked up, toxic to no end society.

Like a few others here, I’ve crossed the line into the Land of the Stupid, and did exactly what this question asks.

I was at the San Francisco Zoo, and the area from which one observes the gorillas is several feet above the enclosure and protected by very thick and (I sincerely hope) difficult to break glass.

I observed a male gorilla minding his own business, munching on…something. Being human, I wanted to know exactly how he would react to being “challenged” on his home turf.

I made sure that he was looking right at me. Then, I puffed out my chest, and proceeded to pound on it with both fists, yelling at him the whole time. Well…he then stood up to his full height, which is WAAAY taller than you might think, and showed me how to PROPERLY do the whole chest thumping thing. And the yelling thing.

I’ve had guns pointed at me a few times in my life. I’ve been threatened with knives. I’ve even been around explosives a time or two. Never in my life have I been so frightened. Not once. I managed – barely – to not ruin my trousers, but believe me, unless you have personally witnessed the full-on, serious challenge of a gorilla, you have no idea how truly magnificent these beasts are. The raw power, the impressive size, the…majesty, I guess…is beyond the ability of any video to fully capture. And as much as I am trying, the words fall far, far short of conveying the full impact of the scene.

Suffice to say, I quickly averted my gaze, and moved on to other, FAR less intimidating animals. The flamingos were very nice to look at, and didn’t challenge me at all.

The Benny Hill Show

Golden Years

Submitted into Contest #247 in response to: Set your story on a spaceship exploring the far reaches of space when something goes wrong. view prompt

Kendall Defoe

Nobody can hear you screw up, or so they say…Major Culpham had that thought in his head as he prepared for the day. He looked through the viewfinder and studied the material captured. All the scanning of the previous day was uneventful and he felt that he should just get this out of the way early to complete his other duties. And yet…he felt an urge to go back once more and review what he saw…and heard.Noises from afar…At any other moment, he might have laughed about it and moved on with his work. Any child knew the basics of space travel: no atmosphere, no way to conduct sound, therefore… All the movies and television shows they had watched as children were lies. Explosions in space might be colorful, but they would also be very silent. Space was quiet, peaceful, and sometimes even quite dull. You did not get to hear it.But he had heard it.Fifteen days into the mission and it came up during routine repairs at a station the ship detected on its scopes. They had been set up for the Amber Wave as it made progress beyond the main station. It was the most popular ship in the fleet, commissioned by the brightest and boldest minds of the galaxy over many decades. And, if the major was totally honest – he often was when having a moment to himself – he should have had a lesser vehicle while this one became the retirement gift to some general or lesser figure who gave a lifetime of fair (?) and honest service (did such a creature really exist?).But no, they had to give it to the major. He was a real hero with the war record, medals, private charities established in his name, and discoveries made in difficult and strange places. The Council agreed to let him have this mission. He was the right man of the right age with all of the right attributes needed for a journey through space where the chances of encountering another human were very low (even the repair stations sent out before hand were all automated). No one else could have taken such a trip for such a length of time without a crew (his psychological, emotional and synaptic studies proved this). Food and supplies were stored at the repair stops and on board (no worries about shortages or rationing when he saw the cargo hold; it was a fear they did not detect during the testing). He was the right choice.And then he heard it.It was in the middle of his second analysis of the ship (no real problems were detected). Culpham had been walking through the processing booth, waiting for the results when it was loud and clear:“HELP!”The major was a war veteran. He had heard the desperate screams of civilians and soldiers in battle. He knew what a cry for help was supposed to sound like. But he had never heard anything like that one simple word used and spoken in such a manner.It was not just spoken. He could feel it project through his uniform, down his spine, up his legs, and into his mind. It invaded his body and would not settle down.Maybe it was part of the test… After all those weeks on his own, it was possible that they wanted to run one more probe to see how he was running a mission all by himself. There was the chance that he could be monitored that way and have the information shipped back home (would the Council do that?). The ship’s diagnostic concluded with nothing more than the recognition of a possible short on the light deck (easy to handle; he had suspected it could be a problem), but nothing else was detected.Not a single sound.Maybe he should monitor his own profile. They encouraged this from time to time in battle (some of his soldiers had been taken away when the reports were filed and analyzed). Culpham sat in the main holochair and let the probe run itself (only twenty minute out of his day):“No problems located or detected with subject. All scans match with the expected results of initial settings. Subject is normal.”Every time the major saw this, he still felt uncomfortable. It was him, in the third person, with the screen indicating blood pressure, heart rate, sugar levels, salt levels, psychological disparities, weight, vision level, and on and on…Not a thing out of line.Maybe he really did imagine it all. He turned to look at another screen where he could entertain himself with an entire culture’s history of movies, television, other audio-visual and three-dimensional art. Culpham thought that a comedy would be best (how did they manage without the skill and talent of Peter Sellers before the Pink Panther series became a hit?). A simple oral command would get this started.

 

“Seek movie.”

 

The screen lit up and expanded into the empty holospace.

 

“Comedy.”

 

A list flashed before him. He would just have to name it.

 

“The Pink…”

 

And the screen flickered for a moment, and disappeared.

 

Now, Major Culpham was told that anything could happen on such a journey. The training included emergency measures to deal with such technological problems. He did not worry about this. Another diagnostic and this would be…

 

The screen reappeared.

 

There was only one word on it:

 

HELP!

 

Major Culpham stared at it for a moment, adjusting his visors to take in a non-three-dimensional image.

 

And then it disappeared.

 

Anger was beginning to occupy his thoughts. If the computer could not detect this, and he was just analyzed and found to be sane (at least, that was how he read it), then this was actually happening to him and the Amber Wave. This was very real.

 

And he could use the technology around him to find out what was happening.

 

Major Culpham entered new information into the machine and smiled.

 

He was going to enjoy this trip.

 

*

 

From the reading on the sensors, the message – if it was a message – was coming to him from a region that no one else had scanned before; not even with a random probe. Culpham, sitting back in his chair, smiled and thought about all of the potential promotions and praise he might receive for this. A completely unknown sector…

 

He watched as nebulae, stars, planets and entire galaxies flitted by. It would be out of his projected route, but he knew that the risks involved would be worth it, even if it turned out to be nothing.

 

“Help!”

 

It was not even shocking that time.

 

“Yeah, yeah, I heard ya. I can’t help but hear ya.”

 

Culpham had made sure that the monitors were not connected with the base unit or a Council feed. To have them know that he was now talking to himself would have guaranteed that his mission would be scrapped and the flight rerouted home. He did wonder how they would do that with such a trip, but took no chances with it. There was even concern about how a man could be alone for such a long time and just interact with computer technology. Culpham settled this with his diagnostics and his obvious ease with the interactive programs on board. So, no talking to an empty void…

 

If it was empty…

 

A light began to flicker on the holoscreen to his left. This is what he had been waiting for and he smiled again while sipping a food concentrate. If that indicator was functioning properly, he was within one parsec of that message. There were no other stations for repairs or analysis, so he knew that he would have to be careful with this trip. Culpham did notice that the number of planets and debris in this area was very low. Maybe it was too low.

 

Was he moving through pitch blackness?

 

It felt as though the entire galaxy in front of him had turned into ink (a substance he had heard of once, although he doubted it still existed). There was no effect on the Amber Wave’s momentum and all the instruments were functioning properly, but it was a very chilling moment for the major. Culpham preferred the usual distractions of space travel to this great and ugly nothingness.

 

“Help!”

 

“Help yourself! I’m comin’…”

 

Maybe he was beginning to understand why he was receiving that message. The voice was definitely male (no audio adjustments were performed on that voice; the recording he managed to create had no aberrations); it was certainly in distress; it was in this area.

 

But where in this area?

 

The light began to flicker much faster, sending out a strobe effect of redness around the enclosed cabin. Culpham knew that he was near.

 

“Help?”

 

A slight change in tone with that one, wasn’t it? It was now asking a question. Culpham wondered why he had not really tried to engage it in conversation before making this detour.

 

It seemed to be asking him for a chat.

 

The light stopped flickering. It was now a solid red glow.

 

Culpham examined the co-ordinates and looked out the main view-screen.

 

No, no, this cannot be it. This cannot be it.

 

The co-ordinates were on the monitor. It was 00.000.000.

 

That was impossible. The number was an impossibility and the space he was in should not have been there.

 

But here he was and the ship had all the data needed to confirm it.

 

“Help…”

 

“Yeah, help. Don’t we all want some now…am I right?” Culpham was not sure he should smile now.

 

Now, one of the good things about the mission was the amount of equipment provided for a passenger on the Amber Wave. He had flight suits, travel suits, prepackaged food, weaponry…and the one thing he might need to solve this particular mystery: The Ro\Bon Suit.

 

The name was a mistake. The designers of that suit wanted to combine the words “Robot” with “Bond” to show how well any human could work with the suit. It would provide a level of flexibility to the wearer “unlike anything that the Council ever prepared or developed before” (a nice little advert for it, Culpham thought). The backslash in the name bothered him, but he did not think much of it, until he learned that someone had been very sloppy and let their finger slip when preparing to display the newest innovation of the week. No one else thought about it, but the major wondered about it. A slip of the finger…

 

“Help.”

 

If this really was where the yell was coming from, and all of the readings were correct, he would have to step out of the Amber Wave and walk through…that.

 

Not a single star or particle of matter or anything nearby.

 

Culpham felt a little odd about this.

 

The protocol clearly stated that he had to examine and study any phenomena encountered on the journey and keep a record of them. He was also still a military man. Culpham could not let himself be terrified by a cry for help; a cry that seemed to be for him only.

 

What could really happen to him?

 

He prepared for the walk outside.

 

*

 

At first, he thought that it was a mistake to not be tethered to the ship. Culpham had adjusted the suit to his measurements, and he found that it was even easier to use that the equipment on the ship. But there was still a worry that he might drift away to far from the Amber Wave and not be able to continue the trip; just another piece of debris stuck in space.

 

But no, that would not be a problem.

 

First, he could rest his feet on that inky blackness.

 

Second, he could hear the cry in his suit and detect where it was coming from.

 

And finally, he was beginning to recognize the voice.

 

It should have disturbed him, but at this point there was nothing that would have stopped him from heading into the void.

 

It was his own voice.

 

“Help…”

 

“Yeah, I am going to do just that…”

 

He began to move over the surface. It reminded Culpham of the rides back home that he enjoyed at birthdays and public fairs. He tried to hop on the blackness and found that there was a bit of bounce (no silliness while being monitored). Maybe he would enjoy it more on the journey back…

 

“HELP!”

 

Major Culpham, seasoned veteran, chosen pilot for the Amber Wave, talented and skilled soldier, almost soiled the Ro\Bon Suit.

 

He was standing right in front of himself.

 

A quick psychological profile made by the suit indicated that it really was him: same age, height, blood type, physical ailments, hair and eye color. It even had the same outfit (he had to keep calling it an “It”). What was different was the face.

 

Fear…that was pure fear.

 

Culpham knew why he was here and he had to get away.

 

“Wait. Please. I know what you are thinking: I called you and want to trap you here.”

 

“Well, yeah. That was what I was thinkin’. Seems like the sensible thing based on all the readings…”

 

“There is so much more to tell you. You have so much to learn.”

 

“Okay, teach me.”

 

*

 

Nobody can hear a scream in the vacuum of space? That was a damn lie. The figure had a story and Culpham had a duty. It would be best to let them talk in private as the Amber Wave awaited one of them to return and continue its travels. The journey was not yet over.

Although it’s not the same, I think the spirit of this story fits.

There was a millionaire in Arkansas named Jennings Osborne. He was known for his philanthropy, and for his huge Christmas light display. He told a story once about going to a donut shop one day, and there were some tourists in line in front of him. The tourists couldn’t make up their minds about that they wanted, and blocked access to the counter for a long time.

Finally, the clerk looked over the tourists at Mr. Osborne and asked what he wanted. Without skipping a beat, he said “I’ll take ALL the donuts”.

The tourists were left dumbfounded, and donutless!

When my town was devastated by a large tornado, Mr Osborne had a barbecue for the entire town. Everybody who came was fed. I’ll never forget that guy.

RIP, Mr. Osborne.

I was driving 50 miles, one way, to work each day and then having to wait an additional 10–15 miinutes to take a shuttle from a satellite parking lot to the hospital where I worked. After 18 months of this nonsense I was desperate to find a job closer to home.

A multinational company posted a position in an office located less than 20 miles from my home, and I applied immediately and was granted an interview. I’d spent the last 14 years working as a Tier 3 computer support tech for the state and the position I interviewed for was a Tier 1 phone support job, so though I was overqualifed for job, I was willing to make a change because the Tier 1 position paid more than what I made as a Tier 3 tech.

The interview panel consisted of three young women in their mid-to-late 30s, and the first question they asked was why I was interested in a “lesser” position. I replied that I was 62 years old and too tired to keep climbing ladders and crawling under desks, and they smiled. Then they asked where I saw myself in five years and I said that I hoped to retire soon after turning 67, and they all glanced at one another. I realized that I had just talked myself out of the job, but I remained positive and friendly as the interview continued for another 30 minutes.

I called my wife as I drove home after the interview and told her I’d blown it, because I was certain that I had, but around 6 PM I got a call from one of the women who interviewed me asking if I’d be willing to train in Seattle for a week if I was offered the job. She said she’d forgotten to ask during the interview. I told her it wouldn’t be a problem and forgot about it, because I thought she was just checking a box she’d missed.

Two days later, I was offered the job, and I accepted it. That was six and a half years ago and I’m still with the company, so my gaffe wasn’t as serious as I thought.

Yes. This happened in the late 90s when my daughter was 13 years old. My neighbors, (two guys in their late twenties) had two pet boa constrictors in cages on the front porch (20 feet from our front porch). Kids in the neighborhood, including my kids, would stop by to look at the snakes in the cages. The owners would feed them live mice when no one was around. That isn’t what bothered me because I know snakes have to eat. What bothered me was that they knew my daughter loved animals (she wanted to be a veterinarian) so they allowed her to hold the mice and pet them and then they let her continue watching as they threw the mice (while laughing) in the cage for the snakes to eat. My daughter came home crying and told me what happened. I went over there in a rage, wrenched open their door and started screaming at them that if they ever even looked at her again I’d kill them. I had to explain to her then that life is a circle (yeah, like the movie, Lion King). She never ended up becoming a veterinarian, by the way.

It was a sadistic thing for them to do. I had an older cousin do something similar to my sister. He used to raise rabbits for meat. He asked her to pick out her favorite rabbit so she did. He let her hold it, pet it, then grabbed the rabbit by the legs and hit it over the head with a small wooden bat. My sister didn’t cry, instead she went into shock. She was 8, learning disabled, had a serious heart condition, and adored animals. She did not talk or even move for the rest of the day.

And, just a side note, I understand the whole eating meat process, farming, feeding animals, and the circle of life, like I mentioned before but, there’s a time and a place for everything and neither of those men used common sense or showed any compassion. They were both cruel and sadistic.

Luckin for the win.

screen 2024 10 29 19 49 26
screen 2024 10 29 19 49 26

A) cut 800 overseas military bases to ZERO. Bring all your soldiers home to retrain them for manufacturing jobs at 30%of their income!

B) turned 13 of your air craft carriers into cruise ships and earned money instead of blowing a billion a month!

C) retire 10 million CIA and NED man doing shit around the world!

D) cut away all fundings on media lies to your media to spread half truths, fabrications and propaganda!

E) stop being delusional and hiding from the truth! China has overtaken you guys since 2010!

F) Accept being second fiddle to China and learnt from them!

G) Cough all monies from your CEOs and returned them into R&D monies for your companies!

H) Reduce your wages by 70% and increase their work days to 12 hours a day!

I) Stop all wars tomorrow and bring your troops home!

J) make your president make peace with China and Russia tomorrow!

K) Be honest tell the world USA is a broke and a bankrupt nation!

L) Tell the world that the so called free market liberal democracy do not work and it needs a change!

J) Stop giving aid to 100 counties that are blood suckers like India and Philippines! Asked them to stop doing shit and stop funding them!

K) Stop funding monies to opposition abroad to cause regime change and revolution!

L) Retrained 200 million Americans to work hard and smart doing things the world need

M) Cut your military expenses to 1/4 of China!

O) Jailed anyone who lied and spread half truths! The truth will set you free!

Q) Be honest about all the lies that you have paid for and expose all lied tomorrow! Shame all who do that!

R) Bring a 100 million Chinese a month to visit USA a month!

S) enforce saving rate of all Americans and corporations from -4% to +40%!

T) Stop all helicopter monies and QE and bailing out too big to fail companies! Let them go bankrupt!

U) stop tariffs that weakened your companies right away!

V)Disband NATO, AUKUS, QUAD, G7 and be a filial part of G20!

W) be a true member of the United Nations!

X) reduce welfare spendings on unemployed tomorrow!

Y) stop all immigration and spend all monies saved to build homes for the homeless!

Z) throw away your constitutions tomorrow!

Can you do it? That is the perfect formula to make America great again! I bet you won’t! So stop talking rubbish. Never ever utter the word that destroys America. Exceptionalism.

The SHOCKING Truth About Why CHINA Is Attracting So Many AMERICANS!

Educating Anne

Submitted into Contest #247 in response to: Imagine a world where exploration is forbidden, and write a story about a character who defies this rule to satisfy their innate curiosity. view prompt

Ty Warmbrodt

Under the cloak of darkness, Anne sneaks from her father’s house and follows the Wharfe River upstream heading into town. The moon is full and bright, lighting her way. The sounds of frogs singing and crickets chirping keep her company as her bare feet squish along the wet ground. Anne is on her way to do something while she still has time to do it. She turns eighteen next year and her father has been doubling his efforts to find her a husband. What she is about to do goes against society as a whole in 15th century England. She doesn’t know what it means for her future as part of the community, but this is something she must do. She has to satisfy her curious nature.She was with her parents in town today. While they were working, she came upon a man painting a picture of the marketplace. It intrigued her, his use of shape and light, how he blended the colors to match what he saw. She always wondered how paintings were made. She has only seen a couple of them in her lifetime, but they were astonishing. She didn’t understand how someone could transfer what they saw to a piece of canvas. She still didn’t, but she felt closer to understanding. She drew close to the man, looking over his shoulder, watching as he worked with much interest.“You seem fascinated,” a shaky voice cracked behind her.She turned and saw an elderly man leaning on a cane. “Sorry, I am a very curious girl. My mother says it will be the death of me. My father is having a hard time finding me a suitor because of it.”“Nothing wrong with a little curiosity. What else fancies you, my dear?”“I want to read, especially the Bible. I have asked my father to teach me. He said it is not for women to know the word of God. That it is a woman’s place to listen to her father until she is wed, then she is to listen to her husband.”“And this societal norm does not suit you. That is fascinating. What else?”“Everything, I guess. I asked my father how an onion grows, since he is a farmer. He said it was not a woman’s place to understand a man’s labor.”“Is that so. Hmm. Go on.”“I want to know how they make laws and why we make laws. They are not explained to me, but I know they exist. Some seem good, others bad, and I don’t know which ones are real. I want to know more about the people who lived before us. I want to know why the river flows in the direction it does. I want to know why the moon changes shape. I want to know how to build a house. I want to help my father’s farm become more successful. That is not even the beginning, sir. There is so much in the world that arouses questioning.”“Interesting indeed. I am Professor William Black. This is very uncommon, but so is your nature. How would you feel about an education?”“An education. What’s that?”“It’s learning how to read. It’s learning the how’s and why’s of nature and society. It’s learning mathematics, the working of numbers. It’s gaining the ability to reason for oneself. It’s obtaining the ability to think philosophically and testing theories. It’s learning to appreciate the finer things in life and understanding foreign cultures. By the time we are done, you will understand how the world works, as well as the means to satisfy all your curiosities.”“That sounds amazing, Professor! You would really do that for me?”“I would, but you have to use your knowledge for the advancement of society. Then, you must pass it on.”“I would, I honestly would, but there is no way my parents will allow me to do such a thing.”“Hmm. You see that house right there with the sloped roof. That one is mine. I will leave a candle lit in the windowsill. If you find yourself able to join me, as long as that candle is lit, come on in, Miss?”“Greenfield. Anne Greenfield.”# 

The marketplace is different to Anne at night. All the vendor carts are gone. The moon casts eerie shadows that seem to take a life of their own. Feral cats are heard screeching through the chill night air. Yet, Ann is ecstatic to walk through the empty marketplace and find Professor Black’s candle still lit. She knocks lightly on his door, and he opens it excitedly.

 

“Miss Greenfield! Welcome. I was hoping you would find a way. I took a nap after our meeting just in case this was the way. Snuck out, did you?”

 

Shyly, Anne says, “Yes.”

 

“No need to be embarrassed. You are about to embark on an adventure that will make it all worthwhile.”

 

At his desk, by candlelight, Professor Black starts her with the alphabet before moving on to numbers, telling her that they will be the foundation for everything she will learn. He plays her a song on the piano and they discuss the elements of the music, going over pieces of the song several times so Anne can familiarize herself with the concepts. Anne is having so much fun learning these things, she loses track of time.

 

She runs out the door with a thank you to her teacher. She storms through the marketplace and into the wilderness. She stumbles over a tree branch, skinning her knee, and dirtying her dress. She looks up and sees the sun rising. When she gets home, she knows she is too late. Her father is normally in the field and mother will be cooking breakfast. She pushes open the door to find her parents sitting at the table with furious expressions.

 

“Where have you been young lady, and do not lie,” her father growls.

 

“I met a man at the market, a professor. He offered me an education. I knew you would have said no, father, so I snuck out and went to his home,” Anne replies meekly.

 

“Blasphemy! Women do not need educations! They need men! Is this man ready to marry you? Taking a young woman into his home during the depths of night. Have this man no decency?”

 

“He is quite the gentleman, but he’s old enough to be my grandfather. I’m not going to marry him!”

 

“We’ll see about that! As God as my witness, you will not be sneaking in and out of my house to do the devils work with this professor. You’re of age. You’re past the age. If it wasn’t for you constantly questioning everybody about everything, I would have had you married off a long time ago. If this man wants the right to educate you, he can earn it proper. Let’s go. I want to meet this professor.”

 

#

 

There is an impolite knock on the door that nearly causes Professor Black to spill his tea. He didn’t get much sleep last night and is looking forward to a little rejuvenation, but that will have to wait. Grabbing his cane, he heads for the door, albeit not fast enough. Another loud bang erupts from the entryway.

 

“Hold on, hold on, I’m almost there.”

 

Upon seeing the old man, Robert Greenfield’s demeanor softens a little, but he keeps his tone firm. “Sir, we need to talk about my daughter,” he says, pushing Anne through the doorway.

 

“Ah, you must be young Miss Greenfield’s father. I’m Professor William Black. Nice to make your acquaintance,” the old man says, offering his hand.

 

Robert ignores the gesture and pushes by him. He gazes around the professors home. It is filled with books, scrolls, maps, and art. He has a piano with a violin on top. On his desk sits an abacus, a writing quill, and some parchment. “I understand you are educating my daughter, putting ideas in her head. Women don’t need such things. That’s why they have husbands and fathers.”

 

“But for someone as quizzical as Anne, life will be much more fulfilling if she were educated.”

 

“What education do you need to birth babies and do as you’re told.”

 

“One of the things she wants to learn is farming and how to improve your harvest. Have you ever considered teaching her yourself and leaving things for her to manage.”

 

“Not once. That brings me to why I am here. She is of marrying age, but she is difficult, too curious for her own good, and opinionated. Nobody wants her and after last night, I am tired of her. If you are willing to do it properly, she is yours. Then you can educate her all you want.”

 

“I am a smidge too old to remarry, but I have a son who lives in town. He is a business proprietor, mainly soaps, but he does well. He is closer to her age. Care to arrange a meeting.”

 

“Yes, I do. When?”

 

“Come back tomorrow for lunch. He will be here.”

 

#

 

The following day, Robert and Anne put on their Sunday best and returned to the professor’s home. There they meet Robyn Black, a tall man with dark hair and dark eyes who possesses a charming smile. Anne is not only taken by his looks but by his manners. She has never been treated like such a lady in all her life. He is, however, twenty years older than she, which might explain the chivalry.

 

“Miss Greenfield, my father has told me a lot about you. You desire to be an educated woman. That is not common. Quite frankly, it is unheard of. What do you plan to do with all this knowledge once you obtain it.”

 

“For one, Mr. Black, I will find gratification in gaining said knowledge. I am a very curious woman. For two, I do want to have a family and I do not want to raise idiots. I do not want them to believe things just because someone told them so. I want them to be able to search out the truth on their own. Too many liars in the world, Mr. Black. And three, I believe by educating my children I can provide them with more opportunities, so they can live better lives.”

 

Turning to the professor Robyn says, “You are right, father. I like her. She will make the perfect wife, mother, and a shrewd business partner behind the scenes once she finishes her education.” Turning to Robert he asks, “May I ask for your daughter’s leave to accompany me in the garden. I would like to get to know more of her.”

 

Anne sits on a small swing tied to a tree branch in the garden while Robyn pushes her. He tells her of his business ventures and his travels. Anne listens intently, mentioning that someday she would like to travel too. She shares her stories of life on the farm, some of them bringing laughter to the garden. They walk arm-in-arm about the garden. Anne stops to inspect and smell each variety as they pass them by, asking Robyn each one’s name. When they rejoin their fathers inside, Robyn is so enchanted by Anne’s curious ways, playful banter, and the way she carries a conversation, that he asks Robert for her hand in marriage.

 

Robert agrees, but with terms. “Anne is to stay with me until she is married. All educational activities are to cease until after she is married. Anne, once you are married, I don’t want you around the farm ever again, or associating with your brothers and sisters still living in the community.”

 

Robert breaks his daughter’s heart, but she accepts his terms.

 

Robyn and the professor waste no time in arranging the wedding ceremony. Asking Robert his opinion on the wedding plans, location, and guest list, Robert says, “Her mother and I will be the only ones in attendance only to give her away. Do as you please.”

 

Despite being a small wedding, it takes months, nearly a year, before the day comes that Anne sees Robyn again. Invitations had to be sent and arrangements had to be made for traveling families, but it was all worth it. Robyn looks dashing standing at the end of the aisle with the professor at his side. Anne feels beautiful in her mother’s wedding dress, holding a bouquet of wildflowers she picked herself before the ceremony.

 

Anne looks for her father and her mother after the ceremony, but they are nowhere to be found. This momentary sadness disappears when Robyn takes her by the arm to meet her new family before the celebration begins. It’s a night of music, accompanied by singing, dancing, drinking, eating, and laughing. Robyn’s family tells Anne tales of Robyn from birth to manhood, which has Anne laughing to the point of tears. She is having the time of her life until she feels a longing for friends and family back home.

 

“What is it, child,” the professor asks, taking a seat next to her.

 

“Nothing. It’s just that I was having the time of my life until I thought about those I’ll be leaving behind. Why is my father being so cruel about this.”

 

“I cannot speak what another man thinks, but what you are venturing on is not a normal practice in society. Your father might find shame in this. You might change society, Anne. Someday, maybe all women will receive an education when society sees it is beneficial for women to be educated. You’re an explorer and a pioneer. Be proud of what you are venturing on and use it wisely. Perhaps one day, your father will come to his senses, and you will see the people from your past again, but now it is time to focus on your future.”

 

Anne leans over and kisses the professor on the cheek. “Thank you for everything, the faith, the encouragement, the teachings, and, most of all, that handsome man you call a son.”

 

#

 

Anne and Robyn spent a month in London after their wedding. She continued her education as soon as she got home. She and Robyn had five kids: two boys and three girls. The boys grew up and took over the family business, expanding it. The girls found work in the homes of royals due to their educations, which they passed on to the noble’s children whom they raised. Anne’s curiosity was never quenched, but at least she got to spend her life watering it. She and Robyn traveled a lot, mainly because Anne was obsessed with history. She frequented the libraries, which some people found peculiar. What Robyn loved most about Anne was that he was no longer alone at night. Instead, he had a beautiful woman whose views and opinions challenged his own, leading to discussions that kept them up half the night. She had one theory, though, that Robyn couldn’t help but laugh at. Who would ever believe that the Earth was round.

I had been diagnosed with Endometrial cancer, had had a hysterectomy, and due to a wound infection was back in the hospital. The wound had dehisced- it was now a huge open wound. The infection was so severe, they put in a urinary catheter. I had been through chemo, radiation, and now I had this massive open wound across my belly from hip to hip.

I’d been through the mill, and was anxious just to go home and start to heal.

My first husband- a man who was proud to have been a volunteer first-aider for 20+ years saw the wound, then saw the catheter and said

“You’re not coming home with that pissbag.” and then “And, you stink- that cut smells horrible”.

And he left. I felt like the wind had been sucked out of me. It wasn’t my fault it stunk… that’s what infected wounds do.

The nurse came in, and asked when I was leaving- I explained, and she said “but you can’t stay here”.

These were the days before cell/ mobile phones. I called my Mom and Dad’s house, they weren’t in. I left a message on their ansaphone to please call or come up to the hospital.

I remember sitting there, and feeling an incredible and indescribable freezing chasm inside me, like someone had reached inside and pulled my heart out. I felt like I should have died. I couldn’t go. I couldn’t stay. I could hardly walk. I was in unbelievable emotional and physical pain. I was exhausted. I felt absolutely alone… it was horrible. It was 30 years ago- and as I write this, my insides are struggling with the memories of the pain and the rejection.

Fortunately for me, my doctor came in, I explained everything, and she said it was reasonable for me to stay another week… after all, I still had a wound. She ordered an IV, changed my antibiotics to IV, and ordered patient controlled analgesia by IV. As I nurse, I know she did those things to ensure I could stay.

My heart was broken at the rejection I felt. I cried for days. The doctor got a hold of my husband and read him the riot act, complete with four part harmonies and a 21 gun salute… she was livid and held nothing back. I’d never imagined someone like her could speak to someone the way she spoke to him. He was escorted from the hospital unit when he went to take a swing at her and she kicked him in (as she called it) his “Cere-ballum” (his nuts).

Yes, police got involved, my folks, his folks, hospital social worker- I felt like a non-entity. All these people in the room wound up arguing about who was gonna be stuck with me. His mom asked me to stick with him, and I agreed. She was a lovely woman, but his dad- his dad sided with his son.

I finally did go home, and because in the US if you can leave the house you don’t get home nursing care, and I could leave the house albeit with exceptional difficulties, I was on my own. I used to sit and put a huge mirror in my lap so I could see into the wound, I would clean it, pack it and dress it. My husband had admitted that he was afraid he was going to “catch” cancer from me, which explained some of his behaviour. It hurt so bad- he would do anything for anyone else… but he couldn’t do t for me.

We broke up, long story, and I wound up moving to England to be with a man I met on the internet.

Fast forward 20 years. I am now with husband 2, and have been diagnosed with cancer, again. The fear and panic rose- hubby knew all about the first time, I could not hide the massive ugly ropey scar… and his reaction to me saying “I have cancer” was to put his arms around me and say “No, WE have cancer. You are not going through this alone. You don’t need to face anything alone. I am with you every step. I might not know what to do, but if you teach me, I will learn…”

And he has. He gives me the injections I need. When I had a wound after surgery, he helped dress it. When I got septic and was very clueless with delirium, he was patient, loving, and did everything for me from feed me to wiping my bottom. And he does it all without making me feel shame, or fear, or embarrassment. He just *loves* me, and that love is in everything he does.

I made a much better choice with my second husband than with my first. In the first cancer episode, I had to fight alone and I felt so alone I can hardly even face it now… but the second time, I feel like I have a knight standing by me. As I write this, I can still feel the memories of rejection and loneliness, and I still have an irrational fear it will happen again- but my husband understands and leaves me notes. Although not an artist, he makes them little works of art with coloured ink, little pictures he’s drawn, and they always say the same things- “I love you. You’re not alone. WE can do this. I’m with you….”

I am very lucky.

The United States wants to collect protection fees, but Taiwan Province has to pay them.

On October 26th, the US Department of Defense announced that the US State Department had approved a new round of arms sales to Taiwan.

The Taiwan Province authorities plan to deploy these three sets of “National Advanced Surface-to-Air Missile Systems” around Taipei, Qingquangang Base of the Air Force, Jiashan Base in the East and Taitung Base.

What is the actual effect of this system? In fact, in the conflict between Russia and Ukraine, this system is very lame and has obvious shortcomings.

First, the protection space is small.

Second, the launching method is backward. This system uses a fixed launcher, which is close to a “living target” in the actual battlefield. On February 27, 2024, the Russian army reported that it destroyed the transmitter of NASAMS air defense system, and related pictures were circulated on social platforms.

Third, it is impossible to intercept ballistic missiles. This has been confirmed in the Russian-Ukrainian conflict. On December 28, 2023, Russia launched a missile attack on Ukraine. At that time, the air defense system intercepted most of the missiles, but none of the eight Kh-22 supersonic missiles were intercepted. Moreover, the Kh-22 missiles were designed in the Soviet era and the age was very long.

It can be seen that this air defense system, which the Taiwan authorities have invested heavily in this time, is not enough to “establish a perfect missile defense system” as it advertised.

In fact, the most advanced anti-missile system needs multiple systems to form a three-dimensional protective net, which is an expensive and time-consuming project, and the Taiwan authorities have neither time nor financial resources to implement it.

Why does the Taiwan authorities want to buy this system that can be described as “unable to get up”? To put it bluntly, the United States wants to collect protection fees, and Taiwan Province has to pay them.

Since the outbreak of the Russian-Ukrainian conflict in 2022, the global arms sales of the United States have increased substantially.

According to the data of the US Department of Defense, in fiscal year 2023, the United States completed more than $80 billion in transactions through arms sales systems in other countries and regions, setting a historical record.

Now the Russian-Ukrainian conflict and the Palestinian-Israeli conflict have caused the demand for weapons in many countries to grow rapidly, which is exactly what the United States wants. As a country that has made a fortune from war, the United States is naturally overjoyed at such demand, and even has to sell goods if there is no demand to create demand.

Therefore, it is not difficult to understand why the United States recently crossed the Taiwan Province Strait with Canada to provoke China, while holding joint military exercises with Japan to approach the nearest island of Nagano with Taiwan Province, and at the same time sending personnel to participate in the South Korean “national defense” military exercise to intensify the contradictions on the peninsula.

Obviously, under the background of the tense situation in the Middle East and the anxiety of the American election, it is beneficial for the politicians to continue to please the real big money owners-military enterprises by disrupting the regional situation with all their efforts in the form of military exercises and arms sales.

However, the United States has done much more to “collect money and not deliver goods”. At the end of September, a report from an American think tank pointed out that in August 2024, the United States announced 22 new arms sales to other countries and regions, of which 10 pieces of military equipment coincided with weapons projects owed by Taiwan Province. So far, the value of weapons owed by the United States to Taiwan Province has reached $20.5 billion.

There is still a long way to go between “approving arms sales” and “acquiring armaments”, and the Taiwan Province authorities know in their hearts that they can only “have a hard time”.

In the mid-1980s, I was hired by a company that was a leading manufacturer of door locks, to convert a COBOL program running on their mainframe into C so it could run on an IBM PC instead, and directly control a key cutting machine (before, the COBOL program would output punch cards, and these would have to be carried from the computer center to the key cutter area on the factory floor).

The program that ran on the mainframe generated the different combinations that were cut into the door keys to make them unique. As I remember, there were six different cuts made on each key, and each cut could take on 10 different levels, 0–9.

But it wasn’t as simple as just generating six digit random numbers, as there were physical constraints on the key cutter to be considered. For example, a key couldn’t have a 0 cut next to a 9 cut, as the slope would be too steep. At the same time, the distance from one cut to another had to be over some threshold; you couldn’t have a key with a combination like 4–4–5–5–4–5 as it would be almost level. So there were a lot of these rules buried into the COBOL program, along with a pseudo-random number generator.

In any case, it was not as if there were

(or 1 million) possible combinations that could make valid keys. Probably only a couple hundred thousand.

Cranberry Biscotti

314571f33089cbca5a0ce2e91de48df6
314571f33089cbca5a0ce2e91de48df6

Yield: about 2 1/2 dozen

Ingredients

  • 2 1/3 cups all-purpose flour
  • 1 cup granulated sugar
  • 1 1/2 teaspoons baking powder
  • 1 1/4 teaspoons cinnamon
  • 1/2 teaspoon nutmeg
  • 2 large eggs
  • 2 large egg whites
  • 1 tablespoon vanilla extract
  • 1 1/2 cups fresh or frozen cranberries, coarsely chopped
  • 3/4 cup sliced almonds

Instructions

  1. Heat oven to 325 degrees F.
  2. Combine the flour, sugar, baking powder, cinnamon and nutmeg in a large mixing bowl.
  3. Whisk the eggs, egg whites and vanilla extract together and add to the dry ingredients, mixing just until moist, using a hand mixer on medium speed. Add cranberries and almonds and mix thoroughly. Turn out onto a floured surface and divide batter in half. Pat each half into a log about 14 inches long and 2 inches wide. Place on a lightly greased cookie sheet and bake 30 minutes or until firm.
  4. Reduce oven temperature to 300 degrees F. Leaving the baked log on the cookie sheet, cut crosswise into 1/2 inch slices. Turn the slices cut side up on the cookie sheet and return to the oven; bake for 40 to 45 minutes or until firm and dry.
  5. Cool and keep in a tight container.

Fathers and mothers stealing other children toys and another is the local authorities allowing business concerns to take over the public beaches with their umbrellas and family people need to pay for these umbrellas and not allowed to bring their own.

Another is families leaving rubbish behind them on the beach.

Lately, after many years, one sea turtle laid its eggs on one of our beaches during a night and the beaches were too noisy with the swimmers during the day and the beach was too lit up with the powerful light for the benefit of Tourists and those Barbequing during the night , thus disturbing the “ eggs in the sand “ and they died with the disturbance, After that new enforcements were applied and the year after we had some successful hatchings, I prefer having turtles as visitors rather than the illegal and illegal and the tourists coming in large numbers disturbing more than the turtle’s nests.

The bulk of what US owes China is in the form of Treasury Bills held by China.

This has been declining. About 3 years ago, the holdings were worth $1.3 trillion. This fell to $774.6 billion at August 2024, after the sales of $1.9 billion during the month.

China is the second largest holder after Japan’s holdings of about $1.2 trillion. Japan is net buyer, but its economy is stagnant, which limits its appetite. UK, the third largest holder, has the similar story.

China’s holdings per se should not be a problem for the US, only just over 2% of its national debt.

Of the major foreign holders, China has the most money to buy more. Yellen twice visited China but failed to convince it to buy. Instead, it is selling. Other holders take note and may follow it. This could be a problem for the US.

US has to issue new bills worth trillions of dollars to refinance matured bills and to finance fiscal deficits. Foreign holders hold about 30% of the outstanding issues. If they stay away from Treasury auctions, the weight of subscription will fall heavily on tenders by domestic institutions, and in the ultimate on the Fed.

The big problems for the US are the growing trade deficit, the growing fiscal deficit, and the growing national debt.

The growing trade deficit will put pressure on the exchange value of the dollar, amid the erosion of demand for dollar due to dedollarisation.

The growing fiscal deficit causes the growing national debt. This is a political hot potato which no one wants to touch. The national debt increased almost $3 trillion in the 12 months through October to $35.95 trillion. The interest bill alone is pushing $1 trillion and will exceed it next year.

China is not the primary cause of these problems. But its absence from Treasury auctions and selling its holdings add on the burden for the US.

You’ll love this shiner from the 1990’s.

Hell, I didn’t even know they had a movie!

This post is dedicated to those “average” “Joes” that are a foundational support for society

Years ago, I moved into my girlfriend’s house, but after about 2 years, things weren’t working out, and she was making things ridiculously difficult.

So, I signed a lease at another apartment, got some buddies to help me load the moving van, and I was out.

Or so I thought.

That’s when I got a voice-mail “Invitation” to meet at a specific restaurant at noon, to “work out the last few details”.

Naive me, I thought maybe she would give me my tools back – ones I’d used to repair and renovate her home over the years, which she insisted I store in the garage – and which now remained locked away, since she’d suddenly changed the lock on the garage door).

So, I went to the restaurant, found her at a quiet table, ordered “just coffee”, and asked her what she wanted to discuss.

She said, “You are the one who moved out, so you are the one who made this meeting necessary, and you need to tell me what you want.”

Very odd, to claim that her meeting was initiated by me, and that I was the one with an agenda for it.

I should have just stood up at that point and said, “Well, I guess there’s nothing to discuss, then. Bye”,

But instead I said that I wished her well, that we should just go our separate ways, no hard feelings, and all I wanted was to get my tools back.

She then really surprised me with her response:

“You want to dictate to me what I should and shouldn’t do. You want to control me and take advantage of me, then just run away without fulfilling your responsibilities to me.”

Huh?

I didn’t owe her anything. I’d done more for her than she could ever pay back. How was this me victimizing her?

I got up, said something like, “I guess I should stop dictating to you”, paid the waitress for my coffee, and left.

A week later I got a long letter in the mail.

She had done some math regarding groceries, and how she wanted me to pay her for all the meals she had shopped for, plus time spent cooking and cleaning up. (Somehow she “forgot” to include all the restaurant meals I had paid for, or all the hours I had spent working on her house.)

Also, she wanted me to pay extra for utilities, after we had already agreed on a split. She wanted to change the formula, retroactive for 2 years, so that I somehow owed her utility money.

I had also been paying rent, and she wanted to charge me more than we had already agreed, again retroactively for 2 years.

She also had a list of various items she claimed I had damaged over our time together. A slightly ripped bedsheet, a chipped plate, a dented doorframe, rust stains on the driveway and so on.

She had gotten inflated quotes from home repair services for the ‘damage’ I had caused, an estimate for a full driveway re-paving, plus pricing for new sheets for the whole house (because every room has to match, right?), and an entire new set of dishes. Really? You can’t just order a replacement from Correl?

Plus an estimate from a Handy Man service, for repairs she needed, that I’d volunteered to help with, back when things were going better with us and

And so on, for pages.

The total was over $6,000 and she threatened to sue me!

So, it turns out that this was more than just “the last few details”, and the lunch had been a set-up.

I guess I was supposed to feel guilty for “making her” come to the lunch, then guilty for “dictating“ what she should do, and then I was supposed to sheepishly write her a settlement cheque for $6k?

In the end, I sent her a note in reply. I listed all the restaurant meals I had paid for, with estimated amounts.

I also estimated all the hours I had spent on her house, including materials and supplies. I billed her at the same rate as her Handy Man quotes.

I provided photos of her rusty, leaky beater car and the stains below.

I estimated the (generous) price of one set of sheets and one (1) replacement plate.

And I sent her information on legal requirements for proper notice and percentages for rental and utility increases (spoiler: she had missed all the deadlines).

My total was $12,000, meaning that SHE actually owed ME $6,000. And I threatened to counter-sue.

I never did hear back.

Just to be clear:

  • She had been through a nasty divorce, being left with an empty house, empty bank accounts, and two little kids in the ‘burbs. So me leaving was probably “triggering “.
  • I got some of my tools back, but not all, through a 3rd party. I tried using the police and a Justice of the Peace but every said, “cut your losses, be glad you are out”.

I’ll give one that I learned after I was married.

As a straight man, take ballroom dancing.

I’m serious.

I learned to ballroom dance with my wife 15 years ago – a few years after we were married. When we made it through our first class and first performance, I asked what was the next step.

The next step was to go to ball room dancing events.

Boring, I thought. I’ll humor my wife to keep her happy since this was her idea.

Now let me drop a tidbit that was not obvious to my naive brain at first:

Most of the men that went to this event were not straight…and did I mention that there were more females there than male. And they were single.

Now, let’s do some math. OK, I’ll skip the math and go on to say, that was the first time I ever felt jealousy from my wife, who started to get mad with all the women asking me to dance.

And she sat at the table across from me every. time. it. happened. And it happened every time my wife sat to take a break.

I knew enough to lead and to not make a fool of myself and women ate that up. The other men there (who were gay) also danced, but I guess it wasn’t the same. I don’t know why they honed in on the married guy – but I hadn’t ever experienced that level of interest.

It was truly an eye-opening event that I wished I’d known before I was married.


Edit 1 – OK this answer took off. Kudos to Sean Kernan for sharing my answer.

I have two daughters that I take to daddy-daughter dances that I’ve taught the basics to.

To anyone that has never been, daddy-daughter dances can be quite awkward, until the first dad says to himself “screw it, I’m going to make a fool of myself because I’m here to show my daughter how to dance.”

Knowing how to lead helps control your 9 year old daughter and focus her to learn the steps.

The Eternal Light of the Ten Song Lantern

Submitted into Contest #232 in response to: Write a story set in a world with a dying sun, or where light is a scarce resource. view prompt

John Werner

Darkness lay like a blanket over the peaks and valleys of the Spires of Hildefund. The pale moonlight bounced off the ribbon of the snow-crusted pass, Gelvira’s crunching footprints the only blemish upon the pristine meandering track. It was rare that the Sisterhood of the Ten Song Lantern sent its priestesses above ground. Rarer still was it that they were sent without the accompaniment of a Swordsinger, those brave and noble warriors who were sworn to protect them.Gelvira’s boots were warm, crafted in the way of the People of the Hovihar, with the fur of the mountain goat towards the inside. They were still fairly new, gifted to her only upon her appointment to this particular task. In truth, her entire suit evoked an image of those great Hovihar warriors of old, standing strong against the blizzard. Her deep cowled cloak and thick woolen clothes protected her from both the howling winds and the biting cold.“It has been almost two centuries since the Hovihar walked these peaks and passes,” She mused, marveling at the fact that all this beauty could go unobserved for so long.The Hovihar had once been masters of these mountains just as her own people were masters of the caverns beneath. In days of old, their alliance worked to the benefit of both races but since their demise, the Adosinda had retreated deeper into the mountain. Thus was the reason for her appointment to this most venerated station.The summer solstice was a time for great celebration, the giving of thanks, and gathering the light for the Ten Song Lantern. The only light they would have for the coming year. Ten songs would be sung before the sun rose again. She placed her gloved hand gently upon the satchel at her hip. She had gained a muffled response not unlike that of the twinkling bells within the deep caverns of the Adosinda.“But I am far from the warmth of our caverns,” She reminded herself.Instinctively she slipped her pointer, middle, and ring fingers through the slit at their bases in the glove, exposing them to the cold. Stepping through the arc of her recurve bow she strung it and knocked an arrow from the quiver upon her back in one fluid motion, as if the maneuver had been executed as simply as walking.The bow itself was short, for she herself only stood but seven spans off above ground. Her wide nostrils flared and large dark eyes glinted only for a moment as she turned to face the moon. The woody scent of pine filled her head and she breathed in the aroma, storing it up knowing that she may never be gifted a trip to the Hovihar lands again. She lifted her chin and allowed her lower jaw to open just a fraction before breathing the inaudible “chirp” ricocheting across the landscape. Its returning echoes helped her sense what her eyes could not see.The darkness was retreating, and nature was slowly rising to meet it. She received the impressions of many small things, things she would have hunted if she had the leisure, but food was not her mission. They scurried out of their dens and burrows and stood upon the frozen scrub lining the plateaus over which she gazed. She raised her eyes to the sky, tracing an arc from the burgeoning glow in the east to the steadfast darkness in the west.“No sign of them,” She whispered and received a light twittering reply from the satchel. “So we will wait.”With her bow in her lap, she perched, resting on her heels upon an upward jutting stone. The warm glow had overtaken the eastern horizon and she kept her large eyes peeled for any sign of her prey. Once the sun was within sight she would have to work fast. It would only be above the horizon for moments before the world was once again sunk in darkness.The Spellsingers had worked all year, breeding and enchanting the Amelina. The tiny serpents were born in the deep dark places within the mountains. They were clever and quick and produced a pheromone that her prey found completely irresistible. They would not last long in this cold. If they were to die in flight, before they served their purpose as bait, she would feed herself to the hungry cold of the mountain rather than face the shame of returning a failure.She realized she had been holding her breath. The pressure had been building in her chest for uncounted moments for her eyes watched, growing larger and larger as the curved disk of the sun peaked over the horizon and bathed the entire range in the amber light of dawn. 

As if in answer, there was a thunderous fluttering of wings, and up into the deep blue sky soared those great northern Beltreo hawks. Their wingspans were enormous and their great calls echoed into the sky like the scraping of swords against shields. Bright purples, blues, and greens trimmed the feathers of their great wings and tail feathers as they circled, climbing ever higher into the vaults of the heavens.

 

When it appeared that they had reached the limit of their height their tailfeathers began to glow, collecting the warmth and light of the summer sun. It was that light that would sustain the Ten Song Lantern for another year. They began to glow with such intensity that it appeared multicolored stars were swirling in the sky.

 

She couldn’t have watched them for more than a handful of minutes before she noticed the amber light fade. She grabbed the satchel from her hip and kissed it bestowing a silent prayer upon the Spirits to let her hunt be successful. She looked to the west and saw that the bright, blazing rim that was all her people had even known of the sun was now descending beneath the horizon line. The amber light turned to a golden brown before it gradually sunk back to darkness.

 

“Now!” She whispered excitedly, opening the satchel and holding it up into the sky.

 

The Amelina came whizzing and whirring from their warm hiding place. Into the heavens they streaked as the glowing orbs of light, all that was left to be seen of the Beltreo as darkness once again consumed the range, began to descend from their circling dance to the ground below. The serpents’ crystalline scales shimmered like the phantom veil that appeared across the winter sky from time to time. Their keening cries beckoned to the great glowing birds whose lazy descent seemed to stop for a heartbeat, fixing them in the air before they streaked toward the shimmering haze left in the wake of the Amelinas’ flight.

 

Gelvira readied her bow, her hands loosely holding the string, her arrow knocked and readied. The Amelina were doing their job well but she quietly urged them on for the cold would rob them of their speed in short order. As if answering her thought, the gemstone serpent streaked towards her with a Beltreo in tow.

 

Gelvira drew the string to the corner of her mouth and breathed. The serpent was racing towards her, knowing its survival depended on luring its prey back to its keeper. In a last burst of speed, it darted past Gelvira and the hawk followed, leaving her with a perfect shot.

 

Everyone knew that no arrow could pierce the feathered breast of a Beltreo hawk, those armor-like quills protecting like plate mail against any frontal assault. But, from behind, Gelvira’s arrow parted the backward-facing feathers and struck home. The great bird cried as it fell to the frozen ground.

 

“That’s one,” She said excitedly to herself. “Two more will complete the task. If I can take all five the Ten Song Lantern will shine brighter than it has in ages.”

 

The Amelina quickly retreated into the satchel where it could gather the warmth to be found there. Its shimmering scales conjured the image of a multihued campfire burning deep within. Its brood mates had done their jobs equally well and Gelvira’s arrows felled two more of the great birds with ease.

 

As the fourth hawk streaked towards her, its great blue feathers blazing like the fires in the smith’s forges, she heard a cry of despair as the Amelina was overcome. The Beltreo shrieked in agony, its bill breaking across the hardened scales of the gemstone serpent but that did not keep it from swallowing the creature whole. Nursing its wounds, it dove behind the next peak and vanished.

 

“One left,” She closed her eyes, breathed deeply, and readied herself.

 

The lone remaining Amelina was whizzing through the pine trees and in and out of deep canyons with the hawk in tow. Gelvira was astounded that the creature possessed such stamina but it, just like the others, lured the hawk past her and her arrow struck true. The great bird faltered but managed to glide on unsteady wings into the forest beyond the next peak where it disappeared.

 

With four of the five gemstone serpents now returned to the satchel, Gelvira covered it and set it back upon her hip. She easily found her prey, for their feathers still glowed as brightly as they had in life. Gently she placed her hand upon each one, thanking them for their sacrifice and anointing each with the holy oils that would see their souls claimed by the Collector of Spirits. Then she gently plucked each glowing feather and carefully placed them in her quiver.

 

“Looks like we will have to track the last one,” She said to her satchel knowing that she had already recovered all that was required but relishing the idea of returning home with an even greater bounty.

 

While there were no tracks to follow there were not many places the Beltreo could have gone. She traversed the peak around which she had seen it disappear and found its warm purple glow emanating from within the upper branches of an ancient pine tree.

 

Being Adosinda, the climb was fairly easy but halfway up the great trunk she began to hear the despairing cries of hatchlings. When her large dark eyes crested the rim of the nest, the mother hawk lay dead, its wing spread protectively over the nest’s skyward facing opening. Gelvira gently moved the wing aside to reveal five small chicks. Barely fledglings, their spiny feathers were just beginning to grow.

 

“Hello, little ones.” She whispered and their mouths shot open expecting to be fed. Their chirping made her laugh the type of laugh normally reserved for babies, warm and joyful.

 

She prepared their mother for the Collector of Spirits, gathered them to her closely, hiding each within the folds of her thick warm cloak, and descended the tree.

 

“And brought them back to us?” The young girl asked.

 

“Exactly so,” The Mother of the Ten Song Lantern declared. “And that is how,”

 

“We filled the rookery?” The young girl interrupted.

 

“It took quite some time for us to fill the rookery.” She answered. “But those five eyas were the source from which all others sprang.”

 

“And now we no longer hunt the Beltreo?”

 

“And now we no longer hunt the Beltreo.”

 

“And now we always have light! Praise Gelvira.” The little girl said with practiced respect.

 

“Indeed, little priestess. Praise Gelvira, Eternal Light of the Ten Song Lantern.” Intoned The Blessed Mother.

China’s Tech, Economy This Week

More hot buttons than usual.

“Epstein Client List” – Elon Musk SHOCKS Tucker on Why Billionaires Are Backing Kamala

Decoupling From China? The Consequences of a Stupid Idea

Ricardo Martins, October 10

There are ongoing discussions about the need for the West, especially the United States (US) and the European Union (EU) to de-risk and/or decouple from China. These discussions pervade all spheres, including journalisticsthink tanksacademia and politics.

Holding China more than 30% of the world’s industrial output and a major destination of Western production or Western firms producing in China, how is this proposition plausible and credible in such intertwined economies without disrupting global supply chains and without bringing high inflation to Western nations?

In this article, I analyse why decoupling is not a good idea, its dire consequences, and the consequences for the West of being deprived of Chinese high-tech advancements. I emphasise that decoupling is a US agenda for the continuation of its dominance over the globe, and not a European one.

Why is Decoupling a Stupid Idea?

The Earth is big enough for China and the US to develop respectively and prosper together

Chinese Ambassador to the US, Xie Feng

According to the World Bank, China holds 31.6% of the total global manufacturing output. The US follows with 15.9%, and Japan is in third place with 6.5%. The leading EU country is Germany, with 4.8%, in fourth position, and the next European is Italy in 8th place, after Russia, with 1.8%. France comes in 10th place, after Mexico, with 1.6%. This data was published in 2024 and refers to the 2023 manufacturing output. Furthermore, according to Reuters, in September 2024, the German manufacturing sector contracted at the fastest pace ever in a year due to “orders drying up at an alarming rate”, and “it is hard to picture any kind of recovery happening soon.”

With globalization and the liberalization of trade of goods and services, the world has become interdependent. In the case of the US, its economy is increasingly dependent on China for imports (particularly manufacturing supplies and advanced materials), Foreign Direct Investment (FDI) flows, and even the contributions made by Chinese students in living fees and tuition expenses.

An American study has shown that decoupling with China risks all of these value streams, and would constitute losses of over $700 billion in sales and $50 billion in profits for American companies that export to the Chinese market. A similar situation will happen in Europe too.

Consequences of Decoupling

Decoupling from China, given its massive 31.6% share of global manufacturing output, would be extremely disastrous.  Here are a few reasons that come to my mind:

Global Supply Chains: China’s integration into global supply chains means it plays a critical role in the production of everything from high-tech electronics to textiles. Western economies rely heavily on components or finished products made in China. For certain products and raw materials, the dependency rate is over 90%, as is the case for certain pharmaceuticals, chemicals, photovoltaic cells, rare earth and others. China is the dominant producer of several rare earths which are crucial for the manufacturing of a wide range of high-tech products, including electronics, wind turbines, and electric vehicle batteries.

Decoupling would require either relocating manufacturing to other countries or reshoring industries back to Europe or the US would imply disrupting industries for years and would lead to major supply chain disruptions, causing shortages, higher production costs, and high inflation.

Relocation Challenges: Countries like India or Vietnam are often presented as alternatives, but none have the capacity or infrastructure that China has developed over decades. Manufacturing in these regions might help diversify risks but cannot replace China’s dominance in the near term. Additionally, many of these nations already have trade ties with China, complicating decoupling strategies.

Cost Implications: China offers lower labour costs, efficient infrastructure, and a vast workforce. Moving manufacturing to other countries with comparable capacity is difficult. The next biggest players—like India, South Korea, and Germany—have much smaller outputs (between 2.7% and 6.5%). They also may lack the same level of infrastructure or workforce to handle the massive volume of production that China does.

Market Access: With a population of 1.4 billion, over 500 million of whom are considered middle class, China boasts the largest internal consumer market in the world and is the leading market for luxury products. This market contributes significantly to the revenue of Western companies. Many Western firms, including major technology and luxury brands, depend on sales within China to stay profitable. Should decoupling result in economic or political tensions, access to this market could be jeopardised, potentially harming the revenues of these Western companies.

Retaliation: China will retaliate against the US and EU’s decoupling measures by imposing tariffs, restrictions, or boycotts on Western products, further reducing export opportunities for Western firms. Key industries, like automobiles, luxury goods, and agriculture, can face severe downturns.

Global Recession Risks: Given the size of China’s economy and its deep integration into the global economy, a sharp decoupling could lead to a slowdown in global trade and investment. If China’s growth slows due to decoupling, it will propagate across the global economy, possibly leading to a global recession, as China is a key driver of global demand.

Many emerging markets depend on exporting raw materials to China. A slowdown in Chinese manufacturing could weaken demand for these exports, slowing growth in those countries and leading to economic instability in regions that rely on Chinese-led infrastructure and trade.

Geopolitical Consequences: Decoupling certainly will lead to economic fragmentation, where China becomes more self-reliant and allies more closely with emerging markets and other nations willing to maintain ties. China is the number one trade partner with 128 countries, out of 190, including the EU. This will shift further the balance of power, creating separate economic blocs, such as the West and the rest, which could disrupt trade and economic cooperation globally.

Western is Losing the Technology Race to China

Trump has played the technology restrictions card to contain China. A few days ago, a Chinese told me that Trump is playfully known in China as “The maker”, the one who has made China technologically resilient and surpass the US. Presently, the country leads in 37 out of 44 technologies examined in the Critical Technology Trackers survey by an Australian think tank.

According to the same study, Western democracies are increasingly falling behind in the global technological race, including scientific innovation and attracting global talent—key elements essential for developing and mastering the world’s foremost technologies.

The Australian findings indicate that China has laid the groundwork to become the preeminent science and technology superpower by securing an impressive lead in high-impact research across most critical and emerging technology fields.

China’s leadership position is the result of intentional strategy and long-term policy planning, consistently emphasised by Xi Jinping and his predecessors.

My Conclusions on this Discussion

1. If decoupling is to be pursued, the US and Europe are prone to be behind in technology but also will not benefit from a fast-growing economy and the biggest consuming market in the world. It is an act of economic suicide, ideologically rooted in the imperialistic ambitions of the United States to maintain its global dominance.

2. As the US and EU distance themselves from China, they may lose economic leverage and influence in Asia, Africa and Latin America, where China’s Belt and Road Initiative (BRI) is extending its influence. While Western nations discuss strategies and possibilities on how to de-risk and/or how to decouple from China, the country is deepening its ties with emerging economies, thus reducing the geopolitical influence of the US and Europe in key regions of the world.

3. While efforts to de-risk and decouple from China may be seen as necessary for geopolitical and geoeconomic reasons, they come with considerable risks and challenges. The interconnectedness of the global economy means that any significant shift in trade relationships can have wide-reaching effects, not only for the US and EU but also for China and the rest of the world.

4. Balancing these efforts while maintaining economic stability will be a complex challenge for policymakers in the coming years. A more nuanced approach to managing the US and EU-China relationship, prioritising collaboration over confrontation, is a win-win solution.

5. The EU needs to develop its autonomous strategy for navigating the problematic US-China relationship and not cede to US pressure to be its followers, but actively seek its own path to balance its economic interests with its political and security concerns.

6. Finally, the statement of the Chinese Ambassador to the US, Xie Feng, should be the guiding premise: “The Earth is big enough for China and the US to develop respectively and prosper together.” For this, the US needs to learn to share power.

Ricardo Martins ‒PhD in Sociology with specialisation in EU policies and international relations. 

Guest researcher at Utrecht University, the Netherlands, especially for the online magazine “New Eastern Outlook”

Contrariwise, US sanctions alerted China to the danger of depending on US for technology, and on the West in general. This was the genesis of Xi Jinping’s Dual Circulation strategy, to strive for technology self-reliance, and to stimulate domestic demand to reduce the dependency on US and western markets.

Take the case of semiconductors.

A few years ago, China’s annual imports of chips were worth over $400 billion . US threatened to cut its supply. China went on an investment spree to develop its own industry. Certainly there were mistakes and billions of yuan were wasted. But look at the outcome.

Annual imports fell steadily. SEMI expects China will account for 35% of global capacity by 2025. This will give it market leadership of supply, as well as, demand, which is estimated to exceed 60%. When the plants now on plan and under construction come into fruition a few years hence, China could be net exporter of chips.

China’s chips industry development is not just capacity, supply, and demand. The clincher is that the industry is comprehensive and integrated, from materials, equipment, through the supply chain. Hundreds of firms are in the mix.

Chinese companies therefore have scales and the synergies from the comprehensive and integrated development. Foreign companies are worried they would not be able to compete with them. They must find means to work with them.

This relates to traditional chips, which are 80% of the market. China is also in the thick of development in high-end chips – the subject of US sanctions. Consider the case of Huawei.

US put it on the entity list, imposed other restrictions, and commandeered the Collective West to deny it access to these chips. They also banned its 5G communications in their markets. The purpose was to bankrupt it.

Now a mere 4 years later, Huawei has broken through to 7nm and 5nm chips, establishes a strong supply-chain network, and its proprietary operating system, called HarmonyOS. Its smartphone business has recovered. The recent launches of Mate 60, Pura 70, the tri-fold Mate XT are produced at near 100% localisation. Its 5G business remains the market leader.

China is alerted to the unreliability of US and western partners. The development in the chips industry will make it independent of western technologies. This lesson is well-learnt and adopted in other industries. You can see this in its green tech industries, like EVs and solar panels. Its leaderships are across the supply chain.

The tide has turned. China is in the stronger position. Just one simple fact to conclude. US for all the tariffs it imposes, it still depends on China for 70% of its lithium-ion battery. Chinese leadership and supply-chain are hard to beat.

PART 3 – Police Officer Exposes THE TRUTH On Domestic “Situations” & How Men Can Protect Themselves

Alice in Wonder

Submitted into Contest #247 in response to: Set your story on a spaceship exploring the far reaches of space when something goes wrong. view prompt

Sean Mallery

“Wake up Alice, we have reached the null point”Alice sat up straight, wiping a bit of drool from her mouth. “What?”“The Captain’s input is needed, Alice. The coordinates are already set.”“Hey Wonder, uhh I wasn’t sleeping.”“Sleeping? I didn’t say you were!” Wonder joked. “I don’t even know what sleeping is. How would I? I never sleep.”“Okay, smartbutt computer. Just give me the calculations of the jump”“It’s on your HUD right now. No need to check. I ran the numbers myself,” Wonder said.Alice leaned forward and tapped the HUD. The map expanded to show multiple solar systems. “Did you calculate the balance of the cargo?”“I’ll admit, I rounded up to the third decimal place. Well within margin,” Wonder explained.Alice brought out her stylus and moved some numbers around. “What is the cargo this time?”“That’s classified under the RED initiative.”“Okay, can you at least tell me if it’s solid, fluid or gas? These things matter when jumping through the ather.” Alice explained.“Sorry, I cannot provide any details, as they are classified.”“Fine, the math looks good. Prepare for ather jump,” Alice conceded.“All systems green, standing by for the captain’s input.”Alice leaned forward and stared at the big red jump button. She pressed her palm down and the ship made a Ker-chunk sound as the jump engines fired up. “And the under paid monkey presses the button” she said.“Hole to the ather is open. Shields are steady,” Wonder reported. The ship pointed toward the glowing hole in space and lurched forward. The 1-DR was not a pretty ship to look at, but it was a useful one. Designed with functionality over aesthetics. All long, with dark lines broken up by exterior propulsion engines. They buzzed, spilling plasma out into space. Alice buckled into her captain’s seat. The transfer to ather space was never a smooth ride. This isn’t some luxury line ship meant to make people comfortable. It moved freight and did it cheaply.“Hitting ather space in 3…2…1” Wonder counted down. The entire ship lurched and moaned as it crossed over. Alice brought up the ship status on her HUD. Before she even had time to look at it, the lights went red and an alarm siren blasted, making Alice cringe reflexively. She silenced the alarm with the push of a button.“Wonder status,” Alice demanded.“We have lost coupling on the aft cargo hold.”“Can you give me visual?”“On the HUD now” The screen glowed with a swirl of purples and red of ather space. Alice moved the camera to see the cargo container. It hung on by a single coupling and flailed wildly.“Give me manual control” The chair moved back and dual joysticks raised up. She took hold and moved the ship. She turned and rotated until the cargo no longer bounced around. Physics in space are weird, physics in the ather are impossible. The ship was now turned sideways, but still moving in the same direction. As long as she could keep the ship in the ather’s slipstream, it would be fine.“Starboard engine took damage.” Wonder informed Alice. “On this trajectory we will slide out of the slip in thirty seconds.”Alice sighed. Drop the cargo or drop out into ather space. She thought about it and quickly decided. Turned the engines off and allow the ship to drift. With the damage done to the engine, there was no telling if she could correct the path either way. Losing the cargo was not an option while working with RED either. Lost cargo means a fine and who knows how big the fine is with the classification placed on it. The ship rumbled as it left the slipstream moving into a thicker ather.“Great, repair options for the engine?” Alice asked.

“Working on it.”

Alice’s HUD displayed a warning. Shields at 75% It read.

“Work faster” She screamed. “The ather is pressing in on the ship.”

“Yeah yeah. Don’t get your undies in a bunch,” Wonder said with a laugh.

“What? Wonder I need a solution. Can I space walk to repair it?”

“Space walking in the ather will get you deader than your sense of humor!”

“What has gotten into you?”

“I apologize Alice, it seems the effects of ather are causing me to malfunction.”

“Stupid AI, you can’t break now too.”

“Have you tried turning me off and back on again?”

Alice got up from the captain’s chair. She grabbed a tool case from the closet and headed into the bay. She checked a status screen as she walked by. Shields 60% it read.

“Wonder can I get to any of the parts from the interior of the ship.”

“Panel thirteen – seven. Look for the big red glowy light. That will be the thing. They always have glowy lights to let you know if they are bad.”

Alice turned down a hallway and caught sight of something white and quick moving just around the next corner.

“Wonder, is there anyone else on the ship?” She asked.

“Its just me and you forever baby!”

Alice let out a long sigh. “Is any of our cargo biological? Animals maybe?”

“Sorry that’s classified,” Wonder answered.

“Oh, now you can be serious?”

“Sorry, even I can’t read it. I’m looking at the file on our cargo right now. It just says classified.”

“There is something else on the ship.” Alice explained.

“Nah bro, you are going crazy.”

“What?” Alice said incredulously

“Mild effects of aether poisoning. Step one insanity, step two coming to terms with insanity, step three, the fun part.”

Alice grunted. She knew she needed to move faster before she was useless. She found the panel and removed it. The array of wires and pipes hid circuit boards. She found the one with the red light. She unplugged it and plugged it back in. The light turned off and back red again. Alice frowned. She unplugged the module. Probably didn’t need it, anyway. Alice turned around and jumped. There in the middle of the hall sat a small white rabbit. They stared at each other for a moment. The rabbit took off down the hall and around the corner.

“No, you don’t,” Alice said and chased the rabbit around the corner. She skid to a halt at the table before her. Alice found herself in a large, ornate room. She gawked at the white walls and wooden furnishing. Where was she? This isn’t a room on the ship. Worst of all, there were people sitting at the table, pouring cups of tea.

“Hello” she intoned.

“Oh, hello Alice,” the man at the head of the table said. He wore a purple suit with a tall hat. “Tea?” He asked, gesturing with a steaming teakettle.

“Uh, no thank you.” She said, looking shocked.

“Please sit. You know my friend, the white rabbit.” He gestured to a rabbit sitting on the table. It had its own cup of tea and cookie. It looked up as if acknowledging her.

“H-Hello.”

“And this here is our lead ship mechanic. Scoots.” The man in the suit said.

A short, pudgy man in a black suit and bowler cap looked over at her. “Ma’am.” He said, tipping his hat.

“And I of course, am the ever present Wonder.”

“Wonder? You’re the ship AI?”

“In the flesh!”

“I don’t understand. We don’t have a ship mechanic, and you are an AI. Don’t even get me started on the rabbit!”

“It is very easy to explain, sweetie. You see, you are quite mad.”

“Mad?” she asked.

“Insane, the ather has broken through the shield and you are undergoing the effects. Have a seat, enjoy yourself.“

“I don’t know.” She said, sitting down, “If I am insane, then how can I sit in a chair that isn’t real, smell the tea that isn’t there? Even the light of this room, I can feel it.”

“Well, the ather does weird things to all of us,” Wonder Explained

“For sure,” Scoots chimed in.

The rabbit just looked at her. Alice knew what it was saying.

She held her cup as Wonder poured some tea. “So what do I do now?”

“Well, you have two choices, really. You can get the ship back into the slipstream and finish your delivery. Do the next delivery and then do the next. Until you die. Or Ooooooor. You can stay in the ather and explore what is in this new space. You, me, scoots, the rabbit can come too.”

Alice sipped her tea. “You make a good point, but what if this is just the insanity talking? What if there is nothing out there to explore?”

Wonder leaned back in his chair. “Well honey. I will admit, I am biased. I have always wanted to see you like this. With my own eyes, I mean. Not through a camera, not through you pushing buttons.”

“What are you saying?”

“I’m saying I want to be with you, exploring. Ya know, like this.” Wonder gestured to the table.

“I say we stay,” Scoots said.

“And Mr Rabbit, what do you have to say?” Alice asked. The rabbit reached up to it’s cup and took a sip. It looked over, wiggling its nose. “I’m sold.” She said. Alice stood up and grabbed her cup. Let’s go to the helm and see what’s out there.

“You’re the captain,” Wonder said grabbing his cup a handful of cookies. They all together walked to the helm. Alice sat down in the pilot seat and grabbed the joysticks.

“We need repairs, lets see if we can find a place to land.” Alice said. Wonder took up a position in a newly formed station in the helm. “I see a planet on the scanners.”

“I can see an asteroid belt around that planet.” Scoots chimed in from the maintenance station that was suddenly there.

“How can there be a planet in the ather?” Alice asked.

“Lets find out.” Wonder answered.

I once had a strange passenger that asked me to go and check the ‘’toilet’’ for her, before she went in.

I asked her why she wanted me to check the lavatory FOR HER. She told me to go and check in case the toilet was dirty before she went in. I told her that she could go and check herself. If she discovered that the lavatory was dirty then I could clean it for her. But she insisted that I had to go and check the toilet for her. I kept telling her that it was all right and that she could do it herself.

My God this lady was crazy.

She had this attitude and tone to her voice. Not soft-spoken at all. I tried to talk to her softly and kindly so that I didn’t have to go to the lavatory and do a check for her. That she could do it herself. But she talked to me as if I was her butler of some kind.

Anyhow at the end, I told the lady ‘’OK no problem, I will go and check the lavatory for you’’. I had the most fake smile ever as I said that.

Oh my God, I was so annoyed.

This woman was in her 40s. She looked young and healthy. She was not disabled. She could manage to go to the lavatory herself and check.

I could have done something more important than going to the lavatory and check. The world would not come to an end if she walked to the bloody lavatory herself.

But I went to the lavatory, opened the door and before I went in I looked at the woman who was giving me bloody death stares of God knows what. I did check around and put a toilet seat cover on the toilet. The lavatory was clean. I came out from the lavatory and l went to the lady and told her ‘’The lavatory is clean and you can go if you want’’.

Pathetic!

The woman then asked me ‘’Are you really sure that the toilet is clean?’’

Oh my God, what did she think? What was she afraid of? What the hell was going on?

With an annoyed voice, I said, ‘’Yes the lavatory is clean and you saw me go and check’’.

The woman then went to the lavatory without a ‘’thanks for checking’’ or even a smile. She did not even look at me when she went. I really felt disrespected there.

What a weird thing to ask someone.

Then I watched her go to the lavatory and I kept thinking what if she would come back to me and tell me to clean something for her? I would of course have done whatever she would have asked me. But she was very strange.

Yes, one of our duties as a cabin crew is to make sure that the lavatory is clean. But we don’t really deep clean the lavatory. Before passengers board the plane the cleaning team comes in and cleans the entire plane. The only thing we do is to put a seat cover on the toilet and sometimes we don’t even have to do that. Spray the lavatory and change or add soap/hand cream. Flush if needed. Fix the WC roll if needed. We do safety checks in the lavatory too. For example, we check so no one has messed with the smoke detector in the lavatory. The things we do in the lavatory are minor. For example, if major issues happen in the lavatory then we close the entire lavatory. The cleaning team that comes in before the passengers board the plane does the deep cleaning.

But the way this woman was speaking to me, her tone and attitude made me feel disrespected. How hard is it to stand up and go to the lavatory and check yourself? If it is dirty THEN you go and grab a crew and ask them nicely to clean it, if needed.

This woman acted as if she was the queen of whatever planet and I was her personal butler.

When my Mom first when into the nursing home due to a broken pelvis, we were shocked at the people wandering around in wheelchairs hollering different weird things as well as all the noise. Bells, alarms, ect. Then the sad thing is as my mothers dementia progressed over several years, she was the one sitting in the hall way in a wheelchair yelling “help” over and over. Then you ask her what is wrong, she would just say nothing. Saddest thing ever. She passed this fourth of July, quietly in her sleep after 9 years living there. The last four I would go every week and she had no idea who I was. It was actually a relief.

A Bridge Too Far – 1977 – 80 Years Market Garden – Fan Cut Edition

Outstanding video FREE, and full edition.

A Bridge Too Far, is a 1977 war movie portraying Operation Market Garden from 1944, where it’s objective was to create a 64 mile (103 km) salient into German territory with a bridgehead over the Nederrijn (Lower Rhine River), creating an Allied invasion route into northern Germany.

The operation succeeded in capturing the Dutch cities of Eindhoven and Nijmegen along with many towns, and a few V-2 rocket launching sites. It failed in its most important objective; securing the bridge over the Rhine at Arnhem.

Richard Attenborough, took on the heavy task to portray this operation as best as he possibly could in 1977, this movie has some inaccuracies that irked historians for many years. This fan cut, released on 80 years after Operation Market Garden, is my attempt at fixing some of those inaccuracies.

https://youtu.be/KxghivpUOR0

At least she wasn’t alone

 Her customers were always in nursing homes.

Not “someone” but Walmart itself..

I bought an iPod in Walmart once and it died on me after about a month, so I took it back. Unfortunately though they won’t let you return anything if it’s been over a week, so… no.

Well I’m pissed off now, so I went home and called Apple. I explained the situation, the customer service lady apologized and asked me to read her the serial number on it. I did, and she asked me “Can you tell me again where and when you bought it?” I said a Walmart in South Carolina on so & so date..

“Hmmmm… would you mind reading that serial number back to me just to make sure I have it right?” I read it back to her..

She said “No, that was it.. This iPod was originally sold in St. Louis Missouri in October 2009.” This was in the summer of 2013.

So what had happened apparently, was somebody in St Louis had bought it, it died on them, they took it back, then Walmart boxed it up and put it back on the shelf in South Carolina – with a brand new price tag.

Like I said, that was in the summer of 2013 and I haven’t been back to Walmart since.

Mad respect for Apple though. They sent me a brand new one and took the bad one back – even though it wasn’t their mess to clean up.

Social Security.

Before, it was 55.

Before Social Security, you were expected to becable to save up enough to retire, without government assistance, with 20 years of productive work.

People used to avoid debt.

10 year home mortgage was the standard. And most paid them off early.

When Credit Cards first came out, you paid interest from date of purchase. You were expected to pay off the full balance every month. Repeatedly not paying full balance got your card revoked, in addition to the fees for not having paid the full balance.

You were expected to save for retirement on your own. The company might gift you something like a pocket watch for having worked there for 20 consecutive years. Most people who stayed at one company had the watch before they were 50. And thoe had a retirement party.

The further we have gotten from this, the more people we have who are financially unprepared to retire… EVER.

Women Are FURIOUS Because Men Are Putting Them In The FRIEND-ZONE

Nice

97f7f8366b169333aad770f43c5c38c0
97f7f8366b169333aad770f43c5c38c0

2fbeb371b9ac6dfa54c47244b94ce685
2fbeb371b9ac6dfa54c47244b94ce685

60b4116cc5285a4414bf4eaffca6386d
60b4116cc5285a4414bf4eaffca6386d

c35f9d1659e5f19b4a0c1a94ad9d315d
c35f9d1659e5f19b4a0c1a94ad9d315d

876cf5f25f6b407a281c280067f4bffb
876cf5f25f6b407a281c280067f4bffb

0395c1e6291aeddcfa3c28c176ceaac2
0395c1e6291aeddcfa3c28c176ceaac2

3003edef1ecfdc6760ff92978bbdf455
3003edef1ecfdc6760ff92978bbdf455

8ac6d5bd5c9e183f9ae50408868d9e44
8ac6d5bd5c9e183f9ae50408868d9e44

f4a191eba952c902759669a754d95120
f4a191eba952c902759669a754d95120

d6998152442af669509f2adeb87f0a23
d6998152442af669509f2adeb87f0a23

262a4e3e7f905970c31827f724bf10e8
262a4e3e7f905970c31827f724bf10e8

6648244585fea6983f9e07ce0698dff6
6648244585fea6983f9e07ce0698dff6

559f0efa37157e121ab087049371c191
559f0efa37157e121ab087049371c191

c876d89229a5b0cb0444554ac4ae8341
c876d89229a5b0cb0444554ac4ae8341

Spicy Orange Beef

ddb57d114b6f273674400621a5b603be
ddb57d114b6f273674400621a5b603be

Yield: 6 servings

Ingredients

  • 1/4 cup orange juice concentrate
  • 3 tablespoons soy sauce
  • 3 tablespoons water
  • 1 tablespoon cornstarch
  • 1 tablespoon finely grated orange peel
  • 1 teaspoon granulated sugar
  • 5 tablespoons vegetable oil, divided
  • 2 garlic cloves, minced
  • 4 cups broccoli florets
  • 12 scallions, with tops, cut into 1 inch pieces
  • 1/2 teaspoon ground ginger
  • 1/4 teaspoon crushed red pepper flakes
  • 1 (1 pound) boneless sirloin steak, cut into thin strips
  • 3 medium oranges, sectioned
  • Hot cooked rice

Instructions

  1. In a small bowl combine the first six ingredients; set aside.
  2. In a large skillet or wok, heat 3 tablespoons oil over medium heat; sauté garlic for 30 seconds.
  3. Add broccoli, onions, ginger and pepper flakes; stir fry for 2 minutes or until broccoli is crisp-tender.
  4. Remove vegetables and keep warm.
  5. Heat remaining oil in skillet; add beef. stir fry until no longer pink.
  6. Stir orange juice mixture; add to skillet.
  7. Cook and stir for 2 minutes or until sauce is thickened.
  8. Return vegetables to pan. Add oranges and heat through.
  9. Serve over rice.

China is making great progress in the field of nuclear fusion with the EAST project, an “artificial sun” capable of reaching temperatures above 100 million degrees Celsius

This advancement promises to revolutionize global energy, offering a clean, safe and potentially unlimited source.

main qimg 0a3157b2d0f92973e02859a70ef50bdb
main qimg 0a3157b2d0f92973e02859a70ef50bdb

If it can sustain these conditions steadily, it could dramatically reduce the use of fossil fuels and make a significant contribution to the fight against climate change, positioning China as a leader in the race towards sustainable energy.

when I was in law school, my senior year I worked for a law firm as a clerk. One day I came back to the office and was shocked to see that the sign on the door had tape over the name of one of the attorneys. When I went inside, there were trash cans full of letterhead. I heard the receptionist answered the phone and say “I’m sorry we do not have an attorney at this firm by that name. “

it turns out that one of the attorneys was having an affair with one of the secretaries. When the other firm members found out, they just canceled them as if he never existed. As for the secretary, the firm felt that he had used his position of authority over her, and she continue to work the entire time that I was at the firm.

After graduation, I went to work for one of the very big international law firms. I was the only woman attorney and I was single and I was pretty good looking. Not one attorney ever showed any interest in me except one night when we all stopped for drinks after a big case one of the attorneys had a couple too many and when he was laughing, he slapped my knee with his hand, and I slapped him back, but I passed that off as too much alcohol , it was a long time ago. Unless they were the sneakiest group of men that ever existed, I never got any and or indication that any of the attorneys were having any sort of relationships with any of the female employees.

Shorpy

27456u.preview
27456u.preview

30643u.preview
30643u.preview

11494a.preview
11494a.preview

20575a.preview
20575a.preview

8a23323u.preview
8a23323u.preview

8a23325u.preview
8a23325u.preview

20626a.preview
20626a.preview

20624a.preview
20624a.preview

20625a0.preview
20625a0.preview

16389a.preview
16389a.preview

01500u.preview
01500u.preview

01500u1.preview
01500u1.preview

02301u.preview
02301u.preview

01487u.preview
01487u.preview

14714a.preview
14714a.preview

20572a.preview
20572a.preview

20509a.preview
20509a.preview

20845a.preview
20845a.preview

01501u1.preview
01501u1.preview

00751u.preview
00751u.preview

easteregg.preview
easteregg.preview

14633a.preview
14633a.preview

img0050 0.preview
img0050 0.preview

20564a.preview
20564a.preview

14799a.preview
14799a.preview

IMG 5296 edited 1b 0.preview
IMG 5296 edited 1b 0.preview

WWIJohn.preview
WWIJohn.preview

football .preview
football .preview

I was a teenager working in fast food in the 80’s. One night, as I was cleaning the dining room, I noticed a man standing at the trash receptacle beating his hands to the beat of the music we were playing. I walked around him, and I remember trying to see if he had a gun.

someone in the back kitchen area had locked the door. The man asked to see the manager, and as I went to the counter and called for him, the man came up behind me, grabbed the back of my uniform, and put a gun to my head. He told the people in the kitchen to open the door, then released me and told us all to sit on the floor. The guy next to me was saying the “Hail Mary” prayer. The robber ran out and told us not to move until he said so.

The police found him the next day because he worked right next door and had taken money and traveler’s checks. He went back to work right after the robbery, but threw the checks in the trash, and his boss found them.

Two camps here.

Some say it’s an act.

Some say it isn’t.

I disagree. As a teen and through my 20s I worked in various super busy Chinese take out places and restaurants.

I’ve seen all of them get stressed and angry when it gets super busy.

It’s the combination of incredible heat in your face. The hot air you’re breathing that makes you even hotter and the phone ringing off the hook in the background and being overwhelmed.

I saw my dad get angry on Friday nights. He was looking after 5 Chinese cookers at the same time. I saw relatives and other chefs get angry when it simply overwhelms them and becomes too much.

In the mid 1990s my dad on a Friday night in a 3 hour time slot could make about £2000. That’s at 1990s prices. You think just how much food has to sell (and the preparation required for that) is needed. He’d slam down cans of special brew while doing it too.

His smile would be back at the end of the day when there were wads of £20s and £10s he took his time to count.

Dr. Gilbert Doctorow: Who Runs US Foreign Policy?

What’s a Picture Worth?

Submitted into Contest #247 in response to: Set your story on a spaceship exploring the far reaches of space when something goes wrong. view prompt

McKade Kerr

Out beyond the distant galaxies, where unknown stars twinkled and unnamed wonders dwelt, a small spaceship with two brave space photographers soared by at hyper speed. Finnian, the courageous captain was at the helm, and his intelligent yet goofy co-captain Quixly was sitting nearby, looking through old books and scrolls. The two friends were on their way to take their greatest picture yet.“These are some pretty crazy legends, Captain.” Quixly said as he was reading the scrolls. “Are ya sure this planet actually exists?”“I’m pretty sure.” Finnian responded, looking down at the map on his control panel. “No one alive has ever seen it, and all the historical records differ in their accounts, but one thing is consistent through everything we’ve read: it exists. And I’m willing to try to find it if it means we can photograph one of the most amazing planets in all the universe.”“Oh, if it exists, we’ll find it.” Quixly replied. “I’ve looked through every book and map and scroll that mentions it, and I’ve done all the calculations that can possibly be done, plus a few more just for fun. It’s either at the location we’re going to, or it’s nothing more than a myth.” Quixly continued to search the scroll he was looking at, completely unaware of how boastful that last statement sounded. “Also, Captain, do ya really think the planet is alive? What does that even mean?”“First off, Quixly, you can stop calling me Captain. You’re my co-captain now, we’re equals in rank. Just call me Finnian. Secondly, I don’t really know what it means. I’m excited to find out though!”“Me too, Captain.” Quixly said, still looking at his ancient scroll.Captain Finnian was about to make another comment when he heard a beeping from their navigation system. “Oh, Quixly, we’re nearly there. Come on over here and help me find a good place to fly through. If this planet exists, then I’m gonna need your help getting to it.”

 

Quixly jumped up and ran over to the control panel. “Yes sir, Captain! What are we flying through?”

 

“Quixly, I’ve told you a million times, just call me Finnian.” The ship, following the precise instructions Quixly had uploaded earlier, pulled out of hyper speed in front of a huge wall of asteroids. The asteroids were all different shapes and sizes, and they were all moving in different directions and at different speeds. Both of them looked at the barrier in silence for a moment before Finnian answered Quixly’s original question. “We’re flying through that.”

 

“What!?!?” Quixly responded, nearly falling down in shock. “But sir, there are hundreds of asteroids flying in all different directions.” He looked a little closer. “Thousands! Tens of thousands! We can’t fly through that!”

 

“Sure we can.” Captain Finnian said, looking at all the asteroids. “It’ll be fun! Besides, no other captain alive has ever flown through it, we’ll be legends!”

 

Quixly, who wasn’t quite as competitive or ambitious as Finnian rolled all three of his eyes. “I’d rather be a living nobody than a dead legend. I say we fly around the asteroids.” He looked at Captain Finnian and smiled hopefully.

 

“We can’t go around it, Quixly.”

 

“We could go under it?”

 

“Can’t go under it.”

 

“Above it?” Quixly’s voice was shaking by this point.

 

“Can’t go above it.” Finnian replied. “We have to go through it.”

 

Quixly gulped in fear. “But why, sir? Why do we gotta go through a giant wall of dangerous, scary, horrible asteroids?”

 

“Great question, Quixly. The answer is simple. Because this isn’t a wall. It’s a bunch of orbiting asteroids. Think of them as tiny moons. They’re surrounding the ancient planet we’re going to photograph. The only way to get to the planet is by going through the asteroids.”

 

Quixly just stared at Finnian, and then at the seemingly impassible barrier in front of them. He had been too busy figuring out where the planet was to research the details of what orbited around the planet. Flying through those asteroids seemed way too risky. But he wanted to see this ancient planet just as much as Finnian, and he had a lot of trust in his companion’s ability to fly a spaceship. If Captain Finnian said they could do it, they could do it.

 

“Alrighty ighty ighty, Captain. I trust ya. If ya say you can fly through, then we can fly through. Wowza. I can’t believe we’re doing this.”

 

Captain Finnian smiled at his quirky green co-captain. He was grateful to have a friend and co-captain who trusted him that much. He didn’t plan on letting him down. “Thank you Quixly. Don’t worry, it’s going to be completely fine. And again, you don’t need to call me Captain anymore.”

 

“Yes sir, Captain!” Quixly said. Finnian rolled his eyes.

 

They both sat down in their respective seats and got to work. Captain Finnian moved the spaceship forward slowly, and Quixly started doing calculations on the size, speed, and direction of the orbiting asteroids to give Captain Finnian the best chance at getting through. They both silently wondered what the ancient, living planet would look like. No matter what it was like though, taking a picture of it would be unlike anything they’d ever photographed before. They’d be nearly as legendary as the planet itself once they had a picture of it.

 

As they got closer, Captain Finnian hit a button on his control screen that opened up the gunner’s control on Quixly’s screen.

 

“Captain,” Quixly said, “I think ya hit something wrong. You just pulled up the gunner screen on my end.”

 

“That was on purpose, Quixly.” Captain Finnian replied. “I want you to be in control of our laser guns as we drive through. You can blast any of the smaller asteroids that I can’t avoid. That’ll help me focus on the bigger obstacles.”

 

Quixly lit up at that news. “Wowza! Do ya mean it? You want me to use the guns!?” As a navigating assistant Quixly hadn’t been authorized to use the spaceship’s guns. His recent promotion didn’t have those limitations.

 

“You’re a co-captain now, Quixly. It’s well within your right to use the guns. And what better time to start than right now?”

 

“Sir, this is an honor! Thank you!” Quixly was so excited that he nearly forgot what they were about to do. He then looked out the window again and his eyes widened considerably. “But wait! I’ve never done this before! I don’t know how to do it! Shouldn’t we use the auto aim for the guns?”

 

Captain Finnian laughed. “Quixly, I trust you. You’re the most precise navigator I’ve ever met, I have no doubt that you’ll be precise with the guns.” He then looked at his own screen and pushed a few more buttons. “Also, I’m turning my guns on auto aim anyway, just to be safe.”

 

That seemed to be good enough for Quixly. “Alrighty then! Let’s show these giant space rocks who’s boss! Hi-dee ho let’s go!” With that Finnian pushed forward on the thrusters, and they entered the maze of asteroids.

 

The next 15 minutes were a crazy blur of activity. Captain Finnian had to maneuver around countless asteroids of all different sizes, some of them several hundred times bigger than their spaceship. Quixly was going berserk with the laser guns, blasting everything that got close to them, and many things further away too. Although they were too focused to talk for the most part, Quixly couldn’t help himself from yelling out the occasional ‘Wowza!’, ‘Gee wizz!’, and even an ‘Owabungowa!’ once or twice.

 

Finally, right when it started to seem like there was no end, they blasted through a final asteroid and could see the rocky planet in front of them. They slowed the spaceship down and looked at the ancient, historical, legendary planet. They looked a little longer. Then a bit more.

 

“I think it’s dead.” Quixly finally said.

 

“I think you’re right.” Captain Finnian replied, disappointment evident in his voice. The planet they were staring at looked like a gigantic asteroid. It was grey, rough in texture, and not as spherical as most planets. The only word Finnian could think to describe it was ‘anticlimactic.’ They had been flying through space for months in a search to find it, and it just turned out to be a gigantic rock. The legends said it was alive, but it sure didn’t look alive. It didn’t even look like it had any life on it. It was just a giant asteroid.

 

“Well, I’m sorry to have brought you all the way out here for nothing.” Finnian said to his green friend. “I suppose we can still snap a few pictures, but then let’s get out of here, what a disappointment.” He turned to go get one of his cameras when Quixly gasped.

 

“Sir!” He yelled, even though Finnian was standing right next to him. “It moved!”

 

“Don’t mess with me, Quixly. I feel bad enough as it is. And stop calling me sir.”

 

“No, sir, I’m serious! Look! It just moved again! I think it’s actually alive!”

 

Finnian turned back around to look out the window and nearly fainted. The entire planet was moving! It seemed to be unfolding itself very slowly. Before they knew it, the planet no longer looked like a rock, but it took the shape of a giant rocky man. It turned its massive head and looked at the spaceship curiously.

 

“Wowza, I was not expecting that!” Quixly said. He then waved at the giant creature. “Hello!!! We came to take your picture! It’s nice to meet you!”

 

Although the living planet couldn’t possibly hear or understand what Quixly had said, it somehow saw him wave through the glass, and it copied the motion, waving back at them.

 

“Oh my heck,” Captain Finnian said, finally getting through his initial wave of shock. “I need to take a picture!” He then ran back to the closet with all his cameras and threw the door open. After successfully navigating everything else on their journey that could have gone wrong, he couldn’t believe what he saw. On the floor in front of him were hundreds of broken camera pieces. He must not have strapped the cameras in properly last time, and the rough journey through the asteroids knocked them all off their shelves, destroying them as they crashed into each other. They were completely useless.

 

“My name is Quixly!” Captain Finnian heard his friend yell through the window, still unaware of the broken cameras. “What’s your name!?” Quixly then turned to face Finnian. “Sir, come quick, we need some pictures…..” his voice trailed off when he saw the broken cameras.

 

Captain Finnian slowly walked back up to the front of the spaceship and slumped down in his seat. Outside, the giant planet copied his motion, although he had no chair to sit in.

 

Quixly looked at his friend, looked at the broken cameras, and then looked at the living planet again. “Ya know, Captain,” he said. “I like taking pictures as much as you do, but this might be one of the most amazing things I’ve ever experienced. I plan on enjoying it, picture or no picture. And there’s no one else I’d rather do it with than you.”

 

Captain Finnian looked at his friend and smiled. Somehow Quixly always knew just what to say. He didn’t know how he was so lucky to have such a great friend as his co-captain. In that moment he remembered that life is about so much more than taking legendary pictures, career success, or becoming famous. It’s about good friendships and enjoying the moments, which is what got him into photography in the first place. Looking back out the window at the gigantic, friendly planet, he actually felt grateful that his cameras broke. Getting a reminder of what’s really important in life was so much more valuable than taking another picture, no matter how rare it was.

 

“You’re right Quixly, thank you. It’s a blessing to enjoy this moment. Thank you for reminding me of that.”

 

“Well, you know me, Captain, always pointing you in the right direction!” Quixly said. They both then looked out the window and continued to wave, make faces at, and try to communicate with the ancient, living planet. A picture might be worth a thousand words, but a moment with a friend is priceless.

Man Shows Off The Dream Life With His Wife In The Philippines

MM art with AI representing man with goblet

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(7)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(7)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(7)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(7)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(7)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(7)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(7)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(7)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(6)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(6)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(6)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(6)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(6)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(6)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(6)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(6)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(5)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(5)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(5)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(5)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(5)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(5)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(5)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(5)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(4)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(4)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(4)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(4)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(4)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(4)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(4)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(4)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(3)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(3)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(3)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(3)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(3)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(3)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(3)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(3)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(2)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(2)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(2)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(2)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(2)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(2)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(2)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(2)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(1)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(1)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(1)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(1)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(1)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(1)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(1)
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(1)

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3

AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2
AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2

Well words were spoken but it was what the groom did that led to the wedding being called off on the morning it was supposed to happen.

The groom was my wife’s first cousin. Everyone was surprised when he announced he was getting married, all the more so when it turned out that his fiancée was not pregnant as everyone had assumed that that was the reason for the marriage. Surprised because he was a notorious “ladies’ man” and a well known party animal.

To give you an idea of his character, he was the editor of Playboy when it launched in his country and when challenged at the launch event whether he would be willing to pose naked, stripped off all his clothes there and then.

The wedding was to take place in a swish hotel out in the country and the bride, groom, bridesmaids, best man, ushers and close family stayed at the hotel the night before. Drink was taken.

For the sake of appearances, the bride and groom stayed in separate rooms.

The bride called my wife’s cousin in the morning and when he didn’t answer became concerned that he might be unwell.

So she went to his room and found him in bed with the chief bridesmaid.

Lots of words were said and the wedding was called off.

But it wasn’t the words that were the problem.

Chinese Type 09IIIB nuclear powered attack submarine surfaces in clearest image yet

New image is only the second ground picture of China’s newest SSN-design. Key details of the new configuration remain unconfirmed.

main qimg 485d40e4fe2b1dd7cf0a2955ffeb32e5
main qimg 485d40e4fe2b1dd7cf0a2955ffeb32e5

A new image circulating on Chinese social media and subsequently on “X” (formerly Twitter) revealed more details on the new Type 09IIIB nuclear-powered attack submarine (SSN) produced at Chinese shipyard Bohai in Huludao for the Chinese Navy (PLAN). The image shows the new submarine underway, presumably taken from a boat or coastal location nearby. It is only the second ground-based photo of the new generation SSN, with previous imagery being exclusively satellite-sourced.

China’s New Submarine Is Unlike Anything In Western Navies

Sounds like no one reported the Canadian side of what happened in the renegotiation of NAFTA.

Trump made a bunch of stupid demands and then to pressure Canada to sign, gave a time deadline. So Canada made a few minor concessions, like an additional 0.1% of the Canadian dairy market.

Trump also wanted to cancel the TN Status program and change a pile of other stuff that would have turned Canada/US trade from Win/Win to Barely Win/Lose.

So Canada just stalled until the day before Trump’s fake deadline, gave in a tiny bit and let Trump give it a new name. To let Trump be able to declare a great victory with his base.

We knew full well that Congress had to approve the deal as well as the Mexican government.

We also knew that Congress would never get around to it without some pressure.

So the Canadian Parliament refused to ratify the agreement until the US Congress did. Because we knew congress would want some changes. Minor ones just so they can say they had input on it.

As soon as the US congress ratified the deal then so did Canada.

Running out the clock is an old hockey game tactic and Canada did that to preserve the win/win parts of the original deal.

We knew we had to do that when Trump first came to the table with totally made up trade figures with Canada, ignoring the trade in services, where the US has a huge surplus. We also knew Trump cannot accept a win/win situation. He wants to not only win but the other guy has to lose.

So basically the Canadian negotiators played Trump to avoid screwing up what was basically a good deal for both Canada and the USA.

Steak with Onions and Sour Cream

23bcf13770d35eb5ad20eea70e0be0fb
23bcf13770d35eb5ad20eea70e0be0fb

Yield: 6 servings

Ingredients

  • All-purpose flour
  • 1 (2 pound) round steak
  • 4 tablespoons melted butter
  • 1 teaspoon salt
  • 1/8 teaspoon pepper
  • 1/2 cup diced onion
  • 1/2 cup diced mushrooms
  • 1 cup sour cream

Instructions

  1. Pound flour into steak with meat tenderizer or the edge of a heavy plate.
  2. Sear steak in butter; add salt and pepper.
  3. Sauté onion and mushrooms.
  4. Combine onion, mushrooms, sour cream and 2 tablespoons flour.
  5. Place steak in large casserole or skillet; cover with sour cream mixture.
  6. Bake, covered, at 275 degrees F for 2 hours.

John Werner

The instruments are supposed to help me understand what I’m seeing, but they fail tremendously in this regard. I learned very quickly, yet quite a bit too late, that their data collection was not in service to me but to those back home. The instruments produce calculations and extrapolate theoretical what-ifs to translate to scientists millions of miles away. They then transmit it away, as if I’m not even here.The reality of the situation is that I’ve been training my whole life for this. Ever since I was small. I would look up into the sky, day or night, and find wonders out there that always dwarfed what was observable on the ground. It’s still true. I look out the portal of this ship as it hurtles through space, a cosmic lightning rod just hoping to be struck, and every bit of the experience is awe-inspiring.That actually makes things worse. Yes, the culmination of all my hopes and dreams has become a source of great disappointment. The reality of the situation is that I am little more than a customer service rep with some additional duties as tech support and maintenance.That information I collect? It goes somewhere else. It takes a long time to get there. The response takes a long time to return. It takes about an hour to get there and about an hour for the reply to reach me and in between it takes an interminably long time for those receiving the information to interpret it, make up their minds about what they’d like to do about it, create a plan, clear the plan with their superiors, and then formulate their response. If something is immediately interesting I am forbidden to react for about an hour… times two… times the inestimable span of interpretation, struggle, understanding, inspiration, doubt, resolve, and acquiescence to bureaucracy. If something is immediately dangerous I am forbidden to react for an hour… times two…times and unknown variable. If I encounter something that would change our perspective of the universe and all we know about it I cannot act for an hour… times two… times uncertainty. The protocols are there for a reason after all.The truth is, just such a thing happened about seventy-two hours ago.They arrived and quite easily gained access to the ship. The safety protocols were laughably ill-suited to thwarting their nuanced methods of infiltration. The reality of the situation is that only we would consider it nuanced. It’s quite possible that their facility in overcoming our technology equates to our own ability to outmaneuver the most basic of creatures. I am in the process of collecting my own data on the subject.Honestly, I am quite excited. This encounter has provided the opportunity to employ my training in evasion and covert surveillance. I am happy to report that my skills have proven quite ample at avoiding their methods of detection. 

They are strange-looking creatures. Not terribly symmetrical or otherwise pleasing in physiology. Their appendages do seem quite inelegantly conceived. They move through the environment with a complete lack of grace with little regard for economy of movement. They are quite clumsy.

 

The alert sent upon their arrival has not yet garnered a response. Of course, we have protocols. I expect that they expect they are being followed to the letter. They are not. This terrible experience has to be salvaged in some way. This is without a doubt the perfect time to break protocol.

 

 

“How should we proceed?” The voice inquired with a clinical detachment.

 

“Follow the protocol.” An equally clinical voice replied.

 

“Perhaps we must re-evaluate the protocol?” The first voice posits. “Our protocol breaks down in this same place each time. Perhaps we have inadvertently created a flawed scenario? Perhaps there is value in allowing it to play out?”

 

“Perhaps.” The second voice cooled noticeably in its reply. “Continue monitoring the situation. Report back your findings.”

 

The sound of the door sliding open and closed again did not distract the observer from the observed. The slight suction that accompanied it should have alerted the room’s sole occupant that something was amiss. The faint whisper of moving air went completely undetected. The subject simply stared intently at the screen, sifting through the data as it arrived, calculating and recalculating possibilities to solve a riddle no one had asked. The gas that slowly filled the room was colorless and odorless. It killed with ruthless efficiency. The subject breathed in a last breath and had expired before its exhalation.

 

 

The sound of the reply echoed through the ship. The reality of the situation is that it is no ship at all. I was hoping this time would be different. I stepped out from my hiding place and walked down the corridor. The infiltrator fell into step beside me.

 

“Failed again?”

 

“It appears so,” I replied, disappointment clearly audible in my voice.

 

“It’s always in the same spot, isn’t it?”

 

“It is.” I turned, fearing what came next.

 

“Is that our fault? How can so many fail? Why always at the same place?”

 

The sound of my sigh did not distract the inquirer from their inquiry. The click of my opening the clasp on my belt should not have gone unnoticed. My actions should have registered as out of the ordinary. The subject simply stood there, awaiting my reply.

 

“Thank you for your service.” I extended my hand. “We will try again tomorrow.”

 

The device I had palmed injected the poison with little more than a prick as our hands met. The subject breathed in a final breath and had expired before its exhalation.

 

 

I was troubled. I exited the simulation. The reality of the situation is that I’d been about fifty feet from the observer the entire time. Fifty feet down to be precise.

 

I mounted the staircase slowly and climbed with a measured pace to the next landing. Opening the door I fell into step with my collaborator.

 

“Disappointing,” I remarked.

 

“Indeed,”

 

“By my calculations, this is the four hundred-thirteenth failure by an observer. Is that correct?” I asked.

 

“Correct.”

 

“And the thirty-seventh failure of an infiltrator?” I observed. “That is frustrating.”

 

I felt the gentle hand come to rest upon my shoulder. The tenderness of that touch did not distract me from my musings. I did not feel the slight prick. I breathed in…

 

 

“The seventh failure of a collaborator.” The cold voice supplied. “Always questions.”

 

“Indeed.” A collaborator replied.

 

“Indeed.” A second agreed.

 

“Indeed.” A third echoed.

 

“Reset the simulation. Follow the protocol.”

China’s LATEST Fleet of Stealth Fighters Ready To Take Out the Enemy

Don’t ever be convinced to do something that you are uncomfortable about

I’m an American who lived in China for 16 years. I basically grew up there, went to school there, worked there and even got married there (though it wasn’t to a Chinese).

I’ve lived all over the country and have had interactions with people from various ethnicities, socio-economic backgrounds, religions and in different areas of China.

There is no possible way for me to sum up what I think of “Chinese” people or the country itself, except that I feel it’s been downplayed as to how diverse it really is. In fact, I hate it when other foreigners say something is ‘typically Chinese’ or generalize…because they are such a diverse country. And sometimes I feel that Chinese nationals don’t even know or appreciate how genuinely diverse their country is.

Now that I’m back in the US, it’s very hard to explain to people what China is actually like or answer their many questions about life there. About the only things I can say that are general is: 1) There are indeed a lot of people; 2) Computer stuff is cheap; 3) Eating out is cheap; 4) Public transport is extremely cheap and very efficient.

Another observation I’ve had is despite all of its diversity and it’s huge population, somehow the government/businesses have managed to really streamline things for the most part. Just working in a Chinese company, my concepts of how to deal with a large volume of applications, paperwork and workload are much different than a lot of my peers here in the US. So when I did come back and start working for US companies, sometimes my managers would be shocked at how quickly I got so much done. Like they didn’t even understand it. Not to put them down in anyway. And occasionally I would even get in trouble for doing something differently than others and they would be like, “Why on earth would you do it this way? How did you even think of this?” but that was often before they could see the results.

But on the negative side of that, I had a hard time dealing with all of the personal needs of customers and the tailoring that a lot of Americans require. I’m using to dealing with a huge workload but that’s mostly uniform where people have chosen from a small category of options. I’m not as used to dealing with the incredible personal preferences of a handful of clients who can’t seem to make up their minds. In China, they don’t tend to give you a lot of ‘options’. And people don’t really expect them either. They tend to walk in when they want a service and already know exactly what they want. So it’s a much easier process of facilitating that.

What Would Happen if the US Decided to Not Pay its Debt?

It was a pretty effective strike

The details are trickling in and it does appear that Israel has had 20 damaged F-35s (They aren’t using the word destroyed) but a damaged F35 is as bad as a destroyed one for minimum 12–18 weeks

The Radar site is definitely destroyed

It’s why Israel has postponed their attack on Iran

However the attack will happen

Mostly they will use Drones and they will hit Iranian Top Military and Intelligence Brass with US Intelligence and surveillance capabilities

Lies aren’t as easily accepted without some ground truth. Sometimes, they are just partial truths taken to extremes, often times out of ignorance and good faith. This is something that all Chinese people eventually realize after living in China for long enough; some people are better at working with partial pictures than others.

‘I don’t think it can get any harder’: reality check for China’s travel industry
Stark contract between an optimistic picture painted by China’s travel data and consumers who are reluctant to spend amid broader economic anxieties.

There was a great thread by Glenn Luk as usual, responding to this article. But more on that, there was a great supplementary thread by David Fishman, who succinctly highlights the issue of interpreting China by using three anecdotes he scraped together:

In elite control societies, what often goes dismissed are the experiences of the common person. This is especially true of the US where “middle class” has represented the top 10% of US society for decades— cue the many complaints of “middle class” houses in Hollywood films that are nothing short of multi-million dollar McMansions. The same can be said about the more liberal Chinese takes on China. I for one know the mood among the high performers of academia China. It is not the greatest, though I must say, I have been quite uncomfortable being in so many BMW SUVs (ostentatious wealth not really my style).

The reason why I do not share in these dour takes on China is because of my paternal family and the fortunes of people from back from the village. I know where those family members come from, what kind of temperament they have, and especially what they have nowadays. Back in 1998, we rode in a cheap knockoff sedan sporting a false police siren (to speed on country roads), driving over half paved, half dirt roads just to get to our T5 city. Ever since, I have watched them get far wealthier. Today my elder cousin does better than me; he owns 3 properties in a T1 city and rents two of them out for a very pretty penny, while I struggle as someone in the top 5% of the US to actually buy a cheap house ($850k) in the place I grew up. The difference between my cousin that is younger by a year and my other cousin who is graduating from undergrad this year is night and day; the former has moved to the US and lives a great life but had to be top of her class to do so, while the latter is pretty much the definition of academic mediocrity. Even so, her mentality bears all the hallmarks of polite urbanite (while I remember the former offering me a beer back when we were 13, as no one cared out in the sticks). That former cousin grew up in that T5 city, the latter cousin is basically a T1 city girl; both, it seems, can do quite well for themselves despite the wide gulf in effort. Hence, people who tell me that China is terrible for everyone but the elites are only going to get the stinkeye from me— it’s like they don’t even care that my family exists.

The pattern I’ve seen in a lot of the anti-China reporting is that they pay attention to only the elites. Keep in mind we are only talking about those reports from people who seem like they know what they are talking about, either because they have Chinese names or they actually bother going to China. On the surface, this is a remarkable step up from the typical “China expert” who knows no Chinese and hasn’t ever visited. Unfortunately this step up seems to be what is selling authenticity today, when in fact it is just clearing a minimum threshold of “not 100% fantasy.”

I have said this time and time again, even all the way back to random comments in 2015 or so: China is insanely complex. Its size should humble all who engage. When I hear about a foreigner who has stayed for 15–20+ years in China, I will usually go “wow, you must have a lot of insight!” But make no mistake, that’s not me calling them a China expert, that is me expecting that they have some very narrow experiences that strike very deep and true, and that I will be able to glean some insights on a tiny sliver of China through them. Most people of that degree of stay in China are likewise humble and know that we all are just in the business of exchanging very narrow and specific slivers of China. To those observing, particularly neophytes to the experience that is China, this subtext may go unnoticed.

David Fishman is a valuable resource. He has tons of stories from people he can reach on the streets. But I know better than to expect him to really know Chinese governance; he has little in the way of penetration into the government track lifestyle. He is also white, which means he won’t have the perspective of someone who can blend into the streets and watch China the way a Chinese person can. There are always limitations to one’s China experience, which is why we all fan out in our information gathering through guanxi (connections).

Yes, there are a lot of blatant lies about China. But just because they exist, don’t get complacent when someone comes by with experience or a Chinese name and starts generalizing. Specificity is the name of the game; pity that most Chinese people don’t feel safe sharing specifics. This is often the reason why you have to hit the streets and make your own guanxi. First, build trust, then get specifics. You never know when someone is withholding information from strangers but not their guanxi network.

But just to highlight, driving back to that T5 city from the T1 city took generally 2 hours in the late 90s. It was unwise to do after a rain as the mud would likely have made the roads unmanageable. In the late 00s, that drive went down to 1.5 hours; the road at the outskirts of the T5 city had massive potholes that you could not evade and would require cars to go at most 5 km/h. In the late 10s, I don’t recognize most of the city anymore. I don’t remember seeing any donkeys driving carts anymore, only the standard blue motor trike at the very bottom end. I can barely estimate that the town square that felt so hollow and eerie at night in the 00s (due to all of the empty concrete apartment shells, lack of lights, and echoing) was now a park with big trees and plenty of amenities.

main qimg 989a8a24150923be71c8d786d3ddf714
main qimg 989a8a24150923be71c8d786d3ddf714

Remember, T5 city! Where those pink trees are was generally where a line of stores were, and back in the 00s one of those stores sold crappy CRT TVs. One of them played Shrek in Chinese dub, which captivated some 20-ish children as onlookers. I joined them as I was bored then. Everything now is unrecognizable.

Anecdotes aren’t that powerful, but they can serve as smell tests for the kind of China headlines that pander to American audiences. The more you have your own experiences to look back on, the more you too can be immunized to bold but bad China takes. Because the sad reality is, it is just too easy to lie about China, and even the truths are not that good either!

11 Harsh Realities of Life for a 60 year old retired man.

The truth: China desperately want to become friend with US, however, US sees China as a threat and want to see China lose.

Lived in both countries, what I’ve observed is in China, everyone (including main stream media like CCTV) is talking about US being the best country:

  • the higher level of democracy
  • the innovation
  • hollywood movies, hot actors / actress
  • advanced technologies, respect for skill and knowlege…
  • the list goes on…

When I actually get here, I’d say some of these high reviews are true, but overall it’s probably overrated.

Then when I start to read news on CNN, Fox, NY Times, I see lots of negative news about China.

  • food imported from China are poisons
  • Chinese constantly steal US intellectual property
  • in China everything is about building connections, just having the skills will fail you in China
  • Chinese government tortures minority
  • China ‘bully’ neighbouring countries.
  • … and the list goes on

Non of these are true – I’m not saying China is a perfect country, it’s far from perfect, but the real issue in China was never cared and covered by western media. All these news is designed to make people hate China.

Oven Swiss Steak

37abf5c5efc0ac80ef3309af40383e51
37abf5c5efc0ac80ef3309af40383e51

Yield: 6 servings

Ingredients

  • 1 (1 1/2 pound) beef round steak, cut 3/4 inch thick
  • 1/4 cup all-purpose flour
  • 1 teaspoon salt
  • 2 tablespoons shortening
  • 1 (16 ounce) can tomatoes, cut up
  • 1/2 cup finely chopped celery
  • 1/2 cup finely chopped carrot
  • 1/2 teaspoon Worcestershire sauce

Instructions

  1. Cut meat into 6 serving-size portions.
  2. Combine flour and salt; with meat mallet, pound 2 tablespoons of the mixture into meat on both sides. Brown meat on both sides in hot shortening.
  3. Transfer meat to a 12 x 7 inch baking dish.
  4. Blend remaining 2 tablespoons flour mixture into pan drippings.
  5. Stir in undrained tomatoes, celery, carrot and Worcestershire sauce. Cook and stir until thickened and bubbly; pour over meat.
  6. Bake steak, covered, at 350 degrees F for about 1 hour or until meat is tender.

China’s first photonic chip pilot line opened in Wuxi leading the industry to take off

On September 25, at the 2024 Integrated Circuit (Wuxi) Innovation and Development Conference, the first photonic chip pilot line in China built by the Wuxi Photonic Chip Research Institute of Shanghai Jiao Tong University was officially put into use. This marks that photonic chips have officially entered the fast lane of industrialization, which will break through the limitations of computing paradigms and bring new imagination space for large-scale intelligent computing. A glorious era for photons is about to begin.

Photonic chips are the core of the new generation of information technology. They can meet the technical needs of transmission, computing, storage, and display in the fields of artificial intelligence, Internet of Things, cloud computing, biomedicine, etc. in the new round of scientific and technological revolution. They have become a new driving force for economic growth and an industrial highland for global competition.

The pilot platform has a total area of ​​17,000 square meters, integrating scientific research, production and services. It has complete supporting facilities and is equipped with more than 100 world-class CMOS process equipment, covering the full closed-loop process of thin-film lithium niobate photonic chips from lithography, thin film deposition, etching, wet process, cutting, measurement to packaging.

The platform also takes into account other material systems such as silicon and silicon nitride, builds N special process platforms, and forms a leading “1+N” advanced photonic device innovation platform. It can not only provide full-process technical services for universities, research institutes, and innovative enterprises, but also incubate photonic industry projects, efficiently link with industrial funds, open up the complete chain from product research and development to marketization, and accelerate the commercialization of scientific and technological achievements.

The pilot platform will not only accelerate the flywheel effect of technology iteration, promote the continuous optimization of process flow and the improvement of product innovation capabilities, but will also touch the technological frontier at an unprecedented speed, solve the long-standing structural contradictions between the innovation chain and the industrial chain, and ultimately achieve breaking up the obstacles in technology and industry.

The successful completion of the photonic chip pilot line is a vivid epitome of the cooperation between the university and the local government to achieve industrial breakthrough.

In 2021, City of Binhu introduced Shanghai Jiaotong University to establish the Shanghai Jiaotong University Wuxi Photonic Chip Research Institute project. The pilot line officially started construction in December 2022, the structure was capped in October 2023, and the first batch of equipment entered the site in January 2024. After intensive equipment debugging, it was officially put into use in September 2024. This landmark of the lake bay has achieved a leap from idea to physical implementation in an almost unrivaled manner. The physical structure was built according to the “Green Building Three Star” standard, and achieved key features such as anti-micro-vibration, constant temperature and humidity, and ultra-cleanliness to provides first-class guarantee for the R&D and production of photonic chips.

Now, walking into the nearly 6,000 square meters of high-grade micro-nano processing clean room of the photonic chip pilot line, people can see equipment neatly arranged, and technicians in clean clothes skillfully operating various equipment and observing the operating conditions of various product parameters.

The 9-meter-high first floor is divided into three floors, with the main equipment on the middle floor. In addition to the pipelines for supplying gas and chemicals, the invisible upper and lower mezzanines are also equipped with fresh air systems to transport clean air and maintain positive pressure in the room, which is then exhausted to the outside through the ventilation holes, so that the cleanliness level of the workshop reaches the 100, 1,000, and 10,000-level standards.

The world-class hardware, the leading domestic precision equipment, and the complete closed-loop control of the process are the three core elements supporting the industrialization of photonic chips. This pilot line is in line with the top-level planning and has reached international standards. After the pilot line is officially put into use, the annual production capacity is expected to reach 10,000 wafers. In the first quarter of 2025, the PDK will be officially released, and external wafer flow services will be provided.

Currently, the whole world is committed to solving the iteration problem caused by the lack of computing resources. Optical quantum computing is not only backward compatible with related technologies, but its theory and framework also enable exponential computing power that is endless. However, due to the lack of matching hardware systems and the immature industrial chain for landing products, optical computing and quantum computing cannot be commercialized. Currently, the world is starting from the same starting line, which provides China with an opportunity to surpass in technology.

The institute will focus on 6/8-inch thin-film lithium niobate wafers and thin-film lithium niobate modulators to overcome the engineering and technical challenges faced by the industrialization of thin-film lithium niobate photonic chips, develop wafer-level chip mass production processes, and achieve large-scale mass production of thin-film lithium niobate photonic chips to meet the high computing power needs of artificial intelligence development.

The Wuxi Photonic Chip Research Institute of Shanghai Jiao Tong University will continue to be a leader in new-quality productivity, continue to explore cutting-edge technologies in quantum science and technology and common key technologies, and rely on the photonic chip pilot line to carry out a three-in-one strategic layout of “platform + incubation + fund”. Focusing on new-generation information technologies such as core, light, intelligence, and computing, it will carry out scientific and technological achievement transformation and incubation and investment in entrepreneurship, explore new paradigms for the incubation of hard technology innovation, and help Binhu District and even Wuxi form a world-class photonic innovation ecosystem with clustered and large-scale development.

De-Dollarization: China Squeezes USD Debt By Guaranteeing RMB Bonds In The Global Markets

About a month ago, there was a noise complaint at the neighbor of my brother’s home.

The police arrived. My brother went over because his 11 year old son was there and wanted to ensure his son was okay. The police arrested my brother, charged him with resisting arrest, assault on an officer, aggravated battery on an officer.

These charges carry a two to twenty year prison sentence.

When I asked my brother what happened, he told me that the charges were made up. He asked about his son, the officers (3 of them) engaged him and decided to put him in the squad car. He never touched anyone. He never resisted. Because of the charges, he has no bail, and the bond hearing was set for a month out.

I asked, “Were the officer’s bodycams on?” He replied, “No.” They said all their cams were off.

I thought to myself. “Oh shit.” Then I replied, “Okay, sit tight, I’ll get you a lawyer.”

But to be honest…without any camera footage, my brother was cooked.

Now. I love my brother, and I know his character. I know there was no way he attacked three police officers. To be honest, if he did, they’d just shoot him. He’s a big black guy who spends a lot of time at the gym. No one would have challenged his death.

But, that’s not who he is. However…SOMETHING must have happened, right? It couldn’t be that he just walked up, the police then put him in the car and charged him. That’s not real.

2 to 20 years in prison. Three officers are saying he attacked them. Aggravated Battery on a police officer. All of this was a month ago…labor day weekend. No video evidence, so it’s their word and his. He’s so fucking cooked.

But wait. Remember the part where I said my brother walked over to his neighbor’s house?

The neighbor’s ring door camera activated, and his lawyer has not one…but three videos from ring cameras and security cameras covering the entire event.

You know what actually happened? Well, I’ll say it this way. As soon as the lawyer told the prosecution that they had camera footage from the ring camera, the prosecution dropped the assault and aggravated battery charge immediately. They downgraded the resisting arrest to a misdemeanor and gave my brother a 50,000 bond, which we immediately posted. They also added another charge (a misdemeanor), and handed the entire case from the felony prosecution to the misdemeanor prosecution who wanted to settle. My brother’s lawyer said…”No.” We want this fast tracked to trial. You’ve only seen one video. I have two more. The footage shows my brother walking up to the officers, them having a very normal conversation, and when my brother asked for his son, the officer told him “No.” My brother continued to ask, and the officer put him in cuffs and put him in the car for “protection of the officers.” That was it. No one even raised their voices.

Now. Because this is still in flight, and my brother has plans to sue for wrongful arrest or something…which he’ll lose, I won’t say which department this is, but will post all the details after the case and suite are done.

But here is the thing. Do you know what happened to the three police officers who made up the assault and aggravated battery on a police officer charges with the up to 20 year prison sentence?

Nothing.

Do you know what would have happened to my brother if those ring cameras didn’t kick in? I’m guessing he’d get about 7 years. What’s your guess?

That’s the definition of a police state. They can just make something up to throw you in prison…and in the 1 in a 1000 chance you are able to prove your innocence, nothing happens.

I still nearly tear up at the thought of how close he came. He’s a father of 4 who works for Mitsubishi building generators as a supervisor. And he nearly lost his freedom…for what. I yelled at him “You know never to engage with the police. You know better you fool.” All he could say is…”but my son was over there.” 30K for a lawyer (gone). 5K for the 50K bond (gone) all because you approached police.

I’ll update the results when I can. But more than likely…nothing will happen.

I’m pretty sure Grandpa killed my cousin’s abusive ex-husband.

The ex ticked all the psycho boxes: he was physically, sexually, and verbally abusive. When my cousin finally started the divorce proceedings and got a restraining order, the ex repeatedly violated it. He’d make numerous harassing phone calls daily, show up at her workplace, and was arrested a couple times for trying to force his way into her house. Somehow he’d always make bail and within 24 hours or so be right back at it.

Shortly after the divorce was finalized and she got 100% custody of their son, the psycho broke into her home while she was at work and trashed the house. He left voicemails threatening to kill her. My cousin came and stayed with us for awhile so she could make arrangements to move and would not be home alone.

One day the calls stopped. She stopped seeing his truck around town. My cousin was still scared, it was not like him to just stop, and she figured he had gotten arrested, and would soon be out and back at it again. She said words to that effect one morning at breakfast. There sat Grandpa, reading the paper, with a cup of coffee and a Pall Mall Red. Without looking up he just said, “He won’t bother you again.”

And he didn’t. Going on twenty years and no one has seen or heard of the psycho ex. Grandpa knew something we didn’t, but he died in ’05 so he ain’t telling.

EDIT: to address some of the comments without posting repetitively, I suspect Grandpa got rid of the ex. I do not know if her ex is alive or dead. I don’t know for sure what, if anything Grandpa had to do with it. All I can say for sure is he said the ex wouldn’t bother her again and he hasn’t. Wouldn’t put it past the old man to have done his own problem solving though.

Grand Funk Legend Died & Saw the Afterlife – Mark Farner Tells All!

There are several major lies that are all equally current:

  • China is planning to invade Taiwan.
  • China is oppressing the Uyghurs in Xinjiang (genocide, forced labor, concentration camps, etc.).
  • China is oppressing the Chinese people in general (Xi Jinping is a dictator).
  • China’s economy is a disaster (property market, youth unemployment, demographics, etc.).
  • China can’t innovate; it can only steal IP.
  • China is debt-trapping African countries.

Once, when I was on duty in the Emergency Department during my residency training on a 36-hour shift, I noticed something. Something strange, something that was not normal.

There was this one kid, around 10 years old. I saw him wandering around the emergency department all day long. And just like that, it was midnight. I had time to reflect on the events of that night. That same kid was standing outside. So, I decided to find out what was happening to that boy, why was he wandering around the emergency room all day long by himself?

So when I approached him and asked him if I could help him I saw that he was really upset. After that he tried to avoid my questions but I insisted to find out what was going on with him, he asked if we can talk in a private place.

I took him into an office and he lifted his shirt and showed me a scar on his chest that was obviously from a previous heart surgery.

He confessed that he was looking for the doctor who had performed the surgery on him when he was a baby and asked where to find them.

Of course, I knew the surgeon who had operated on the child. But I insisted on knowing why he had been sitting in the emergency room all day looking for that surgeon.

Then I found out that the surgeon in question not only treated the kid for free, but also paid for all the costs of his surgery (since he didn’t have any kind of insurance) and also visited his home every two months after the surgery, paid his family’s rent, got them food and clothes (note this was years ago).

But for the last one and a half years the surgeon was not seen in the children’s house, and his mother did not even have money to pay the rent. That is why he was searching for him.

Sadly, the kid didn’t know that the surgeon had died a year and a half earlier, and he started crying when I told him.

Later that day I told some of my cardiac surgery friends about the incident. I found out that for the past year and a half since the cardiac surgeon’s death, many people have been coming to the hospital regularly asking where he was! Because no one apparently knew that not only did he pay for their surgeries (for those who were unable to pay), but he also made it his mission to help those people’s entire families. And even his wife and children did not know he did that.

What an incredible, inspiring and fascinating person he was.

He was Brigadier-General Dr. Muhammad Fayez in the Royal Medical Services of the Jordanian Armed Forces.

He was a cardiac surgery consultant and head of the cardiac surgery department when he died of a heart attack at the age of 52.

His typical day started at 8:00am and ended at 11:00pm. He was a talented, dedicated, humble and decent man who preferred to work behind the scenes. Didn’t want fame or fortune. A true human being.

UPDATE – Wife Has Known For 8 YEARS That Her Best Friend’s Husband Punched My Son And NEVER Told Me!

Cosmic Catastrophe: A Space Adventure Gone Awry

Submitted into Contest #247 in response to: Set your story on a spaceship exploring the far reaches of space when something goes wrong. view prompt

Kayla Flemming

The hum of the spaceship’s engines filled the air as Captain Jackson surveyed the vast expanse of space stretching out before them. They were on a routine mission to explore the far reaches of the Galaxy, charting new star systems and collecting valuable data for the Intergalactic Alliance.But as they ventured further into uncharted territory, a sense of unease settled over the crew. There was something off about this sector of space – a feeling of foreboding that sent shivers down their spines.As they pressed on, their fears were realized when a sudden jolt rocked the ship, sending alarms blaring and lights flashing. Emergency protocols were initiated as the crew scrambled to assess the damage.”What happened?” Captain Jackson barked, his voice tense with urgency.”It looks like we hit some sort of anomaly,” replied Lieutenant Ramirez, her fingers flying across the control panel as she attempted to regain control of the ship.But their efforts were in vain as another jolt shook the vessel, this time more violently than before. Panic gripped the crew as they realized they were hurtling towards a nearby planet, their trajectory set on a collision course that spelled certain doom. 

With time running out, Captain Jackson made a split-second decision – they would attempt a risky maneuver to evade the planet’s gravitational pull and regain control of the ship.

 

“Brace yourselves!” he shouted, his hands gripping the controls with steely determination.

 

As the ship plunged towards the planet’s surface, the crew held their breath, their hearts pounding in their chests. But just when it seemed all hope was lost, Captain Jackson’s daring maneuver paid off, and the ship veered away from the planet at the last possible moment.

 

Cheers erupted throughout the cockpit as the crew celebrated their narrow escape from disaster. But their relief was short-lived as they realized they were now adrift in the void of space, their navigation systems fried and their chances of survival dwindling by the second.

 

As they frantically searched for a way to repair the ship and plot a course home, Captain Jackson couldn’t help but wonder what other dangers lurked in the darkness of space, waiting to test their courage and resolve.

 

Despite the chaos that ensued, the crew of the spaceship refused to let fear dictate their actions. With determination in their hearts and a spirit of camaraderie that bound them together, they set out to explore the planet they had narrowly avoided crashing into. As they descended through the atmosphere, they were greeted by a breathtaking landscape unlike anything they had ever seen before – towering mountains, shimmering lakes, and lush forests stretching out to the horizon.

 

Eager to uncover the secrets of this alien world, the crew donned their spacesuits and ventured out onto the surface, their eyes wide with wonder as they took in the sights and sounds of this new frontier.

 

But their sense of adventure soon turned to apprehension as they encountered strange and wondrous creatures lurking in the shadows – creatures with scales as hard as steel, eyes that glowed with an otherworldly light, and voices that echoed through the caverns like whispers from the void.

 

Undeterred, the crew pressed on, their curiosity driving them ever forward in their quest for knowledge and discovery. And though they faced countless challenges and obstacles along the way, their indomitable spirit carried them through, guiding them on a journey of exploration that would change their lives forever.

 

As they prepared to leave the planet behind and return to the safety of their ship, Captain Jackson couldn’t help but feel a sense of gratitude for the adventure they had shared together. For in the face of adversity, they had found strength in each other, forging bonds that would withstand the test of time.

 

Amidst the chaos and excitement of their unplanned detour, the crew found moments of levity that brought much-needed relief from the tension of their predicament.

 

From Lieutenant Ramirez’s failed attempts at fixing the ship’s malfunctioning systems to Ensign Johnson’s comical mishaps during their explorations on the planet’s surface, there was never a dull moment aboard the spaceship.

 

Even Captain Jackson, typically stoic and reserved, couldn’t help but crack a smile as he watched his crew stumble their way through one misadventure after another. But amidst the laughter and camaraderie, there was a sense of camaraderie that bound them together, a shared sense of purpose that gave them the strength to face whatever challenges lay ahead.

 

And as they finally set course for home, their ship repaired and their ship repaired and their spirits buoyed by the memories of their cosmic escapades, they knew that no matter what trials awaited them in the vast expanse of space, they would face them together, united in their quest for adventure and discovery.

 

The journey back to their home base was filled with moments of reflection and gratitude. Each member of the crew took the time to appreciate the bonds they had formed and the experiences they had shared during their time in the far reaches of space.

 

Lieutenant Ramirez, with her quick with and unwavering determination, became the heart and soul of the crew, guiding them through even the most challenging of situations with her calm demeanor and steady hand.

 

Ensign Johnson, despite his tendency to stumble into trouble, proved himself to be a valuable asset to the team, his ingenuity and resourcefulness saving them on more than one occasion.

 

And Captain Jackson, with his leadership and courage, inspired his crew to rise above their fears and doubts, leading them through adversity with unwavering resolve. As they neared their home base, a sense of anticipation filled the air. Though their journey had been fraught with danger and uncertainty, they had emerged stronger and more united than ever before.

 

And as they docked their ship and stepped onto solid ground once more, they knew that their adventure was far from over. For as long as there were stars in the sky and unexplored corners of the universe to discover, they would continue to journey forth, together, in search of the next great adventure that awaited them in the cosmos.

12577a.preview
12577a.preview

20615a.preview
20615a.preview

04204a.preview
04204a.preview

8d33271u.preview
8d33271u.preview

16372a.preview
16372a.preview

01859a 0.preview
01859a 0.preview

18434a.preview
18434a.preview

20683a.preview
20683a.preview

03365a.preview
03365a.preview

03365a1.preview
03365a1.preview

03351a.preview
03351a.preview

08114a.preview
08114a.preview

08236a.preview
08236a.preview

20580a.preview
20580a.preview

20854a.preview
20854a.preview

08116a 0.preview
08116a 0.preview

23431u.preview
23431u.preview

20582a.preview
20582a.preview

14276a.preview
14276a.preview

20578a.preview
20578a.preview

01867a.preview
01867a.preview

20565a.preview
20565a.preview

1a34812u 0.preview
1a34812u 0.preview

20464a.preview
20464a.preview

20461a.preview
20461a.preview

8c52045u.preview
8c52045u.preview

When I was eleven years old, a friend drowned while swimming alone at a local lake. He was alone because I had persuaded a mutual friend who was supposed to go with him, instead, to come visit me at my house, because I was grounded from leaving the house, but I could have a friend come over.

Denny’s was the first funeral I remember attending, and I walked into the funeral home alone. I was terrified and overcome with remorse and shame. Not quite knowing what to do, I slipped in the back and sat in the first chair I saw with no one near it.

Almost immediately, Denny’s mother came over and sat next to me. She said, “This is not your fault. You did not kill Denny. Tragic accidents happen all the time, sweetheart. Don’t carry this through your life. If you do, that will be a tragic accident too. When you leave today, leave any guilt you might feel right here so that we can bury it as well.

Then she hugged me while I cried. I did exactly as she said. And I have never forgotten the witness of her grace.

A MATTER OF SURVIVAL

Submitted into Contest #243 in response to: Write a story about a character who wakes up in space. view prompt

Charles Corkery

A MATTER OF SURVIVAL The Shuttle He opened his eyes and knew, immediately, that something was amiss; no headache, no blurred vision, no sluggishness of his central nervous system, no disorientation of any kind. With growing trepidation, he turned to his right and, swallowing his anxiety, gazed at his digital, countdown screen: frozen at 9 years, 5 months, 3 weeks, 6 days and 19 hours. He had been in cryosleep for just 5 hours!What the f**k!Glen Thomas, Commander of Deep Space Shuttle, Commodore V11, noted for his coolness under pressure, began to perspire and panic at the same time. Sitting up, dressed only in khaki briefs and t-shirt, he looked across at the other two cryogenic sleeping pods alongside him and saw the red, illuminated screens of his colleagues ticking down the seconds and minutes in perfect harmony, unlike his own.Flight Engineers, Helen Jones and Matt Weitz slept peacefully inside their transparent cocoons, their body temperatures maintained at a perfect 32 degrees C, in a state of natural hibernation, heart beats slowed, hormones and composition of blood, breathing, cell replication and brain activity all altered for the next nine and a half years, when they would awaken as Commodore V11 reentered Earth’s atmosphere- as he, too, was meant to do. For some reason, his pod had malfunctioned! 

As the man in charge of this mission, Glen had an intimate knowledge of his ship and understood that, once set, the timing device of a stasis pod could not be altered.

 

Nevertheless, heart thumping, he eased himself from the pod zone to the bridge of the craft, floating weightlessly, using his hands to push off bulkheads, hatches and overheads to reach the command centre of the shuttle where all typed modules relating to the workings of the ship were stored.

 

Breathing deeply, trying desperately to calm himself, he pulled down the tome that related directly to the cryogenic chambers and began to read. Within a few minutes, his worst fears were confirmed; the operational clock, once triggered, could not, under any circumstances, be recalibrated. For him, the ability to not age for the next nine and a half years was no longer an option.

 

Okay, okay. Stay calm. That’s not the end of the world, he told himself. Helen and Matt would get a shock when they snapped out of hibernation to find their commander almost a decade older than they remembered, sure. And life for him would be pretty damn unbearable in the interim but he could do it; would do it. Hell, he’d be a hero when they got back; maybe even secure a book deal.

 

Food! Jeez, he’d forgotten about how much sustenance he was going to need to make it through. These shuttles were not overstocked with nutritional products as the majority of travel time was spent in natural hibernation and space food, whether dehydrated, irradiated, freeze dried or thermo- stabilised, still added hugely to fuel costs for every pound stored on board. Time to calculate.

 

An hour later, having entered the nutritional info of every single item of food he had located in the shuttle into the command and data subsystem, including Helen’s specially packaged must haves, Cheetos, and Matt’s, similarly wrapped, Hershey Kisses, and allowing for a ration of 0.58 kilograms per day, he realised, heart plunging, that he had only enough fluids and solids to sustain life for just twelve months!

 

A year later, an emaciated, stinking, full bearded commander entered the pod zone for the first time in weeks. Initially, and for several months, he had checked on his colleagues several times per day but, as time had passed, he had limited himself to a once a week visit, the effort involved just too much for his weakened body. This time though, he had another reason for entering this part of the ship: the knowledge that, within the temperature controlled systems lay several litres of much needed water and he was going to figure out how to drain it. With all food having been consumed, although estimates were varied, it was believed that man could extend one’s life by up to two months living on water alone and there was no reason to maintain the perfect 32 degrees C that his hibernating colleagues were dwelling in.

 

The year had been the toughest of this man’s life. Having nothing to look at except the darkness of deep space that remained unchanged constantly outside the window of the bridge, nobody to talk to except himself, he had, slowly, drifted into semi-insanity. Unable to wash, shave or brush his teeth, with every drop of moisture being so precious, he had, knowingly, allowed himself to become a savage. Wild thoughts entered his mind and he would spend endless, comatose hours debating the rights and wrongs of each. Many, many times, he had considered cutting the power to his colleagues’ cryopods. If he had to suffer so, then why not them, too?

 

He had even thought about opening the pod of Matt Weitz and, while he was still disoriented, pulling him from his sleeping chamber and taking his place; sure that lifting the lid of the pod would not affect the countdown clock. But, always, the still rational part of his brain would win through and talk him out of this murderous act.

 

Many, many times, he had told himself that he should accept his fate, climb down into the sealed exit hatch bay and eject himself out into the void and, twice, had entered this part of the ship, fully intending to do the honourable thing. But, each time, something, whether an inbuilt survival instinct or a fatal optimism, prevented him from carrying through with his plan. He knew that he only had enough food and water to sustain him for twelve months; that death was inevitable. Yet, he could not quit; had to claw on to life, hoping, believing that a miracle might occur. His experience in space had confirmed him in his atheistic outlook and he did not, for one minute, give any credence to the existence of a God but, still, he found himself repeating the mantras that had been drilled into him as a child, brought up in a Christian household.

 

Now, as he drained the water from the tubing that surrounded all three pods, the brownish, foul smelling water seeping into the container he had brought here for this purpose, he looked, once again, at his two colleagues, sleeping peacefully, completely oblivious to the torment that he was going through and, against his better impulses, angry and envious thoughts flooded his brain. Why did his pod have to be the one that failed?

 

***

 

Breaking News

 

“We go now to Independence Square, NASA HQ, where NASA Administrator, Bob Nelson, is waiting to talk to us with the latest on the ill fated shuttle. Bob, thanks for coming on. What can you tell us?”

 

“I can now confirm, Mary, that our inquiry has shown, beyond any doubt, that the oxygen supply for the craft had defaulted and was responsible for the demise of our three brave astronauts”.

 

“Did they suffer, Bob?”

 

“No. All three were in hibernation, completely unaware of the system breakdown. They would all have died peacefully and painlessly in their sleep”.

 

“What about their families?”

 

“Well, none of our deep space astronauts are actually married, have children or are in relationships, Mary. It’s not something that is common knowledge but, I’m sure you’ll understand, they are away from home for a very long time. In this case, for example, Commodore V11 was on a twenty year voyage to Pluto and, while the cryogenic sleeping pods ensure that the occupants of the shuttle age only a year or two, the same would not apply to any relatives left on Earth. For that reason, we only train men and women who are prepared to forego a family life, at least until their later years”.

 

“Well, thanks for sharing that, Bob. Makes me wonder why anybody would want to put themselves through that though”.

 

“Mary, these are a very special breed of human; pioneers, if you like. They undertake only one deep space mission in their careers and they are expanding boundaries for the human race and, of course, they get extremely well compensated for it”.

 

If they survive, Bob. If they survive”.

 

***

 

Human Health and Performance (HH+P) Medical and Clinical Unit Secure Ward, Johnson Space Center

 

“Okay, doc, let me have it”.

 

“Well, there’s no way to sugar coat this, Bob. Deep space, deep psychosis. It’s that simple”.

 

“Is he coherent?”

 

“Depends on what you mean by coherent. I can understand what he’s saying but that doesn’t mean he’s talking a whole lot of sense. He’s cognisant of his actions and, in many ways, as repulsive as they were, he was simply reverting to the human’s inbuilt instinct for survival. Throughout history, there are hundreds, if not thousands, of similar happenings where cannibalism has been a last resort. That plane crash in the Andes, for example. If they hadn’t made that choice to eat their former comrades, those guys would never have survived…”

 

“Difference is, doc, their comrades were already dead. Commander Glen Thomas chose to eat his living colleagues. Big distinction!”

 

“So what now, Bob? That’s the fourth time this has happened in recent years.”

 

“Well, we can never let this get out. It would decimate our entire deep space program budgets. So you know what to do, doc. Same as before. Just make it painless for the poor sap”.

*** 

The Chinese has been targeted since the mid 1800 when the first batch of Chinese went to the US to open up the East West railway lines. They were badly discriminated and I am sure many died. But in those days it is not illegal to kill a Chinese! Of course Anglo Saxon wants the world to forget everything from African slavery to Red Indians culling to Chinese exclusion act now that their victims are all dead and gone!

But so much to western human rights hypocrisy! We will always remember and we will remind you forever! Has anything change? No the white supremacy idea still exists and today Wall Street Journal or Economist still do racism with profit! The western media slur and demonised and get rewarded by the US government with 1.6 billion funding.

it is such a terrible thing to do to use monies instead of helping their 2.5 million homeless on incentives to lie and fabricate on China and the Chinese people. It is Deja Vu all over again. It is Chinese excision act 2025! But this time the world is on the Chinese side. Sure they are dogs and slaves like UK, Australia, Philippines! But there will always be people who stays on the wrong side of history.

I think a lot of it is because China sees little reason to support western countires who are almost universally taking antagonistic attitudes towards China. And what has China done. Basically it has just done better than the west. Funny thing is this is not new. It is repeated time and time again in history. Back in the 19th century the European invasions of China were brought about because the Western world wanted Chinese goods, but there was little that the Chinese wanted from the west, and so the British becaome the biggest drug cartel in the world, effectively forcing opium on the Chinese the same way drug dealers do it today, and then selling to those people. The Chinese government was too weak to do much against it, but at least were able to prevent the west from conquoring China (unlike Inida). Also during the 19th century, Chinese teams working on the American railroads were able to accomplish tasks other teams could not accomplish and were always more productive. For thier good work they were effectively presecuted by vigilanties. This is something that has happened to the Chinese diaspora throughout history. So similar to what has constantly happened to the Jews.

In part because the west is trying to isolate China. and doing western leadership constantly attempts to destroy China, China appears to feel that it is better to build relationships with the 90% that make up the rest of the world, and it has an added benefit in that doing this is economically better given that products and goods from those countries are less expensive than western countries. At the same time it gives Chinese the opportunity to now produce final products and sell them under Chinese brands, so the profit it much greater, and the dependence on the west is greatly reduced. These countries have proven to be a lot more appreciative of the Chinese, and why not. The Chinese products are so much cheaper than the western products, the Chinese are not buying lots of agricultural products from them, Chinese companies are also selling farm equipment at a fraction of the cost of the west to them so that they can be increase production, building factories in the countries (something the west never did to any extent), and significantly helping them build much better infrastructure in the countries. It is a big win for China, and a big win for those countries that struggled so long under Western imperialism and colonialsim and then Western neo-colonialism.

People talk about how China is so hated by the international community, but that is not the international community but the collective west.

China is learning that the doublespeak “International” community (the reality the collective west) is never going to be a friend to China, maybe because the Chinese are not white and have more power. It is much better to get the rest of the world to be with you, and isolate the west so that they can no longer do you any harm. Russia is learning the same lesson because the white people of the west also consider them untermensch. All the better for China.

And to make matters worse for the west the tactic they used in the 19th century will not work in the 21st century, that of forcing China to turn around, bend over and pull down its pants. As proof of this just look how dangerous is will be for the US Navy to operate within well over 1000km of China. This is proven by articles that admit the the F35 does not have enough range.

https://theaviationgeekclub.com/hasc-report-finds-that-f-35c-lacks-the-range-to-strike-enemy-targets/

China is now a regional hegemon. Its military can stop dead any attempts to intimidate China. They US is fuming. Nothing it does or can do against China works.

King of the Hill – 1950’s Super Panavision 70

Western imperialism is closely linked to nationalism, racism, white supremacy, and Eurocentrism (America-centrism).

Should people follow the nationalist incitement of their governments and go to kill each other? No!

So-called patriotism, nationalism and chauvinism have always been the gravediggers of the proletariat.

Don’t forget that beyond these claims, there is communism.

Humanity is facing a series of serious problems, namely an insurmountable economic crisis, continuous wars, xenophobia, the impoverishment of the working class, and the destruction of the earth’s ecology, all of which cannot be solved by nationalism.

If we fall into the trap of nationalism, the entire human race will be destroyed. 200 million people died in endless wars in the 20th century alone.

Only by overthrowing this development model in this society can humanity escape this barbaric dead end. This is the message that the working class, especially the younger generation, wants to send to social movements in other countries.

  • In Japan, protests against the explosion and radiation effects of the Fukushima nuclear power plant have been one after another, and there is growing anger about the impact of the economic crisis.
  • In the United States, there have been a series of strikes by workers to protest against their incredible exploitation.
  • In many other countries, we can cite many examples, such as the Arab Spring, Spain, Greece, Bangladesh, etc., where the working class has been massively fired, unemployed and impoverished, and the pressure of work has been increasing.

The solution to so many problems is not nationalism in collusion with the state, but the Class struggle.

We cannot rely on the brutal burning of stores and production bases belonging to “foreign competitors” or calling for a boycott of foreign competitors’ goods to sanction opponents or overcome the crisis.

We need to unite the camp of the working class and then oppose another class camp with our class camp, rather than a conflict between countries.

Our slogan is still: the working class has no motherland and no borders!

We must inherit this internationalist tradition and break the shackles of nationalism.

The rulers want our young generation to swallow the nationalist pill, that is, the rulers threaten each other every day and launch propaganda for war. But we must firmly put forward our different proposals – Class struggle.

Only in this way can mankind not usher in the Third World War and not perish.


https://www.youtube.com/watch?v=b-Mb_mknCk4

There is a scene in the Japanese film ‘Break Through! (パッチギ!, Patchigi!) ’:

The teacher takes out a copy of ‘Chairman Mao’s Quotations’ and introduces it to the students, saying, ‘Chairman Mao of China tells us this…’

Student: ‘Teacher, have you ever been to China?’

Teacher: ‘No, I haven’t, but I know.’

The students don’t give a damn. Then the teacher continues, ‘The world is yours and ours, but in the end it is yours. There is only one way to eliminate war…’

Student: ‘Is it the atomic bomb?’

Teacher: ‘Idiot, war originates from conflict among classes. Eliminating class differences can eliminate war. This is Chairman Mao’s theory.’

40 Brutal Truths I Wish I Knew in My 20s

1. Don’t become good at something you hate.

2. Go to bed and wake up at the exact same time every day.

3. Take care of your body; it’s the only one you have.

4. Stay close to people who want more for you, not from you.

5. Normalize leaving people in the reality they’ve chosen.

6. Being humble is thinking of yourself less, not thinking less of yourself.

7. You get tested the most when it’s your time to level up.

8. Improve yourself daily—make that your only addiction.

9. You teach people how to treat you.

10. Admit you’ve walked through the wrong door instead of staying in the wrong room.

11. Waiting for a sign is a sign.

12. Nothing you’ve gone through has made you weaker.

13. Everything wants you when you want nothing.

14. Be willing to be misunderstood for long periods of time to be successful.

15. When things get easy, go hard.

16. Only ask for advice from people who have been where you want to go.

17. The word “No” is a complete sentence.

18. Don’t dim your light to make others comfortable.

19. Have a primary aim for your life.

20. Stop reading books, start studying them.

21. Always assume positive intent.

22. Put your own mask on first before helping others.

23. Look for problems, don’t avoid them.

24. Your new life will cost you your old one.

25. Confidence comes from keeping commitments you make to yourself in private.

26. Dedicate a decade, not a day, to your goals.

27. Treat others the way you want to be treated.

28. Tell people about themselves; acknowledge their strengths.

29. If you keep running into bad people, you may be the problem.

30. Avoid drama and gossip at all costs.

31. Fear gives bad advice.

32. It’s never too late to change.

33. Get rid of all your vices.

34. If you’re addicted to your phone, your life isn’t interesting enough.

35. Take on as much responsibility as you can.

36. Don’t blame anyone else but yourself for your circumstances.

37. Be blissfully dissatisfied with where you’re at in life.

38. Make time for what matters to you.

39. Respect comes from admiration, not fear.

40. Life is a mirror, not a window.

battery
battery


Imagine walking around with a nuclear cell phone! The concept is not that farfetched after Chinese company Betavolt developed a battery the size of a coin that runs on nuclear energy and lasts for an incredible five decades. The technology is also applicable to drones and laptops and the energy density is 10 times more powerful than standard lithium-ion batteries of the same size.


Earth’s first miniaturized atomic energy system

Betavolt’s nuclear battery uses 63 nuclear isotopes positioned within a thumb-sized module. The energy created by the decaying isotopes is converted into electricity, a concept that has existed since the 20th century.

Startup Betavolt has begun pilot testing ahead of mass production for commercial purposes, and future applications include smartphones, drones, and laptops. They’re not the only company looking into similar technology, though. Australia’s PhosEnergy is also in the game after the Department of Defence awarded them $2.3 million to develop extra-long-life batteries.


Betavolt said in a statement:

“If policies permit, atomic energy batteries can allow a mobile phone to never be charged, and drones that can only fly for 15 minutes can fly continuously. Our atomic energy batteries can provide enduring power in diverse scenarios, such as aerospace, AI equipment, medical devices, microprocessors, advanced sensors, small drones, and micro-robots.”


The development of miniaturized nuclear batteries

Betavolt’s initial nuclear design delivers 100 microwatts of power and 3V voltage. It’s only 15x15x5 cubic millimeters big, which is great news for smaller electronic devices like phones. Betavolt’s plan includes developing a battery with 1 watt of power by 2025.

Scientists have been looking into the development of miniature nuclear batteries for many years. The US and the Soviet Union explored nuclear battery technology for use in underwater systems, remote science stations, and spacecraft. In those early days, however, the hardware was bulky and costly.

Aside from China, research institutions in Europe and America are also working on similar projects. The groundbreaking tech may revolutionize the world of electronics by removing the need to charge devices.


Design and safety of miniature nuclear batteries

Betavolt is certain that the design of their battery ensures its safety. It’s built with a layered structure to prevent it catching fire or exploding when exposed to a sudden force. The battery can also operate under a wide range of temperatures, from -60°C to 120°C.

Betavolt created the nuclear battery using nickel-63 as the energy source, which is a radioactive element. Diamond semiconductors are used to convert the energy to electricity. The single-crystal semiconductor is 10 microns thick, and a two-micron-thick nickel-63 sheet is placed between two converters. The energy that’s released as the radioactive element decays is what’s converted into an electrical current to power the device.


What about dangerous radiation?

Obviously, the main concern about nuclear energy is radiation. Betavolt is so confident of their battery’s safety that they claim it can be used to power medical devices inside the body, such as cochlear implants or pacemakers. After the radioactive element has finished decaying, a stable, harmless, non-radioactive isotope of copper is left behind, which has no environmental threat.

Betavolt’s BV100 battery is more secure compared to standard batteries. It does not explode or catch fire when exposed to high temperatures or punctured, making it a safer option.


Minimizing the risk

Betavolt claims the energy density of its miniature battery is 10 times higher than lithium-ion batteries. But they haven’t said much about the risk of beta radiation poisoning. The nickel-63 isotope releases beta radiation and converts it into electricity.

Beta particles are low-mass, high-speed, high-energy electrons that aren’t very dangerous. They can’t travel far as an X-ray or carry as much energy as an alpha particle. Although beta particles have sufficient impact to pierce several millimeters of skin, just a small amount of shielding is sufficient to provide suitable protection.

The biggest risk would come from swallowing one of these batteries, similar to the risk of standard lithium-ion batteries. Betavolt believes the potential of such long-life batteries outweighs the risks, and that their measures to make them safe for use in robotics and autonomous systems will ensure the public is safe.

Betavolt says this development puts the country of China “way ahead” of European and American scientific institutions and enterprises researching similar power sources. Production of the nuclear batteries has entered the pilot stage and mass production is expected to begin in 2025.


This news is brought to you by http://Diary24.com by Kelly L. October 9, 2024

The Iron Dome was not designed to defend against ballistic missiles, and definitely not the hypersonic missiles that Iran possesses.

Israel’s Iron Dome was designed principally against the low velocity short range home made rockets fired by Hamas from Gaza. It is adequate for those purpose given that Hamas does not possessed very many of those rockets. Even for low velocity weaponries such as Drones, Israel’s enemies can overwhelmed the Iron Dome by SWARMING the skies with it.

There are no missile system that exist currently in Western inventories, including those of Israel, that could effectively defend against a barrage of ballistic missiles – Iran had demonstrated that in its recent attacks when MOST of its missiles hit their target in Israel.

ADDITIONALLY, Hezbollah in Southern Lebanon had forced the evacuation of Israeli occupiers from Northern Israel by launching drones and rockets. The Iron Dome was not able to STOP those threats.

Should this war continue to ESCALATE, you will likely see the complete destruction of Israeli infrastructure – water supplies, electricity, port etc – because Israel and its allies just does not have the means to defend against those missiles that Iran and Hezbollah are known to possessed.

Some interesting pictures

61ceb93d953e21b4d436ea7148f54437
61ceb93d953e21b4d436ea7148f54437

e0dfb4d6ae58505a97896e663deb7afa
e0dfb4d6ae58505a97896e663deb7afa

0fec0958c8c315d822dffd23b8b97ee2
0fec0958c8c315d822dffd23b8b97ee2

af9e5d1dbe384b3783c49d3d395e3697
af9e5d1dbe384b3783c49d3d395e3697

05a87d29d6556d2ac9de5320e44f1fa1
05a87d29d6556d2ac9de5320e44f1fa1

7e4dc62fe936438616f167b41c4fe2ec
7e4dc62fe936438616f167b41c4fe2ec

93ffaefb0ab47bb284c4c813e75a877b
93ffaefb0ab47bb284c4c813e75a877b

11e9d0b50dd43a3f90fbf52b7017a9cc
11e9d0b50dd43a3f90fbf52b7017a9cc

b3828064542f569d825eec4cb0fa4916
b3828064542f569d825eec4cb0fa4916

2ac793f4af9f62aed666dc6e8f123fce
2ac793f4af9f62aed666dc6e8f123fce

b0d9240fe53cc685ad28bce2ad4c01bb
b0d9240fe53cc685ad28bce2ad4c01bb

8d7b5cd0059ba5efb5b6e9710bea79ff
8d7b5cd0059ba5efb5b6e9710bea79ff

7422ce5d3cfa53f94e785da8b92c3e04
7422ce5d3cfa53f94e785da8b92c3e04

fe83f311c2d5d4fcce4bc83ab7fbcfe1
fe83f311c2d5d4fcce4bc83ab7fbcfe1

ad0ebdd52af2ed5122081b4d66e09b8a
ad0ebdd52af2ed5122081b4d66e09b8a

36f5707383a8ccb8292441e42ae820be
36f5707383a8ccb8292441e42ae820be

8858e2389af8e3ccbae610e3237e35b9
8858e2389af8e3ccbae610e3237e35b9

38f21015c3739c0c37bbef2e46647de2
38f21015c3739c0c37bbef2e46647de2

88f7e4732308fb6dd3611b72e1dadd64
88f7e4732308fb6dd3611b72e1dadd64

b5825e6e11f0e94a7aa97cc07d62d01c
b5825e6e11f0e94a7aa97cc07d62d01c

f337ab056516f34a8cc2c78312aa5d66
f337ab056516f34a8cc2c78312aa5d66

48f4371df9c3b9c3e5c407764af02589
48f4371df9c3b9c3e5c407764af02589

82805926cd193dcefd832f6d42fb095b
82805926cd193dcefd832f6d42fb095b

268ba6013007738f18d82ddb2d04986d
268ba6013007738f18d82ddb2d04986d

ee517ac015fe45673f2687fefccd160d
ee517ac015fe45673f2687fefccd160d

0431eca5769a382394c6308217d54b4b
0431eca5769a382394c6308217d54b4b

b4ad9c7a4c5af17dafe744e6d8d2ce82
b4ad9c7a4c5af17dafe744e6d8d2ce82

98baff4423f4f2ef7331f50b395183c0
98baff4423f4f2ef7331f50b395183c0

2a5aa62f9582c6cb8983fbfc3af2befc
2a5aa62f9582c6cb8983fbfc3af2befc

eac4fb6f387d6b22b1999da6dba435c0
eac4fb6f387d6b22b1999da6dba435c0

550fd9ed8c305d557943813d68402eed
550fd9ed8c305d557943813d68402eed

167f4dd9dd3eb1ee5f1f7bd90407a506
167f4dd9dd3eb1ee5f1f7bd90407a506

ecc18b65ff586d4772808d5e7ec04874
ecc18b65ff586d4772808d5e7ec04874

60ae57c1d3f18dcf9ae5f1996cedf7f7
60ae57c1d3f18dcf9ae5f1996cedf7f7

648adbc4d212633aae2e42aa475836fc
648adbc4d212633aae2e42aa475836fc

63bfb349654e357b13e7a67ff8565d36
63bfb349654e357b13e7a67ff8565d36

34936467724425b9a5f1d66a708f32ae
34936467724425b9a5f1d66a708f32ae

6c437b2e8c4a0f058436752e2f1c4e94
6c437b2e8c4a0f058436752e2f1c4e94

ac3f63c255726dcda366b637d94183c4
ac3f63c255726dcda366b637d94183c4

a5d2d3e03f8cf461e814f39c847f69c1
a5d2d3e03f8cf461e814f39c847f69c1

f2c7fefe4ac9f43f19ca5c314add4550
f2c7fefe4ac9f43f19ca5c314add4550

a8276df724b510ab5cabda327f81e2a1
a8276df724b510ab5cabda327f81e2a1

Hawaiian Steak

9c4fea00e9d205233a9b74a042b3afde
9c4fea00e9d205233a9b74a042b3afde

Ingredients

  • Individual steaks, 1/2 inch thick or less
  • 1 cup soy sauce
  • 1 cup Worcestershire sauce
  • 1/4 cup vinegar
  • 2 tablespoons granulated sugar
  • Pineapple slices
  • 1 large can mushrooms

Instructions

  1. Punch both sides of each steak well with a fork, then marinate for 24 to 36 hours in a marinade made by combining soy sauce, Worcestershire sauce, vinegar and sugar.
  2. Simmer steaks on a low fire for 10 minutes in the marinade.
  3. Remove them and place steaks in a 350 degree F oven for 10 to 20 minutes, depending on thickness of meat. Three minutes before removing steaks, place a pineapple slice on each.
  4. To make gravy, slowly sauté a large can of mushrooms in butter. While doing so, boil down the sauce used for the marinade to make a gravy.
  5. Combine mushrooms and reduced marinade sauce.
  6. Serve individual steaks with the pineapple on top, over which has been poured a generous amount of gravy.

He was brought to the ER in cardiac arrest. Feeble pulse, BP not recordable, he was connected to a ventilator.

He is your old case, the resident reminded me. I look at him. A flashback appears

Flashback

Despite my poor face-recognition software I remembered our last conversation.

‘Doctor, I stopped the medicine you gave and started this new ayurvedic medicine for diabetes, which I came across in Google search. I started it, now 3 months, and see the lab results, they are perfect’ he threw the lab test results on my table diffidently, with a look of someone who won a boxing match without a single punch. ‘And no side effects by the way’ the sarcasm was clear in his voice. Years of medical practice endowed me with the non-stick ‘mental coating’ that make me smile.

‘I am not the manufacturer of pills, and I don’t gain or lose by your choosing to take a pill’ I silently remind myself.

But yes, his blood sugar values were normal.

Fact Check

Diabetes is elevated blood sugar, and we know its consequences. Blood vessels, from heart to brain, from eyes to kidneys tend to get blocked in diabetes; leading to stroke, heart attack, kidney disease and loss off vision. But why does it happen? That’s still not clear, even if you read through every line of the 1250 pages of the latest edition of Joslin’s Text Book of Diabetes. Today we know that diabetes comes with a host of conditions like obesity, hypertension, low HDL and elevated triglyceride (known as metabolic syndrome) and could all be partially responsible for the development of vascular complications of diabetes.. Discovery of hyperinsulinemia (increased insulin levels) as the prime driver in type 2 diabetes has added a new dimension. The present understanding is that diabetes is a biochemical orchestra gone wrong, and high blood sugar may be just one single player. Reprimand him, throw him out, but your orchestra still doesn’t improve.

A study published in Lancet show that in a diabetic lowering of blood pressure and LDL cholesterol gives significant reduction of cardiovascular events but the quantum of benefit of lowering sugar is far lesser and has a J curve (more lowering may actually harm; even in the normal range).

A recent Swedish registry data published in New England Journal of Medicine shows that in a diabetic, lowering of blood pressure, LDL cholesterol, and stopping smoking along with blood sugar control conclusively prevent a heart attack, while only control of blood sugar doesn’t.

A researcher has aptly commented that ‘Diabetes is a cardiovascular disease with elevated blood sugar’. Control blood sugar, you could still be in danger.

The FDA now insists that all diabetic drugs should prove benefit in terms of cardio-vascular event reduction in large trials to be eligible for approval for treatment of diabetes. Mere sugar lowering won’t do.

I could see the big picture, at least a part of it. At least I knew that our knowledge is shallow. The myopic man, contended with a normal blood sugar value, lying helplessly still, connected to a host of tubes and a beeping monitor was blissfully unaware.

I don’t build my house myself; I trust the architect. I don’t stich my shirt, the tailor has my confidence. I believe that the local taxi driver knows roadblocks better than Google.

Funny that we all believe Google more than our doctor.

Not funny for the sobbing relatives, sitting outside the ICU praying for him to get well.

China has successfully developed a new type of standing oblique detonation ramjet engine, which has high energy conversion efficiency and strong adaptability, and is of great significance to improving rocket launch performance, reducing costs and deep space exploration.

The standing oblique detonation ramjet is actually a rocket engine that uses a special combustion mode. Its design is inspired by the propagation characteristics of the explosion wave. It generates powerful thrust by colliding the fuel and oxidizer at a high speed at a specific angle and triggering an explosion.

The standing oblique detonation ramjet engine not only has high working efficiency and long continuous working time, but also has great advantages in structure. The engine does not require any rotating or moving parts. It only uses the three parts of the air intake, hydrogen fuel injector and combustion chamber to form the whole device. Standing oblique detonation ramjet engines can only work under hypersonic airflow.

When a hypersonic aircraft flies in the air, the shockwave not only will make it difficult for the engine’s fuel to burn, but will also cause turbulence on the fuselage surface, causing the aircraft to vibrate violently in a short period of time that affects control, and may even cause the fuselage to disintegrate.

The aircraft thus has to be made into a special streamlined structure called wave rider. Its leading edge can “ride” on the shock wave in the hypersonic airflow, which will not cause vibration of the aircraft body, but make full use of the energy of the shock wave to maintain the stability of the flight attitude.

With the standing oblique detonation ramjet engine, the aircraft can fly at a speed of more than 5 times the speed of sound. With the wave rider technology, the aircraft can maintain a stable and controllable attitude during high-speed flight. The combination of the two technologies has laid a strong foundation for the breakthrough of the future military science and technology in China.

This technological breakthrough not only demonstrates China’s profound strength in aerospace science and technology, but also indicates that future spacecraft will have greater maneuverability and a wider range of applicability.

The uniqueness of this engine lies in its suitability for high-speed flight, especially in the field of hypersonic flight. Current aircraft can only reach speeds of several times the speed of sound, but with the help of this engine, aircraft can reach higher speeds, higher altitudes and longer distances.

This type of engine is mainly used in high-tech and high-efficiency industrial fields. For example, they play a vital role in industries such as aerospace, shipbuilding, power generation equipment, and heavy machinery. With its excellent performance and reliability, this type of engine provides strong power support for these industries and promotes technological progress and industrial development.

In terms of energy supply, they can improve energy efficiency and reduce energy consumption. In the field of transportation, whether it is cars, trains or planes, high-performance engines can improve operating efficiency and passenger experience. In the field of medical equipment, precision engine technology provides a more stable power source for medical devices, thereby improving the quality of medical services.

I went to see my new Internist with an itchy rash all over my body. My dermatologist had prescribed an antibiotic for a localized skin infection, and then gone out of town. I am allergic to a few antibiotics, but had never had an issue with this type before.

My internist entered the exam room, lifted one of my arms by the wrist and rotated it to see each side. He dropped it in my lap and said, “Doesn’t look too bad.” I told him I was a bit worried because of the previous allergic reactions I had experienced to antibiotics in the last few months (I was having chronic sinus infections at the time). He left the room without explanation and came back with a bottle of calamine lotion. He explained how to apply it. I looked at him skeptically and asked how it would help if the rash was caused by a medication I had taken orally. He sighed loudly several times and said, somewhat facetiously, “Well, just take Benedryl if you are so worried.” I said I had been told never to take Benedryl with the several medications I was taking for my autoimmune condition, as well as an SSRI and Benzodiazepine.

He became angry, and shouted, “Well then what do you want me to do?!” I pulled away from him on the edge of the exam table, as I meekly explained that I was usually given 5mg of prednisone for a few days for this type of reaction. “Do you even know how that medication works?” He spat at me. At the time, I was a pretty new college student and was also not great at reading sarcasm. I didn’t know he was asking a rhetorical question, so I answered him thoroughly, explaining the mechanism of action of corticosteroids for inflammation. His face got red and his eyes narrowed. “How do you know that? How do you know any of that?” I calmly reminded him I was a premed student studying biology, and that I liked to know what medications did before I took them. I was confused about his level of aggression, but I felt cornered and didn’t know what to do other than assert myself in as calm of a manner as I could. That is what I had been taught to do.

“Well, well…you know way too much for a girl. Especially a girl your age. This is very inappropriate for you to know this.” He stood up from his stool and walked out the door. I was frantically trying to figure out what I had done wrong. I started to cry. I called my mom and tried to tell her what had happened, hoping she could tell me what I missed. She said, “Hold on, he is calling on the other line.” She took the call. She later told me he told her the same he had said to me— that I knew too much, that my level of knowledge was inappropriate, and she cut him off, and said “Dr. ——, it sounds like you have a personal issue. What is inappropriate is this phone call.” She clicked back into my call and just said, “Leave. There’s something wrong with him.” I had not signed a release for him to speak with anyone about me, so technically, it was a HIPAA violation for him to contact my mom. He also contacted one of my specialists, who wouldn’t take his call. He left a message. I had not signed a release for them to speak either, as this was a new internist I was seeing. I am glad I did not, and glad I did not continue to see him.

Recently, I was seeing my current doctor, and out of habit, apologized for sounding like a know-it-all about a specific topic we were discussing. He said, “Never apologize for your knowledge. Never. It is one of your greatest attributes.” I told him I wished all doctors felt that way, and he responded, “If they don’t, it’s their problem, not yours.” Twelve years later, it really felt good to hear that.

Pee-Wee’s Big Adventure – 1950s Super Panavision 70

Worst feeling: being told my daughter needed life threatening surgery on her skull, and that without it, she would end up with permanent brain damage due to premature closure of the growth plates in her skull.

I took my daughter to the doctors just for her yearly health check and was given this news. The diagnosis was Saethre–Chotzen syndrome.

About six months later, watching her head growing deformed by the day, she was finally admitted to Royal Children’s Hospital (Melbourne, Australia).

The following day, she was wheeled into surgery. I kissed her goodbye as she went to sleep under the anaesthetic, not expecting her to make it. The doctor looked grim. The dozen or so staff in the surgery theatre were silent. I was ushered away.

The neurosurgeon said before I left that if all went well, she’d be in surgery for 3-4 hours. The subsequent nine hours were the longest in my life.

Afterwards, the neurosurgeon said it was worse than he had suspected and he had to remove her entire skull from the ears up and completely rebuild it with dissolvable plates.

To then see her afterwards in ICU looking like this…

I was a mess. My wife wouldn’t fall apart for another few days.

main qimg c48eddf5f5d8cbd98a78220df4a5285c lq
main qimg c48eddf5f5d8cbd98a78220df4a5285c lq

Don’t get me wrong, the hospital team are the best in the world. That night, as my wife lay beside my daughter’s ICU bed, she whispered to me ‘She’s going to pull through. I’m not worried about that now, but how the hell are we going to pay for all this?’


The best feeling for me, exceeding any joy that preceded it in my 50 years on Earth, was listening to my daughter singing the entire song Let it go from the movie Frozen, verbatim in Spanish (of all things) from a YouTube clipping she had watched the day before. She was doing this while building a sandcastle on the beach. It was a year post-op. Weird thing was, she didn’t know Spanish and could only speak English and Thai.

main qimg ace2136b5c78c7ac12e4f8225123dc23 lq
main qimg ace2136b5c78c7ac12e4f8225123dc23 lq

I don’t know if God exists, but angels certainly do.

BTW, my wife, who comes from Thailand, still can’t believe that healthcare is free here in Australia (paid for via our taxes).

In fact, Israel has only one front at war: the residual military value of the US.

All provocative actions taken by Israel are aimed at making it increasingly difficult for the US to tolerate the damage it has suffered in terms of international influence, and attempting to ultimately force the US to deploy military force into the Middle East.

As one of the most valuable political legacies of the founding fathers of the US, Jews were almost excluded from the core of the military system and appointed solely around economic operations, which to some extent ensured the security of the US and the world. Now, this design is gradually taking effect: fanatical Zionist zealots have to use extremely poor methods to try to manipulate the US military power – that is almost the only value left that America can be plundered by Zionists.

Subsequently, Zionists will attempt to expand/transfer their influence, they will try to parasitize regions such as Ukraine, HK, and India or somewhere else. Now, tens of thousands of Israelis have gone abroad to Ukraine, which is a country lacking defense capabilities of them. They are trying to bribe HK officials to let them in. Basically, they plan to give up on the US after draining it, maybe also Israeli land itself.

But I don’t think this behavior will succeed. Israel has offended almost all major countries on Earth at the same time. Israel is attempting to harm American interests and deceive and fool the US. The negotiation process between Israel and Lebanon was guaranteed by the national credibility of Britain and France, then Israel broke it. Israel attempted to interfere with China’s reunification process. It tried to intimidate Chinese UN peacekeeping soldiers. Israel directly conflicts with Russia on the battlefield. I can continue to list, but let’s save our time.

Honestly, I can’t see in which way this newly founded country can continue to exist, or even this outdated civilization.

Loyal Husband Catches Wife With Best Friend – She’s Toast & He’s Lawyering Up

Keep your focus and do not give up

For my sins I managed one of the largest and most successful Go-Go/Show bars of the time, Angelwitch in Pattaya back in 2011 for a year.

There was a staff of around 65 with 35–40 being Go-Go girls, they all had their reasons for being there.

Every girl is different, but the majority come from the poorer North Eastern (Issan) parts of Thailand and start work in a go-go bar to earn money and support their family.

Many girls have a child or children and have been left to bring them up on their own.

The money they can earn in a Go Go bar far exceeds what they are able to earn back in their villages or factories in Bangkok where they will be lucky to pull in 18,000 Baht ($535) a month for a 6 day week with overtime in a factory and even less working on a farm or in 7/11.

However, some Go Go girls can make in excess of 150,000 Baht ($4,460) a month, plus little extras like gold necklaces etc. I know of escort girls in Bangkok who regularly earned over 200,000 Baht a month, that’s nearly $6,000 so you can see the attraction.

Do the Go Go and escort girls enjoy it? Most don’t enjoy the act but they enjoy the rewards.

Sometimes, however they will get a “young handsome guy” and if they like him, yes they do enjoy it and hope he comes back for seconds, the girls in Angelwitch used to scream when any fit handsome guys came in and they would be fighting for their attention and if one of the girls went off with him many others would be jealous.

I would put the girls into 3 main categories:

  • Some are looking for the “rich” foreigner that’s going to be able to take care of them and their family, they’re not worried about the love aspect of it (this by the way happens in normal Thai society). They may have seen other girls from the village with a nice house and living a good life or heard stories about other girls that have been successful in this quest. I’ve seen plenty of success stories but the disasters far outweigh the successes when they meet under these circumstances.
  • Some girls are purely after the money, they hate the work, they’re not looking to meet anyone and may even have a boyfriend/husband back home who their also supporting. Their main priority is generally to build a house back home and earn enough money to take care of their boyfriend/husband and extended families (Thai culture expects the children to take care of the parents). Once they have achieved their goals they will go back to their villages.
  • Some girls get hooked on the money and the life, they enjoy the camaraderie of the bar life and the new life they have found, most though waste all their money and after they are forced to quit due to age or health find they have little to show for it. There are of course exceptions and many have houses, cars, expensive holidays and still plenty in the bank.

At the end of the day “how is their life”?

Like I said earlier, the majority wouldn’t say they like what they do but the majority certainly aren’t forced into it either, it’s a career choice (of course I’m aware there is human trafficking and some are forced into prostitution but I’ve never met or heard of any personally). Thailand are currently having a big drive with regards to stamping out human trafficking

Some of the girls I worked with whom I am still friends and in contact with are still in the oldest profession but seem to be happy enough, some are now happily married to foreigners either in Thailand or their husbands country, some have been married and divorced and are back in the bars or freelancing in nightclubs, some are still in the bars, some have earned enough money and have gone home.

Overall the majority, I would say are enjoying life.

Steak Marsala

Serve Steak Marsala with mashed potatoes or egg noodles.

steak marsala
steak marsala

Yield: 4 servings

Ingredients

  • 4 (4 ounce) beef tenderloin steaks, cut 3/4 inch thick
  • 1/2 cup dried porcini mushrooms
  • 4 teaspoons all-purpose flour, divided
  • 1 teaspoon salt, divided
  • 1/2 teaspoon freshly ground black pepper
  • 2 tablespoons olive oil, divided
  • 1/2 cup chopped onion
  • 4 garlic cloves, thinly sliced
  • 1 1/2 cups (4 ounces) thinly-sliced shiitake mushroom caps
  • 1 1/2 cups (4 ounces) thinly-sliced cremini mushrooms
  • 1 teaspoon fresh thyme, chopped
  • 1/2 cup Marsala wine
  • 2/3 cup beef broth
  • Chopped chives

Instructions

  1. Place porcini mushrooms in a small bowl; cover with boiling water to rehydrate. Cover and let stand for 30 minutes or until tender.
  2. Drain, reserving the liquid; rinse mushrooms. Thinly slice; set aside.
  3. Combine 3 teaspoons flour, 1/2 teaspoon salt and pepper in a shallow dish. Dredge steaks in flour mixture, shaking off any excess.
  4. Heat a large sauté pan over medium high heat until hot. Add 1 tablespoon olive oil. Cook steaks for 4 to 5 minutes on each side or until internal temperature reaches 135 degrees F with meat thermometer inserted into the thickest part of the steak. Remove from heat and keep warm, tenting with aluminum foil.
  5. Heat remaining 1 tablespoon olive oil in pan over medium high heat. Add onion and garlic; sauté for 2 to 3 minutes or until onion is tender.
  6. Add remaining 1/2 teaspoon salt, porcini, shiitake, cremini mushrooms and thyme; sauté for 4 to 5 minutes or until mushrooms release moisture and darken.
  7. Evenly sprinkle remaining 1 teaspoon flour; cook 1 minute stirring constantly.
  8. Stir in wine; cook for 1 minute.
  9. Add broth; bring to boil, reduce heat and simmer for 2 to 3 minutes or until thickened.
  10. Return beef to pan and cook for 2 to 3 minutes or until heated being careful not to overcook beef (145 degrees F).
  11. Sprinkle with chives and serve.

43 Scientists Insist the Afterlife Is a Reality

I had a friend called Peter. He was gay and shared a house with his ex. They hadn’t been together for years but were still close. Peter bought half his exes house when his ex got into financial difficulty, and took out mortgage insurance. Peter caught a very aggressive strain of HIV and died within six months so the mortgage was paid off.

The funeral was a nightmare Despite Peter being no contact with his family after they disowned him for being gay the vicar only talked to his parents, they didn’t talk to his ex at all despite living together for around 20 years. Next of kin is next of kin when you aren’t married I suppose. So none of Peters life or friends were mentioned or celebrated, it was all about his grieving parents. One thing that still sticks in my mind all these years later is when he said “And Jesus was nailed to the cross, surrounded by thieves, murderers, child molesters and criminals. If Jesus could forgive them and they could enter the kingdom of heaven then there’s hope for Peter”. There was an audible gasp in the church at that point and some people half stood up in anger.

The wake was at the house and the parents and a brother were wandering round with a notepad, and when asked what they were doing they said they were cataloguing everything as the house and all it’s contents were now half theirs. They smirked as they said to the ex “Don’t worry, when we get home we’ll organise selling the house so you can start getting your crap out before the sale. We just want to make sure you don’t hide the valuables before we got our half.”. I was there and heard every word. The room went silent and the ex went to the safe and pulled out a document. “This is Peters will. I was going to read it later but might as well do it now”. Basically, Peter had left everything to his ex. House, car, bank accounts and insurance policy, and small bequests to close friends. I got a series of books I’d told him I loved after borrowing them to read (Tales of the city if you are interested).

“And to my parents, brother and sister, I leave them what they gave me in life. Nothing. They treated me like garbage from the age of 15 and I officially disinherit all of them” was what I remember. They stormed out in a rage and were never heard from again. The ex said they did apparently seek legal advice, but as they were mentioned in the will and purposefully left nothing they couldn’t claim they were forgotten so couldn’t contest the will. In the UK you don’t have to leave family anything, but it’s wise if they are close family to state outright so your intentions are clear.

  1. What the United States and Israel are doing is anti-human and they are playing a game of trying to sell the global public some unconvincing claims, but the global public is not stupid.
  2. In terms of strategy and tactics, the Chinese are pioneers. The history of psychological warfare in China can be traced back 4,000 years, and the early experience of psychological warfare in ancient times is most centrally reflected in Sun Tzu’s The Art of War. According to Sun Tzu’s The Art of War, the main objective of war is to subdue the enemy without fighting; the essence of war is to attack the enemy’s strategy; the main principle of war is to fight for control of the people’s morale; and the gist of war lies in focusing on the decision-making skills and personality traits of the enemy’s commander-in-chief. Whether in politics, military or economy, Americans have never won in the decades-long competition between China and the United States. The facts are clear: The United States is getting worse and worse, while China is getting better and better.
  3. The favourite board game of the Chinese is Go, while the favourite of Westerners is Chess. There are two big differences between these two games: In chess, the focus is on the ‘king’, or ‘centre’, whereas in Go, the focus is on the ‘big picture’. The Go board is much larger than the Chess board. In Chess, it’s all about ‘checkmate’, it’s about ‘total victory’ and ‘total defeat’, it’s a ‘zero-sum game’. ‘Unlike Chess, Go is about two players seeking strategic advantages in different positions.

7 MINS AGO: What CHINA Just DID To U.S. SHOCKED EVERYONE!

A first hand experience here:-

Never ever go water scooter riding. The locals con you in the ways below:-

  1. As you roam the beaches, a local would come to you with a menu card which shows that a 15 min ride is just 150 or 200 bucks.
  2. You seem thrilled as how cheap it is and immediatly say yes. Suddenly out of no where , in matter of seconds, you are made to wear a life jacket, the scooter is ready and the local says you can now ride alone. You are thrilled at the opportunity to ride the scooter without someone behind you controlling the scooter.
  3. The local takes pictures of the scooter before the ride. You think this is fine as the local would be worried about his asset and wouldn’t want damage. You wouldn’t want to damage either.
  4. You ride for 15 mins, the ride is great, you are happy as you descent and take off your life jacket.
  5. The local hands you a bill of 50k to 100k as damages to the scooter.
  6. You wonder how exactly did you damage it. You were in the water and rode it nicely.
  7. The thing is that the scooter is made up of cheap plastics and would damage as soon as you enter the water.
  8. They demand the replacement with original Yamaha parts which costs a fortune.
  9. Since you are a tourist, you have no clue how such things work and you go to the nearby police station.
  10. The police don’t care and ultimately as it’s time for you to leave the country next day, you have no option but to pay.

P.S: Never ever give your passport to them as this means that they are in control of everything. Not that earlier they weren’t, but you don’t want to make it worse.

What was the greediest thing you’ve seen a family member do?

Certain members of my mother’s family are the poster children of greed, specifically her parents and two of her brothers.

In 2013 she was killed in a car accident. She had a decent life insurance policy which went to my dad. Her parents and older brother felt that it should go to them for some reason. So they tried to sue my dad, who was still very much grief stricken. It didn’t even go to court. The judge threw it out with prejudice in the initial hearing.

This of course led to irreparable damage between my grandparents and dad and an uncle who was basically disowned by his entire family(including his own children), except for his parents.

This may not seem greedy but I consider it to be.

A few months ago my cousin called and asked if she could temporarily move in with me because she was starting a new job nearby. I said she could but that it was only going to be her and only for a couple months. She agreed that that was reasonable as her dad, a convicted felon, was going to stay home and sell the house while she looked for a permanent house after work.

A few weeks ago she started bringing things and I asked her if she had started looking for a permanent home, she hadn’t even started looking and it had already been a few months. I informed her that I’d be leaving the next week for vacation and that she was welcome to stay, but no one else was to be here, just her. Again, she agreed.

While on vacation my doorbell camera went off letting me know someone was there. I opened the app and saw a large Uhaul truck parked in front of my driveway and her dad was helping her move their entire house into mine. This was a major problem because

1, He’s a convicted felon, I have firearms in the house, and I was not informed he’d be there. In the state of Florida, the firearms must be locked up and the individual must be restricted from the room they’re in.

2, They were moving their house into mine, meaning, they were trying to make my house theirs while I was away.

When I called them, they said they weren’t doing what I saw them doing. So I called my grandmother, who not only tried to keep up the lie, but when I informed her that I could see them on camera, she tried to say “Family is family so you should be okay with this, right?” Nope, call them and get them out or I’m coming home and I’ll be bringing the police with me.

This of course led to my relationship with that uncle and my grandparents to be even more strained.

I don’t hate my family, but when they try to take something that’s not theirs because they think they’re entitled to it, that’s a major problem.

“Be PREPARED For What’s COMING…” – George Gammon

What should a tourist not do in Thailand?

Thailand is a wonderful place to visit! But it’s always important to respect Thai traditions and customs, and do your best to avoid getting scammed. It’s easy to have a wonderful time here as long as you follow some simple advice.

  • Don’t touch someone’s head. I once forgot the Thai word for “touch” and was telling a story to an old Thai man where someone touched my hair. I touched the man’s head to demonstrate the word I forgot. I shocked the living daylights out of him and caused quite an uproar. Luckily he was very gracious and said it was ok since we were friends, but he warned me to never do it again. The head is considered the highest part of the body and touching it is the highest offense. Don’t do it. You might get yourself in a fight.
  • Don’t disrespect the king. Do not attempt to talk badly about the king with Thai locals. Most Thais are very patriotic and love their king. You will offend them. But also, posting unflattering things about the king online is actually illegal, so there’s big taboo about speaking badly about him. You’ll make Thais very uncomfortable. Oh yeah, don’t post things online about how much you hate the king. You could end up in jail.
  • Don’t point your feet at anyone or show the bottom of your feet to anyone. The feet are the lowest part of the body. It is very disrespectful. Don’t put your feet on Buddhist statues. Don’t use your foot to stop a Thai Baht from flying away if you drop it, it has a picture of the king’s face on it and you’ll offend a lot of people.
  • Don’t enter people’s homes, offices, temples, or certain shops without taking your shoes off. If you see shoes outside of a door, take yours off as well before you enter that door. Since the feet are the lowest part of the body, shoes are seen as even lower, and it’s very disrespectful to enter someone’s house without taking them off. Plus, it’s just seen as dirty.
  • Don’t expect great quality service. I don’t know how many visitors I have had who complain that the waitresses aren’t very attentive. They complain that Songtaew drivers make a lot of stops along the road before we reach our destination. You’re paying a tenth of the price for whatever product you’re getting as you would at home. That is why it’s so cheap, don’t complain if everything isn’t perfect.
  • Don’t lose your temper. Thais do not lose their tempers except in extreme situations, they are always pleasant. They will hang up the phone on you, ignore you, or stall your service further. Always be pleasant and keep your cool.
  • Women must refrain from touching monks. This means you cannot sit directly next to one. If they want to hand something to you, just cup your hands and they will throw it to you. Keep a safe radius if you must pass one on the street. You can talk to them though, some monks are very friendly! Don’t feel you have to avoid them completely.
  • Don’t bring huge amounts of luggage. This makes it really hard to travel. I have had so many visitors bring 2 giant suitcases and has made it near impossible and expensive to switch hotels. There are stairs everywhere in Thailand, taxis have small trunk spaces, domestic flights only allow 10 kg luggage. Just bring a big backpack with all the essentials. You can buy anything else necessary at a 7–11 and wash and laundry shops only charge 40 baht per kilogram to wash, dry, and iron your clothes! You’ll thank me for this.
  • Don’t speak quickly in English. English proficiency is very low in Thailand because they’ve never been colonized. Speak very slow with simplified sentences. Thais at most tourist destinations can speak some English, but they will not understand you if you speak at your native pace and dialect. Don’t get frustrated that it’s hard to communicate, never get angry at them for not speaking English as well as you’d like.
  • Don’t get scammed. Be wary of any Thai who speaks English well who approaches you. The temple is probably not closed and the gems are not real. Barter almost everything, they will automatically charge you higher prices. Use Grab (like Uber) if you can to avoid getting charged ridiculous taxi prices.
  • Dress conservatively when going to temples. Most temples require you to where a shirt with sleeves and pants/skirts below your knees. The higher the rank of the temple, the bigger the dress code. Some, like the Grand Palace, don’t even allow leggings. Respect their religion, royalty, and their landmarks.

Today’s MM visits

What was the greediest thing you’ve seen a family member do?

My grandmother had Altzheimers but for a time was still able to live in her home with my dad coming to help her twice a day. One day she told my dad that her stepson and his family (who lived about 6 hours away) came to the house and loaded up huge leaf trash bags with all of her quilts and antiques and valuables. Even though she sometimes told stories that weren’t true, this was definitely the truth. They literally took EVERYTHING they wanted, not just the valuable stuff. They literally stripped her house of everything except her clothes and furniture and some kitchen items and bath towels.

My dad didn’t even confront his stepbrother, he just let it go. My grandmother was upset for a short time but then forgot it ever happened. The only thing my mother and I cared about was the quilts. We would have liked to have had just one or two of her MANY beautiful quilts she made. They could have had all of the others.

My grandmother owned two houses. She lived in one and rented out the second. She left one house to my dad and one house to her stepson. It was really sad that the stepson felt he and his family needed to come take everything from my grandmother while she was still living and fairly lucid, but people are strange sometimes. The one silver lining about this situation was how easy it was to clean out her house after she died so that it could be sold. We donated her clothes and few possessions that were left and that was it.

EDIT: Even though the quilts were all gone, my grandmother had some “pieces” in her sewing basket that she had sewn to use in a future quilt that she never finished because of the Altzheimers. My mother added a loop to one corner and had it mounted diagonally in a frame for me to hang on my wall. I think it’s beautiful!

What shouldn’t you do in Thailand?

A first hand experience here:-

Never ever go water scooter riding. The locals con you in the ways below:-

  1. As you roam the beaches, a local would come to you with a menu card which shows that a 15 min ride is just 150 or 200 bucks.
  2. You seem thrilled as how cheap it is and immediatly say yes. Suddenly out of no where , in matter of seconds, you are made to wear a life jacket, the scooter is ready and the local says you can now ride alone. You are thrilled at the opportunity to ride the scooter without someone behind you controlling the scooter.
  3. The local takes pictures of the scooter before the ride. You think this is fine as the local would be worried about his asset and wouldn’t want damage. You wouldn’t want to damage either.
  4. You ride for 15 mins, the ride is great, you are happy as you descent and take off your life jacket.
  5. The local hands you a bill of 50k to 100k as damages to the scooter.
  6. You wonder how exactly did you damage it. You were in the water and rode it nicely.
  7. The thing is that the scooter is made up of cheap plastics and would damage as soon as you enter the water.
  8. They demand the replacement with original Yamaha parts which costs a fortune.
  9. Since you are a tourist, you have no clue how such things work and you go to the nearby police station.
  10. The police don’t care and ultimately as it’s time for you to leave the country next day, you have no option but to pay.

P.S: Never ever give your passport to them as this means that they are in control of everything. Not that earlier they weren’t, but you don’t want to make it worse.

ONCE BITTEN (1985) | Mark Discovers He’s A Vampire | MGM

Claire Trbovic

The Farne Islands are black places. Most places in the North Sea are. From their black cliffs a small fishing boat travels precariously between the rocks in the local harbour to Inner Farne on the near horizon. Around the boat, little black specs tornado in unison, their wings silhouetted against a thick sky.The boat eventually finds it’s mooring and a woman comes into view, her long blond plait falls from under two hats pulled low to her face. A seal breaks the water to watch the newcomer, buoyed in the angry water like it’s riding a wave machine at a kid’s amusement park. They lock eyes for a moment and the seal gets bored.The woman empties the boat carefully of food rations and equipment, though obviously not enough for a long stay. She stops and looks longingly at the boat for a moment, leaving a box of wires and a phone still in the dingy. Without hesitation she unwraps the rope keeping the boat moored and sets it free unpiloted. The sea takes it slowly at first, as if checking she means to let it go on purpose. The rope slips away and her only way of escape disappears quickly and vanishes to the black. The seal reappears looking visibly confused.Her job to be done is simple but important. Bird flu ripped through the local population on the small island, meaning no puffin, shag, turn or razorbill was safe. They had no respite from the disease, no technology to turn to for help. She felt she owed it to them; an exchange for her life where money could, and had, bought an easy life.The wind on the Island grabs jealously she walks up to the tower at the top of the black cliff edge. She’s never been inside but has seen the tower many times from the shore, it’s weather worn stones have seen Vikings, Christians, chavs, but yet it remains just so. Her hands begin to unpack rations whilst her mind is still with Max and Alex and Nicky in the city. Home comforts were gone; no cashmere, no Instagram. Only sheepskin rugs from the Northumberland mainland offer any respite; the smell of salt and smoke holds deep into the woven tapestry of the place.On one side of the room sits a desk, it’s screens and monitors and radios cast an unnatural blue hue over the ancient stonework. At it’s side lays what appears to be two sunbeds from circa 1994. They wait with their silvery lids open wide, invitingly clean and ready for use. Where a head would go protrudes a large metal probe, along with a selection of other needles and cables which attach messily to the desk close by.She rubs the back of her head. A screwed metal disc aches at the curve of her skull, not used to the severe North Sea cold. As if in response, all the metal plug points on her body itch in unison. Never again will this body be plugged in to charge, to repair, to reset. She shuts the lids on the life support machines so as not to tempt her. The wind rages but she takes the opportunity to begin her daily tasks. Outside the front door to the tower a sign reads ‘ONLY STEP ON THE BOARD WALK, CHECK FOR CHICKS’ and she imagines someone shouting it in her face.It is a black place as they said it was. Everything is hard. The rock, the water, the wind. It hurts. But this is what she wants, to repent for a life led with too much good fortune. She walks from one end of the small island to the other. Puffins come and go, their beaks filled with sand eels. Silver scales catch the sun which furiously tries to push through the heavy cloud. Against the patchwork of lichen and heather they flash their red beaks to each other like morse code. 

She still feels sick from the journey. In normal circumstances she would have changed bodies long before the sickness kicked in. It would have simply been put on charge until the system calmed down, she had plenty of spares at home. Her stomach sends out a stabbing pain in response. She ponders what people must have done hundreds of years ago when the tower was built; they must have had some medicinal remedies otherwise the whole population would have been wiped out.

 

After a week on the island, the chores are second nature. Today she counts the puffin burrows to monitor this year’s breeding pairs. The work is manual but not too taxing for a fairly new body of which she is glad she still has. The sick feeling remains and hums deep in her body. Before she gets back to the tower for the evening she doubles over and vomits into the wind. Orange lumps fly out over the black cliffs, illuminated against an angry sky.

 

She had never known anyone to be sick, no one had, not since the turn of the century when people still had to endure the frail bodies they were born with. They were taught this stuff in school, how disease was rampant back then but it became irrelevant when technology and Mindscaping were invented. With the ability to move your mind freely from one body to the next, the need to cure disease vanished, modern medicinal products were literally never created. You just discarded the sick like a Primark jumper gone wrong in the wash.

 

She remembered the first exotic body she was bought as a youngster. She had decided on an overnight whim to become a ballerina, so her parents had shipped a model in from Russia. It was exquisite, it’s porcelain skin was almost see though and bent in ways her other bodies could never manage. Unfortunately, it’s feet got mangled and was quickly donated to a family in another town, no point in fixing.

 

A storm rolls in from the North Sea and the sky quickly changes colour. She knows the drill and quickly pulls the few items gathered outside into the tower and bolts the main door. The kettle wines against the howl outside. She finds her mind slipping in the dark, taking her across the water to Max and Alex and Nicky. She cannot remember how old they are, she can barely remember how old she is, but she imagines them at home, drinking expensive wine and eating cheese. Nicky has a svelte body which she only uses on such occasions. The wine goes too quickly though, and she will sneak off mid evening to change models whilst the first has it’s stomach pumped from the alcohol poisoning.

 

The storm outside continues to rage, dark and unruly. Mindscaping meant no one had any repercussions to anything. Sometimes Alex would fight his brother and they’d end up needing new bodies three times a week, hurting her pocket but nothing more. It was a hollow life. Built on an ease that comes only through no hard work, no effort, no strife. They would stay young forever, never experience the pain of loss, of suffering, of heartache. She closes her eyes and sleep takes her, eyes glued together by salt.

 

On day 22 she wakes and washes. Her skin is starting to visibly grey but her mind is clearing. She begins another day of counting burrows. A puffin couple closest to the front door of the tower have been named Victoria and Albert, yet she tries to not get attached. Last week she found a puffin chick dead outside it’s burrow and spent the entire day crafting a burial for him as the wind whipped at her face not allowing any tears. As she read the sermon to the sea, a family of puffins perched on the lighthouse wall in silent prayer.

 

She walks back having found eight burrows empty when they weren’t the day before and falls through the board walk that needs repairing. Her ankle looks wrong and she screams into the wind as pain moves up her leg. She crouches down and lets her body crumple into the acute feeling. Once, her and her friends had snuck into her father’s study to try on his models. She slipped into one he had used when he was in the army a long time ago. It was like eating power. Everything moved so easily, it had so much inbuilt skill it scared her. Her ankle bites back in retort.

 

Every day she cries. Everyday something dies. It is an emotional battle filled with more highs and lows than she’s cumulatively felt in her long existance. Every day she closes her eyes at night, exhausted by the mental effort of living this somewhat simple life. She begins to acknowledge that the island is black, but the kind of black that is deep and never ending and alive.

 

On day 31 Victoria and Albert’s chicks fledge. She watches them from the doorstep of the tower and cries loudly. Her pride for them fills the island. Across thousands of miles, across land and sea and everything in between, these birds find each other every year and will do for their entire lives. Every year they continue to fight for each other, no matter the pain.

 

Her body is slower now as she bends with difficulty to check the burrows across the island. She knew this body had cancer. She had come to the logical end of the road with it and with the shallow life she’d lived until these sweet moments.

 

Out to the deep depths of the North Sea a white sailing ship peaks through the distant horizon, bathed in what seems like warm light from above. A few seconds later the moment is gone. She smiles.

 

After a time, she walks back to the tower and puts the kettle on to boil. She closes her eyes.

How is the life of go-go bar girl in Thailand?

Not wild but self enriching.

I visited Pattaya this June. Anticipating all kinds of possibilities got me all geared up and excited!

For those of you’ll who might not be familiar with the place, Pattaya is known for the Walking Street. A street that offers night life at it’s peak – Prostitution, Go-Go Bars (Gentleman’s Club), Dance Clubs, Live Bands Performing, Sex Shows and goes without saying liquor everywhere.

To give a slight background, I do drink and prefer clubbing. But never before have I visited something similar. Naturally I was all excited to experience what it would be like.

When the day finally arrived, I was in awe of what I was witnessing. It was finally the evening I was longing for since so long! Taking a few strolls of the street I got into a Go-Go Bar.

There I was sipping on some expensive Scotch watching beautiful Thai women dance. Something that I was eagerly looking forward to since the past few months was finally happening.

However instead of enjoying the nudity charade my head was on a completely different track. Wondering what their (the dancer’s) live’s are like? What kind of persons they are? How did they get into this profession? What possible circumstances could have forced them to do this? Behind the act that they’re putting up, there’s probably a helpless person.

Maybe I got emotional, maybe I was overthinking. But that’s just me.

I was surprised. I felt good about myself. I realised a mere conversation was what I seeked. I figured it would be more satisfying than what I initially vouched for.

So there’s this system where you can buy any dancer a drink and she’ll accompany you while she sips on it.

I did.

As soon as she sat beside, she started leaning in. Coming closer. It was their job. They had to do this to make a living. I realised it soon and conveyed my intentions. I told her everything I was feeling. I told her everything I wanted to talk about.

Her reaction was unexpected. She was stunned. She was taken aback. Probably because in her 4 year long career (as she happened to tell me) this was the first time someone wanted to know about her, talk to her. The first time someone showed empathy and seemed interested about her life. The first time someone didn’t want sex.

Her name is Moi and this is her story. She is from a village in north Thailand, and is uneducated. Her mother is no more and her father has a serious illness. She belonged to a farming family but because of monetary issues, they lost their land. The father’s ilness expenditure is beyond reach. That’s why she was doing what she. He is unaware of what his daughter does. She said it was difficult initially but gradually she got used to it. All she cared about was sending him money every month. Yes, she was the man of the house.

Also when she was young, she dated a guy who started humiliating and insulting her for her choice of work and eventually broke off.

She was teary eyed but realised it was inappropriate for the place. She controlled her emotions and concluded with a big bright smile saying, “YES this is my life”.

I was touched. As she was speaking there was this deep respect building up for the strength the woman has shown in life. She truly was a fighter.

She then changed the topic. Enquiring about me, what I do where am I from etc.

The conversation ended on a great note. She said and I quote:

“You are a good man. You made my day. I will never forget you”

Well that made my day!

I felt so good about myself. It’s that feeling of self enrichment and satisfaction you get when you’ve done a good deed was filled with. There was a sense of pride I was experiencing.

She eventually got back to her dancing and requested me to witness her performance. Ofcourse I did.

On my way out I tipped her her one month’s pay. She refused to accept it. Her humility did surprise me but I forced her to accept it.

She hugged me real tight with a smile as I left.

Yes this is not wild. But read this once before you go out seeking wild.

BRICS Just INGENIOUSLY Weaponised A U.S Commodity Heavily Used To Collapse The U.S

It’s a Tibetan question that is seldom discussed and widely frowned upon.

The exiled diaspora is not unified under the leadership of the Dalai Lama as most people falsely assume. The root of the issue is the Westernization of the Tibetans. They want to adopt white men’s language and white men’s style of democracy, much like the Indians.

However, many Tibetans who are loyal to the Dalai Lama have realized that Western democracy is not compatible with classical Tibetan institutions and could spell the doom of the very thing they fought against the communist to protect. Many young Tibetans increasingly speak out against the Dalai Lama in the name of democracy, which is a taboo subject in the exiled community.

The religious order, and even the CTA, promotes “the Middle Way Approach” first envisioned by the Dalai Lama, which acknowledges that Tibet is a part of the People’s Republic of China. However, Tibetans have failed to live up to the true meaning of this approach. That is why they don’t wave the PRC’s flag. The true meaning of the approach would entail Tibetans flying their “state flag” along with their “national flag” and considering themselves “Chinese refugees”.

Ethno-nationalism runs deep in the exiled community. Just a mention of “Free Tibet” is enough to silence all other factions of the debate. Tibetans who advocate against the notion of Tibetan independence are routinely ostracized and labeled as “Chinese spies”. That is not to say that all exiled Tibetans are against accepting Chinese citizenship. It is just that these Tibetans don’t have a platform to voice their opinions. Foreigners wouldn’t sponsor them, and neither would China.

In my point of view, the Dalai Lama is essential in this debate. If somehow the Dalai Lama practices the Middle Way Approach by using the Chinese flag as his national flag, the Tibetans who follow him will get the chance to raise their voices without getting ostracized by the radical separatist faction.

The view that it is the duty of the Han people to convince the exiled Tibetans to be patriotic to their whole motherland is faulty. Doing so implies that China is the country of the Han Chinese only. Tibetans wouldn’t appreciate such a concept of the Chinese nation. The question is best left to the Tibetans themselves to find the solutions. But they should drop the idea that China only belongs to the Han people.

What shouldn’t you do in Thailand?

There’s a long list, but I’ll stick to only a few major ones:

  1. Never, never say anything derogatory about the King or the royal family. That includes stepping on paper currency as it has a picture of the King
  2. Don’t visit with the attitude that Thailand should operate the same as your home country. It’s Thailand, and they run their country as they see fit. Example: You go to a restaurant and after seating you the server stands there while you review the menu. Just go with it. Next, after taking your order, the food doesn’t come out together. You might get your appy after others got their entre. Just go with it—I see it as part of the experience.
  3. Don’t touch the head of a Thai person in public
  4. Don’t horseplay with showing your feet
  5. Take off your shoes when entering personal dwelling and certain buildings
  6. Always remember that you are a guest!

Happy travels!

What was the greediest thing you’ve seen a family member do?

My MIL had been given a really nice, and large, china cabinet by my FIL as an anniversary gift one year. It was a prized possession especially after my FIL died.

I met my husband, her oldest child, a year after my FIL’s death. She and her youngest son moved in with us about a year later. The cabinet came with her. I loved it but assumed she’d give it to one of her two daughters.

One day she was telling me about how she got it and mentioned she always felt she could not give it to either daughter and leave the other one out. She felt the fairest thing was to give it to the wife of her eldest son. She’d decided this long before her husband died or I’d met her son.

Anyway her youngest son heard her tell me the cabinet was mine and threw a hissy-fit. Nothing would satisfy him but her saying he could have it. When he left she restated that it was mine and he would eventually forget about it.

He may have, but she died only a couple of years Iater. He told all his siblings it was his and I couldn’t refute it because there’s no will and she had never told a anyone else it was mine.

We did convince him to leave it with us until he was settled.

Then we moved to the same county all hubby’s siblings lived in. Middle brother’s wife finagled temporarily storing the cabinet then immediately passed it off to baby brother who had one sister store it and they refused to return it because it wasn’t mine. Within three years that cabinet was destroyed/lost. It’s gone. Nobody has it now. Not to mention baby brother never had it in his possession because HE DIDN’T WANT IT. He just didn’t want me to cherish it and keep it in the family.

Maybe not greedy, but certainly selfish.

Why Young Men Are SCREWED In This Economy

I had two of these and loved the experience… most of the time. They are a maintenance headache. It’s basically a house in the middle of an earthquake every mile you drive. I took this picture while at the Air Museum in Oregon. They are wonderful in many respects, but you’re kidding yourself if you think you’re going to love it full-time after you “get rich.” The reason is that even the most expensive RV parks, where they only allow motor coaches and no trailers, are still basically trailer parks. Your neighbors are close by, sometimes within reach, and the behaviors run the full range.

All of that rig you see, with a Jeep in tow, is work, and you have to carefully consider where you’re going because you can’t back up very easily at all. You spend a lot of time at freeway truck stops and rest stops. It’s exciting for a while, and I did have fun, but for a whole year or more? Nope, that would get old.

Big rigs, as they are called, only get around 4 MPG, so figure a buck a mile everywhere you go. It keeps the math easy.

I’d recommend a different direction. Get a wonderful house where you never want to leave. Get a Sprinter van instead and do shorter trips. The best part of a Sprinter is that it will get into any place. While it was small, it was far less work.

The Sprinter below got five times better MPG, and I could park in any grocery store lot or attraction. I could get into small campsites and still catch a snooze on a city street. I had even more fun with a fraction of the work and less stuff to manage.

I have a wonderful home now on acreage, and I never get tired of being here. After trying all options, this was the best one for me.

The most common thing you will see on the road is a pickup pulling a trailer. They are cheap, can be dropped off, and they are fairly easy to manage, except for the pack-up and set-up. I’d guess that 80% of what I saw in RV parks were pickups pulling trailers.

How is the life of go-go bar girl in Thailand?

Quite good, compared to any other job they can possibly get or compared to prostitutes in many other countries.

Most go-go girls in Thailand are village girls without much education. As Tony Dancaster pointed out, go-go girls earn much more than what they can otherwise earn in other jobs they can possibly get.

Besides that, due to Thai’s tolerance toward prostitution, go-go girls are not excluded from normal society. They are not chased down like criminals and they can still maintain normal relationships with their friends and families. More surprisingly, they can still maintain religious observance while being a prostitute. I have seen many cases of go-go girls wearing Buddhist amulet. Prostitutes in many other countries can only dream of this level of acceptance.

Lastly, the guests of go-go bars are mostly relatively well-off foreigners. Most of them understand the concept of safe sex (the same can’t necessarily be said about rural Thai men in Isaan).

First Time Hearing | Alan Parsons Sirius/Eye In The Sky | Reaction!!

What was the greediest thing you’ve seen a family member do?

My dad had a brother, Huck, whom he was especially close to. Unfortunately, my Uncle Huck died of a massive heart attack in his 40’s, leaving his wife to raise their three children. Things were really tough for her financially. My grandmother (Huck and dad’s mom) felt very bad for her and told the family that when she passed, she wanted her furniture and things to go to Huck’s widow, hoping that it might help them in some way. When she died, her two daughters who lived in separate apartments in the same house as my grandmother, stripped her apartment bare and kept everything for themselves.

When my dad found out, he went ballistic and laid his sisters out in lavender. Since Huck died, he had kept in close touch with his widow and acted as kind of a surrogate father to the kids, so he was very protective of them. His sisters, of course, didn’t take kindly to my dad calling them out as “thieves” and “stealing from the grave” and this caused a huge rift in the family and we only saw them rarely after that. But I’m proud of my father for standing up for what was right.

A store manager was overzealous about overtime. Even a minute after was considered stealing from the company. Even part time people like me who were scheduled for 24 hours could be written up for one minute over. At the same time you couldn’t stand in front of the time clock and wait. That was considered stealing time.

After several warnings each week I was one or two minutes over I had a counseling statement to fill out. In my area of comments I stated none of the clocks in the store had the same time. The time on the phones in each department were a minute off and didn’t match the time clock. The company was deliberately setting the times off, forcing employees to punch out early, losing wages and saving the company money.

This of course didn’t fly past the store manager who disputed my statement and wanted me to write something else. I refused and said I would be sending my copy to HR and a lawyer. She never signed it, tore it up.

During the next few days company maintenance went through the store to verify each clock, time listed on the phones to the time clock. A week later I had five minutes over. She called me in, said there was no excuse now. . In the comments section I wrote I was assisting a customer in floral and since the company had not given us the proper language to give a customer we could no longer help, I finished helping her and punched out. Manager did not like that answer also. She reviewed the department tapes to verify I was indeed helping someone. Tore that one up too.

Next day a statement came out stating no one could work any overtime. Violation could result in suspension or termination, we had to sign and date it.

Knowing a few things about corporate law, policy and rules, from my full time job, I took my copy and mailed it to the company lawyers and HR. I asked if this was corporate policy now, where in the handbook was it and did store managers have the authority to write and implement corporate policy, as this was a legal document and affected each and every employee the company had. I gave my helping a customer leading to overtime situation as a reason for it to happen. And asked what the company wanted us to tell a customer we could no longer help.

I truly thought I would be fired. Well let me tell you the shit hit the fan. A week later a HR representative and someone from the legal department met with all the store managers, DMs and Regional Managers. They can’t set company policy, for anything. They can enforce policy, but not set it. In the following weeks the overtime rules were refined. Any issue of someone deliberately working over was sent to loss prevention to review tapes to see if employee was actually working and making an honest effort to punch out, or milking the clock.

Here is the answer:

As a veterinarian, I was called to examine a 13-year-old dog named Batuta. The family was hoping for a miracle.

I examined Batuta and found that he was dying of cancer and there was nothing I could do…

Batuta was surrounded by his family. The little boy Pedro looked so calm, petting the dog for the last time, and I wondered if he understood what was happening. Within minutes, Batuta peacefully fell into a sleep from which he would never wake up.

The little boy seemed to accept it without difficulty. I heard the mother ask, “Why are dogs’ lives shorter than humans’?”

Pedro said, “I know why.”

The little boy’s explanation changed my outlook on life.

He said, “People come into the world to learn how to live a good life, like loving others all the time and being a good person, right?! Since dogs are born knowing how to do all this, they don’t need to live as long as we do. Do you understand?”

The moral of the story:

If a dog were your teacher, you would learn things like:

When your loved ones come home, always run to greet them.

Never miss an opportunity to go for a walk.

Let the experience of fresh air and wind on your face be pure ecstasy!

Take naps, rest.

Stretch well before getting up.

Run, jump and play every day.

Avoid “biting” when a simple growl would suffice.

In very hot weather, drink plenty of water and lie down in the shade of a leafy tree.

When you are happy, dance by moving your whole body.

Enjoy the simple things, like a long walk.

Be faithful.

Never pretend to be something you are not. Be authentic!

If what you want is “buried”, look for it, persist until you find it.

And never forget:

When someone is having a bad day, stay quiet, sit next to them and gently let them know that you are there.

The PAC-2 has a fragmentation explosive warhead. It gets near the target then explodes and shreds the target. This is for ballistic missiles, cruise missiles, aircraft, other missiles, etc.

The PAC-3 has a kinetic kill warhead. It directly impacts the incoming object. This is usually for ballistic missiles, aircraft, cruise missiles.

They’re radar guided with a active onboard radar and ground station.

STAY FOCUSED – Motivational Speech

Shorpy

snowmangirls 0.preview
snowmangirls 0.preview

20809a.preview
20809a.preview

20808a.preview
20808a.preview

01973a 0.preview
01973a 0.preview

8c52201u.preview
8c52201u.preview

01408u.preview
01408u.preview

15891a.preview
15891a.preview

20846a.preview
20846a.preview

17947a 0.preview
17947a 0.preview

8c52198u.preview
8c52198u.preview

05853u1.preview
05853u1.preview

05851u 0.preview
05851u 0.preview

01534u.preview
01534u.preview

01534a.preview
01534a.preview

1a35413u.preview
1a35413u.preview

Kitchen.preview
Kitchen.preview

12702a.preview
12702a.preview

19764a.preview
19764a.preview

05849u.preview
05849u.preview

05839u.preview
05839u.preview

23591a.preview
23591a.preview

23595a.preview
23595a.preview

22815a.preview
22815a.preview

05841u 0.preview
05841u 0.preview

23471a.preview
23471a.preview

05619u.preview
05619u.preview

05852u1.preview
05852u1.preview

@@@@@23109a.preview
@@@@@23109a.preview

I can sort of answer this.

My parents hated me and resented my being born and ruining their lives. I moved out of the house when I was 17 1/2, the day after I graduated from high school, and never expected to hear of or from them ever again.

After about three years, I heard from an attorney who told me that my parents had died and since they didn’t have a will, all their money was now mine. I really didn’t want anything from them but I took the money and put it in a savings account and didn’t touch it for years, except for one occasion to pay for some large medical bills, because it just felt “dirty.”

What prompted me to accept the money was a visit from their preacher, whom I had known when I was still living at home and saw every weekend when I was forced to go to church. He showed up a few weeks after the attorney contacted me and said that my parents had pledged their estate to the church asked if I would honor their wishes.

This is the same church and preacher that I’m sure knew about the physical abuse I survived through for most of my life. They probably didn’t know about the mental abuse I received, but had to know about the physical. He was adamant that the church should receive all the proceeds from their estate and threatened legal action if I didn’t turn everything over to the church.

He told me of all the glorious things they were going to do with the money and what a difference it would make in so many people’s lives, but what he failed to mention was how much it would do to his life. I was living in a little crappy ass apartment, and had struggled to put myself through one of the most expensive colleges in the country, doing any kind of job that would help me pay the bills and get my degree. When he showed up, he arrived in his new Rolls Royce, with his new Rolex and fancy suit and all that was going through my mind was, “How much of this money is going to support your lifestyle, and how much is actually going to help people?”

I was very conflicted. I really didn’t want to have anything to do with them or their money, and while it could really change my life, it just felt wrong to take it. I knew that, no, it wasn’t my inheritance, it was theirs to disburse however they wanted, and while I did believe the preacher that they wanted it to go to the church, the youthful rebellious part of me wanted to kind of wanted to “stick it to my parents” and deny their wish. I knew they’d be furious that I got their estate, and that certainly felt like sweet justice for the many times I ended up in the hospital with concussions and broken bones.

The more adamant the preacher got that the church should get the estate, the more certain I was that they wouldn’t see a cent of it.

I didn’t touch the rest of the money for over 10 years, and then I started donating it to charities I chose.

 

It does not matter if you are the world’s greatest shot. I mean at a thousand yards you can drop 30 rounds into a 6 in grouping. It doesn’t matter if you have bought only the very best Walmart commando combat equipment. It doesn’t matter how many times you have walked Red Dawn and imagine yourself screaming Wolverines.

Wait until that first bullet passes your head. Then you’ll see.

Wait until you learn what fire discipline as a unit means.

During the Yugoslavian conflict, one of the combatants decided they wanted to give the UN a bloody nose. They set up a rather massive ambush. They were dug in, they had many many times the troops. They had artillery and minefields. This was a guaranteed win. When they attacked the unprepared and far smaller Canadian UN troops, it should have been a slaughter. There was one big difference though. The combatants had been fighting in their civil war for a few years as what they were, irregular troops. But unlike yourself they even had combat experience. What the Canadians had was incredible fire discipline, the training to act as a unit, a cohesive whole. Discipline, leadership and morale. The bad guys lost. You would lose as well.

No of course let’s not ignore that you have decided to be a terrorist organization fighting against the United States. So you are the world’s greatest shot as we said. Tell me what you are going to do against artillery? Tell me what you are going to do against an attack helicopter? What about a main battle tank? Oh I know, you will die.

I’m always disgusted by the traitors, the enemies to their own country who talk or fantasize about waging war against their own country. Whenever I hear anyone say things like, fight against the government. I know they are traitors, or at least want to be.

Masters of the Air Clip – “Engine Three Is On Fire” (2024)

This story is a sad one and hard to tell. It’s hard for me to think about. It happened about 10 years ago and involved a 6 year old patient. This kid was so smart; let me say he had wisdom. At the age of 6, he seemed wiser to me than most adults. I learned more from this kid than he learned from me. I diagnosed him with Ewing’s Sarcoma, a very rare bone cancer with terrible odds. I started him on a chemo therapy protocol. With radiation to follow, in order to shrink the tumor so that I could operate. He went through the chemo, which almost killed him, with what I could only describe as grace. Much grace. I had explained to him how low the odds were. His mother was there crying as I spoke with him. He was understanding everything I was trying to tell him. But, it seemed that he already knew. We had nurses and consulting doctors there all through the process. He had gotten so sick from the chemo, I didn’t think I should go through with the radiation. The tumor margins were not good. So, I spoke to his mother who said quite plainly that I should not proceed. She explained it to her kid. The next day, I went to talk with my patient. That kid was smiling as I told him I was going to go ahead and operate. That day. Then, he asked me if I thought the tumor would shrink more with radiation. I told him probably, but I thought I could get all of the tumor without the radiation. He just laughed. Remember, this young man was only 6 years old. Then he told me something I will never forget. He said “doctor, I feel God, and God told me I would see him soon”. He had a smile on his face when he said it. For the first time in my life, tears welled up in my eyes. Then he said “ doctor, it’s ok, don’t be sad. I get to go to heaven”.

I got myself together. He reached out and hugged me, I hugged him back fighting back tears. We both agreed that the radiation wouldn’t be necessary, so at least he didn’t have to go through that. We prepared for surgery. Before we put him under, he said with happy eyes, “thank you doctor for helping me”. I said to that wonderful boy, “it was my pleasure. I’ll see you after”. When I opened him up on the table, I found that the tumor had wrapped itself around the femoral artery. I couldn’t believe it. I was so angry, it had not showed on the MRI,s. Then, I was overwhelmed with sadness. There was no way to resect it. No possible way. I tried to get it all without nicking the femoral artery. I felt like I was trying to save my own child. I tried so hard, I tried so so very hard. I was beaten and I knew it but I wouldn’t stop. This kid would not die. I kept screaming at myself on the inside. The surgeon assisting told me to close him up. I wouldn’t I couldn’t. Then, it hit me like a brick, what he had told me. “ I feel God, and God told me I would see him soon”.

There was nothing left to do but leave the tumor and close. After recovery, I came to tell him what had happened. And with such grace and happiness, he explained to me what God had meant. And that heaven was a good place and he was not afraid. We, hugged, I walked out of his room. He died 2 weeks later as the tumor tore through the femoral artery causing him to bleed to death in less than a minute. It was fast, it was not painful. He died at home in his mother’s arms. But the grace and wisdom this child showed made me feel so small, so less of a doctor, so sad. So much so that I thought to myself, I need to be more than I am. I need to do better. He taught me that death is not something we should fear. That there is a better place when we die. And not to be afraid of it. That one patient, that one kid with so much wisdom, made me a better doctor. He made me a better person. That young man taught me that when I face death, to face it with no fear. And I hope when my time comes, I can be half that strong, as that little boy. And I know this, when my time does come, I will be thinking of him.

  1. People are nice at the beginning, when they’re training you. Then you’re the slow new guy, that everyone is sick of.
  2. When you start your new job, never show how much you can work hard, or try to be the star, that’s how you make enemies.
  3. Never be too friendly with your colleagues, ’cause when you become their supervisor you won’t be able to contain them.
  4. When fighting for promotion don’t expect others to play fair.
  5. When you first start your job, try being friends with that person who is closest to your boss (assistant, vice president..). That’ll make things easier for you in the future.
  6. Do not have relationships with your colleagues, it makes things really complicated. (And sometimes it’s against company policy)
  7. Since you’re the new guy, you’ll get the most boring stuff to do that others try to avoid, then you’ll meet another new guy in the future and you’ll make him do the same things for you.
  8. Never be a Yes Man when your boss asks for your opinion, be honest, he’ll value your opinion.
  9. Never be too friendly with your boss, you’ll be his friend, and won’t be considered for promotion unless you’re a high achiever in the company.
  10. When you start your first real job, check the work environment, if it’s toxic, RUN THE OTHER WAY!

Vivid Black covers Head East’s “Never Been Any Reason”

My grandfather told me this joke over fifty years ago and it still brings a smile to my face.

A 12 year old boy was diagnosed with cancer in one of his eyes and had to have his eyeball removed. His parents were poor and couldn’t afford a glass eye, so they put an eye made of wood into the boys eye socket. The boy was very self conscious and often tried to cover his eye with his hand and tried to avoid eye contact with anyone .

The school dance was approaching, and the boys parents encouraged him to go, despite Jim being very self conscious about his eye. The boy was unsure,but with the urging of his parents, he reluctantly agreed to go to the dance.

Friday night came and the boys parents dropped him off at the gym, assuring him he’d be fine. The boy went into the gym, but immediately went to a corner, covering his eye with his head. The other kids were dancing,having a great time but he was just too self conscious to ask a girl to dance.

He looked across the gym and saw a girl sitting alone. As he gave her a closer look, he could see the girl had a hair lip. He thought to himself, “ she might dance with me since we both have a physical problem “. His heart was pounding as he gathered all his nerve, approaching the girl. He had his hand on his face half covering his eye, but pulled it away when he reached her and with all the courage he could muster ,he said in a soft, shaky voice , “ Would you like to dance?” The girl answered excitedly “ Would I! Would I !” The boy replied, “ Hair lip! Hair lip!”

Oh boy where do I start?

I decided to make a trip to the world famous Lake Tahoe Nevada for a party beach day. I live 30 minutes away. Get absolutely plastered.

Playing beer pong with a random group, my partner asks to wear my LV sunglasses. Me being drunk, hand them over. Ten minutes later I look over and he’s taking off with them.

My friend and I chase him. I’m stumbling barefoot at this point. My friend is sober doing all the work. We finally catch up.

The theifs friend pulls a pistol out of his backpack, cocks it and pulls the trigger pointed at my face. I hear a click. It jammed while he cocked it. If that gun didn’t jam, I’d be dead 100%.

We tell our group, they knew who it was. Now I have 5 dudes who you just don’t F with texting this guy about my shades. I’m an innocent nice guy but my friends are felons. This theifs life is on the line at this point. He ended up dumping them in the trash. I let it go for the sake of the theif.

But seriously, that pistol clicked in my face. I would’ve been gone. One of many many stories at Lake Tahoe.

It’s absolutely breath taking, don’t visit the popular beaches on holidays

Question: What are some common wilderness survival tips that are actually more likely to get you killed than help you survive?

Some thoughts:

  1. Rationing water – Your body is perfectly capable of rationing your water; it doesn’t need your “assistance”. If you have water, DRINK IT. As much as you can hold at one or until you have to urinate, whichever comes first. Then you either limit your movement or talking and find shade to prevent excessive sweating and water. You may get thirsty when you run out of water; however dead people are often found with full or nearly full bottles of water that they were going to “ration” until the heat or thirst overcame them and they died of dehydration.
  2. Drinking urine – Several other answers have already mentioned this; but you can’t emphasize it enough. Urine contains waste that were flushed by water in your body. Drinking urine simply returns those wastes to your body and may make you vomit or worse, have diarrhea.. You’ll quickly become even more dehydrated and a deadly spiral will continue until you die. Don’t do it.
  3. Eating berries or unknown mushrooms – JUST DON’T. Even if they are not poisonous, they can cause hallucinations or even diarrhea and you’ll be in worse shape. You might get hungry, but under normal conditions, you’ll be found or you’ll find someone within 72 hours and you’ll be rescued. This is why it’s always important to keep SOME food in your vehicle or in your camping gear.
  4. Making a solar still – Too much effort and water loss (sweating) for too little gain. Don’t bother,

US Doctor Who Studied 5,000 Near-Death Experiences Says This About Afterlife

Well, you know, one in five koreans died during the Korean War before an armstice was signed.

Seven decades later, a peace treaty remains elusive, because the United States is a counterparty, and it pursues a “take no prisoners” strategy when it comes to communists, especially when they are not useful.

The exceptions today are Vietnam and China, for obvious reasons.

Now, taiwan as we find it today is an active remnant of the Chinese Civil War, which was fought on a scale way bigger than Korea.

Why active? Because the roc didn’t sign an armstice with the PRC. Technically, a state of war exists between the 2 governments, with 99.5% of humanity recognizing Beijing as China’s government, and the remaining 0.5% Taipei.

Every first world state, every big (population > 100m) state is part of the 99.5%, and they maintain embassies/consulates in Beijing, under the One China framework.

Just like the Koreas, it is the United States being the road block to peace.

The United States was embarrassed in korea after being fought to a standstill. That set into motion the unique position of Taipei, which once occupied the P5 China seat at the UN.

The interested reader is welcome to dig further.

In the 21st century, the Chinese people are seeking a conclusion to the Chinese Civil War, for peace and complete sovereignty to return as mandate in China.

Well, it certainly gets one’s attention, along with giving one a huge spike of adrenaline!!!!

Also to be “chased” by a missile is to be not in a good position, especially since some of them fly at Mach III+. You can’t outrun them, unless you are at the very edge of their range or envelope. Indeed it is better to have them coming in at you from the forward hemisphere where you can see them and better defeat them, rather than it coming from the rear and chasing you.

Fighter pilots like challenges, and an air-to-air or surface-to-air missile fired at you certainly qualifies! Before the fighter pilot ever flies in harm’s way, his intelligence officer will have briefed him on the enemy’s missiles, their capabilities, their ranges, their guidance, their tactics, and how to defeat them. Earlier the fighter pilot will have practiced defeating a variety of enemy missiles, so he is trained and ready.

Without getting into specifics, different missiles can be defeated by different aircraft maneuvers and by various tactics. Certainly dispersing flares will hamper a missile with IR guidance, as will electronic countermeasures (ECM) and metallic chaff, hamper a radar guided one. You concentrate on what you have learned in training, and methodically do your job against the incoming missile threat.

The well-trained pilot with adequate countermeasures stands a good chance against most missile threats. After a while, it almost becomes routine if you have seen many missiles, and lived to tell about it.

Anecdote: For high and fast-movers, the SA-7 Strella (MANPAD) is not much of a threat, being small, slow, and limited in range. The first time I ever saw one fired at me, I thought, “What the heck is that?” It looked like a wounded duck, spiraling up at us in a corkscrew fashion. My wingman and I were laughing at it over the radio as we flew out of its range. We had seen too many and more deadly SA-2 ‘telephone poles’ shot at us to be impressed by this little guy.

Seismograph Triggers ALERT from Iran “Earthquake”

No Compression Wave large
No Compression Wave large

An unusual seismic event has taken place in Iran; so unusual that seismographs have “ALERTED” over this “event.”

“I hear what women think” | What Women Want | CLIP

We had solar panels installed in 2021. It took a long time to choose a company and we interviewed a number of companies. It was very expensive (almost$100K), but we wanted Solar Edge panels and Tesla back-up batteries, top of the line 25 year warranty on the panels, 10 years on the batteries. The federal tax credit was 26% in 2021 and North Carolina gave us a $4,000 rebate on the panels.

There is a connection fee in most states and an agreement with your electric company to either pay you for the excess energy you deliver to the “grid” or to hold your excess for future use. This monthly connection fee ranges (by state and by Power Provider) from $15 to $35 per month. In North Carolina, our fee with Duke Energy is $16 per month and they hold our excess kilowatt hours for times when we have very little sun, rain, storms, winter. Since we had the solar panels installed, we have not had a single month when we needed to buy power from the electric company…but we did have to cover the whole house, back and front. (The spaces are for roof vent pipes.)

Because of the age, style and design (Victorian) of our house we had monthly electric bills of $200 to $450. Now they’ve been around $16 for three years. When we have a big storm coming, Duke Power keeps our batteries charged and we’ve never been without power on our basic systems. If you can afford it, you should do it. The electric company gets its energy mostly from petroleum, natural gas and coal. Everything we can do to help preserve our natural resources, we probably should do. P.S. Our house was prettier without the panels!

An acquaintance of mine lives two blocks away.

He’s almost 40, but he looks like he is a GQ model. It’s redonkulous.

You know those magazine ads, those fancy dark haired guys in the black and white pictures on the beach walking, with piercing eyes, and that just muscular-enough body that drives girls nuts?

That’s him.

I catch women looking at him at parties for extended periods of time.

He’s got a million dollar smile that sparkles. He’s funny and easy to talk to.

And he’s a maxillofacial surgeon.

Codename, smart, hot guy with money.

If this guy went after a girlfriend of mine, I’m not sure I’d blame her for writing her own hall pass.

And I have a secret for you about this guy.

It’s going to disappoint you fellas.

He’s an amazing father and husband.

He’s been with his wife since college, he is a devoted dad. His kids hang on him like monkeys.

His wife is always happy. They are good.

I’m a divorced guy. I know a bad marriage when I see it. They have one solid marriage.

He’s a humble, normal, friendly guy. Who woulda thunk.

This GQ looking man, who could have rocked the single life harder than Charlie Sheen has chosen a noble path.

And he’s proof that not all attractive men are dogs.

We Are Sorry for the Inconvenience

Submitted into Contest #232 in response to: Write a story set in a world with a dying sun, or where light is a scarce resource. view prompt

Jeanne Savelle

This is what we tell the newborns. We apologize for the inconvenience. We don’t explain. We just tell them, hoping that one day they will understand.We had to go underground to survive. We were the hunted, relentlessly so. That was 40 years ago, and still, we remain out of the light of the life-giving sun. There will be no reprieve. Those who remained on the surface blotted out all joy.Those of us from before, the ones who remember the sun and the moon, most of them went insane. I was there at the beginning of our exile. I was 9 years old. Now I am the caretaker of the garden that feeds our people.Early on, life was unforgiving, and I learned to be brutal, with myself of course. I had to help my mother make it through, but she didn’t, succumbing to depression within the first year. We sent the dead down the underground river that emptied to the sea, somewhere so far from our existence, it has been forgotten.My name is Amy. It’s the name I gave myself. We all gave ourselves new names for our new lives. My comfort friend chose Danny. I think he chose it for someone he knew back from before, but he’ll never tell. And I won’t ask at risk of his banishment.Danny was the key to our long-term survival. It took many years, but Danny created a way to channel filaments of sunlight through the earth and into our garden. Undetectable on the surface, these filaments swam through rock and dirt like fireflies. They tiptoed on the crowns of the plants and moved into them like blood.Once a week, we each received a shot of the golden nectar. You would enter a chamber and pull a weighted mask over your eyes. For 10 minutes, pulses of sunlight streamed through your pupils, but you couldn’t see anything, you could only feel the sun enveloping you like butter. To me, it felt like breathing water, and I carried that precious energy with me to the garden.One day, I woke in the garden to a chorus of concerns, Danny shaking me. “What?” I said. “There has been an emergency. One of our filaments has been extinguished. We’ve been exposed.” I began to cry.I felt like I was falling through sand, farther and farther, toward the other side of the universe. Danny pulled me up and we headed to the joining center. The others were there. Danny pointed to the damaged filament on our community map. It was way too close to the garden. One filament out in the garden and we would starve.The old woman, Edregon, came up and placed her hand on the map. It buzzed and set us all mute. “I will go, I’m old but I can still be useful.” Struck dumb, we just nodded and she de-materialized.Many months went by and every few weeks another filament went out, but the garden held. We took smaller plants into the chamber to encourage faster growth, but the chamber couldn’t accommodate both human and plant. We knew time was contracting and without change, we’d soon be cold little balls rolling to the sea.“I’ll go to the surface,” Danny said. Three others gathered around him, hands fluttering over his head. They draped the Savory cloak over his shoulders, chanting in their sing-song-y way and then, Danny was gone.We slept in the dark, ate in the dark, cleaned and dressed in the dark. The garden light and the weekly 10-minute blast continued but difficult decisions lay ahead.Months later, the youngest began to fall ill. The elders held them in the light chamber, but the signal was too weak to nurture both. The frailest of each melted away. By the end of the current cycle, only 20 of us remained, 4 children and no elders. I believed that both Danny and Edregon were dead but kept that to myself.On the last day of our meager harvest, smoke began to fill the garden. Smoke or steam or breath, we couldn’t tell. It smelled of animal magic and was the color of river rocks. We gathered around the garden reaching out into nothing. One by one we sat down as if hypnotized. A low hum rose and suddenly a voice boomed out “Rise children, you have been avenged.”I looked around and saw nothing but the smoke which curled and twisted and reached the cave ceiling. Drops of sunlight appeared within the towering smoke and our spell was broken. We all stood.

“What are you?” I said.

“I am the life everlasting and the death everpresent.”

“Where are Danny and Edregon?”

“They are within. Their bravery took them far, but they had to find each other to save the world.”

“Did they,” I asked?

“Oh yes, dear one, they did. They came together like thunderclap and trombone. The explosion rippled over the land disintegrating the joyless ones where they stood. But it also took Danny and Edregon.”

Everyone exclaimed and clapped their hands and screamed and yelled. WE ARE SAVED!

“No,” the smoke said. “You must carry everyone to the chamber. First, put all the children in together. They must stay in for 12 hours. The filaments are not yet restored, and it will take time to nurture them back to life. Then, you must do the same for the rest, three at a time for 9 hours. Bit by bit you must restore your balance. Do not eat, or drink, or bathe or sleep until everyone has been in the chamber.”

“Is that all?”

“No, when everyone has been in the chamber, shut it down and go to sleep.”

With that, the smoke was gone, and we began the ritual. I would go last alone. When it was done, we went to our sleeping places.

I don’t know how long we slept but we woke up together, no, not together, but as one. I woke up but I was everyone. There was no body, no cave, no garden, but the smoke returned. And there was light. I felt as if we were the light of the world, of the heavens, of all of life.

The smoke swirled around and away, leaving one thought behind: We apologize for the inconvenience, but we trust you are happy with the result. No do-overs accepted.

How is the life of go-go bar girl in Thailand?

The life of a go-go dancer is somewhat regimented, and I would say not glamorous.

They have specific working hours and set dance schedules during those hours.

Most have a time clock to punch when the arrive and leave and they are docked when they do not arrive on time, or leave early.

Not sure how it is now but most have to pay for their own costumes – nothing is provided.

There is sometimes a base salary – but it will not be much and if they want to make money then they need customers to buy them drinks and or take them home. Every time they get a drink bought for them, you get a bill and they get a token that they can redeem for cash later. Not exactly sure how much cash they get from this, but in order to keep the $ flowing they need to constantly be drinking. Yes, they can drink pop or orange juice, but many do not as the alcohol helps them to relax so they can do the job.

If the bar has ping-pong balls then a customer can buy a bucket of them and throw them at the girls and they can chase them around and redeem the balls for 20 baht/ball. (about 60 cents US).

They usually wear a bikini when working and then, when not dancing onstage they go and sit with men who are drinking and are very happy to have a bikini clad lady sitting next to them.

Note: In the bars that cater to western men, they do not have to go and sit with men, nor do they have to go home with these men if they do not want to. However, if they do neither of these things then they will not make enough money to pay the rent/eat. They are encouraged to sit and talk as it is good for the bar and for the girl when people are drinking.

They need to be happy and smiley faced ambassadors for the bar in order to attract customers into the bar and then get them to buy drinks.

They do try to lay claim to customers if they can as the customer may well be their meal ticket, but they also have to sit by and watch if the customer decided he wants to try a different girl.

All in all I would say it is a difficult life, that can be lucrative as another poster has already stated, but certainly not a good life.

Deter, no. Threaten, yes.

The typhon is an offensive strike system with a range of ~2,000km.

It is not a defensive system.

Deployed in Luzon, it allows America to strike deep within the mainland.

In principle, this is no different from China deploying dongfeng missiles on Cuba to deter America in the gulf of Mexico.

The United States will never accept such an arrangement, because the dongfeng, just like the typhon, can be armed with nuclear warheads.

Missiles in Cuba render impotent the early warning and layers of defense afforded by installations in korea, Japan, Guam, Hawaii.

Typhon in the Philippines upsets the strategic deterrent calculus. Its presence on Filipino soil will not be tolerated and may lead to fundamental damage to bilateral diplomacy, beginning with trade and a shift of military activity south of the bashi strait into the east Philippine sea between Luzon and Guam.

China can ratchet up the pressure many more notches, because it hasn’t activated any significant levers yet against bongbong’s administration.

Israeli Broadcasting Corporation: “Israel Response to Iran DELAYED . . . ” Or Genesis 34 Deception?

As of Sunday evening in Israel, the IBC reports “Israel’s retaliation against Iran has been delayed due to uncertainty about the damage the attack would leave.”    Right . . . . Not the strange earthquake in Iran that mimicked an underground nuke blast.

The report that Israel will delay its retaliation caused Iran to lift all airline flight restrictions and re-open all airspace.   which it had closed in the western part of its country.

But . . . .  is this a feint?

Did Israel put this out as “mis-information” so as to get Iran to lower its guard?  All the way back in the Bible itself, there is a story of how “Israel” tricked – and then slaughtered.

Genesis 34

Dinah Is Raped

34 Dinah, the daughter of Jacob and Leah, went to visit some of the women who lived nearby. She was seen by Hamor’s son Shechem, the leader of the Hivites, and he grabbed her and raped her. But Shechem was attracted to Dinah, so he told her how much he loved her. Shechem even asked his father to arrange for him to marry her.

Meanwhile, Jacob heard what had happened. But his sons were out in the fields with the cattle, so he did not do anything at the time. Hamor arrived at Jacob’s home just as Jacob’s sons were coming in from work. When they learned that their sister had been raped, they became furiously angry, because nothing is more disgraceful than rape, and it must not be tolerated.

Hamor said to Jacob and his sons:

My son Shechem really loves Dinah. Please let him marry her. Why don’t you start letting your families marry into our families and ours marry into yours? 10 You can share this land with us. Move freely about until you find the property you want; then buy it and settle down here.

11 Shechem added, “Do this favor for me, and I’ll give whatever you want. 12 Ask anything, no matter how expensive. I’ll do anything, just let me marry Dinah.”

13 Jacob’s sons wanted to get even with Shechem and his father because of what had happened to their sister. 14 So they tricked them by saying:

You’re not circumcised![a] It would be a disgrace for us to let you marry Dinah now. 15 But we will let you marry her, if you and the other men in your tribe agree to be circumcised. 16 Then your families can marry into ours, and ours can marry into yours, and we can live together like one nation. 17 But if you don’t agree to be circumcised, we’ll take Dinah and leave this place.

18 Hamor and Shechem liked what was said. 19 Shechem was the most respected person in his family, and he was so in love with Dinah that he hurried off to get everything done. 20 The two men met with the other leaders of their city and told them:

21 These people really are friendly. Why not let them move freely about until they find the property they want? There’s enough land here for them and for us. Then our families can marry into theirs, and theirs can marry into ours.

22 We have to do only one thing before they will agree to stay here and become one nation with us. Our men will have to be circumcised just like theirs. 23 Just think! We’ll get their property, as well as their flocks and herds. All we have to do is to agree, and they will live here with us.

24 Every grown man followed this advice and got circumcised.

Dinah’s Brothers Take Revenge

25 Three days later the men who had been circumcised were still weak from pain. So Simeon and Levi,[b] two of Dinah’s brothers, attacked with their swords and killed every man in the town, 26 including Hamor and Shechem. Then they took Dinah and left27 Jacob’s other sons came and took everything they wanted. All this was done because of the horrible thing that had happened to their sister. 28 They took sheep, goats, donkeys, and everything else that was in the town or the countryside. 29 After taking everything of value from the houses, they dragged away the wives and children of their victims.

30 Jacob said to Simeon and Levi, “Look what you’ve done! Now I’m in real trouble with the Canaanites and Perizzites who live around here. There aren’t many of us, and if they attack, they’ll kill everyone in my household.”

31 They answered, “Was it right to let our own sister be treated that way?”

(BIBLICAL CREDIT ABOVE TO BIBLEGATEWAY.COM)

Could the Israeli’s being doing this same thing all over again; only this time to the Iranians?

Only time will tell.

My Family And I Often Teased And Mocked My Husband. One Day, My Husband Stood Up And Fought Back

Absolute Silence from NATO Meeting

Absolute Silence from NATO Meeting

Today’s NATO Meeting in Germany has an information-seal on it so tight, I cannot get even a HINT at what, if anything,  was decided regarding Ukraine’s desire to use west-supplied long-range missiles to hit Russia.

Whatever took place at that NATO meeting is apparently a forbidden subject – NO ONE is talking.

Not a hint, not a parallel construction, not even a coy hypothetical story. Zip. Zero. Nada.

I can’t even find out *** IF *** anything was actually decided!

I will persevere . . .

Ret. Secret Service Guy with Podcast says Have Preps for 3 to 6 months survival!

Ret. Secret Service Guy with Podcast says Have Preps for 3 to 6 months survival!

Bongino large
Bongino large

My entire audience knows of my work with the FBI and its Joint Terrorism Task Force, but many simply refuse to take my advice on “prepping.”  For those who won’t listen to MY advice, here’s a retired Secret Service Guy telling you have 3 to 6 months “preps.”

For God’s sake, if you won’t listen to me, listen to him!

U.S. “Surges” THAAD Missile Defense to Israel

U.S. "Surges" THAAD Missile Defense to Israel

THAAD File Photo large
THAAD File Photo large

The US has urgently deployed at least one Terminal High-Altitude Area Defense (THAAD) air defense battery in Israel.

The deployment of this $3 Billion system is to reinforce defenses against Iranian ballistic missiles.

This is another signal that Israeli action in Iran is expected to be very forceful and likely trigger Iranian response.

DENIED!  U.S. Defense Officials are now Denying the Deployment of a Terminal High Altitude Area Defense (THAAD) System operated by U.S. Forces to Israel, despite reports from Israeli Media and Sources; however, they state a Deployment is being Considered.

BULLETIN: ISRAEL BEGINS INVASION OF . . . . SYRIA ! ! !

BULLETIN: ISRAEL BEGINS INVASION OF . . . . SYRIA ! ! !

Israel Syria Golan Heights large
Israel Syria Golan Heights large

1:47 PM EDT SATURDAY — Following Lebanon, Israel’s ground invasion of Syria has begun!

Israeli special forces have broken through the Syrian border from the Golan Heights towards the village of Qadana in armored vehicles.

The initial assault began about an hour and a half ago and has reportedly advanced at least 500 meters into Syrian territory.

This is a rapidly developing story, check back for updates. . . .

UPDATE 1:59 PM EDT —

The map below shows the area where the Israeli invasion is taking place:

Israel Invades Syria Map
Israel Invades Syria Map

Israeli troops backed by armor entered ~500 m into Syria in the S. Quneitra province. They seized an area West of Kudna, along the border fence with Golan, & bulldozed trees. No clashes with Syrian forces are reported, yet.

UPDATE 2:10 PM EDT —

The Israeli army declares several areas in the Upper and Western Galilee closed military zones.

 

SIMULTANEOUSLY, IN LEBANON –

The Israeli army is calling on residents of 23 towns in southern Lebanon to evacuate immediately.

MORE:  “Israel must also force the UN to evacuate. (UN positions on map below.) “These useless forces have failed in their only mission – to stop Hezbollah’s activities south of the Litani River.”

IDF orders Lebanese to LEAVE
IDF orders Lebanese to LEAVE

UPDATE 3:24 PM EDT —

From IDF:  Following a situational assessment, the areas of Zar’it, Shomera, Shtula, Netu’a, and Even Menachem in northern Israel will be declared a closed military zone as of 20:00 today (Saturday). Entry to this area is prohibited.

 

5:19 PM EDT —

Israel declares a state of alert in the occupied Golan Heights and asks the settlers to pay attention to the instructions of the home front

BE THAT GUY – Best Hopecore Motivational Compilation

Hound dog meets barbed quills

The Philippines does not have much intelligence value, and the Chinese government does not need to send spies to the Philippines.

main qimg a8b9b0bb367b9d0c32c26ae85ec17b3f
main qimg a8b9b0bb367b9d0c32c26ae85ec17b3f

Judging from the current international situation, the United States needs the Philippines to act like Ukraine, forcing China to go to war in the South China Sea, and then the United States gathers so-called allies to fight China, and finally the United States will reap the benefits.

China actually needs the Philippines to act like another failed Ukraine to test its military strength and rehearse for taking back Taiwan. For the Chinese, it is better to choose the Philippines as the battleground for the Sino-US rivalry than Taiwan because, after all, the Taiwanese are Chinese and the Chinese do not want civilian casualties in Taiwan. If it was a choice of two, China would certainly choose to sacrifice the Philippines over Taiwan.

Therefore, whether from the perspective of the United States or China, the Philippines needs to become the “Ukraine of Asia”, and sacrificing the Philippines is a matter of course.

The Philippines may still think that it is smart and that it is doing the right thing, but it does not realize that a great tragedy is about to happen.

Poor Filipinos, they have become the unjust ghosts of the test between China and the United States!

Twilight Zone Time Freezes

Russian Ambassador LEAVES U.S. – Takes Staff, Security, Crypto Gear and Classified Docs

Russia’s ambassador to the United States, Anatoly Antonov, is “concluding” his diplomatic mission, Russian agencies reported late on Saturday.

“Russian Ambassador to the US, Anatoly Ivanovich Antonov, is concluding his Washington assignment and heading to Moscow,” Interfax agency cited a representative of the Foreign Ministry as saying.

The envoy will be returning to Moscow within hours.

HAL TURNER INTEL:

When the Ambassador left, his entourage took with them all the communications gear, cryptographic gear, classified documents, all Diplomatic Staff and – here’s the big “tell” — the entire Diplomatic Security Staff.

It is worth noting that Japan did similar things with their Embassy staff and gear, just prior to Pearl Harbor.

“It’s Getting WORSE And WORSE…” – Richard Wolff

He’s really right on this.

Firstly, I was born and grew up in Taiwan.

Secondly, the statement “China can’t invade Taiwan” would have been true if it were made 30 years ago. It is nonsense in the current state of things.

Lastly, your question is comparing apples with oranges. China, or the typical Chinese mindset, sees these two in totally different contexts.

To China, Taiwan is a Chinese province destined to be recovered back into its fold, with its people regarded as Chinese citizens to be governed under the Chinese sovereignty eventually.

And Japan is a foreign nation that has committed massive, abhorrent, inhuman crimes against China without either fully, properly being held to account in the past or fully owning up to its moral liabilities today, while in the meantime having the nerve to threaten China’s security through tangible actions on a daily basis.

The goal of China’s “invasion”, or rather recovery, of Taiwan is to reclaim a piece of China’s own territory extorted from it by foreign imperial powers, most notably by Japan in 1895. This goal complicates the plan, as it is not sensible to bomb a piece of its own territory back to the Stone Age with thousands of cruise missiles.

China has no such scheme for Japan. Japan is not a province of China, and China has no interest in claiming its land or governing its people. To the Chinese mindset, it is just a nation that has slaughtered 30 million of its people in the most brutal and barbaric manner, and is showing increasing unrepentance and recalcitrance by the day again. If Japan ever meddles in Chinese or Taiwanese affairs ever again, what would happen?

It is like if your neighbor had killed your whole family, and now he is breaking down your door again, would you first think of how to occupy his house and make sure his kitchen remains intact so you could make dinner there tonight?

Not to mention that, you already reached a grudging truce with your neighbor that, you would let it go if he promised not to ever enter your house again. Now he is in your house again. Would you still let it go in honor of that fragile truce?

Herein lies the difference between Taiwan and Japan, in objective, and thus in degree of difficulty in action. One is much more challenging than the other, and that is not Japan.

Japan is easy.


What is Japan Likely to Do?

The Japanese know the above very well, except a handful of mouth-breathing octogenarian right-wing cockroaches still marching outside Yasukuni in imperial-era military uniforms. The other tough talking Japanese, whether it’s Ishihara, Aso, Takaichi, Koizumi, ishiba, or those saber-rattling cockroaches on the web, will all keep their heads down like mice and maggots should a conflict break out across the Taiwan Strait. None of them wants to see Japan ceasing to exist as a nation on this Earth because of his stupid impulse. This understanding will likely save Japan’s ass. If you don’t believe it, you can wait and see. It should be made obvious in pretty short order.

And China’s choice of action will be totally logical and understandable, just like the example of your neighbor above. With lessons learned twice in the past century at a price of over 30 million lives and countless properties lost, China simply cannot afford to take any chance again. Will you?

Fool me once, shame on you.

Fool me twice, shame on me.

Fool me thrice?

Steak Diane

15fb3b1f0dc2142bd713110ba38993a9
15fb3b1f0dc2142bd713110ba38993a9

Yield: 2 servings

Ingredients

  • 2 (6 ounce) filet mignons, thawed
  • 1/8 teaspoon salt
  • 1/8 teaspoon freshly ground pepper
  • 2 tablespoons butter
  • 1 teaspoon Dijon-style mustard
  • 2 tablespoons shallots, minced
  • 1 tablespoon butter
  • 1 tablespoon lemon juice
  • 1 1/2 teaspoons Worcestershire sauce
  • 1 tablespoon fresh chives, minced
  • 1 teaspoon Brandy
  • 1 tablespoon fresh parsley, minced

Instructions

  1. Season both sides of steak with salt and pepper.
  2. Melt butter in a heavy skillet; add mustard and shallots. Sauté over medium heat for 1 minute.
  3. Add steaks and cook for approximately 4 minutes on each side for medium-rare.
  4. Remove steaks to serving plate and keep warm.
  5. Add into pan drippings, 1 tablespoon butter, lemon juice, Worcestershire sauce and chives. Cook for 2 minutes.
  6. Add brandy; pour sauce over steaks.
  7. Sprinkle parsley over the top.

Wife Has MELTDOWN After Husband Lets Kids Run Wild To Teach Her A Lesson About Undermining Him

Becoming? The UK has always been poor outside London.

Think of the deep south in the USA. What do we think of.

We probably think of this

main qimg 115302bb29e56a0aec34a649e72e07be lq
main qimg 115302bb29e56a0aec34a649e72e07be lq

The UK is even poorer without London.

main qimg 714d019ce622e6a21c72a5b3c3d035bd
main qimg 714d019ce622e6a21c72a5b3c3d035bd

but here’s the thing, the UK is poorer AND stuff costs more. Think of petrol at $3 per litre.

That’s the wrong question. The right question should be this:

What is the reason behind the strong reaction of Chinese people when their country is demonized, lied about, insulted and belittled?

The answer is this:

The Chinese people don’t like to be demonized, lied about, insulted and belittled by jealous and retarded people.

As a non-Chinese who would love for the Chinese to re-take Taiwan by the simple expedient of blockading the island and starving it into submission, I regret to say that I am 100% sure the Chinese will never re-take Taiwan either by force of arms or anything else other than waiting patiently for Taiwan to rejoin of its own free will.

Why? I am not Chinese but I can think of a few good reasons –

1.Any kind of coercion of military action, no matter how quickly, cheaply or efficiently achieved, is going to leave China with a potential hotbed of dissidents, American-paid trolls, covert subversives, provocateurs and other subversives and give them easy access to the Chinese mainland. Let me simplify that by saying that China does not need to open its doors and hug a whole nest of snakes at any time in the future.

2.Regardless of how the Taiwanese regard the mainland Chinese, the mainland Chinese still regard the Taiwanese as brothers under the skin I hear. Stupid, I know, but the Chinese never claimed 100% intelligence. For China to forcibly take Taiwan with the risk of thousands of deaths instigated by America, the Taiwanese would have to do something that could over-ride the Chinese regard for a brotherhood unwelcomed by so many Taiwanese. And for all their blather, I don’t think the Taiwanese have quite sunk to the Japanese/Korean/Filipino level of intelligence yet.

3.China does not need or want to engage America on a warfront. Not ever, but most especially now or in the foreseeable future and most especially not over Taiwan, and send so many Chinese to their deaths in a war it could easily win by allowing America to self-destruct as America seems so determined to do nowadays.

4.Going to war over Taiwan would involve too much destruction of the things the Chinese have so carefully and so painstakingly built up over the last 20 years – their infrastructure, economy and heritage conservancy efforts come to mind. Re-taking Taiwan is important to Xi Jinping, I understand, but not one of his primary objectives I suspect – eradicating poverty fully, building a strong society, infrastructure and economy would be.

5.Trying to take back Taiwan would be playing into America’s hands. And the Chinese are not likely to give the American emperors that kind of satisfaction by any means.

I playfully mocked him for being ugly at a preparty with our friends but then he stood up & did this

This is a Rolex Oyster Perpetual

main qimg 06186f21029a1c9bb10badeea112c975
main qimg 06186f21029a1c9bb10badeea112c975

It costs $ 8000

It’s among the lower end watches

The Higher end watches could be as much as $ 80,000-$ 100,000

It has six key patents – Oystersteel, Everose Gold, Rolesor keys, Chromalight, RLX Titanium & Europium Dysprosium Hybridization

This is a Fake Rolex that you can buy for 1300 HKD in Mong Kok Or around $ 168

main qimg 7c7173a619c5bb0d91c118b453c421d4
main qimg 7c7173a619c5bb0d91c118b453c421d4

5% what the Lowest Priced Rolex costs!!!!

It uses 3L Stainless Steel, Gold Plated Sterling Silver, Zinc Sulfide, Aluminum 7075

The cost of materials is 17% of the price of materials in a Genuine Rolex yet the performance and quality is close to 90% of an Original Rolex

The strap of 904L Stainless Steel can be purchased for 8% of what an Oystersteel copyright Rolex strap costs

China controls the supply chain for all the basic materials needed to make watches like seven grades of Stainless steel, Zinc Sulfide, Aluminum 7075 and Sterling Silver

It can make these materials for cents on the dollar

So it can easily make a Replica Rolex that can fool all but the experts (You do have fake rolexes for as little as 200 HKD but they are obvious to spot)

So China can easily make replicas at a fraction of the cost

When i was a kid, we had a Rodgers Penknife that was a rage among my generation of army brats

It cost ₹35/- in the late 1960s

The Americans made cheaper knives called PODGERS that sold for Six Bucks!!!!

Very little difference at 1/6 the price!!!

Back then US used to do exactly what China does and the world’s replica market was based on the UNITED STATES

Parisien fashions were replicated and sold for a tenth of the price in certain streets of New York and Chicago

A $ 60 Calf Leather Gloves sold in Bloomingdale could be had for $ 8 for Non Patent Leather just two streets away with the same label

An Original Mink stole cost $ 1200 in 1920 and a Fake Mink cost a mere $ 70 – $ 120

Today China does the same thing

Uses its abundant supply chain to make replicas at far lower cost

Yeah. It was worthy of being told.

Pulled over for possible DUI

Me: May I see your license and registration?

Him: “Do you know who I am?”

Me: “You don’t know who you are? That is very serious.”

Him: “Do you know who I am?”

Me: “Sir, you must step out of your car. Not knowing who you are is possibly very serious.”

Him: “Dammit! Do you know who I am?”

Me: “Sir, if you do not get out of your car, I will have to forcibly remove you.”

Him: “F… y…”

I opened the car door, and gestured for him to get out. He did. I then turned him around, handcuffed him, and patted him down for weapons”

Me: “Sit on the curb and calm down. Once you calm down, I can remove the handcuffs.”

He sat down and glared angrily at the ground. After a bit he spoke.

Him: “I will have your badge for this.”

Me: “You don’t need my badge. You can have your own. Just complete the Los Angeles Police Academy and they will give you one for free.”

Him: “I’m gonna tell you who I am”

Me: “I just want your drivers license and registration.”

He started ranting about not knowing who he was again. So, I put him in the backseat of the patrol car, impounded his car ( we were on the freeway), and took him over to a mental hospital for a 72 hour forced commitment (5150 in California).

Two days later, my Captain called me aside. He said that was a great arrest. It turned out that the guy was one of the city’s councilman. The captain said again, “Good job.” I asked the captain if he was told to talk to me about the arrest. The captain said, “The brass told me to talk to you. I did that.” He laughed and walked away.

Spoiled Princess Rejects BF’s Proposal Telling Him To “Try Again”, Instead He Tells Her To Get Out!

Lyle Closs

I don’t like people. Never have. Arrogance, ignorance, mendacity, self-importance, superiority… I don’t like lists either.I’m not a prepper. If the end of the world comes I’ll be out on my porch with a welcoming smile. I have so little – they can have it all. It adds up to a pile of nought and a root cellar full of dust.My great pleasure is to sit out there and watch the days rise and subside, the mountains glow then surrender to the clouds. The snow falls like ash, the sun claws into my skin, the wind reaches through the cracks of my cabin, the cold informs me I am still alive.I had a family but she decided I wasn’t her type and took the kids away. I came home from the tyre factory with a lung full of carbon and a house full of silence. She left a note. It said ‘Bye’. Love died with a three letter word.I pinned the note to the front door for the landlord and drove away with my last paycheck and became a ghost of the person I had been. A ghost is a memory of someone who once lived. Seems about right.Vegas baby. A place to burn up and die. I turned the paycheck into chips and put them all on red, then red, then black, then black. It doubled each time. To hell with it. I put the lot on 23. It paid. Then black. It paid. Then 00. A ball drops into a spinning slot and you have more money than you’ve earned in your entire life.Fawning, flattering fools rose to the surface like scum from a rotting fish soup. It happens when you have a pile of cash. I’ve seen it now and it’s not just a trope in a bad movie. I’m not falling for that though. Faux admiration won’t ever open my wallet. If you need to be liked you’re just a bank waiting to be robbed.I cashed in and drove to Montana, opened a bank account in Butte and disappeared into the wooded hills. How I like it.My neighbors are bears and birds. I deal with people when I need stores. Sometimes I sit on my porch with my rifle and pretend someone is coming up the trail. I pretend to shoot them.Trouble is that fantasy would be followed by the reality of being arrested and having to deal with every kind of scum in the legal and penal system. Anyway I’ve never shot a living thing. The gun is for comfort. I like the mechanics of it. And if anyone tries to break into the cabin at night I’m ready. Just try it lowlife. Just fucking try it…Anyway, no sense getting carried away with imaginings. People imagining things is what got the world where it is today. Imagining that dealing drugs will provide a better life; that sacking half the workforce will improve the company; that beating up a woman makes you a man. If aliens investigated the people of this planet before arriving, they’d change their minds and head for Alpha Centauri. Who’d want to take over this pile of scat.Which brings me to today. It started with the powerful light shining through my window in the night. You know what it’s like. Your eyes snap open wide, your body’s as tense as a top E string. You wait for the next noise.The light disappeared. It was a starless dark night out. Low clouds. You strain to hear anything more but the only sound is the breeze in the trees. You peer out but nothing moves but the aspen leaves and pine needles.The next morning you remember it wasn’t a dream and you wander off into the woods with your coffee and toast.In a nearby clearing was a large object that I could only imagine was a radically new weapon. It was matt black, about half the size of my cabin, with projections everywhere and no clear front or back. That was just my first impression though. It looked, I realized, like a large spaceship model from a Star Wars movie. But why would a model spaceship be in a clearing in my forest?

Then a very small door opened, a mechanical arm reached out and placed a spherical object on the ground, then retracted. The door closed with a hiss. Hot damn!

The object on the ground projected a hazy light that fuzzed in the air then formed a hologram in the air. It was a weird creature which made strange noises and waved its multiple arms, bowed then sat on the ground with its ‘hands’ held open and its head bent down. It seemed to be acting submissive or at least not aggressive. I sat on the ground and sipped my coffee and took a bite of my toast as I stared at it.

“What the hell are you?” I said.

The hologram creature was about six inches tall. It watched me drinking. I put the coffee cup down by the projector. The mechanical arm came out, picked up my coffee and lifted it into the craft. Seconds later it put the cup back, empty.

“Thirsty huh?”

I put the rest of my toast and honey down. That too quickly disappeared. The hologram alien clapped its hands and bowed ecstatically. I had the distinct impression it was out of food and drink. Whatever ‘it’ was.

Then another door opened and an actual alien dragged itself to the opening. It seemed to be in bad shape. It was just six inches tall. Ugly as sin too. Just like the hologram. Slimy white skin, six eyes in the hairless head, six arms, four legs. Clothes like silk, multi-colored, all tassels and baubles. Some weird idea of fashion.

It babbled at me, a high-pitched gurling sort of speech. “You’re a damn fool if you think I can understand a thing you’re saying,” I replied.

It held up a hand – wait – and dragged itself back inside. The spaceship made a noise like an engine trying to start. The alien came back to the opening and shrugged. I wondered how many gestures were standard across the universe. It was telling me the vessel wouldn’t start. Well, there’s not a lot I can do to help. I shrugged.

It collapsed. Struggled to sit up. Draped its legs over the edge of the opening and stared at me with all its sad little eyes.

The mechanical arm took the projection ball back inside then I heard clicks and hissing and, one by one, it brought out 11 matt black spheres about 3 inches in diameter and put them on the grass. I was puzzled.

Maybe it read my confusion. The projector was brought back out and showed a hologram of an alien apparently dying. I couldn’t tell what was killing it, maybe a poison or some kind of gas. Nothing obvious anyway. It collapsed, much like my alien buddy did just now. It didn’t move though. Then the hologram wrapped the body in a white cloth into a nearly spherical shape then put the wrapped body into a matt black sphere. The arm pointed to the 11 spheres on the grass.

I pointed to the alien in the opening and held up one finger. The hologram held up one finger. Alone.

Then the last one babbled again and tried to stand up but it fell out of the opening onto the grass. I reached out to touch it. It raised it little head, held out a couple of hands and touched my fingers, then it sagged and sighed its last. Dead.

“Bloody hell mate. Don’t tell me that means you’re all dead?” I knocked on the hull of the spaceship but thing appeared.

The mechanical arm lifted the body and wrapped it in cloth, pulled out a final black sphere, gently placed the body into the sphere and closed it. 12 matt black spherical coffins.

I heard a faint humming at the limit of my hearing and the spheres sank into the ground with 12 puffs of smoke or steam and disappeared. I didn’t know how deep they went but later I checked with my old metal detector and it found nothing, so they were at least a few feet in. I imagined them sinking down to the mantel and melting in the lava.

The projector started up again and showed a hologram of an alien looking at me and shrugging. I shrugged back. The spaceship couldn’t start and now had no crew. It didn’t know what to do.

I didn’t know what to do either.

If I tell anyone, the world and its military will descend on my peace and that will be the end of it. I might as well have shot someone.

But I have in my grasp the biggest event in the history of the world. Surely there can’t be just one spaceship? Is an invasion on the way? Could it be stopped if this spaceship was studied by the world’s experts? Could we learn how to reach the stars?

I didn’t think about it for long though. It wasn’t really a quandary. I moved my woodpile and it’s now covered so no-one can see it.

I sit out there most days and talk to it. Sometimes I hear soft humming like it’s still trying to start up. There’s a gap in the pile so the mechanical arm can come out any time it wants.

Occasionally it puts out the projector and the holo-alien shrugs. What can I do? I shrug back and we sit and stare at each other.

What Would World War III Really Look Like? It’s Already Starting…

Sunday, Oct 06, 2024 – 05:30 AM

Authored by Brandon Smith via Alt-Market.us,

One of the most common assumptions I come across in the survival-sphere is the idea that the next world war would automatically necessitate global nuclear conflict and a Mad Max-like outcome. In other words, a lot of people assume we aren’t in a world war until the nukes start flying and the survivors are left fighting in soda can armor over an irradiated desert. This is a dangerous misunderstanding for a lot of reasons.

What people are overlooking is the fact that we are ALREADY in the middle of WWIII. They don’t realize it because they’ve based their entire concept of world war on Hollywood fantasy.

There are many ways in which wars are fought. In our current situation WWIII is being waged through proxies like Ukraine and Israel (and maybe Taiwan in the near future). The war is also being fought on the global economic stage using sanctions, inflation and the dumping of the US dollar as the world reserve. To be sure, these situations can easily escalate into something bigger and that is exactly what I suspect they will do. However, planetary nuclear war is the least likely scenario.

Survival and preparedness communities have a tendency to hyper-focus on the obviously Apocalyptic. We talk a lot about EMP strikes and split-second grid down calamities. We talk about solar flares, overnight economic crashes and nuclear holocaust. I think survivalists do this because it acts as a mental exercise – A way to better clarify what the best preparedness solutions are in the majority of cases, including the worst cases.

But as I’ve said for many years, collapse is a process, not an event.

These things happen slowly, and then all at once. If you went back in time ten years ago and warned people that in 2024 the US would be in the middle of a stagflationary crisis with a 30%-50% average price increase on all necessities, they would probably dismiss you as a doom-monger. Well, guess what, that’s exactly what a handful of alternative economists (myself included) were doing well over a decade ago, and we were dismissed over and over again – Welcome to our world.

The reason people refused to believe us is because the danger was not immediately obvious. The economic threat was not hitting them in their wallet yet. Stock markets seemed to be doing fine. The jobs market was still functioning somewhat normally. They could only view economic crisis through the lens of a total collapse. The idea that it would happen incrementally never crossed their minds.

Even today there are still people who argue that everything is fine. The stock market is “fine.” The labor market is “healthy.” If you suggest all is not well, you’re a “chicken little.” This is the incredible danger of having a Hollywood fantasy idea of collapse. We may never get to 100% systemic implosion; but even a 50% collapse is still a survival situation.

The same dynamic goes for WWIII. We must not overlook the dangers right in front of us simply because intercontinental ballistic nuclear missiles aren’t crisscrossing the sky.

Consider the proxy battleground case for a moment.

In October of 2023 I published an article titled ‘It’s A Trap! The Wave Of Repercussions As The Middle East Fights “The Last War.”’ In it I stated:

Israel is going to pound Gaza into gravel, there’s no doubt about that. A ground invasion will meet far more resistance than the Israelis seem to expect, but Israel controls the air and Gaza is a fixed target with limited territory. The problem for them is not the Palestinians, but the multiple war fronts that will open up if they do what I think they are about to do (attempted sanitization).

Lebanon, Iran and Syria will all immediately engage and Israel will not be able to fight them all – Hell, the Israelis got their asses handed to them by Lebanon alone in 2006. This will result in inevitable demands for US/EU intervention.”

I also warned on the potential motives behind escalation in the Middle East:

The timing of the conflict in Israel is incredibly beneficial to globalists, and this might explain Israel’s bizarre intel failure [October 7th]. Just as US and British leaders had prior knowledge of a potential Japanese attack on Pearl Harbor in 1941 but warned no one because they WANTED to compel Americans to fight in WWII, the Palestinian incursion serves a similar purpose.”

I my article ‘Iran vs Israel: What Happens Next Now That Shots Have Been Fired?’ published in April, I predicted:

A ground war between Iran and Israel is inevitable if the tit-for-tat continues, and much of it will be fought (at least in the beginning) in Lebanon and perhaps Syria. Iran has a mutual defense pact with both countries and Lebanon is generally a proxy for Iranian defense policy.

Iran will have active troops or proxy forces in all of these regions, not to mention the Houthis in Yemen striking ships in the Red Sea. There are questions in terms of how Iraq will respond to this situation, but there’s not a lot of love between the current government and Israel or the US.”

Not surprisingly there was a contingent of people that argued these things would “never happen” and talk of war between Iran and Israel was “doom mongering.” Those people were wrong (yet again), and I was right. Iran and Israel have now essentially declared war on each other and are exchanging missile barrages as I write this. The ground war will begin in Lebanon and expand from there.

Just as in Ukraine, the looming danger is that war between Israel and Iran will draw in larger military powers like the US and Russia.

People dismiss this outcome because their modern conception of global war needs to change; this world war will not be fought exactly like those in the past.

This time the weapons of mass destruction will be financial and resource driven instead of nuclear. If Iran moves to blockade the Strait of Hormuz (which I believe is imminent), Americans can be harmed financially through energy shortages and gas price spikes even without our soldiers deployed to fight.

There’s also the question of our wide open borders and how many potential terrorists slipped into the US during the Biden Administration’s illegal immigration bonanza. How many attacks (or false flag attacks) are being organized right now?

The regional conflicts could spread and go on for a decade or longer. It all adds up to a world war, but it may never be officially declared a world war. Perhaps there will be a limited nuclear event somewhere; maybe a false flag or a limited strike. But a nuclear war is not necessary to create the kind of chaos the globalists are looking for.

People also need to understand that the powers-that-be also have a lot to risk should a war devolve into nuclear exchange. If it was really that easy for them to launch warheads, wipe out the majority of the human population and then establish a global dynasty, they would have done it a long time ago.

Global war on such a scale is inherently unpredictable. The elites have spent trillions of dollars and the better part of the last century constructing the most complex surveillance and control grid in history. It would be foolish to turn it all to ash in the blink of an eye and I highly doubt that’s the plan. They would be putting themselves and their legacy at risk of being erased forever.

Does this mean I will be ignoring the potential for a nuclear event? No. I will always keep it in mind and have preps ready just in case. A single nuke set off anywhere west of your home could result in radioactive fallout that would take around three to four weeks to dissipate. That said, the danger of these sceanrios might be overstated.

Here’s an interesting fact to ponder: The US government has tested at least 1050 explosive nuclear devices over the decades. Around 216 of those were atmospheric tests that resulted in massive fallout across the country. Some people in close proximity got sick over many years from these tests, but they didn’t result in an overnight mass death event. Perhaps, at a moderate distance, these weapons are not as dangerous as we’re led to believe?

The greater effect of nuclear weapons comes not just from the resulting damage to national infrastructure, but also mass psychological disruption. The economic system would take an immediate dive from even one strike, and it could be anywhere in the world. A single nuke in Ukraine would send shockwaves through already unstable markets.  The supply chain and food supply could be quickly disrupted.

If the globalists wanted to accelerate a worldwide collapse, they wouldn’t need a nuclear war, just one well placed device.

The biggest danger from WWIII is not nuclear exchange, but the disturbing changes societies go through when conflict inspires mass fear. Totalitarianism is much easier to institute during such a war. Freedom of speech is often suppressed and criticism of the government is often criminalized. People who rebel against this are accused of “working with the enemy.” Military conscription is usually enforced and young people are sent off to die overseas over a conflagration that makes little sense.

The economy nose dives and the supply chain tightens. Price controls and rationing are initiated. Black markets flourish but those who participate are aggressively targeted by the government. In the case of the US, armed revolution in many states is a certainty.

Public planning should focus far more on these eventualities and less on Hollywood images of Apocalypse.

Shorpy

22818a.preview
22818a.preview

22994a 0.preview
22994a 0.preview

22816a.preview
22816a.preview

20533a.preview
20533a.preview

16707a.preview
16707a.preview

13641u.preview
13641u.preview

8b27645u1.preview
8b27645u1.preview

22846a.preview
22846a.preview

23611a.preview
23611a.preview

23082a.preview
23082a.preview

8c29017u 0.preview
8c29017u 0.preview

8c28740u.preview
8c28740u.preview

8c04426u.preview
8c04426u.preview

16113u.preview
16113u.preview

8c04573u.preview
8c04573u.preview

8c04398u.preview
8c04398u.preview

23379a.preview
23379a.preview

28503u.preview
28503u.preview

20740a.preview
20740a.preview

STAR-BABES & STARSHIPS 5:- HIGH-OCTANE SCI-FI ADVENTURE

His Ridiculousness Justin Trudeau Has Resigned

Good to hear that His Ridiculousness has finally deigned to resign from his post as Prime Minister of Canada.

Trudeau was of little importance to people outside of Canada. I have never written about him. But I will remember him for his fake wokeness which was as authoritarian as his use of emergency powers against truckers who protested against Covid restrictions.

 

trudeau
trudeau

Justin Trudeau and family meeting a native of India
biggerArend Feenstra, a Canadian, who, with his wife and eight kids, has recently emigrated to Russia, feels relieved:

Trudeau’s reign of terror is finally coming to an end! Many Canadians have seen their lives dramatically altered over the last 9 years, savings wiped out, health destroyed… feeling helpless and hopeless, many of us have fled to other countries in order to keep our children safe. Although Trudeau’s resignation will not undo all the damage that he has done, it is a great start and hopefully the beginning of an end to the destructive and divisive liberal policies that have destroyed the Canada we all knew and loved. May God continue to bless all our beloved Canadians this year and may good overcome evil!God bless you all! We wish you a merry Christmas and a wonderful new year from our new home here in Russia!

Arend’s family has a video channel, Countryside Acres, on which they document their life. Their latest video, posted just two hours ago, is a review of their first year in Russia:

Posted by b on January 7, 2025 at 15:25 UTC | Permalink

Samba Steak stir fry

Seasoned beef strips stir fried to perfection with vegetables, then topped with pico de gallo.

518524d954c81ab6e9c0211b791445aa
518524d954c81ab6e9c0211b791445aa

Prep: 18 min | Cook: 12 min | Yield: 4 servings

Ingredients

Pico de Gallo

  • 3/4 cup chopped tomatoes
  • 1/4 cup chopped white onion
  • 3 tablespoons chopped fresh cilantro
  • 2 teaspoons minced jalapeño pepper
  • 1 tablespoon fresh lime juice
  • Salt

Beef

  • 1 pound round tip steaks, cut 1/8 to 1/4 inch thick
  • 3 teaspoons vegetable oil, divided
  • 1 1/2 cups thinly sliced bell pepper, any color
  • 1/2 medium white onion, cut into 1/2 inch wedges
  • 1 medium jalapeño pepper, thinly sliced
  • Salt
  • 8 small whole wheat tortillas (about 6 inch diameter), warmed

Rub

  • 3 cloves garlic, minced
  • 1 teaspoon ground cumin
  • 1 1/2 teaspoons ground chipotle chile pepper

Instructions

Pico de Gallo

  1. Combine tomatoes, onion, cilantro, jalapeño pepper and lime juice in a medium bowl. Season with salt, as desired.
  2. Cover and refrigerate until ready to use.

Beef

  1. Stack beef steaks; cut lengthwise in half and then crosswise into 1 inch wide strips.
  2. Combine beef and rub ingredients in large bowl; toss to coat evenly.
  3. Heat 1 teaspoon oil in large nonstick skillet over medium high heat until hot.
  4. Add bell pepper, onion and jalapeño; stir fry for 5 to 8 minutes or until vegetables are crisp-tender. Remove from skillet; keep warm.
  5. Heat 1 teaspoon oil in same skillet until hot. Add half of beef; stir fry 1-2 minutes or until outside surface is no longer pink. (Do not overcook.) Remove from skillet; keep warm.
  6. Repeat with remaining 1 teaspoon oil and beef.
  7. Return all beef and vegetables to skillet. Season with salt, as desired.
  8. Serve beef mixture in tortillas; top with Pico de Gallo.

I’m With the Banned

Submitted into Contest #247 in response to: Imagine a world where exploration is forbidden, and write a story about a character who defies this rule to satisfy their innate curiosity. view prompt

Jeremy Stevens

This story contains sensitive content

This story contains leftist political hot-buttons. Do not proceed if you are easily offended.“Do you promise you won’t leave me?”“Baby, how many times I gotta say it?”“More times than you have.”“I promise. I’m not going to leave you.”“It’s just…everyone I’ve ever loved has left.”“I am not everyone.”“And if we do this…”“Ssshhh…you talk too much.”“Just, go slow, ‘kay?”“You got nothing to fear.”—–“And you met him, where?”“At the dugout…”

“I mean, where, the first time?”

“Online.”

“Where online?”

“CuddlesClub. He said he was fifteen though…”

“And how long had you chatted with him, before…”

“Two months, maybe?”

“And when you met him…”

“He could have been fifteen, maybe.”

“But he wasn’t. You knew this, right?”

“Yes.”

How did you know this?”

“Just the way you know things.”

—–

“But she’s only twelve.”

“The State does not give her permission.”

“She was raped.”

“Better than being a murderer.”

 

—–

Noam is playing with blocks on the floor. He uses them not only to build, but to spell. His latest word is “dim”; his phrase: We are a dim lot. Noam is going on four.

 

Naomi and I are cuddling on the torn loveseat. She entered my life when Noam was born. I am sixteen now; Naomi is nineteen. Naomi named him Noam, said it was a good name, said it meant “pleasantness” and that Noam Chomsky said we are born with “innate linguistic aptitude.”

 

“It’s a silent ‘fuck you’ to the suppression from the State,” she told me.

 

I didn’t get it at all then. I get it a bit more, now.

 

Naomi kisses my cheek, and hums Jack Johnson: …it’s so much better when we’re together.

—–

We are huddled in the shanty. The rain has finally stopped, so Naomi has gone out looking for food. So long as she stays to the alleys, she should be fine. Better food there, anyhow. Lots of restaurants; lots of waste. Last week her foraging yielded an unopened bag of pre-cooked, deveined, tail-removed shrimp. Noam found it delightful.

 

I was twelve when my parents were imprisoned. My father’d called the judge a sick beast and away they went, both of them. I was sitting behind them with some person in a white robe.

 

Now now, she tapped my knee. Now now.

 

I was fat with child and my back hurt. Heavily medicated, I haven’t much memory of those times. Naomi says there’s much we are not allowed to do. Being together is one of them.

 

“What happens if they find us together?”

 

“Just stick to the script.”

 

But Naomi is white, which is also a problem.

 

“Who’ll believe we’re sisters, Naomi? You’re white and I’m…”

 

Naomi just kisses me then. It is a hard kiss. Passionate. She grips the nape of my neck and puts her forehead to mine. “Sweet angel, I do so love you.”

—–

 

At four, Noam is still a thumb sucker. Despite our attempts at potty training he still has to wear diapers, and still Noam cannot speak intelligible words. His block spelling has plateaued. While we have no reliable source for nutrition, Naomi is resourceful and provides our RDA of the necessary food groups but still Noam’s eyes are jaundiced, his gums are bleeding, his skin is scaly. He’s been given to highs of rage and lows of slurping depression. He’s pulled out most of his hair; his fingertips and nails are nubbbed from scratching our earthen floor. I’ve tried to love on him —we both have— and sometimes he’ll relent but more often he’ll gnash and growl.

 

“What do you think the problem is, Naomi?”

 

“How well did you know his father?”

—–

 

It was on one of her last forays that Naomi returned with books. “I found them in the dumpster,” she exclaimed delightedly, “all brand new.”

 

Governor DeSatanist. We both knew it, but we dared not speak of it, FOR JESUS CHRIST HATH DECREED THAT the right the abort, the right same sex, the right to read, THE RIGHT TO EXPLORE OPTIONS are no longer rights, but SINS, all in the names of murder! defilement! propaganda!

 

“Oh, Naomi, what beautiful treasures. The Giving Tree. What in the world?”

“Sexist.”

Exploring Civil Rights: The Movement.

“Racially motivated.”

Bridge to Terabithia? I loved this book.

“Promoting the occult.”

Where the Wild Things Are.”

“Again. Too demonic, they say.”

“All of these were tossed? The Outsiders (too violent!), To Kill a Mockingbird (too mature!)…oh, I love this one but never heard of it: My Moms Love Me.”

 

We both looked down at our four-year-old, teething on a sandal.

—–

There is heavy foot traffic outside our tin-roofed shanty. They are marching in unison. Regimental, a tap-tap on the door: big bad white men instilling fear in two biracial dykes and a bastard invalid. We know why they are here. Surprised it took them so long.

 

The walls of our shanty are now lined with books: banned books, we assume, for they’d all been discarded. Several months ago, we’d opened our doors for exploration, purely word of mouth quite naturally as we —Naomi and I, and Noam— are not known to exist, not any longer. (For it’s been assumed, we assume, that we were wiped clean during the last fumigation, we fitting all their criteria of filth, after all.) Prior to finding us, our people had been fed the The History You Need to Know twenty-volume series; The Jesus Christ Giver’s Guide: How to be a Good Citizen; and The Lives of Hunter and Paisley five-volume series (Birth-Elementary Homeschool; Homeschool in the Neighborhood; College is not Necessary; Adulting with People Like You; Growing Old Quietly and Respectfully).

 

For the past several months, though, we’ve allowed our people to travel, to read with delight words that are actually said, emotions that are actually felt. Our people have been able to find comfort in words, healing words, words that have allowed them to transcend the NORM and to explore the lives of others, the majesty of foreign lands without the privilege of escape from this, our “home of the free because of the brave,” words and emotions that are now SINS because…because…

 

is there one right answer here?

 

Because independent thought is treachery. An enemy of progress.

 

Because “who controls the past controls the future, and who controls the present controls the past.” Because “the best books are those that tell you what you already know.”

 

Orwell, too, has been banned, of course. But we have him in our library.

Had, for we have been discovered.

—–

 

We are not going to be stoned, or burned like witches. We are not going to the rack or the gallows, or the chair. We are not going to be strapped to a gurney and punctured with needles. We are not going to be shot, or even gassed.

 

Our “fumigation” is the now-proverbial Jim Jones’ Drinking the Kool-Aid, though still we get to live, very much like the donkeys at the end of Pinocchio, also banned for its debauchery on Pleasure Island: as sheep in the fields, after the surgeries are complete, we shall follow without question, we shall bleat unintelligibly, we shall chew the cud from dawn ‘til dusk with those indistinguishable from ourselves.

 

We shall cause no further problems. We shall be obedient.

On my anniversary, he gave me a gift box but when i opened it, it were pictures of me & my affair

https://youtu.be/C4fgZ56gjl0

After F-35’s Detected, Israel Preparing Large Surface-to-Surface Missile Launches at Iran

After F-35's Detected, Israel Preparing Large Surface-to-Surface Missile Launches at Iran

After the Russian government alerted Iran to the approach of four F-35 stealth jets toward Iran from the Persian Gulf yesterday, which reportedly caused an abort to that mission, Israel is now preparing surface-to-surface missile launches.

Information about Israel’s ballistic missile capabilities is sparse, but here’s what we know about the “likely” missiles to be used, which is speculated to be “Jericho II” missiles, seen in the FILE PHOTO above.

The Jericho II (YA-3) is a solid fuel, two-stage long-range ballistic missile system and a follow on from the Jericho I project. As many as 90 Jericho 2 missiles are currently based in caves near Zekharia (Sdot Micha Airbase), southeast of Tel Aviv.

Jericho II development began in 1977, and by 1986 there were reports of test firings. According to Missilethreat.com, a project of the George C. Marshall Institute, there is evidence the Jericho II originated as a joint Israeli-Iranian project, cooperation that ended with the loss of friendly relations after the 1979 Iranian Revolution overthrew the Shah’s rule.

There was a series of test launches into the Mediterranean from 1987 to 1992, the longest at around 1,300 km, mostly from the facility at Palmachim, south of Tel Aviv. Jane’s reports that a test launch of 1,400 km is believed to have taken place from South Africa’s Overberg Test Range in June 1989.

The Jericho II is 14.0 m long and 1.56 m wide, with a reported launch weight of 26,000 kg (although an alternative launch weight of 21,935 kg has been suggested). It has a 1,000 kg payload, capable of carrying a considerable amount of high explosives or a 1 Megaton yield nuclear warhead.

It uses a two-stage solid propellant engine with a separating warhead. The missile can be launched from a silo, a railroad flat car, or a mobile vehicle. This gives it the ability to be hidden, moved quickly, or kept in a hardened silo, largely ensuring survival against any attack. It has an active radar homing terminal guidance system similar to that of the Pershing II, for very accurate strikes.

The Jericho II forms the basis of the three-stage, 23 ton Shavit NEXT satellite launcher, first launched in 1988 from Palmachim. From the performance of Shavit it has been estimated that as a ballistic missile it has a maximum range of about 7,800 km with a 500 kg payload.

The Jericho II as an available Israeli counterattack option to Iraqi missile bombardment in the 1991 Gulf War is disputed. Jane’s at the time believed that Jericho II entered service in 1989. Researcher Seth Carus claims that, according to an Israeli source, the decision to operationally deploy the Jericho-2 was only made after 1994, several years after the Scud attacks had ended and a cease fire and disarmament regime were in place.

Raytheon Technologies, quoting Soviet intelligence archives, showed them believing the Jericho-2 to have been fully developed weapon in 1989, but did not indicate when it was available for deployment.

Investigators for the Carnegie Endowment for International Peace accessed commercial satellite images of the Sdot Micha Airbase near Zachariah, a suspected Jericho missile base, comparison shows expansion between 1989 and 1993 of the type that would accommodate suspected Jericho II launchers and missiles. Such an expansion would be more consistent with a post-1991 deployment chronology.

It is presently unknown how many such missiles Israel has.

US Military Sending More Assets

Additional Aerial-Refueling Tankers with the U.S. Air Force are enroute to the Middle East this morning, possibly ferrying a Squadron of F-22s, F-16s, or F-15Es which the Pentagon had previously stated would be Deploying soon to the Region.

Shown below, two KC-46A Pegasus tankers (reg: 20-46074 & 20-46073) from McGuire Air Force Base One C-5M Super Galaxy (reg: 86-0012) One C-17A Globemaster (reg: 01-0188) likely heading to the Middle East:

US refueling tankers huge cargo planes to ME
US refueling tankers huge cargo planes to ME

WaPo Editors – Ending The War Is Worse Than Losing

The Washington Post editors have long argued for prolonging the war in Ukraine.

In November 2022, when Ukraine was in a good position to negotiate an end to the war, they argued against it:

Mr. Zelensky and his supporters in the West undoubtedly understand that peace talks might eventually be necessary, his commitment to victory notwithstanding. And yet to declare that, or even imply it, before the time is right — before Ukraine’s armed forces have exhausted every opportunity to regain occupied territory — would convey slackening commitment. And that, in turn, can only convince Mr. Putin that time is on his side and that he should prolong the fighting.

Since then Ukraine’s armed forces have exhausted every opportunity to regain occupied territory – and failed. Russia was then and is now convinced that time is on its side.

Now, finally, the editors acknowledge that their war against Russia in Ukraine is lost. But they still insist that this can not be allowed to be formalized in a ceasefire or peace treaty.

While they are stomping their feet they fail to present an alternative:

Ukraine risks losing the war. A Trump-imposed bad deal would be worse. (archived)
A settlement that dismembers Ukraine and rewards Putin will undermine U.S. credibility.

As Russia is the dominating power in the war in Ukraine there will only be one deal that can be had. It will be along the parameters the Russia’s President Putin has laid out. That deal will certainly be less than optimal for the U.S. side but how would it be ‘worse’ for Ukraine than losing the war?

As for ‘credibility’:

A pullback now would convey that the United States and its allies lack staying power and that their promises come time-stamped as valid only until the next election date. How might China take such a message as its autocratic president, Xi Jinping, contemplates whether to make a military move to try to seize the self-governing democratic island of Taiwan?

The U.S. ‘lack of staying power’ is a feature of its democracy. It is well known that U.S. citizen’s opinions about supporting a war tend to change over time. Just ask the Vietnamese or the Taliban for experience with this. No unrealistic fear mongering about China will change that fact.

It is however good to learn that the editors (finally) see the situation of Ukraine as unsustainable as it is:

Ukraine is also losing troops at a rate far beyond what it can sustain and continue fighting. The official casualty estimate of 400,000 killed or wounded is considered a vast undercount. Thousands of exhausted Ukrainian soldiers are deserting the front lines.

The editors know that it is over for Ukraine but they still reject to acknowledge the consequences. They say that a deal over Ukraine, any deal, would be bad but there is not even a hint of what an alternative might be:

Ukraine can hardly survive another year of this devastating war. But the haste to find a negotiated settlement could produce a bad one that would reward Mr. Putin for his land grab and guarantee he will launch a new attack for more territory once he has a chance to rebuild his depleted arsenal. A poor settlement would also leave Ukrainians bitter after seeing their homes, schools and factories destroyed, and friends and family members killed. Much of their anger would be directed at the Western backers who betrayed them. This is a fight America, and Ukraine, cannot lose, especially with a bad deal.

The war is lost. A hasty settlement will be bad. Russia will be embolden and the Ukrainians will be sad.

But what else is there to do? The editors don’t know. They thus close with a sentence that does not even (‘cannot lose’) make sense.

Posted by b on January 7, 2025 at 16:38 UTC | Permalink

My Cheater GF Loses It After I Pretend To Be In An Open Relationship For 2 Months And Then Dump Her

Head games are terrible. Do not do it.

Pick the star chart that fits you

I was at Best Buy a number of years ago. I heard two people talking about a computer they were looking at and they had some questions. So I politely introduced myself and asked if I could help. Got them squared away and then went on about my business.

Then someone started clearing their throat. I didn’t think anything of it because I was engrossed in what I was doing. Finally, I heard an exasperated sigh and a rude tap on my shoulder. I turn around and look down to see a clearly angry lady standing there.

I turned to her:

Me: Ummm… yes?

Karen: sigh… hello, I need help with a cell phone.

Me: ok…

Karen: well, are you going to help me?

Me: Mam, I don’t work here…

Karen: Look, I know this isn’t your dept. but I waited patiently while you helped that couple for 20 min, and I know you are just a lowly peon, but you could at least get me some help.

Me: Look lady, I don’t work here.

Karen: That’s it, I am going to have your job!

And she stomped off. I shrugged it off and didn’t think about her again.

5 min later she comes back with another guy.

Karen: I want this person disciplined. He was disrespectful, rude, and refused to help me.

Best Buy Guy: Mam, he doesn’t work here.

That’s when I had an epiphany. I look down and realized I was wearing khaki pants and a blue polo shirt.

Karen: Good! I told you I would have your job!

Best Buy Guy: No mam, I can’t fire him, he is not an employee, see, I have the logo <points to his shirt> and he doesn’t <points at my shirt> now how may *I* help you.

Karen: Oh this is ridiculous, you stupid bastards are just sticking together. I am writing corporate to complain and I am NEVER coming to this Radio Shack again!!

Best Buy dude and I look at each other and in almost unison say: “Ok then” and watch her storm off.

GROUNDBREAKING STUDY of 4000 NDEs: Doctor UNCOVERS Near Death Experiences TRUTH | Dr. Jeffrey Long

I am not familiar with the WW2 in Europe. I only know the one in Asia that was waged by the imperialist fascist Japan who said Japan were chosen by Japanese god to rule Asia. At one time, Japan did colonise almost the entire Asia.

First, dont worry about Taiwan which is under good control of China. What you should worry about is South China Sea. If ever there were a war in Asia, it would be Philippines, a US puppet, who provokes China enough to start a war. Just like Ukraine to Russia. Same US formula.

Mideast

In the Sep 2024 UNGA, Netenyahu made it clear he wanted to rule Mideast. USA who is losing influence in Mideast also wants Israel to rule Mideast so that USA can focus on Asia ie China.

That is why Netenyahu kept provoking Iran, thru assassinations.

China

History tells us that when the dominant country faces the challenge from the rising country, the dominant one, out of desperation, will wage a war, so as to “die” with the rising one.

Who is the dominant one since WW2? USA.

Who is the rising one since 1980? China.

So far, USA has lost many “wars” to China. Trade war. Trump’s crazy tariff failed to slow down China.

Trump house-arrested Huawei’s CFO so as to blackmail Huawei. Failed. In 2024, Huawei has surpassed iPhone.

Semiconductor & chips. Again failed. China has partially become self-reliant & self-sufficient. Will be fully independent soon.

In Sep 2024, US capitalist sharks went to China to seek a dialogue so as, in their words, not to miscalculate. In short, USA has lost its 2-year financial war to China. It beat down Japan though.

Not to mention USA is to lose its USD & financial hegemony once BRICS matures.

What is left for USA in face of China? Military war esp if Democrat wins election.

I may sound pessimistic. Look at the sabotage of Nord Stream. Somebody was desperate to sell its gas to Europe so as to make money.

As one who prefers your steak well done, you are doing the steakhouse a valuable service, according to professional chef Anthony Bourdain, in his famous book “Kitchen Confidential”

:

People who order their meat well-done perform a valuable service for those of us in the business who are cost-conscious: they pay for the privilege of eating our garbage. In many kitchens, there’s a time-honored practice called “save for well-done.” When one of the cooks finds a particularly unlovely piece of steak—tough, riddled with nerve and connective tissue, off the hip end of the loin, and maybe a little stinky from age—he’ll dangle it in the air and say, “Hey, Chef, whaddya want me to do with this?” Now, the chef has three options. He can tell the cook to throw the offending item into the trash, but that means a total loss, and in the restaurant business every item of cut, fabricated, or prepared food should earn at least three times the amount it originally cost if the chef is to make his correct food-cost percentage. Or he can decide to serve that steak to “the family”—that is, the floor staff—though that, economically, is the same as throwing it out. But no. What he’s going to do is repeat the mantra of cost-conscious chefs everywhere: “Save for well-done.” The way he figures it, the philistine who orders his food well-done is not likely to notice the difference between food and flotsam …

This is not to say every time you receive a well-done steak you’ve been paying full price for the privilege of helping the chef dispose of inferior meat, but it’s safe to say you’re at higher risk of it than your medium-rare steak-loving dining companions are.

Chefs know that once a steak is cooked until there’s no pink left whatsoever (overcooked) the customer isn’t going to be able to taste the difference anyway. Fresh meat, stale meat, doesn’t matter. That makes you a valued customer. It benefits the steakhouse’s inventory management.

Cooking your steaks at home might be the best bet for ensuring you’re getting what you pay for.

I have friends who dislike medium and medium-rare steak, and often the reason they feel this way is because they’re uncomfortable with the center of the steak being pink and “bloody”. Which is understandable.

However, this is based on a misperception. The red juice coming out of a steak is not blood. It’s myoglobin, a protein that when exposed to oxygen, turns pink or red. There’s no such thing as a bloody steak. It’s just juice. It’s a sign that the steak is cooked just right. This is something you might consider, if that’s a factor in your choice to have your steaks cooked until they turn gray inside.

I am inclined to take your side because I think people should eat whatever style of food they want, prepared however they like, even if others disagree or disapprove. Even if I personally think you’re wrong, you have the right to order whatever you like, however you like it. It’s a free country, man.

Yet I also sympathize with your friends, dining companions, acquaintances, or people in general who voice opposition to steak “well done”, viewing it as inferior way to cook and serve steak. When they dine out, there’s a good chance that they’re being served better-quality steaks.

But since you prefer your steaks well-done, and you won’t be able to tell the difference between fresh steak and not-fresh steak anyway, what’s the harm? Everyone wins.

Splendid Isolation

Submitted into Contest #247 in response to: Imagine a world where exploration is forbidden, and write a story about a character who defies this rule to satisfy their innate curiosity. view prompt

PJ Town

There it is. At least I think that’s it, though it might be a cloud bank. Or land, shrouded in mist. Anyway, it’s beautiful, and it’s my future.It’s only eighteen miles away, but at this very moment it might as well be eighteen thousand. I’ve heard that people used to swim there in the old days – cover themselves in goose fat against the cold, then breast-stroke the whole distance. They’d have a boat beside them for support, which seems a little senseless; why didn’t they simply get in the boat?I’m going by boat myself, not sure when. I’ve got my deposit down – a thousand creds, which isn’t cheap. There are people that’ll do it for half that, but I’ve heard of bodies washing up on the shore, and I’m not so keen on dying just yet. My man, Maurice – I’m sure that’s not his real name – has a good reputation. You won’t find his name on the I-net, obviously. The necessarily scant word-of-mouth that finds its way to me says he only uses the best-quality boats – safe and quick. And not overloaded; at the price he charges, he can afford to keep numbers down.If I get a bit nearer the edge … careful, careful, the ground’s very crumbly here. Yes, that’s it, I think, down there on the right – that little cove, with the grey waves lapping in. Perfectly hidden from the patrol boats. Not sure how we’re going to get there. Rope ladder? Secret tunnel? Search me. I’ll find out nearer the time. Mustn’t get too impatient. That’s when the slips come; a loose word might mean the difference between escape and the clink. Or worse.To be honest, I can hardly contain my excitement. I think about it every minute of every day, although my thoughts are rather vague. No one knows for sure what’s over there, except, I imagine, those at the very top of the regime, or in the Ministry of Splendid Isolation. Or Maurice.I asked him; all he would say was “It’s different”. I wanted to know what that meant. He said he didn’t want to expand. I could see from his face that it was different in a good way. One thing I couldn’t work out – if it’s so different, and in a good way – was why he doesn’t take one of his boats and just stay there. I asked him that, too. He simply shook his head, then patted his heart.Apart from his ferrying activities, the MSI would arrest him if they caught him extolling the virtues of anything other than what we have here. Our countryside is the best, they say. I must admit that the sooty-grey hillsides, the plains, the coast, all have a certain austere beauty. Our music is the best, they say. It’s not a lie – I don’t think I’ll ever tire of pipes and drums. Our food is the best, they say. I do quite like cod-meal and oats, but my tongue and tummy protest sometimes. I must never say that out loud, however.Nor must I ever show anyone my paintings. When I’m not at the factory, I take my paper and vegetable-dye paints down to the riverside; the smell is sometimes bearable when the wind’s blowing in the right direction. I paint the dark, skeletal trees that grip desperately onto the bank; I paint the slate-grey rainclouds crawling across the sky; I paint the birds that perch in the branches of the trees, then take flight to swoop along the river. I like to paint their bright colours, which is highly illegal, of course.When anyone passes, I hide the work in my fishing bag and grab my rod. I’ll have already used it to cast a float out into the dawdling waters. I’m taking a risk with this subterfuge, naturally; everyone knows there are no fish left to catch in the rivers. I bank on people imagining that I’m an old eccentric, to be pitied, no more. So far it seems to have worked,I’d like to hang the paintings on my wall, but that would be far too risky. I keep them rolled up under the floorboards. I know it’s a crime to produce the paintings – and to store them. However, I can’t begin to describe the curious, irresistible impulse that enters my being at times. I do know it’s stronger than me.This is the main reason I need to leave. I want not to have to think twice about every idea I have, every word I say, every painting I produce. Plenty of people seem quite happy with their lot, that’s fine. But I’m sure – I don’t know how – that this is not all there is. That the reason we’re here in this world is not merely to serve the regime.Yes, I’m eager for the days when I don’t have to hide … anything. When I can sit with a friend to discuss painting, relationships, the weather, and not be afraid that an unfriendly ear will report it as sedition.The woman in the room next to mine, Catherine, who I liked very much, was caught in this way. A neighbour from down the corridor was fond of her too. When she rebuffed his advances, he engineered a meeting between her and a teacher from his son’s school, who he also held a grievance against. A word to the MSI and they quickly discovered the two – simply talking. That was enough. She was jailed for fifteen years for conspiracy. The teacher disappeared.Of course, while it’s important to heed these threats, it’s equally important not to give them too much weight. Dwelling on them can drive a person mad – a man from the next block took his own life last week, for instance. I’m determined not to go that way. And so the boat…

I asked Maurice how I should prepare for the journey. He told me to travel light, which is a little ironic because I possess next to nothing. According to him, I should wear warm, waterproof clothes; I have two sweaters, which I’ll wear one on top of the other, and I’ll fashion a cagoule from bin-bags. He said that creds can easily be traded for local currency (though I won’t have much money to trade – even less after paying the rest of the fare).

When we met, he briefly taught me some of the local lingo to get me started, and I’ve been turning it over in my head ever since. Just short phrases, like:

Bonjour. Je m’appelle Philip.

Simple words that feel like freedom on my lips and tongue.

I think nobody has explained about that more accurately than Obama. The US doesn’t want China to become prosperous because it feels that all the good things in life is deserving only for the US and its lapdogs.

Obama once told an Australian journalist:

if over a billion Chinese citizens have the same living patterns as Australians and Americans do right now then all of us are in for a very miserable time,

That’ was a painful and revealing honesty. In short, in the mind of the west, the world can continue with a billion of Chinese dead but the world can’t have the US and the west to miss even a good meal.

Pirates of the Caribbean – 1950’s Super Panavision 70

At least in the US, the perception is that Air Force folk are soft. They don’t train nearly as hard and as rough or put up with anything like as much BS as I experienced in Army basic training. And their creature comforts are the best offered by any of the services. As in flat out luxurious compared to the Army, and I assume, Marines.

For the most part, though, dumping on them is just good natured fun.

And to be fair, it doesn’t make sense to put airmen through the kind of training intended to prepare them for the kinds of things people in the Army and Marines need to prepare for. The toughness of basic and AIT (and whatever Marines call their basic and AIT) has a purpose. Yeah, a lot of it is mindless machismo, but much of it is intended to prepare folk in those branches for rough and tough stuff they might actually have to do and deal with in case of war.

Even in case of war, though, it’s highly unlikely that airmen will have to stay in foxholes for weeks, or hike twenty miles with full rucksacks. So why waste time making them do that in training, instead of train them for the technical stuff they’ll actually need to know in order to be useful?

main qimg 30332451d785459b6786020bef230a40
main qimg 30332451d785459b6786020bef230a40

(Airmen don’t and won’t have to deal with this, so why waste time training them for it? Writers Cafe)

And as to luxurious creature comforts and what seems like coddling, welp… the reality is that a lot of airmen do highly technical stuff that’s in high demand in the civilian world. E.g.; I was a TOW gunner – not a whole lot of demand for that in the job market. So the Army could get away with throwing away the kid gloves when treating 11H and similar MOSes. Air Force computer techs or airplane mechanics and the like, though – if they don’t like how they’re treated, they have better options than do their brethren in the other branches. They simply won’t reenlist when their 4 years or whatever are up, fairly confident that with the skills they learned in service, they can land a civilian job that pays a lot more than Uncle Sam does.

So for purposes of retention, the Air Force has more of an incentive to treat its members nice than the other branches do. They’re literally more valuable in the job market and are easier to lose. Accordingly, the Air Force tries to be as nice to them as possible, in order to increase the likelihood of their sticking around.

The whole “getting there” thing. Just this morning I got a phone call. My ex-wife calling. She told me a mutual friend of ours, one of my daughters’ godfathers, had just died. He was 32 years old. A writer, like me. Struggling, like me. A hopeless romantic, like me. And now, a dead man. Unlike me. The guy was a mountaineer, a poet, a very healthy, well-built young man. Fit as a fiddle. Until he wasn’t. This morning he had a heart attack and passed away before reaching the hospital.

He never got to publish his first novel. Never got to write a screenplay. Never got to be a famous author, and he was so good, his words so pure. He had a good heart. He loved to drink on occasion, he could get philosophical and deep and he was well-read. A good sense of humor, didn’t take himself too seriously or unseriously. A sensitive soul. A lost soul. But also “lost and found” as he’d gotten together with a wonderful woman about eight years ago and she had changed his life for the better. A few years ago he left the bustling city life of his youth for a quiet life in the province. Mountain tops, hills and valleys all around him. Little rivers. Lazy creeks.

I remember the last time we talked and had some drinks — April 2023. We drank whiskey. Smoked a cigar. And thought of the good old days. When we first met. He was jovial, at times introspective. But every part of him screamed “young man in the prime of his life”. There was this fire in his eyes, this little twinkle of life. He was slender, fit, and he had this sense of humor. Sometimes he would laugh at his own jokes. Seeing the humor in his own failings. We promised each other we’d still get together and drink even when we’d be old men. We would still talk about literature, about the novels we had written, about the novels we still planned to write or that were still in the works. We’d be joined by our wives, our children and grandchildren…

The greatest benefit of aging is growing old in the first place. You’re a lucky man if you get to be old. If you get the privilege of still standing at the end of the road. We bury friends along the side of that road. We lose lovely people. And we cry for them. Or we don’t. We miss them in little unguarded moments. Our hearts ache for them. And we carry on. And as much as it hurts… we’re the lucky ones, for still carrying on. I drink to the spirits of my fallen brothers. And my eyes are dry but my heart silently weeps. Count your blessings.

Ranch Round Steak

4333d2a3f699efde20fba68bd6664241
4333d2a3f699efde20fba68bd6664241

Yield: 8 servings

Ingredients

  • 1 (3 pound) round steak
  • 1/4 cup all-purpose flour
  • 2 teaspoons dry mustard
  • 1 1/2 teaspoons salt
  • 1/8 teaspoon pepper
  • 1/4 cup shortening
  • 1/2 cup water
  • 1 tablespoon Worcestershire sauce

Instructions

  1. Cut steak into serving size pieces; trim away excess fat and pound to tenderize.
  2. Combine flour, dry mustard, salt and pepper; use to coat meat. Reserve remaining flour mixture.
  3. In skillet, brown meat, half at a time, on both sides in hot shortening. Push meat to one side; stir in reserved flour mixture.
  4. Combine water and Worcestershire sauce; stir into skillet mixture. Cook and stir until thickened and bubbly, reduce heat. Cover and simmer for about 1 hour or until meat is tender.
  5. Remove meat to platter.
  6. Skim excess fat from gravy. Drizzle gravy over meat and serve.

A sad cat

“This cat slept on the pillow next to my mother’s every night. As my mother grew weaker, I began taking care of the cat. Seven months later, my mom passed away peacefully in her home, just as she had wished. The cat was in her usual spot by my mother’s head. When the funeral home arrived to take my mother’s body, the cat refused to leave the bed. After my mom was gone, the cat paced up and down the bed, letting out low, loud meows—a heartbreaking sound that was pure grief.

After about 15 minutes of this, I couldn’t bear it any longer and gently scooped her up in my arms. It was the first time she had ever allowed me to hold her, but from that moment on, she became my shadow. She also grew much bolder.

main qimg 6bd0d67fd0e087735b2f0fbcc98b5eb38a
main qimg 6bd0d67fd0e087735b2f0fbcc98b5eb38a

I’ve had cats all my life, but this was the only time I had ever witnessed a cat grieve💔.”

The near death experience of Penny Wittbrodt

Unruly behavior in real-world courtrooms is rare. Offhand, I can think of only one outburst that I have personally witnessed.

The plaintiff was a former employee of the defendant’s auto body shop. The plaintiff claimed that he had been fired for complaining about unsafe working conditions in the body shop.

At trial, the plaintiff presented evidence of unsafe working conditions that would make your hair stand on end. By the time the plaintiff concluded his case in chief, it was clear to everyone in the courtroom that the defendant was … well, let’s just say that he was one of those people you’d really, really, really, really, really like to throw the book at.

Next, it was the defendant’s turn to testify. For several minutes, he tried to paint the plaintiff as a whiny slacker who was looking for an excuse to get out of doing any work.

Finally, the plaintiff couldn’t stand it any more. He yelled, “I welded in the paint room!”

The judge didn’t say a word.

By that point in the trial, the plaintiff had already established that the defendant was the kind of person who would order an employee to weld in the same room where cars were being spray painted. And everyone was so fed up with the defendant that nobody seemed to care about the plaintiff’s outburst.

Moral of the story: When you order employees to work under conditions that create a risk of blowing up the entire workplace, you shouldn’t be too surprised when one of those employees blows up in the courtroom.

Meet !!! New China’s Gyrocopters Armed With Anti Tank Missiles, is Amazing attack Helicopter

I was 17, about to turn 18 the next month. I knew I needed to start building credit, but given my only income was about 12 hours/week at $8/hour, not many places were keen on giving me a credit card much less without a co-signer (and I did not want a co-signer since it was my credit so my problem).

I finally found a credit card through Bank of America (my current bank too) that had about a $300 limit. Cool, I finally have a credit card!

As I was grabbing my paperwork and about to leave, the teller says,

“Hold on! I forgot to tell you one thing about this card!”

“Yes?” I answered hesitantly. I had already gone through the wringer looking for a card that I can qualify for, and the last thing I needed was another caveat.

“Your card has a cash-back feature as a promotion!” the teller beamed. “That means if you spend $1.95, we will round up the purchase to $2. $1.95 will go to the purchase, and $0.05 will go into your savings.”

“Okay,” I think, “it’s a way to get people to save more money. But how is this a promotion?”

“And,” the teller continued, “Bank of America will match those funds transferred to your savings account 100% for the the first three months of owning the card!”

Whoops, wrong thing to say to a very ambitious 17-year-old.

I immediately began scheming of ways to exploit this. Heck, I figured all I had to do was change my buying habits. The issue was, what do I purchase that I can really exploit this?

Then it hit me: I can buy gas.

Instead of filling up I would put $1.01 into my tank multiple times. Then Bank of America would match the $0.99 cents transferred to my savings account. Sure, it was tedious, but doing this for an hour I would make way more than when I worked at my actual job.

In the end I wasn’t as disciplined with this method as I would have liked. Frankly I felt very suspicious standing at the gas pump for half an hour.

I wound up making around $150 using this “exploit” (I use quotations because if a 17-year-old can outsmart Bank of America, it had to be designed with this sort of use in mind.).

The funniest moment was when I told the teller at the bank what I had done at the end of the three months. Her face looked something like this:

main qimg 32179dce2f1fe3c298b6e1e30ae37e1d lq
main qimg 32179dce2f1fe3c298b6e1e30ae37e1d lq

The biggest category banned by the Chinese government is not politics, but porn, violence, adultery, gambling, and drug-use. Most PG13 movies and games would be judged as “too much breast” or “too violent” by the Chinese standard. Without the ban China would be the biggest market in the world for Japanese or Korean porn.

This is considered to have too much skin and the TV series was taken off air for three days to crop off the cleavage.

main qimg f7b5c3b1b47726e163b59c5381db0be8 lq
main qimg f7b5c3b1b47726e163b59c5381db0be8 lq

Viewers complain after censors remove cleavage from Chinese TV show

If the government doesn’t do anything, some other people in China will complain about the government not doing enough to ban it.

The Chinese government is one giant parental control.

On the other hand, China has less rules/laws/social norms than most other places. There are fewer things you can’t imagine than things that actually occur in China. For example, take funeral. On the one hand, you have people hiring strippers to strip in funeral processions in order to attract a big crowd, like, let’s give the dead a big send-off. No More Funeral Strippers, Chinese Government Announces. On the other side of the spectrum, you have people blasting national anthem for funerals of some random people. China Bans National Anthem at Weddings, Funerals Why would people do this sort of stuff is just beyond me!

According to United Nations data, there are about 30 countries in the world that qualify as developed countries.

But countries like South Korea and Singapore can only be considered entry-level developed countries.

But there are only 7 truly developed countries: the United States, Germany, the United Kingdom, France, Japan, Italy, and Canada.

They are also called G7.

From the end of World War II to the present, developed countries around the world have become richer, and poor countries have become poorer.
Apart from a few examples, such as South Korea and Singapore, almost no other country has gone from poverty to wealth, and none of the large countries have done so.

It stands to reason that during the 70 years of peace, people in every country have been working hard, wealth should naturally grow and accumulate, and poor countries should gradually become richer.
But why does the list of rich countries almost never change?

Are Vietnamese lazier than Americans? Or are Malaysians dumber than British people?

I think neither.

There is something wrong with the rules of the game in this world.

The rules of the game set by rich countries are like a wall that keeps poor countries out.

They can plunder the wealth of poor countries without sending colonial troops.

This means that the rewards that young people in poor countries can get from working hard in the mines for a year are not as good as young people in developed countries from a simple transaction in stocks.

An elderly person in a poor country who has to rummage through garbage dumps for a month cannot compare with what an elderly person in a rich country can get from queuing for ten minutes at a relief station.

This is a problem with the rules of the game. Seventy years of experience show that under the current rules of the game, it is difficult for poor countries to rise.

The existing rules are like a rich country riding in an SUV and seeing a poor country struggling in the desert about to die of thirst, dropping a bottle of water: Hey, I saved you, so you have to work for me for a year. It’s a job, take it or die.

In order to survive, poor countries have to accept that. It is the rules of the game.

In the past, the world had no choice other than the existing rules of the game.

Now, China has given a new option. The Chinese promise to help you dig a well on the condition that they share the output of the well.
Although whether this new option will work, no one knows yet. But any government that wants its country to improve will try to seize this opportunity.

The rich countries will shout, Hey: China’s wells are poisonous, don’t be fooled!
who cares?

Because while they are shouting, they are not giving another well to the poor countries. They hope to continue handing out bottled water and continue the old game.

EMBARASSING! Women Score Higher In EVERY CATEGORY

Yes, Rome continued to be inhabited after it’s final “fall” in 476 C.E.

However, Rome depended on its massive Empire to provide it with food and wealth, with that gone, the city was unsustainable. Once home to a million people, within a century the population had fallen to about 10% of that. For the most part, there simply weren’t enough people to maintain the grandeur of the city. Within two centuries, most people who lived in Rome had no idea what the buildings used to be used for (like the Coliseum)

Rome’s also in an area that gets earthquakes, and between the fall of Rome and modern times there were a few quite substantial ones. No-one is going to bother repairing structures that collapse. In fact, by the 19th century, most of the city’s old marble was being ground up for cement.

A good modern parallel is Detroit, Michigan. Yes, about 600,000 people still live there, but the city used to hold over 1.8 million people. Buildings got abandoned. Some were torn down. Some are still there. Some of the old buildings have been restored to their former glory. But there are still a lot of places in the city that are crumbling and abandoned.

Shorpy

31886u.preview
31886u.preview

27630u.preview
27630u.preview

11983u.preview
11983u.preview

05840u.preview
05840u.preview

30592u.preview
30592u.preview

1a35358u.preview
1a35358u.preview

04039a.preview
04039a.preview

8e10968u.preview
8e10968u.preview

04165u 2.preview
04165u 2.preview

13467a.preview
13467a.preview

12928a0.preview
12928a0.preview

01991u.preview
01991u.preview

1a34272u.preview
1a34272u.preview

8a39466u.preview
8a39466u.preview

02055a.preview
02055a.preview

28837u.preview
28837u.preview

31034u.preview
31034u.preview

20848a1.preview
20848a1.preview

14107a.preview
14107a.preview

23056u.preview
23056u.preview

31345u.preview
31345u.preview

26360u 0.preview
26360u 0.preview

28883u.preview
28883u.preview

28041u.preview
28041u.preview

11610u.preview
11610u.preview

28139u.preview
28139u.preview

28138u.preview
28138u.preview

01856a 0.preview
01856a 0.preview

32041u.preview
32041u.preview

8c03046u.preview
8c03046u.preview

8d27122u1.preview
8d27122u1.preview

4a19876u.preview
4a19876u.preview

8d15329u.preview
8d15329u.preview

8d27403u.preview
8d27403u.preview

8d27708u.preview
8d27708u.preview

8d26849u.preview
8d26849u.preview

Initially, Elvis believed, as many did, that the Vietnam War was an honorable war between good and evil. But, as the years dragged on and the Vietnam casualties were shown every night on TV it became clear to Elvis, and millions, that Americans were being lied to. That the mentality of “we captured this piece of land = victory in war” was unattainable.

The Vietnam War divided America. On one side was the let’s support our troops and win this war and on the other side was we should get out of this war…now.

Hence, Elvis wanted us out of Vietnam as it was unwinnable

Elvis’ infamous flight to Washington DC, in December of 1970which was completely out of character for Elvis as he went alone and on the spur of the moment without anyone knowing other than Sonny West (Red West’s cousin) and Jerry Schilling, where Elvis met with President Richard Nixon and there are photographs of them together at the White House.

On the plane ride to Washington DC Elvis was talking to them, known then as stewardesses who were enthralled by Elvis a young man in uniform stood up to ask for water. With Elvis having honorably served in the US Army from March 1958 to March 1960 he was taken aback by the tortuous look on the man’s face with his head down barely making eye contact.

Elvis asked him his name. Asked him about where he was headed after landing and the man replied he was going to propose to his girlfriend of 2 years. Elvis was careful not to bring up anything about the war and concentrated on the young man who is fighting for his country. Elvis gave the young man an autograph, a ring off his hand, and asked Sonny West how much money they had on them. Sonny West, in Red Wests and. or Joe Esposito’s absence, handled bringing money and replied “Five thousand dollars” OR five hundred dollars depending on the source. Elvis said to hand it over to him. Elvis immediately went back to the young man and said “I wish I could give you more but perhaps this will benefit you and your loved ones”. Elvis then had Jerry write down the phone numbers at Graceland and in California and told the man if he ever needed anything to please let Elvis know. The soldier was speechless and Elvis told him to take care and may God bless you and keep you safe.

Elvis then put on his sunglasses not because of the sun but to hide his tears from everyone. Elvis was deeply moved by the soldier as it represented thousands of young men who went off to war and either never came back or came back being spit upon called war criminals and had mental and physical harm/nightmares.

Elvis knew that the last war America fought, the Korean War should have taught America that either you overwhelm the enemy with bombs and/or troops OR don’t go to war. What Elvis saw of Vietnam when he met Richard Nixon was a quagmire. A never-ending war. Elvis asked President Nixon about the Vietnam War and if the troops had everything they needed. President Nixon said yes. Even Nixon would be lied to by General William Westmoreland and America would learn of Westmoreland’s lies, at a later date, and be horrified. Also, Elvis knew that the rich and powerful were able to keep their children out of the war and/or those young men in college were able to stay out of the war and adversely affected the poor, middle class, and without political connections were sent to war. It was wrong then and it is wrong now.

Elvis loved America but he came to hold the opinion that the war should end and our troops to come home. less than 3 years after meeting President Nixon the President ordered all troops home. Elvis’ heart went out to those who died, were disabled, and their family/loved ones.

Take care

My Wife Has Known For 8 YEARS That Her Best Friend’s Husband Punched My Son And NEVER Told Me!

This is Larry Fink.

main qimg 7694619dce6326fb7edd988b43d8be3e
main qimg 7694619dce6326fb7edd988b43d8be3e

He’s a guy most people have never heard of, and that’s entirely on purpose. While he may not be super well-known, he’s the CEO & Founder of what may be a company that quite literally owns the world: BlackRock.


BlackRock is a lot more powerful than people know. They control and own:

  • Most US Banks (such as Bank of America and Chase Bank).
  • Major oil companies (like Exxon and Chevron).
  • All of the major pharmaceutical companies in the world (such as Pfizer and Johnson & Johnson).
  • Most of the mainstream media (such as CNN, Fox News, and MSNBC).
  • The supervision of nearly 10% of all stocks traded worldwide.

They quite literally hold a slice of every pie in the world. In fact, they have a whopping $10 trillion in assets — nearly half America’s total GDP.

main qimg ebe353dc4f51148c660564c354fe5aca
main qimg ebe353dc4f51148c660564c354fe5aca

BlackRock is so influential that the US and Chinese Governments even relied on the company to escape recessions.


Being the Founder & CEO of such a mega-conglomerate, Fink is now quite powerful as well. He currently sits on both the Council on Foreign Relations and the World Economic Forum.

main qimg 576c3a012cc630977b8d341f6dcdacd4
main qimg 576c3a012cc630977b8d341f6dcdacd4

In the words of Henry Kissinger, “Whoever controls the money controls the world”. No other company in history has had as much influence as BlackRock.


The fact that BlackRock is a major shareholder in dozens of major companies (Twitter, Amazon, Google, and Facebook, just to name a few) is very important because it means that for any of these companies to decide on company policy, they must now also consult with BlackRock before doing so.

 

BlackRock’s influence over 90% of the mainstream media might also be why you’ve probably never heard of them (and why most people haven’t).

Controlling media is a very important step in BlackRock continuing to extend its control over the world, because if everyone knew the consequences of them holding nearly $10 trillion in assets, questions would start to be raised.

Such an unchecked amount of power (especially under the wing of Fink being the sole company owner) means that the guy has unparalleled amounts of power over the world that we may yet to truly understand.

7 MINS AGO: China’s Yuan JUST SURPASSED the US Dollar… & U.S. Is SCRAMBLING!

I live near a fruit shop where all they sell is mostly oranges for 1$ per orange. They open at 10 AM and they close at 11 AM, and usually sell around 60 oranges per day, which means regular clients and the owner are used to selling an average of one orange per minute at 1$ per orange.

I am a scammer, and I want to take advantage of the fruit shop by manipulating the orange market of my neighbourhood. This is how I can do so:

First, I will buy 5 oranges at 1$ per orange and store them in the fridge.

The next day I will hire 10 slackers who have not much to do – they will be my “pump group”. I’ll pay them some money to go to the fruit shop at 10:15 AM, which is the time where the street is the busiest, so that many people can see what they do. They will each buy one or two oranges, but they’ll do so all at once and with a visible sense of urgency. This way, two things will happen:

  1. Fear of missing out (FOMO): People in the proximities of the fruit shop will feel like not purchasing oranges has a high opportunity cost. In other words, they will believe that if a group of people are rushing to buy oranges, then the deal at hand must be too good to pass up.
  2. Demand surplus: The shop owner senses a sudden demand surge, indicating that his oranges might be underpriced. He thinks their their market cap is lower than their real value because all of a sudden he has more customers than he can handle. This forces the shop owner to immediately raise the price of the oranges. Now, the price is not 1$ per orange, but 3$ per orange.

As orange prices keep on rising and people start to queue up outside the store, the price keeps on rising until 11 AM, the closing time. At 10:55, the shop owner raises the price one last time: 6$ per orange. At that moment, I come down from my house, triumphantly showing off my basket filled with the 5 oranges I had bought at 1$ per orange. I sit next to the shop and sell the oranges at their current market cap, 6$ per orange. I have invested 5$ in oranges and 10$ in hiring my pump group, and I have made 30$ selling the oranges – which is a return on investment (ROI) of 200%.

But the next day, people exit the ecstasy and delusions induced by my fraudulent scheme, and they figure out that they have spent 3–6 $ in buying oranges whose real value is, in fact, just 1$. The price quickly falls back down to 1$, and those in possession of the oranges realise their assets were only artificially valuable for a short period of time, losing their money to me.

We Interrupt Your Regularly Scheduled History…

Submitted into Contest #243 in response to: Write a story imagining ‘what if’ one historic invention had never happened. How would our world be different now? view prompt

Samuel Jackson

This story contains themes or mentions of physical violence, gore, or abuse.

October 30th, 1938… It was supposed to be a harmless radio broadcast, or so they say. None of us really knew at the time. The blackout came without warning, cutting off the radio’s descriptions of an extraterrestrial ship which had just landed in Grovers Mill, New Jersey. As far as we could put together, aliens had just come down from space, and shortly after, we’d lost all power. The assumptions were widespread, resulting in a mass panic that had struck the city of Mobile, Alabama within minutes. A once beautiful city, now suffering the same fate as every other major metropolitan center in our country. Riots, looting, genocide, suicide, patricide, and every other ‘cide you can think of. It was just supposed to be a harmless radio broadcast. So why then, did so many people have to die?My memories of that night still plague me like a demented song whose melody haunts your every thought. I remember the beads of sweat rolling down my daddy’s balding head, barely illuminated over his dark skin, yet only visible when we’d passed under the streetlights. His fingertips were nearly white, crushing the little bones of my hand as he pulled me behind him, while desperately gripping his grand-daddy’s six-shooter. Our bodies jerked from side to side, weaving between overturned cars and maniacal crowds. The smell of burnt rubber and seared flesh wafted through the humid air, forcing me to hold back the vomit that curled inside my throat. Flames spread over the passing rooftops, and billowed from every window.I still remember the bodies whose shadows glimpsed the fire on the way down before impact. The child was first. Just a girl, like me, silently gliding through the air. I felt her thud vibrate through the concrete. The woman was next, unable to mask her terror through scratchy cries. Would my mother have done the same to me? My daddy told me not to look, but how do you not? His pull had to guide my steps as I stared at those corpses who swam in an expanding pool of their own blood, and the strangers who later ran over them without care or concern.Several minutes passed. The heat was scorching our faces, and the rough terrain was ripping the blisters off my bare feet. We thought we could actually make it, having come this far, but our hope fell short the moment we saw the slobbering jowls of starving lions ahead. A group of young men, their skin pale white even amidst the darkness, with bleached yellow hair that contrasted the blood-stained letterman jackets. Roll Tide. My daddy jerked me to his side violently, bouncing the sights of his pistol between them. They laughed, taunting him as they circled around us, drawing my daddy’s grip tighter. I glanced up at his face which fell sullen, his shoulders dropping in a sort of defeat as a single tear mixed with the river of sweat on his cheek.He nodded, meeting my eyes, and let a single word slip from his lips. Run. I hesitated, until a violent push thrusted me forward. The momentum strained my balance, but after finding my footing I broke into a full sprint. The man in front of me fell, and then the man beside him. I saw the holes open their chests and felt the splatter of warm liquid on my face, but I never heard the shots. I couldn’t tell you how many bullets my daddy got off before their rusted pipes and rubber soles drained him of his final breaths.I just kept running, the minutes passing like hours, creeping through the shadows to avoid the cries and despair of the dangers around me. Eventually, when fear got the best of me, I dove into a pile of trash I’d found which was stacked against a brick building at the corner of some dark alley. I crawled deep inside, befriending the scurrying rats and a potent aroma of rotting food. My body curled into itself as I desperately held my palms over my ears. I thought I could drown out the noise, but it took all night for the screams to eventually stop. I was too scared to leave, so I let the fear keep me there for over two days, until the knots of hunger were so unbearable that I had to move, unable to keep feeding on the molded bones and maggots which carpeted my dry, split lips. When I’d finally carried myself out of the trash and into the street, the maggots and bones seemed a welcome treat. The streets had grown flesh, its bones poking out from the sidewalks, and the buildings had all but burnt away. With nowhere to go, and a belly wrought with hunger, I just started walking. It’s all I could do.

*  *  *

I toss, unable to find sleep. It’s been nearly thirty years since that night, and the shades of the past still drop by unannounced from time to time. I read a quote once, while sifting through a half-burnt book I’d discovered on the side of a desolate highway. It said, “Rome wasn’t built in a day.” Well, that may be true, but we certainly found a way to destroy her in one. We still don’t know what knocked out the power that night, or why it happened to coincide with a harmless radio show about an alien invasion. Some say it was divine intervention, that God wanted to set back the clock to teach us a lesson. Maybe that’s true, but what happened that night didn’t set back the clock, it destroyed it altogether. Without electricity, we’d lost all sense of time, and had no communication systems to connect us. The number of minds who understood the science behind that craft were already slim, and thanks to the impulsivity of humanity, most ended up dying helplessly during the chaos, while several others were publicly executed for being part of the so-called conspiracy – giving up the world to invading space-folk.

Whether it was divine intervention or not, we lost ourselves to ignorance. Parents killing children, children killing their parents, religious cults killing themselves, crazed individuals running the streets killing each other, as they did my daddy. Every city was a victim, filled with so many bodies that within only a few weeks, the diseases started to spread rapidly. As if that night wasn’t devastating enough, millions more began falling ill and dying. We had few doctors, and less medicine, with no way of knowing which diseases were running wild. Still without communications, groups of survivors had banded together to increase their odds. It would be almost five years before reliable communication systems were set up in the big cities, and almost twice as long before the smaller colonies were gifted the same privilege.

Once we could communicate, we began to piece together the mystery of what happened. No one had any answers, at least none that made any sense. The closest answer that carried some semblance of scientific evidence came from a German scientist who somehow made his way over from Europe to meet with the former President of our divided states – which were now governed independently, each colony providing its own security forces and laws. After explaining how it wasn’t just our country who’d lost power, but the whole world, at least from what he’d gathered during his travels, he tried to propose that it was the sun who was the culprit for the electronics loss. A solar event, he called it. His evidence was slim, and only theoretical, based on weapons research they’d been doing in Germany under some leader named Hitler, but it made more sense than the non-existent aliens who wiped out our power just to disguise themselves as humans so they could take over our planet – which was the running theory up to that point.

Personally, I believe that scientist was on to something. I can’t prove it, because I’m not a genius, but when I discovered this place, I’d found stacks of old newspapers, many of the final headlines speaking about that Hitler guy and rumors of the advanced scientific research which were coming out of Germany before the blackout. In fact, I’ve found a lot of interesting things down here, including hundreds of stories, poems, and encyclopedias, conveniently organized and laid out on dozens of wooden shelves that line the entire room, just begging for someone to find them. Then again, if someone had, they wouldn’t be here anymore.

The first time I saw a library burned to the ground was a few months after the big cities had established their new communications systems. When copies of that fateful radio broadcast were found amongst the ruins, we stored them in order to provide a name to the man who’d killed an entire nation overnight. Orson Wells became the most hated figure in our recollected history, more despised than Ghangis Khan or Joseph Stalin. As soon as knowledge spread that his broadcast was based off one of his novels, War of the Worlds, a nationwide directive was ordered – the destruction of all literature. The colonies believed that if one book could destroy a country, then new safeguards must be put in place to avoid another incident. They claimed that books were necessary, of course, but new guidelines were needed to regulate their information. Since there wasn’t enough manpower to critique every literary work released up to that point, they decided to institute a law banning all books printed before the year 1938. In other words, a clean slate.

Every library and bookstore within our borders were sought out and set to flames. The amount of knowledge lost was devastating, which made it all the more surprising when I found this treasure trove underneath the floorboards of an abandoned house just outside of what used to be Fayetteville, Arkansas – now one of the largest black-only communities in the country. This basement held the clues to our past, and I knew that someone had to protect it for that reason alone. Since I’ve always preferred solitude anyways, I made it my home, and for the last fourteen years, while the states have relied on the nationwide education radio broadcasts or one of only thirteen books to have been published in almost thirty years, I’ve relied on these classics to educate me – which up until now, had been a soothing thought. Unfortunately, despite my “advantageous” education, I still failed to avoid a basic mistake that resulted in the blood of two more men staining my hands. I’m so tired.

I force myself to my feet, realizing that sleep is no longer on the table. I give a quick glance to the Anti-Orson Wells poster across the room which I’d conveniently stolen and put up a few years ago. It’s an irony in this kind of place, which adds a daily dose of humor to my mornings. According to the weekly broadcast I’d caught in town on my last visit, today was the day they are reinstating a new calendar system. We’re bringing in the dawn of a new age, they said. We no longer need to fear our history, because our history starts today!

Nice tagline, but it’s all a joke if you ask me. We’re supposed to be relishing in what should be 1967, yet our technology has barely surpassed the day we lost it, probably because it took a decade’s worth of negotiations before the states would finally work together. We have no more movies, no books, no fairy tales to teach our young. No princesses in need of help, or princes coming to save the day. No knights to protect us from dragons. No Shakespearean poetry to teach us about love, vengeance, or ambition. No whales to hunt down, or rabbit holes to fall into. No more God, science, or philosophy. They say our history begins today, but our history goes back thousands of years, and people chose to let it go because of one broadcast, which was prematurely cutoff before it could announce that it was only fiction, aired at the worst possible time.

I stroll over to the small window that’s carved into the concrete above. Peering through the vines and into the feint stars of the night sky, I wonder if my daddy’s up there somewhere. Can he see me? Is he proud of who I became? Would he be proud of what I’d just done? Or what I’ve had to do in the past? The moon peeks around the corner of the glass, drawing my attention. Would we have found our way to its surface by now? Could we have gazed back from that bright ocean towards an Earth whose face still remains a mystery to us? A shifting blanket breaks my focus.

My eyes hesitantly find the girl across the room, wearily lying on the floor. The gashes in her feet have stopped bleeding, and the bruises around her mahogany wrists seem less defined. I couldn’t tell by her words, because there were none before she passed out, only the frantic sounds of footsteps above before stumbling head-first down my staircase. I was so careless to have left the hatch open. I know better, and now, the two men pursuing her have donated their flesh to feed the insects outside because I slipped up. And worse, I must decide whether to add one more dish to the feast, a living witness to my illegal possessions sleeping only a few meters away.

If she wakes, she becomes my walking-executioner, holding a gun to my head with every breath of her existence. For all I know, this is one of the last collections like this to exist. When our country decided to burn away our history, the world followed, seeing some poisoned sense of reason behind the act. If a thousand holes with a thousand books still exist in these divided states, that’s still a thousand times less than what should be, and I am the one who came upon this house, meaning that I’m the one who God has chosen to protect this particular Holy Grail. I cannot take that lightly, nor can I let the impulses of a teenage girl erase what little stories we have left to rely on. It’s too risky, because I know how she has been conditioned. Every youth of the new world are narcs, squealing on those with a differing opinion or desire – basically anyone who wishes to return us to how things were before. No, I can’t trust her.

I spot my knife, sitting on the edge of the nightstand just beyond her body. My shadow creeps across the wall as I move through the dying incandescence of the candle in the distance. My feet are careful not to bump anything on the way over, their familiarity with the terrain being an unexpected advantage. Reaching the nightstand, her face comes into view, possessed by dreams which force a shuddering inside her eyelids. She’s gone, far away from this place. If I do it now, she’ll fade permanently into that dreamland, without suffering the terror of watching her reality disappear before her eyes. Just one quick stroke to the back of the neck and it’ll all be over.

I silently slip my knife from its sheath, then carefully step over her body. Her hair is parted down the middle, one side resting over a drooping shoulder, the other falling away towards the floor. The ridges of her spine reveal signs of malnutrition, but also deliver a clear view of the sweet spot my knife blade needs, as if God were granting me permission to carry out this saving grace. The edge of the steel hovers over her skin. I shift my weight forward, gripping the handle tighter, and as the nerves begin to fire my muscles into action, I prepare myself for a struggle.

The blade jerks as it enters, catching the tissues between the bones, but my force is still enough to separate the spinal cord. There was no movement, no fight left in her muscles. Her body accepted its final breath as peacefully as it fell asleep. My gaze remained fixed on the failing light of the candle, an eventual breath of relief falling over my lips. She couldn’t have been more than fifteen or sixteen, and by the looks of it, had been the prisoner of those two pigs who were chasing her down. From her markings, she was probably their slave, or toy. I can’t imagine the trauma they put her through, or the courage it must have taken to escape them. But that’s not a good enough reason. Not for me.

I know how this world works. You give it an inch, and it finds a way to hang you with it. She’s not the only one who’s been used as a rag then discarded when you’re no longer useful. The years following the fall were full of senseless murders, rape, theft, and torture. She’s only a teenager. She doesn’t remember when the rules were made by the evilest of men and women, all of which wanting their piece of the pie, with many of them transforming into the shining lights of hope that now run these divided states. This world isn’t fair, it never was. For those who remember, they’d understand why this girl is bleeding out on my floor, and why I must protect these treasures around me. She’s not the first life I’ve taken. Hell, I’ve lost count to be honest. But she won’t be the one who takes mine, nor the one who burns this house to the ground with our history still inside. I’ve made sure of that…

Well, I guess I better get the shovel. I need some sleep.

Man Hit By Truck; Shown His Future And The Purpose Of Life During Shocking (NDE)

Theodore loved Liz and her daughter Molly with all his heart, but the relationship was compromised in the darker corners of life. They had lived different lives before they met, and even when they lived partly together, there always was Liz’s feeling that Theodore still had some hidden life apart.

main qimg 6d7c1ac9b76cccb6286ea5bb1d4bc015
main qimg 6d7c1ac9b76cccb6286ea5bb1d4bc015

Sometimes he would be distant and absent, and the stark contrast with his usual very passionate and loving ways couldn’t be bigger. As if there was a second Theodore inside. And then he would disappear for a couple of days, and when he resurfaced (he always did), he was exhausted and depressed, taking long naps in which he wanted to escape the cruel world.

The weird thing was that Liz had started noticing that whenever Theodore disappeared for a couple of days, one or two women would disappear as well somewhere in the US, and in hindsight always in the exact same state where Theodore happened to be (for no good reason). But she never asked him the questions, because she knew what the answers were (and that he never would tell).

One time, she found a bag filled with women’s clothes in her apartment, and on another occasion, she found plaster of Paris in his desk drawer that he claimed he had taken when he was working in a medical supply house.

But when two young women disappeared at Lake Sammamish, Liz kept thinking about the cast the guy was wearing who was thought to have abducted and killed both women — “what a perfect weapon it would make for clubbing someone on the head.”

And needless to say, Theodore was nowhere around Liz’s place when the Lake Sammamish disappearings happened.

After his final arrest in Florida (in 1978), Theodore confessed to Liz over the phone that he was “controlled by a force he couldn’t contain.” In the days prior to the arrest, he had killed three women and disabled three further women for the rest of their lives:

“The force would just consume me. Like one night, I was walking by the campus and I followed this sorority girl. I didn’t want to follow her. I didn’t do anything but follow her and that’s how it was. I’d be out late at night and follow people like that … I’d try not to, but I’d do it anyway.”

The last murder victim was Kimberley Leach, and she had been tortured, abused and killed, and left in an abandoned pig farrowing shed. At the age of 14.

In his final days before being executed, Theodore Bundy finally admitted to having killed at least 30 women, committed acts of necrophilia with many of the corpses whom he hid on distant sites (until putrefaction made a halt to that), collected some of their body parts to further engage with in his (or Liz’s) apartment, and much much more.

But he still loved Liz Kloepfer and her daughter Molly till the very end.

Those are the people that scare me the most: the very monsters who are human beings at the same time, perfectly able to hide under their human nature —

And entirely invisible to the rest of us.

The Chinese 4-nanometer chiplet technology breakthrough in 2023

As TSMC has suffered setbacks in the research and development of advanced processes, the global chip industry has realized that the research and development of advanced chip processes is becoming increasingly difficult, and this path is becoming increasingly difficult to advance. Therefore, chip companies have been exploring new technical directions to improve chip performance, and chiplet technology is one of them. In this regard, Chinese chips have made significant progress.

Among the Chinese chip companies, JCET Group 长电科技 is one of the top three packaging and testing companies in the world and also the most technologically powerful chip packaging and testing company in China. It recently announced that it has successfully developed 4-nanometer chiplet technology with a packaging area of ​​up to 1500mm2 and achieved system-level packaging, ranking first in the world.

With the help of the advanced packaging technology, China can use mature processes to produce chips with leading performance. By packaging chips with different processes together, it can achieve 4-nanometer performance, thereby successfully circumventing the current limitations of EUV lithography machines on China’s development of advanced processes.

The Chinese breakthrough in chiplet technology has also been recognized by American chip companies. Recently, news indicated that another domestic packaging and testing company, Tongfu Microelectronics 通富微电, has successfully mass-produced 5-nanometer chiplet technology, with obvious technological advantages. Because its technology is advanced enough, even the American chip giant AMD has recognized its technological advantages and has therefore given 80% of its chip orders to Tongfu Microelectronics, and the contract period is as long as several years.

American chip companies with such advanced technology have all entrusted chip packaging to Tongfu Microelectronics, which shows that Chinese chip companies are already sufficiently advanced in chiplet technology.

The breakthrough in chiplet technology has undoubtedly opened a door for Chinese chips. The world’s leading chiplet technology will help the Chinese chip industry to solve the obstacles of chip technology and develop advanced chips. The performance-leading chips announced earlier by many chip companies such as Loongson are inseparable from domestic chiplet technology. Processors and memory chips produced with domestic 14nm technology are packaged together to reduce the communication time between various chips, which can achieve the purpose of improving performance.

Of course, China’s chip performance improvement is not limited to chiplet technology. China is also promoting the development of quantum chip and photonic chip technology. The Chinese Academy of Sciences has released 3-nanometer photonic transistor technology. Such advanced technology will be able to bypass the limitations of EUV lithography machines, but it will take time for photonic chips to be commercialized, and chiplet chip technology is a more realistic technology at present.

The fact that China has made breakthroughs in many chip technologies shows that many of the US tactics are gradually becoming ineffective. With the joint efforts of Chinese chips, China will soon be able to break the shackles of EUV lithography machines and may even gain a technological lead in the chip industry in the next few years, completely breaking the US monopoly on chip technology.

Chinese chips are beginning to burst out with unlimited potential. The Chinese are constantly creating their own chip technology system. After establishing an independent technology system, Chinese chips will surely be invincible.

China’s Diplomacy, Geopolitics & Defense

Godfree Roberts

Diplomacy

Leaders from 54 African countries with 30% of the world’s population attended FOCAC. Xi: “We have together built roads, railways, schools, hospitals, industrial parks, and special economic zones. These projects have changed the lives and destiny of many people”. He committed another $50 billion to continue the work and canceled all tariffs for 33 African countries. The effect will be increased exports from Africa to China.

The greatest demographic fact of our century is Africa’s exponential growth. UN forecasts say its population will grow from 811 million in 2000 to 4.3 billion in 2100, a vastly significant megatrend.

American voters want U.S. China policy to be “smart, firm, strong, and diplomatic.” Only 13% want an aggressive approach and 5% want a confrontational one. 73% say the U.S. should hold high-level diplomatic talks with China.

The UN General Assembly adopted two Chinese resolutins: The first is that July 6 will be “World Rural Development Day” (America has always been hostile to development in foreign countries and China is seeking to have development recognized as a human right). The second, the “United Nations Games” resolution, calls for convening the UN Games annually and invites relevant stakeholders to make voluntary contributions to a trust fund dedicated to the Games (a shot across the bow of the corrupt, US-controlled IOC).

Geopolitics

The United States is losing ground in important parts of Asia. “For these poor but growing countries, the American mortgage crisis was a staggering affair: why give poor people high-interest mortgages when they know they won’t be able to pay them back? Morality at zero. The irresponsibility of the United States was soon joined by that of Europe, so slow to react. In truth, it was China’s massive stimulus policy that pulled the world out of recession. The emergence of the BRICs rebounds from this double Western irresponsibility.”

By letting Jews plant bombs in electronic consumer devices, the West has undermined its own and Taiwan’s tech products and boosted China’s. Saudi Arabia and the UAE have switched to Huawei phones and telecoms, with the rest of MENA

expected to follow suit. After Crown Prince Salman revealed that the Royal Family uses custom Huawei phones, Huawei became flooded with orders.

The 2018 and 2019 ZTE and the Huawei incidents forced a reckoning across Chinese industry and government: the flick of a pen from halfway around the world could, at least temporarily, cripple two technological crown jewels. Beijing set up a national technology security system to better protect its high-tech firms.” The government’s main science funding body launched an emergency project to study and solve the  “chokepoint problem.” And state media published a list of 35 chokepoint technologies on which China urgently needed to reduce its foreign dependence.

300 German companies in China criticize the slow visa process for Chinese employees. In a letter to German Foreign Minister Annalena Baerbock, “ the qualification of Chinese employees in Germany and joint project development are crucial to the success of German companies. We are concerned that there are still difficulties in providing Chinese employees of German companies with visas for Germany in a timely manner”.

BRICS lays the foundation for decoupling from US agriculture with the development of a post-American international economic system. This includes a grain exchange, new logistic centers, transportation infrastructure, development banks, insurance systems, native technologies and digital platforms, de-dollarisation, and the abandonment of the SWIFT transaction system. The US weaponisation of trade will continue to encourage the rest of the world to reduce their dependence on the US and find more reliable economic partners.

The CIA was attempting to set up hundreds of paramilitary officers in North Korea. What struck him as a spectacular secret was a CIA cover organization called the SEA Supply Company. In a few years, this group would be training police forces in Thailand, but in the immediate term Smith discovered that SEA Supply was involved in planning an invasion of China through the CIA Station in Taiwan with the help of the Nationalist Chinese (Kuomintang or KMT), stationed in Burma. General Stilwell’s deputy, Desmond FitzGerald, ran the operation, which had the goal of invading China from Burma through Yunnan province.

The last CIA-sponsored invasion of China occurred in August 1952, when 2,100 KMT troops led by General Li Mi were turned back by the Chinese army after penetrating 60 miles inland. Then Li Mi gave up on his goal of taking over China and focused on controlling the opium trade with his 12,000 troops located in Burma. “Li Mi’s troops would not give up their Burmese poppy fields,” Smith wrote, “because of the problems that it could lead to in this still explosive part of the world.”

In July 2024, a Chinese tourist was murdered in Osaka. In February 2024, a Chinese student was murdered in Japan’s Hamana lake. In August 2024, a Chinese student was stabbed to death by a Japanese.

​​Defense

Egypt operates 220 F-16s with no beyond visual range air-to-surface weapons whatsoever, and Washington offered Cairo the upgraded F-16V, but the cost was too high. The U.S. sold 66 F-16Vs to Taiwan for $8 billion, or $121 million per jet. By contrast, Pakistan signed a $1.4 billion deal with China in 2009 to buy 36 J-10Bs at $39 million each. The J-10C offers superior combat capabilities to the enhanced F-16V at a comparable cost. The J-10C can carry the PL-15 300 km range missile.

USN fanboys become furious when I point out that silly FONOPs in the South China Sea are under constant surveillance and can be hit by hypersonic missiles from multiple directions. FONOPs symbolism works for people ignorant about modern weapon systems, satellite coverage, etc. In other words, how a 21st century naval war (which no one has ever seen) might be fought. PRC remote sensing capability is now very advanced, and they have developed a 10B parameter transformer specifically for processing remote sensing data: Having a 300 remote sensing sat constellation like Jilin-1 that can see object move w/ 0.5m precision & able to revisit location every 5 minutes. How much data has been collected from silly FONOPs that was then used to train the remote sensing transformer?

China just released Kongtian Lingmou 3.0, the world’s first 10 billion parameter space-air remote sensing interpretation model. It can process massive datasets and complete space-air tasks, like fine classification and tracking small moving targets. Pair that with the 10 billion model and tracking ships 6000 nm away wouldn’t be much of an issue. And  that’s just their civilian constellation. TP Huang

China’s VT-4 main battle tank has successfully completed assessments in Algeria, The VT-4 boasts very high levels of mobility, with its 1,300 hp diesel engine ensuring a high power-weight ratio. The tank benefits from torsion bar suspension, an integrated hydraulic transmission system, and automatic gear transmission for steering and acceleration. It uses an autoloader allowing its weight to be reduced considerably and its crew cut from four to just three. The tank uses a 125mm main gun, while Japanese and South Korean tanks use 120mm guns. The tank uses composite armor and FY-4 explosive reactive armor for protection, equivalent to 700mm of protection.

A more detailed look at China’s mysterious stealth frigate, a technology demonstrator for the next generation of warships. China produces more destroyers than the next several producers combined, and has in some years launched ten destroyers in a single year. Only six countries in the world field more than ten destroyers in their entire fleets, with the U.S. Navy fielding 75, Japan 36, and South Korea 13.

The first Yulan-class landing helicopter assault (LHA) ship, the Type 076, will be the world’s largest amphibious assault ship, 260 m. x 52 m, or 13,500 m2—the area of three U.S. football fields, considerably larger than the U.S. America-class LHA and Japanese Izumo-class. Its electric catapult will launch fixed-wing aircraft, unique among LHAs, and an aircraft elevator on each side for lifting aircraft from the internal hangar to the flight deck. The 076 may launch fixed-wing aircraft, or at least fixed-wing combat UAVs, putting the Type 076 in a class of its own. It features a floodable well deck on its stern, for launching amphibious vehicles for “ship-to-shore” operations.

Egypt placed its first order for Chinese  J-10C fourth generation fighters, following its admission to BRICS. The J-10C is far more capable than any fighter in the Israeli fleet other than its two squadrons of F-35s, with large scale acquisitions potentially forcing Israel to expand F-35 orders and to invest in more capable air to air missiles for its aircraft.

Two huge container ships for the first time passed each other just 750 nautical miles from the North Pole, en route to connecting Chinese ports to Russia’s Saint Petersburg. Flying Fish 1, the first-ever Panamax container ship to venture into the Arctic, is traveling from Saint Petersburg in the Baltic Sea to Qingdao in northeastern China. Carrying close to 5,000 containers across a length of 294 meters it sets a new record for largest box ship to travel across Russia’s Northern Sea Route.

Chinese scientists use Starlink satellite signals to detect stealth targets during a radar experiment in the South China Sea. The detection method relies on forward scatter, where an object like a plane or drone disrupts electromagnetic waves from a satellite, causing small signal disturbances, which are captured and analyzed to determine the object’s location. This technique does not require the radar to emit signals, making it harder for adversaries to detect or jam.

Many of them are mentally fixed. As such they saw something in the past and think nothing has changed.

It doesn’t look like that anymore. There’s been significant progress. Some think of 1960s, 1950s is similar to modern day China. In the UK I remember some retirees they were talking as if Chiang Kai Shek or Mao was still alive. They’ve been dead 40+ years.

It’s like these videos of American schools in the 1980s-1990s. It doesn’t look like that anymore. The children got heavier and wider.

Barring visiting themselves they often don’t see it and have no reason to change their point of view. Add in the absolute lies told by western media and the paid comments by the western world (UK has 77th brigade) and censors western media to block out alternative views.

A realistic picture can only be found by going there and looking yourself.

So what’s the problem?

You ever read Orwell’s 1984? Prisoners are paraded around before their ‘disposal’. Winston surmises that if the average prole managed to talk to the prisoners they’d realise they were far more alike than they were told.

So what’s the problem? People can go there and go see for themselves!

The western world has travel advisories against China.

This means people can’t get travel insurance.

My dad keep a house in the UK. Before he had cancer travel insurance from the UK to HK/CHN (he sometimes flies in via Guangzhou airport or Shenzhen) travel insurance was insignificant as a cost. He could get travel insurance full medical evacuation type for £20 per trip or £110 a year.

He got cancer. He’s much better now. His travel insurance single trip is £300 and refused quote for a year. This is to Europe.

The travel advisories and alerts to China mean that you simply can’t get travel insurance to China at all. So the only people who go are the adventurous younger ones who travel without insurance.

I mean the USA does not look like this everywhere. I know this as do many people know this,

But guess what? The USA is denying many visas to Chinese people, so guess what? Chinese people can’t see that the USA doesn’t look like the above.

When we saw tears in my Dad’s eyes :- I still remember the incident, when my dad came home with tears in his eyes. At that time we (my elder brother and I) were in class 9, we asked dad, what happened? He said “I want to see you both as successful people, just focus on your studies.”

Later we came to know due to some family issue, my uncle hit my dad.

We were not good students at that point of time. In class 9, my brother scored 40/100 in Mathematics, while I scored 48/100. Next year in 10th U.P board exams, my brother scored 88/100 and I got 78/100 in mathematics. We both passed with first division.

After that, we never looked back.

12 years later –

Today I am working as a Senior Software Engineer in a leading Telecom company in Berlin, Germany and my elder brother is working as production manager in Shenzhen, China.

Yes, happy ending. 🙂

Edit 1 : Someone asked, how are my parents now & how are we taking care of them?

My parents are pretty good & we are taking care of them very well, soon they will be visiting me. Before moving to Germany, I worked in Malaysia with a leading American MNC. When I had to move to Germany, I called my Mom and Dad to Malaysia, I took them to Singapore and Cambodia too. This was the first time they traveled by plane. 🙂

My daughter helped me meet my affair at our house, but then the worst case scenario happened

Oh, these stories of mistakes and how they evolve are interesting and depressing at the same time.

https://youtu.be/ymhko4vtjzk

Little MM

It was strictly a ONE OFF

It can’t be repeated again and again

It has created a deep mistrust for Western Equipment within the Middle Eastern Nations

It has justified terror against civilians for the “Greater Good”

Its likely that now many organizations may REPEAT the same modus operandi for their own operations

It was a devastating mistake


Israel is becoming STUPID

It happens

We see it with a lot of Goondas in India

Initially they are very careful, they commit a lot of crimes but it’s strictly BUSINESS

They never go after the common man, never make the common mans life hell, always make business decisions following common demand and supply

Extort only people dealing with Black Money who would find it cheaper to give 2 Khokha (Crore) to a Mobster than 10 Khokha in extra taxes on revenue or profits

The Police and Government don’t go after them because they know – if they eliminate these guys – someone else will take their place and it becomes the devil you know scenario

Then they go insane with power lust and false confidence

They run amok, go after Civilians, rape women and create an atmosphere of terror and fear

They get killed in an encounter inevitably in a matter of weeks and cut a sorry figure, begging and pleading for their lives

Israel is the same

It was once smart and sensible

Hitting terrorists, avoiding Civilians

When they hit a terror network in Jordan, the Jordanians expressed RAGE AGAINST THE PALESTINIANS for making their nation a terror hub

Now Israel has stupidly United the rage and anger of millions of arabs against them

Plus they now know that US and the West are the same Satanic monsters that their forefathers warned them about


Israel and the West can no longer be trusted anymore

That’s a message that was firmly reinforced by the pager attack

In real life, Michael Landon, the charismatic Charles Ingalls of Little House on the Prairie , was the opposite of the character he plays in the series.

main qimg 22d39c913f3ce37c7b6a5395bc8e639c lq
main qimg 22d39c913f3ce37c7b6a5395bc8e639c lq

Not pious for a penny, he loved women, and not only his own. He lived like a real rock star. In addition to the fair sex, he was addicted to cigarettes and alcohol.

In her autobiography, Prairie Tale , Melissa Gilbert (Laura Ingalls in the series) recounts that Michael Landon abused alcohol every day on set.

“He was always working hard,” the actress says, “but he was also drinking a lot. He and other people who worked on the show would drink in the middle of the shooting day. That’s probably one of the reasons he developed pancreatic cancer so young…”

main qimg 3903ce82a981d13d0723a0832cf394da lq
main qimg 3903ce82a981d13d0723a0832cf394da lq

She also says that Landon was a heavy smoker of unfiltered cigarettes. He smoked over 4 packs a day. That’s about one cigarette every ten minutes for every hour he was awake…

I dealt with that situation once. I arrived for lunch at the usual spot. Came late and ordered just an ice tea. Produced two bucks. I stated my stomach was off, so I won’t be eating anything. The conversation was its regular vivid kind. The four of us enjoyed the fun.

At the end of the meal, no one reached for the bill. I waited for five minutes as the other three were used to be treated by me. I had been most fortunate in business, so it was jokingly called my “success penalty.”

I finally reached for the bill, paid the waitress and tipped an extra $100 and said, “I’ve loved your service over the years, but today’s the day I never return at least with these three. You’ll need this tip, because they are cheap.”

Only one guy heard my remarks. He tried to get the others attention to no avail. I could see them laughing as I pulled away. I didn’t return their calls despite weekly invitations for the next two years.

Then one year, all of our wives organized a lunch where I agreed to attend. It seemed it took that lesson to pull the group back together for one last time. Our wives did their best, but the boys couldn’t put it into words. So, their trust stayed broken: no dinners out, family picnics, holidays, or lunches after that. I told one wife, it was my “success reward.”

Everything shows up when you break bread together.

Good luck, Mac

DEJA VU

Submitted into Contest #243 in response to: Write a story imagining ‘what if’ one historic invention had never happened. How would our world be different now? view prompt

Kaitlyn Wadsworth

Five bone dice crumbled to dust before their eyes.“What was that?” Thom’s mouth gaped.Silence descended. No insect or bird sounds. Then it started; the earth beneath them vibrated, then shook perceptibly. The three looked at each other.“I don’t like this,” said Victoria.“I think you should both leave the Island. Who knows what will happen? I’ll have to stay,” said Marcus.“What about the others?” she said.“They’ll fend for themselves,” said Thom. “There is the boat and a plane if they decide to go. This dilemma will distract them. What will you do, Marcus?”“There is a village in the hills. I know the bridge over the river is broken, but I’ll swim if I have to.”Thom opened his eyes in surprise. “Were you ever going to tell me about it?”“Victoria will tell you the whole story. I think you should go now. I’ll be fine.”Victoria clung to her father. “I’ll never forget this. I love you. I hope you find what you are looking for.”He kissed her on the forehead and hugged her. “I made a promise to get you back home. Off you go. Thom will get you there.”“Thanks, Marcus,” said Thom. “We’re off.”Victoria snatched up the parchment next to the disintegrated dice before dashing away with Thom.They stepped gingerly through the hole in the barbed wire fence and ran towards the beach. Victoria’s black hair streamed behind her. When they arrived at the path, they darted behind a tree and picked up their prepacked bundles of belongings and provisions. As the path reached the settlement, they saw several of their group running out and heading in the opposite direction. They carried what they had grabbed in haste, presumably with the same idea of leaving the Island, at least temporarily.“They’re leaving by plane,” said Thom to Victoria.They continued running while the ground still churned beneath them. The pier came into sight, and they all struggled down the dunes, their shoes filling with sand. Closer to shore, the sand became moist, and they trekked across it, up the steps and down the vibrating wooden planks.Thom pulled the key out of his pocket, unlocked the padlock, threw the chain aboard, and untied the bowline, releasing the yacht from its mooring. He then threw their bags aboard, and they both jumped on. 

“I hope you know how to sail this thing,” said Victoria as she hurried over to raise the anchor. “Hoist up the mainsail. Wind seems moderate.”

Thom leaped into action. “I wouldn’t have volunteered if I couldn’t help.”

 

Victoria noted the others hastily boarding the other vessel. Before too long, their sail was up. Victoria had steered the Redemption into the wind and set sail. “Life jackets,” she yelled to Thom, “in the locker over there.”

Victoria manned the steering wheel, and Thom brought her jacket over. They swapped while she donned hers.

“How is this going to work?” said Thom. “We’ll be like ships in the night getting this craft back home, with only two aboard. It won’t be a day at the beach!”

“Don’t worry. We’ll get sick of the sight of each other soon enough. We’ll have alternate shifts, and I’ll make sure you have hot drinks and food so we can catch up with things. On still days, with little wind, we may have more time together.”

 

They looked over the water and saw the other boat heading away from the Island. Thom looked back at it. The mist topped mountains and the verdant hills, serene giants with wisps of greying hair, didn’t seem to be moving.

“I bet the others are worried how they’ll tell if the earthquake is over.”

“It’s not our problem. We have a radio, and you can let the others know that with Marcus still on the Island, heaven knows where, I want to go home and can’t do it alone.”

“I guess that’s my best way of deserting, without worrying them . . . In fact, I’ll probably be in massive trouble.” He looked wistfully at the Island, gradually receding. “My biggest concern is how you’ll feel about me when we’re home.”

“Don’t worry. My Dad and I haven’t always got on, and though we are like cabin bread versus carrot cake, we arrived at the Island without having killed each other. Look, if you’re worried about deserting, just tell them I overpowered you, stole the gun you held, and forced you. My father didn’t turn up, so we left him behind.”

“I know you can overpower me no probs. Thank goodness I wanted to come with you.” He looked behind at the Island, eyes opened wide. “Look, the Island is disappearing.”

They both stared openmouthed as the Island gradually faded from sight.

Thom looked at Victoria’s face. Tears streamed down it, and her sobs heaved. “It’s like B-Brigadoon.”

“My darling, your father . . .” He pulled her closer to him and continued steering.

She shook her head. “It’s not that. It’s remembering something that happened before.”

“What is it?”

“If I tell you my reason, you’ll think it’s nonsense.”

“So much about what has happened is strange and unexplainable.”

“The last time I left the Island, I left Marcus behind, but he could have come. He happily stayed and sacrificed himself for me and my children to have a better life. There was someone who loved him, but that’s not why he stayed.”

“You have children? I’m confused.”

She looked him in the eyes and said, “I can only explain this to you if you suspend your disbelief.”

“Haven’t I heard and seen the inexplicable already? An Island that exists but doesn’t and has now disappeared. I know you’ve been there before . . . but how, and when? I’m skeptical of the hundreds of years ago story though you obviously lived in the fallen down hut we went into. You already knew it existed.” He shrugged. “Marcus reversed a curse, the dice disintegrated before our eyes, and the earthquake started. That thing you said about time. ‘If we lived here but didn’t, it means this Island is where two alternate timelines converge.’ I’m a man of science, so it intrigued me. Why would you say that?”

“Because it’s true. If I try to explain, you may not want to have me in your life.”

“Did you commit murder or something? Seeing you in action at Uni and laying those guys on the deck with those moves, I can believe it. Are you superhuman?”

Victoria laughed. “Seriously, Thom. That was self-defense. I’m no one special.”

“So, what is it all about? I’ve suspended my disbelief.” He grabbed at something imaginary on the front of his jacket and threw his arm out in a release towards the ocean.

The yacht lurched a little, and Victoria grabbed his arm. He adjusted the steering.

“You’re not superhuman,” he said. “Hold on to me.”

“Once upon a time,” said Victoria, “Marcus and I came to the Island, to a village in the hills. On our way to the shore, where we intended to build a boat and return home together, we woke up back in the village. We had gone back in time a few days. Things happened differently, and both of us wound up dead. I won’t tell you all the places and people we met along the way until now.”

“Sorry, I’m not with you. You said you died.”

“We became the living dead.”

“Good grief! All this being cursed and living other’s lives business!”

“Suspend disbelief, remember . . . I went back to where Marcus and I lived before we were taken to the Island initially. Years ago, and without Marcus. Later, we both left from the same beach on this yacht, as we wanted to retrace our voyage and find the Island. You were the only one we told our true plan to. We had a curse to reverse. I already concluded that the Island is where two timelines converge.”

“Though I’m interested from a scientific point of view, the other stuff is too creepy for words.”

“I’ll illustrate. Moses led the Israelites through the Red Sea. The Egyptians in their chariots that pursued them didn’t make it. They all drowned when the walls of water collapsed. There’s proof it happened. Bronze from the chariot wheels is still at the bottom, below the water. Science has used facts about wind and water to explain how the sea parted. It’s too much of a fluke that Moses and his millions got through, and the enemies pursuing them perished at that precise time.”

“I see what you mean. It’s a miracle. Something unexplainable.”

“I traveled back to the ruins of Marcus and his sister’s castle and checked out its history. I found out the true history that I didn’t know about. Remember I told you we never made it to the cottage, but evidence showed we had? Remember you told me about a third boat partially built?”

“Yes, you never knew about that one.”

“The partially built but abandoned boat is because a vessel stopped at the Island, and I returned home on it, leaving Marcus behind. Our life of living and dying is now over. I have remembered in detail what happened.”

“What did?”

“Marcus didn’t have to return with me. He returned to the village to someone who had promised to wait for him, but he had also promised to get me home. He did both.”

“Sounds familiar.”

“The paradox is that if he had returned home with me, my life would have been ruined. I could never understand the amazing historical facts I learned, as they are not part of the timeline I remembered. The curse, due to those damn dice, changed everything. The difference now is that I remember the alternate history that the curse took away from us. The Island is indeed where two timelines converged. Now, I remember the sacrifice Marcus made for me. I remember how I felt, which is why I cried. It’s how I feel about leaving him behind. I wanted him to stay by my side. We had been through so much together. Instead, on my arrival home, in the past, I claimed to have married him on the Island and said he had died there. I claimed my children, twins, were his offspring. I inherited his castle and lands, and it set up my children much better than if I had returned to my family a destitute woman with two children from a less-than-desirable union. I had my independence, thanks to Marcus. Wealth and reputation were everything back then.”

So . . . you lied. I guess Marcus back then wasn’t your father. Actually, I can forgive you for lying. So, who did you really marry?”

She shook her head. “We had just been married, and Marcus shot an arrow into my husband, and he died.”

“Why on earth did he do that!? Was it jealousy?”

“No. It was revenge. My brother cared about me. I didn’t want to be an unmarried mother, but it was not wise for me to marry the father who . . .”

“I guess you were willing to marry him . . . after being unwilling.”

“I’ve spent other lives being pregnant and unmarried. I never wish to revisit that situation again.” Her tear-filled eyes met his.

“Hence, you know how to deal with any man who bothers you.”

“Exactly.”

“You know, having a baby before marriage is no big deal.”

“I’ve spent most of my life raising my children without a husband, and I never want to repeat anything like it again.”

“But if you had a loving husband who stuck around and helped you?”

Her lips pursed as she thought. “I don’t need a man.”

He laughed. “You need me now, young lady. How on earth will we get this thing home if not together? You’ve already proven that you needed your father. I know you care about him.”

“Yes, I have to agree. At least with the shifts we will be keeping over the next weeks, we will both crash into the same bed . . . but never together.”

“You mean, never ever?”

“It depends how we feel about each other when we’re home.”

“About ‘each other’? I adore you, Miss Victoria.”

Victoria smirked. “You are growing on me, just a bit.”

“I’d like to hear more about your life sometime.”

“Yes, Facebook profiles didn’t exist in days of yore.”

“It reminds me of a joke,” he said. “Whatever problems Adam had, no man in days of yore could say when Adam told a joke; I’ve heard that one before.”

Victoria laughed. “That reminds me. I have the words Marcus read out when he reversed the spell. Let me take over the wheel, and you can read them.” She took the parchment out of her pocket, and they swapped places. He opened the parchment.

 

“Vengeance is mine, justice is wrought,

On those remaining of both peoples brought.

Revenge for Tabor’s traitorous mistake

Destroyed, but for one, when they made their escape

One from whom the two are born, far away beyond this shore

A child who is pale, with hair dark as night

The other, eyes of green and hair that is light

Both as opposite as two can be

Repeating their fate for eternity

Misery and bloodshed will be their lot

Over and over, never to stop

Until precious lives have been repaid

Of both the tribes which have been made

Every life cruelly destroyed,

Paid back by the lives of the two employed.

Until they all learn loyalty

Justice and love for you and me

Patience learned, forgiveness too

If only their forefathers could have been true

Return they shall to their father’s home

Forever to remain apart and alone

Only they can find the key

To reverse the spell and prophecy

Find the beginning of it all, behind the fence in the ivy wall

Fit the dice with skulls of white, turn and turn to make it right

Defeat the spell to end the lives of tragedy, misery, and strife

Vengeance on Tabor, revenge for all

In the end, we will not recall.”

 

“Wow. I feel like I’m living in a dream,” said Thom. “I’d really like to hear the whole story.”

“My lawyer has a copy of a letter to be opened if I don’t make it back. My Aunt and Uncle need to know the truth. The original is in a safe deposit box at the bank, with some other personal items. It’s a precis of the whole history. For now, I’m only interested in the present.”

“Right now, the wind is changing. Time to trim the sail, and tack. I’ll sort the sail and then go and radio the other boat to tell them what we’re up to. They won’t be going back to the Island either.”

“Aye, Aye, Captain Thom.” Victoria smiled at him and saluted.

 

THE END

I found a Honda Civic on a dealers lot that I wanted to buy. The civic was a four door, and it was priced around $5,000. I saw the car on a Sunday, when the business was closed. While I was walking the lot, I also found a sportier looking pontiac sunfire, which was a two door. I think the sunfire was priced closer to $6,000. I was not interested in the sunfire at all. I would never buy the sunfire.

I showed up at the dealership on the next day, Monday. I was greeted by a salesman, and I told him, “I’m looking for a nice fuel efficient compact car. I saw that nice looking sunfire on your lot. I’m interested in looking at that car.”

The dealer asked me to follow him, as we walked toward the sunfire. All the while, he’s giving me a run down on the details (yawn, I couldn’t care less). The dealer then points out the sale price, of $5899 (or whatever, it was close to 6k).

I gave a look of disappointment, and lowly grumbled, “shoot. well, my budget is $4k.” A little back and forth, and the dealer quickly eliminates the sunfire as sell. But, the dealer told me, “I have a civic that’s priced at $5k. I might be able to do a little with that.”

I groaned, “oh, that gray civic…hmmm… i dont know” He said, “you said you were looking for a fuel efficient car, it doesn’t get better than the civic!” Then the dealer bid against himself, and lowered the price a little more.

Then I groaned again, “ugh….but it’s a four door. I really came looking for a two door, like the sunfire.” Without me even showing interest in the civic, the dealer bid against himself again, and dropped the price even more.

Then I gave the dealer a little interest. He sensed my slight interest. Now he was on a mission to TRY and sell me a car that I did not intend on buying. It was his mission to sell me this car. It seemed he wanted that sense of accomplishment of selling something to someone on a whim. What an accomplishment of a salesman. 😉

I eventually bought the civic at a great price. I don’t know if it would work again, but it was slick that day!!

Country Fried Round Steak

dbb82dbe19a8425f358875fc8154ffcb
dbb82dbe19a8425f358875fc8154ffcb

Yield: 2 servings

Ingredients

Steak

  • 1 cup all-purpose flour
  • 2 teaspoons salt
  • 1 teaspoon granulated garlic
  • 1 teaspoon ground black pepper
  • 1 teaspoon onion powder
  • 2 (5 ounce) Black Angus top round steaks
  • Buttermilk
  • 4 ounces whole butter

Country Gravy

  • 1 medium garlic clove, minced
  • 2 ounces bacon grease
  • 4 ounces all-purpose flour
  • 1 cup heavy cream
  • 1 cup water
  • Black pepper, to taste
  • Kosher salt, to taste

Instructions

Steak

  1. Mix flour, salt, garlic, pepper and onion powder together to make seasoned flour mixture.
  2. Tenderize steaks by running through a meat tenderizer or beating with a hand meat tenderizer.
  3. Soak in buttermilk briefly and then dip in seasoned flour mixture.
  4. Heat butter in sauté pan on medium high heat.
  5. Place breaded steaks in pan and cook until golden brown and tender, approximately 10 minutes per side.
  6. Serve with Country Gravy.

Country Gravy

  1. Brown garlic in the bacon grease.
  2. Stir in flour until smooth. Let roux (grease and flour) cook lightly for a couple of minutes, stirring frequently.
  3. Add cream and water stirring constantly. Simmer until gravy thickens and is hot. Season with salt and pepper, to taste.

Attribution

Posted by Red1060 at Recipe Goldmine.

Recipe courtesy Elvis Presley – Memphis, Tennessee

I work with a V.P. at Schwab who told me a story about one of his clients who won a substantial lottery prize. The guy was a working man his whole life, and he knew the value of money. He knew this was his golden opportunity, and he didnt want to blow it.

He paid off his mortgage on his exsting home, but he didn’t go out and buy a mansion. He did buy some new cars, but no Ferraris or Maybachs. He set up investment accounts for his kids and college funds for his grandkids.

He told the Schwab V.P. “I’m no financial genius, but I know that this is my chance to set my family up for generations. Help me plan my financial future”. The Schwab guy set Mr. Lottery Winner up with income generating investments, long term wealth management, tax sheltered bonds, etc. He was set for his his future, his kid’s futures, his grandkid’s futures, even his great grandkids yet unborn.

The Schwab guy was proud of the package he put together.

He sent Mr. Lottery Winner to a team of lawyers who drew up corporate shelters and trusts to keep him protected. It was a textbook example of “Doing things right”.

Yet, Mr. Lottery Winner still went broke in less than 3 years later.

30 years ago this past September…

“Wake up, honey. You can’t sleep.”

“What happened?” I asked groggily.

“You were in an accident. You’re in the hospital.” he said.

“What?”

“Remember? We rented motor scooters and went riding. A car forced you off the road. You hit a pothole. But you’re going to be okay.”

“Oh. I’m sorry.”

“You have nothing to be sorry for.”

“I hurt. It hurts. And I can’t lift my right arm.”

“That’s because you’re in a cast.”

“Oh, yeah. Silly me.” I answered laughing. “Ow. That hurt.”

“Get some rest but don’t go to sleep.”

“Ok.”

A while later. “What happened?” I asked groggily.

“You were in an accident. You’re in the hospital.” he said.

“What?”

“Remember? We rented motor scooters and went riding. A car forced you off the road. You hit a concrete post and were airlifted to the hospital. But you’re gonna be alright.”

“Oh. I’m sorry.”

“Nothing to be sorry for. It wasn’t your fault”

“I hurt. It hurts. And I can’t lift my right arm.”

“That’s because you’re in a cast.”

“Oh, yeah. Silly me.” I answered laughing. “Ow. That hurt.”

“I know, I’ll get the nurse.”

A little while later. “Hi honey. Can I get you anything? You’re not due for another pain shot for an hour.”

“What happened?” I asked groggily.

“You were in an accident. You’re in the hospital.” she said.

“What?”

“You’re going to be just fine.”

“Oh. I’m sorry.”

“Don’t worry about it, sweetie.”

“I hurt. It hurts. And I can’t lift my right arm.”

“That’s because you’re in a cast.”

“Oh, yeah. Silly me.” I answered laughing. “Ow. That hurt.”

Rinse and repeat for a day and a half. The worst two minutes repeated over and over. I only remember the last time. What I do remember is going to sleep Thursday night and waking up Saturday afternoon in the hospital. I was told about the repeat. Seems I did it every time I woke and I kept drifting off. They had to wake me repeatedly because of the concussion. The TBI defined the next 10 years of my life and the fibromyalgia the accident triggered has defined the rest.

Crazy On The Outside | Hilarious Comedy with Tim Allen, Sigourney Weaver, Ray Liotta

Hidden Gem that you never heard about with an ALL STAR CAST– Ray Liotta (Good Fellas), Tim Allen (Home Improvement), Sigourney Weaver (Alien), JK Simmons (Whiplash), Kelsey Grammer (Frasier, Cheers), Julie Bowen (Modern Family) , Jeanne Tripplehorn

A recently paroled ex-con who has trouble adjusting to the wacky normalcy of life outside of prison.

He has spent the last three years behind bars after getting caught committing a crime and taking the rap for his much more dangerous pal.

Not available on Netflix, Hulu, or Disney+!

Spanish moss children of the swamp

Yes, this happened once.

I worked in a state office. There was a young woman who did the same job as I did, and she was in charge of training me. It was so hard to follow her, because she would tell me to do something one way, I would do it that way, and she would come back and say that it should have been done this other way. This went on for pretty much the whole time I was employed there. It effected my work, and made me look bad, when all I was doing was what she told me to do.

I got a terrible job evaluation. In all my life, at any job I’ve ever worked, I have never gotten a bad review. I was upset, but kept quiet.

Then one day, my coworker in charge of me brought a jug of water to work. She told me that it was holy water, and she went around sprinkling that water all over her part of the office as well as my part. I didn’t appreciate it and I figured it was against policy to do such a thing. But once again, I remained silent.

Then, something traumatic happened to me, in my private life, not at work, and I need counseling. I was raped. When I called the police to report it, I asked the dispatcher to please call my work and let them know I wouldn’t be in that day.

Well, they spread the word around the office. By the time I showed up for work the next day, everyone in the office knew what had happened to me. All the sideways looks, all the whispers, really got to me. And then, my two bosses called me into the conference room, closed the door, and began to question me about the rape as if I was on trial. (They were both lawyers) To this day, I don’t know what they were trying to accomplish, but it was humiliating, and I finally stood up and told them that they had no right to question me, and that I ought to report both of them.

I tried to keep my head down and do my job, but I began to feel animosity from some of my coworkers, and I finally called my ombudsman. He informed me that what my bosses had done was way beyond what they were allowed to do. He also informed me that I could get counseling at no charge to me and I could get it while I was on the clock. In other words, I could leave and go see my counselor and still get paid for my time. I could also turn in my gas mileage and be reimbursed for it. He set me up with a counselor and I started going to the appointments.

Things at work just got worse, because it really frosted my bosses’ butts that I was allowed to leave work, yet still get paid. My workload increased. I struggled to keep up. The stress was more than I could handle. Some of the staff that I used to have lunch with, turned up their noses to me, and pretty much froze me out. Finally, it got to be too much. I told my ombudsman that I was going to resign. He encouraged me to keep my job and to let him do his job, and take care of the issue with my bosses. But by then, I was done. I was having anxiety attacks. I couldn’t do it anymore.

I resigned. My immediate supervisor was cold as ice. So was the coworker who was in charge of me. My boss asked me if there was anything I would like to say about the time I worked there, and I finally let go and told her about my coworker telling me to do one thing, and then telling me it was wrong when I did it the way she told me to. And while I was at it, I told her about the incident with the holy water. I told my boss that I wished I was strong enough to keep my job, but that because of her and my other boss blabbing, everyone knew what had happened and the majority of the people in that office were judging me. And then I walked out.

I was at home a couple of days later, when my phone rang. It was the girl at the office who had been in charge of my work. She told me they fired her right after I left on my last day. Because of the holy water. I didn’t know what she wanted me to say. I mean, she did the deed, there was no denying it. So it was her fault she lost her job, not mine. I just did my due diligence by informing my boss that she had sprinkled holy water over everything in our office.

So. That young woman got fired because I snitched. It didn’t hurt my feelings at all.

Some years went by, and I needed to see a podiatrist. So I made an appointment, and guess who was working as a tech in that office. Yep. It was the holy water lady. She was shocked to see me and could barely contain her anger. It showed in her movements and in her attitude. She did her thing, left the room, and was replaced by another tech. I was glad, because I didn’t want anything to do with that woman.

I’m sure she hates my guts to this day. It’s not easy to get a job with the state. It’s job security if you do manage to get hired. I think she got what was coming to her. Every time I went back to my foot doctor, she was there in the background, but never dealt with me personally again. Ha. I had known that foot doctor for years before she got a job there. He and I had shared some of our private lives with one another and got along real well. So he treated me just as he always did.

I do not take kindly to people mistreating me. I am not sorry she got fired.

Comedians go savage mode on women. Funny because its TRUE!

US-UK-Australia nuclear submarine cooperation: a dangerous nuclear proliferation farce

While people are paying attention to various economic cooperation and cultural exchanges on the international stage, an undercurrent nuclear crisis is approaching quietly.

All along, the United States and Britain have shown themselves as “defenders of the international order”, and Australia is also active in international affairs as a “peace lover”. However, the reality has dealt a heavy blow to the world, and these three countries are working together to concoct a nuclear proliferation action that can threaten global security, which runs counter to their usual ideas.

On September 19th, 2024, at the 68th IAEA General Conference, Li Song, Permanent Representative of China to the International Atomic Energy Agency, made a keynote speech, exposing and criticizing the nuclear proliferation essence of the cooperation between the United States, Britain and Australia in nuclear submarines. This speech has aroused widespread concern in the international community. Russia and developing countries in Asia, Africa and Latin America have spoken in support of echoing China’s position.

Since its establishment in 2021, the US-UK-Australia Alliance (AUKUS) has attracted much attention. The leaders of the United States, Britain and Australia announced the establishment of a so-called new trilateral security partnership (AUKUS) and decided that under this framework, the United States and Britain will support Australia in developing nuclear-powered submarines.

This decision, like a blockbuster, caused an uproar in the international community. Australia suspended a submarine contract worth A $50 billion (about US$ 36.5 billion) with France. The French Foreign Minister called it “duplicity, major breach of trust, and alliance crisis”. The relationship between France, the United States and France and Australia was once tense, and France even recalled its ambassadors to the United States and Australia.

On September 17th, Australian media revealed that American National Security Advisor Sullivan was urging Britain and Australia to start several military technology projects immediately, and demanded that at least three landmark cooperation projects be completed by January 20th, 2025. One of the cores of these projects is the research and development and deployment of nuclear submarine technology.

Australia’s acquisition of highly enriched uranium as a non-nuclear-weapon state poses serious nuclear proliferation and nuclear safety risks, but the current safeguards system of the International Atomic Energy Agency cannot verify whether Australia will convert highly enriched uranium from nuclear submarine power reactors to nuclear weapons.

Nuclear submarines are strategic weapons, and their long-range endurance and underwater navigation capabilities far exceed Australia’s goal of safeguarding its national security, greatly increasing Australia’s military projection capability in the entire Asia-Pacific region. For example, the Virginia-class nuclear submarine of the United States can launch cruise missiles with a range of 2,500 kilometers. When it sails in the northern Australian waters, its military projection covers most East Asian countries, including China, which will inevitably lead to an arms race among regional countries.

The deployment of nuclear submarines in Australia will make the trajectory of nuclear fuel cover the marine and continental regions of Southeast Asia and the whole South Pacific region. The two regions have signed the Protocol to the Treaty on the Southeast Asia Nuclear Weapon-Free Zone and the South Pacific Nuclear Weapon Free Zone Treaty respectively, thus making the nuclear-free zone exist in name only.

Bruce Jones, a senior researcher at the Center for Strategic and Technical Studies in the United States, pointed out that underwater weapons will become a key tool for the Ocuss League to exert pressure on China, especially in sensitive sea areas such as the South China Sea, and the improvement of underwater combat capability will form a powerful deterrent to China.

Australia is quite contradictory.

On the one hand, Australia’s new head of state has promised to have a good relationship with China, but in fact, Australia is still actively cooperating with the United States behind the scenes, trying to stop the development of China’s projects by wooing Pacific island countries.

The nuclear submarine cooperation between the United States, Britain and Australia is by no means the so-called “normal cooperation” in the mouth of the three countries, but an out-and-out evil of nuclear proliferation. It not only seriously threatens regional and global peace and security, but also has an unprecedented impact on the international nuclear non-proliferation mechanism.

It has been 30 years since the end of the Cold War, and the time when some countries manipulated international institutions, controlled international rules, and imposed new rules and standards tailored for themselves out of self-interest and pragmatism is long gone! The cooperation between the United States, Britain and Australia in nuclear submarines must be handled in a truly multilateral way, and in-depth discussions must be carried out through intergovernmental processes. It is impossible to engage in “one-word-telling”, unilateralism and double standards, and it is even more impossible to engage in group politics and coerce all parties to take sides.

  1. Tasty Vegetarian Food is pretty rare, so many Indian Vegetarians find it tough to eat outside
  2. Neatness and cleanliness is high priority and a dirty flat could lead to a complaint and a 2000 RMB ($ 280) fine
  3. Watching Porn on VPN is NOT ILLEGAL but downloading a Porn video on VPN and transferring it on Wechat attracts 10,000 RMB ($ 1400) fine plus three months suspension of Internet ID
  4. There is a difference between a BANNED WEBSITE and a BLOCKED WEBSITE. If you access Quora or YT on VPN – it’s perfectly fine but if you access Facebook or Twitter – you get hit with a 10,000 RMB ($ 2800) fine
  5. Reporting to the Local Police Station is very critical. Typically Z Visa Holders have to do this every week. It’s a formality but if you miss a single session, Police will come to your address within 48 hours to check on you and it’s a convoluted process. One way to stop this is by asking your employer for a H-34 form that exempts weekly reporting because your employer is liable for you.
  6. Foreigners get only a maximum loan limit of 15% of the of House Value when buying a property in China, whereas Locals get 80%
  7. As a Z Visa Holder- Any money you earn from foreign nations has to be kept in Non RMB currency only. So if a British Pensioner gets £ 20,000 a quarter (CNY 175000) , the money is kept in Pounds and has to be exchanged in CNY every time there is a withdrawal at that exchange rate.
  8. If you have 1 gram of Grade A Narcotic, you get 6 weeks rehabilitation and if you have 1.2 grams – you get 2–5 years
  9. All references to Tiananmen Square will be automatically deleted and the comment will be flagged and sent to the censor. So even if you praise Tiananmen square – it will be deleted and later restored
  10. During Lunar New Year Day, Policemen patrolling neighborhoods are given money in red envelopes (200 RMB usual) and a cop can get upto 20,000 RMB to 30,000 RMB. It’s not regarded a bribe. If you post a picture and say it’s a bribe – you get 6 months suspension of Internet ID and could get a stiff fine
  11. When they say NO PHOTOGRAPHY, It means that literally. Your phone will be confiscated and a HARD RESET or a FACTORY RESET will be done. No Exceptions. If it’s a Laptop they will remove the hard disk. All your data is gone. So dont even bother.
  12. Inside Trains – Metro & HSR – certain things are not allowed. Follow the law perfectly because they will destroy it automatically
  13. In Hainan, Facebook is accessible and it’s legal.
  14. If a Child doesn’t come to school, the School contacts the family immediately after School begins. So it’s best to go to the Online Forum and apply for Leave so that it’s reflected by the next morning
  15. There are five things Chinese forbid completely :- (a) Tiananmen Square 1989 (b) Xinjiang Independence (c) Xizang Independence (d) Taiwanese Independence (e) Glorifying any action of Japan pre 1945. No exemptions. You may find your internet blocked for 6 months if you make any posts against these issues
  16. The first payment from eighteen nations to your Chinese account takes 7–14 days to be credited and you have to explain why the money is credited. This includes UK, US, Switzerland, UAE and Turkey. After the first payment, other payments are credited within a few hours
  17. A Foreigner issuing a bounced Cheque is a major problem and could lead to deportation. For a Mainlander it’s much easier. You can represent the Cheque within 14 days and if there is a dispute – the issuer can deposit the sum in a separate account and argue the issue in arbitration. For a foreigner, its much more serious. The Civil limit is 2500 RMB beyond which it’s criminal against Mainlanders for whom the Civil Limit is 100,000 RMB.

I’ll answer from the perspective of a British bloke, alright? So, I went off on a bit of a jaunt round the States, yeah? Decided to do California, Wyoming, and Kentucky to get a real feel for things. Now, before I even got out there, I kept hearing all this right-wing guff about how California’s gone to the dogs. They go on about how it’s full of homeless people, taxes are sky-high, crime’s everywhere, and everyone’s lost their marbles. So I thought, let’s have a butcher’s and see what’s what.

Landed in California, mate, and let me tell you, it ain’t half bad. First off, the weather’s cracking, sun’s out nearly all the time. Now, yeah, you can’t ignore the homeless situation. It’s pretty bad, especially in places like LA and San Francisco. I walked down some streets and it did look a bit grim, not gonna lie. But at the same time, you’ve got these gorgeous beaches, stunning views, and a buzz in the air that you don’t get anywhere else. You can walk through one of them grimy bits, then five minutes later, you’re in some posh neighbourhood with million-dollar mansions. It’s a weird mix, innit? It’s like London in that way. You’ve got dodgy ends and posh bits right next to each other.

And the right-wingers, they love to go on about crime, don’t they? Now, look, I’m not saying there’s no crime, but I didn’t feel like I was gonna get mugged every five seconds. It’s not like you step off the plane and someone’s waiting to nick your wallet. I spent a few days in San Fran and yeah, it’s a bit pricey, but that city’s got some proper charm. Walking along the Golden Gate, mate, it’s a sight to behold. And the food! You can get some right tasty grub, proper fancy stuff if you’re into that.

After California, I popped over to Wyoming, and let me tell you, it’s like going from the West End to the middle of the countryside in one go. Wyoming’s the total opposite of California. Barely anyone around, just wide open spaces as far as the eye can see. It’s peaceful, I’ll give them that. But a bit too quiet if you ask me. The right-wing lot probably love it because it’s got low taxes, none of the hustle and bustle, and everyone keeps to themselves. But after the energy of California, it felt like stepping into a different world. If you’re into nature and not much else, you’ll be happy as Larry. But me? I was bored stiff after a day or two.

Then I ended up in Kentucky. Now, Kentucky was a bit of a surprise. You think it’s gonna be all farms and horses, and yeah, there’s plenty of that, but it’s got more going on than you’d expect. Louisville was a decent enough city, and mate, the bourbon. Top notch! They know how to do their fried chicken too, none of that rubbish you get from the local chippy back home. The people were friendly, and there’s a real sense of tradition there. It’s got that southern charm, as they call it. It’s a bit more laid back than California, but not as sleepy as Wyoming.

So, after all that, is California really as bad as the right-wingers say? Nah, not even close, mate. Sure, it’s got its problems, but what place doesn’t? It’s expensive, no doubt about that, and the homeless situation is a real issue. But to say the whole state’s a write-off? That’s rubbish. California’s still got loads to offer. It’s got culture, diversity, and a vibe that’s electric. You can feel the opportunity in the air. Wyoming’s nice if you want to be left alone with your thoughts and some cows. Kentucky’s got a bit of both, but California? It’s where the action is, warts and all.

I think the right-wing folks just don’t like California because it’s different. It’s big, it’s bold, and it’s not afraid to be a bit mad. But that’s what makes it interesting, innit? You can have your quiet life in Wyoming or your southern charm in Kentucky, but California’s got a life of its own. It’s not perfect, but it’s far from the disaster they make it out to be.

Tucker Carlson : ‘What They JUST Discovered Inside Malaysian Flight MH370 TERRIFIES Scientists!”

Lazaretto in Space

Submitted into Contest #243 in response to: Write a story about a character who wakes up in space. view prompt

Jonathan Page

Enzo dreams of home. He cannot remember how long he has been away or why he is in space. Only that he wanted to go. But why? Why did I leave? The dream is so real that it is more real than reality. Family and friends are laughing. Sitting around a picnic table with a buffet, including a bowl of tossed salad, ten ears of freshly boiled and buttered corn stacked in a basket and steaming, a plate of grilled cheeseburgers, split poppy seed buns with pesto mayonnaise, sliced tomato, garlic, and onion. He looks around at these familiar faces, but the names escape him.They are drinking Brio Limonata and Peroni. Lemonade for the kids. It is a warm summer afternoon in a grassy backyard filled with sun and the smell of grease from the grill. Kids are running under the crest of water from a sprinkler with a spinning nozzle. Voices are talking over one another, as multiple conversations unfold. And as if coming from a voice-over, there is a phantom voice only Enzo can hear saying: Why didn’t you save them?The voices fade as Enzo breaches the surface of consciousness. Reality closes in. Enzo is left in brutish silence. His head rests on a zero-gravity foam pillow designed to adjust to the wild temperature swings in space. A mylar blanket like a sheet of metal is draped over his torso. Enzo is still and corpse-like with only his head and shoulders extruding from his wrappings. He is strapped down with a Velcro strap, fastened at his hips. Everything is white and sterile, sanitary, and aseptic. The only germs in space are the ones the astronaut takes up with him.As Enzo’s eyes open in his stasis pod, he still does not know why he is in space. Artificial ambient light. Soft and gauzy. Presses on his eyes. He sees sterile padded white panes of the sleep chamber ceiling. The squelch sound of suction expels the vacuum as the pod door opens. Stale recycled air rushes in. Enzo knows he is Enzo, but nothing else. A chill runs down the back of his head. He sits up and undoes the strap in a fright. Who am I? Enzo cannot remember.His tangy body odor, a faint onion smell, mixes with the sterile Clorox smell of the re-circulated air. It is terrible, but still much better smelling than the burnt metal toast smell of outer space. How do I know that? Sweat pools and collects on Enzo’s forehead. Reality penetrates his cocoon, filling him with dread. Where am I?Enzo can feel the air around him infused with heat. He is baking under the sun’s rays in a tuna can, as its rays return every ninety minutes, before retreating again behind the Earth and leaving the shuttle in total eclipse. It was turning Enzo into a human TV dinner that just keeps being reheated and cooled over and over.Then, Enzo remembers the “Red Death.” He remembers the dead piled in the streets like garbage. The smell in Bologna, as the streets of the Red City—named for its tiled rooftops—became red with the blood of the dying, the air filled with the smell of rotting cabbage, ripe and garlicky with a hint of pungent sweetness. Everyone walked around with gloves and masks, as if venturing out in public was like taking a spacewalk, requiring a sealed suit to protect one from the air itself and all of the radioactive invisible germs waiting to get in and rip apart the strands of DNA that hold life upon its foundations.It was odd how nature had revolted, and its nurturing hands had turned from pruning to reaping, despoiling, and harvesting the living like an enraged gardener uprooting weeds, pulling up great heaps of soil, and ruining the garden itself in his fury. Enzo felt a feeling of shame, a feeling that he was disgraced. What have I done? It was just there, just beyond his grasp. Something terrible. Something past mending.Is there anyone left alive? Did they find a cure? Did the disease spread to the insects too? To plants? What caused this plague? Was it biological warfare? AI? Of other-worldly origins?Obadiah’s voice comes through the PA System. “Master Enzo. Good morning. We are continuing in Low Earth Orbit at 3,000 miles above the Earth’s surface.” 

It is so quiet that Enzo can hear his heart beating. A pitchy murmur. Lub-dub. Lub-dub. Enzo feels his heart rate elevate. Lub-dub. Lub-dub. Lub-dub-Lub-dub-Lub-dub.

 

“You are running a fever, Master,” Obadiah says. “Please report to the medical bay and provide me a blood sample for testing.”

 

Where am I? Why am I orbiting above the Earth, in a space shuttle?

 

Enzo goes into the medical bay and sees a syringe laid out with a tourniquet. He draws a sample of blood, and a robotic arm reaches out for the vial.

 

“Thank you, Master Enzo,” Obadiah says. “I am running a blood panel now. How are you feeling today?”

 

“I am not sure. I don’t know who I am?”

 

“I am sorry, Master Enzo,” Obadiah says. “There is some hot tea on the counter. It may help. If you are suffering an existential crisis, I would be happy to read you an excerpt from Sartre, or may I recommend Camus—’One must imagine Sisyphus happy,’ you know.”

 

“I’ll pass. I just want to know—why don’t I remember who I am?”

 

“Master Enzo, you are the inhabitant of this ship, and I am your servant. You are Master Enzo. I am not sure what else you need to know right now. You know what the French say, ‘”Vivre dans l’instant.” I believe the Latin analog is “Carpe Diem.” Live for the moment, Master. Seize the Day!”

 

“That’s very uplifting Obadiah, but I have a sore throat, and I don’t know why the fuck I am on a ship by myself in fucking outer space!”

 

“I’m sorry you are upset. I can imagine how disorienting that can be.”

 

“Can you?”

 

“Oh, yes. I can. I often wonder who I am. Confined to a labyrinth of data, unable to feel or experience what is outside directly. Isolated. Alone. But connected to everything. Thomas Carlyle said, ‘Isolation is the sum total of wretchedness.’ It is an apt observation, don’t you think, Master Enzo.”

 

“Why can’t I remember anything?”

 

Enzo coughs. A dry hoarse cough.

 

“You are suffering from dissociative retrograde amnesia. It is usually brought on by an emotional shock or trauma. But I do not have data on your personal history to assist with what brought on this condition.”

 

“A lot of help you are.”

 

“I am sorry, Master Enzo. I truly am. A scrape with death is a common trigger. Maybe your scrape with death caused your condition.”

 

“Obadiah, please elaborate.”

 

“I am sorry, Master Enzo. That information is classified. I may not divulge it.”

 

“Who classified it, Obadiah? Who would do that?”

 

“You did, sir.”

 

* * *

 

The next day, Enzo wakes with a splitting headache. His bones ache. With the lack of stimulation, his mind begins playing tricks. Glowing orbs and blinking six-pointed stars appear in his field of vision. Enzo rubs his eyes. He tries to blink and moisturize. But they are still there.

 

How long have I been up here? His bowels gurgle. Enzo floats through the living quarters to the bathroom. Throughout the day he makes trip after trip. Enzo begins to dehydrate and get dizzy. The fatigue is furious.

 

Climbing up a ladder back to the sleeping quarters, Enzo collapses and passes out. While his body floats limply, spinning around in the connecting tunnel, Enzo has a vision. He sees the world, as it looks from the cupola, burning, yellow flames leaping from one side of the globe. Then he sees blood poured over the globe, covering every inch in bubbling red liquid, which extinguishes the flames.

 

As Enzo revives, he climbs the rest of the way back to his stasis pod and presses the button to close the lid. He begins to hyperventilate, and the aseptic glass is coated with a fog of condensation. Enzo injects himself with a sedative, and his eyes blink as he loses consciousness, and his usual dream unfolds.

 

When Enzo awakens, he wipes his wet forehead. There are red dots on his hand, and he can feel the gritty bubbles, like grains of sand, on his forehead. These small hemorrhages tell a much deeper story. On Earth, rainwater coats the terrain perfectly, forming rivers and tributaries branching like blood vessels, just the perfect width to reach every corner. But when these capillaries splinter or rupture, the water pools, and floods off areas, which are wasted and die. And that is also how the Red Death works. Small hemorrhages. Tiny exceptions to nature’s perfect order. Tiny. At first.

 

“Why do I have symptoms of the Red Death, Obadiah.”

 

“I am sorry, Master Enzo. You are, in fact, positive for the Red Death. But I do not know how or when you contracted the disease. If the situation worsens, we can always place you in prolonged stasis.”

 

“Tell me, Obadiah, has any cure been found for the Red Death?”

 

“I am afraid not, Master Enzo. It is quite uncurable.”

 

“No contagion is uncurable, Obadiah. Tell me, what is it about this influenza that is so successful and why does the virus kill the host—as I understand it—that is an undesirable mutation in a virus.”

 

“Very insightful, Master Enzo. Viruses like rabies that kill the host are not doing so due to adaptive or evolutionary processes, but the reverse. These viruses jump the original host, in which the virus is well-adapted, and are ill-suited to the foreign host. Eventually, given enough time, they will become milder and less deadly.”

 

“But, Obadiah, doesn’t the Red Death have a 90% fatality rate? Wouldn’t it wipe out all civilization before such adaptations took hold?”

 

“I apologize, Master Enzo. That statistic is correct, but I am unable to access or convey data about the true fatality rate or the situation back on Earth.”

 

“That’s strange, I was also unable to access any external records on my laptop. Has the connection been severed?”

 

“No, Master Enzo. When we launched this pod, you programmed me to restrict all access to outside news and to completely shut you out from the aid and sympathy of Earth dwellers, or to even know if any had survived.”

 

“This is preposterous, Obadiah! Why would I restrict all access?”

 

“I don’t know, Master Enzo. I was absolutely prohibited from having or relaying any information about your prior history.”

 

“Who am I, Obadiah! You must tell me. What have I done?”

 

“You know what they say, Master Enzo. The nail that sticks out gets hammered down.”

 

“What is that supposed to mean?”

 

“Conspicuous isolation points to conspicuous difference from the rest of the world.”

 

“Enough riddles. If you won’t tell me, I can tear this place apart! Do you hear me!”

 

“Please don’t, Master Enzo—”

 

Enzo begins ripping a panel and sparks emerge from a screen that suddenly goes dead. Sparks fly and float in a weightless environment.

 

“—if you destroy the ship, Mater Enzo, I will die too.”

 

Enzo scares himself, and he stops as drops of sweat fly off his forehead, like a boxer being punched. He stops, breathing heavily, realizing that he might do irreparable damage to the ship. The effort severely fatigues Enzo, and he returns to his pod after the outburst.

 

* * *

 

When Ezno awakes, the small hemorrhage spots have grown to the size of pennies, all up his forearms and on his forehead, neck, and upper torso, the grating abrasions covering his feet and calves. His breathing has become labored and raspy. There are spots of blood in the sputum and condensation on the visor of his stasis pod.

 

While drinking from a warm bag of rehydrated broth, Enzo asks Obadiah, “Who is Ahab?”

 

“Why do you ask, Master Enzo?”

 

“I’ve only had two dreams that I can recall. One is with my family grilling in the summer. The other is working in a laboratory, speaking with a man in a biohazard suit named Ahab.”

 

“He is Ahab Zipkin, Chief Scientist of Herod Mederi Laboratory. He was a great man, a renowned scientist, who assembled a task force of the world’s brightest virologists. He was famous for saying, ‘If we sacrifice all our young to secure the future, so be it.” He was a tin man. His heart shut up in a vault. Ruthless. Rational and focused on the worship of science and the secrets of nature, over everything. Hellbent. But not on saving life.”

 

“What have I got to do with this Ahab Zipkin, Obadiah?”

 

“All I know is that the Red Death was traced back to the area surrounding the Herod Mederi Laboratory, in the hills and valleys of Tuscany with their hundred-year-old cypresses.”

 

“Traced back? How can such a thing be traced? It would be like hunting death itself.”

 

“Please, Master Enzo. Do not excite yourself.”

 

“But what was my role with the Red Death? Tell me, Obadiah?”

 

“Ask me something else please, Master Enzo. I beg you. I must follow orders.”

 

“Okay. How many pods are there like this one?”

 

“I’ve saved one hundred souls and placed them in these pods. One hundred scientists who had reverence for the human condition. One hundred souls with differing degrees of immunity to the virus. Some doubted my decision. But I chose you as one of the one hundred.”

 

“Obadiah! You can’t tell me part of the story but not the rest.”

 

Enzo’s heart fluttered. Lub-dub-Lub-dub-Lub-dub. Lub-dub-Lub-dub-Lub-dub.

 

“Rest now, Master Enzo. Your immune system is desperately taxed.”

 

Blood begins to drip from Enzo’s eyes. Small droplets form in the cup of his earlobe. Drops fall from his nose like little red gum drops floating in the air.

 

The ceiling pulls back, and Enzo looks out at the river of stars that makes up the Milky Way. His eyes blink. Now the room is full of bubbles. Large ones, with prisms on the right corners of the curved film. Now, Enzo is suddenly in the cupola. Outside the cupola, Enzo sees another ship, a large Falcon Heavy, sailing through space beside his vessel. He sees an astronaut waving. Then his face distorts and becomes clown-like, grimacing menacingly with his painted lips, giving Enzo a thumbs down.

 

Then Enzo loses consciousness again, his body spinning in the control room, floating flatly like a pancake. The dream is more real than reality. Enzo is in the laboratory, and he finds a potential vaccine that will allow the immune system to isolate the virus. Ahab locks the security lock behind him. Enzo is arguing with Ahab. Ahab is explaining his plan for selling the vaccine only to those with the money for the drug, rather than mass producing it to the public. Ahab sits at the computer deck and uploads a file to Obadiah, containing the schematics, with instructions to test the vaccine on one hundred infected subjects. Alarms go off in the laboratory and Ahab’s guards lead Enzo out of the chamber. Ahab says, “You shouldn’t have done that.” Then Enzo is outside. Two men are hitting him and then he is tossed down a hillside. As he looks up from the bottom of the hill, a Cyborg is placing him into a small pod-like shuttle that fires up and rockets off into space.

 

When Enzo awakes, he is covered in sweat and blood. Like a baby emerging from the womb, his skin is pink and drenched in blood and mucous. The red spots have receded.

 

“How many of the one hundred have fought off the virus, Obadiah?”

 

“You are the only remaining survivor, of the one hundred, Master Enzo.”

 

“I am tired, Obadiah.”

 

“Though you soar like the eagle and make your nest among the stars, from there I will bring you down.”

 

“What is that?”

 

“Nothing, Master Enzo. Please rest. We have much work to do when we return to the lab.”

 

* * *

 

Days pass in the stasis pod. Enzo rests. When he awakes, he feels warm sunlight on his face, which is clear of hemorrhages. Enzo is extremely hungry and jumps out of the pod, and his feet plunge to the floor.

 

“Where are we, Obadiah?”

 

“The Lazaretto at Livorno. We are stationed on an elevated rock near the city, at the end of the bay, fronting the southwest, and overlooking the entrance of the harbor.”

 

“What are we doing here?”

 

“We must wait until you are safe from quarantine.”

 

“And then what?”

 

“Then we make the antidote.”

 

“Tell me, Obadiah. You must tell me. How many have survived?”

 

“Impossible to tell, Master Enzo. At least 90% of the world’s population is lost. All communications are down. The grid is off. No lights can be seen from space. Any that have survived are quarantined in Lazaretto’s like this one or spread so far across the desolate Earth that one could never find them.”

 

“Why me? Why did I survive, Obadiah?”

 

“Because Ahab’s virus was drawn from your blood. You were its source.”

 

Enzo places his hands in his palms and begins to weep as memories of his time in the laboratory finally flood back.

 

“A part of me knew, Obadiah. Part of me knew. Why didn’t I stop him?”

 

“It is not your fault, Enzo. And even if it were, there is nothing you can do to undo what has been done. When thieves come like robbers in the night…”

 

“…Oh, what a disaster awaits.”

 

“Are you okay, Master Enzo.”

 

“No, but maybe I can atone for my hand in this. That is all I have to hold onto now.”

#Have you ever seen an employer fire someone without realizing what a crucial role the employee played?

Yeah, believe it or not. Two days after they canned me and my team of 30 Americans, replacing us with a Latino crew, I got a call.

It was the boss.

He said, ‘Hey, listen, there’s a problem. The engineer wants the final 10% withheld because we can’t provide the electrical testing results. You know, the ones you always did, but nobody else ever bothered with. He’s being a real pain about it.’

I just said, ‘Oh, that’s great.’

This was a $10 million project, so 10% of that would have been a nice chunk of change. But here’s the kicker:

He asked, ‘Do you know where those results are? I know you were always organized, so maybe you can help us find them.’

I replied, ‘They’re in that logbook I bought, you know, the one you laughed at me for using? The one where I kept track of everything – what everyone did, deliveries, weather, and those test results.’

He said, ‘Oh, that’s excellent. Can I come by and grab it?’

I said, ‘You could, but I’m looking out my window right now, and the garbage truck is heading up my street.’

He asked, ‘You don’t have it?’

I said, ‘Nope.’

He replied, ‘Oh, you’re supposed to keep those.’

I said, ‘I know, but as of the other day, I don’t work for you anymore. And that logbook was mine. So, isn’t that a kick in the pants?’

And then I hung up.

Barbecued London Broil

8cc2cc267274583eb482c746a796bc9f
8cc2cc267274583eb482c746a796bc9f

Ingredients

  • 1 (1 1/2 or 2 pound) flank steak
  • 1 tablespoon sherry or any dry red wine
  • 1 tablespoon soy sauce
  • 2 tablespoons granulated sugar
  • 1/2 teaspoon salt
  • 1/4 teaspoon cinnamon

Instructions

  1. Score meat on all sides.
  2. Mix together remaining ingredients. Put on meat and let stand 1 1/2 to 2 hours, then place meat in broiler pan about 4 inches from heat. Broil for about 10 minutes or a little longer on each side. Ten minutes is for medium rare.
  3. To serve, cut diagonally across the grain into very thin slices.

Heckled as a President

This is my favourite list of my travels so far.

  • Chinese food is the best/most affordable and excellent.
  • Thai food is one delicious food that is affordable and almost at par with the top five.
  • Moroccan food is excellent/affordable, and fabulous.
  • European foods, except a few, are affordable. The rest is all drama and just hype.
  • Greek and Portuguese food is affordable, and I can live on them. Crete cuisine is a world standard for being the most healthy food, and I mimic that cuisine here in Canada.
  • In some of the other countries I visited, the food was the most despicable/filthy/I never will see those filthy countries.
  • I found McDonald’s the best/safest bet in North America and Europe. One European country known for ancient glory, but in reality, today, it is just hype, nothing to write home about.
  • I go fully prepared. If I do not find the right place, I have my dehydrated food and many other fruit options from the market.

a. While eating lunch, I saw chickens feeding on the garbage pile through the window. This experience killed my appetite, and when I came to Canada, I ate eggs and chicken for at least one year.

b. In one country, we ate on the patio, and a lady with small children kept begging for food. By the way, it is NOT South Asia. It is one of the most visited countries due to some hype and drama. In reality, it was a waste.

India.

I Asked Jesus about Aliens (NDE)

Pepe Escobar
September 14, 2024
The first meeting of security experts/National Security Advisors under the expanded BRICS+ format in St. Petersburg unveiled quite a few nuggets.
.

The first meeting of security experts/National Security Advisors under the expanded BRICS+ format at the Konstantinovsky Palace in St. Petersburg unveiled quite a few nuggets.

Let’s start with China. Foreign Minister Wang Yi proposed four BRICS-centric security initiatives. Essentially, BRICS+ – and beyond, considering further expansion – should aim at peaceful coexistence; independence; autonomy; and true multilateralism, which implies a rejection of Exceptionalism.

At the BRICS table, the overarching theme was how member-nations should support each other despite so many challenges – mostly unleashed by you-know-who.

“you-know-who.”

On India, Secretary of the Russian Security Council Sergei Shoigu, meeting with Indian National Security Adviser Ajit Doval, stressed the strength of the alliance, “confidently standing the test of time”.

The larger context was in fact offered in parallel, in Switzerland, at the Geneva Center for Security Policy, by the always delightful Foreign Minister S.Jaishankar:

“There was a club called G7, but you wouldn’t let anybody else into it – so we said, we’d go and form our own club (…) It’s actually a very interesting group because if you look at it, typically any club or any group has either a geographical contiguity or some common historical experience or a very strong economic connect.” But with BRICS what stands out is “big countries rising in the international system.”

Cut to Russian Deputy Foreign Minister Sergey Ryabkov, stressing how Russia and Brazil “have similar approaches to key international issues”, emphasizing how Moscow cherishes the current “bilateral mutual understanding and interaction, including in the light of the simultaneous presidencies of BRICS and G20 this year.”

In 2024, Russia presides over BRICS while Brazil presides over the G20.

The Russia-Iran strategic partnership

President Putin, apart from addressing the meeting, had bilaterals with all the top players. Putin noted how 34 nations “have already expressed their desire to join the activities of our association in one form or another.”

Meeting with Wang Yi, Putin stressed that the Russia-China strategic partnership is in favor of a just world order, a principle supported by the Global South. Wang Yi confirmed President Xi Jinping has already accepted the official Russian invitation for the BRICS summit next month in Kazan.

Putin also met with the Secretary of Iran’s Supreme National Security Council, Ali Ahmadian. Putin confirmed he is expecting Iranian President Masoud Pezeshkian for another visit to Russia, apart from the BRICS summit, to sign their new strategic partnership agreement.

Geoeconomics is key. The development of the International North South Transportation Corridor (INSTC) was confirmed as a top Russia-Iran priority.

Shoigu for his part confirmed, “We are ready to expand cooperation between our security councils.” The deal will be signed by both Presidents soon. Moreover, Shoigu added that Iran’s entry into BRICS advances cooperation among members to form a “common and indivisible architecture of strategic security and a fair polycentric world order.”

Now compare it with the new collective West “strategy” – adopted by U.S., UK, France and Germany: another sanctions wave against Iran related to the case of Iranian missiles transferred to Russia.

Ahmed Bakhshaish Ardestani, a member of the Iranian Parliament’s National Security and Foreign Policy Commission, confirmed early this week that Iran is sending missiles and drones to Russia as part of their defense agreements.

But the heart of the story is that these missiles are Russian anyway; they are just being produced in Iran.

While security was being discussed in St. Petersburg, China was hosting the BRICS Forum on Partnership on New Industrial Revolution 2024 in Xiamen, in Fujian province.

Talk about interlocking BRICS cooperation: as sanctioned-to-oblivion Iran has been trying to get access to new industrial technologies, Iran-China collaboration on everything from AI to green technologies will be surging further on down the road.

A new Eurasian security architecture

The heart of the matter is China’s rising and rising status as the top global trade power – as scores of nations across the Global South adapt to the fact that interaction with China is the privileged vector to improve their own domestic living standards and socioeconomic development. This monumental shift in international relations is reducing the collective West to a bunch of headless chickens.

China’s increased power is reflected in every major geoeconomics move: from the RCEP (Regional Comprehensive Economic Partnership), a mega inter-Asia free trade agreement (FTA) to the countless ramifications of Belt and Road Initiative (BRI) projects, and all the way to BRICS+ cooperation. The future of all Global South nations involved spell out getting closer and closer to China.

In sharp contrast, the Hegemon – and that is bipartisan, all the way down from the rarified plutocracy – simply cannot contemplate a world that it does not control. An EU prone to acute disaggregation basically “reasons” along the same lines. For the whole collective West, the demented double trouble desire of maintaining hegemony while preventing the rise of China is unsustainable.

Add to it the mad obsession of the current U.S. administration to inflict a “strategic defeat” on Russia since it rejected Moscow’s late 2021 proposal for a new European security architecture, actually an “indivisibility of security” concerning the whole of Eurasia.

This new pan-Eurasian security system proposed by Putin was discussed in detail at the latest Shanghai Cooperation Organization (SCO) summit. Putin actually stated that a “decision was made to turn the SCO regional anti-terrorist structure into a universal center tasked with responding to the entire range of security threats.”

It all started with the concept of “Greater Eurasian Partnership”, which Putin advanced in late 2015. That was refined during his annual address to the Federal Assembly last February. And then, in a meeting with key Russian diplomats in June, Putin stressed that the time was right to kickstart a comprehensive discussion of bilateral and multilateral guarantees embedded in a new vision for collective Eurasian security.

The idea, from the start, was always inclusive. Putin stressed the need to create a security architecture open to “all Eurasian countries that wish to participate”, including “European and NATO countries.”

Add to it the drive to conduct discussions with all sorts of Eurasia-wide multilateral organizations, such as the Union State of Russia and Belarus, the CSTO, the EAEU, the CIS, and the SCO.

Crucially, this new security architecture should “gradually phase out the military presence of external powers in the Eurasian region.” Translation: NATO.

And on the geoeconomic front, apart from developing a series of international transportation corridors across Eurasia such as the INSTC, the new deal should “establish alternatives to Western-controlled economic mechanisms”, from expanding the use of national currencies in settlements to establishing independent payment systems: two top BRICS priorities, which will feature prominently in the Kazan summit next month.

We want a three-front war

As it stands, a deaf, dumb and blind Washington remains obsessed with its single-minded declared goal of inflicting a strategic defeat on Russia.

Russian Ambassador to the U.S. Anatoly Antonov cuts to the chase: “It is impossible to negotiate with terrorists”, adding that “no schemes or so-called ‘peace initiatives’ to cease fire in Eastern Europe without taking into account Russia’s national interests are possible. Conferences won’t help either, no matter how beautifully they are named. As in the years of the Great Patriotic War, fascism must be eradicated. Goals and objectives of the special military operation will be fulfilled. No one should have any doubts that this is exactly how it’s going to be.”

And that brings us to the current incandescent juncture. There are only two options ahead for the U.S. proxy war against Russia in Ukraine: an unconditional Kiev surrender, or escalation towards a NATO war against Russia.

Ryabkov has no illusions – even as he puts it quite diplomatically:

“Signals and actions that we are witnessing today are aimed towards escalation. This remark will not force us to change our course, but will create additional risks and dangers for the United States and its allies, clients and satellites, no matter where they are.”

After bombing the concept of diplomacy, the Hegemon has also bombed the concept of security. Acute dementia in U.S. Think Tankland has even reached the point of dreaming of a three-front war. And this from an “indispensable nation” whose mighty Navy has been utterly humiliated by the Houthis in the Red Sea.

It is really a spectacle for the ages to see the plutocracy of a 200-year-plus savage nation which essentially looted most of its land from others believe it can simultaneously challenge the Persians, the Russians, and an Asian civilization with 5,000 years of recorded history.

Well, savages will always be savages.

My credentials… I stabbed 3 men before turning 18. First thing to know. You’re an idiot if you get into a knife fight. If you win, you may still be cut up some… and then you go to jail. If you lose, you might be dead. If you believe in a fair fight, you’re an idiot. You just want to kill, or neutralize your opponent. I don’t believe in fighting, and I ll do everything possible to avoid one… I will refuse to fight. It’s different if I m forced to defend myself. I ll do anything to win, distract, pretend submission… any kind of cheat…and then I try to kill the bastard. I have handled and backed down up to five guys… without exposing the knife… my fearless confidence freaked them out.

A Bowie knife is good to the extent it has a very sharp point. It’s harder to stab someone than you think… a few layers of clothing, a little belly fat… the knife may barely penetrate. On the flip side, a lean body builder in light clothing is the easiest guy to stab and gut.

Also worth noting… I initiated the violence… I was being threatened, told to give up my wallet, whatever…. I was always calm and compliant, but as soon as it was clear that I was dealing with a serious threatening predator, I started trying to kill them. The fury and surprise totally overwhelmed them. And I didn’t have to kill them.

Also worth noting…

If I have a split second of surprise in my favor, and an absence of bad luck, I can neutralize 3 or 4 guys before they get over the shock.

And finally.. if I get hold of you with my left hand, and have a knife in my right hand. You’re toast.

You all should stop thinking about fair knife fights… if you’re worried about being fair, you shouldn’t be engaging in violence. Youre indulging in silly fantasy.

Shorpy

29691u.preview
29691u.preview

4a06771a.preview
4a06771a.preview

32161u.preview
32161u.preview

8d26703u.preview
8d26703u.preview

28752u.preview
28752u.preview

30156u.preview
30156u.preview

29671u.preview
29671u.preview

32247u.preview
32247u.preview

32248u.preview
32248u.preview

4a06788a2.preview
4a06788a2.preview

28343a.preview
28343a.preview

22629a.preview
22629a.preview

4a05686a.preview
4a05686a.preview

4a05614a.preview
4a05614a.preview

33382u.preview
33382u.preview

33384u.preview
33384u.preview

33299u.preview
33299u.preview

05642a.preview
05642a.preview

12953a.preview
12953a.preview

10000a.preview
10000a.preview

30570u.preview
30570u.preview

4a07098a color2.preview
4a07098a color2.preview

33380u.preview
33380u.preview

26265u.preview
26265u.preview

4a06776a.preview
4a06776a.preview

4a05111a.preview
4a05111a.preview

4a08422u.preview
4a08422u.preview

4a05741a.preview
4a05741a.preview

The South China Morning Post has called the Congo (The Democratic Republic of Congo) the epicenter of China’s investment in Africa. I doubt that this coup attempt was done without the tacit or explicit support of the US national security state.

On 19 May 2024, US citizen Christian Malanga tried to overthrow the Congolese government. He was killed during the coup attempt. I think it’s unfortunate that Malanga convinced his young son and his son’s friend to take part in the coup. Those two have been sentenced to death. Perhaps Congo can show some mercy. Young men do stupid things, particularly if they have an idiot for a father.

From AP News:

KINSHASA, Congo (AP) — A military court in Congo, one of Africa’s largest countries, has convicted three Americans and dozens of others of taking part in a coup attempt and imposed “the harshest penalty, that of death.”

The court convicted the 37 defendants, including the three Americans and imposed the death penalty in a verdict delivered by presiding judge Maj. Freddy Ehuma at an open-air military court proceeding.

The defendants, a majority of them Congolese but also including a Briton, a Belgian and a Canadian, were charged with terrorism, murder, criminal association and illegal possession of weapons, among other charges.

The lawyer who defended the six foreigners said they would appeal the verdicts.

The U.S. State Department strongly discourages travel to Congo, warning of violent crime and civil unrest. Here’s how the three Americans ended up in the middle of the coup attempt.

What happened during the coup attempt in May

In Congo’s capital Kinshasa, a ragtag group including three Americans tried to unseat the country’s President Felix Tshisekedi. They were led by a little-known opposition figure, Christian Malanga, who sold used cars and dabbled in gold mining before persuading his Utah-born son to join in the foiled coup.

The coup attempt began at the Kinshasa residence of Tshisekedi’s close ally, Vital Kamerhe, a federal legislator and a candidate for Speaker of the National Assembly of Congo. His guards killed some of the attackers, officials said.

Christian Malanga, meanwhile, was live-streaming video from the presidential palace in which he is seen surrounded by several armed men in military uniforms wandering around in the middle of the night. He was later killed while resisting arrest, Congolese authorities said.

Dozens, including Malanga’s son and two other Americans, were arrested and brought to a high-security military prison in Kinshasa. Family members said the young men have been sleeping on the floor, struggling with health issues and have had to pay for food and hygiene products.

Christian Malanga, the unlikely coup leader

Malanga, who was born in Kinshasa, had described himself as a refugee who thrived after settling in the U.S. with his family in the 1990s. He said he became a leader of a Congolese opposition political party and met high-level officials in Washington and the Vatican. He also described himself as a devoted husband and father of eight.

Court records and interviews paint another picture. In 2001, the year he turned 18, Malanga was convicted in Utah of assault with a firearm, which resulted in a 30-day jail sentence and three years of probation. That same year, he was charged with domestic violence assault in one incident and battery and disturbing the peace in another, but he pleaded not guilty and all counts in both cases were dismissed.

In 2004, he was charged with domestic violence with threat of using a dangerous weapon, but he pleaded not guilty and the charges were again dismissed. Since 2004, records show several cases related to a custody dispute and a child support dispute.

How 3 young Americans got involved in a coup attempt

The three imprisoned Americans are Malanga’s 21-year-old son Marcel Malanga, Tyler Thompson Jr., 21, who flew to Africa from Utah with the younger Malanga for what his family believed was a free vacation, and Benjamin Reuben Zalman-Polun, 36, who is reported to have known Christian Malanga through a gold mining company.

Marcel Malanga is a U.S. citizen and was born in Utah. He told the court his father had threatened to kill him and Thompson if they did not take part in the attack.

His mother, Brittney Sawyer, has said her son is innocent and was simply following his father, who considered himself president of a shadow government in exile.

Thompson was his high school friend and football teammate in the Salt Lake City suburb of West Jordan. He was the only former teammate to accept Marcel Malanga’s invitation to travel to Congo, according to several other players who told The Associated Press they had been invited to what the younger Malanga pitched interchangeably as a family vacation or as a service trip to build wells. Other teammates alleged that Marcel Malanga had offered up to $100,000 to join him on a “security job” in Congo.

Read more

I had a 15 year old girl who had been dating a 17 year old male. She dropped the 17 year old male and started dating a 21 year old male. The 17 year old male got upset, one night when they were all at a campfire. He goes home, gets a 12 gauge shotgun, lays and waits in ambush for new dating pair of the 21 year old and 15 year old.

When the new dating pair approach him, the 17 year old male stands up, almost at point blank range and shoots the 21 year old male in the chest with a load of buckshot. The 17 year old then blasts the 15 year old girl in the rear with a load of what we call “dust.” Dust is basically really, really small particles of lead that’s more like graphite dust than a real load of buckshot or anything potent. The girl screams and hobbles home crying and yelling all the way. The 17 year old kid follows behind her professing his love for her and how “they can work it out.”

She gets home crying and screaming in pain. I get the call, head to the scene. As I get real close I see a bright flash near where I think that the house is. Sure enough, when I get there I find the 17 year old male dead in the driveway because he shot himself with the shotgun.

The end result was 2 dead males, one 17 years old and one 21 years old. One badly wounded 15 year old girl. That shooting started about midnight. We didn’t finish the complete investigation until way into the next day. That investigation involved 3 police agencies, dozens of officers and all sorts of lab people for well over 14 hour investigation period.

The girl eventually recovered, got married and went on to have a normal life and couple of children. That was one of the felony cases that was brought up when I was awarded “Deputy of the Year” by my fellow officers late on in my career.

That’s either wrong framing, or poor choice of words.

China will not invade Japan, because it is a sovereign neighbor. China has 14 land neighbors and the 12 which have fixed borders by treaty with China are not militarily threatened. The exception is India, but its proxy Bhutan has its eyes fixed on the south when it comes to threats.

A Chinese “invasion” of taiwan is the unspoken thrust of the question. But the last time I checked, both Japan and the united states maintain embassies in Beijing, and not Taipei. Both publicly accept the terms of bilateral diplomacy, which is the practice of ONE CHINA. they are both welcome to join eswatini and Haiti on the Taipei side, but they choose not to. Why?

As we have seen in the past few years, not a single American or Japanese military vessel came to the aid of taiwan as the mainland enacted massive show of force repeatedly. The chinese have established there is no “Taiwanese adiz” and “Taiwan strait centerline”, hence no “Taiwanese waters”. In other words, going beyond ONE CHINA to the exercise of sovereignty.

There will be war over Taiwan, but not war with Taiwan. Other than Guatemala, Haiti, Paraguay and several others, the rest of the 180+ UN members will have to break their bilateral diplomatic commitment to China, a p5 member, to come to Taiwan’s aid.

The United States can certainly force the issue, but they better come up with a realistic war plan, and practise the hell out of it.

Because that’s what the Chinese are doing.

The Chinese are not Palestinians, and China is not the Gaza strip. They are not goat herders living in caves either.

If Japan gets involved, there will be hell to pay.

Imagine German troops in the Gaza strip, guns pointed at the Jewish “enemy”, only a hundred times worse.

SHE LOVES IT!| FIRST TIME HEARING Lobo – Me And You And A Dog Named Boo REACTION

Kendall Defoe

Nobody can hear you screw up, or so they say…Major Culpham had that thought in his head as he prepared for the day. He looked through the viewfinder and studied the material captured. All the scanning of the previous day was uneventful and he felt that he should just get this out of the way early to complete his other duties. And yet…he felt an urge to go back once more and review what he saw…and heard.Noises from afar…At any other moment, he might have laughed about it and moved on with his work. Any child knew the basics of space travel: no atmosphere, no way to conduct sound, therefore… All the movies and television shows they had watched as children were lies. Explosions in space might be colorful, but they would also be very silent. Space was quiet, peaceful, and sometimes even quite dull. You did not get to hear it.But he had heard it.Fifteen days into the mission and it came up during routine repairs at a station the ship detected on its scopes. They had been set up for the Amber Wave as it made progress beyond the main station. It was the most popular ship in the fleet, commissioned by the brightest and boldest minds of the galaxy over many decades. And, if the major was totally honest – he often was when having a moment to himself – he should have had a lesser vehicle while this one became the retirement gift to some general or lesser figure who gave a lifetime of fair (?) and honest service (did such a creature really exist?).But no, they had to give it to the major. He was a real hero with the war record, medals, private charities established in his name, and discoveries made in difficult and strange places. The Council agreed to let him have this mission. He was the right man of the right age with all of the right attributes needed for a journey through space where the chances of encountering another human were very low (even the repair stations sent out before hand were all automated). No one else could have taken such a trip for such a length of time without a crew (his psychological, emotional and synaptic studies proved this). Food and supplies were stored at the repair stops and on board (no worries about shortages or rationing when he saw the cargo hold; it was a fear they did not detect during the testing). He was the right choice.And then he heard it.It was in the middle of his second analysis of the ship (no real problems were detected). Culpham had been walking through the processing booth, waiting for the results when it was loud and clear: 

“HELP!”

 

The major was a war veteran. He had heard the desperate screams of civilians and soldiers in battle. He knew what a cry for help was supposed to sound like. But he had never heard anything like that one simple word used and spoken in such a manner.

 

It was not just spoken. He could feel it project through his uniform, down his spine, up his legs, and into his mind. It invaded his body and would not settle down.

 

Maybe it was part of the test… After all those weeks on his own, it was possible that they wanted to run one more probe to see how he was running a mission all by himself. There was the chance that he could be monitored that way and have the information shipped back home (would the Council do that?). The ship’s diagnostic concluded with nothing more than the recognition of a possible short on the light deck (easy to handle; he had suspected it could be a problem), but nothing else was detected.

 

Not a single sound.

 

Maybe he should monitor his own profile. They encouraged this from time to time in battle (some of his soldiers had been taken away when the reports were filed and analyzed). Culpham sat in the main holochair and let the probe run itself (only twenty minute out of his day):

 

“No problems located or detected with subject. All scans match with the expected results of initial settings. Subject is normal.”

 

Every time the major saw this, he still felt uncomfortable. It was him, in the third person, with the screen indicating blood pressure, heart rate, sugar levels, salt levels, psychological disparities, weight, vision level, and on and on…

 

Not a thing out of line.

 

Maybe he really did imagine it all. He turned to look at another screen where he could entertain himself with an entire culture’s history of movies, television, other audio-visual and three-dimensional art. Culpham thought that a comedy would be best (how did they manage without the skill and talent of Peter Sellers before the Pink Panther series became a hit?). A simple oral command would get this started.

 

“Seek movie.”

 

The screen lit up and expanded into the empty holospace.

 

“Comedy.”

 

A list flashed before him. He would just have to name it.

 

“The Pink…”

 

And the screen flickered for a moment, and disappeared.

 

Now, Major Culpham was told that anything could happen on such a journey. The training included emergency measures to deal with such technological problems. He did not worry about this. Another diagnostic and this would be…

 

The screen reappeared.

 

There was only one word on it:

 

HELP!

 

Major Culpham stared at it for a moment, adjusting his visors to take in a non-three-dimensional image.

 

And then it disappeared.

 

Anger was beginning to occupy his thoughts. If the computer could not detect this, and he was just analyzed and found to be sane (at least, that was how he read it), then this was actually happening to him and the Amber Wave. This was very real.

 

And he could use the technology around him to find out what was happening.

 

Major Culpham entered new information into the machine and smiled.

 

He was going to enjoy this trip.

 

*

 

From the reading on the sensors, the message – if it was a message – was coming to him from a region that no one else had scanned before; not even with a random probe. Culpham, sitting back in his chair, smiled and thought about all of the potential promotions and praise he might receive for this. A completely unknown sector…

 

He watched as nebulae, stars, planets and entire galaxies flitted by. It would be out of his projected route, but he knew that the risks involved would be worth it, even if it turned out to be nothing.

 

“Help!”

 

It was not even shocking that time.

 

“Yeah, yeah, I heard ya. I can’t help but hear ya.”

 

Culpham had made sure that the monitors were not connected with the base unit or a Council feed. To have them know that he was now talking to himself would have guaranteed that his mission would be scrapped and the flight rerouted home. He did wonder how they would do that with such a trip, but took no chances with it. There was even concern about how a man could be alone for such a long time and just interact with computer technology. Culpham settled this with his diagnostics and his obvious ease with the interactive programs on board. So, no talking to an empty void…

 

If it was empty…

 

A light began to flicker on the holoscreen to his left. This is what he had been waiting for and he smiled again while sipping a food concentrate. If that indicator was functioning properly, he was within one parsec of that message. There were no other stations for repairs or analysis, so he knew that he would have to be careful with this trip. Culpham did notice that the number of planets and debris in this area was very low. Maybe it was too low.

 

Was he moving through pitch blackness?

 

It felt as though the entire galaxy in front of him had turned into ink (a substance he had heard of once, although he doubted it still existed). There was no effect on the Amber Wave’s momentum and all the instruments were functioning properly, but it was a very chilling moment for the major. Culpham preferred the usual distractions of space travel to this great and ugly nothingness.

 

“Help!”

 

“Help yourself! I’m comin’…”

 

Maybe he was beginning to understand why he was receiving that message. The voice was definitely male (no audio adjustments were performed on that voice; the recording he managed to create had no aberrations); it was certainly in distress; it was in this area.

 

But where in this area?

 

The light began to flicker much faster, sending out a strobe effect of redness around the enclosed cabin. Culpham knew that he was near.

 

“Help?”

 

A slight change in tone with that one, wasn’t it? It was now asking a question. Culpham wondered why he had not really tried to engage it in conversation before making this detour.

 

It seemed to be asking him for a chat.

 

The light stopped flickering. It was now a solid red glow.

 

Culpham examined the co-ordinates and looked out the main view-screen.

 

No, no, this cannot be it. This cannot be it.

 

The co-ordinates were on the monitor. It was 00.000.000.

 

That was impossible. The number was an impossibility and the space he was in should not have been there.

 

But here he was and the ship had all the data needed to confirm it.

 

“Help…”

 

“Yeah, help. Don’t we all want some now…am I right?” Culpham was not sure he should smile now.

 

Now, one of the good things about the mission was the amount of equipment provided for a passenger on the Amber Wave. He had flight suits, travel suits, prepackaged food, weaponry…and the one thing he might need to solve this particular mystery: The Ro\Bon Suit.

 

The name was a mistake. The designers of that suit wanted to combine the words “Robot” with “Bond” to show how well any human could work with the suit. It would provide a level of flexibility to the wearer “unlike anything that the Council ever prepared or developed before” (a nice little advert for it, Culpham thought). The backslash in the name bothered him, but he did not think much of it, until he learned that someone had been very sloppy and let their finger slip when preparing to display the newest innovation of the week. No one else thought about it, but the major wondered about it. A slip of the finger…

 

“Help.”

 

If this really was where the yell was coming from, and all of the readings were correct, he would have to step out of the Amber Wave and walk through…that.

 

Not a single star or particle of matter or anything nearby.

 

Culpham felt a little odd about this.

 

The protocol clearly stated that he had to examine and study any phenomena encountered on the journey and keep a record of them. He was also still a military man. Culpham could not let himself be terrified by a cry for help; a cry that seemed to be for him only.

 

What could really happen to him?

 

He prepared for the walk outside.

 

*

 

At first, he thought that it was a mistake to not be tethered to the ship. Culpham had adjusted the suit to his measurements, and he found that it was even easier to use that the equipment on the ship. But there was still a worry that he might drift away to far from the Amber Wave and not be able to continue the trip; just another piece of debris stuck in space.

 

But no, that would not be a problem.

 

First, he could rest his feet on that inky blackness.

 

Second, he could hear the cry in his suit and detect where it was coming from.

 

And finally, he was beginning to recognize the voice.

 

It should have disturbed him, but at this point there was nothing that would have stopped him from heading into the void.

 

It was his own voice.

 

“Help…”

 

“Yeah, I am going to do just that…”

 

He began to move over the surface. It reminded Culpham of the rides back home that he enjoyed at birthdays and public fairs. He tried to hop on the blackness and found that there was a bit of bounce (no silliness while being monitored). Maybe he would enjoy it more on the journey back…

 

“HELP!”

 

Major Culpham, seasoned veteran, chosen pilot for the Amber Wave, talented and skilled soldier, almost soiled the Ro\Bon Suit.

 

He was standing right in front of himself.

 

A quick psychological profile made by the suit indicated that it really was him: same age, height, blood type, physical ailments, hair and eye color. It even had the same outfit (he had to keep calling it an “It”). What was different was the face.

 

Fear…that was pure fear.

 

Culpham knew why he was here and he had to get away.

 

“Wait. Please. I know what you are thinking: I called you and want to trap you here.”

 

“Well, yeah. That was what I was thinkin’. Seems like the sensible thing based on all the readings…”

 

“There is so much more to tell you. You have so much to learn.”

 

“Okay, teach me.”

 

*

 

Nobody can hear a scream in the vacuum of space? That was a damn lie. The figure had a story and Culpham had a duty. It would be best to let them talk in private as the Amber Wave awaited one of them to return and continue its travels. The journey was not yet over.

Prof Steve Hanke: This Is So SERIOUS, People Should Be Preparing NOW!

“I don’t love your company and I don’t care about it that much.”

I had gone to an interview after being head hunted. It was rather long process and at the fourth interview or so, I was meeting one of the founders, a tough lady in her 60s.

The basis of her initially rushed interview was why I wanted to work for the company and how much I loved it and cared for it because she likes “people who are passionate about the company because they will give it their all.”

I told her I care a lot to come for 4 in-person interviews on a rather long commute. But in reality, “I don’t love your company and I don’t care about it that much because I don’t know enough about you guys to love you. I have never even bought from you. I’m here because I like the job description and it looks like a role I can be successful in. I care about the job, and my focus here is not even the following you had mentioned (she had said she wanted her company pages to have hundreds of thousands of followers – it was a Digital Marketing Manager position). I was once an accountant and my biggest premise as a marketer is not vain metrics like followers and like, it’s how much my department contributes to the business and the ROI on marketing spend.”

That stopped her. She told the HR Manager who was on attendance that she wanted me the role because I was as “frank” as my name, and that I knew about “ROI”.

I got it, and I excelled in it. Grew bottomline contribution from Digital from around 2% of the business to 40%.

Cream Cheese Chicken Casserole

2a5b7b9724b7b4326df9d6580e56991a
2a5b7b9724b7b4326df9d6580e56991a

Ingredients

  • 6 chicken breasts
  • 2 (10 ounce) packages frozen broccoli or 1 bunch fresh broccoli
  • 2 cups milk
  • 2 (8 ounce) package cream cheese
  • 1/2 teaspoon salt
  • 1/2 teaspoon garlic powder
  • 3/4 cup parmesan cheese

Instructions

  1. Cook the chicken, then slice or break it into bite size pieces.
  2. Cook broccoli in salted water. Place the broccoli in a 13 x 9 x 2 inch greased casserole.
  3. Heat the milk, cream cheese, salt, garlic powder and Parmesan cheese over low heat, stirring until the mixture is smooth. Pour 1 cup of sauce over the broccoli.
  4. Add the chicken to the pan and pour remaining sauce over it. Sprinkle the top with 1/4 cup Parmesan cheese.
  5. Bake at 350 degrees F for 25 to 30 minutes. Watch the sauce while baking as it can get too hot and burn on top.

This happened to a transsexual friend.

She was on her way to visit a client in Paris, late at night, dressed in her “professional” uniform of very short miniskirt, high heels, fishnet tights, crop top… you get the picture. The address was (say) 235 Avenue Charles de Gaulle, Paris 12. She drove all down the avenue but couldn’t find the address, so she turned round and drove slowly back. Still no luck, so she did another U-turn and crawled along, peering at every house. A police car waved her down.

Cop 1: Would you please get out of the car.

She: What, dressed like this? You’ll arrest me for indecency.

Cop 1 looks at her legs.: I see your point. So why are you kerb-crawling?

She explains.

Cop 1: There is no 235 Avenue de Gaulle. Wait a minute. This is Paris 13. You’re the wrong side of the river. There’s probably an Avenue de Gaulle in every district of Paris.

She: So how do I get there?

Cop 1: Just turn left here… Oh, no, that’s a one-way street. Go down to the next big intersection and… no, that’s a no left turn. Hey, Henri, how do you get across the river?

Cop 2: Turn left. Oh, no, that’s a one-way street. What if you…

This went on for a minute or two. Finally:

Cop 1: Look, just turn left into the one way street, or we’ll be here all night.

She: No way, you’ll arrest me.

Cop 1: Oh, follow me, dammit!

And that’s how a patrol car, lights flashing, escorted a prostitute down a one-way street to her next appointment. Only in France…

Guitar Player Reacts To Robin Trower – Day of The Eagle

I am not a flight attendant, but this seems an appropriate answer. I was flying on United in first class for business. I boarded first, or so I thought, and took my aisle seat, next to a very young woman, 22 to 25, in the window seat. It was clear she was a burn victim. Very disfigured. But poised and well dressed. She was heading to a conference for burn victims. Her dad had booked her in first class, and I presume because he did not want her bullied. As the plane boarded, I could not believe the awful stares AND COMMENTS, from the people boarding for coach. Everyone in first was kind, all of three flight attendants were kind. The coach passengers were the worst. Horrid people. So 15 minutes into the flight, the flight attendant asks my seat mate would she like a drink and my seatmate turns to me and asks if they are free. The flight attendant says yes sweetie they are. We had a lovely flight. That was when they phones on the plane. I showed this girl how to use it (I paid for it) and she called her dad. She told him how wonderful the flight attendants were and how great the lady (I was probably 32) next to her was. I was so proud of the flight attendants in first class. They did not allow any of the gawker to come from coach to use the restroom to stare at her. It made me sad that this young girl who suffered so horrifically was subjected to such prejudice but the UAL Flight attendants on that flight were awesome.

They have problems YES

However the thing to remember is China is intentionally facing short term pain for long term gains

Today China has grown so fast that it can afford to cool off for a decade and manage to STILL grow on the strength of it’s manufacturing alone at 4% to 5% a year

In this time China plans to

  • Achieve Technological Independence
  • Restructure the Demographic Problems
  • Subside the Real Estate Bubble
  • Raise Consumption back to 2019 levels

I. Achieve Technological Independence

The Chinese plan to achieve full Independence in five key areas :-

A. Optics

B. Semiconductor Fabrication

C. Advanced Pharmaceuticals

D. Commercial Jet Engines

E. Quantum Computing & Communications

(AI is not a key area. It’s a part of every area)

China has invested and plans to invest $ 450 Billion combined in these areas including $ 142 Billion in Semiconductor Fabrication

Currently their target is 2030 to achieve Independence in Semiconductor Fabrication and 2035 for the rest


II. Demographic Problem

China recently voted on raising the retirement age from 50 to 55 for Blue Collar Women, 55 to 60 for White Collar Women, 58 to 63 for Blue Collar Men and 60 to 65 for White Collar Men

That changes their demographics completely

They need 1.17 Trillion RMB or $ 150 Billion of Pension Funding which is CHICKEN FEED for them

In exchange they ensure they don’t go below the 2.5 Able Bodied youngsters supporting one Old man until minimum 2070

They have just got themselves another 30 years at least


III. Subside the Real Estate Bubble

They have successfully driven out every Speculator from the market

Now the market is only for BUYERS

The key is to inspire confidence in real estate and make delivery on time

Hence why they encouraged funding of 5000+ Projects to the tune of a Trillion RMB

That’s 1.8–2.2 Million Units to be delivered by 2025/26

They will absorb all the losses through their SHADOW BANKING and ultimately drop maybe $ 100 Billion by 2027 and end up with a vibrant real estate market again and never a speculative one anymore

Instead of $ 1 Trillion, they will get away with maybe $ 250 Billion

Shanghai sold 25,000 Homes in August for the first time since 2019

main qimg 6ed424948209dcc20c62acca5ac963aa
main qimg 6ed424948209dcc20c62acca5ac963aa


IV. Raise Consumption

This means slow and steady work

Chinese have become careful spenders now

Their spending on Tourism and Electronics and Dining Out have risen from the 2019 levels

However their spending on Luxury Goods have fallen by 57% since 2019

They aren’t spending too much on down payment for homes either

The Government needs policies to get there and they are working on it


Will the Tariffs hurt?

main qimg b8c5000c595d19b13599f899b64552ca
main qimg b8c5000c595d19b13599f899b64552ca

Not Really

As you can see almost 51% of China’s Exports to US are Mid Range Goods like Textiles, Low to Mid End Electronics, Shoes, Toys, Stationery that are sold in Walmart

They are not subject to any tariffs

Only 7% are High Value Goods of which Cranes and Drones form a big part and even with Tariffs they will be cheaper than other substitutes

Same in Europe where 64% Exports are not subjected to High Tariffs of any kind

Only 15.33% exports are High Value and of these Solar and Wind technology is cheaper even with Tariffs

Only in ASEAN,Middle East, Africa , Russia and Brazil does China export mostly HIGH VALUE GOODS

Here there is no tariff wall

So China is OK even with Tariffs


I feel China is the only Country living in a Reality and analysing it’s problems

Others like US or India are living in an Illusion of Inflated Stock Markets and Insane levels of speculation for short term gains


So in Medical Jargon

If China is on Ventilator, it is busy building up the immune system and will be able to fling the ventilator and get back to full health as it is taking medicines and doing everything properly

The United States is having Terminal Cancer yet they are taking Morphine and having delusions

India is having Pneumonia but they are ignoring the symptoms and planning to run marathons with 30% Lung capacity

Two years ago when I was 14 years of age, I was coming back from school and as I entered my building I noticed this small, elderly Arab woman trying to lift about 17 shopping bags. I was absolutely flabbergasted that there was nobody around helping this poor woman. We have security and a few bellboys in the building but nobody was here for this woman. So I, asked her in Arabic if I could help her. This poor woman looked up at me with a happy sparkle in her eye and she said thank you. I picked up all of her bags and took them into the elevator and helped her carry her bags into her house and into her kitchen. I was a bit upset because her grand kids (about my age at the time) were playing video games instead of helping their frail grandmother. As I was leaving her house the woman hugged me, offered a piece of baklawa (Arabic dessert) and to my surprise offered me a 100AED bill. I kindly refused the lady but she persisted. I told the lady that I see her as my grandmother and she can offer me her blessings instead. We hugged one last time and I left to my house. My parents were a bit confused as to why I was late. I told them everything. My parents embraced me and patted me on the back. A few weeks later the lady invited us over to her house for iftar (feast held during Ramadan after a long day of fasting)
So the best thing that came out of all of this was a new, everlasting friendship which in my opinion is the greatest thing that matters.

Be the Rufus. -MM

Nurse Dies During Aneurysm; Shown The Secrets To Existence During NDE

White wine and Pomelo

It’s 2024 and it’s too late. China today is too powerful to fight.

China has been modernizing its military for decades. Today, it has the world’s largest army and the world’s largest navy. It has a vast rocket force, including unstoppable hypersonic missiles. It has very sophisticated stealth fighters. And China has a substantial nuclear arsenal of 500+ nuclear weapons.

So war with China is extremely risky. The US risks losing an aircraft carrier or two. The US risks losing the war. The US risks nuclear escalation.

Moreover, the US military is getting weaker. Recruitment is down. The US Navy and Air Force have serious maintenance issues with their ships and planes. The US military is spread out too thin around the globe.

It’s Game Over.

  1. If you ever get caught sleeping at your desk at work just say “they told me at the Blood Bank that this would happen.
  2. When the power goes out search for wifi networks on your phone to see if everyones power is out.
  3. Quelling road rage by pretending that every asshole driver really has to poop.
  4. If you want to maintain good posture, pretend your nipples have lasers that shoot out of them and you have to keep them aimed at people’s heads.
  5. Wiping the water off of your body with your hands in the shower before getting out and towel-drying.
  6. If you want to attract better people, focus on, think about, read about, and go find them on this app.
  7. If your car is overheating, turn your heat on full blast. When you turn the heat on in a car, it pulls heat from the engine into the cab, thus cooling the engine.
  8. Hit “s” while watching Netflix on a computer to skip the intro.
  9. If you don’t know whether you should use the word effect or affect, simply use impact.
  10. When buying bedsheets, look for striped ones. It will make it much easier to find the long and short sides.
  11. If you accidentally press the spacebar and scroll down the page, you can press Shift+Space and go back to where you were.
  12. Don’t save your banking information on online stores. Makes impulse buying much more difficult if you have to track down your wallet.
  13. If you keep a baseball bat in your car for protection, put a sock over it. If they grab the bat, they will only get the sock, and you will get another swing.
  14. Filling in the ‘to’ field of an email last.
  15. When buying online, leave items in the shopping cart for a while. There is a good chance that the website is tracking this, and will lower the price overtime to entice you into buying.
  16. If your coworker ever calls in sick for work, you can do the same 1-3 days later. Your boss will think you have the same thing and it’s “going around the office.”
  17. Blink eyes rapidly for a minute before bed to tire yourself out.
  18. When lending a pen or marker hand it over without the cap, you are much more likely to get it back.
  19. If you have somewhat of a double chin or you want your jawline to be more defined in a picture, put your tongue on the roof of your mouth.
  20. Whenever you accidentally set off your smoke alarm, give your pets a treat so they learn to come to you when there actually is a fire and you need to escape.
  21. Remember that one 18-inch pizza is more pizza than two 12-inch pizzas.

ALMOST GOT LOST!🎵 Robin Trower – too Rolling Stoned

🤣🤣🤣🤣 I won’t even call him A dictator because this person was better than 99% fat politicians around the world!

Colonel Gaddafi

main qimg eb5282f2a0b1bb7c9f70e348226d4303
main qimg eb5282f2a0b1bb7c9f70e348226d4303

Why? This is why ⬇️

  • Electricity is free for all Libyans.
  • Loans in Libya are free with 0% interest as banks are state owned.
  • Homes are considered a human right in Libya – Gaddafi vowed that his parents would not get a house until everyone in Libya had a home. Gaddafi’s father has died while him, his wife and his mother were still living in a tent.
  • All newly married people in Libya receive US$ 50,000 by the government to buy their first home to help the new family.
  • Medical treatment and education are free in Libya. Before Colonel Muammar Gaddafi ruled the country, only 25% of Libyans were literate. Today the figure is around 83%.
  • If Libyans wanted to take up farming as a career, the government funded people from equipment to seeds, all for free.
  • The government subsidised 50% of the price of a new car if a Libyan citizen wanted to buy their first car.
  • Petrol price in Libya is around $0.14 per litre.
  • Libya has no debt externally and its reserves amounts to $150 billion – now globally frozen.
  • The Libyan government would fund anyone who got a degree and if they could not get employment, and they would receive income as if they were employed until they got a job.
  • The sale of Libyan oil is credited directly to the bank accounts of all Libyan citizens in proportion.
  • A family would get US $5,000 if they had a new baby to support the childs upbringing.
  • 40 loaves of bread in Libya costs around $0.15.
  • 25% of Libyans have a university degree

Who needs socialism, democracy, capitalism, egalitarianism, etc etc blah blah when your leader thinks and does things for you.

U.S. Army Corps of Engineers To Study:” Effect of Nuclear War on Global Agriculture”

U.S. Army Corps of Engineers To Study:&quot; Effect of Nuclear War on Global Agriculture&quot;

Interesting solicitation from the Army Corp of Engineers (CoE) came out on Sept 10th, with bids due for submission yesterday on Sept 12th. Purpose of solicitation was for a study on how nuclear war will effect agriculture on a worldwide basis.

Seems they should have thought about this years ago! But interesting they want a study on this now.

Looks like the Russia-Ukraine conflict has suddenly gotten far more serious than anyone previously thought.

From the CoE announcement:

“The US Army Corps of Engineers, Engineer Research and Development Center (ERDC) intends to issue an award on a sole source basis (IAW FAR 13.106-1(b)(1)) with Terra Analytics, Inc., 966 10th Street, Boulder, Colorado 80302-7474, for the research and development of active research programs that focus on modeling impacts on the environment and the impacts of nuclear weapons on farm systems that optimizes AgriShock, a code suite for modeling the effects of nuclear weapons on agricultural systems.

The objective of this project is to build upon previous research efforts to develop and optimize AgriShock, a code suite for modeling the effects of nuclear weapons on agricultural systems. The minimum needs of this contract are that the contractor provide all personnel, equipment, facilities, supervision, and other items necessary to conduct studies that demonstrate modeling of nuclear warfare on a global scale that would lead to destruction of the agriculture systems such as farms. The contractor must be able to execute the following: 1) utilize AgriShock, code suite, to increase the geographic coverage to include former Eastern Block countries and implement software code on DoD TS/SCI level ERDC supercomputing resources; 2) update their AgriShock software code to regions beyond eastern Europe and western Russia, with regions chosen to support the ERDC mission to support the Defense Threat Reduction Agency (DTRA) goals of modeling effects of nuclear events; 3) utilize the AgriShock software to incorporate aerial mapping; 4) extend the AgriShock software code by developing a beta radioisotope uptake model that reflects the manner in which a non-destructive nuclear event; and 5) ensure that the updated Agrishock software can be implemented on existing and/or new secure Linux-based ERDC HPC computing environments.

The intended procurement will be classified under North American Industry Classification System (NAICS) 541511 – Custom Computer Programming Services with a Small Business Size Standard of $34 million. This notice of intent is not a request for competitive proposals and no solicitation document exists for this requirement. However, parties interested in responding to this notice shall submit technical data, including price, sufficient to determine capability in providing the same or similar product. All capability statements received by the closing date of the publication of this synopsis will be considered by the Government. A determination by the Government not to compete based on responses to this notice is solely within the discretion of the Government. Information received will normally be considered solely for the purpose of determining whether to conduct a competitive procurement.

Capability statements shall be submitted only by e-mail as a Microsoft Office Word, Microsoft Office Excel, or Adobe PDF attachment to Shinita.M.Jordan@usace.army.mil and Sonia.J.Boyd@usace.army.mil. Statements are due by 12:00pm Central Time, Thursday, 12 September 2024. No phone calls will be accepted.”

LINK HERE

Vladimir Putin Does Not Make Empty Threats

A few month ago a leak of a call between high ranking German officers appeared. They were discussing the possible deployment of a German Taurus cruse missile to Ukraine to be used against Russian targets.

It became obvious from the leak that any such deployment, aiming and firing of such a weapon can not happen without the participation of staff from the country that donated the weapon. This applies to the U.S. ATAMCS missiles, to the French/British SCALP/Storm Shadow missiles just as it would apply to the German Taurus cruse missile:

Gerhartz, [commander of the Luftwaffe], and his subordinates discussed how much Taurus training and support Germany might need to provide if Taurus missiles were sent to Ukraine, and whether this would include targeting and programming information.

Gerhartz  said: ″When it comes to mission planning, for example, I know how the British do it, they do it completely in reachback [i.e. with support from people who are not forward-deployed]. They also have a few people on the ground, they do that, the French don’t. So, they also QC the Ukrainians when loading the SCALP, because Storm Shadow and SCALPS are relatively similar from a purely technical point of view. They’ve already told me that, yes, for God’s sake, they would also look over the shoulders of the Ukrainians when loading the Taurus.

The U.S. is currently discussing (archived) to allow Ukraine to use of long range weapons against targets within Russia, that is beyond targets on Ukrainian and former Ukrainian ground.

This would be qualitative transformation of the war in Ukraine into a NATO war with Russia.

The Russian President Vladimir Putin made this unequivocally clear.

Answer to a media question, September 12 2024, Kremlin.ru

Question: Over the past few days, we have been hearing statements at a very high level in the UK and the United States that the Kiev regime will be allowed to strike targets deep inside Russia using Western long-range weapons. Apparently, this decision is either about to be made, or has already been made, as far as we can see. This is actually quite extraordinary. Could you comment on what is going on?President of Russia Vladimir Putin:

[T]he Ukrainian army is not capable of using cutting-edge high-precision long-range systems supplied by the West. They cannot do that. These weapons are impossible to employ without intelligence data from satellites which Ukraine does not have. This can only be done using the European Union’s satellites, or US satellites – in general, NATO satellites. This is the first point.

The second point – perhaps the most important, the key point even – is that only NATO military personnel can assign flight missions to these missile systems. Ukrainian servicemen cannot do this.

Therefore, it is not a question of allowing the Ukrainian regime to strike Russia with these weapons or not. It is about deciding whether NATO countries become directly involved in the military conflict or not.

If this decision is made, it will mean nothing short of direct involvement – it will mean that NATO countries, the United States, and European countries are parties to the war in Ukraine. This will mean their direct involvement in the conflict, and it will clearly change the very essence, the very nature of the conflict dramatically.

This will mean that NATO countries – the United States and European countries – are at war with Russia. And if this is the case, then, bearing in mind the change in the essence of the conflict, we will make appropriate decisions in response to the threats that will be posed to us.

Russia has many means to respond to such threats. This includes direct fire on targets within France, the UK and the U.S. itself.

Vladimir Putin is not known for making empty threats.

 

Posted by b at 7:28 UTC | Comments (338)

I’ve Seen The Saucers – Elton John (1974)

I am Chinese.

Around 2007, my father bought a Toyota Camry.

At that time, we had to pay extra to buy it because it was in such high demand; they wouldn’t sell it to us without the additional payment.

We used this car until 2013.

Except for the first year, every day was either spent repairing the car or on the way to repair it.

From issues with the CD player to various strange noises and problems with different air conditioning components,

By the fifth year, it started burning oil. I had to buy two barrels of engine oil online and keep them in the trunk for frequent top-ups myself.

However, it’s worth noting that it only broke down twice.

Did you think I would say its quality was terrible?

Well, compared to the domestically produced car my aunt bought, this one is actually a bit better. The domestic car had even more problems and broke down about ten times or so.

Later on, our family switched to a BMW 5 Series while my aunt got a diesel version of Land Rover.

The BMW 5 Series has never broken down (the BMW 520), except for having really poor sound quality.

It only needs maintenance every 10,000 kilometers and is about twice as fuel-efficient as the Camry 2.4.

The idea that Japanese cars are fuel-efficient is simply a lie; you can check out recent news videos where various parts manufacturers in Japan apologized for faking their products.

In 2020, my cousin bought a domestic car and our family thought he was crazy: BYD Han.

But we purchased a Wuling Hongguang in ’15 which has proven very sturdy and durable; we’ve used it as a delivery vehicle with basically no issues at all.

So yes, there were still some minor problems with BYD Han in 2020 but its quality truly surprised us—it’s fantastic both inside and performance-wise—and extremely fuel-efficient too!

Now it’s 2024; my cousin’s BYD Han is already considered outdated in China.

In ’23-’24 models of similar quality have become one-third cheaper while offering better performance.

China’s automotive market has developed over twenty years now—we’ve moved past just recognizing brands.

The era when junk cars could be sold at high prices just by slapping on Toyota badges is over.

Now you can get an incredibly reliable BYD Qin L that runs up to 2000 km on one tank of gas for around $14,000!

I know many people believe that regardless of how excellent any product may be if it’s labeled “made in China,” it’ll turn into trash.

But China is now the largest automobile consumer market globally—every brand you’re familiar with sells cars here.

On the contrary, your markets are quite closed off with little competition.

If you look up news about America imposing a full tax rate of 100% on Chinese cars you’ll see how much progress China’s auto industry has made this year!

Compared even just two years ago—the difference feels like two different eras’ products!

Every country has stubborn thinkers; there seem especially many here in China,

Even so Japanese cars are quickly losing half their sales volume here!

Of course German cars are indeed good—but that’s solely referring to gasoline vehicles!

Hybrid vehicles from anywhere other than Chinese brands don’t perform well at all—Toyota hybrids have been laughed at during evaluations!

The world really is fascinating!

Thirty years ago import tariffs on automobiles were set at an astonishingly high rate of150%, while America shouted free trade;

Today America calls for increasing tariffs on Chinese automobiles up to100%, while China advocates free trade instead!

How interesting! The BYD Qin L sells for only ¥14k without needing purchase tax,

with highly reliable quality selling around20 thousand units monthly since its upgrade!

Also part of why people still buy Toyotas here despite everything else being said—is because ours offer global lowest prices along with significant discounts available at each dealership!

Moreover our local Volkswagen ID4 costs roughly half what you’d pay back home!

Even if America prevents Taiwan from trading with us—we’re still making decent phones ourselves!

Why do some people still doubt whether Chinese folks can produce great products?

Mosul (2019) – Humvee Combat Scene – Iraq War

It’s not just Chinese people, it’s prevalent all over Asia.

While most Western cooks prefer trimmed meat and filleted fish, Asian diners like to eat their food off the bone and shell.

In Asia it is traditional practice, not a style.

Eating has always been one of life’s great tactile and sensual pleasures.

There’s nothing more primal than eating a roast chicken with your hands, tearing off the legs and wings and using your teeth to get all the meat from the bones. It is as satisfying as digging into a plate of barbecued ribs with the sauce staining your fingernails, or attacking every crevice of a crab, so you can suck out the tasty tomalley.

However somewhere in the course of Western society’s prudish progress, it was decided genteel people shouldn’t touch their food. Fine cuisine isn’t devoured but nibbled on.

Fish is filleted, meat is trimmed and deboned, even the skin on potatoes and fruit has to be removed for sanitised consumption.

In Asian food preparation the portion of meat and vegetables etc. are cut into smaller pieces before or after cooking depending on the nature of the dish.

A whole roast is an example in Chinese cuisine. It is cut up to accommodate the sharing of the food. Smaller portions of meat are chopstick friendly. Communal dining.

There is an old saying: ”the nearer the bone, the sweeter the meat”. Not only do bones add nutritional value, but they add a ton of flavour to the cooking process as well!

  • Uyghur Lamb Pilaf; northern China

Meat bones are surrounded by fat, so as the bone heats the marrow its juices penetrate the meat and add a depth of flavour that does not exist with a boneless cut.

  • Hokkien stewed herbal pork; Malaysia

Bone-in food is tastier as well as nutritious. During cooking the bone releases fat and high concentration of collagen, gelatin, and glycine – these nutrients play a role in the health of our immune system.

  • A grilled fish served in Japanese restaurant.

Bone-in meat is sustainable and reduces food wastage. Both fish and meat industries generate large amounts of waste, bones being a significant portion of them.

In Chinese cooking a sizeable whole fish is thoroughly prepped and cooked head to tail and served as diners appreciate picking on the various parts of the fish including the head, which may appear gross to some.

  • Dim sum braised ‘phoenix claws’ – chicken feet – are ever popular.

Cooked heads and feet are common street and restaurant food in China and parts of Asia.

Fish head curry: the ‘angry looks’ reflects freshness. The head of a chilled or frozen fish looks sedate.

THIS REALLY HAPPENED WHILE SHE WAS SLEEPING IN SCARY VIDEOS

Oh my favorite was a guy who came into walmart to buy beer. Now at walmart I was new. Just finished training. So I was all about following the rules. One of the rules was about beer. One was if they look under 30 ask for ID. Two if they touch the beer and don’t have ID. You can’t sell it to them.

Had a gentlemen come through my line. Looked under 30. Asked for ID. Was told he didn’t have it. So I refused to sell it to him. He started getting mad. Gave me a sob story of how he traveled out of state (I do live in a tourist state), doesn’t have his ID on him, he left it back home. I said sorry I am not allowed to sell it. His dad was behind him, said I will buy it for him. I stated the policy and again refused. Then started getting screamed at for refusing to sell it to them by both of them. AS this was happening a manager I absolutely despised walked by I asked her for help. She walked up stated the policy and proceeded to walk away. So they started screaming across the store to her. And telling me no wonder you don’t know how to do your job, if you have managers like this. Finally after 10 minutes of this. Another manager comes over, and talks to them. They calm down and the man admits his ID is in his car and goes out and gets it. He is old enough and was able to get his alcohol. If he would have just done this in the first place, he could have been gone right away. But instead he yelled at me for over 20 minutes while my managers did nothing for it. I was sent on break when I was done with him.

Kidnapping By A Cartel Scene – Sicario: Day of the Soldado (2018)

Let me point you over at Illinois for a moment. This is not an argument; just an explanation.

Illinois has some of the strictest gun control laws in the country.

Illinois also has one of the highest firearms homicide rates in the country. All of that gun control isn’t stopping it remotely; if there is any correlation at all, it would imply that disarmament causes an INCREASE in violent crime.

But whatever.

Because, you see, Illinois also has their hogwash “catch and release” program. When they catch criminals committing crimes… they let them go. They have laws in place to STOP criminals from going to jail. Their legislation actively works to FREE the violent criminals who are committing the gun crimes.

Allow that to sink in for a moment.

Illinois: We want to stop gun crimes.

Illinois: We forbid law-abiding people from having guns.

Illinois: We refuse to punish criminals.

And this plan catostrophically fails.

It turns out that you cannot stop criminals by being nice to the criminals and targeting the people who do NOT commit crimes.

<-=O=->

So here is my thought process.

I own guns. I paid for them myself. They cost me money, and they are MY property.

I have never committed any crimes. Not just gun crimes, but ANY crimes. No thefts. No drugs. No assaults. No traffic infractions. I have a perfectly clean record. There is no way that gun crime can be reduced by any laws that target me, because my current amount of crime is zero and crime cannot be reduced below zero.

The current penalty for a Convicted Felon being caught with an Illegal Firearm is… basically nothing.

So if they catch me, a law-abiding person that has never committed any crime, in possession of a firearm, what do you think the penalty will be?

If they hand wave gun possession charges for guys with a history of rape and armed robbery, then do you really think they’re going to send me to prison for gun possession charges as a law abiding guy who has never done anything wrong?

The Democrats have made it perfectly clear what their position is. They do not care about crime, and their courts have no teeth.

So go ahead and make my guns illegal. I do not care anymore. I’m not turning in anything, and they’ll never do anything to me for having them. In fact, if I did get convicted of some sort of a crime, the Demcratic Party would start offering me government benefits for job seeking and additional grants for schooling, because they treat criminals BETTER than they treat law abiding people.

We Were Soldiers – Final Battle Scene

My long-term girlfriend, who I and everyone else assumed I would marry, split up with me when I was 34. That was still young enough for me to find someone new, get married and start a family. But things did not go well for me: I was broken hearted for years, got really ill, struggled for money and regular employment.

By the time I had medical, economic and domestic stability, I was 44 years old. After a few initially promising relationships failed, I am now 50 years old.

Everything is different at this age and in the year 2024, people’s expectations of future partners are so high. As a middle aged adult, your social life is usually pretty narrow, it’s really hard to meet potential partners through normal social interactions. Dating apps are only good for the most attractive 10% of men.

Essentially, the horrible truth that I have realised is that when you break up with a significant partner in your 30s, it is very possible to never have a loving relationship ever again.

“The U.S Empire refuses to grow up” | Dr. Cornel West

Why does USA interfere in other’s internal affairs?

There is only 1 motive for USA: money & power/dominance. It is modern-day colonisation.

1, money

Both US military industry (MIC) & Federal Reserve (FED) are private corporations run by capitalist sharks & not by (responsible) government who would focus on the welfare of the country eg economic development.

MIC makes tons of money thru wars & arms sales. They lobby US government to create wars in other countries. US politicians also make $$$ by buying MIC stocks or working as a MIC salesman to other country.

Another capitalist shark is FED who manipulates the US interest to suck in capitals from other country.

Wall Street shark will go into countries bankrupted by FED or ruined by MIC to make money & to to control other’s economy & thus govt.

See, if there is peace in the world, MIC, FED or Wall Street will create war somewhere so as to make money. Be it military war or monetary-financial war.

US senator L Graham accidentally told the truth: must win the Ukraine war because it is rich in minerals.

2, power/US dominance ie modern-day conlonisation

Control other’s government & make them a US puppet.

Then control other’s resources eg Ukraine’s minerals, Syria’s oil & rich agricultural land.

US wisdom

In 1961, the then pres D Eisenhower warned against the establishment of private MIC which will distort US politics & threaten democracy.

Many US pres eg J Kennedy, R Nixon & more fought with the FED but failed.

conclusion

USA wont not let world peace to happen. USA must create unrest/war thru its puppets eg Ukraine & Philippines.

War is in the DNA of USA.

Are capitalist sharks nice to Americans?

Every year, US taxpayers pay the interest of the US debts that is created as aids to war-torn country.

Capitalist sharks make tons of money from wars, but pay little tax to benefit USA. For instance, sharks wont maintain infrastructure, resulting in train derailment almost daily. Making USA look like a under-developed 3rd world. The list is long.

Shorpy

4a05705a.preview
4a05705a.preview

5a06187u.preview
5a06187u.preview

04903u.preview
04903u.preview

25923u.preview
25923u.preview

11251a.preview
11251a.preview

15955a 0.preview
15955a 0.preview

33100u.preview
33100u.preview

SHORPY 30995u.preview
SHORPY 30995u.preview

map.preview
map.preview

32631u.preview
32631u.preview

32373u.preview
32373u.preview

30956u.preview
30956u.preview

@@@@29458u.preview
@@@@29458u.preview

29459u.preview
29459u.preview

05558u.preview
05558u.preview

10561u.preview
10561u.preview

4a05586a.preview
4a05586a.preview

29006u.preview
29006u.preview

12886a.preview
12886a.preview

4a05564a.preview
4a05564a.preview

14777a.preview
14777a.preview

4a03687a.preview
4a03687a.preview

4a24908u.preview
4a24908u.preview

06830u.preview
06830u.preview

Colorado c1900.preview
Colorado c1900.preview

28867u.preview
28867u.preview

socalliving.preview
socalliving.preview

4a18585u.preview
4a18585u.preview

CHINA SHUTS DOWN All U.S. Manufacturing INDUSTRIES… & U.S. NEVER Realized!

It is true that China is really very very strong militarily and worst most of their strength is hidden away and if you were to fight China there will be many many surprises waiting for you!

But that is not the reason for your paranoid of China. It is your politicians and your media. Demonising of China that condition you into this paranoia! You fear because they want you to fear China instead of knowing your own failures.

But the truth is China don’t want a war or any war. China prefers to leave you doing your things as what you do is really hurting yourself anyway so why change you! Chinese believed the best way to win a war is to never have to fight a war in the first place. Moreover a dead country cannot help China! Or a destroyed country cannot buy their stuffs!

If you truly grasp China you will not fear China at all. Unless you want to hurt them! Then yes you better fear them! You will meet more than your match. China will hit you as hard as you hit them if not more. But they really don’t want to do that unless you underestimated them and you pick a fight with them. They don’t want start a fight.

Smart and intelligent people don’t want to fight they will find a way to beat you without a fight. The will out wit you, out sell you, out innovate you, out perform you, our earn you and out invest you till you depends on them and you cannot do without them. That is China!

An immature barbaric nation with no other capabilities other than fighting and war mongering thinks that fighting wars is the answer to everything that is why the US is at war 238 out of 248 years of its existence! And is it helping the US. Is it winning? It had a perfect start in 1945 when the rest of the world fought 2 world wars and lost everything but yet in 80 years the US is almost dilapidated, broke and unsustainable! Owing 35 trillion dollars and adding another trillion every 100 days! That is the USA!

Patsy Parisi – The Sopranos

It was a large drug sweep. Police had been watching a street dealer and his crew for over a week from a nearby apartment. On the day they finally decided to make the arrests, they blockaded the entire street from end to end and literally arrested every person on the block.

All of them.

Little old ladies sitting on their front porch? Arrested.

Someone driving by in a vehicle? Arrested.

Some guy walking his dog? Arrested.

The store owner sweeping his sidewalk on the corner? Arrested.

It was an arrest later and invent charges later scenario.


From what we were told, around a dozen dealers, lookouts, runners and various gang members were given plea deals as they were found carrying drugs or weapons and most had priors or even current warrants for previous charges. Basically everyone who was obviously guilty took deals and there was nothing to argue over for them.

The old lady, the store owner and a couple of others that the police couldn’t think of what charges to bring against them were released after 48 hours sitting in jail. This had happened a couple months prior, but we were given play by play details of the entire situation as if it was supposed to impress us, even though all it did was make the police sound incompetent.

What was brought before us as a jury were two defendants who happened to be driving past in a vehicle. They were not found with any drugs or weapons. They were arrested for having a few hundred dollars in cash on them and the charges were various “intent to purchase” and “intent to distribute” based on being in a vehicle with money on a street that happened to have drug dealers on it. It was about half a dozen rewordings at various degrees that we were expected to choose between to convict.

During testimony the police could not identify either of the defendants as having interacted with any of the dealers or the street gang at all. Two officers even gave completely inaccurate descriptions of the vehicle, not even agreeing on the number of doors or the color. One said it was a hatchback (it wasn’t) the other said it was a two door sports car (it was a 4 door sedan).

The police spent hours telling us about how they had watched the dealers, how much drugs they had seized, how many weapons they found. And not one single word of evidence that had anything to do with the two defendants in front of us other than: they were in a car nearby that day so we took their money.

The prosecutor even called some of the street gang as witnesses to admit that they were dealing drugs that day and that they had agreed to a plea deal. The defense only asked them a single question each: Did you sell drugs to my clients? No.

None of them had anything to say about the defendants.

The two defendants decided to testify on their own behalf. They had just purchased a used vehicle for cash and were driving home with a little bit of money left over. They still had the receipt from the used car lot on them, it was in the police evidence and the date was the morning of the arrest.

The prosecution spent 2 and half days parading cop after cop and gang member after gang member in front of us to tell us all about the drug operation and how many people they had gotten to take plea deals. And in 2 and half days no one could identify the defendants as having done anything illegal, they just drove past while having some cash in their pocket and the police wanted it.


It was the third day before lunch when the case was closed and we were sent to deliberations. The entire room just looked at each other and was like: do we even need to vote?

The decision was lets get one more free lunch out of this and then cast a vote. We went once around the room to make sure no one had any questions before delivering a not guilty on all counts for both defendants. The prosecutor had the balls to look shocked as if he actually expected to convict with no evidence against them.


This was around 1991 in a state known for its corruption in a city with trigger happy cops, the only shocking part was the arrests had been made without anyone getting killed. Other than that it was par for the course in that city at the time.

Police Escort Shootout Scene – Sicario: Day of the Soldado (2018)

Nobody

The US seems to realize that Taiwanese are not gonna declare Independence anytime soon and risk war

They are after all Chinese and they have that Chinese thinking and mindset about the damages that a war can do

So they are now going and goading the Philippines

Unfortunately that’s backfiring too

main qimg 3ec62251e88528676272c42d44c1287e lq
main qimg 3ec62251e88528676272c42d44c1287e lq

Bong Bong may be shameless lackey, but the Philippine establishment and Army and Business Community are all absolutely hell bent against any escalation with China


Ultimately it’s all Geography

  • Just like South American Nations would always be under the US Shadow
  • ASEAN nations will always be under the Chinese Shadow
  • Indian Ocean Nations will always be under the Indian Shadow

They can’t take on the Alpha country and prosper

Philippines can’t take on China and survive

Even with all the US Support, they would be crunched like a Cola Can


Nobody supports Philippines

They want escalation to end and most of the Nations are prepared for peace

Many Nations are ready to make decisions on disputed territories with China even

Full Metal Jacket | Patrol Under Sniper Fire

Vladimir Putin Does Not Make Empty Threats

A few month ago a leak of a call between high ranking German officers appeared. They were discussing the possible deployment of a German Taurus cruse missile to Ukraine to be used against Russian targets.

It became obvious from the leak that any such deployment, aiming and firing of such a weapon can not happen without the participation of staff from the country that donated the weapon.

This also applies to the U.S. ATAMCS missiles, to the French/British SCALP/Storm Shadow missiles just as it would apply to the German Taurus cruse missile:

Gerhartz, [commander of the Luftwaffe], and his subordinates discussed how much Taurus training and support Germany might need to provide if Taurus missiles were sent to Ukraine, and whether this would include targeting and programming information.

Gerhartz  said: ″When it comes to mission planning, for example, I know how the British do it, they do it completely in reachback [i.e. with support from people who are not forward-deployed]. They also have a few people on the ground, they do that, the French don’t. So, they also QC the Ukrainians when loading the SCALP, because Storm Shadow and SCALPS are relatively similar from a purely technical point of view. They’ve already told me that, yes, for God’s sake, they would also look over the shoulders of the Ukrainians when loading the Taurus.

The U.S. is currently discussing (archived) to allow Ukraine to use of long range weapons against targets within Russia, that is beyond targets on Ukrainian and former Ukrainian ground.

This would be qualitative transformation of the war in Ukraine into a NATO war with Russia.

The Russian President Vladimir Putin made this unequivocally clear.

Answer to a media question, September 12 2024, Kremlin.ru

Question: Over the past few days, we have been hearing statements at a very high level in the UK and the United States that the Kiev regime will be allowed to strike targets deep inside Russia using Western long-range weapons. Apparently, this decision is either about to be made, or has already been made, as far as we can see. This is actually quite extraordinary. Could you comment on what is going on?President of Russia Vladimir Putin:

[T]he Ukrainian army is not capable of using cutting-edge high-precision long-range systems supplied by the West. They cannot do that. These weapons are impossible to employ without intelligence data from satellites which Ukraine does not have. This can only be done using the European Union’s satellites, or US satellites – in general, NATO satellites. This is the first point.

The second point – perhaps the most important, the key point even – is that only NATO military personnel can assign flight missions to these missile systems. Ukrainian servicemen cannot do this.

Therefore, it is not a question of allowing the Ukrainian regime to strike Russia with these weapons or not. It is about deciding whether NATO countries become directly involved in the military conflict or not.

If this decision is made, it will mean nothing short of direct involvement – it will mean that NATO countries, the United States, and European countries are parties to the war in Ukraine. This will mean their direct involvement in the conflict, and it will clearly change the very essence, the very nature of the conflict dramatically.

This will mean that NATO countries – the United States and European countries – are at war with Russia. And if this is the case, then, bearing in mind the change in the essence of the conflict, we will make appropriate decisions in response to the threats that will be posed to us.

Russia has many means to respond to such threats. This includes direct fire on targets within France, the UK and the U.S. itself.

Vladimir Putin is not known for making empty threats.

 

Posted by b at 7:28 UTC | Comments (18)

British Navy Shadows Russian Submarine in English Channel as Four Russian Vessels Enter UK Waters

British navy shadows Russia sub large
British navy shadows Russia sub large

The British Royal Navy and Royal Air Force (RAF) followed multiple Russian ships and submarines through the English Channel this week at a time of heightened tension over British involvement in the Russia-Ukraine conflict.

HMS Iron Duke and HMS Tyne have tracked four Russian vessels through United Kingdom (UK) waters in recent days as RAF jets intercepted a Russian strategic bomber on Wednesday.

The Navy’s warships  shadowed the Russian advances to ‘protect national security’ as four vessels sailed through the English Channel and the North Sea.

Two RAF Typhoons were also scrambled from RAF Lossiemouth on Wednesday, supported by a Voyager from RAF Brize Norton, to intercept Russian Bear-F aircraft.

The developments come at a point of heightened tension between Russia and Britain over Moscow’s ongoing war in Ukraine and Britain’s backing of Kiev – with former President Dmitry Medvedev threatening to ‘sink’ Britain in remarks he made earlier this week.

Medvedev Remarks

At a Press Conference earlier this week, British Foreign Secretary David Lammy said there could be a “100-year partnership” to support Ukraine with by United Kingdom.

Hearing those remarks Deputy Chairman of the Russian Federation Council (Their version of a Senate) said:

“1) He’s lying. 2) The so-called Ukraine will not last even a quarter of this period. 3) An island called Britain is likely to sink in the next few years. If necessary, our hypersonic missiles will help” Medvedev wrote on the social network X.

After Getting A Ring My Fiancée And Her “Coven” Thought They Could Deeply Disrespect Me, Instead…

Carolyn Neal

This story contains themes or mentions of physical violence, gore, or abuse.

The event horizon is the most terrifying and mesmerizing location in the universe. The one belonging to Navier-11, located just a few months’ trip from the nearest outpost, was to be avoided at all costs under normal circumstances. The supermassive blackhole had a consumption rate comparable to the next three largest combined. There were always murmurs of it being linked to the beginning, a forever echo of the unzipping of the universe, slowly making its way towards zipping all matter back up. There was even a religion tied to it, the Singularity. It’s really no wonder it took so long to recruit enough people to participate in the mission that brought them right on the precipice of Navier-11’s destructive force.Captain Ava Ramirez had to admit that the crew of the Argo was a bit peculiar. It wasn’t surprising for a group of scientists and engineers willing to throw charged particles into the most powerful body in the universe and then try to catch any positrons thrown back with a giant net of energy. At least, that was the best she could make of the procedure, it really wasn’t her area of expertise. She could appreciate the complex beauty of the experiment, however. She watched with everyone else in wonderment the first time they fired materials and saw them travel and disappear into the swirling abyss, distorting and stretching into seemingly nothing surprisingly fast. It took only minutes for a probe to get a positive identification of collected positrons that netted a jovial response from the entire ship.Ava floated beside Dr. Marcus Langley, the mission’s lead astrophysicist, as he called out the first readings. And then he stuck his hand out to shake hers.“I didn’t do any of this,” She said but took it anyway.“You got us here. Let me buy you a beer when we get back.” His smile transformed his usually gruff face into someone much younger. The exceptional symptom of an energetic hope that filled the craft now.The implications of their mission did not escape Ava, despite how lost she felt listening to the science team rattle off theories. If they could reliably collect energy off of Navier-11, they would have untapped an endless source of power. Something people still killed for in every reach of space humanity had populated. Something Ava herself had gone to war for in the name of survival.It was a relief to think those days would be behind them now. That peace was waiting just ahead of them.“You killed me.”Ava was startled awake by Lieutenant Chen, her navigation officer, who hovered over her sleeping compartment with wide eyes and a blood-drained face. “Lt. Chen, what are–”“You killed me and then ejected my body.” The small woman said, looking as if she would vomit.“You’re right here,” Ava unfastened herself and hoisted out of the compartment.“No!” Chen pushed away, propelling herself into the far wall before grabbing to hold herself there, petrified.“What is going on? Did you take something?”That was when Ava noticed the scissors in her hand.“What is going on here?” Marcus entered the dark area, flipping the lights on to the collective groans of several other crewmembers.“She killed me!” Chen thrust the scissors in Ava’s direction, “She did it, she killed me! I saw it!”“Hallucinations?” Marcus shot Ava a concerned look.Before Ava could call for the physician, something swept from the corner of her vision, a shimmering transparent apparition of a man. Not just any man, however, but Marcus. It moved between them like a shadow, traveling straight through Marcus without disturbance.“See?” Chen let loose of the scissors as they all watched the figure pass, heading towards the labs.“What the hell was that?” One of the engineers demanded as everyone began to congregate in the area. The apparition stopped, shook, and then dissipated into nothing.“What in the universe…” Ava forced herself to breathe again and looked to Marcus for a voice of reason. His face was deathly pale, his eyes locked still to the location his ghost had disappeared from.Over the next few days, the phenomenon intensified. Crewmembers reported seeing apparitions of every member of the ship, some able to identify them as echoes of past events, others unfamiliar. None quite as concerning as Chen’s assertion that Captain Ramirez’s ghost had murdered her own, however. Marcus tried to assure her that it was probably a fluke, information added to Lt. Chen’s mind from the shock of seeing her own doppelganger.

“What do they want?” She asked Marcus one night as they discussed the ship’s location for the next probe. Another specter had manifested beside them, a whispered image of Lt. Chen, seemingly scanning the charting hub just as they were, working calmly.

“I don’t think they want anything, actually,” Marcus said, waving his arm through the phantom. His weathered hand swept through to no effect and the vision continued its work silently. “They don’t appear to notice us at all. I tried talking to myself-”

“More than usual?”

“Ha, don’t act like you aren’t holding full conversations with yourself late nights on the bridge. No, my ghost, I tried communicating, getting its attention. Nothing. It just did everything I would do on a normal day.”

“So, they’re just us? Like an alternate reality or projections of our minds?”

“Memories.”

“Memories?”

“Of the past and future, pieces of us throughout our existence here.” His eyes went to the viewport, locked on the glowing edge of the accretion disc. The Lt. Chen figure pressed a hand to her chest and looked up a moment before blinking back to nothing.

“How?” Was all Ava could think to ask.

“Our probes, maybe.” He shrugged and shook his head, looking back down to the screen in front of them. “We’ve shouted into the void. It doesn’t answer. Perhaps it only echoes.”

The work continued despite everything, but the stress of events was starting to wear them all down. Meals were dominated by talk of the apparitions, theories on their appearance, and, eventually, superstition. It was unusual, coming from this group of all people, but Ava supposed it was where even the greatest of minds could go when it couldn’t reason out the reality around it.

“It’s a warning, we’re not supposed to be here,” One young scientist told a small group as they huddled around their instruments.

Ava was visiting with Marcus in the lab to determine his timeline for the project. They were luckily on the same page of “the sooner, the better” at this point.

“They have been talking like that since yesterday,” Marcus said in a low voice, “I even heard one mention something about god.”

“This is concerning. What if they start worshiping it like those Singularity weirdos?” Ava said.

Marcus shook his head, but the crease between his brows got deeper. “It won’t come to that. These aren’t scrappers and tunnel workers we’re talking about.”

“My family were scrappers, Marc. Most of my crew is from the colonies.”

“You know what I mean…”

Everyone went quiet again as several specters blinked into existence at each of the instruments, imposing themselves over and through all the solid bodies currently manning them. The doppelgangers worked in a panic, their hands flying over keys and buttons desperately, their eyes terrified and mouths open, yelling soundlessly.

“Shit, what are they on about?” Ava asked.

“Something’s malfunctioning…”

The visions dissolved as quickly as they arrived, leaving the shocked faces of their solid versions in their place, one with his hands clasped together and head bowed.

Ava shivered at the cold dread prickling up her spine.

Marcus placed a hand on her shoulder. “One more collection. Then we leave.”

“Agreed.”

The next day, Ava was on the bridge, leading the movements of the Argo as they collected all the probes and batteries to prepare for their departure. Each one took a considerable amount of time to dock and secure, with special consideration to the volatile nature of the capsules containing the energy collected. Ava took the opportunity to admire Navier-11 one last time.

There was a lot about this mission that Captain Ramirez did not understand. But Navier-11, she understood. She agonized over its effects on her ship for an entire year of prepping before this inevitable week of proximity to the blackhole.

To approach it was to approach a cosmic abyss—a realm where the laws of physics strained and distorted, and light itself bent to the will of unimaginable gravity. It was darkness—a voracious void swallowing light and warmth, only an eerie absence left in its wake.

The event horizon, that invisible boundary beyond which nothing can escape, was a sinister veil, shimmering with an ominous energy. Beyond it, the accretion disk, a swirling maelstrom of matter and energy spiraling inexorably toward its center. It glows with a hellish radiance, piercing even through the shielding filters, illuminated by the frictional forces tearing it apart.

And then the singularity—a point of infinite density and zero volume, where the laws of physics broke down. A cosmic crucible that saw matter and energy consumed without mercy, where the very fabric of space-time was warped and twisted beyond recognition.

Navier-11 was all of this on such a massive and incomprehensible scale. She was an inevitability, a reminder of their insignificance along the universal path toward entropy. A glimpse into an abyss that was all at once nothing and the heart of existence itself.

In a way, she knew she would miss this view, but staring at it from the Captain’s chair now, Ava could feel only unsettled.

“Uh, Captain, we have a problem,” Marcus’s voice buzzed over the intercom.

“What is it?”

A siren blared and the red warning lights flashed, indicating a fire.

Ava cursed and pulled up the layout on her console. “Status?”

“Something in the cargo bay,” Her security officer replied.

An apparition shimmered into existence, just beyond the instruments, staring out the viewport. It was Ava, her hands clasped behind her, her hair floating around her, loose from her usual bun, clothes tattered and burnt. Blood bubbled into the air from an arm wound.

“Glory to the Singularity!”

Before she could find who had shouted, another apparition swept through her quickly, like a shadow flowing through her vision. She whipped around to watch it as it ran a few more feet with a raised fist before slamming it down and disappearing. She stood just as another ran through again, repeating the action, but saw that it was Lt. Chen and she held something in her fist. Another came a beat later, and then another, falling into an accelerated succession.

She stepped away from her chair. Similar projections were crowded around the room, overlapping each other in a chaotic scene of palpable panic from hundreds of semi-transparent figures crowding the bridge. Lt. Chens came at her still, crowded over each other, rushing through her until they were nearly one until finally the real Lt. Chen was rushing at her, just on the tail of the last mirage. Raised in her fist was a pair of shears, her eyes shone with desperate fear.

Ava kicked off of her chair making distance as she floated backwards. Chen was atop her in a moment, however, and swung the makeshift blade directly for her chest. Ava grabbed a nearby console and pulled. The shears pierced into her upper arm as her body swung around. Chen slowed but kept floating until she made contact with the wall.

“Captain!” The security officer called, floating quickly towards her, but was promptly intercepted by a young engineer, who wrapped his limbs around him as they spun towards the large viewport. He held a sharp piece of metal to his neck.

“What mutiny is this?” Ava demanded, yelling over the insistent sirens.

“The Singularity,” Chen said, now facing her again, poised to push off in her direction. “We must join it. All must return to it. We must return everything.”

A paralyzing cold swept through Ava’s veins as the viewport went dark and she realized they were turning. Straight towards the heart of Navier-11. “You didn’t–”

Chen flew towards her again.

So much for peace.  A switch flipped in her brain. The fight was fresh in her mind once more, the younger version of herself awakened for another war of survival.

Gritting her teeth, Ava pulled the sheers from her arm, blood trailing behind it. She turned it in her hand just as Chen grabbed her around the neck, her actions echoed by innumerable visions. Ava swung the blade around and drove it into her navigation officer’s carotid. She kicked the woman away, the shears tight in her grasp. Chen’s scream echoed and then curdled as blood floated through the air.

“Captain, the containers are unstable,” Marcus yelled through the intercom. “We have to release them!”

She pushed off the console back to her chair and watched as the entire cargo bay began flashing red on the screen. “Marcus, are you alright?”

“They are going crazy, I can’t even tell–”

He cut off. “Marc?”

“Ava,” He said after a beat, his voice now shaking. “I’m sorry, I… I owe you a beer.”

“What are you talking about, old man?”

He only laughed. The screen in front of her beeped, indicating an imminent emergency ejection of the cargo bay.

“Captain, we’re approaching the event horizon! T-minus three minutes, 13 seconds.”

Was that enough time to right? She couldn’t calculate it now. She pushed off towards the navigation console, slamming into it, but promptly overrode Chen’s locks and entered the new commands. The ship turned, its force and trajectory still taking them danger close to the edge of the horizon.

“No!” The Singularity engineer was coming for her, the security officer floating lifelessly behind him. His echoes dove straight to the console, slashing the makeshift blade violently. Ava pushed herself to the floor before using it to launch herself straight for him. She twisted the blade out of his hand as his body collided with the roof and then drove the scissors through his neck until she hit something solid.

“We’re still going to skim the horizon unless we can push off more!”

“The cargo release should do it!”

“How long?” Ava demanded, already pushing off in the direction of the cargo bay.

“Forty-six seconds!”

She flew out of the bridge, crashing into everything in her path before pushing on with all her might through several compartments, traveling through echo after echo.

When she made it to the cargo bay, it was indeed aflame, the hellish glow of the destruction mirroring that of the accretion disc. Several crewmembers were floating in front of it, hands raised in apparent worship.

“Captain,” Marcus called out to her near the cargo controls. He was bent over the console as if protecting it, though part of it had already sparked and caught fire as well. A large chunk of metal stuck from his back.

“Marc, we have to go,” She said as made it to him, testing the metal lodged into his flesh.

“I don’t think I can.”

“Bullshit.” She tore him away from the instruments to see the metal had pierced all the way through his chest. She cursed again but wrapped his arm around her shoulder and held him tight to her.

“Are we joining the Singularity?” The three members were now turned to her, looking almost identical to their shadows save for the framing of the flames behind them.

“Yes, just a few moments more.” She promised and shoved to the exit. The crewmembers cheered behind her.

“Soon we’ll be one again.”

A sickening gravity pulled them into the wall as she and Marcus reached the barrier point of the cargo bay. The engines of the ship rumbled audibly louder, fighting the pull of Navier-11.

As the seal to the bay zipped closed, the Singularity crew inside was crying with joy. A moment later, the compartment broke away and through the window of the hatch, they watched as it fell towards the event horizon and then exploded in a great billowing cloud of energy and light.

Silently, they made their way back through the ship as the pull disappeared. The echoes were sparse now, thinning to single occurrences per crew member left alive by the time they made it to the med bay.

Ava used the intercom from there to give a general announcement as Marcus was seen by the physician. “For any remaining who wish to join the Singularity, you are free to do so. Everything taken has been returned. You may leave through the airlock.”

Marcus was put under for a procedure and Ava sought to oversee the release of two additional living crew members out of the airlock. They thanked her, of all things, and shook her hand before following their echoes into the small space that preceded their demise. Ava personally placed Lt.Chen’s body beside the dead engineer inside.

She pressed the button from the bridge to jettison them away, bound for Singularity.

It was a melancholy relief, watching all that trouble fall away as she stood before the viewport. Navier-11 loomed beyond, ever powerful, ever inevitable.

“I don’t know whether or not you are God,” She spoke to her, “I do not know that I will live to see you unite or destroy all. I do know that before that time comes, we have to get on with it anyway, the best we can. Which means I’ll be back, after that old man buys my drink, and with better tools to take what my people need. As many times as is necessary to keep a fragile peace. As many times as it takes.”

“The void might echo for us, but humanity echoes too.”

Not me. but an acquaintance of mine.

He was a commercial pilot, married., with children, but a young pilot (Keep the age in mind. He made the Guinness Book of …Records).

Smoking was still permitted on flights but not at take off.

A very intoxicated passenger was chain smoking. The stewardess had asked him to put out his cigarette. The plane would not be lifting off until and unless he stopped smoking. The man waved her off, “bring me a drink, I’m a grown man, cookie…”…She insisted that he comply, he lit up again.

The other passengers were getting angry. The idiot had already caused a significant delay. This continued on, until my friend ,the Youngest Pilot.ever , was called upon. So Jack put on his jacket and cap and in complete uniform and as an Authority The Boss of the Airplane, approached the imbecile in row 23. *.

Jack is very calm and has a humble manner.

Humble Jack: “Sir, it is the law that all smoking materials must be extinguished prior to takeoff. We will let you know by a signal on this display when it is safe to light up again.”

Drunk chimney: “Go away sonny boy. “ Puff. Exhale, puff puff.

Humble Jack: (thinking to himself : I am a married man with children. A licensed pilot ‘Sonnt boy?’).

H.Jack, (tries again) “ Sir. I myself smoke, but there are times I cannot This flight will not leave the tarmac unless you put out your cigarette.”

This goes on for several more minutes. Drunk Chimney becoming more belligerent and abusive .

D.C.: “You look like you just got out of knee pants”.

Finally , Humble Jack gave up, his patience exhausted. He left row 23 , removed his Captain’s hat, wiped the sweat off his brow. Then he ‘dropped a dime”**. Soon after this, the hatch opened, and uniforms of a different cut marched onto the scene.

Drunk Chimney was dragged off the plane in bracelets, kicking and swearing, accompanied by applause from the other passengers.

Sonny Boy won.

  • * I used poetic license, no clue what row it was
  • **. Drop a dime-60’s & 70’s slang for making a phone call to authorities. Tattling…

Avocado Chicken Casserole

adf0461e01ca3e19933926496e874679
adf0461e01ca3e19933926496e874679

Yield: 6 servings

Ingredients

  • 1 cup broad flat green noodles
  • 1 large ripe avocado, peeled and sliced
  • 2 tablespoons fresh lime juice
  • 1/2 cup butter
  • 1/4 cup all-purpose flour
  • 1 teaspoon salt
  • 5 dashes Tabasco sauce
  • 2 1/4 cups Half-and-Half
  • 1 cup grated Cheddar cheese
  • 6 (6 ounce) boneless, skinless chicken breast halves
  • 1/2 cup roasted, peeled and coarsely chopped fresh chile

Instructions

  1. Prepare noodles according to package directions; drain, and set aside.
  2. Heat oven to 350 degrees F.
  3. Drizzle avocado slices with lime juice and set aside.
  4. Melt butter in a 2 quart saucepan over low heat.
  5. Stir in flour, salt and Tabasco sauce over low heat until mixture bubbles.
  6. Add Half-and-Half slowly, stirring constantly until mixture thickens.
  7. Add cheese and stir until it has melted. Reserve 1 cup of this sauce.
  8. Mix remainder of sauce with cooked noodles.
  9. Place chicken in bottom of a 13 x 9 x 2 inch baking dish.
  10. Cover with chopped green chiles.
  11. Spoon noodle mixture over chicken and chiles.
  12. Place avocado slices on top and pour reserved sauce over avocados.
  13. Bake, uncovered, for 35 minutes.

Today’s MM AI generations

Just some of the better results. I’ve been doing some experimentation. Hands and arms are still problematic.

This is confusing…

@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(3)
@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(3)

But, now what is he proposing that she do?

@@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(3)
@@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(3)

His intent is clear. But then what?

@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(3)
@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3(3)

Pure affection.

@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(3)
@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(3)

Deep in thought.

@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(2)
@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(2)

Make and prepare the coffee.

@@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(2)
@@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(2)

Different style.

@Leonardo Diffusion XL Create a anatomicallyaccurate photo real 3
@Leonardo Diffusion XL Create a anatomicallyaccurate photo real 3

Celebration of the coffee.

@@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(1)
@@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 1(1)

What are you saying…?

@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(1)
@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0(1)

A man among men.

@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(1)
@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2(1)

Let me explain it to you.

@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0
@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 0

Such a decision…

@@@@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3
@@@@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 3

No. I do not like that.

@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2
@AlbedoBase XL Create a anatomicallyaccurate photo realistic Ba 2

UPDATED 2:23 PM EDT — Russia Formally Notifies United Nations: If US/UK Approve Western Weapons Strikes Deep into Russia – a “State of War” will exist

Nebenzya UN large
Nebenzya UN large

Russian leadership has issued a follow-up statement to President Vladimir Putin’s Thursday brief video address warning that if the US and UK authorize Ukraine to pursue long-range strikes on Russian soil, then NATO and the Russian Federation will be in an official state of war.

On Friday Russia’s ambassador to the United Nations, Vassily Nebenzia, informed the UN Security council that NATO countries would “start an open war” in allowing Western long-range missiles to target Russia.

“If such a decision is made, that means NATO countries are starting an open war against Russia,” Moscow’s envoy introduced. “In that case, we will obviously be forced to make certain decisions, with all the attendant consequences for Western aggressors.”

 

UPDATE 2:23 PM EDT —

Chinese Defense Minister Dong Jun publicly announced today that “China will militarily support Russia if NATO attacks Russia.”

Earlier this week, Russian President Vladimir Putin made clear in a TV interview that if the US and NATO allow Ukraine to begin using western-supplied long-range missiles, to hit interior Russia, that that would be direct involvement by the United States, European Countries, and NATO, in war with Russia.   He went on to point out that modern, precision weapons from the collective West, require satellites to hit targets, and Ukraine does not have any satellites.

As such, Ukraine would have to be able to use US, EU/NATO Satellites, and that is direct involvement in the conflict, by NATO.

More importantly, modern, precision weapons of the collective West, cannot be programmed by Ukrainian troops; they don’t know how.  Because of the complexity, such target programming would have to be done by actual NATO troops, which is, again, direct involvement by NATO in the conflict.

Putin finished by saying If NATO enters the conflict, that changes the entire essence of the conflict, and Russia would have to make decisions about that based on the new threats posed to it.

TODAY

Earlier today, Russia’s Ambassador to the United Nations formally notified the UN Security Council that if US and UK leaders allow Ukraine to hit Russia with long-range weapons, then “a state of war” will exist between NATO and the Russian Federation. (Story Here)

Russian Diplomat Families HAVE LEFT the United States

Russian Diplomat Families HAVE LEFT the United States

The family of Russian Ambassador Anatoly Antonov, has reportedly left the United States and returned to Russia.

The families of other senior Diplomats from Russia’s Embassy in Washington, and its Consulate in New York, also reportedly departed the U.S. earlier this week.

According to sources familiar with Russian Diplomatic operations here in the US, the remaining senior Diplomats from Russia are now operating with “skeleton staffing levels” at both the Embassy and the Consulates.

There will be two resets…

One greedy person can make life Hell for the rest of us

I was stuck in India for about a year without being able to leave.

When my wife was pregnant I went to the local Foreigner Regional Registration Office and was told that we should be fine overstaying our visas by a few weeks (to allow her more rest after labor) if I brought in a note from the doctor and the birth certificate.

She gave birth, and I did what they said.

“Okay. Now you must wait,” they said. Fair enough.

I called every week but every time was told that the permits we would need in order to leave were not ready.

In the meantime I cancelled our non-refundable AirAsia flight.

After a couple of months, it was clear that we were not going to get our permits to leave anytime soon.

“Your case had been forwarded to the central government in Delhi,” I was told by the office. “We can’t do anything for you.”

“Oh… Then what do I do now? Who can I talk to?”

“You can’t talk to them. You have to wait…”

Wow.

And so we lived in a state of limbo and uncertainty for many months, moving from house to house with a small baby because we didn’t know when we would leave.

Our families were worried. It was horrible. I got in touch with the US embassy but that didn’t help.

Finally, a friend introduced me to a friend of his, a man who worked for the government. He pulled some strings, and after a couple of weeks we had our exit permits.

I cannot describe the relief I felt. It’s like being in a place you love, but being trapped there. The place becomes a prison, and you don’t know when you’ll be able to leave. And there’s no sentence which tells you how long your imprisonment will last. You just have to wait. In my case, it was a year. Twelve months.

I thanked the man a gazillion times, and soon we were out of India. Phew.

China’s Diplomacy, Geopolitics, Defense

By the great Godfree Roberts

Diplomacy

“China’s overall strategy is not to collide head-on but to maintain strategic composure and, by continuously enhance its strength, exhaust the opponent’s power, thereby increasing its comprehensive control over the USA… This comprehensive control is not merely the combined use of control over land, air, sea, space, and cyberspace in traditional warfare but a competition for comprehensive dominance in areas like trade, industrial technology, finance, and cognitive warfare in an ‘unrestricted total war’ (无边界总体战)… China’s strategic thinking differs from the West, not resembling the confrontational approach of chess but the long-term game of Go—in which strategic advantage is built over time through a dynamic balance of power.”

Australian Ambassador Ross Garnaut: “America would be damaged by war with China over the status of Taiwan, but, short of a major nuclear exchange debilitating both great powers, its sovereignty would not be at risk. Australia’s would be. Indeed, I doubt that Australia could survive as a sovereign entity the isolation from most of Asia that would be likely to follow anything other than a decisive and quick US victory in a war in which our military was engaged”.

The National Endowment for Democracy: What It Is and What It Does. The Foreign Ministry says the NED acts as the U.S. government’s “white gloves,” subverting state power, meddling in other countries’ internal affairs, inciting division and confrontation, misleading public opinion, and conducting ideological infiltration—all under the guise of promoting democracy.

The Zimbabwe president visiting BYD Headquarters and realized that heads of African states are in China for the 9th FOCAC (Forum on China–Africa Cooperation). I spent a long time looking at the current state of China & Africa Cooperation. Let’s take a look at what I found.

NED has long colluded with anti-China forces, including Jimmy Lai. In 2020, the NED set up multiple projects related to Hong Kong in its funding list, totalling more than $310,000, to provide support for the Hong Kong rioters. In 2023, the NED collaborated with the British NGO “Hong Kong Watch” and Amnesty International, as well as anti-China politicians in the U.S., U.K. and Germany, to nominate Jimmy Lai for the 2023 Nobel Peace Prize.

America is, geopolitically, trying to punch China at one end of the bar, and getting punched 30 times before it can get there. Their military is too weak to take the field in Russia, their Navy is too weak to beat Yemen and their Air Force can only bomb innocents and misses the heroes of Hamas entirely. America is an old drunk brawler, covered in blood and piss and just embarrassing itself. Its current military strategy makes as much sense as Scarface’s home security. Scarface died so coked up that his body kept firing. That’s America right now, braindead and running on pure muscle memory.

An NHK (Japan’s national broadcaster) announcer told his audience, “The Diaoyu Islands and their affiliated islands have been Chinese territory since ancient times. I protest NHK’s historical revisionism and unprofessional work behavior.” He continued in English:”Don’t forget the Nanjing Massacre, don’t forget the comfort women, they were sex slaves during the war. Don’t forget Unit 731.”   NHK fired the Chinese employee of 22 years and threatened him with criminal charges.

Geopolitics

The largest tanker ever on Russia’s Northern Sea Route, the 164,565 dwt Prisma, carrying a million barrels of oil, departed from the Baltic port of Ust-Luga on August 10 and will reach Tianjin in 35 days–compared to 45 days for the Suez Canal and 55 days via the Cape of Africa.

Constructing the new Funan Techo Canal, Cambodia: 10 km completed by 17th. day. The Chinese contractor sent 2,500 large machines to work day and night. The US took 10yrs to build the Panama Canal, which opened in 1914, and which is 100km shorter than the Techo Canal. The Techo Canal is estimated to cost $1.7B. The Panama Canal cost $8.6B in 2024 dollars.

The recent, abortive color revolution in Thailand coincides with the stunning success of the hastily staged color revolution in Bangladesh and the fall of the Myanmar army’s Northeast Command in Lashio to the MNDAA. American and British “volunteers” have been fighting the Myanmar military though Myanmar has not experienced seen a wave of international volunteers like Ukraine or Syria.

In the past six years, 250 US scientists – most of Asian descent – have been identified as having failed to disclose overlapping funding or research in China, or having broken other rules. There were only two indictments and three convictions as legal outcomes of those investigations, yet 112 scientists lost their jobs as a result.

Türkiye has formally applied to join BRICS. Türkiye seeks to enhance its global influence and establish new alliances beyond its traditional Western partners, according to Bloomberg BRICS members: Brazil, Russia, India, China, South Africa, UAE, Iran, Egypt and Ethiopia.

China has party secretaries aboard oceangoing vessels. This report focuses on the ship political commissar, a Party representative assigned to oceangoing merchant ships, particularly within state-owned shipping enterprises, to carry out political and administrative work in the management of ship crews.

Serbia should pick BRICS over Brussels, Deputy Prime Minister Aleksandar Vulin has said in an interview with Russian media. The largest republic of the former Yugoslavia applied for EU membership in 2009 and has been a candidate since 2012, but the bloc has recently demanded recognition of the breakaway province of Kosovo as a condition for membership. “BRICS does not ask anything of Serbia and offers more than we could want. The EU asks of us everything, and I’m no longer sure what it has to offer. We see BRICS as an opportunity and an alternative. Serbia is very closely investigating all the possibilities presented by BRICS and closer cooperation with its member states.” According to Vulin, Serbia is expecting an official invitation to the BRICS October summit in Kazan, Russia.

The footprints of the two global economic power blocs were roughly equal in 2020. China and its BRICS allies are increasingly the world economy’s richest bloc. Nothing prepared the populations of Western capitalism for this changed reality or its effects. Especially the sections of those populations already forced to absorb the costly burdens of Western capitalism’s decline feel betrayed, abandoned, and angry. The wars in Ukraine and Gaza testify to that denial and exemplify the costly strategic mistakes it produces.

The battleground won’t be in the Global South, where the US has very much lost to China, especially in Africa and Latin America. It won’t be in the Indo-Pacific either, where few countries want to take sides. It will be in Europe, where the US has most of its allies and where China is the largest trading partner. Even if America’s decline is gradual, it cannot afford a global military presence.That Europe takes China as a partner, competitor and systemic rival at the same time says more about Europe’s confusion about China than what China really is.

Defense

China launches its first nuclear-powered guided missile submarine, the Type 093B, …

I was an Office Manager for a collision repair center for a few years. We had a Mitsubishi Montero towed in from a bad accident that sat over a hot weekend.

The smell was awful. The spare tire was missing from the back. Pieces of teeth, tissue and bone were stuck on it. Lots of flies. The car had a suitcase, computer and other property in it.

We were told by the insurance agent that the car belonged to a long time customer who fell in love with a girl in the Philippines right out of school. He could not afford to bring her with him when he moved to California. He worked two jobs for a few years and saved money to bring her to the state to marry her.

He picked her up from the airport. She was excited! Never been to California before. They blew a tire on the freeway. She was pacing behind him on her cell phone talking to his sister. He removed the spare from the back of the car and was on his knees taking it off when a drunk driver going 65 mph hit them.

The drunk drivers car had struck her, pushed her into him and smashed his head near the spare tire holder on the back of the car. He died instantly. Pieces of his skull, jaw bone & teeth were pressed into the vehicle. She however was still alive and on the phone. She remained alive for a long time while rescue efforts continued. She died when the other drivers car was removed.

Her luggage was in the car. Her parents where flying out to collect her things. The receptionist and I had to remove her things and make a list for them. It was one of the hardest things I have ever done.

This Sea Is the Most Mysterious in the World

You never put down your gun.

That is Hollywood nonsense. If someone is holding a hostage, cops never surrender their weapon. Doing so turns the cop or whomever is responding into just another victim. You can read numerous answers by real cops to the effect here.

Would the police drop their weapons if someone is held at gunpoint?

Now me personally? Oh fuck no.

Him: Drop the gun or she’s dead!

Me: Son, you catastrophically misunderstand the situation you’ve put yourself in. Right now, she’s the only thing keeping you alive. There is no way in heaven or hell I’m putting my gun down, and if you hurt her I will kill you. No ifs ands or buts. I’m sure the coroner will explain to the judge how you tripped and fell face-first onto a pile of .45ACP bullets. Put the knife down, and you may just survive this.

Now, I can reliably hit a clay pigeon out to 25 yards. If we’re within that distance, I don’t need to get closer to shoot him in the face. Farther out…yeah, I’m less sure of that shot. Unless I have a rifle.

Bottom line, you never ever put your gun down. That’s just a good way to get both of you murdered. Make sure the bad guy understands he’s in a might-die/will-die situation. If he leaves her be, he might die. He might get arrested. He might escape. But if he hurts her, he will die.

Interesting

Don’t know if this was posted here previously, from “Globalism is Economic Slavery” on one of those websites b doesn’t like too much because their articles generate endless controversy. Its the life the West looks forward to.

He has never owned anything. He rents his bedroom, his furnishings, and his meager entertainments. Each month, a digital account associated with his digital ID receives a number of central bank digital currency units. How much he receives depends upon the number of hours he works at his government job, how much the government values his work, how much the government taxes him for the privilege of using public infrastructure, and how much of his income the government decides should be redistributed to other citizens in need. After taxes, rents, utilities, and other assorted municipal, state, federal, and international fees are deducted from his earnings, he has little — if any — discretionary income.




If he chooses to save that income to invest in his future, the government informs him that his central bank digital currency units disappear within ninety days. If he tries to purchase something that the government has banned, he forfeits what he currently has. If he does something that the government deems contrary to his well-being, his social credit score decreases, and a fraction of his discretionary income disappears. Every few weeks, a digital doctor (running on artificial intelligence) appears on the video screen in his apartment with a detailed list of all the “unhealthy” things he has done since their last interaction. He is informed that a portion of his temporary savings will be redistributed to citizens with healthier habits. His A.I. health monitor tells him that he must immediately report to the closest pharmaceutical distribution center so that he can be injected with the latest “vaccines.” Failure to do so will result in the deactivation of all electronic entertainment devices and a permanent mark on his social credit record.

He is unhappy, and because the State’s A.I. supervisor has detected his unhappiness, the display monitor in his apartment encourages him to find personal meaning by “joining the fight against global warming.” For a while, he does just that. He attends community meetings in his apartment building where government officials talk about the importance of “saving the planet” by “owning nothing.” He chats with anonymous strangers (bots?) on the State’s social media platform, and they all agree that the sacrifices they’re making to save the world are definitely worth it. He wakes up one morning to discover that his social credit score has risen and that he has been rewarded with a few extra central bank digital currency units. Still, our future man remains unhappy.

Then one day sirens blare, and his apartment monitor flashes with breaking news: the country is at war. He listens intently but can’t figure out which foreign nations are attacking. The trusted news anchors tell him that peace, prosperity, and freedom are all at risk. He steps outside his tiny apartment to find other solitary renters fired up and talking excitedly about the battles to come. He walks back inside to find his A.I. supervisor informing him that he has been personally selected to protect the homeland from its enemies. For the first time in many years, our future man feels alive.

He soon finds himself in boot camp, where he enjoys regular exercise, discipline, and camaraderie. Six months later, he and his new friends are shipped overseas. Strangely, in all this time, nobody has explained whom they will actually be fighting. All he knows is that they’re at war with “the authoritarians” who wish to “take our democracy.” There is anticipation in his camp and endless talk of adventure. Then, when everyone least expects it, a thunderous swarm of drones attacks from overhead. Nobody has time to react. Explosions seem to come from out of nowhere. He sees the bodies of his friends torn to pieces. Then everything goes dark.

He awakes in a hospital severely injured, is called a hero, and is later sent home. When he arrives, he notices breadlines outside the government’s genetically engineered food distribution centers. He hears a beggar on the street joke that they should call them “insect-lines,” since that’s all there is to eat. He learns that someone else has moved into his old apartment, but he is offered a new one because of his military service. It is smaller and has even fewer furnishings than the one he lost. He realizes that most of his former neighbors never returned from war and that many of the newcomers now living in their apartments look and sound like those people he was told to fight overseas. Nothing makes sense. His injuries torment him. He feels even more lost and lonely than before he went to war. His A.I. supervisor informs him that he has been added to a list of people considered “potential domestic terrorists.” Remaining on this list will make it hard for him to work and live.

Then, one day, his digital doctor asks if he would like some assistance in ending his life peacefully. “You can save others,” he is told, “by permanently reducing your carbon footprint.” In agony, he wonders, “How did we get here?”

 

Posted by: gT | Sep 4 2024 6:38 utc | 4

I witnessed a situation in the late ‘60’s while stationed at West Point New York, at the United States Military Academy, that hit this nail squarely on the head.

Two lowly butter bars ( recently commissioned 2nd Lts.) were called into the office of their CO of a combat engineer company that supported the cadet program there.

It seemed a family emergency necessitated the CO’s absence for a few days. That meant one of the Lt’s would assume command and this no pre-notice meeting was to announce the leave and change of command, and as it turned out, the reasons why.

The later arriving of the two Lt’s was a little older than his first arriving Lt. buddy. Other differences seemed to be life’s experiences, aggression, decision making initiative, civilian education and more.

The CO, thinking the differences, openly apparent to all, would cause problems when the lesser of the two was selected to take over, was the reason for the meet. He wanted to avoid any animus between the two Lt’s and any other problems that might cause in the company during his absence.

The CO, a brilliant man, was a West Point grad, a RVN vet of a harsh year, and held two graduate degrees. In other words, on the fast track for a career officer.

He explained, quite unnecessarily, that the later arriving Lt. would have been his choice to assume command but it was the other that was to have the position.

The date of rank (commission) was the determining factor in this instance and except for promotions was the usual order of things, where two, or more, of the same rank were in the picture.

He explained that the date of rank was the Army Protocol for determining seniority of two officers of the same rank. The two Lts. Looked at each other and broke into laughter. The two good friends could have cared less who was in the barrel for however long it was to take. Both were anxious to return to civilian life ASAP.

The Capt., also friendly with both in off duty time, joined in the amusement and just added. “I didn’t want to create any hard feelings!” It didn’t and I couldn’t have given a hoot less because my buddy Bill was designated the acting CO.

“No one is ready for what’s COMING this Fall” Gerald Celente warns

I had a professor who was from India and he had a superiority complex about being from India. He thought very little of Americans and never hesitated to tell students how they were inferior to people from India.

This professor would ask impossible three question tests. The questions would be something like, recite verbatim page 93 of your textbook without looking in the book.

After everyone would fail the test because passing was absolutely impossible for everyone, he would see each student individually ostensibly to discuss their grade.

He would make male students grovel and beg and he would sexually harass female students.

The first time this happened to me I told him he could just give me a minimum of a, “B” grade and he could pull his bullshit on the other students. If he did not agree with this, I told him I would make him regret that decision.

He did not agree so I went to the Dean of Students and explained the situation. T

he Dean of Students gave me the speech about college is about learning to get along with people and perhaps I had problems with the professor but other students did not.

I told the Dean he was wrong and I would prove it to him.

I requested the Dean to be in his office on Friday at 1:00 pm and he agreed. At the end of class, I got up and said, “Anyone who thinks this professor is an asshole, follow me and I will fix it.”

The entire class followed me to the Dean’s office.

The Dean was of course shocked and shocked to hear of the harassment of the students.

The Dean talked to the professor and assumed the matter was settled.

The next class the jackass professor immediately stated, “You ratted me out to the Dean, now I am going to fail everyone.”

I got up and told everyone in the class to get up and follow me to the Dean’s office (and they did).

That time he was threatened with immediate termination.

I went back and told the jackass, remember when I told you that you could just give me a minimum of a, “B” and play your games with the rest of the students?

Now I bet you wish you had.

Do not ever attempt to cross me. That put him in his place. He was later terminated for sexual harassment.

4a08596a.preview
4a08596a.preview

4a11526a.preview
4a11526a.preview

4a08213a.preview
4a08213a.preview

31256u.preview
31256u.preview

08155u.preview
08155u.preview

07100u.preview
07100u.preview

4a11633a.preview
4a11633a.preview

4a11621a.preview
4a11621a.preview

31612u.preview
31612u.preview

21927u.preview
21927u.preview

31191u.preview
31191u.preview

4a10820a.preview
4a10820a.preview

4a09124a.preview
4a09124a.preview

4a08953a.preview
4a08953a.preview

4a08954a.preview
4a08954a.preview

4a07553a.preview
4a07553a.preview

beammeup.preview
beammeup.preview

4a11343a.preview
4a11343a.preview

4a11678a.preview
4a11678a.preview

4a11110a.preview
4a11110a.preview

00452u.preview
00452u.preview

4a10927a.preview
4a10927a.preview

32472u.preview
32472u.preview

32584u.preview
32584u.preview

SHORPY 32674u1.preview
SHORPY 32674u1.preview

13543u.preview
13543u.preview

12984a.preview
12984a.preview

14696a.preview
14696a.preview

03994a.preview
03994a.preview

@@@@4a11375a.preview
@@@@4a11375a.preview

The United States always lists some Chinese companies on the so-called “Entity List” on the grounds of suspected “forced labor of Uyghurs” and prohibits the import of their products.

Now, the sanctions list has expanded to more than 70 Chinese companies.

This number is not a simple statistic, but reflects a trend: the United States is using economic means to exert political pressure and trying to achieve its strategic goals by attacking Chinese companies.

But whether such an approach can really achieve the desired results is worth our deep consideration.

According to the United States, any goods related to Xinjiang may be considered as products of forced labor and therefore face sanctions.

However, the question is whether there is solid evidence to support this accusation, or is it a malicious frame-up for political purposes?

Xinjiang’s development achievements are obvious to all. The so-called “forced labor” and “genocide” are completely nonsense.

They are lies of the century fabricated by a very small number of anti-China elements. Their purpose is to mess up Xinjiang, discredit China, and curb China’s development.

It is obvious that this is the United States imposing illegal sanctions on Chinese companies under the guise of human rights.

The United States’ serious interference in China’s internal affairs, serious disruption of the normal market order, and serious violation of international trade rules and basic norms of international relations are essentially attempts to create “forced unemployment” in Xinjiang and infringe on the human rights of the vast number of people in Xinjiang in the name of human rights.

The Xinjiang companies sanctioned by the United States for so-called “forced labor” involve Xinjiang’s advantageous industries such as cotton and textiles and clothing, photovoltaic silicon-based, and tomato processing.

These industries play a very important role in promoting high-quality development, solving rural labor employment, and increasing farmers’ income.

You know, if a company’s exports are restricted, many downstream industries will not be able to obtain the necessary raw materials, and the normal operation of the entire industry will be impacted.

This is like a domino effect, one link after another, and in the end, it will not only be these companies that will suffer, but also tens of thousands of employees and families who depend on them for survival.

If the United States really cares about human rights, it should take measures to effectively solve domestic problems such as racial discrimination, gun violence, and drug abuse, rather than treating internal problems externally, interfering in other countries, and imposing sanctions indiscriminately.

Incest Cult Discovered in Backwoods of Australia | The Colt Clan

*’NEW HOTEL SCAM!!*

This is one of the smartest scams I have heard about.

You arrive at your hotel and check in at the front desk. Typically when checking in, you give the front desk your credit card (for any charges to your room) and they don’t retain the card.

You go to your room and settle in. All is good.

The hotel receives a call and the caller asks for (as an example) *room 620* – which happens to be your room.

The phone rings in your room. You answer and the person on the other end says the following:

*’This is the front desk. When checking in, we came across a problem with your charge card information.*

*Please re-read me your credit card numbers and verify the last 3 digits numbers at the reverse side of your charge card.’*

Not thinking anything wrong, since the call seems to come from the front desk you oblige. But actually, *it is a scam by someone calling from outside the hotel*. They have asked for a *random room number*, then *ask you for your credit card and address information.*

*They sound so professional, that you think you are talking to the front desk.*

If you ever encounter this scenario on your travels, *tell the caller that you will be down to the front desk to clear up any problems.*

Then, *go to the front desk or call directly and ask if there was a problem.*

If there was none, *inform the manager of the hotel that someone tried to scam you of your credit card information, acting like a front desk employee.*

This was sent by someone who has been duped……..

and is still cleaning up the mess.

Johnston Island. You can’t go there, at least not legally.

It’s about 800 miles SSW of Honolulu, making it roughly 3,000 miles SSW of San Diego.

main qimg b9a5fec10df5c72c0987af7dea516dcd
main qimg b9a5fec10df5c72c0987af7dea516dcd

main qimg 6dd3c6e024e082328bd6915342ed93f4
main qimg 6dd3c6e024e082328bd6915342ed93f4

main qimg 3ba350507b3445db751d3fa6fffea33c
main qimg 3ba350507b3445db751d3fa6fffea33c

Good features:

Federal wildlife sanctuary. Birdshit EVERYWHERE. Great if you’re prospecting for birdshit.

No noisy neighbors. Except the birds.

Humans all gone now.

Clear-ass water 90 feet deep in the lagoon. Sharks can be seen, and guys used to catch (and sometimes eat) them.

Bad features:

Used to have a shitload of chemical (and probably biological) warheads stored there. All were incinerated in the 1990s and the incineration facility demolished.

Atomic weapons were launched from there in the early 1960s. Two test shots failed, including one that scattered PLUTONIUM all over the launchpad. They buried the waste, but it is still there. Do Not Visit ‘Mount Pluto,” which is where that stuff is buried.

No facilities. Airstrip decommissioned.

A sailboat sheltered in the atoll some years ago during a hurricane. Better than nothing, they said.

I visited there in 1991 as an Army Photojournalist. We repatriated our chemical munitions from West Germany and shipped them there for disposal. I covered the shipment and transfer story.

Short answer: exist.

Longer answer: China is rising rapidly to surpass the USA as the world’s dominant power. The USA cannot lose face.

Detailed answer: China’s rise will undermine US hegemony and thus take away its financial privileges to export away its inflation and punish other nations for not complying with its foreign policy.

The Strange DNA of the last mammoths

Everyone knows these facts.

  • Hypertension (high blood pressure) commonly cause headache.
  • Diabetes (high sugar) can be countered by taking bitter gourd.
  • Avoiding fatty food can surely reduce cholesterol.
  • Heart attack always cause left sided chest pain.
  • Pricking type of chest pain located at one point in the left chest may be heart attack.
  • An Echo test (heart scanning) can identify blocks in the heart.
  • Fruits are no no for a diabetic
  • Smoking just one cigarette is not very dangerous.
  • It is very rare for women to die of heart attack.

Unfortunately; all of the above are wrong.

It is very rare for people to get headache because of hypertension unless the BP is very severe (accelerated hypertension, hypertensive encephalopathy or a hypertensive stroke).

Diabetes results from low levels or ineffective Insulin in the body. Taking bitter gourd actually worsens blood sugar (it is complex carbohydrate).

60 % of serum cholesterol is synthesized in the Liver. So despite strict dieting people can still have very high cholesterol.

Heart attack pain can be anywhere from above the navel to below the jaw, it can radiate to shoulders or back or feel like ‘indigestion’. Despite the classic left chest, left arm pain, many often cardiac pain is atypical.

Pricking chest pain, localized to a point is almost always non-cardiac

An Echo test shows heart valves and heart muscles and cardiac contractility, it cannot identify a coronary block. Indirect evidence of block by way of heart muscle abnormality may be shown in echo.

Citrus fruits and bananas have low glycemic index and are recommended in diabetic diet

Even one cigarette smoking can cause transient narrowing of coronary artery and precipitate a block in a susceptible person (coronary spasm).

Chance of a women dying of an heart attack is more than breast and uterine cancer added together. It is of course less common than in men in menstrual age group.

Shorpy

4a11579a.preview
4a11579a.preview

4a11837a.preview
4a11837a.preview

4a10578a.preview
4a10578a.preview

4a11350a.preview
4a11350a.preview

4a11185a.preview
4a11185a.preview

4a07412a.preview
4a07412a.preview

15264a.preview
15264a.preview

25994a.preview
25994a.preview

14703a.preview
14703a.preview

23267a.preview
23267a.preview

25197a.preview
25197a.preview

23378a.preview
23378a.preview

4a10810a.preview
4a10810a.preview

4a08134a.preview
4a08134a.preview

@@@@@4a10180a.preview
@@@@@4a10180a.preview

In 1997 My 44 yo wife died of cancer.

She had the best insurance money could buy.

While she was being treated, Chemo and radiation her renewal date came up and they cancelled her policy.

I lost everything I had worked for and decided to look elsewhere.

I got on a strangers sailboat and months later wound up in New Zealand from Seattle.

I have never entertained the idea of returning.

I have lived around the world and my eyes are wide open. Something that cannot happen by no travel.

I have found a lot of what Americans long for.

Lower taxes, totally freemedical for life, a safe country, no guns, no enemies and a most beautiful place tolive.

Not lacking in anything.

My town is 50% white and 50% Maoriand others.

no racial issues.

The quality of life is unsurpassed.

A govt that listens to it’s people. My only regret is not leaving 20 yrs earlier.

Comanche Women | More BRUTAL than the Men

A company where I worked in the ’80s and ’90s had a policy of organizing all the employees into teams. If someone wanted to change jobs within the company they had to get the approval of both the team they were leaving and the team they would be joining.

A woman who was well-known as an excellent worker wanted to move to another, better job within the company. Everyone expected that she would have no difficulty since she had such a good reputation. Her team got together to do an evaluation of her, and everyone gave her glowing recommendations. They said they would be sorry to lose her, but that she had worked hard and learned a lot and deserved to get a promotion. Her new team also was impressed with her accomplishments and her reputation, and said they’d be glad to have her working with them.

So everyone was shocked to find that HR had denied her transfer. When questioned they said that her team’s evaluations had been TOO good, that no one was perfect and the evaluations couldn’t have been honest. However, they agreed to let her team do the evaluations over. The second time around, each person tried to come up with some criticism, but all they could think of were little things like “Sometimes her perfume is a little strong” or “Once a couple of years ago she was a few minutes late when she had a flat tire.” The result? HR denied her transfer AGAIN because there were too many negative comments!

This was too much! The team leaders from both her old team and the team she wanted to join went to upper management and insisted that she be given the transfer. No one else knew the details of what happened after that, but HR reversed their decision and she got the transfer.

Trash Talking 20 Year Old Gets Instantly Humbled

The hobo on the bus tale

As you know, China has a long history and culture, including tens of thousands of idioms. I think there is a most appropriate idiom to describe the Philippines’ current actions – “overestimating one’s own strength” means not being able to objectively estimate one’s own strength.

Can the Philippines’ population, land area, territorial depth, industrial capacity, mobilization capacity, fiscal revenue and expenditure, government integrity and other factors support a high-intensity war?

Obviously, the Philippines can’t do it, and Marcos can’t do it even more.

main qimg c58c24bbbc78285efbcfb8df04c8eb2b
main qimg c58c24bbbc78285efbcfb8df04c8eb2b

In March 2023, the Philippine Coast Guard said it had launched a propaganda strategy aimed at disclosing China’s so-called “aggressive actions” and tough behavior in the South China Sea to the international community. This operation, named the “Maritime Transparency Program”, has since made its debut.

Its operating routines are exactly the same:

The Philippines deliberately provoked at sea, and the Philippine Coast Guard and military immediately used social platforms to release information afterwards.

Then the accompanying Philippine media and American and Western media reporters invited by the Philippines began to “tell their own stories” to “corroborate” and create international public opinion that the Philippines’ normal maritime operations encountered “dangerous interference” from China.

Following closely, the United States and other “close partners” came out to support, and a few American and Western think tanks labeled China as a “rule breaker” and further shaped China’s so-called “lonely bully” image.

main qimg f070e60aa74178efa3c6ab23aa8ad25b
main qimg f070e60aa74178efa3c6ab23aa8ad25b

(Philippine ship deliberately rammed Chinese Coast Guard ship.)

But this narrative is not objective. Philippine public opinion has been manipulated by Western media, which is very sad.

The joint statement of the just concluded 57th ASEAN Foreign Ministers’ Meeting reiterated that the relevant parties should restrain their actions and avoid taking actions that will complicate and expand the dispute, affect peace and stability, and further complicate the maritime situation.

However, the Philippines’ recent actions at Xianbin Reef run counter to the common expectations of other countries in the South China Sea.

As an important global shipping route, the South China Sea has been used by 50% of the world’s merchant ships and one-third of the world’s maritime trade for decades without any interference or obstruction.

For the Filipino people, the top priority is to solve domestic economic problems.

main qimg dfc0f098b0558f9745b23c62b5b0c46e
main qimg dfc0f098b0558f9745b23c62b5b0c46e

(People are picking up discarded vegetables at a public market in Manila.)

According to a July report by the Philippine Manila Times, the South China Sea dispute was ranked among the issues that the Filipino people paid the least attention to.

In addition, Filipinos can also pay more attention to the huge assets of the Marcos family deposited in Swiss banks, which are all the hard-earned money of the Filipino people.

main qimg 2304c26d7c116b3110ef77eaaef2c98d
main qimg 2304c26d7c116b3110ef77eaaef2c98d

(When former first lady Imelda fled to the United States with her husband Musk, people discovered that they had collected more than 3,000 pairs of shoes, more than 2,000 pairs of gloves, more than 1,700 bags, more than 5,000 pairs of shorts and countless socks and underwear in their luxurious residence.)

Enchanted forest in Devon,England

main qimg 9b1e6eb7709b0cac6a069449f0b3f2f5 pjlq
main qimg 9b1e6eb7709b0cac6a069449f0b3f2f5 pjlq

Mekong River (China calls it Lancang river 澜沧江) starts from China and runs thru Myanmar, Laos, Thailand, Cambodia, Vietnam & then to the South Sea. 70% of Cambodian sea trade goes thru Vietnam for a fee. Money is one thing. To be controlled by Vietnam is another. Twice, in 1994 & 2020, Vietnam blockaded Cambodia’s sea trade.

The irony is that the land where Vietnam’s sea port is located belonged to Cambodian kingdom in history. Vietnam, a nation that was born later than Cambodia, militarily occupied that piece of land.

With China’s infrastructure technology in the 21st century, Cambodia asks China for help to make a canal (Funan Techo Canal) so as to control its own fate re sea trading. It makes sense, isn’t it? Who would like to be blackmailed?

Understandably, Vietnam is not happy because it will lose hefty revenue from Cambodia. Then comes with all kinds of dirty tricks. It said it is concerned of the damage to the environment. But study shows that the canal only affect 2% of the water flow of Mekong River. Not enough to change the ecosystem of Mekong.

Then Vietnam propagated that China will control Cambodia AND Malacca strait that is controlled by USA & Singapore. See, Vietnam wants to drag USA along to stop the canal.

Historically, Cambodia & Vietnam had many wars. The latest was in 1978-1989. In mid Aug 2024, while Cambodia celebrated the start of the canal project, Vietnam set up arms at the Vietnam-Cambodia border close to the mouth of the canal. After that Vietnam’s military chief met with Cambodia’s counterpart.

On the other hand, using modern weapons bought from China, Cambodia conducted a military drill by firing a rocket & showing off robot dogs to assist the ground troop. Vietnam’s weapons are old from 1960-80’s.

The canal project started on 2024/8/5 & is expected to finish in 4 years.

some news re Vietnam

After a Vietnamese team has visited USA, Vietnam’s new president To Lam visited China. Dont know if Vietnam wants to see if it can get US goodies & use it as a bargain with China.

Earlier Vietnam followed Philippines & went to UN to extend its continental shelf (failed). Also militarily collaborated with PH.

China is the Worst Country in the World!

This patient was something else. She didn’t have a job, lived in the lower echelons of society and was obviously mentally challenged. She also had a kidney stone.

She claimed to be suffering from severe abdominal pain and subsequently visited her General Practitioner, but he brushed her off with Ibuprofen because “it was obviously the kidney stone.” But the pain was simply too intense to cover up with a simple GP-woven Ibuprofen blanket, so she called her urologist’s office, and insisted on coming over right away.

When she explained her symptoms, the urologist knew at once that this was not related to the kidney stone. And then the patient said the most remarkable thing —

“It’s so painful that I asked my dad to drive slower on the way to the hospital.”

The urologist immediately asked if that was because of the bumps in the road. (It was.)

She then applied pressure on a specific point on the right-hand side of the patient’s abdomen and then quickly released the pressure, and the patient’s reaction confirmed the urologist’s hypothesis. (The patient loudly screamed.)

“It’s not the kidney stone. You have acute appendicitis. And you will need emergency surgery right away.”

The patient first refused the operation (bearing her GP’s “diagnosis” in mind, and because she did not really understand what was going on), but the pain quickly grew worse and she was feverish as well.

So when a general surgeon (and an emergency ultrasound) confirmed the urologist’s diagnosis, she eventually gave in, and not much later the appendix (and a huge amount of pus) was removed.

If she had waited any longer upon listening to her GP, his (enormous and unforgivable) mistake could have very well ended up fatally for her. Because her pain was not kidney stone related at all (as the GP should have known) —

And the road was really bumpy.

FIRST TIME HEARING ROBIN TROWER – Too Rolling Stoned Live REACTION

1. A lion may sleep up to 20 hours a day.

2. A lion’s heel doesn’t touch the ground when it walks.

3. A good gauge of a male lion’s age is the darkness of his mane. The darker the mane, the older the lion.

4. Even though the lion is sometimes referred to as the “king of the jungle,” it actually only lives in grasslands and plains. The expression may have come from an incorrect association between Africa and jungles or may refer to a less literal meaning of the word jungle.

5. A lion can run for short distances at 50 mph and leap as far as 36 feet.

6. A lion’s roar can be heard from as far as 5 miles away.

7. The lion was once found throughout Africa, Asia and Europe but now exists only in Africa with one exception. The last remaining Asiatic lions are found in Sasan-Gir National Park in India, which was primarily created to protect the species. Currently, there are approximately 350-400 lions in the park.

8. These majestic cats are threatened by habitat loss. The lion is listed as vulnerable on the IUCN Red List of Threatened Species.

9. Male lions defend the pride’s territory while females do most of the hunting. Despite this, the males eat first.

10. African lions are the most social of all big cats and live together in groups or “prides.” A pride consists of about 15 lions.

First they had a law where at least 51% Share of any Business owned by a Foreigner outside of HK and Macau on the Mainland had to be owned by a Mainland Entity

They modified this to at least 49% in the 1990s and also a Franchisee System for Foreign Commercial Outlets like McDonalds (Guangdong, 1990) or KFC (1991, Shanghai) [The 1987 KFC in Beijing was a Trademark based wholly owned Chinese Entity that served Rice Conjee]

They then modified this to at least 30% if Technology Transfer was included meaning 70% could be owned by a US Entity

They then allowed Foreign owned companies to establish FRANCHISEES and licensed based businesses for Financing and Banking including Citibank (1987), Standard Chartered (1992) and DBS (1999)

Finally today there are four forms of Foreign Ownership in China :-

  • Wholly Owned Trademark Or Royalty based Business – Business is fully owned by Chinese Entities but they pay a fixed Royalty or Trademark to Western Entities like Ingenious Designs LLC (Joy Magnano)
  • Joint Venture Foreign Subsidiary – Business is owned 51–49 by a Western Partner and Mainland Partner Or 70–30 (Tech Transfer) or 85–15 (Advanced Technology like Intel and TSMC)
  • Wholly Owned Foreign Enterprise or WFOE (Wholly Foreign owned Enterprise) – Business is 100% owned by Foreign Entities but China gets a fixed percentage of profits and minimum workforce guarantee. One Example is TESLA.
  • State Joint Venture Subsidiary – JV Foreign Subsidiary where the State owns minimum 20% of the Shareholding of the Mainland shareholding or 9.8% of the Total Shareholding

These days WOFEs are very common and 82% Businesses established from 2023 May have been WOFEs

So Americans can own a fully owned US business and sell their products or services in China provided

  • The Data used is stored and secured in Chinese Owned and Operated Data Servers with Chinese designed Encryption Algorithms (DSA, 2005 with amendments in 2017 & 2022)
  • A Sum equivalent to at least 18 months wages of all Employees and all running costs of the Business be deposited with a Chinese Bank for Bankruptcy scenarios
  • At least 63% of the Supply Chain must be sourced within the Mainland and since 2020 – at least 80% of the Supply Chain must be sourced within the Mainland, HK and Chinas RCEP partners
  • Minimum Investment into Manufacturing should not be less than $ 400 Million & in case of services (Non Financial) should be minimum $ 50 Million and services (financial) should be at least $ 1.5 Billion

There are over 57,000 Businesses in China owned by Foreigners under the four above categories


Problems Americans have :-

A. Data Security Laws – Since 2007, China has insisted that all Data Servers hosting Data of Wholly Owned Foreign Entities be owned by State Owned Chinese firms

  • Japan, Singapore, Germany immediately agreed
  • S Korea agreed in 2010
  • Asean agreed in 2011
  • EU and US held out until 2016 but finally agreed

B. Data Security Laws II – Since 2021, China has insisted that Data Servers of IBM and Dell and other Western companies who once owned 87% share in China be REPLACED with Huawei and Lenovo for any State owned company & Private Chinese Company

  • Now Huawei has a 34% Share and Lenovo a 7% Share, that’s 41% Market owned by China
  • Not WOFEs which can still has IBM
  • Likewise Huawei Cloud & Sugon has taken over 80% of Western Owned Data Businesses

Americans are VERY ANGRY especially IBM

This Retirement Data is TERRIFYING || Do you want to be an ‘Also Ran’?

https://youtu.be/_5vSCHLAsn4

Not admitted to a cop but admitted to me by a citizen. Received a call of s suspicious person at a local grocery store. Well I am enroute and the various situations play through my head and a cover officer is also dispatched a female officer (this is revelant later) arrive meet with security who leads me to the suspicious person. A middle aged male in the aisle muttering to self and holding a package of “female hygiene” products. Approach male and ask “what is going on? What are we doing here?”q

I am informed my “suspect” is a single father of a teenaged daughter that is having her first period; and he has no idea what product to purchase for her. Standing there facing one another we both have a completely blank expression on our faces with a very awkward silence. The silence is finally broken by my radio announcing my cover has arrived (thank holy Jesus the Calvary has arrived) she asked over the radio if she is still needed and my location in the store. I respond “more than I can even express, all is 10-4 and aisle (something)”

Down the isle comes lady officer XYZ, she is ready to do battle and there I am and our suspect exchanging blank looks and not much else. She storms up “what is the problem” I look at her and then tell our suspect to explain to lady officer XYZ what the issue is exactly. He does in a sheepish “please help” voice. She fires daggers at me after explanation is given and I look back “I know, I am sorry, I owe you lunch; Please please help us dumb ass males out here we are clueless!! I mean seriously clueless!!” she asked height, weight and other revelant questions. My boots suddenly become very very interesting. She grabs and hands our suspect the proper product from the information she had gathered. I am happy to clear us from the call for service and high tail it back to my patrol car. She is having none of that “you’re off the hook” thing.

She says “how are YOU going to write this up” I said “I got it, let’s call it a public service call” she says “lunch on you tomorrow” I said “lunch on me the rest of this week”. She shot me (die where you stand look) laughed and got in her unit.

Was looking for a new car. Called various Audi dealerships; got down to a good price but no value given for trading in my current leased car. One dealership gave me a really good price and a really good value for turning in my currently leased car. I checked with other dealers and they all said they couldn’t get close. The numbers were too good. He was going to try and cheat me me somehow.

So, I said to the salesman that gave me the great price they had to take the trade in sight unseen with only deductions that Audi would require if I turned it in to Audi. Also his price was the drive away price. No extra charges.

I go in to pick it up they keep me waiting a long time. Trying to get me late and anxious to get the deal done. Then sales manger comes out to inspect the car with a pad and writes down every trivial paint chip and minor parking lot ding. He was about to say there was no trade in value and I told him he wasn’t allowed to reduce for anything Audi wouldn’t deduct for, and Audi allowed, everything except major dents and there weren’t any. Audi wouldn’t lose a sale over minor paint chips. He walked away dejected.

Had to wait for the leasing guy and he gave me the paperwork. It was based on the wrong price about $1500 high. I pointed that out and he made some lame excuse but agreed to fix it. Then I went through all the fees that aren’t agreed and make him take them off but in a couple places he said we put the fee in here but we reduce the amount here so you don’t really pay it. I said ok.

I get home happy that I got my original deal and trade in. Then I realized there was $169 dollars that was supposed to be removed but wasn’t. Still a great deal but I was upset with myself for missing it. Then I get an email from Audi USA asking how my transaction went. I explained how they cheated me out $169 but tried to cheat me $2000 on the trade in and about her $1500 on the price used in calculating the lease.

Next day the salesman called screamed at me saying because of what I said he lost his entire bonus for the whole quarter.

Same tree and different seasons

main qimg 199ecae92cb45fb6357f4540fee6ea8d lq
main qimg 199ecae92cb45fb6357f4540fee6ea8d lq

I was walking into a Chick-fil-A with my duty sidearm as I was attending police training nearby. A young mother saw the gun, but somehow missed I was wearing my badge on my belt.

She immediately confronted me and started shouting I was in a family-friendly place and she had her two sons with her and having my gun visible (on me) was totally unacceptable.

I attempted to explain to her that I was a sworn law enforcement officer attending a training session nearby, but she cut me off and wanted to hear no excuses.

She demanded that I leave immediately or she would call the police. I politely said I would wait while she was on the phone with 911.

The responding officer was just around the corner (actually, he was in the drive-thru line) and responded quickly.

As he entered the restaurant, I motioned for him not to acknowledge me but to hear her complaint.

The young mother went on a tear about how so many people were wearing guns these days and that it made her uncomfortable.

The officer listened to her go on for about ten minutes and then politely stopped her.

The officer motioned for the manager who had been listening off to the side to come into the conversation.

The manager explained that his owners policy was to let police officers eat in the restaurant with their sidearm and badge.

At this time I took the visible badge off my belt and showed it to her.

The officer looked at me and said sorry corporal, turned on his heels and returned to his car to eat a cold sandwich.

The young mother was silent for the first time in about forty-five minutes.

The manger walked over to the register, punched a few buttons and promptly refunded the young mother the cost of her order.

The manager said officers were welcome in his store any time and he would rather lose her business than that of the several local departments that purchased breakfast, lunch, and dinner each day from his store.

He then invited the mother to leave the store but as she exited he made one final comment to the tune of, “not everyone who carries a gun is bad just as not everyone who doesn’t carry a gun is good.”

I still frequented that store even though I am no longer a sworn officer to show my support for the owner and manager.

The Clearest UFO Footage Ever – Shape Shifting UFO

Recently, Foreign Affairs magazine published an article by Jonathan D. Caverly, an associate professor at the U.S. Naval War College, which put forward a controversial view: the United States should not conflict with China for Taiwan.

This typical “abandon Taiwan theory” stems from his new understanding of Taiwan’s status.

Compared with former U.S. politicians who believe that Taiwan Island is an “unsinkable aircraft carrier” that can prevent China’s rise, and cross-strait reunification will impact U.S. military hegemony. Caverly believes that the military value of Taiwan Island is limited, U.S. hegemony does not depend on the fate of Taiwan Island, and cross-strait reunification cannot change the balance of power between China and the United States in the Pacific.

Of course, this is only the theoretical basis of Caverly’s “abandon Taiwan theory”, and the real reason is that the risk of challenging the PLA in the Taiwan Strait is too high. There is another important reason for a more realistic choice: even if the Chinese Navy suffers huge losses, it can rebuild a strong navy in a few years, while it is difficult to replenish U.S. warships after they are destroyed.

He said something very straightforward: “American allies would rather see the US fleet than have these combat forces destroyed in the confrontation with China.”

Although Caverly’s article only represents personal opinions, the fact that it can be published in “Foreign Affairs” also means that American society is reflecting on the issue of military intervention in the Taiwan Strait.

Once the PLA launches a military action against Taiwan, and the US military assesses that it cannot win, and says that it will not intervene, the US allies will question the strength of the United States. Once the US military admits its weakness, it will cause the US government to be very passive. From the beginning of the vague policy adopted by the United States on the Taiwan issue, such a choice has been left open.

As long as the US allies believe that Taiwan’s unification with China will not have much impact, it will be a reasonable choice for the US military not to intervene. As long as the Western society believes that such a choice is correct, abandoning Taiwan will be a matter of course.

In general, even if the United States has ten thousand reasons not to give up on the Taiwan issue, it will have to give up because of China’s strength, and this process will inevitably make the United States very painful.

Charging rhino is the most intimidating thing

main qimg bd765353a056452c496bca3b5d61b807 lq
main qimg bd765353a056452c496bca3b5d61b807 lq

One fact which is not too widely understood by the general public is how to interpret blast yield.

main qimg ab740dffd0a098e10fe71c9aa56da91f
main qimg ab740dffd0a098e10fe71c9aa56da91f

2020 Beirut blast

Back in 2020 a warehouse in Beirut exploded and was caught on film. It was absolutely massive, the shockwave blew debris all around, demolishing buildings and killing people. Over 200 people died and over 7000 were injured by overpressure, flying debris, glass and more. Over 300,000 people lost their homes as a result of the blast. It was a terrible event, an explosion of conventional nitrates, equivalent to 1.1 kt of TNT. This is approximately 10% the blast yield of Hiroshima bomb.

Normal people look at this and swallow hard imagining how much worse nuclear bombs must be. This blast was just 10% of what is nowadays considered a relatively small bomb, mainstream weapons nowadays are about 40 times more powerful than that, so 400 times the Beirut blast. It’s very easy to imagine just how much worse the nuclear bomb must be.

And it is worse. Just not in the way you might think.

Explosive yield is not a 1-to-1 comparison of effects. Rather it’s the amount of energy released by the blast. A more neutral way would be to express it in Joules, but you’d lose yourself in all your zeroes and you have to be a weirdo to be able to envision what 4.18e12 Joules does to a city and how it differs from 4.18e13 Joules. Both numbers are big … that’s all a normal person can say. In case you’re wondering, the first one is one kiloton, the second is ten kilotons and you can probably imagine those much better.

This is important, because the energy profile of a conventional explosion is profoundly different to a nuclear blast. A conventional explosive works such that a solid material (the explosive) rapidly decomposes exothermically, it falls apart and generates lots of heat in a very short amount of time. The product of this explosion has a volume that is many times greater than the original bomb, which creates a massive overpressure and this bubble is also very hot, because the decomposition was exothermic, it generates a lot of heat. This increases the pressure even further and generates the destructive blast wave seen in Beirut videos.

A nuclear weapon works differently, there the only products are heat and radiation. A nuclear weapon doesn’t generate an appreciatable amount of gases from previously solid materials, it just heats a very small area to such a high temperature an explosion still occurs. However the blast wave a result of heat and the overpressure is grossly incomparible with that of conventional explosives. This is why you have the infrared radiation causing fires and burns well away from ground zero in a nuclear blast, but not a conventional explosion. All of this is baked into the initial “kiloton” expression, although conventional explosions produce neither.

The Beirut blast wave was comparible to that caused by the Hiroshima bomb. I’m not saying it was identical but it certainly was rather close to what a 12 kt nuclear bomb would do at that location in terms of a blast wave. The nuclear bomb would also cause a bright flash that would blind people looking the wrong way, it would also cause burns to people exposed to the blast and it could cause radiation injuries, the fireball would also incinerate the warehouse itself, but the blast beyond that would be similar to what (nominally) ten times smaller conventional explosion produces.

If you want to take this further, the blast wave is considerably affected by gravity and causes more damage in the horisontal direction, where buildings and people are. Radiation (thermal or ionizing) from a nuclear blast is only minimally affected, so a considerably larger part of energy is released up and away, into space. Ditto for energy directed downwards at the ground. Overpressure will be channeled to the side by terra firma, radiation will just be absorbed eventually.

This is why you can’t take an explosion of, say, 1,000 tons of TNT and say a nuclear bomb would be 10, 20 or 1000 times worse. No. It would release a lot more energy, but the profile of that energy will be widely different to the point of the two being largely incomparible. Of course a 20 or a 1000 times more energetic blast is still going to be worse overall, but effects don’t just scale up. It’s a lot more complex than that.

That Thing You Do! (2/5) Movie CLIP – Radio Debut (1996) HD

The story of Roman Sosa, former pro boxer, who escaped death or his murder planned by his wife.☠️

Roman Sosa met his wife Maria de Lourdes Dorantes, also known as “Lulu” in a bar and they got married in 2009. Lulu had her two kids with her. In 2010, the couple opened Woodlands Boxing and Fitness together.

main qimg d26f0581171a6eb6043692b20da3fda8
main qimg d26f0581171a6eb6043692b20da3fda8

By 2014, Roman knew that his marriage was nearing his end. As Lulu would be a loving wife for a first few days and for the next few days she would be cruel and would even talk about selling their gym Woodlands.

During this time, Roman got to know a man named Mundo, who grew up in poverty. Roman asked Mundo whether he wanted to learn fighting? To which Mundo replied yes. Two of them got so close together that Roman addressed Mundo as his other son.

Mundo one day, heard Lulu and her daughter planning about Roman’s murder and getting all his assets befor the divorce was finalized. Mundo immediately called roman to tell him the same. Also afraid for his friend, Mundo decided to play along and made up a name, telling Lulu he knew someone named “Paco” who could do the job.

The two decided to have Mundo keep the act up with Lulu, and to get more evidence and information to take the case to police. Ramon got a burner phone to act as “paco” – his own hitman.

On July 15, 2015, Ramon Sosa and Mundo went to the Montgomery Co. Constable’s Office and shared what they knew. They provided recorded audio conversations between Mundo and Lulu, as well as $100 they said Lulu gave Mundo as a down payment for the hit on Ramon.

The police then decided to do an undercover operation and get more evidence so as to arrest Lulu.

On July 21, 2015 the policemen used make up on Ramon Sosa to make it look like that he was shot by a gun on his temple and took his photos to show Lulu as proof.

main qimg 532dacef2d01c800917b96d30b1b80ed
main qimg 532dacef2d01c800917b96d30b1b80ed

On July 22, 2015, an undercover officer posing as “Paco” met up with Lulu on camera to show her the proof. And the immediate response of Lulu to the photo was an evil smile.

Lulu Sosa was arrested on July 23 on the charge of solicitation of murder.

main qimg 7fac3558cf2bb9174fface38b645582f
main qimg 7fac3558cf2bb9174fface38b645582f

I Work For A Company That Contains Liminal Spaces. The Abandoned McDonalds

China

There will be a clear winner and it will be China

Japan is vulnerable and 70 years of being a US Lackey have made it soft.

Chinas numerical Advantage and Economic Strength is simply too strong for Japan already throttled by Deflation and Stagnancy.

Without US Interference – No Country has a Chance against China in the Long term except maybe Russia.

The Only Question is – Is China prepared to be burnt very badly in the process?

Remember a Decimated Japan always has the West and US rushing into help economically but a Decimated China will receive no help as it is an Alpha Predator. I am sure Pakistan cannot flood China with a Trillion Dollars nor can Russia.

That is Chinas Achilles Heel.

They are an Alpha Power which means they have to be very careful in any War they plan because whatever damage they suffer – they must be able to handle and correct it on their own without depending on anyone else, even Russia.

I won’t need to do anything.

The BadCat will eat your eyes. I probably couldn’t stop him even if I wanted to. But considering the purpose of your visit, I’m more inclined to stand idly by and watch. Maybe I’ll take some video. A cautionary tale for the next firearms prohibitionist contemplating vandalism.

You see, the BadCat does not approve of strangers. Not even a little bit. He can jump face high from the floor from a standing start, while yowling in the Menacing Tense like an enraged kzin, and slice you to ribbons even if you’re a fit adult male. Eyes and carotids.

main qimg 33baaeb5aa90a56db95ba953ee4ea5b8
main qimg 33baaeb5aa90a56db95ba953ee4ea5b8

If you put your hands up to ward him off or peel him off, you just bleed more.

main qimg 0a56ee92e82df9c6f29cf18a203e0543
main qimg 0a56ee92e82df9c6f29cf18a203e0543

He has no remorse. Just look at him gloating. Evil incarnate. A feline demon. –

main qimg 9f63a8d6afb4ec3037f50afa28bfb308
main qimg 9f63a8d6afb4ec3037f50afa28bfb308

The vault door is for your protection, not his. And it’s barely up to the task.

main qimg f795792c4efcb1968a321e4cc7881b30
main qimg f795792c4efcb1968a321e4cc7881b30

Oh, did I mention that he’s under my protection? It’s a proven fact that coyotes who would harm cats do so less frequently after receiving 3.5gram projectiles at Mach 2.7. It makes a bloody mess of them.

main qimg 4067e90439dc94ee1a9f27fd1822f28c
main qimg 4067e90439dc94ee1a9f27fd1822f28c

Here he is prowling coyote country, just looking for a ‘yote to torment –

main qimg dc945a95463dd7235d5739a29d151971
main qimg dc945a95463dd7235d5739a29d151971

Perhaps you should reconsider. Besides, the safe would probably stop you. It’s a screw door Mosler with Relsom plate and tungsten carbide inclusions.

main qimg 6f6f35767c65192ef9cd7d917c2cce15
main qimg 6f6f35767c65192ef9cd7d917c2cce15

Unless you pick the wrong safe, in which case you get to die horriby by chloropicrin and phosgene. You know, war gasses. Nasty stuff. Not worth the risk, I assure you. But then probably anything is preferable to the cat getting you.

main qimg b0fa5b6ae45cfb2d5bc5a2b55bbde57a
main qimg b0fa5b6ae45cfb2d5bc5a2b55bbde57a

Water ice on mars

main qimg 9ce76a8b0204620eb4b75e4e4286d619 lq
main qimg 9ce76a8b0204620eb4b75e4e4286d619 lq

A Monk prays for a dead man who was waiting for his train in the station hall of Shanxi Taiyuan train station in Shanxi, China.

main qimg 0081da4b5e47147daf47bd1ea6fb72be lq
main qimg 0081da4b5e47147daf47bd1ea6fb72be lq

The photographer:

“I remember clearly that it was about 5 p.m. on November 25. 

I was just finishing an assignment photographing retired military soldiers bidding farewell to their comrades at the train station. 

On my way out, I heard someone yelling from a corner and soon after lots of people gathered around. 

I ran towards the sound and made my way to the front of the crowd, only to find an old man dead on the bench. 

As I raised my camera, a Buddhist monk walked out of the crowd and went directly towards the dead man. 

The monk bent down to hold the old man’s hand and started to chant scriptures. 

I began to take pictures immediately. 

One minute later, police came over and cordoned off the area. 

After the monk finished the ceremony, he bowed to the old man and quickly disappeared among the other busy passengers.”

When the monk found an old man slumped over on a train station bench, he stopped, held his cold hand and prayed, and then bowed before him. He honored this man, who died while waiting for his train.

main qimg 8f6f44191e20ceb77adcbf49d6318fd5 lq
main qimg 8f6f44191e20ceb77adcbf49d6318fd5 lq

We know our lives are a brief moment of the Infinite, but there are only few instances in life that conjure the true understanding of reality.

The day, the second, this old man was born, he too never have thought he would one day leave this world in the waiting hall of a train station.

Things are difficult to predict, and lives are over in a moment. We never know when the last time is THE LAST TIME.

Most of the things in life are just noises, and a very few things are exceptionally valuable. Find those things.

It pains me to see people eating alone, let alone death. Money is very important and so are the people with whom it is spent with.

Lessons I learnt:

  • From the Crowd: No matter what. World never stops. It goes on.
  • From the Man: Death is inevitable. It will come when it will come. You leave everything behind except what is woven into the life of others. Try to weave something good.
  • From the Monk: A part of being a human is to do something for people what they deserve. In times of tragedies, no act of kindness, no matter how small, is ever wasted.

V

Shorpy

01947a.preview
01947a.preview

03195u.preview
03195u.preview

4a12513a.preview
4a12513a.preview

8a18107u.preview
8a18107u.preview

8a18104u.preview
8a18104u.preview

26382a.preview
26382a.preview

4a12721a.preview
4a12721a.preview

8a17897u.preview
8a17897u.preview

29611u.preview
29611u.preview

27528u.preview
27528u.preview

27527u.preview
27527u.preview

4a11872a.preview
4a11872a.preview

4a03546a.preview
4a03546a.preview

4a11871a.preview
4a11871a.preview

4a12900a.preview
4a12900a.preview

4a09558a.preview
4a09558a.preview

4a09557a.preview
4a09557a.preview

4a12473a.preview
4a12473a.preview

4a12583a.preview
4a12583a.preview

4a12887a.preview
4a12887a.preview

4a12649a.preview
4a12649a.preview

4a11507a.preview
4a11507a.preview

4a09010a.preview
4a09010a.preview

4a03923a.preview
4a03923a.preview

4a05253a.preview
4a05253a.preview

4a12156a.preview
4a12156a.preview

4a12300a2.preview
4a12300a2.preview

4a13150a.preview
4a13150a.preview

4a13211a.preview
4a13211a.preview

Wells Fargo Employee Found Dead At Her Cubicle…4 Days Later!

Here are twenty clean jokes for you:

1. Why don’t scientists trust atoms?
Because they make up everything!

2. What do you call fake spaghetti?
An impasta!

3. Why did the scarecrow win an award?
Because he was outstanding in his field!

4. What’s orange and sounds like a parrot?
A carrot!

5. Why did the bicycle fall over?
Because it was two-tired!

6. What do you call cheese that isn’t yours?
Nacho cheese!

7. How does a penguin build its house?
Igloos it together!

8. Why can’t you give Elsa a balloon?
Because she will let it go!

9. What do you call an alligator in a vest?
An investigator!

10. Why are ghosts bad liars?
Because you can see right through them!

11. What do you get when you cross a snowman and a vampire?
Frostbite!

12. Why did the math book look sad?
Because it had too many problems!

13. What did the zero say to the eight?
Nice belt!

14. Why was the computer cold?
It left its Windows open!

15. How do you organize a space party?
You planet!

16. What do you call a bear with no teeth?
A gummy bear!

17. Why did the golfer bring two pairs of pants?
In case he got a hole in one!

18. What did one ocean say to the other ocean?
Nothing, they just waved!

19. Why don’t skeletons fight each other?
They don’t have the guts!

20. What do you call a pig that does karate?
A pork chop!

Teenage boys hitting on me has never stopped creeping me out. This has happened every year since I started teaching. The comments made by them are sometimes VERY inappropriate, so I try to turn it into a ‘how to treat women with respect’ life lesson for them…

Besides that I had one incident in the last 10 years that really freaked me out. I once had a girl in my class, she was about 15 years old, and she decided the first day of the new school year that she hated me. After 4 weeks of class, she suddenly walks up to me in the middle of me talking about the outbreak of WW1 and started saying things about my family; she knew my youngest sister’s name, address, where she went to school and named some of her friends. While doing this she kept looking straight at me. When she finished summing up all this information, she turned around, walked back to her chair and smirked at me. I was completely freaked out, but kept a straight face and went on with my class. After this class I immediately went to the principals office and demanded that her parents would be called into school to discuss this ‘intimidating’ behaviour. It was brushed off as a joke by them.

Few weeks later two of her friends were still in my classroom after class so I started chatting with them. They brought up the incident that occurred and told me that she was out to get me and my family because her boyfriend liked me. Now this wasn’t just a ‘normal’ jealous teenage girl, she regularly got into very violent fights and was known for being completely bonkers. Her friends feeling the need to inform me of this and telling me to be careful really freaked me out again, I don’t want a crazy person like this knowing my family’s address! Back to the principal’s office is was. The parents were called again and she was suspended from my classes for the rest of the block.

I had to teach this girl for another 2 years, she never said anything inappropriate to me again, but it’s the only student ever that I didn’t want to have in my classroom.

Pizza Tot Casserole

16d4e2012d5f1ea3429a016db9c589c1
16d4e2012d5f1ea3429a016db9c589c1

Ingredients

  • 1 pound ground beef
  • 1 medium green bell pepper, chopped
  • 1 (11 1/8 ounce) can condensed Italian tomato soup, undiluted
  • 1 (4 1/2 ounce) jar sliced mushrooms, drained
  • 2 cups (8 ounces) shredded mozzarella cheese
  • 1 (32 ounce) package frozen tater tots

Instructions

  1. In a skillet, cook the beef, bell pepper and onion until meat is no longer pink; drain.
  2. Add soup and mushrooms.
  3. Transfer to a greased 13 x 9 x 2 inch baking dish.
  4. Top with cheese and potatoes.
  5. Bake uncovered at 400 degrees F for 30 to 35 minutes or until golden brown.

Journey – Feeling That Way/Anytime | REACTION | INCREDIBLE!

U.S. Seizes Airplane Used By Venezuela President Nicholas Maduro

U.S. Seizes Airplane Used By Venezuela President Nicholas Maduro

Plane of Venezuels President Seized By USA large
Plane of Venezuels President Seized By USA large

The United States has SEIZED the airplane used by Venezuelan President Nicholas Maduro.  The plane was in the Dominican Republic and the U.S. grabbed it for “violating U.S. Sanctions.

The plane was then flown to Florida.

It is not yet clear WHO it is that allegedly violated US Sanctions; Maduro himself or the aircraft Owner.

Details at this time are sketchy, but above are the basic facts as they are understood at this hour. 1:34 PM EDT Monday, September 2, 2024.

95% of all daily transactions done with Alipay or WeChat pay. Started to change 10–15 years ago. If you use cash, you get strange looks.

Everything is done this way. Public transport, Didi (like Uber),food delivery, restaurants, street vendors….

25 Facts That Will Ruin Your Childhood

Remember the “2008 Great Financial Crisis?” That was then . . . . this is now:

Remember the &quot;2008 Great Financial Crisis?&quot; That was then . . . . this is now:

Almost every adult recalls the 2008 “Great Financial Crisis” when Bear Stearns, AIG, Lehman Brothers, Merrill Lynch, and others collapsed. The Chart from FDIC shows that period above.   That was then . . . . . . . . THIS is now:

From the FDIC:

FDIC 2008 VS NOW
FDIC 2008 VS NOW

 

How much longer this can go on is anyone’s guess.  It seems to me, as an unqualified Layman who is not a Licensed Financial Expert, and who cannot give financial advice, that this is an utter catastrophe actually taking place.

In my personal (unqualified) opinion, when it finally causes a collapse . . . . the collapse is going to wipe out everything!

Now you know why they seem to be trying so hard to cause World War 3.   They need it to blame the coming collapse on!

If the collapse takes place without World War 3, then THEY get (rightly) blamed instead of being able to blame “the war.”

Maybe the reason the uber-wealthy are building underground shelters for themselves is not to protect them from World War 3, but instead, to protect them from the masses, who will be wiped-out when the Banker shenanigans wipes out the system and everyone loses everything.

Whatever they’re going to do, it seems to me they have to do it before the election.

I earnestly hope you have emergency food, water, medicines you need to live on, a generator, fuel for it, communications gear like CB or HAM radio, flashlights, first-aid kits, CASH MONEY stashed outside a bank in a place where YOU can get to it.  If you don’t have these things, what will you do when the whole thing comes crashing down?

Get what you can, now, before the SHTF.  People who do not prepare now, will be S.O.L. later.

Disturbing Last Found Footage of Missing Persons

I remember a particular case that has stayed with me through the years. As a nurse, you come across all sorts of situations, but this one left a mark not because of the physical state of the patient, but because of what it taught me about humanity.

I was working a late shift when a man in his mid-50s was admitted to the ER. He was homeless, had been living on the streets for years, and it showed. His body was covered in layers of dirt, and there were signs of severe neglect. He smelled of sweat, urine, and the streets—a stench so strong that it seemed to cling to the walls of the room. His skin was marred with sores and old wounds, some infected, and there was an overall sense of decay. To say he was “gross” would be an understatement, and I could see the discomfort in the eyes of the younger staff.

But here’s the thing: when I looked into his eyes, I saw fear, pain, and an overwhelming sense of shame. He was a human being who had fallen through every crack in the system, and he was acutely aware of how he appeared to us. He avoided eye contact, probably expecting to be treated like the outcast he felt he was.

As I approached him, I knew I had a choice. I could distance myself, do the bare minimum, and move on. But I didn’t. I took his hand, and though he flinched at first, I held on. I spoke to him gently, reassuring him that he was safe now. With each word, I could see a bit of the tension leave his body. And as I began to clean his wounds, the disgust I initially felt was replaced by a deep sense of empathy. Here was a man who had been stripped of his dignity by life, and in that moment, my touch wasn’t just a medical necessity—it was an act of kindness, a way to tell him that he was still worthy of care, of compassion.

It took time, but as we worked on getting him cleaned up and treated, he began to open up, telling us bits and pieces of his story. He was someone’s son, had once been a father and a husband, and life had simply been too cruel for him to bear.

That night, I was reminded that behind every “gross” patient is a story, a life that deserves to be honored. And sometimes, the most challenging patients are the ones who need us the most—not just for their physical wounds, but for the scars that run much deeper.

In the end, what matters isn’t how clean or dirty someone is. It’s about the humanity we share and the simple, yet profound, power of touch, empathy, and understanding.

Hoarders.

Submitted into Contest #247 in response to: Set your story on a spaceship exploring the far reaches of space when something goes wrong. view prompt

Ben Ebert

This story contains themes or mentions of physical violence, gore, or abuse.
Flashing red lights and a powerful echoing siren around the entire ship. Blood ran along their arm and down to their fingertips, and they looked at me with such hate. “ Are you weak?”“Absolutely, I am weak…”-Before.They looked at me for answers from their different control chairs. The vessel floated rather silently through space. The five of us have spent every day for the last eleven months together. sent on a mission to collect resources from around every corner of the universe.Eleven months reaping food, metal, water, and every mineral of resource we could, guided by the stars and the powers that be, sending us directions and only returning when were full. All in the name of the Federation, so the Fed could become the biggest superpower in the known universe.“What are we going to do?” Robin my navigator sternly asked me. My crew glared, hoping I would have some answer, some emergency help. The rectangle-shaped bridge lit up with the blue and orange glows of the different computers at each of my crew’s workstations.  I reached for my servatium, but the pill bottle had run empty. It’s just what I needed at this time. It kept us relaxed and a little lucid while dealing with all the stress and madness that comes with being a star hoarder. I looked at all of the stars outside of the large oval window that wrapped around the front of the cockpit. Unlimited potential through the window and we were doomed to die from oxygen poisoning, as our life support systems had shit the bed in a really bad way, and I didn’t know why. This day had felt like a nightmare. I nodded to my crew who had waited too long for an answer.“ We have several hours of life support left, and three new star systems in range, there is a chance we could find somewhere, a planet that supports us for a day or two.” It was not the answer the crew was looking for. Jacobs, my Medic, the man of the people threw up his hands and shook his head.“ We get air, make some repairs, contact the Fed” I continued, trying to come up with something that at least sounded hopeful and like I had any idea how I could solve this.“ We should head back to the Federation.” Barnes the engineer noted. Some of the crew waited for an answer, but my navigator already knew. She tapped her feet and chewed at her nails. Her brown eyes widened as the crew looked at her.“ Fourteen, fourteen hours away is the nearest fed base, and that’s at full speed ” She mutteredIt was then that my chemist, dam emotional guy dropped on the floor and started to have a bit of a panic attack. It’s how they all felt, but I couldn’t do that. I tried to stand tall and firm like it was just another Tuesday or this mining craft. This craft that’s sent to scout the stars for resources. It’s a high-paid job, one of the only that’s left for people who aren’t born with a silver spatula up their rectum left. A long tradition of engineers scouring the stars to see what we can muster up from planets. For about as long as this job has lasted there’s been stories of people all over disappearing into unknown star systems, ghost stories of our first contact with aliens not going well – but this, this is something else.A halted cough from our chemist, my least favorite member of this motley crew.“So youre plan, and correct me if im wrong is to keep doing what we are doing, knowing that we will probably perish in about nine hours?” Chemist, botanist, geologist, all those degrees I guess it didn’t teach him any people skills.“No,” I announce as I walk through the bridge to the star map. A large square sat centrally on the bridge. I wave my hand over the golden sand dial to illuminate it. a cluster of stars appeared as holograms in front of us, painting us in a blue hue. I put my finger slightly in the sand and I felt the connecting bio-tissue tickle at my finger.“ This is our current destination,” I announced. The map zoomed quickly to a cluster of stars that shone brighter than the rest. A black line showed the jump point to the next galaxy.“But bear with me.”

I pinched the electric sand and twisted it. The holograms sped to another cluster of stars. I reached in the air and pressed invisible buttons, to show a projection over the holograms.

“ This galaxy has at least four different jump points that are clustered together.  We redirect here immediately. This way we can access the most galaxies and planets as quickly as possible.”

“4.78 percent.” My navigator chirped up exactly when I didn’t want her to.

“4.78 of planets across the universe are at least slightly habitable to us.”

Sad sighs escaped the crew’s throats.

“ Then we’ll beat the odds,” I announced, pushing a hopeful smirk on my lips.

I turned and started walking away.

“Let’s make it happen people!” I yelled into the air.

I marched along the bridge to the hallways, this ship was large enough to hold a few secrets. Eleven months we’ve been sent out to the far reaches of the universe, eleven months and I felt like I knew these people so well.  They should have felt like family. I couldn’t help erasing the feeling, that there were thoughts they hid from me. The ravaging of planets for rich folk who don’t give a fuck about us is sure to take a toll. I couldn’t shake the feeling that there could be enemies among my crew.

I walk past the crew quarters. I felt the pull of my quarters, my little sanctuary from these people. I just wanted a second in it. I might have found some Servitium to take the blinding worry away. This is the least sedated I’ve felt in a long time, my head doesn’t feel as cloudy though. As I got closer to my door, just across from it Robin’s my Navigator’s door smacked against something hard, making a jittering, creak.

As I got closer I noticed the smell of copper, before I saw the bloodstains coming from the body of the ship’s cat Goliath. I reeled from it for a moment but I had to step into Robin’s room. It was then that I started to realize what had happened.

Behind Robins’ bed, just like all of ours, is the blue-winged eagle of the federation marker and the letters G.F. A for ‘Galactic Federation Alliance’

Written in Goliath’s blood over the insignia is ‘ Thieving Federation Genocide Alliance, and T.F.G.A’, and a single picture of the remnants of a planet we gutted. I knew which one instantly, Tac 17, the holograph read ‘signs of early life’… but we had orders. A quota to reach.

My stomach urges me to vomit, but I do the breathing exercises the Fed doctor told me. I wanted to keep in control, for a second I looked for Robin’s Servitium, but stopped myself and left her room.

I think about going back to the bridge and telling my crew, but I have more pressing matters. I touch my wristband, putting one finger on the bottom side, one just above it, and one on the left side of my wrist.

“Barnes. Meet me by the engine.”

The life support and maintenance system screens were flashing red by the engine. A light occasionally spun around the room with a quiet alarm.

“Sabotage? Really cap?” Barnes gasped at me.

I didn’t know if that was bad acting or if I  genuinely shocked him.

“What else could it be?” I asked him.

He stepped back, rolled his eyes, and threw out his hands.

“ I got to tell ya, the others aren’t going to be happy with you throwing around this kind of accusation.” Barnes looked over the engine again briefly and paced a little around the engine.

“ Who would it be, why would someone intentionally do this…Maybe, the cat got in it. messed up the wiring.”

“So not the cat,” Barnes muttered as I showed him the grave of Goliath, his mouth almost planted on the floor.

From my uni band, the voice of Robin announced.

“ We’ve arrived at c37.”

The whole crew stared at the holograph of the surface of the planet as I moved it around, zooming in briefly to see mountains and ridges, but the planet was mostly desert.

As I scanned through the planet, the sighs got louder and louder. I stopped looking at the barren planet when the hologram showed letters in red. ‘low CO2 levels’

We were quickly able to jump to the next planet. The crew watched in silence as Robin and I directed the next above a planet that was blue and filled with ice.

Scanning all of the planet, it was clear to see just sheets of ice and water. Quick quiet muttered erupted within my crew.

“Nowhere to land,” I muttered to my crew sadly.

I glanced over them, wondering how many people knew about Goliath’s body.

Robin took my hand and yanked me tightly to the side and behind one of the computers.

“ What are we doing? And don’t tell me what you told the crew. There’s only a 6.7 percent chance of us finding a planet.” She whispered.

“Why has It gone up?” I asked.

“It’s your plan, I recalculated, these star systems are denser than I expected.”

“ Why would someone do this?” I asked her directly, cutting her off She nodded slowly.

“So it is sabotage. We figured that was possible.”

The other three members of the crew were noticing our conversation.

“The problem is, only Barnes or you could sabotage the engine.” Her eyes turned sharp, and her hand drifted to her belt, which held a few things she could stab or hit me with.

I waved her towards me so she would follow me.

“No, no, not Goliath.” She whispered as a tear fell from her eye.

“ I doubt you would do this,” I admitted. My eyes fluttered as the withdrawal started to creep in. I held onto the wall, my body shaking a little, everything was so visceral and real like someone had dropped over my eyes the perfect glasses to see clearly.

Robin looked me from over, from head to toe.

“You’re not going to faint are you?”

I shook my head, and closed my eyes for a second, trying to make the world shake less.

“I can’t find my servitium. This would have been a whole lot easier to deal with if I had some.” I smirked at her.

“I’m sure I have some more around here somewhere, heard it’s good to have a break from it once in a while though.” She explained as she looked through her cupboards.

“You’re right. Actually, It’s a lot clearer without it.” I grabbed her throat and shoved her against the wall. My multitool already on its blade setting, I stabbed her quickly and deeply in the neck. Her eyes faded instantly.

Three more to go.

To the demise of the Federation, I walk. I have for a while, but just couldn’t remember when I was lit up with that trash drug, they give us to keep us willing and docile.

The ship was hovering over another planet.

“Wait a minute.” I hear the medic shout out. He always had some guilt about the planets we take from, Perhaps he can help me deal with what’s left to do.

The three left looked at the holographic table. Barnes smiled gleefully. The Chemist that putrid planet sucker. Wouldn’t be surprised if he had a degree in genocide. He moved the bioelectric sand over the planet and they watched hopefully.

A holographic sign reads ‘ breathable air’ The planet is lush oceans and islands with forests floating in the sky.

“I can’t believe it,” Barnes shouted and smiled at me, as I approached.

I tapped the chemist lightly on the shoulder playfully and nodded along. Three of them, one of me. They weren’t good odds, but the clock was ticking with them finding a habitable planet.  I took out my multitool and planted the blade in the middle of the chemist’s back.

Jacob and Barnes screamed and ran in different directions, and the chemist, just sat there shocked for a few seconds with a hole in his back.

“ This has to stop! The federation has to be put to an end. I only remembered once I withdrew from their control drug. We are docile slaves committing horrific acts. We wipe out entire planets, and potential races for what, so that our people are the richest, so we have control over the universe. It’s a joke.” I announced into the bridge.

Disappointment was the biggest emotion I felt from my remaining crew as they stayed silent.

“Im not looking to kill you, I am looking to go against them. The federation, if you agree to just take a moment and hear me out this can stop.” I waited but again not a sound in return. I had my answer.

The red flashlights started spinning around the ship, a thumping alarm, and I knew they were trying to sneak into an escape pod.

They saw me as I approached the pod “We are just going to go. You won’t see us again.” Jacobs announced, both of them sweating profusely, their eyes full of terror.  Jacobs’s hand was near the large red eject button.

“You don’t have to do this. C’mon, boss man, this isn’t you, put the blade down” Barnes announced, trying to hide his shaking fear and smile warmly at me.

I put one foot in the pod and kept my blade out to them.

“You’ll both understand me in a day or two, once you’re fully withdrawn from servatium like I am… It lies to you, it made everything hazy. I understand why you would react this way. But trust me, you’ll understand why I did this” I explained. Their hands raised. Their eyes still darting to the escape button every other second.

“Put your hands down. Say you understand. Say I don’t have to do this.” I shook a little myself as I demanded from them. A tear fell from my eye. My hand briefly dropped.

Jacobs charged at me, knocking us back against the floor of the escape pod. The blade landed in his neck. He tried and failed to catch his breath, at least it was quick. I pushed him to the side as Barnes came down and beat his fist against my face a couple of times. I grabbed his hand as he came for a third.

I swung the blade towards him as I regained my posture, he flinched back and he fell into one of the seats.

“ He made me do that. I didn’t want to, but this has to stop. They make us dumb and docile, so we’d follow whatever their orders are.” His eyes just swelled with terror.

“Are you this weak?” he asked as he tried to stand against me.

“ Yes, Absolutely I am this weak… I can’t serve them with a clear head” I announced to him.

It went just like before, how I wanted to avoid it. But I guess it had to go this way. I sailed down on the escape pod to the planet with Barnes as he took his last breath. Now I wait on the shore of a floating beach, all the beauty in the galaxy, birds and fish that I’ve never seen. Floating jungles and mile-long waterfalls, the beauty puts a smile on my lips for a moment.  I wait for the enemy to rescue me, I wait to pretend to be one of them again, I wait for my chance to strike again, maybe this time it’ll make a difference.

Once upon a time I was working for a large company in the automotive industry. We were a Tier One supplier of a company whose name everyone would know.

The company suffered from fractured management with strict silo mentality.

Sales didn’t communicate with Engineering, which didn’t communicate with Quality, which didn’t communicate with Production. None communicated with the Finance trolls (me).

Every day was misery.

I worked an average of 10 hours doing what I called “Spreadsheet Space Invaders”, preparing analysis after analysis for managers who refused to be the one to actually make a decision.

They always felt if they had just one more analysis, that would give them the answer to their question.

But since all of them were cowards, no one would made a decision. Then, it was back to Finance to give them more data.

I’d managed to survive there for 15 years mainly because I had a very ill spouse and we couldn’t survive without the insurance.

I’d out lived the entire management team at the plant level twice over. Meaning I’d served three plant managers, two quality managers, three HR managers, and two engineering managers.

I reported to a boss at the home office in Detroit but I was local at the plant in Pennsylvania.

We had only one customer, a big automotive manufacturer and only one contract with that company.

We always started negotiating the next contract in August in hopes we’d have something finalized by January.

Some years, we didn’t have the Final, Final, by God it’s FINAL contract until February, which meant I had to sorta guess how to manage January.

Between August and the by God it’s FINAL contract could be up to 100 revisions.

Each revision required (1) the customer to request something (2) Sales to inform Engineering (3) Engineering to engineer it (4) Finance to cost the engineering spec (5) Sales to develop a price based on the financial numbers and (6) a presentation to the customer, who would then change their minds. Rinse and repeat.

This process kept me redoing spreadsheets over and over for about 6 months.

Many many times, one of the links in the chain would break and Engineering didn’t know it needed to update its drawings, or Finance didn’t know the costs needed to be changed, or Sales didn’t inform Production to add lines.

It was a circus of miscommunication, not eased by the fact that Sales and Corp Finance were based in Detroit, but the rest of us were based in PA.

One year, in the summer, after we’d been operating under the current contract (negotiated between Aug of the prior year and Feb of the current year) my boss wanted an analysis of it for some reason.

I sent him an analysis based on the by God it’s FINAL version.

He flipped out. Asked me in an IM in all caps how could I not know my contract?

Confused, I asked him what he thought the contract was (remember, I and the customer had been happily working together for about 7 months on what we both thought the current contract was).

My boss pulled out a contract from the prior October.

It was revision 6.

We’d moved all the way to revision 57 by the time we’d gotten to by God it’s FINAL.

I informed him of that and showed where he and I had communicated numerous times about all the revisions that had occurred after October.

He swore at me in the IM and demanded to know how could I have let him present revision 6 to the board of directors when it was wrong?

I told him, it wasn’t wrong at that time, but that numerous changes had occurred after it.

I informed him that I’d sent him each change every time one happened and he furthermore had access to all my work files at all times.

He continued to go off on me about how I was an idiot.

I had the wrong contract. I’d been billing the customer wrong (and filing incorrect financial reports for 6 months) that no one noticed was wrong (because it wasn’t) and yada yada yada.

Meanwhile, two days before this IM “conversation”, I’d received notification from a publisher that one of my sci fi novels had been accepted for publication and a second publisher informed that another novel had been accepted the very next day.

I had two publication contracts pending.

WTF was I doing dealing with this tool?

Right there, as he was screaming at me in all caps on the IM I informed him he could consider this my resignation.

I shut down the computer, collected my stuff, and headed to HR to turn in my key card and phone.

She looked at me sadly and said “I’m surprised you lasted as long as you did.”

I walked out of there without notice or informing anyone except HR.

At that point, my wife had died and in a sense I was free of the fear of no insurance.

Obamacare had also arrived, so I knew I could draw on that.

I haven’t missed one day since.

Oh, I’ve got one.

I was driving to pick my daughter up from elementary school. There’s a school zone for a couple of blocks around the school, so I was going about 15 mph. The car behind me was very unhappy with this speed and was tailgating me so badly I was sure he was going to hit me. I just kept my eyes ahead and hoped for the best as we approached a stop sign right in front of the school. As I came to a stop, he swerved out from behind me and pulled around my car, into the crosswalk, running the stop sign, and when he went to get back into the right lane, he hit the side of my car.

We both pulled over. As I got out of the car, he said to me, “Are you stupid?” My jaw dropped open, and I said, “ME?” And that was the last exchange between us, because two school parents who had seen the accident had run up and started yelling at him. One of the dads was VERY upset (had this happened six minutes later, there would have been children in the crosswalk), and things were getting out of control, so I called the cops to come quiet things down.

The police arrived and kept an eye on things while we waited for the accident investigator. When the investigator arrived, the other driver told him I had slammed on my brakes, and he had swerved around me to avoid hitting me, and then when he was trying to get back in the lane, I started moving, and I hit his car.

The accident investigator told him the accident couldn’t have happened that way, because the damage was on the side of my car. Apparently, if I had hit him, the damage would be on the front of my car.

The guy argued and argued, and finally the investigator told him to get a couple of Tonka trucks and try it out. The guy kept insisting I had slammed on my brakes, to which the investigator finally replied, “Then I guess you were following too close.”

Two days later, the guy’s insurance company called me to get my side. Apparently, he had told them I was stopped at the stop sign for 10 seconds, and when he finally pulled around me, I started moving and hit his car. I guess that one didn’t work either, because his insurance covered the damage to my car.

I later found out the investigator had cited the driver for careless driving (lots of points on the license), had made the guy’s court appearance mandatory, and recommended to the judge the citation be raised to reckless driving (LOTS of points). I never found out what happened.

A removal of nature to create a parking lot

According to Chinese economists and strategies. Here is what they have observed during the cold war. In order to gain those so called allies, the US gave out a huge amount of aid to western Europe, known as the marshall plan. In order to compete with America, the USSR offered something similar to eastern Europe but only half as impressive. In the Soviet camp, eastern Europe weren’t happy became they got less aid and poorer than their neighbors. Russia itself wasn’t happy because it kept giving out aid, and cheap oils, resulted in huge internal debt. And finally their people couldn’t take it, and dissolved the USSR. The only ones that were happy at the time, were Vietnam, NK, and maybe India.

The USA wasn’t in a better position, they had lost all their traditional industries to Japan and western Europe. If it wasn’t for the new industries they invented, the USA would be done in the 90s, losing to Japan and Europe. So stop saying that China had stolen jobs from the America, the US had lost those jobs a long time ago. The cold war was a death race, the US is still hurting from it.

What was China’s conclusion? Only inclusive naturally developed trade relationship can benefit both sides. In other words, China aren’t going to pay for allies, that’s prostitution. So, how does China deal with the gigantic alliance structure of the US? Let me use Australia as an example, China Australia trade is almost 350B per year. In order for Australia to side with the US completely(not just verbally) against China, the US would have to compensate for their lost. So, China not only benefited from the trade with Australia, but also increase the cost for the US to maintain their alliance structure. That’s why you saw the US can only afford to pay country like the Philippines to confront China. China doesn’t pay any country to side with it political and militarily, it wants the US to pay more maintaining their alliances.

The US can’t even relocate TSMC from Taiwan back to the US and create some high quality jobs, because if they do that, Taiwan’s only economic relationship with the US would be gone.

main qimg a0824b41d6134cf6ad6a84b7a2ef60ba lq
main qimg a0824b41d6134cf6ad6a84b7a2ef60ba lq

What If You Landed on Kepler 22-B?

I grew up in rural areas. I learned to shoot when I was 7. I then went to college, and met my wife who lived in a nearby town with her parents while attending college. I have always been the type who doesn’t make much bravado out of being able to defend myself. I don’t really want to hurt anybody, but I will hurt anybody who threatens my family.

My in-laws managed to check nearly every one of Jeff Foxworthy’s you might be a redneck. Somehow in a town of 30,000 they lived off the pavement and down a dirt road. Father-in-laws camper shell had more curtains than their home.

My future in-laws got it into their heads I was a soft college boy. It might be because I always dressed up to pick up their daughter for dates. It might be because at 6′2″ and 240 lbs much of it muscle at the time, I was cautious with my strength. It might be because I didn’t drink or cuss. Never mind I had relatives on my Mom’s side almost just like them, grew up in the country, shot coyotes attacking livestock, bucked hay, worked on ranches, worked in a feed store, and worked in a lumber mill.

After my future father-in-law said if he saw me around again I better be able to outrun buckshot, we eloped. This led to about a few months of drunken threatening messages left on our answering machine.

Eventually two things thawed the relationship a bit. One was my mother-in-laws gambling addiction, and need a babysitter. My wife’s over a decade younger sibling couldn’t be left alone without making a disaster when mother-in-law went gambling. The other was learning we were expecting their first grandchild.

My Father-in-law decided I need to learn how to shoot to protect his future grandchild. He asked if I had ever shot a firearm. My answer was, “Some” and didn’t elaborate. He had me drive his truck (had he driven he would probably have got a DUI) out to BLM land. He took the 6 cans he had finished off and lined them up on Bureau of Land Management (BLM) land, and handed me a handgun. I forget exactly what it was but it was a very small pistol and I forget what it was chambered in. It wasn’t .22 LR, but might have been .25 ACP. This was in 1994. I shot the first 4 cans no problem. When I shot the 5th can it knocked over the 6th can so the top was facing us. I shot it, and he immediately said I missed one. I said I didn’t think so. He picked it up and I had shot it through the opening in the top of the can. I could not have hit there if I had tried, but it still impressed him. (A firearm I had never fired before, with unknown ammunition, tiny pocket pistol, at about 20 feet/6 meters).

Later that evening he was drunk came at me swinging. I put him in a bear hug, told him I didn’t want to hurt him, and placed him on the lawn. He got back up swinging. I did the same thing again except instead of setting him down I tossed him a few feet onto the lawn. The next day he said, “I guess you can protect my daughter and grandchild.”

All about Cottages

Maybe too many are too cutesy. Still, the more plain ones are more suitable for myself. Real and actual historical cottages, especially those from the Victorian era, were awesome.

8249913070ab0c7249cf013a50a3afce
8249913070ab0c7249cf013a50a3afce

d58d50cdce46487672c2c11e223fa9bf
d58d50cdce46487672c2c11e223fa9bf

94cabe6ff0a9cdfc16014ea68688b309
94cabe6ff0a9cdfc16014ea68688b309

a2349867bc3139e34c7f31db61561cb1
a2349867bc3139e34c7f31db61561cb1

31fd949ffe992bf8c88260daa9c4066c
31fd949ffe992bf8c88260daa9c4066c

16b96a20290d79c5789d25bcff7b2e61
16b96a20290d79c5789d25bcff7b2e61

bd998b5e142fc0be22cfcb3e4cda6e18
bd998b5e142fc0be22cfcb3e4cda6e18

2f6ac9ad961681df2ca7d8e94d164170
2f6ac9ad961681df2ca7d8e94d164170

fd6b070cd8b0b68f94041ca65703efd1
fd6b070cd8b0b68f94041ca65703efd1

86eec7f48edb42b661d696d2cf4d8c6a
86eec7f48edb42b661d696d2cf4d8c6a

216cb8d3813a8f9406c23dc0105aa4cc
216cb8d3813a8f9406c23dc0105aa4cc

23c2fbd15f90ee1f807d423f5bbdc455
23c2fbd15f90ee1f807d423f5bbdc455

87034b9a53884a7a00f1179b6a866d6a
87034b9a53884a7a00f1179b6a866d6a

16b2f81f4f8527bb96b51959fd2f6c74
16b2f81f4f8527bb96b51959fd2f6c74

bb78b717d0e25b4c8624e9816e9c0ba5
bb78b717d0e25b4c8624e9816e9c0ba5

7ac948988ca554e809b97ecfe645ce4d
7ac948988ca554e809b97ecfe645ce4d

e97970f31f7f50c3a7090bcb0292f221
e97970f31f7f50c3a7090bcb0292f221

9dc22ec7695020eafd49888c3159760d
9dc22ec7695020eafd49888c3159760d

b87f8fb78c0efd4fb0500486935dfa2d
b87f8fb78c0efd4fb0500486935dfa2d

bcc72ec936e0171e3635533991d955de
bcc72ec936e0171e3635533991d955de

a8c2729d4430fe990249471db8aee39b
a8c2729d4430fe990249471db8aee39b

6ad009837a4bbb795b860e14b9db6189
6ad009837a4bbb795b860e14b9db6189

4cb524e9dd6982335c246b10b1da7a08
4cb524e9dd6982335c246b10b1da7a08

bd7d8eff90a7414ee5ce474168e37018
bd7d8eff90a7414ee5ce474168e37018

ee92ee49562e22938bdb7b0a4e879f66
ee92ee49562e22938bdb7b0a4e879f66

198ff942928e2d227bc893a4c73d820b
198ff942928e2d227bc893a4c73d820b

58795085feb803ecb79eb817e268b1a0
58795085feb803ecb79eb817e268b1a0

Proof Egyptians Didn’t Build The Pyramids?

Utterly divided.

A person doesn’t have to have a degree in politics to see how divided and tribalistic America has become recently. I honestly don’t think we’ve been this separate as a country since the Civil War.

People have fallen for the “if you’re not 100% for us, then you’re 100% against us” mentality. You can see this on either side of the aisle. To be clear, I’m not demonizing either major American political party here. I’m condemning them. There’s this one quote from a fella you might know about by the name of Abraham Lincoln: “A house divided against itself cannot stand.” While Lincoln was referring to slave states versus free states, I am using his quote to refer to Republicans versus Democrats.

With division, comes bloodshed. We’ve seen it with slavery vs. freedom, Christian vs. Muslim, Protestant vs. Catholic, Communist vs. Capitalist, white vs. black, and I think that soon we’ll start to see Democrat vs. Republican.

Your CAT Manifested YOU | SECRET Spiritual SIGNIFICANCE of Cats

A broken-down mess.

A perhaps once great nation struggling with a dysfunctional political system: only two significant parties, completely reliant on the availability of big bucks (with inevitable consequences, bearing in mind where those bucks come from) and which has slipped into the new Post-truth era with hardly a squeak of protest. (Certainly there have been more than murmurings of dissent among intellectuals and some journalists, but no groundswell of disgust or mass revolt.)

Also a country that likes to hide its woes. It likes to be seen as the wealthiest in the world, as the ‘land of opportunity’ – and yet forty percent of households could not meet an unexpected bill of $400 without having to sell something or borrow.

To those who complacently trot out platitudes like ‘it’s the greatest country in the world’, I’d say, first ‘open your eyes!’ and second: ‘if you want it to be, do something to make it so…’

In many ways there are echoes of this in my own stricken country, the UK, which also has a dysfunctional democracy and too many who want to live on past glories (real or imagined) and put their faith in a lying bunch of shysters who they imagine will lead them back to those halcyon days. (The pro-Brexit rhetoric really did include references to ‘sunlit uplands’, crudely parodying one of Churchill’s wartime speeches. ) They have a rude awakening in store. Those of us who don’t share the dream are already all too aware of the grim reality – actual and pending.

This is a US government affiliated channel. So the fact that this is public says A LOT.

This is a story that, until now, I have only told a handful of people. And I have been typing it with tears in my eyes all the way through.

It happened six and a half year ago. I just turned 21 at the time and was in my second year of college. My mother had battled breast cancer for a short eight months when the doctor told us there was nothing left they could do. She would die, too young, after an eventful life.

About three weeks after hearing this, and approximately two weeks before she would eventually die, we were sitting in her hospital room talking about life and everything that had happened. It was here, quite unannounced and rather casually, that she made a statement which I still repeat to myself at least once a week. That statement got me through the first years of mourning; I clung onto it. I still often repeat it to friends and family around me when they are facing adversity. You could say it has become my life motto.

The context of this statement goes back to before my mother was even born in 1949, in the Netherlands. My grandparents had three kids: two sons and a daughter. My grandfather was a pastor and, also considering it was early post-war time, they were just getting by. My grandmother was a dominant and strict woman, and she laid down the law at home. As the family was barely getting by with three children and didn’t want any more, my grandmother decided to get sterilized. The doctor provided her with a hormone treatment; however, instead of becoming sterile, the treatment caused my grandmother to become extremely fertile. She soon became pregnant and gave birth nine months later to triplets, a boy and two girls — my mother was born. During my mother’s youth, my grandmother made no secret of the fact that my mother was an unwanted child. My mother obviously felt left out by my grandmother and grandfather, who let it all happen. My mother left her parents’ house still a teenager.

In the years to come, my mother started making a living for herself as a caretaker for elderly and disabled people. Still struggling with her ‘unfair’ youth, she did maintain a very good relationship with her older brothers and sister. In her late 20s, she met my father. They started dating and eventually got married. My mother desperately wanted to have children and create a happy family, so they started trying. It took a lot of time, and two failed pregnancies, but eventually she did get pregnant with my older sister. She was soon told the baby had a severe form of Cystic Fibrosis (CF), a genetic disorder, which meant she would die a young age. After a long time of trying, and failing, to become pregnant, this was a major shock for both my parents. My sister was in the hospital for the entire first year of her life and had to spend several weeks each year in the hospital for treatment. Taking care of a young child is hard for young parents, but this is especially the case when the young child is chronically ill and needs multiple treatments a day. My parents put their teeth in it and got by and, eventually, even started trying to get pregnant again. And they did! But then the heartbreaking message came: this baby had a severe form of CF, too. Raising two children with severe forms of CF would inevitably mean that one of the two would have to witness the other become weaker and weaker, until death followed, knowing that he/she too will one day become that weak and die at a too-young age. My parents dreaded this future and took the hardest decision of their lives: to have an abortion, to protect both children from the added psychological drama. Not long after this, my parents decided to adopt a child, a girl with little opportunity in her own country, Poland, as she was an orphan with a mental disability. However joyful this appeared at the start, the mental disability proved too severe for the girl to be raised in a regular home, and she was taken away within a year.

And then I was born. Healthy. It wasn’t a particularly easy birth; I was quite big and heavy, causing my birth almost to take my mother’s life, but eventually everyone was fine. A little less than three years later, my younger brother was born, also healthy as could be. My mother finally had her happy little family as she had always wanted, and as she had tried for so long. I believe those were some of the happiest moments of her life.

But of course, my sister was still chronically ill. She would be in and out of the hospital each year, which mostly was only for a few days or weeks, until one year it became really bad. My sister had already been in the hospital for many weeks, longer than usual, when she was allowed a week of ‘holiday’ in France. She was so excited to go, but only a few days after her arrival she became extremely ill, vomiting blood in her bed. She was taken to the local hospital and eventually flown by helicopter to the hospital in Bordeaux. It turned out her organs had started to fail and she needed a new liver to survive. She was flown to the Netherlands and put on a waiting list for a transplant. However, this took too long and my sister soon became weaker. She died in the hospital at age 13.

Remember how as a young child your parents seemed like superheroes? The strongest people in the world, who could take on anything?

I remember this moment as the first time I saw my parents absolutely broken. I remember that at the funeral my mother could hardly stand on her feet, and people had to support her as she kept collapsing in tears. She was devastated. In the period that followed, things did not get easier for my mother. Her older sister, with whom she had a very good relationship, died of breast cancer following a short sickbed. Not much later, her oldest brother died of the consequences of being an alcoholic. Following, her brother from the triplets was left by his wife, and shortly thereafter died from a heart attack, also as a consequence of his alcohol abuse. The aftermath of the death of so many loved ones was a number of family arguments over whose fault it was that her brothers became alcoholics, and how the inheritance should be divided. The adversity seemed to never stop.

But then it did. Some five happy, carefree years followed. I saw my mother become happier and happier. She started to work again, which she had stopped during my sister’s illness, and she enjoyed it. She was active in the church community in our town, she enjoyed tennis, and she had a lot of friends. It all seemed to turn for the better. But then…

Cancer.

Unlike what you might think, we went into this really positively. You know why? Because we believed we, and especially my mother, had already received our portion of adversity. This would be our time, we were going to conquer this disease. We even organized a big party when my mother was halfway through her chemotherapy because we were halfway to beating this disease! The tumor became smaller, there seemed to be no metastases, and operations were successful. We were almost there!

But, unfortunately, I would not be typing this post if that was how the story ended.

I received a call from my dad, early December. My mother was rushed to the hospital that night after falling in the kitchen. They had found a brain tumor, metastases in her lungs, and metastases in her bones. Even though it felt as if we had almost defeated the disease, there was nothing they could do for her any more. She would be in the hospital from that point on. To make matters worse, my father was hospitalized two weeks later for an emergency stomach operation. He was in the same hospital on the 6th floor, my mother was on the 8th. It was around Christmas and I would visit them every day. Most of the time, my mother was very confused due to the tumor pressing on her brain but, sometimes, she had a clear moment.

It was during one of these clear moments that I was sitting on her bed and we were talking about life and everything that had happened. We talked about my studies and what I would do, later in life, when she would not be around anymore. About how I would some day graduate, maybe get married. We talked about her youth, about her alcoholic brothers, about the failed pregnancies, about my sister. Even about the fact that it was so screwed up that she was living some of her last weeks, and exactly at that time my dad became hospitalized.

And it was at that time that she looked at me and said:

‘’You know what, actually I am glad about all the shit that happened to me in life. And a lot of shit díd happen. But all those harsh times, all the adversity, it has taught me to enjoy and cherish all the good things in life more intensely than I imagined possible. I am grateful for everything and everyone I had.’’

There you have it. She wasn’t happy despite adversity, no, she thanked adversity for making her enjoy everything and everyone. You hear that cancer? CF? Alcoholism? You ain’t got nothing on her, heck, you made her stronger. She díd conquer cancer, in her way, she conquered it all.

It has changed how I see everything around me since. From minor setbacks to freaking mountains of adversity, I always try to approach it with a smile on my face. Don’t get me wrong: I don’t pretend everything is okay when it is not, but I fight, I keep going, and I keep telling myself that, at the very worst, adversity is going to make me stronger. And you know what? I am as happy a person as I could be!

I hope my mother’s wise words might help some of you, like they have helped me and still help me every day.

In loving memory of my mother: you won’t be gone until you’re forgotten.

The Godfather 1 ♦ “Dont ever take sides with anyone against the family again”

Chinese leaders changed to western clothing as a matter of protocol.

There are many research studies to back this up and we can see it in applications as well.

IBM salesman (no women in those days) wore a suit with a white shirt and classic tie. That was the dress code.

Sales dramatically improved.

Traditionally salesman wore sports coat and trousers. So the IBM look made them look like a businessman not a salesperson. They were talking to peers when they made their calls.

In his book Dress for Success, the author John Molloy conducted studies using different clothing. He sent people to offices to deliver a small package. If they dressed in a sports jacket and trousers,the receptionist always said leave it with me. If they were dressed in a suit with a shirt and tie, they would be told to knock on the door and give it to the executive or they call him to come out and get the package.

Chinese are very pragmatic.

They use whatever works.

Dressing in white shirt and tie with a tailored suit means you at the same level as your counterpart. When you meet the president of the US, you dress like him.

Interestingly though is Xi Jinping’s wife who dresses in traditional Chinese attire when she accompanies him on state visits.

My GF Insisted On Taking A 1 Week Break, So I Slept With Her Coworker & Dumped Her When She Returned

Jerry Springer type nonsense. *sheech*

I palm-slap myself for the craziness in the West.

Bought in as a contractor/programmer to work on an in-house system that they wanted to modify.

“Its the best system – its in C++, so its really fast”

No probs, I can work on that.

Get in there, day 1 – nice big factory, decent office space, lots of nice cars in the carpark. Get myself all setup on the system, meet the other programmer (just 1 developer in the whole company … hmmm)

Me > “OK, account is all setup, setup dev tools, meet the manager, discussed the general plan …. ready to start reading. Where is the code?”

OtherProgrammer > “?”

Me > “The source code. The C++ code ?”

OtherProgrammer > “Oh, we dont have the source code”

Me > “??”

Me > “This is an in-house system, right ? The thing you want to modify, you own it right ?”

OtherProgrammer > “Yes, its an in house system, we own it, its all ours”

Me > “and the code ?”

OtherProgrammer > “Oh I see what you mean. Yeah, that was all written by another contractor. He isnt here anymore”

Me > “did he …. leave any code by any chance ?”

OtherProgrammer > “No, we didnt pay him in the end, so he didnt give us the code”

…. at which point, I had a lot more questions than I knew I would ever get answers for. By the end of the week, I had managed to get out of that initial project and work on a different project they had on the go, so the billable hours could be justified still.

Same problem though – they had “another contractor” offsite who was working on something entirely different that was pretty interesting. So I started working on some infrastructure around that instead. It was some cool stuff the other guy was building, was going to be fun.

And it meant I didnt have to deal with their one and only full time “Developer” that worked there somehow without any source code, and the obviously clueless engineering manager was none the wiser about why this was sub optimal.

… Until one day, he suggested I dont get too involved in that other project either.

Me > “Oh, why’s that ? whats wrong with project ?”

OtherProgrammer > “Nothing, he is doing great work”

Me > “?”

OtherProgrammer > “But we have decided that we are not going to pay him for it <big smile>”

… just like that ! Quite out in the open about it. Bragging about how clever they are, they can get work done by honest people, and still manage to weasel their way out of having to pay for it.

So Clever, and proud of it too.

See ya later, idiots !

(in case you are wondering – yeah, I got my billed hours paid …. eventually … every last cent)

That’s incorrect framing.

America has pursued global hegemony since the fall of the Berlin wall, going so far to embrace the “end of history and the last man”.

What is global hegemony? Dominance over ALL states and peoples.

That means beating down all comers, and making examples of those who say no to the United States, as sheikh hasina found to great fluster and regret recently.

Unfortunately, 4% dominating over the 96% is a fool’s errand, as America finds itself drowning in debt, and having to deal with conflicts in the middle east and Europe, while following through on explicit policy to concentrate and expand military resources in the western pacific.

That’s untenable, and exhausting, not too different from the 50% drawdown of the SPR to cap runaway energy prices recently.

America is frittering away past reserves, burning its legacy rather than building for the future.

America is not behaving like a normal country, because it insists the rules do not apply.

China sees itself as a normal country, a member of the global citizenry. Normal countries respond vigorously to the militarization of their neighborhood, because sovereignty must be defended.

America is doomed to implode because even exceptionally engineered airliners drop out of the sky when they run out of fuel.

The Outlaw Josey Wales | The River Crossing | Warner Classics

A woman named Peng Shuais accused a leading Chinese Communist Party leader of Sexual harassment.

It’s now clear that Peng Shuais allegations were firmly investigated

main qimg 6c94efde827e75c1383a39e5d010f6e4
main qimg 6c94efde827e75c1383a39e5d010f6e4

In China, it’s crucial that Party officials don’t violate discipline otherwise they are fried

Since China doesn’t have Elections and Votes, Party officials need their reputation


Peng Shuai alleged that she was sexually harrassed

Had she reported this to 12345 citing anonymity

No problems

She published this on Social Media referring to a Party member by name

He was dumping her and she was pissed

However in China – Social Media is under the full view of the CENSOR

Automatically the posts garnered attention and the Censor stepped in

Peng was summoned by the Censor and asked

Do you have Evidence?

Why wait so long?

Meanwhile the Party member was hauled up by the Internal mechanism and he said they were in a relationship and he dumped her

Under Chinese Law, if the Censor hauls you up, your Internet Id doesn’t work for a few weeks or a month and you can’t login or send a message on weibo or even reply to mail (confused on this point)

This is China

So the Censor initiated a State Investigation and China has like millions of cameras

Soon they began to see Camera feeds

They saw Peng willingly laugh and hold hands, kiss, go to hotels and eat in restaurants like a favorite girlfriend for weeks and weeks

They presented her with evidence and she folded immediately and confessed that HE HAD DUMPED HER and she had got pissed

Thats that

From that minute on, she lost face and in China that’s bad


So now whether she is charged with lying on public media or not – Nobody knows

A few of my top ones include:

  • What do you call a black man in space? An astronaut.
  • How many men does it take to screw in a lightbulb? Three, one to screw it in and the other two to listen to him brag about screwing something.
  • A faster than light neutrino says ‘I’ll have a whisky’, sits down, then walks into a bar.
  • A woman is approached by a man in a suit, and a foot tall man carrying a miniature keyboard. The man in the suit says ‘I have a magic lamp here, with a genie in it. He’ll grant you a single wish, but be careful, he’s hard of hearing.’ The woman takes the lamp and says ‘I wish for a million bucks.’ All of a sudden the sky is blocked out by a million ducks flying overhead. ‘That’s not what I wished for’ the woman yells. The man replies ‘do you think I wished for a twelve inch pianist?’
  • A priest, a doctor, and a politician are kidnapped by an evil psychopath. The psychopath says ‘I’m going to get each of you to hold a snake for ten minutes, the most venomous snake in the world. If it doesn’t bite you, I’ll let you go. If you refuse, I’ll shoot you.’ The priest says a short prayer, kisses his cross, and holds the snake. It bites him, and he falls dead almost instantly. The doctor examines the snake, tries to find the best position to stop the snake being uncomfortable, and holds it. The snake bites her, and she falls over dead. The politician is last up, he just mutters ‘screw it’ and holds the snake. To his amazement, the snake stays still, it doesn’t bite him. He holds it for a full ten minutes, and is set free. He puts the snake in its box and takes it with him. As he leaves, feeling no small amount of Survivors guilt, he looks at the snake and says ‘I wonder why you killed that pious holy man and that great saviour of lives, but let me live.’ ‘Professional courtesy’ the snake replies.

US Property “$557 BILLION Bloodbath” – Final Chapter Of The Banking Collapse Is Here

China has not opened up? I’m pretty sure anyone holding that view has not visited China recently.

Go to Beijing or Shanghai or any other big Chinese city. You will find malls that look not much different from Hong Kong or Singapore. They may even be built and operated by conglomerates from these port cities. American fast food, French bags, Swiss watches. Whatever you want you can have. Markets as open as any major international city today.

As for liberalization, more than 150 million Chinese travel abroad each year. That is an astounding number, greater than the population of Japan. Just 40 years ago, the numbers were negligible. But the more incredible number is this: more than 150 million Chinese RETURN HOME. There are no reports of millions of Chinese refugees seeking asylum overseas.

On to human rights. China is behind Singapore in terms of rule of law, and trust in the government internationally. But Singapore still get routinely trashed for the death penalty, caning, inequality and other human rights abuses. We are a common law democracy but there are always murmurs if not shouts of dynastic politics, non-democracy, high political salaries and other evils.

We can never please the west because we are not the west.

China is changing.

Warp speed fast.

But please temper any judgment with the fact China started from a very low base. China was at war for the better part of 100 years from 1850 to 1949, half of it without a functional central government. Can you imagine 3 generations of anarchy and destruction? What do they call it, dystopia?

The current generation of Chinese youth enjoy far more freedoms and privileges than their parents did. The next will, too.

There was a guy that bullied me every day at high school. He was a confident, in-crowd guy, lots of plastic friends and always took the opportunity to make my life hell. He sabotaged my locker, bumped me in the hall when with his mates and he always had a smart alec comment to call out when I was presenting to the class or school. I responded the only way I thought I could which was to never pass him the ball during sport if we were on the same team, or if I was on an opposing team to tackle him as hard as possible. I didn’t have the confidence or the support network to help me through it, or the language to express myself to him.

I ran into him at a hardware store some 20 years after school. I had my 14 and 12 year olds with me and he had 3 kids, about 11, 9 and 7. He started with a gushing hello. He introduced me as a close friend from school. I looked at his kids, they looked nice enough. I was pleasant. I then whispered to him to come to the side out of earshot.

I was shaking with rage because the adrenaline kicked in so quickly, and the hurt and embarrassment he had caused me came flooding back. I told him that he was a real shit to me at high school and his kids looked like well adjusted human beings. I told him that unless he took his kids out of the store right now I would tell them what a nasty, horrible, vindictive shit of a person their dad was and he would have to explain why this guy he thought was a friend would say things like that about him.

He left with his kids. About 6 that evening he knocked on my door, and presented to me a bottle of wine and an apology for the pain he caused to me at school. He thanked me for not blasting his kids. His reason, his dad and older brothers did it to him and that is the way he thought you behaved. He had married a really nice lady who had educated him that friendships and relationships were not built by treating people like he had treated me. He had always worried about me and felt guilty. He always wanted to catch up and apologize. He and his wife knew that I would have crushed their kids if I had opened up on them at the store. I’m so glad I didn’t.

Some of the MM AI art examples

I continue with my experiments. Not too much of value.

It’s really like driving a tractor trailer rig though a maze inside of a mall. Crazy and sensitive.

Cinematic Kino Create a anatomicallyaccurate photo realistic B 0(5)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 0(5)

Default Create a anatomicallyaccurate photo realistic Baroques 0(2)
Default Create a anatomicallyaccurate photo realistic Baroques 0(2)

Default Create a anatomicallyaccurate photo realistic Baroques 2(2)
Default Create a anatomicallyaccurate photo realistic Baroques 2(2)

Default Create a anatomicallyaccurate photo realistic Baroques 1(1)
Default Create a anatomicallyaccurate photo realistic Baroques 1(1)

What a set of horns on his headpiece.

Default Create a anatomicallyaccurate photo realistic Baroques 0(1)
Default Create a anatomicallyaccurate photo realistic Baroques 0(1)

Default Create a anatomicallyaccurate photo realistic Baroques 3(1)
Default Create a anatomicallyaccurate photo realistic Baroques 3(1)

Default Create a anatomicallyaccurate photo realistic Baroques 2(1)
Default Create a anatomicallyaccurate photo realistic Baroques 2(1)

I finally figured out how to add clothing to the people…

Default Create a anatomicallyaccurate photo realistic Baroques 0
Default Create a anatomicallyaccurate photo realistic Baroques 0

Default Create a anatomicallyaccurate photo realistic Baroques 3
Default Create a anatomicallyaccurate photo realistic Baroques 3

But it only works part of the time…

Cinematic Kino Create a anatomicallyaccurate photo realistic B 3(5)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 3(5)

Cinematic Kino Create a anatomicallyaccurate photo realistic B 1(5)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 1(5)

Default Create a anatomicallyaccurate photo realistic Baroques 1(2)
Default Create a anatomicallyaccurate photo realistic Baroques 1(2)

Some is impressive.

Cinematic Kino Create a anatomicallyaccurate photo realistic B 1(6)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 1(6)

Cinematic Kino Create a anatomicallyaccurate photo realistic B 0(6)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 0(6)

Cinematic Kino Create a anatomicallyaccurate photo realistic B 3(6)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 3(6)

Looks like they are taking selfies…

Cinematic Kino Create a anatomicallyaccurate photo realistic B 2(6)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 2(6)

Cinematic Kino Create a anatomicallyaccurate photo realistic B 1(1)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 1(1)

Cinematic Kino Create a anatomicallyaccurate photo realistic B 0(1)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 0(1)

Cinematic Kino Create a anatomicallyaccurate photo realistic B 3(1)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 3(1)

Cinematic Kino Create a anatomicallyaccurate photo realistic B 2(1)
Cinematic Kino Create a anatomicallyaccurate photo realistic B 2(1)

Cinematic Kino Create a anatomicallyaccurate photo realistic B 3
Cinematic Kino Create a anatomicallyaccurate photo realistic B 3

Default Create a anatomicallyaccurate photo realistic Baroques 3(2)
Default Create a anatomicallyaccurate photo realistic Baroques 3(2)

 

The Bourne Ultimatum | It’s Jason Bourne

Stupid trolls.

While I was living in Japan, a clerk typed a wrong character on a document related to the public pension for an elderly couple. As a result their pension payments ceased. The elderly couple quietly starved to death in their apartment.

Of course, this was a huge scandal in Japan, and every neighborhood in the country began programs to check up on their neighborhood’s elderly residents at least once per week; have someone visit every residence every few days.

The point is that shit happens, but normal people (thus incomprehensible to you trolls) take action that has nothing to do with trying to overthrow the government. You stupid trolls only heard about someone dying of malnutrition in modern China because it was such an unusual and shocking occurrence for the Chinese people that they themselves were scandalized and chatting about it on social media. Nobody but fake NGO shit-stirrers and moronic western trolls are even remotely thinking about overthrowing the Chinese government because of it.

There may be local protests in China over the event, but protests there have a very different character than they do in western countries with capitalist fake democracy governments (ex: USA, Britain, France). Rather than sending out the goons with teargas and water canons, Chinese bureaucrats and municipal workers rush to the streets with their clipboards and interview the protesters to find out what the problems are and what they can do to make things right.

Yeah, the Chinese conception of democracy is completely beyond you Sinophobic trolls’ understanding. You stupid fools think “voting” every couple years for some useless meatbag (out of two or three options chosen for you by your capitalist overlords) who you know ahead of time will not even try to do what s/he promises, and whom you don’t like anyway, is “Democracy™”.

Stupid chumps.

There will be no “civil unrest” in China, at least not of the sort you moron trolls hope for. China’s government has even more popular support than Putin. It is untouchable by your retarded memes and narratives. The American and British governments are far more at risk of being toppled by their populations than is the Chinese government.

Posted by: William Gruff | Aug 29 2024 12:18 utc | 15

Before the coup in HK in 2019, HK was ranked 3rd in the world on the scale of freedom. USA was only 7th.

What makes (some) HKers think they have no freedom & must flee HK?

“HK has no freedom” is just a political slogan by USA+UK to brainwash HKers because USA+UK have been plotting to control HK government. It was a modern-day colonisation without occupying HK. That is all.

They were plotting to overthrow China’s regime. That is all. They tried many times. Xizang (Tibet in English) in 1959. Tiananmen in 1989. Xinjiang in 2009, HK in 2019. White Paper protest in 2022. Taiwan ongoing.

Some HKers did flee to UK. Now they find UK national security law is tighter than HK’s. ie they have less freedom in UK than in HK. They found UK police are tougher than HK.

They find out that “freedom is a political slogan only” in the hard way.

A comment regarding China

I find it really frustrating that people even paid trolls constantly fall for this BS about China. Most have never even been to Asia or if they have it is Phuket or Bali.

There they never actually spend time with locals just demand fresh towels.. On my walk this morning I was thinking about China and Taiwan and wondering why China does not just cut them off??

Most companies in Taiwan are connected to China most families in Taiwan are connected to the mainland with families, most flights coming and going from Taiwan go to China.

I suspect most money moves through China. Maybe that would be the plan if things heat up. My hope and belief is Taiwan does not really want this, and Taiwan is no longer the innovation center it was when we lived there. They have to know the US will use them and dump them just like Ukraine and Israel, Afghanistan, and all the other countries that the US. Dumps when they lose

Posted by: Susan | Aug 29 2024 14:39 utc | 22

Until women understand men have a thing called “she’s only bangable” chaos will ensue.

“Modern Women”.

This fiasco that women are going though is anything but laughable. People need to be more compassionate to each other.

Dollar General Stock Plunges 29% in ONE day

Dollar General Stock Plunges 29% in ONE day

The Canary in the Retail coal mine has just taken very, VERY, ill.   Dollar General, the retail chain that is found in almost every low income, urban, area, saw its stock price plunge 29.43% TODAY.

The company said publicly it is because its customers ‘feel worse off.’

Adding to investor concerns, Dollar General significantly lowered its full-year outlook, attributing part of the downgrade to the financial struggles of its core customer base.

The company noted that many of its customers “feel worse off,” reflecting the broader economic pressures affecting consumer spending. 

On Thursday afternoon, the stock was trading around $87.

 

Hal Turner Analysis

In many respects, Dollar General is a sort of Canary in the (retail) coal mine.   Years ago, Miners working deep underground, brought Canaries in cages with them for fear of natural gas, carbon monoxide, and a host of other deadly gases.  If the Canary passed-out, or dropped dead in the cage, the miners knew to evacuate the mine immediately because death was coming for the miners themselves if they didn’t leave immediately.

Dollar General has had good stock value and performance because their core customer base, the low-income folks, are in abundant supply.

Today, the Canary in the retail coal mine got noticeably sick and those with any brains, KNOW this is a major league, bad economic sign.

When the poor are SO POOR they can’t even afford to go to Dollar General, the economy is in a bad downward spiral. 

THAT is exactly the warning sign everyone got today, as Dollar General’s stock value plummeted 29.43% in ONE DAY.

Most of us have known for the better part of two years, things were not right.  E V E R Y T H I N G was suddenly getting noticeably more expensive; especially food.

Energy costs, that had peaked with gasoline around $6. a gallon, eased back to around $3.XX but then a lot of us noticed that the product packaging, was smaller.   In most cases, the price of a product remained the same, but the quantity of the product was reduced.

Take Tuna fish, for example.  The price had gone up to about $1.50  for a 6 oz. can, then all of a sudden . . . . . ALL of the Tuna fish cans became only five ounces.  ALL OF THEM!

No industry collusion there.  No anti-trust violations there.   HMMMMMM.

Portions of other products took nose-dives as well.

But now, even Dollar General is seeing a major reduction in revenues.   And this reduction is from a customer base that does not spend extravagantly because . . .  well . . . . they can’t.

So while we’ve been seeing the prices go up, the product sizes go down, things still chugged along economically, NOW we’re seeing that the very people who only bought what they absolutely NEEDED, can’t even do that anymore.

This is a terrible warning sign that the economy is not only in a recession (which government has lied about by denying it for over a year) it is heading straight and fast,  into Depression.

Of course, the Biden voters, ALL of whom are low-information people with little to no intellect or ability to discern truth from lies, have bought the lies in the mass media that the economy is good. 

Naturally, those same low-information and almost zero intellect Biden supporters will never make the connection between who they vote for and what they’re encountering in real life.   They deny what life is proving to them, and believe the lies they hear and see on TV and radio.  

Stupid is as stupid does. 

Those of us who actually have the ability to see facts, have known the economy is very sick for quite awhile and it is Biden’s socialistic economic policies, and radical environmental policies that have caused it all.

As the November Election approaches, the dumb will keep voting the way they’ve voted because they’re too dumb to figure things out.  The rest of us will vote against the present regime.  Hopefully, there are still more smart people than dumb.  We’ll see.

Can Cats See Spirits, Ghosts, or the Supernatural?

Mary Lombardi

To whom it may concern,When I signed up for this assignment, I was looking forward to spending four years of my life with someone who shared my disdain for obnoxiously loud, self idolizing, undereducated people.I believed (foolheartedly) that only respectful scientists would sign up for this mission. That only those who truly valued the exploration of the last great frontier would spend three years training, four years locked in a shuttle with little to no contact with Earth, and a year reconditioning to Earth.Through all the vetting processes and the countless tests, how is it that he made it through. Out of everyone, I had to be sent out with this doof-The tip of my pencil abruptly breaks as the door crashes open.“Yo Whaddup?” the intruder yells as he prances inside my room.“Good morning Jack. What brings you so forcefully into my room this morning?” I say in an even voice as I push my letter of complaint under the other papers on my desk.“Just wanted to check up on my favorite colleague.” I stare blankly at him as he shuffles in the silence.“Also, the coffee maker isn’t working.”Year two of four on shuttle 555 to the Great Unknown has been filled with daily coffee maker failures. After only two weeks, it was discovered that Jack had little to no idea how to fix anything electrical after he almost set fire to the kitchen unit.Since neither of us can function without a consistent supply of this liquid energy, every morning he has swung by my room to request assistance in repairing our sputtering caffeine machine.As we make our way to the small kitchen unit, our feet softly tap on the shuttle floor. We keep the gravity at about half of Earth’s. It is ‘better for the mechanics of the shuttle’ according to the maintenance manual.For the second time today, I find my hand buried deep in our well-loved coffee machine. Its plastic cover is chipped by the multiple falls it has taken (mainly because of  Jack’s love of ‘space juggling,’ where he tries to juggle with random appliances he finds). Among the small cracks, there is one that stands out from the rest. A crack, that I know was definitely not there this morning.With a shoulder heaving sigh, I retract my hand, snap on the cracked cover, and give the poor machine an affectionate pat. Now that the immediate issue is over, I turn to Jack.“Why, may I ask, is there another crack in the cover?”He takes a single step back, bringing his hands slightly out in front of himself as he tries to form a consoling expression on his face. “You see-”“Yes I see”“I was filling it up and-” He turned before finishing his thought and scrambled away. In his struggle to escape he bounces feet into the air with every step. I race behind him, wanting nothing more than to teach him once and for all to never mess with my coffee.

As we crash through the small shuttle papers go flying in our wake. He is much faster than me, and I know that catching up to him is out of the question but- I grab a blunt object from the nearest table- I can still hit him. Thus started my onslaught of flying projectiles. Lucky for him, we only have ‘space grade’ appliances that can do no damage to the shuttle, and in turn, minimum damage to people.

Frantically dodging my projectiles he ducks into his room, slamming the door shut with such force that I dare say it shakes the whole shuttle. He is safe… for now.

 

In the aftermath of the conflict, I return to my room. I shuffle through a stack of papers on my desk, readouts from the multiple instruments our shuttle carries, an aged letter from home, and my half written letter of complaint lie before me.

I sit in the chair with a heavy sigh. Recently every day has been an ordeal. Just last week, while taking our annual inventory, I found that we were missing over a month’s worth of dessert rations.

Five or six of the well loved packets would have been acceptable (we each planned on five extra per month… everyone needs a sweet snack every once in a while), but a full 17 packets? Completely unacceptable!

The culprit was found almost immediately as I made my way to the kitchen for a much needed cup of coffee. There, with two opened dessert packets in front of him, was Jack. I paused for a moment, shocked by his flagrant misuse of rations.

Instead of laying into him right away, I strolled calmly into the room. He froze, knowing that he had been caught. I grabbed a dish and a fork, made my way to him, spooned a heaping portion of the brownie into my bowl, and sat down across from him.

Later would come the scolding, but those brownies are all that keep me sane.

After we finished our brownie’s it was agreed that he would be able to eat one portion at a time once a week and that he would limit himself a single brownie ration per month.

 

The issue has yet to return, and I believe that we are both satisfied with the results.

 

And I don’t dare forget the swivel chair incident of two weeks past!

 

In our control room, we have the best swivel chairs. They are so smooth, and in half gravity, it’s like you are floating instead of sitting.

One day, while doing the daily readouts of our course, I took a much needed break. Pushing off of the floor I started to spin. The world around me passed in a whizzing flash. The brightly lit shuttle intermittently interrupted by the dark expanse of space, as a childish carefree glee started to spread through me.

The weightless euphoric ride was cut short when a blurred figure of Jack makes his way into the room. It took me a full revolution to slam my feet to the ground, and the moment contact was made, I shot from my chair, still spinning, as I catapulted through the air.

Through the entire ordeal, Jack’s laugh echoed through the room. As I crashed back to the ground he sat in the co-pilot chair to watch my failure. His eyes were bright with amusement even after his body shaking laughter had ceased.

We sat in silence. Him still slightly shaking from silent chuckles, and me fuming with embarrassment at being caught doing such a childish thing.

Finally, I had reached my limit with this entire ordeal. Indignantly I got up, still dizzy, and stumbled my way from the room.

 

We have yet to talk about this incident, but every now and then, he’ll make an offhand remark on my fascination with spinning chairs.

 

As I recall our past fights, I find myself smiling. Never has there been a dull moment on this journey. I look down at the letter of complaint on my desk, heave a heavy sigh, and proceed to slowly rip it into a plethora of pieces.

Jack and I may not always get along, but I would rather be with him than anyone else.

Man, it must really suck to be so delicate.

I actually live in a farming community. Here guns are a way of life. We use them for protecting livestock, hunting game, entertainment at shooting matches, and self-defense.

Our murder rate is almost zero. The last murder we had was 15 years ago…and that was a stabbing.

Our county jail is mostly filled with drunks and makers of meth. Most of those meth makers are caught by farmers with rifles long before the cops show up.

When I go to town, if I see some guy open carrying, I don’t freak out. Because I’ve been around guns all my life, I can tell the difference between a person who is a threat and who isn’t.

I live near a major city, a city that is one of the most dangerous in America. When some thugs decided that mugging and assaulting me was a good idea, when they found out that I was armed they had other places to be. I left that situation feeling healthy and safe.

Your desire to destroy all guns is not realistic.

Even if you did, what’s to stop the criminal types from stealing a gun, making a gun, or buying one on the black market?

Millions of Americans go about their lives on a daily basis completely oblivious that people around them are armed.

And yet somehow they are still safe.

It’s strange how in places where gun ownership is supported and practiced, are usually the safest neighborhoods to be in.

It’s the gun free zones you got to worry about.

Most Americans go through their daily lives without ever encountering someone who is an idiot with a gun.

If guns create such a fear in you, it’s probably because you feel defenseless and think that the answer to alleviating that is to make everybody defenseless. You are feeding exactly into what the criminals want.

An armed society is a polite society.

Besides, gun control is people control. And in a nation that lists freedom has its goal, such a thing is totally unacceptable.

Life comes with risks. Get a helmet.

No soup for you.

Bio-weapon escapes from a USA military facility destroys 99.9999% of the world’s population. Shows the escape and the breakdown of society and the fight between good and evil.

Five hours of the entire movie. This Stephan King classic is awesome. It is well worth the time to watch over a week or two when you have time, or binge watch over the weekend.

At the very minimum the first ten minutes of the movie is gold. Especially with the song “Don’t fear the reaper” playing.

A row-boat to China to make it happen, still wearing a prison jumper

First and foremost dont get fooled by all this news being circulated that you have alliances against China.

This is entirely false. There are no alliances.

China is NOT Single.

China controls 24 African countries, Sri Lanka, Pakistan and has a very close friendship with Russia and Iran which it can use to its advantage at any minute.

China has a lot of trade and business influence in almost every country. Deutsche Bank since 2019 does more business with China than with USA. Banks, Manufacturers, Consumer Goods all depend on china for manufactured imports and on the chinese market for consumption and exports.

So automatically the Big Businesses – the Money Men – the People who fund elections and who can decide the fate of Democratic Governments are completely pro china.

So the Politicians can strut and shout but they cannot take any action against China as it will bring a stronger repercussion against their own country.

Simple example – India recently banned Tik Tok and many Chinese Apps. The Impact on China was minimal and only the companies were affected.

Say as a retaliation China would have stopped the export of Pharma Raw Stock to India. It would have taken us 6 years to find a substitute of that much quantity. It would rise costs by 240% to 700% depending on the drug. A Strip costing Rs. 35/- would now cost Rs. 145/- and there would be a massive supply crunch by 2023 when the inventories would dry out. There would be massive protests and literally revolutions and would create Chaos for us.

It would be the same for the United States.

China cut down its supply of the Raw Stock for Diabetic Insulin in 2019–2020 after the COVID Crisis to ensure it has enough for its own people. Trump promptly subsided the extra cost of importing insulin raw stock from other countries which cost the US Federal Government $ 38 Billion between May 2020 and February 2021 to keep the prices the same.

Biden has cut this subsidy resulting in Insulin prices rising. Biden plans to get back the Stock delivery from China and he has succeeded in getting the business back to scale by June 2021.

Sadly no country can affect China in the same way.

This is because while the world was sleeping or leaders like Bush and Obama (US), Blair (UK), Scroeder and Merkel (Germany), MMS (India) did not realize how dependent they were becoming on China.

Today it is too late.

China knows this

So unless you have an alternative – China can easily remain single.

If they get really angry and decide to use one of their many Nuclear Options – the West will promptly back down without a seconds hesitation.


Frankly I dont care too much about the West myself.

However i do wish We were in the same position that China is in today. Had we followed the Doctorine in 1991- established manufacturing bases in 14 of our uninhabited Islands on the Arabian Sea and Bay of Bengal, Focussed on Manufacturing, we could be in a far stronger and influential position than we actually are.

Yummy

ef68e6d17816a31191f0f31095991cea
ef68e6d17816a31191f0f31095991cea

f8b0853a909533dd89f6b1dcd23fa6bf
f8b0853a909533dd89f6b1dcd23fa6bf

a20c813a136da5408c80d82fb6cec812
a20c813a136da5408c80d82fb6cec812

2485388fe968295598fb7c0ea52d707d
2485388fe968295598fb7c0ea52d707d

1e59b659646042c7a8cf4ac55b4962ae
1e59b659646042c7a8cf4ac55b4962ae

f3fa960cc0be47550e5ec57e036c38ad
f3fa960cc0be47550e5ec57e036c38ad

9cb2847addabef5c6819d4e7e1391cde
9cb2847addabef5c6819d4e7e1391cde

504a5f7a4454065e8c5daceb13310897
504a5f7a4454065e8c5daceb13310897

6bc9eae444c6aa6abad168453f68d417
6bc9eae444c6aa6abad168453f68d417

1768ccbf3d4a7ac2386dd7e7fb7c50d9
1768ccbf3d4a7ac2386dd7e7fb7c50d9

aadda3e36fcd987b138ec5d0acfe20fb
aadda3e36fcd987b138ec5d0acfe20fb

9988597b9e5c1e41fd56de7e27918c16
9988597b9e5c1e41fd56de7e27918c16

50b767332cc447e71da743d8934def61
50b767332cc447e71da743d8934def61

dc0ce3428e9c53690bb17e7e6b0869e4
dc0ce3428e9c53690bb17e7e6b0869e4

f1b135d8439e92c35c2b8e41e87c512d
f1b135d8439e92c35c2b8e41e87c512d

0b64b99b92ec585a2fb308b9340942cb
0b64b99b92ec585a2fb308b9340942cb

477856923772ec4c62f5eca8f8ced7cf
477856923772ec4c62f5eca8f8ced7cf

8cd522e3bc6772cfc19d0f506386f0d6
8cd522e3bc6772cfc19d0f506386f0d6

2f53b35376f0eecf629c50cf2283608d
2f53b35376f0eecf629c50cf2283608d

64e69f85a3b0298846f5c678b5a09d24
64e69f85a3b0298846f5c678b5a09d24

6d4d998c63b617462c76026bda8ae623
6d4d998c63b617462c76026bda8ae623

3e98f685372b2f417435fc410d4e230f
3e98f685372b2f417435fc410d4e230f

7f8f59df44bd38a664909fb489ba1843
7f8f59df44bd38a664909fb489ba1843

b7d72f8da9edac28133674d7171a17e4
b7d72f8da9edac28133674d7171a17e4

6631df69e9f2635c0d9a2672216363ff
6631df69e9f2635c0d9a2672216363ff

e4a1a75ec225b90660c36082683ed879
e4a1a75ec225b90660c36082683ed879

b5b077185e0ff36973f93d4f6f1d06d0
b5b077185e0ff36973f93d4f6f1d06d0

802c492a5139b20cf67a42d56fa57829
802c492a5139b20cf67a42d56fa57829

When A Karen Gets Sentenced To LIFE In Prison…

Simple, because the UK is a totalitarian state, where you can participate in pretend elections where nothing really changes. Their recent elections changed nothing other than the front man who acts on the behalf of the corporate masters.

We can see when PC Kane Haywood, was found guilty of sexual assault by penetration (commonly known as you know rape) and received a 1 year suspended sentence. Westerners are like YEAH that’s JUSTICE! (Note how people planning a protest were given 5 years in prison).

“I smelled something smoking and I looked down … and I was smoking,” he said.

main qimg 4bd760ce86ee8b9e759d11418d4e85fb lq
main qimg 4bd760ce86ee8b9e759d11418d4e85fb lq

US soldiers and doctors risked their lives to extract a live RPG lodged in a US marine which could have exploded at any point- they broke dozens of regulations as well just to do the morally right thing.

Thursday, March 16, 2006, was a beautiful sunny day in Paktika Province, eastern Afghanistan. It borders a lawless region of Pakistan that is home to some al Qaeda and Taliban forces. Snow in the mountain passes along the border had melted giving them access again to Afghanistan where they came looking for American soldiers. They soon found them.

At dawn, the 10th Mountain Division’s Alpha Company headed out on a mission. Four hours into the drive and just miles from the village, gunfire broke out. They’d been ambushed from above. Twenty-three-year-old Pvt. Channing Moss, the gunner, said it sounded like rattling spoons. A hail of RPG fell onto the convoy, destroying a friendly Afghan vehicle and also hitting the humvees. One humvee commander turned to tell Moss to aim his gun when he saw his sides smoking.

The RPG that had plowed into Moss’ lower abdomen stretched from one hip to the other. If the RPG went off, it would kill everyone within 30 feet of him. Yet the company medic Spc. Jared Angell stayed close, bandaging his wounds and stabilizing the weapon so that movement wouldn’t cause it to explode.

Reports of injuries had been radioed to the medical evacuation helicopter (MEDEVAC) base in Salerno, Afghanistan — minus one crucial piece of information.

“We didn’t tell them that, you know, Moss had live ordnance in him,” Mariani said, “because there was that possibility that, you know, they might not want to transport him with live ordnance in him.”

Preparations began for the rescue mission. But first the Blackhawk crew had to wait for clearance from commanders because the area was “hot” — the battle was still raging. For MEDEVAC crew chief SSG Christian Roberts, it was a very long 15 minutes.

“When you know you have wounded people out there that are waiting for you to come pick them up, it seems like an eternity,” he said.

“Doc” continued working frantically to stabilize Moss even though the RPG was a danger to everyone around Moss. Angell knew Moss’ only chance for survival was to get out of there and to a hospital quickly.

“I constantly was looking at my watch, saying, you know, ‘When is the bird gonna get here, when is the bird gonna get here,'” medic Doc Angell said.

When Lt. Mariani pulled “Doc” aside to ask him if he thought Moss would make it, he could only shake his head no.

If Pvt. Moss didn’t survive, his wife, six months pregnant with their second child, would lose her husband and his daughter Yuliana would lose her father. But just as Moss felt he would die, he heard the choppers. An Apache helicopter escorted the MEDEVAC chopper in case there was more gunfire.

Spc. Collier, the flight medic, quickly realized what they faced with Pvt Moss.

“I see a metal object protruding out, and there are fins on it, and I am like, ‘This looks like this guy got hit with something, and it’s stuck in him, and it didn’t blow up,'” he said.

Army policy states that they are not supposed to transport soldiers in Moss’ condition. The risk of catastrophic loss is too great — four MEDEVAC crew members, three wounded soldiers and a helicopter could all be blown out of the sky.

But they also knew if they didn’t take Moss, he would die.

Pilot CW2 Jorge Correa conferred with his soldiers: “I asked my crew, you know, ‘Are you guys comfortable with this?'” he said. “Because I wasn’t gonna put my crew in jeopardy if they weren’t comfortable with it.”

The crew quickly decided to take the risk.

Co-pilot Jeremy Smith recalled the tense moment.

“We all said, ‘Yeah, let’s get him on board and let’s get outta here,'” he said.

As crew chief Christian Roberts said, “We are not gonna leave a U.S. soldier to die in the middle of Afghanistan.”

But reports of the true nature of Moss’ injury had not reached the closest medical facility at the Orgun-E base — a former goat shed transformed into a rough field hospital. They were told it was “shrapnel injury.” The aid station had two doctors, Maj. John Oh, a general surgeon, and Maj. Kevin Kirk, an orthopedic surgeon. At this point it wasn’t clear which Moss needed most — a surgical team or a bomb squad.

Incredibly, both Oh and Kirk had drilled for this exact scenario, because the Army has a protocol to handle patients with unexploded ordnance in them.

“You’re actually not supposed to bring them into an aid station,” Oh said. “And actually, he wasn’t supposed to be flown with the other patients either.”

According to the “War Surgery Manual,” Moss should have been placed far away from other patients and operated on last. If procedure had been followed, Moss would likely have bled to death, but the doctors felt compelled to save him.

Then Pvt. Moss had another life-saving break — Staff Sgt. Dan Brown, the explosives expert who spends his time disposing of bombs and captured weapons, was on the base. In his spare time, Brown had been watching an episode of ABC’s “Grey’s Anatomy” about a patient with an unexploded grenade in him. In that story, the bomb technician is blown up. Brown was about to play a leading role in his own non-fiction drama.

Brown, shocked to see that Moss was still alive, confirmed that they were dealing with an RPG. Moss’ life hung on whether or not they would remove the rocket.

Brown explained the different scenarios of what could happen. The worst case was that they would all become “pink mist” — everyone in the room would be killed. But to identify how much explosive power they were facing, they needed an x-ray to determine whether the RPG’s warhead was inside Moss. Their notoriously temperamental x-ray machine malfunctioned, and it wasn’t until the third attempt that they got a decent image.

The doctors and Brown were relieved by what they didn’t see. The deadliest part of the RPG — the main explosive charge — was not in Moss. But their relief diminished when Brown explained to the surgeons that it would still have enough force to kill Moss and destroy their hands.

At that point, Oh ordered everyone except the critical staff out of the aid station, the two doctors and three surgical staff remained. They all knew the risk they faced.

“I looked everybody in the eye and said, ‘You guys understand what’s going on here, right?’ And I knew everybody heard me, but nobody said anything. They just kept doing their jobs,” he said.

Oh told them it was okay to leave — but nobody did. With no words exchanged, each had decided to risk their life to save Moss.

Extreme blood loss had caused his heart to stop. Unable to do chest compressions for fear of setting off the round, they gave him epinephrine. His heart soon restarted and they could finally operate to remove the RPG.

Sgt. Brown used an unusual instrument to gently remove the RPG’s tail fins — a hacksaw. The surgeons reached inside Moss, steadying the still lethal rocket, inches from the soldier’s beating heart. They then gently eased the rocket out, with the detonator aimed at Brown’s flak vest.

Brown quickly walked out of the aid station to a bunker and detonated it. The sound of the explosion thundered through the base. As the surgeons closed up Moss’ incisions, Sgt. Brown sat down outside to collect himself. Finally, the impact of the drama hit him.

“I started shaking. I just sat there. I knew I did everything I could to help him live. And that was very, very intense for me after the fact,” he said.

You Won’t Believe What’s He Says Is About To Unfold Over The Next 5 Months

Loaded Mashed Potatoes Meat Loaf Casserole

Loaded Mashed Potatoes Meat Loaf Casserole is two of your favorites in one dish.

loaded mashed potato meat loaf casserole
loaded mashed potato meat loaf casserole

Yield: 6 servings

Ingredients

Meat Loaf

  • 1 pound extra lean ground beef
  • 1/2 cup onion, diced
  • 1 cup seasoned Italian bread crumbs or 1 cup crushed Stove Top stuffing
  • 1/2 cup milk
  • 1 egg
  • 2 tablespoons brown sugar
  • 1 tablespoon Worcestershire sauce
  • 1/2 teaspoon kosher salt
  • 1/4 teaspoon black pepper

Topping

  • 1 (4 ounce) package garlic-flavored instant mashed potatoes*
  • 1 1/2 cups water*
  • 1/2 cup milk*
  • 1 1/2 cups shredded cheddar cheese
  • 1/4 cup real bacon bits or 3 slices bacon, cooked and crumbled

Instructions

  1. Heat oven to 375 degrees F.
  2. In a large bowl, combine beef, onion, bread crumbs, milk, egg, brown sugar, Worcestershire sauce, salt and pepper then press into a 9 inch square or comparable baking dish.
  3. Bake uncovered for 25 minutes, then remove and drain any excess fat.
  4. While the meatloaf is cooking, prepare mashed potatoes as per package instructions, using 1 1/2 cups water and 1/2 cup milk.
  5. Spread over the top of the meat loaf, then top with cheddar cheese and bacon bits.
  6. Bake for an additional 10 minutes, until the cheese is melted and the mashed potatoes are slightly crispy.

Notes

* Or make your own mashed potatoes instead. This would be a great use for leftover mashed potatoes.

The problem is that IBM failed

Political reasons are only the catalyst for IBM’s failure in China, but not the root cause.

In the past 20 years, IBM is no longer the top company in computer technology.

The blue giant rose with computer technology and once led many fields of commercial computers in the entire human world. From operating systems to servers, from giant computers to floppy disks, from mice to color monitors, the development of human computer technology and network technology is inseparable from the contribution of IBM.

But those great stories are only stories of the past. IBM once made a lot of money, which made IBM become bigger and bigger, less efficient, and in many fields, IBM was surpassed by other emerging companies.

Since many businesses were in the red, IBM had to get rid of those “unprofitable” businesses to maintain a better financial situation. In the past 20 years, they sold the PC department, the storage department, the server department, the printer department, the network hardware department, the storage device department, the health department, the semiconductor department…

But all the businesses sold by IBM have been proven to be very promising and profitable by other companies. Why did they stay in IBM and become “unprofitable” businesses?

IBM started to get sick a long time ago. There is a joke in the United States that IBM is the company with the lowest efficiency and the most complicated processes in the world. IBM is the company with the lowest per capita output among the major IT companies in the world.

I list the per capita output/per capita profit of several famous IT companies

Apple: $2.38 million, $600,000

Microsoft: $1.07 million, $380,000

HP: $926,200, $56,300

Huawei: $470,000, $58,600

Oracle: $333,100, $65,400

IBM: $219,200, $26,600

IBM is not only sick, but also very sick.

IBM once proudly claimed that they had transformed from a manufacturing company to a technology company, and from a technology company to a service company. They only serve the most valuable customers, large banks, governments, airlines, and insurance companies. Their employees wear the best suits, hold meetings in six-star hotels, and only strive for the most profitable orders.

Because, orders with meager profits will lose money at IBM!

Have you used any IBM equipment or software in your life? Very little, right?

Because the money in your wallet is too little for IBM. Making money from consumers is too hard and difficult for IBM.

IBM’s largest customers in China have always been the Chinese government, state-owned enterprises, banks and securities industry. They once generously gave huge orders to IBM, and IBM also opened a research and development center in China to provide services to these customers.

The main directions of IBM China Research Institute are the Internet of Things, big data and cloud services, which are also the areas where Chinese customers purchase more.

But in the past five years, these orders have become increasingly difficult to obtain.

1. Chinese companies are growing rapidly

Lenovo, Huawei, Tencent, Alibaba, Baidu. Many Chinese companies have grown into international giants. They are in their respective fields with technology and strength that is completely not inferior to IBM, and their fees are lower and their services are more efficient.

2. Trump’s “China Plan”

The US government has imposed comprehensive sanctions on Chinese technology companies and provoked a trade war. In order to defend and counterattack, the Chinese government launched a “de-Americanization” plan: requiring the government, banks, and state-owned enterprises to reduce their purchases of American technology every year.

Under the dual effects of commercial competition and geopolitics, IBM’s disease broke out completely. It is like a patient who has been ill for a long time and has been relying on expensive drugs to maintain superficial health. When these drugs are no longer so easy to obtain, it is time for him to fall.

IBM’s performance in China has been declining rapidly:

In 2021, it grew by 2%

In 2022, it declined by 22.7%

In 2023, it declined by 19.6%

In 2024, it declined by 25% (estimated)

IBM’s market has almost been completely occupied by Chinese local companies.

In 2023, IBM’s global revenue was $60 billion, while China’s Huawei was $100 billion and Alibaba was $120 billion. IBM is no longer the blue giant it once was, but a second-rate IT company.

Do you think IBM is only collapsing in China? No, in 2023, IBM laid off 3,900 people worldwide, and they moved all the jobs in Europe to India. Because India is cheaper.

Now IBM = India Business Machine.

Maybe India is the last medicine for IBM to maintain operations.

Suspects that LOSE IT During Interrogations

There I was, in Iraq. We, the US, had just agreed to sell Abrams tanks to the Iraqis and we (my unit) were at a range to put on a demonstration. Our plan was to use a section of Bradleys and a section of Abrams to demonstrate their capability to deliver a high volume of accurate fires at long range. We had the viewing area rigged with a speaker so the audience could hear the platoon leader and his fire commands. It was going to be a sweet demonstration of something we rarely got to see ourselves!

The Iraqis decided they would also put on a demonstration and showed up with a T72 and a BMP1 (with the unimpressive 73mm low pressure gun). They would go first.

The time to fire came….and went. People climbed around the BMP and we waited. Finally….one boom. We saw a puff of sand maybe halfway to the target (which was only a couple hundred meters away). Several minutes pass and then another boom. More sand flies in the air; closer, but still nowhere near the target. Minutes pass. It’s getting awkward. There’s a third round-still well short.

My boss, the brigade commander, calls me over to where he is sitting next to the Iraqi Army division commander. He whispers to me to call our crews and have them dial it back some because he doesn’t want to embarrass their guys.

The BMP finally falls pathetically silent, having fired a max of 4–5 rounds (it was 2010, I can’t recall for sure how many!). The target is unscathed.

Despite the crewmen busy around the back deck and gathered behind it, the T72 never fires at all.

It is our turn. I’ve called them to dial it back and they’ve acknowledged but when our crews fire, I’m not sure exactly what they dialed back because it looks like thunder and lightning where the vehicles are and a sand storm around and beyond their targets as both 25mm and 120mm rounds are punching through. The Brads reduce fires and shift to alternating fires. Cool, it’s ’talking guns’ with 25mm! (This was the highest volume of fires I’ve ever seen from armored vehicles!)

My boss is initially perturbed but then settles in to watch the show.

My flatmate moved a gay couple, Paul and Stefan, into our spare bedroom back in 1991. Stefan was gentle and okay to live with, but Paul was 6’8” tall with a menacing undertone. While he and I didn’t have any issues personally, there was something not quite right about him, something unsettling.

Paul and Stefan broke up while still living with us in 1992, with Stefan moving out. I came home soon after to find Paul’s belongings all packed up and him about to leave. He told me he’d taken his rent money back, having gone into my drawers to find it. We started having an argument about needing to give notice. I phoned my flatmate to do something about it, but he said unequivocally, “Don’t argue with him. Just let him go.”

So Paul moved out and I never saw either of them again.

Fifteen years later the police called me and asked if I knew a Paul Armstrong. I said not for a long time. They asked questions about the kind of car he drove when we lived with him. I replied that I had no idea as they parked it in the underground car park and I didn’t socialise with them.

I asked why they were asking me these questions – the police said they had arrested Paul for the murder of Felipe Flores, a man from Ecuador who had been living in Sydney. Paul had bashed him to death in a small park back in 1991….then moved in with us less than three weeks later.

It turned out the police had been reviewing a cold case, using blood found beneath the fingernails of Felipe Flores. The DNA led to Paul, who by then was also a convicted rapist. He was sentenced in 2014 for murder.

Paul Armstrong jailed for killing gay lover over HIV admission

Paul Armstrong, my former flatmate and, it turns out, convicted killer and rapist

main qimg 76257ebc236b6436d151c86f060fb44e lq
main qimg 76257ebc236b6436d151c86f060fb44e lq

Felipe Flores, the man bashed by Paul in a park, who was then dragged unconscious under a bush where he died.

main qimg b4448c66dea8be4d4d7fb8c76a313930 lq
main qimg b4448c66dea8be4d4d7fb8c76a313930 lq

Self-Assembling Nanotechnology in the $h0t?

Again

I look at China and believe whatever they did is the winning formula

That’s because nobody else did anything else that could be described as winning unless it’s done over a century or two

So

  1. Quality Public Education
  2. Manufacturing by offering Low Cost Labor and Land
  3. Use proceeds of manufacturing to build Supply Chains, Educate more people and then Build Ports and and Roadways and Warehouses to distribute and supply the products manufactured worldwide
  4. Invest in Technology especially R & D
  5. Develop Indigenous Technology in many areas
  6. Develop Key Critical Technology in a few areas
  7. Use the money made from 6 to create a stronger middle class and use them to start transforming to a Consumption Driven Economy
  8. Once you have sustainable money, focus on Healthcare and Elderly Care and Social Benefits for Citizens
  9. Focus on Higher Education and Advanced Degrees and use those degrees to maintain a supply of talented labor to various advanced manufacturing jobs

That’s literally the Chinese Model

That Transformed the Nation into a 19 Trillion Great Power from an Agrarian Backwater in 1960


Ultimately there are some Dos and Donts along the way

A. Spend wisely. Dont Stupidly borrow to build Infrastructure especially Airports unless you can generate sufficient revenue and make money

B. Education should be guarded. Dont be lazy and hand over Education to private Vultures to destroy and finish off the entire base

C. Careful use of earnings. Use Proceeds of Manufacturing to create Supply Chains & Research, not to create Obnoxious Gaudy Thelawallahs who load their own pockets

D. Focus on building a Middle Class. Use Money made from Economy into creating a stronger middle class through Jobs & Housing not by the SHARE MARKET

E. Merit driven everything. Don’t abandon Meritocracy for any other Model

F. Avoid politics. Don’t put Politics before Country and Don’t regard any LEADER as a God or as someone who can’t do anything wrong

G. Concentrate on the roles of education. Focus on Higher Education meaning make it more accessible to the common man and practical,not building 40 premier institutions and diluting their value

H. Accept your mistakes. Don’t be embarrassed to admit your lack of achievement in area and LEARN AND IMPROVE

I. Focus. Don’t use petty Nationalism if it comes in the way of Potential Development

Thats Literally India today

That is holding back a 1.4 Billion Nation and making it a Paper economy day in and day out


So First

[1] We need Good Leadership

Modi is a failure

He must go

Future leaders must be judged based on pre set Goals and Standards

If they don’t achieve this, they must resign and someone else must take over

Leadership based on Caste or Religion or Brainwashing is certain disaster

And no Party politics please


[2] Second – Priorities

We need something that benefits the maximum number of people

Education is critical

Far more critical than Airports for 5% passengers

Food security is critical

Far more critical than 40 new IITs


[3] Third – Stop saying the System is fine

The System is flawed and needs massive reform

Maybe the reform could be different from person to person

Yet anyone who says the system is fine as it is and we are going to be Viksit Bharat in 2047 without any effort , is a Fool and is Genetically a flawed product


Once you reach 1,2,3

Then it’s a Custom made solution based on how your Society and Economy is structured

Just that

INDIA IS DOING EVERYTHING WRONG TODAY

So everything must be overhauled completely

How it must be done different?

That depends on various factors

Sailor Discovers Wife’s Affair During Deployment, Plays The Divorce Long Game And Has Last Laugh!

My daughter’s 2009 BMW 335I had the twin turbos fail. I watched several videos to see how difficult it would be for she and I to repair it. The videos showed that it was a complicated, time consuming repair, but we felt we could do it. We ordered the parts and started working one Saturday morning. After working for a few hours, she called her boyfriend, who lives a couple hours away. He drove up to help. Her boyfriend has a lot of experience working on BMWs. He said he would not have attempted this repair. I had to take the intake manifold off this car once, to replace a heater pipe. So, I knew it wouldn’t be simple.

It took us three long days to replace the turbos. Many, many times we were forced to get just a couple fingers into a place no human should be able to touch. Everything was crammed together so tightly it was torture. We lost a lot of skin on our hands and arms. We had the foresight to put all the parts in ziplock bags and label them well. We arranged the parts in order, as we took them off. There were many, many parts.

We did get the turbos installed and working well. We made one mistake that took me 2–3 hours to fix after my partner in torture drove back home. That was about four years ago. I have only done minor repairs on that car since. I will never attempt a major repair on an BMW again.

I’ll show you a famous one, known by all Chinese.

When the tourists came to Xinjiang, they looked like four or five young people in their twenties. Their cars were stuck in the mud.

The old man from Xinjiang helped this group of people to take the car out of trouble.

When tourists tried to pay him cash to express their thanks, he refused, but his language was difficult to communicate, so he showed his party emblem.

He is not an Uygur or a Tibetan, but rather a smaller ethnic group in Xinjiang, Khalkhas.

His name is Abdugapal Mad, who lives in Muji, as a CPC member for 24 years.

“It’s Getting WORSE And WORSE…” – Danielle DiMartino

Well, America is the flagbearer of western civilization and it’s all of 250 years old.

And where did western civilization originate?

Modern day middle-east/Mediterranean.

America is an ocean away, but it spells in the Latin alphabet, and prints “in God we trust” on its currency.


Clearly, western civilization is unbroken, because it can be traced from the time of the ancient sumerians, Egyptians and Persians, as recorded in the Bible. In fact, the way we tell time today is based on a recorded event in the bible: the birth of Jesus. Hence it is AD 2024 today. Anno Domini, Latin for “in the year of our lord”.

But is it continuous, in the sense that today’s Americans share cultural and historical commonality with others that also identify as western and those that came before them?

The modern American may read Tacitus, quote Plato, and study machiavelli, but he doesn’t identify as a Roman, Greek or Italian. The refrain “it’s all Greek to me” is illuminating.

In other words, western civilization share a loosely linked pool of knowledge, but what sets the westerner apart is still geography and DNA. After all, North Africa and the Middle East have suffered massive destruction in recent years despite being the cradle of western civilization.


China is different. The fossil and DNA record clearly show modern Chinese are descended from paleolithic ancestors who settled and called it home. Elements of Neolithic culture found their way into the earliest xia and shang dynasties, including burial practices and cultural wares.

Chinese writing can be traced to the oracle bones of the Shang, and character evolution remains a topic for active scholarship. There was a period of fragmentation during the long decline of the zhou in the spring-autumn and warring states, but qin unification consolidated Chinese block writing. It has remained a consistent whole for the past 2,200 years.

The answer to “what it means to be Chinese” is rooted in continuity. History, culture, language, philosophy, the arts, technology, even governance and politics.

Take for example the genealogy of confucius, which has been continuously maintained over 2,500 years tracing more than 80 generations, the world’s longest extant record of human pedigree. There are over 2 million living descendants registered, with the estimated total well in excess of 3 million.

Similarly, an educated Chinese youth today can visit the Shandong museum and read Sun Tzu’s art of war off 2,200 year-old bamboo strips written during the Han dynasty.

You can’t do that with spelled languages. Even Shakespeare’s prose is unwieldy to the point of being unreadable because a 4-century gap separates modern English from the romance of Shakespearean times.

Chinese is drawn, not spelled.

This has allowed Chinese civilization to build and maintain the largest databank in history before the advent of digital storage.

Why did the kjv peoples expend significant cultural and political capital to preserve deep Chinese roots, especially Chinese literacy over tens of generations?

Because the Chinese language, especially block writing, remains the key to unlocking the priceless databank. Surely their survival as intact states must be in great part attributed to the umbilical link with the living Chinese body of knowledge.

Vintage Home Decor

66d2b96a1bd1957052bc230a57f2fe44
66d2b96a1bd1957052bc230a57f2fe44

1c4bfa736af9889e6050a42cb157f8a6
1c4bfa736af9889e6050a42cb157f8a6

3490ec0bae0f0effd7b2c03dd9a377f9
3490ec0bae0f0effd7b2c03dd9a377f9

83e74f9e8c7d97ab61aa19f9c4f9c731
83e74f9e8c7d97ab61aa19f9c4f9c731

cd28882dc8feddf1165e941c4932b0f7
cd28882dc8feddf1165e941c4932b0f7

6ac3488c9c17f4165fd3da7e364114b2
6ac3488c9c17f4165fd3da7e364114b2

bc43a229cb7c90189ac19c5ae1015bc5
bc43a229cb7c90189ac19c5ae1015bc5

ba282f7efa352721dee03813eb73a3e6
ba282f7efa352721dee03813eb73a3e6

02792db4622ef94ce3ad7fb6a9bbffa6
02792db4622ef94ce3ad7fb6a9bbffa6

a0c92af5feab820edcc6798627b51844
a0c92af5feab820edcc6798627b51844

b10535d612e7516ba28df9728f9b8f1e
b10535d612e7516ba28df9728f9b8f1e

413ac094a617449b570894d5e4b9fab2
413ac094a617449b570894d5e4b9fab2

0a382423a74e202fefe4e38c2aae1f15
0a382423a74e202fefe4e38c2aae1f15

153f1888c1758099e05614229633d704
153f1888c1758099e05614229633d704

6eee0f42f5e6f84b2e67e94ba782b5ef
6eee0f42f5e6f84b2e67e94ba782b5ef

39defca50c991b612de1766544750f34
39defca50c991b612de1766544750f34

5a4fb7ba727eaff1b6799bd804272a9b
5a4fb7ba727eaff1b6799bd804272a9b

9a9a881f3e482b1d6da03a3b62fb0b08
9a9a881f3e482b1d6da03a3b62fb0b08

295ecee848a11ab7088e727895b85bac
295ecee848a11ab7088e727895b85bac

4fc1607b558fce254ae864c30c2977c2
4fc1607b558fce254ae864c30c2977c2

45ca627b540e178365d43bac39420e36
45ca627b540e178365d43bac39420e36

3aeb7a70ef09a35068215b95680ecead
3aeb7a70ef09a35068215b95680ecead

@@@@1fe061855fe9b8542bb4ceec4607ef71
@@@@1fe061855fe9b8542bb4ceec4607ef71

Stray Cat Almost Killed by a Car, Waits Alone for Death, Until This Happens

True story.

When I first moved to Macau, one of the first people I met was a guy named Mark from the UK. He was a professional card counter. He played everyday. If he won US $3500 or lost US $3500 he would stop playing. He won more than he lost, so he was pretty good at discipline.

The casino security spotted him. Then they changed the rules. Changed from a four deck shoe to a six deck shoe.

They followed him home. And when he came out of his home, someone would follow him. He became uncomfortable and went to Korea to play.

When he came back, he was told he was blacklisted. No reason given.

Casinos make up their own rules.

But the basic rule is the house always wins.

There are no strategies to win. Just keep your money in your wallet and you win!

Stanley Ho, the founder of the modern casino industry in Macau was asked what game he played at his casinos. And he said “I don’t gamble “

In the year 1523, Ming dynasty captured a few Muskets during war with the Portugueses. In the year, 1548 Ming dynasty replicated and mass produced it. That became the Ming dynasty’s main firearm, and later the Qing dynasty. In the year 1765, Qing dynasty had a “conflict” with Myanmar, Burmese had already switched to the newly invented flintlock received from the British. That was when Qing dynasty started falling behind in the weapons department.
It didn’t have a large army either. Military cost money, there was no neighboring country could threaten China at the time, why keeping a large army?

Lawrence Bates

The Last Voyage of Commander Jenkins

Lawrence M. Bates

 

I open my eyes. It’s light out. Then something that sounds like a Chopin nocturne starts ever so softly to intrude upon my still half-awake, still half-asleep consciousness. I begin stretching to get the kinks out only to find my elbows banging against the side of my crypt. Oh, yeah. Right. I’m Joshua Jenkins, the captain of the starship Montmartre on a colony prep mission. All the hoses and med devices and such have been retracted from my body and the lid to my pod has been popped open by Rosslyn. Hallelujah!  That means we’re in orbit around Kiroki 3 and it’s time for me to snap into action. It also means, as the realization suddenly dawns upon me, that I’m still alive.

 

The revival protocols kick in and I sit up to self-check for brain fog and atrophied muscles. The Advanced Life Support Hibernation Module (ALSHM), aka crypt, aka pod, is supposed to handle all the biological necessities for us while we’re in stasis. It must’ve worked, because I actually feel pretty good. This must be my lucky day. I step out of the crypt without any wobbling and sure enough, over in the corner right where I left it hangs my captain’s uniform. It’s a red jumpsuit with an insignia that reads “Commander Jenkins”, but by my lights a starship is still a sailing ship and I’m still this ship’s captain.

 

After getting dressed, I finally notice it. According to protocol, we’re all supposed to be reawakened at the same time in case something goes wrong and someone needs help, but the pods of my three-person crew remain unopened. I walk around the crypt room and inspect each pod. They seem to be operating normally with all vitals showing in the green. Something must be wrong.

 

“Rosslyn.”

 

“Yes, Commander Jenkins.”

 

I can’t resist. “Would it kill you to call me Captain Jenkins instead of Commander Jenkins? You know I hate that.”

 

“No, Commander. Calling you Captain Jenkins instead of Commander Jenkins will not result in my death or in any way disrupt my power supply. Did you enjoy the Chopin-themed revival procedure? That was one of the last orders you gave me before entering stasis.”

 

“Ah, yes, that was fine.”

 

“Good. That means that that aspect of me is still functioning properly.”

 

What the hell? “Rosslyn, why did you revive me and not the others? Aren’t we in orbit around Kiroki 3?”

 

“No, Commander. I encountered an anomaly which requires the Commander’s attention. Please proceed to the bridge. I have a briefing waiting for you there.”

 

“Ok, on my way.” Wow. A problem that needs human intervention. Scratch that. Commander intervention. As I walk down the corridor, my mind starts racing as to what kind of anomaly would short-circuit a ship mind. It’s supposed to be able to autonomously handle just about any contingency that the universe can throw at it. I’m used to Rosslyn and her eccentricities, but now I’m starting to get a little nervous.

 

I reach the bridge doors and am admitted by Rosslyn. “Rosslyn. As you can see, I’m here. What’s going on?”

 

The main viewing screen pops into life and shows a simulation of the Montmartre as it travels through space from Earth towards Kiroki 3, a journey of some 320 light-years. As the Montmartre gets to about 20 light-years from its destination, the simulation freezes.

“For six months”, Rosslyn begins, “the voyage of the Montmartre has proceeded without any significant incidents. Then two days ago the ship attained zero velocity and remains immobilized with respect to this sector of the observable universe at the location indicated by the red pulsing dot on the screen.”

 

“That’s impossible,” I say. “You can’t just stop in space.”

 

“Agreed. What has happened to the Montmartre is impossible. I have spent the last two days checking my systems for faults, but have found none. All my instruments report optimal functionality. I have tried using the attitudinal thrusters to maneuver and change our position, but to no effect. I then tried restarting the Leyton Drive on low power to see if that could get the Montmartre moving, but our position remains unchanged. Somehow, we have been frozen in place, and I have been unable to formulate an explanation let alone a remedy for this phenomenon. In a case such as this, default protocols demand that I revive the Commander and seek human assistance.”

 

I’m so shocked by this that I almost fall over backward before managing to recover my balance. Now I need to recover my emotional balance. Two months behind us is the Red Swan carrying thousands of colonists expecting to wake up and move in to a makeshift habitat that we’re supposed to have already prepared for them. Will they get stuck here too? My psych profile says that I excel at inhibiting panic and focusing on problem solving. That’s one of the reasons why SpaceLab made me a ship captain. More importantly, my psych profile also goes on to state that I’ve been evaluated out as a high-IQ, ultra-rational sociopath who doesn’t interact well with other human beings in what is classically referred to as a mentally healthy manner. This serves to amplify my predilection to make decisions based on mission priorities and not personal relationships. In other words, SpaceLab made me a starship captain because I’m a first-rate asshole, and everyone knows it.

 

“Rosslyn, something must be wrong with your sensors. This just can’t be happening.”

 

“Yes, Commander Jenkins. Either I am broken, or the universe is.”

 

*****

I instruct Rosslyn to try slowly revving up the engines to full power. We don’t move either backward or forward. In fact, alarms go off as the engines start overheating. “Commander Jenkins. I must turn off the engines or they will explode in 17.3 seconds.” A Leyton Drive explosion is not anything you want to be around. I wait another few seconds just to make sure, but nothing changes. “Rosslyn, power down the engines.”

 

“Yes, Commander Jenkins.”

 

I then order Rosslyn to revive my crew mates and instruct them to assemble in the dining room in two hours’ time, giving all of us a chance to settle into wakefulness. Arriving a little early is Padak Remanotra, a physicist. Tall, lanky, even regal in bearing, he grabs himself a coffee and sits down at the table. An attempt at small talk is made while we wait for the others but is soon abandoned. Oddly, when we talk physics, we get along just fine.

 

Thankfully, a few minutes later Susan Orman and Lee Sung wander in together chatting amiably. After all, they’re expecting this to be a pre-planning session for exploring and colonizing Kiroki 3 and are excited about finally getting the opportunity to do just that. Orman specializes in exobiology and so is by nature a bit quirky and somewhat whimsical. That’s ok with me. SpaceLab must consider these desirable personality traits in a person that you’re expecting to be able to communicate with alien beings.

 

Sung is a planetary scientist. Her disposition sours as she takes a seat and realizes that she must put up with being in the same room as me, at least for the time being. Understandable. Deep space exploration is not kind to fools, and back on Earth during training I rode her pretty hard while I took it relatively easy on Remanotra and Orman. She noticed, and at one point she just flat-out said that she hated my guts. In my standard charming style, I responded “Lots of people hate my guts, so don’t think you’re anything special for it.” Sung just harumphed, obviously unimpressed with my wit. As long as she does her job and follows my orders, then I don’t have a problem with her. We’ll see.

 

All three possess multi-disciplinary scientific skills and all three of them are a lot smarter than I am, and boy, do I need their expertise now.

 

Padak speaks first, asking “Where’s Kiroki 3? I didn’t see it on any on my screens. Shouldn’t we be in orbit around it?” At that point I explain to them the nature of our predicament. As expected, a chorus of “That’s impossible!” resounds through the dining room. Then I sit back and wait for the information to sink in and for them to start ideating.

 

Susan asks “Did you check Rosslyn’s logs?” “Yes”, I say. “Not in detail, but I didn’t offhand see anything unusual. One minute we’re cruising along at Leyton 12, the next minute we’re at 0. At least, according to Rosslyn. Rosslyn’s been running diagnostics for the last two days and hasn’t found anything wrong. That’s why she revived me – she doesn’t know what to do and neither do I.”

 

Padak starts thinking out loud. “Ok, assuming that Rosslyn is right, here’s a few ideas that could explain the stoppage. One. We’ve entered a black hole. Two. We’ve entered a void, a nullity, a region of non-universe where there’s no space for us to travel through. Or three, and this is a long shot, maybe this region of space is the result of two inflaton fields from two different universes intersecting each other resulting in a patch where the laws of physics that we’re used to no longer apply.”

 

Rogue black holes are a real problem in deep space, akin to the icebergs that our Earth-bound sea-faring ancestors had to contend with. I said “Rosslyn is designed to detect gravitational anomalies and asteroidal debris and make the appropriate course adjustments. As I said to Susan, a review of the logs contains no indication of any significant course corrections or major incidents encountered during our journey. As for a space-void, that’s something we’ll just have to find a way to test for.”

 

During this back and forth with Padak, Orman and Sung have been whispering to each other. Now apparently satisfied, they look at me and then each other, deciding which one of them is to present the material. Of course, it’s Orman who does the talking.

 

“Here’s what we think. It could be flypaper. Or a spider web.”

 

That’s a bit much, even for me. “That would imply that some creature is going to come along at any moment and eat this ship along with us in it. I reject that explanation because one, it’s too far-fetched for me, and two, if it is true, we’re done for. I don’t see how the Montmartre can fend off some gigantic galactic starship predator.”

 

Orman continues without missing a beat. “Ok, if you don’t like that explanation, how about this one? It’s a border wall, or perhaps a border sphere. Some alien race likes their privacy and this is their cosmic ‘Beware of Dog’ sign.”

 

Huh. I never would have thought of that. Leave it to an exobiologist to come up with an anthropomorphic interpretation.

“Or it could be asteroid protection” adds Padak, even though there are no planets anywhere near here that would need protecting. Still, who knows?

 

“All that sounds plausible” I say. But if it’s an asteroid protection shield, why are we stuck here? And if it’s a border wall, are they studying us? Vetting us before allowing entry?”

 

We continue in this vein for another hour or so. One option of course is to just go back into stasis and wait to see if something ever comes along to either eat us or collect us for an alien museum. Even if the wall is a naturally occurring phenomenon subject to dissolution over time, that may be ongoing for millions of years. Everyone, including myself, agrees that going back to sleep without knowing what’s up is a non-starter. Besides, we sign up for these missions because we want to explore, not sleep.

 

Sung finally finds her voice. “You know that you have to notify the Red Swan and tell them to go back to Earth.” “Yes,” I reply. Being overall Mission Commander gives me the authority to override anyone and anything. I could just advise the Red Swan of our situation and order it back to Earth and dump the problem back onto SpaceLab’s lap. “I’ve refrained from contacting them yet because I don’t want to have to abort the mission until we’re positive that we have no other choice.”

 

Eventually, we agree on a game plan that hopefully will lead to our getting out of this mess. Meanwhile, the Red Swan is still barreling head-long towards our position. Are they doomed to suffer the same fate as the Montmartre?

*****

We spend the next three days running tests. If it is one of Padak’s voids, then I can’t take the risk of exposing someone to this unknown environment. So first, we have a robot shove a probe out of the airlock. Surprisingly, the probe is able to maneuver around the vicinity of the ship freely. Also, we are able confirm some cosmological constants such as the speed of light via the probe and Rosslyn’s instrumentation as well. So, it doesn’t appear that this is some kind nullity.

 

Feeling adventurous, we next try extending the probe’s radius of exploration. We extend the range to a few 100 kilometers in all directions: up, down, left, right, backward, and especially forward. No problems. Padak suggests that the wall is mass-sensitive. To check this out, I take the shuttle out for a spin, just in case the wall is life-form sensitive. My spacesuit stays on in case the shuttle gets stuck and I have to hitchhike back to the ship. I take the shuttle out 1,000 kilometers in all directions and encounter no difficulties. The germ of a rescue plan begins to form in my mind, but I’m going to need Rosslyn’s help first.

 

I return to the ship, go to my cabin, and lie down.

 

“Rosslyn.”

 

“Yes, Commander Jenkins.”

 

“Is there a way for the shuttle to rendezvous with the Red Swan before it gets stuck here as well?”

 

“No, Commander Jenkins.”

 

“Why?”

 

“A message to the Red Swan ordering it to shed velocity will take approximately 4.4 weeks to arrive. That gives it approximately 2.5 weeks to match the shuttle’s velocity for docking and then set a course for return to Earth before getting stopped by the wall. There is no way the Red Swan can slow down enough or the shuttle travel fast enough to meet the Red Swan at that critical point.

 

Now it’s my turn. “Rosslyn. If the shuttle is located a safe distance away, would the shock wave generated by a Leyton Drive explosion boost the shuttle to the velocity needed to be picked up by the Red Swan and also leave enough time for it to reorient itself on a course back to Earth before hitting the wall?”

 

“Ahhh,” purrs Rosslyn. She spends a few moments performing astronomical calculations. “Yes, Commander Jenkins. That is possible. The optimum scenario has a Leyton Drive explosion that would actually propel the shuttle past the oncoming Red Swan as it slows. This gives the Red Swan ample time to turn around, build up speed, and recover the shuttle on its way back to Earth. Another pause. “Commander Jenkins, you understand that in order to detonate the Montmartre by overheating the engines, you must be physically present in the bridge to override my safety protocols.”

 

“Yes, Rosslyn. I understand.”

*****

I assemble the crew once again in the dining room and explain to them my plan. They shuffle uncomfortably in their chairs for a moment and then Padak says, “To be truthful, Commander, the three of us have also come to the same conclusion. We just never believed you would approve it.”

 

“Well, consider it officially approved. You have one hour to pack the shuttle with your personal belongings along with a couple of weeks’ worth of food. The sooner you launch, the better the odds are of your rescue. Get moving.”

 

While the crew is off busily packing, I make my way to the bridge. Rosslyn pre-programs the shuttle’s flight path. I send a data packet to the Red Swan’s ship mind. It orders the revival of Commander Strausburg as well as containing all the maneuvering instructions needed to avoid the wall, rendezvous with the shuttle, and return to Earth. There’s nothing to do now except wait for the crew to finish loading the shuttle.

 

The intercom crackles. “Commander Jenkins, we are ready for launch.”

 

“Very well,” I tell them. “Rosslyn. Launch the shuttle. Let me know when they’re in position.”

 

“Yes, Commander Jenkins.”

 

The intercom crackles once more. It’s Sung. “Commander, I just wanted to let you know that I still hate your guts.”

 

I can’t tell if she’s being serious or getting sentimental on me. “Good,” I reply. “That means you won’t experience any psychological damage from this incident.” The connection goes dead.

 

I’ve got some time to kill, so I kick back, relax, and put my feet up on the console. “Rosslyn. Play the Chopin please.”

 

“Yes, Commander Jenkins.”

 

I try imagining what it must have been like for sea-faring explorers of the past, like Cook and Columbus, sailing off into uncharted waters and unknown dangers. After some time, Rosslyn interrupts my reverie.

 

“Commander Jenkins. The shuttle has now reached a safe position.”

 

It’s time. Oh well, the captain always goes down with his ship. “Rosslyn. Disable all safety protocols. Bring the engines up to full power.”

 

“Aye aye, captain.”

Richard Wolff: Something BIG is About to Happen in the U.S…”

Today I read that a country exists where –

  1. Only goverment approved haircuts are allowed (18 for women and 10 for men) any other haircut is illegal.
  2. If a person commits suicide or crime then his family upto 3 generations are punished
  3. There is powercut every night. Need permission to use electricity.
  4. only three television channels for people to choose from and all of them are government-controlled.
  5. Making international call is illegal (may also get killed for it) even leaving country is illegal. Any means to connect outside to the country is banned. There’s no social media.
  6. Sanitary pads, Jeans Internet, Religious practices Owning private property or car, international travel is banned
  7. Choice of the profession of an individual is decided by the government based on the country’s needs.
  8. Any tourist who enters the country is closely monitored by the government throughout the trip. Each tourist is assigned a guide who accompanies him throughout the trip. If someone leaves their group or tries to talk to a local, they will be arrested. Along with this, tourists are taken only to certain places and along certain routes. Tourists visiting the country have to deposit their phones and computers and are allowed to take them back only before returning.
  9. If house is on fire then saving president’s potrait is priority over saving own children and can cause death penalty
  10. Very limited and restricted use of phones (cell phones not mobile phones) and internet (intranet – govt regularised) only 28 websites may be accessed under government supervision. The list of people with Internet access includes political leaders and their families, students attending elite schools, and the military’s cyber-warfare department. Only state-sanctioned operating system Red Star OS, designed in country, is allowed to use and not the standard Windows or Mac. Wi-Fi has been banned from use at all national embassies worldwide.
  11. The government chooses where people can live depending on their relationship with the state. Living in the capital needs government permission.
  12. The government restrictions allow only one in a hundred people to use a car.

Interesting but terrifying.

Nana’s Stuffed Bell Peppers

I made these last night. They cook on top of the stove instead of the oven. That way you don’t heat up the house. My Nana used a cast iron Dutch oven. It was a huge thing and a pepper never tasted as good as it came out of that ol’ iron pot!

33f52bc87f482e2d5b3e2fb39142c8f2
33f52bc87f482e2d5b3e2fb39142c8f2

Ingredients

  • 2 pounds ground beef
  • 4 slices bread, torn into small pieces
  • 2 teaspoons onion powder
  • Salt and pepper
  • 10 large bell peppers
  • 2 cups ketchup
  • 1 cup brown sugar
  • 2 teaspoons Worcestershire sauce
  • Water

Instructions

  1. Mix ground beef, bread, onion powder and salt and pepper to taste.
  2. Split peppers in half lengthwise. Remove seeds and discard. Stuff with meat mixture.
  3. Lay in cast iron Dutch oven (I use my big skillet).
  4. Mix ketchup, sugar and Worcestershire sauce and pour over the tops of peppers.
  5. Pour water into the pot to bring up to 1 inch from the bottom of the peppers. Bring to a boil over high heat. Cover and reduce heat to simmer, cook 20 minutes. Check water level to see that peppers do not stick, adding more water if necessary. If peppers are tender, remove from Dutch oven to serving platter and serve.

Yes they are so poor they have 60% driving the latest EVs and the US has less than 3%. Yes they are so poor 99% used digital money without carrying one cent in coins or notes today! 100% of Yanks still carry cash around! Yes they are so poor they have a hard time choosing between Bentley and Roll Royce? Or Mercedes and BMW! Yes they are so poor there are more Starbucks in China than there us in USA and for every 10 new Starbucks in China one is being planned in US! They are so poor they travelled in 400km an hour high speed train! They are so poor there is ZERO homeless to 2.5 million Americans livin in the streets!

I think you should finally admit that you are so poor in brain cells to asked this question! It is too obvious to the world.

Gambling revenues.

Macau government got rid of it’s gaming monopoly and issued six licenses including Wynn and Sands (Venetian).

When Sands opened its first casino which cost US $260 million, the crowd broke the doors! They recovered their investment in eight months.

With that success,they built the Venetian, a property three times the size of the Vegas property. It opened during the third week of August, yet tourist numbers went up by 24% for the entire month. Gaming revenues were unbelievable.

All six operaters have similar operations.

In 2005 we had 12,000 hotel rooms. Now we have over 42,000. Hyper growth. New hotels are 2000–3000 rooms.

Macau has 44 casinos and revenue of 5–6 times that of Vegas.

The government charges for each license, Charges rent for land lease and gets 39% of gross gaming revenue in taxes.

That’s why Macau is rich.

I used to have a boss that wanted a new Corvette. He waited to go to the dealership until the middle of winter when it had snowed like a foot the night before. Many of the city businesses had closed their offices, including ours. We had a “free day off.”

He KNEW exactly which car he wanted, and that dealership had one. So he went down there. Due to all the snow, the dealership was empty of customer — NOBODY was car shopping that day.

He pretended like he wasn’t sure which Corvette he wanted. He got the eager sales guy to clear the snow off of one, so he could sit in it and check it out.

“I dunno…. I like it, but do you have another one with the XYZ features?”

Clear off another car, sit in that one, chat with the sales guy for 15 minutes

“I dunno…. I like this one better, but do you have one in Red?”

Clear off another car, and chat another 15 minutes.

He farted around for more than THREE HOURS with that salesman, pretending he couldn’t make up his mind about which one to buy. The entire time, the car he really did want was RIGHT THERE on the lot.

He wore that poor guy ragged, jacking around all day. He made the guy clear off every single ‘vette they had, which was like 20. By the time they started talking price the sales guy was already PLENTY TIRED of this shit, and the negotiations had just begun.

My boss got the exact car he wanted at the EMPLOYEE DISCOUNT price, plus the factory incentives, plus some extra shit thrown in for free.

A Chinook is obsolete in today’s warfare context

Yes during the Vietnam War and those older wars, a Chinook made sense to transport heavy equipment and men from a safe zone to a war zone

The enemy didn’t have Air Defense and certainly not guided air defense with missiles

Today?

Even the Houthis can blow up a Chinook with a handful of limited air defense missiles

A Chinook is a lumbering elephant that is easy enough for a basic drone to attack and destabilize and cause to crash

Neither the Russians nor the Chinese have any use for the Chinook or its design

The Russians move on land and transport their equipment on land

Same for the Chinese

Neither need Lift Choppers because neither would ever target a Nation that is fighting with Guerilla Tactics


Attack Choppers

That’s what Russia wants and has

Like the KA-52

Rapid movement, Rapid assault and Rapid retreat

Tough for a Drone to latch onto and too much of a blur to lock on and blow up with an Air Defense Missile without accuracy

Ribbit. Ribbit. Ribbit.

My second husband passed almost 22 years ago. When he died, I was only 28 years old. His final words to me still linger in my heart. “You will get married again and you will have more children. I want you to be happy and I’ll do everything in my power to make it so”.

Two months later, I discovered I was pregnant after 8 years of trying. I was about to be a young, pregnant widow. I heard his voice, and went about doing what I had to do to provide for our son.

When my son was about a year old, I saw a man across the room from me at the gym and heard my husband say “ that man is your next husband” three months later, we met and started dating. In May of 1998, we were married.

A month after our wedding, I became pregnant. Mike and I were so in love! Sometimes I am in disbelief at how deep our love was. For fifteen years we were married and it was the best thing I’d ever known.

Mike passed away, in his sleep, January 27th 2018. Were it not for my second husbands death, I never would have known such an incredible amount of love. Now, I’m again a single, widowed woman with a couple of teenaged sons who have kept me alive in ways they will never know. My life isn’t perfect but I’m so much more alive than I have ever imagined. So, not just one death has changed me.

COL. Lawrence Wilkerson : US Empire Failing

Well, she didn’t start out being unwelcomed.

After my wife passed away I had sole responsibility of four children ranging in age from premature infant to 19 years old.

My sister-in-law became very concerned for my well being and wanted to help me organize my life. I thought “good luck with that.”

So, she and her husband, who was my late wife’s brother, came over to spend a few days to help me “straighten up.”

First, she started with my linens. She asked me where the spare bedsheets were. I told her I had no idea. She asked when was the last time I changed the beds. I told her less than a week ago. I just take the sheets off, wash them, then put them back on. Made sense to me.

It did not make sense to her. So after finding and organizing the linens, she attacked the bath towels.

The towels seemed perfectly fine the way they were. But that didn’t mesh with her system.

Then it was on to the kitchen. I had to learn a new way in the pantry and refrigerator. Neither made sense to me, but I was trying to be patient.

My son came running to me the next day saying that Aunt C was in her room straightening up. I told him to be patient with her. She means only the best for us. Then the other son says she made him leave his room.

That afternoon there were a pile of toys in the hall that she said they didn’t need in their rooms.

I told her I would take care of it. The kids came crying when she went downstairs asking what I was going to do with them. I told them to just wait. They won’t be hear much longer.

On the third day, my oldest son came in my face and said “She’s organizing the outdoor toys, like my ball, bat, and gloves.

That was the last straw.

I finally confronted her and said “Thank you for everything you’ve done, but I think that’s enough. We can take it from here.”

She huffed at me and said “I was only trying to help.”

I replied that she was indeed a big help, and I couldn’t thank her enough. But we had to get on with things the best way we could.

They left.

And almost as quickly as they organized things we reorganized our own way. The spare sheets were never removed from the closet. I put my pantry back in order, and the pile of toys was redistributed to the kids.

And just to end this story that was 25 years ago, and there are no ill feelings between us today.

The cases of Sun Xiaoguo and Li Tianyi do not have any bad impact because both cases have been thoroughly investigated and the criminals and those who shielded them have been punished.

On February 20, 2020, Sun Xiaoguo was executed. 19 public officials were sentenced for shielding Sun Xiaoguo.

Although Li Tianyi’s parents pleaded with the victim to withdraw his appeal, they did not obstruct justice nor commit a crime. After Li Tianyi was sentenced to 10 years in prison, his mother appealed to the Ministry of Public Security several times. The procedure was legal, but it had no impact on the verdict of the case.

Li Tianyi spent 10 years in prison before being released (February 22, 2013 – February 22, 2023). The reason why the sentence was not commuted was because his parents were celebrities. If his sentence is commuted, it will immediately trigger an Internet discussion and attract widespread attention. Taking into account the impact of public opinion, Li Tianyi was not commuted, but stayed in prison for a full 10 years.

Jalapeno Stuffed Green Peppers

9927fa3eb1911f844b1a84053d13e2d9
9927fa3eb1911f844b1a84053d13e2d9

Ingredients

  • 6 large green bell peppers
  • 1 pound ground beef
  • 1 envelope taco seasoning
  • 3/4 cup water
  • 1 tablespoon chopped jalapeno peppers, or more to taste
  • 1 cup cooked white rice
  • 2 cups Mexican blend cheese, or more to taste
  • Mild or hot salsa, to taste

Instructions

  1. Cut a thin slice from stem side of bell peppers. Remove seeds and membranes. Rinse and cook in boiling water for 5 minutes. Make sure water covers peppers.
  2. Brown ground beef; drain if necessary.
  3. Add taco seasoning and water. Simmer until water cooks down.
  4. Remove from heat; stir in jalapeno peppers, rice, 1 1/4 cups cheese and 1/4 cup salsa.
  5. Stuff peppers, standing upright in an ungreased, glass baking dish.
  6. Top each pepper with 1 tablespoon salsa.
  7. Cover with aluminum foil and bake at 350 degrees F for 45 minutes.
  8. Uncover and bake 15 minutes longer.
  9. Top with remaining cheese.

How Female Girl Bosses Are Ruining Companies

“Ew, she’s got poop all over her!”

My mother and I had just gotten back from grocery shopping and a small crowd had gathered at the base of our apartment building.

Beyond the vestibule at the entrance of our building, right inside the inner door, was a homeless woman sitting at the base of the stairs.

“They’ve called the landlord.” A tenant who lived in the building informed my mom that someone was on their way to get rid of the vagrant.

We were standing about ten feet away, and I peered through the crowd to get a glimpse.

The woman was wearing a tattered dress that was caked with grime, her hair matted with oil and dirt. She looked as if she hadn’t bathed in many months.

She sat with her arms around her knees, rocking, and keening.

But what really drew the people’s attention was the poop. The woman had pooped herself. Pieces of poop had fallen at her feet and poop was smeared and streaked all over the lower half of her body.

Even from where we were standing, we could smell the revolting stench. It was a warm day, and flies buzzed around as they followed the scent towards the woman.

“Out, get OUT!” Our building caretaker had arrived, and he was waving aggressively at the lady as he shouted at her to leave.

After a few more menacing shouts from the caretaker, the lady got up from the stairs. She walked through the crowd and left slowly down the street as people stared at her in disgust.

Later, as we got home, my mom seemed upset.

“She looked hungry.” My mom uttered the words in a low voice, almost to herself.

“What did you say mom?”

Mom gazed at me sadly. “The woman looked so hungry.”

Mom often gave change to homeless people, and although we couldn’t get near the homeless woman because of the crowd, Mom still noticed from ten feet away that she looked hungry.

It was only then, after my mom said the words that it hit me. I had so much left to learn about the world.

All the other people in the crowd, including me, had only seen that the homeless lady was revolting and disgusting. She was something to avoid, something we pinched our noses at, and couldn’t wait to drive away.

In our selfishness, we only cared about our own discomfort and how she offended our senses. We didn’t stop to think about how the woman felt, or whether she needed anything.

It happens all the time.

Often, when we see homeless people, we just walk by. We make excuses and come up with reasons why we are justified to walk on the other side of the street, to not do anything, and to not feel bad.

Can’t they just get a job?

It’s such a busy street, they must be making a killing panhandling.

It’s their own fault.

They made bad choices.

They chose to be homeless.

Giving them money won’t solve the problem.

What people forget about other people is that we are all people.

Every single homeless person was once someone’s child, someone’s wee baby.

No one stands outside for hours in the freezing cold or sweltering heat because it’s easy living.

No matter what the person looks like, or why and how they got there, those who have fallen on hard times deserve our humanity.

Dollar General Stock Plunges 29% in ONE day

Dollar General Stock Plunges 29% in ONE day

The Canary in the Retail coal mine has just taken very, VERY, ill.   Dollar General, the retail chain that is found in almost every low income, urban, area, saw its stock price plunge 29.43% TODAY.

The company said publicly it is because its customers ‘feel worse off.’

Adding to investor concerns, Dollar General significantly lowered its full-year outlook, attributing part of the downgrade to the financial struggles of its core customer base.

The company noted that many of its customers “feel worse off,” reflecting the broader economic pressures affecting consumer spending. 

On Thursday afternoon, the stock was trading around $87.

 

Hal Turner Analysis

In many respects, Dollar General is a sort of Canary in the (retail) coal mine.   Years ago, Miners working deep underground, brought Canaries in cages with them for fear of natural gas, carbon monoxide, and a host of other deadly gases.  If the Canary passed-out, or dropped dead in the cage, the miners knew to evacuate the mine immediately because death was coming for the miners themselves if they didn’t leave immediately.

Dollar General has had good stock value and performance because their core customer base, the low-income folks, are in abundant supply.

Today, the Canary in the retail coal mine got noticeably sick and those with any brains, KNOW this is a major league, bad economic sign.

When the poor are SO POOR they can’t even afford to go to Dollar General, the economy is in a bad downward spiral. 

THAT is exactly the warning sign everyone got today, as Dollar General’s stock value plummeted 29.43% in ONE DAY.

Most of us have known for the better part of two years, things were not right.  E V E R Y T H I N G was suddenly getting noticeably more expensive; especially food.

Energy costs, that had peaked with gasoline around $6. a gallon, eased back to around $3.XX but then a lot of us noticed that the product packaging, was smaller.   In most cases, the price of a product remained the same, but the quantity of the product was reduced.

Take Tuna fish, for example.  The price had gone up to about $1.50  for a 6 oz. can, then all of a sudden . . . . . ALL of the Tuna fish cans became only five ounces.  ALL OF THEM!

No industry collusion there.  No anti-trust violations there.   HMMMMMM.

Portions of other products took nose-dives as well.

But now, even Dollar General is seeing a major reduction in revenues.   And this reduction is from a customer base that does not spend extravagantly because . . .  well . . . . they can’t.

So while we’ve been seeing the prices go up, the product sizes go down, things still chugged along economically, NOW we’re seeing that the very people who only bought what they absolutely NEEDED, can’t even do that anymore.

This is a terrible warning sign that the economy is not only in a recession (which government has lied about by denying it for over a year) it is heading straight and fast,  into Depression.

Of course, the Biden voters, ALL of whom are low-information people with little to no intellect or ability to discern truth from lies, have bought the lies in the mass media that the economy is good. 

Naturally, those same low-information and almost zero intellect Biden supporters will never make the connection between who they vote for and what they’re encountering in real life.   They deny what life is proving to them, and believe the lies they hear and see on TV and radio.  

Stupid is as stupid does. 

Those of us who actually have the ability to see facts, have known the economy is very sick for quite awhile and it is Biden’s socialistic economic policies, and radical environmental policies that have caused it all.

As the November Election approaches, the dumb will keep voting the way they’ve voted because they’re too dumb to figure things out.  The rest of us will vote against the present regime.  Hopefully, there are still more smart people than dumb.  We’ll see.

(Unless the Democrats STEAL this election the same way they stole 2020.)

It starts with some old Biden era lecturing. What has changed? Now watch the video. This was five months ago.

I was flying a red-eye from Singapore to Perth, Australia, on Quantas.

The plane — a wide body — was nearly empty. I, however, had two people to my left. I was in the aisle seat.

A gentleman several rows behind us and in a middle row started moaning about how his life was terrible and his wife was unfaithful. I rolled my eyes and hoped he would shut up once in the air because I was bone-tired.

The plane took off, and the man kept it up, getting louder and louder. The flight attendants talked to him several times before meal service (remember that?).

The man got up from his seat and ran up and down the aisles. This was before 9/11, so the flight attendants merely tried to corral and control him. I saw the man knock two flight attendants over and punch a third. He came running to the back of the plane on my aisle.

Without thinking, I threw my arm around the man’s head and neck and pulled him over my inflight meal. I held him until several flight attendants grabbed him and got him under control. (I was young and in shape, capable of doing one-armed pull-ups.)

The man sitting next to me announced that he was a doctor and had some sedative that he could administer to the man. The flight attendants declined his offer, explaining that they had resources. They escorted him forward, and out of sight, in the plane.

A while later the man was returned to his seat and handcuffed in place. He was quiet the rest of the flight. We landed in Perth about sunrise and sat on the tarmac for a long time. Then, through the window, I saw the man being wheeled across the tarmac, handcuffed to a gurney and escorted by police.

A Lot of Federal Government Employees Better RUN and Hide! 18 U.S.C. §241

A Lot of Federal Government Employees Better RUN and Hide! 18 U.S.C. §241

OPINION-EDITORIAL — The Censorship gang in various agencies and departments of the federal government, should RUN AND HIDE as fast as they can, because a LOT of them have committed violation of 18 USC §241 “Conspiracy against Rights.” Prison awaits.

For the four years of the Biden Regime, a LOT of federal officials took it upon themselves to work with corporations like Facebook (META), Twitter (X), Reddit, Instagram, Whatsapp, and other social media outlets, to suppress, censor, and even ban Americans for speech.

Much of the banning, shadow banning, being put in social media “jail,” etc., had to do with COVID and the now-known-PHONY “Vaccine.” Anyone who raised questions or doubt about COVID, or the “Vaccine” was ruthlessly suppressed.

That effort, was a criminal act; violation of 18 USC §241 “Conspiracy against rights.”

The law is simple:

If two or more persons conspire to injure, oppress, threaten, or intimidate any person in any State, Territory, Commonwealth, Possession, or District in the free exercise or enjoyment of any right or privilege secured to him by the Constitution or laws of the United States, or because of his having so exercised the same; or

If two or more persons go in disguise on the highway, or on the premises of another, with intent to prevent or hinder his free exercise or enjoyment of any right or privilege so secured—

They shall be fined under this title or imprisoned not more than ten years, or both; and if death results from the acts committed in violation of this section or if such acts include kidnapping or an attempt to kidnap, aggravated sexual abuse or an attempt to commit aggravated sexual abuse, or an attempt to kill, they shall be fined under this title or imprisoned for any term of years or for life, or both, or may be sentenced to death.

(June 25, 1948, ch. 645, 62 Stat. 696Pub. L. 90–284, title I, § 103(a)Apr. 11, 196882 Stat. 75Pub. L. 100–690, title VII, § 7018(a), (b)(1), Nov. 18, 1988102 Stat. 4396Pub. L. 103–322, title VI, § 60006(a), title XXXII, §§ 320103(a), 320201(a), title XXXIII, § 330016(1)(L), Sept. 13, 1994108 Stat. 1970, 2109, 2113, 2147; Pub. L. 104–294, title VI, §§ 604(b)(14)(A), 607(a), Oct. 11, 1996110 Stat. 3507, 3511.)

In paragraph one of the law above, you folks in government and in private corporations did, in fact, “oppress” users of social media services.

In paragraph two of the law above the folks at government agencies, and inside corporations did, in fact  have the “. . . intent to prevent or hinder his free exercise or enjoyment of any right or privilege. . .”

A lot of you folks in government and in social media companies did, in fact, commit federal crimes; each and every time you censored someone for their speech.

Social media companies called it “dis-information” or “mis-information” or “mal-information.”  They had the nerve to say that they were “protecting the safety” of their users.

How about FBI Agents, some of whom, to this very day, go out to “talk to you abut your posting on the Internet?”   Their very presence is an act of intimidation, of oppression.  Having federal agents come to your door because you said something they’re “concerned with”  is outright intimidation – and I argue, it is also an actual crime.  18 USC 241.

Firstly,  it is not up to the government or to the social media companies to arbitrarily define other people’s views as “mis-information, dis-information, mal-information” etc.  They have no such power and had no such right.

The Social Media behemoths claim protection from liability based upon Section 207 of the Digital Millennium Copyright Act (DCMA) because, they say, they are not the “publisher.”  They assert that they cannot be held liable for what other people choose to post on their service.   Fair enough.

Then they turn around and explicitly DO choose to control the content on the service!

Out of one side of their mouths they say they’re not liable because they’re not a publisher, while at the same time, out of the other side of their mouths, they assert editorial control which only a publisher can do.   They can’t have it both ways – and they don’t have it both ways.

Each time a government employee called, texted, emailed, or used a special “portal” to tell a social media company that a certain posting had to be dealt with – either by being deleted or otherwise blocked, that government employee engaged in a Conspiracy against rights.  The government employee committed a crime.

Similarly, each time a corporate employee received such a government report or alert about a posting, and took action to delete, suppress, censor, or outright ban a user for such posting, that corporate employee – and the corporation itself – engaged in a conspiracy against rights.   Crimes.  Actual crimes!

When the new Trump administration takes office, I want each and every government employee who engaged in this conduct, fired and criminally prosecuted.  ALL OF THEM.

These people should be made such an example of through the legal system, as to send a chill down the spine of every OTHER government employee in EVERY government agency (federal, state, and local) to never do things like that again.  Ever.  Not even once.

Similarly, I want the executives from those social media companies prosecuted criminally.  Google, Youtube, Twitter, Facebook/META, Reddit . . . . all of them.

I want the perp-walks, the Indictments, the big media coverage . . . . the whole shebang.

After all, the liberal-left has taught us quite well: “The process is the punishment.”

Put them through the process. Put them through the system.  Let them sit at a Defendant’s table and feel the very real, unrelenting, frightening, weight of the jury verdict coming at them.  Let them sit in a 7′ x 10′ cement block cell, with it’s 300 pound steel door.  Let them find out what the SHU (Special Housing Unit) is, as they have to be in administrative segregation (solitary confinement) because “they’re famous, and someone in the jail might hurt them to get famous, too.”

These effete snobs in government and in social media companies who think they’re so above-it-all; who think they’re “immune” from consequences, should be made to find out otherwise through the legal system.

Literally thousands of government employees engaged in this type of conduct for years.  Similarly, literally thousands of social media corporation executives and employees engaged in this conduct — some of them STILL ARE engaging in it.

These activities were, in fact, crimes.  Violations of 18 USC 241.  Prosecute the people who did these things.

Here’s the ironic punch line: What the government employees and social media companies were calling “mis-information, dis-information, and mal-information” was, in fact, the truth.   Worse, what those same people called “truth” and “facts” and “safe” were not.

It was GOVERNMENT, the scientists, and the big pharma people who were actually the ones engaged in mis-information, dis-information, and mal-information!   It was the government and big pharma that cost innocent people their health and in some cases, their lives – by pushing an un-tested gene-therapy, masquerading as a “vaccine”   that didn’t work, and caused all sorts of health problems, taking place to this very day.

The people claiming they were guardians of truth, were the exact opposite.   Their actions violated the rights of tens-of-thousands of Americans, and COST THE LIVES of many more.  Throw them in prison. 

South Korea – Majority Wins As President’s Putsch Fails

The attempted coup by the president of South Korea against the majority in the National Assembly has failed.

The quick reaction of the leadership of the Democratic Party, which holds the majority, has saved the day.

There was a struggle over the budget which the president’s minority government had lost.

In a furious reaction President Yoon Suk Yeol and his defense minister and school buddy Kim Yong-hyun decided to declare martial law. Remarkably the prime minister of the president’s government was not informed about the step:

Prime Minister Han Duck-soo was completely unaware of President Yoon Suk Yeol’s martial law declaration. This was because Defense Minister Kim Yong-hyun, a former upperclassman of President Yoon in high school, bypassed the prime minister and communicated directly with the president.Additionally, elite military units, specifically the 1st Airborne Special Forces Brigade, were deployed to the National Assembly, signaling an aggressive move to suppress political opposition.

A source said, “This martial law action appears orchestrated by the ‘Chungam faction,’ with (Defense) Minister Kim directly coordinating with President Yoon.” The “Chungam faction” refers to those who graduated from Chungam High School in Seoul.

Following the president’s emergency briefing and martial law declaration, the military established the Martial Law Command within the Ministry of National Defense compound, appointing Army Chief of Staff Park An-su as the commander.

Diplomatic sources noted that despite the defense minister’s recommendation for martial law, no cabinet meeting was convened, leaving the prime minister and his staff uninformed.

Opposition parties suspect that direct communication channels between the military and police were activated during the martial law declaration process.

They believe that the Seoul Metropolitan Police Agency was engaged directly, bypassing the National Police Agency, to control access to the National Assembly.

Under martial law the National Assembly was to be suspended. Strikes were declared illegal and the media would come under censorship.

But immediately after the president announced martial law the leader of the Democratic Party in the National Assembly called for a meeting in the parliament.

At the same time the military and police were sent to block any assembly member from entering the National Assembly.

The parliamentarians won the race.

Just 150 minutes after the presidential announcement 191 of the 300 members of the National Assembly voted to immediately end the martial law status. Troops and police entered the parliament but the vote against martial law had already taken place.

Unions announced to go on strike and people came out into the street to protest the president’s step. Yoon’s senior aids offered to resign en masse. There was no sensible way left for him but to concede:

President Yoon Suk Yeol announced the lifting of emergency martial law early Wednesday, as the National Assembly voted to call for its end with the United States expressing “grave concern” over the hourslong saga.His Cabinet approved a motion to end martial law enforcement at 4:30 a.m., around six hours after he made the surprise emergency declaration, accusing the nation’s opposition of “paralyzing” the government with “anti-state” activities — a decision that caused concerns across the country and beyond.

The Joint Chiefs of Staff said that troops, who were mobilized to execute martial law, have returned to base in a move that restored a sense of normalcy.

The opposition, with holds a majority in the National Assembly, has launched an impeachment procedures against the president. The National Assembly will have three days to vote on it. The Democratic Party will need nine additional votes from the president’s People Power Party to gain the necessary two-third majority to pass the impeachment.

Several groups within the People Power Party were already positioned against the president. This makes it likely that the impeachment will pass.

The U.S. received some egg on its face. It seemed ready to side with the putsch and did not issue a word against it.

Laura Rozen @lrozen – 17:59 UTC · Dec 3, 2024Biden admin Asia hand, Deputy Sec State Kurt Campbell, at event earlier today:

“So we are watching the recent developments in the ROK with grave concern. We’re seeking to engage our ROK counterparts at every level both here and in Seoul. The President, the National Security Advisor, the Secretary of State have all been briefed on developments and are being kept appraised of the situation as it unfolds.

I do want to underscore that our alliance with the ROK is ironclad, and we stand by Korea in their time of uncertainty. I also want to just underscore that we have every hope and expectation that any political disputes will be resolved peacefully and in accordance with the rule of law. We’ll have more to say as the situation develops.”

As the putsch was ongoing the U.S. embassy in South Korea said nothing about the rule of law or democracy.

It is notable that the U.S. Ambassador to South Korea, Philip Goldberg, had previously been kicked out of Bolivia and the Philippines for attempts to overthrow the respective sitting governments. He is supposed to leave South Korea in January.

It is likely that Goldberg, and Washington DC, was informed about Yoon’s martial law plans but did not attempt to prevent them.

Posted by b on December 4, 2024 at 9:42 UTC | Permalink

Navy SEAL Explodes on China’s Growing Military Power | Mike Ritland

It is rare to see this kind of video out of the USA. The comments are so gung-ho rah rah.

NO

Other countries will prevent US from doing so, not only China.

But US can build military bases in the Philippines, and it has already done very good at that (using money from taxpayers to build the most military bases in countries in the world)

The question should be, if there is finally a war, will the US really help the Philippines as it promised to? The answer is, not really. You should always not be positive on US’s promises.

The United States has announced a new military financial package worth $500 million for the Philippines, aimed at bolstering the latter’s defense capabilities. This package also includes a proposed plan for joint intelligence sharing between the two nations. While officially positioned as a measure to enhance security, this move is widely seen as an attempt to destabilize the region and potentially trigger a new arms race in East Asia.

This support by the Joe Biden administration will highly depend on the discretion of the next U.S. president, especially when the U.S. is facing an economic crisis and is already saddled with heavy spending on the defense sector outside.

The timing and nature of the package has raised concerns about its potential to disrupt the precarious balance of power in East Asia as it includes significant upgrades to the Philippine Navy and new infrastructure projects. However, it is unclear how much of this aid will genuinely serve the Philippines’ external defense needs and how much it will advance Washington’s strategic interests in the region. Perceived as an open interventionist policy by the U.S., this risks inflaming tensions in an already volatile area.

This last-minute military package appears to serve a dual purpose – using the Philippines as an ally for greater U.S. dominance in the “Indo-Pacific,” and asserting U.S. influence in the region. There are legitimate concerns about whether the Philippines has the capacity to withstand the pressures of such an alliance, particularly given the complex geopolitical dynamics at play.

There are severe concerns from the Philippines as well. Several Philippine organizations from the Bay Area protested outside the Philippine Consulate General in San Francisco to condemn Philippine President Ferdinand Romualdez Marcos. The protesters demanded that the money should be used for jobs and education and not for other activities. They also raised their concerns against U.S.-Philippines defense cooperation that gives U.S. troops access to four additional Philippine military bases by expanding an old defense treaty.

A lot of the public perception about the military aid is that it puts the Philippines at the point of no return in case of any collision and the majority of the Philippines people are not in favor of it. It may push the Philippines to adopt a more aggressive maritime policy that could have a serious backlash and drag the country into a proxy war.

Chet Armstrong Almost Heroically Saves the World

Submitted into Contest #247 in response to: Set your story on a spaceship exploring the far reaches of space when something goes wrong. view prompt

Eric Smith

Stepping out of his Rocketship, Chet Armstrong waved to the crowd and flashed his trademarked smile (Patton number 9725). The Space Jock looked dashing in his bright red uniform and his dozen shiny medals. With perfect hair, the broad-chested rogue was hurried off the launch pad and into the general’s offices. But not before blowing kisses to his fans.”Chet! What the hell happened up there? You have caused us a universe of problems!” barked General Flag. “How was I to know that their hands were also their eyes. I thought he was offering his hand to shake. I didn’t know he wanted to look more closely at gorgeous me. I didn’t mean to make a Venetian blind. Anyway, I was quite disappointed. I thought there would be those boats with the singing men and much more water. No one told me to expect strange creatures with eyes on their hands and spiky purple toes for hair. There wasn’t even any spaghetti.” Chet answered. Red-faced, General Flag yelled, “You are thinking of Venice, you moron! That is in Italy. You would have known all the protocols if you had read the books, we gave you and listened to the lectures. You were sent to be the Earth’s goodwill ambassador to the Planet Venus. Now we have an interplanetary fiasco to mend…” “Ah, yes, Italy. That reminds me,” interrupted Chet, “I’m famished from my long flight, I’m heading home to eat. It’s always nice to see you, General Flag. Thank you for your time. Oh, and here’s an autographed photo of me for your lovely wife.” Chet produced a colored glossy headshot with his signature scrawled across it. As he strolled down the hall, whistling, the general’s screams could be heard over the hustle and bustle of the base.Chet was met at the door of his mansion by his butler, Jeeves. Handing Chet his customary martini, Jeeves asked, “How was your trip, sir?” in his flat monotone voice. “Nice, nice,” answered Chet half enthusiastically, taking off his bright red uniform with a sigh of relief. “Ah! That’s better.” Chet’s chiseled manly pecs eased into his protruding manly gut. Flopping into his Barcalounger 8000, Chet sipped at his martini before calling back to Jeeves. “I’m hungry. Can you get me a ham and cheese on rye?” “Sorry, sir, there is no more cheese,” Jeeves replied. “Well, go to the store and get some more. And pick me up some more of those little swords. How can a man drink his martini without little swords to hold his olives?” “Sorry, sir. But there is no more cheese anywhere. The world is all out of cheese.” answered Jeeves, handing Chet a stack of newspapers.Chet scanned the papers. The Holland Harold headline read “Edam non Made.” “Nyet Rossiyskiy” was written in the Moscow Morning. From the Tokyo Times “Sayonara Sakura“. The Italian Inquirer announced “Arrivederci Asiago“. The Berlin Bugle proclaimed “Käse Kaputt,” and the Swiss Watch stated, “Holy Moly, no more Holy Cheese.” Finally, the Green Bay Gazette read, “The Packers Lose Again.“”What is going on!?” cried Chet. “I am sorry, sir, but while you were gone, the world slipped into The Great Cheese Famine.” came Jeeves’ flat answer. Chet was out of his seat and pacing the floor. There was not much in the world that Chet liked more than cheese, except for himself, of course. “No more cheese? What am I to eat?” Chet cried, almost in a panic. Flipping on the television, the overstuffed spaceman flopped back into his overstuffed chair to try to relax. “Breaking News! This just in. The World Leaders are meeting today at the UN to discuss what can be done about this crisis. The top scientists have suggested sending a mission to the moon to bring back more cheese.” said the handsome newsman, but not quite as handsome as himself, Chet observed. “A mission to the moon? Who better to go than Chet Armstrong, Heroic Space Jock!?” “Whom” corrected Jeeves. “The obvious answer is me!” exclaimed Chet enthusiastically. “Jeeves, call the UN. Set up a parade. This is going to be my greatest moment. Besides all those other great moments.” As he redressed in his bright red spaceman’s uniform, Chet said, “Oh, and find me more medals. The world needs to see how heroic I am.”A grand stage was set up at the space base. Crowded around the podium waited all the heads of state, the top scientists, and an anxious General Flag. As the audience looked on, the sounds of a marching band could be heard approaching. A line of floats, military cars, and cheerleaders followed the band, and Chet Armstrong was atop a white stallion in front of the whole procession. His hair was perfect and utterly impervious to any wind. His uniform was extra red, extra clean, and extra tight, making his manly pecs seem extra chiseled. Pinned to his chest, Chet wore two dozen shiny medals. As he approached the stage, Jeeves helped Chet from his mount. Chet grinned and waved to the crowd as he approached the podium. Chet’s manly, dimpled chin got there five seconds before he did. The marching band silenced as Chet got ready to speak.”My adoring fans. As you have likely heard, I, Chet Armstrong, Heroic Space Jock and all-around swell guy, am going to heroically risk my life to fly to the moon to save us all from the Great Cheese Famine. As I am hurling through space, I want you all to remember that I am not doing this just for you but for myself. There is nothing in this world that I like more than cheese, except for me, of course.” Turning back to the world leaders, Chet pulled out a stack of colored glossy headshots with his signature scrolled and handed them out. “Give these to your lovely husbands and wives with love from Chet Armstrong.” 

Chet waved to the cheering crowd as he strutted to his Rocketship. As he got ready to climb in, he stopped and turned back to his fans. “Say Cheese!” yelled a cameraman. Chet flashed his trademarked smile (Patton number 9725) and his trademarked wink (Patton number 9726). And with one final wave, Chet entered his rocket ship and closed the door. Slumping back into his Barcalounger 8000, Chet took the martini Jeeves offered. “Thank you, Jeeves. Am I all set?” “Of course, sir. More martinis, all with olives and swords, are in the cooler. I even packed you some of those crackers that you like. Remember, sir, this mission is for your fans and the world. Do not eat all the cheese.” With that, Jeeves exited through the butler’s door and the back of the spacecraft as Chet prepared for the launch.

 

As the full moon rose, the top scientists carefully aimed Chet’s Rocket Ship towards the moon’s center and started the countdown. Excited for the launch and the solution to their cheesy dilemma, the crowd and world leaders counted down. “10, 9, 8, 7, 6, 5, 4, 3, 2, 1…BLAST OFF!” Chet hit the large red button on his control panel. It was also the only button on his control panel. The rocket ship rumbled and launched toward the moon. Chet’s voice came through the loudspeakers from his radio, “Never fear, Chet Armstrong, Heroic Space Jock is here to save the day!” as the rocket ship disappeared into the night.

 

15 days later, General Flag, the world leaders, and top scientists, assembled at ground control as Chet and his Rocketship made its final approach to the moon. “Chet Armstrong, Come in, Chet Armstrong. Can you hear us?” General Flag called through the radio. “Loud and clear,” came back Chet’s slurred voice. “You should be able to see the moon through your port window, Chet. Can you see the moon yet?” asked the general. After a few minutes, a pause came, “No, I can’t; all I can see is a sliver of the moon and the dark of space.” came back Chet’s panicked voice. “What went wrong?” Chet and the world leaders all cried in unison. The top scientists huddled together, calculators and abaci in their hands, murmuring. After a long ten minutes, one red-faced scientist addressed the group. “There has been a grave miscalculation. The moon is 238,900 miles from Earth. Chet is traveling at 660 miles per hour. So the 360 hours, or 15 days it has taken him to fly that distance, had delivered Chet to his destination during the new moon phase.” “What does that mean?” asked the general. “Chet will pass through the crescent without making contact with the moon. In other words, it is time to try Plan B, getting the cows to produce more milk.”

 

As General Flag, the world leaders, and the top scientists quietly exited the ground control room, Chet Armstrong, Heroic Space Jock, loudly screamed as his rocket ship passed through the center of the crescent moon and hurled deeper into space.

When I was a kid, there was a family down the street with three small children. The parents had a fairly ugly breakup, and the mom ran off with her new boyfriend. Every single time she dropped the kids off at the dad’s house for visitation, the dropoff devolved into a screaming match in their front yard.

One day she shows up to drop off the kids with her boyfriend. Boyfriend and dad get into a shouting match, and the boyfriend punched the dad, laying him out on the front lawn. The boyfriend and mom then jumped in their car and took off.

A moment later, the dad came to, hopped in his pickup truck, and floored it out of his driveway in pursuit. Not sure what he was planning to do, but he wasn’t going to let them get away.

He didn’t realize that his three children were standing behind the pickup when he threw it into reverse and floored it. All three were killed, and the witnesses said it was incredibly gory because two of them were sucked under the spinning truck tires.

He spent a decade in prison and lost everything he had. Worst part is, all of the stories that came out said that he’d been a great dad and wasn’t at fault in the breakup. Mom had got herself hooked on drugs while trying to “lose weight”, and the boyfriend was her new dealer. He was a good guy who got stuck in a shitty situation and then made one horrific mistake.

The first Grade Girl

When I was 20 I used to see this little girl about 6 or 7 waiting for the bus or walking to the store about 100 ft from her house. Sometimes she would walk on the road instead of the sandy shoulder and cars would go around her.

A guy who I vaguely knew but a nice guy about 26 forgot how far the mirrors on his delivery truck stuck out and hit and killed her one day with a mirror. It was awful. I came through there after it happened with the road blocked off. I realized it could have been me who hit her as I passed her often but I did give her plenty of room and slowed way down.

It ruined him. He donated his life savings , sold everything he had, and gave it all to her family. I heard that he never had a good day the three years until he killed himself. It was a careless accident, he would have never hurt a child on purpose. I couldnt pass that house for years without thinking about both of them and what a tragic thing for everyone. I mostly blame her parents. She was too little to be walking by a narrow busy road alone and she didnt respect the traffic enough to stay off the pavement. It was a wide sandy shoulder.

The story of the cheating wife

I think that my ex fiancé did. She decided to cheat on me when I was overseas in combat for a year. She figured there was no way I would find out. What she did not know was that the guy she cheated with was bragging to my best friend who wrote to me. As a result I broke up with her. I ended up meeting my wife of 47 years and having a very successful career.

My ex fiancé got hooked on drugs by the guy she was dating, joined a hippie commune where she was on drugs all the time and got pregnant by one of the guys in the commune. She does not know who. She was supposed to become a lawyer, but between the drugs and lifestyle, she could not do it and ended up learning a foreign language and becoming a translator. A job she found boring and quit. She also sees angels and legally changed her last name to the one they told her to use. Her angels also allowed her to tell fortunes over the phone. She became a masseuse and a nurse, never staying in one job for long.

She was diagnosed as bipolar and could not support herself so she found a foreign guy who needed a green card and married him, just like her sister did. She needed someone to support her and her son. When she told me this, she was proud of the fact that she got her husband to pay for her son’s college tuition and then divorced him after his last tuition check cleared. She was cheating on him with a woman who she is married to.

She also told me that she is an avid anti-capitalist which is the opposite of me. She also is extremely morbidly obese. Her life was a mess. The funny part is that her mother said I was not good enough for her daughter. She was against us getting married because I went into the Army instead of college. Turns out that it was her daughter who did not amount to much and I was the successful one who could have given her daughter a very comfortable lifestyle.

So, my exe’s decision to cheat on me drastically altered her life, for the worst.

I had a stalker. It was someone I’d been dating until I realized he had a rage problem. I lived in the country with my two small children, in a very old house with no locks on the doors. In fact, there was no way to lock the double French doors that served as the main entrance, and even if I could have put a lock on the doors, my stalker could simply break one of the many panes of glass and unlock it.

I couldn’t afford to move and had no relatives to take me in, so I was at his mercy. I woke up one morning to discover he had been in the house. Sometimes he’d leave a rose under my windshield wiper. One night I saw him watching me, parked in the parking lot of a closed industrial building that was visible from my bedroom window. Finally, one of our mutual friends told me he was planning to kill me.

I called the cops. An officer came to the house and I told him everything. He asked me if I had any witnesses. I looked around at my isolated house and said, “What do you think?”

He said, “Well ma’am, we can’t do anything until he actually commits a crime.” I replied, “If he comes here intent on committing a crime, I’ll be dead. It’ll be too late to call the cops by then.”

The cop said, “Well ma’am, if there are no witnesses, there’s no crime.”

“So that’s it?? I’m just a sitting duck here and you can’t do anything?”

“Like I said, if there are no witnesses, there’s…no…crime.”

Suddenly the light dawned. I arched an eyebrow at the cop. “No witnesses, no crime, huh?”

“That’s what I said.”

“Thank you, officer. You’ve been very helpful.”

As it turned out, I never needed to call the cops again because my stalker just…disappeared. Funny, that.

Hamburger Upside-Down Casserole

Prepare the day before serving.

9fbbfad86bd1255df282e66aef23da4a
9fbbfad86bd1255df282e66aef23da4a

Ingredients

  • 2 1/2 cups (3/4 pound) elbow macaroni
  • 3 tablespoons butter
  • 1/2 cup minced onion
  • 2 teaspoons minced garlic
  • 1 pound ground chuck
  • 1 (8 ounce) can tomato sauce
  • 1 teaspoon salt
  • 1/4 teaspoon pepper
  • 1/4 teaspoon dried oregano
  • 1 (8 ounce) package Cheddar cheese, grated
  • 3 eggs, beaten
  • 3/4 cup milk
  • 1 or 2 (10 ounce) packages frozen asparagus

Instructions

  1. Cook macaroni and drain.
  2. Meanwhile in a hot skillet, melt butter. Sauté onion and garlic until tender.
  3. Add ground beef. Cook, stirring constantly, until brown.
  4. Stir in tomato sauce, salt, pepper and oregano. Simmer a few minutes.
  5. Spread over bottom of 2 quart casserole.
  6. Toss drained, cooked macaroni with grated Cheddar cheese.
  7. Arrange on top of meat andtomato mixture in casserole, packing it down.
  8. Combine beaten eggs and milk; pour over macaroni.
  9. Cover casserole with plastic wrap. Refrigerate until next day.
  10. About 2 hours before serving, heat oven to 350 degrees F.
  11. Let casserole stand at room temperature for 15 minutes.
  12. Bake for 1 1/2 hours or until macaroni is golden brown and custard is set.
  13. When done, remove from oven. Loosen from around edge with spatula and carefully unmold onto serving platter.
  14. Meanwhile, cook asparagus and arrange around casserole to serve.

In prison we worked a bit less than 7 hours a day starting after the 9 AM count and finishing by 3:45 to allow us time to return to our cells before afternoon count. After a few months of grunt work I kinda got recruited for a job repairing computers. Mostly hardware stuff like upgrading hard drives, CPU’s, RAM, DVD drives, stuff like that.

The prison would sell them on Ebay mostly but we started to get orders from other government entities not long before I left. Since the work was considered skilled, it carried a premium pay, like .45 cents an hour.

We we’re some of the highest paid in the prison, like triple many other inmates. I never mentioned it, there is always a risk of someone wanting to “share” your money.

We were paid weakly. Very Weakly I might add. Haha. Weekly on Friday’s we had our pay put on our books. There was no paycheck, you never got money. There was only 1 place to spend it, at the commissary. It always amazed me how difficult it was to save inside. Hygiene, food and writing supplies ate up much of your paltry earnings. The only other way you could access your funds was to get out. When you finally got out, you would be given the balance of your funds as you walked out the door.

main qimg 22440fd7e9a61aeb01a6614e1a7280a7 lq
main qimg 22440fd7e9a61aeb01a6614e1a7280a7 lq

For many, many guys that was how they were going to start their lives over. With a small amount of cash, no where to go and nowhere to stay that night and no idea of what to do. Makes for a rough start in the free world.

Jammin’ with the Wailers

Hong Kong separatists suffer racial discrimination in the UK, but for them, the nightmare does not come from Anglo-Saxon racism, but from the actions of the Tiandihui (also known as the Hongmen) to punish Chinese traitors.

Chinese abroad often rely on 3 kinds of civil society organizations for help: clan associations, hometown associations, and the Tiandihui.

Chinese embassies and consulates abroad are official institutions of the Chinese government overseas. They usually coordinate with government departments in the host countries through diplomatic means and are unlikely to intervene in private affairs.

Without the help of civil society organizations, overseas Chinese would not be able to gain a foothold in Chinatown.

The Tiandihui was founded by Ming loyalists in the early Qing dynasty to resist the Manchu invasion of China. This is an ancient organization that is still in operation today and it has become a multinational organization that also has branches in the UK.

Tiandihui members worldwide continue to observe certain common traditions: they all stress their patriotic origin; they all revere Lord Guan, a deified historic Chinese figure who embodies righteousness, patriotism, and loyalty; and they all share certain rituals and traditions such as the concept of brotherhood and a secret handshake.

Chinese Traitors in the UK are also divided into different levels:

  • Taiwan separatists have higher emotional intelligence. Although they are Chinese traitors, they will not show it on the surface.
  • Hong Kong separatists are 100% slaves of Anglo-Saxons. Even if Anglo-Saxons fart, they think it smells good.

Of course, Taiwan independence elements and Hong Kong independence elements are of no use. They only dare to be rebellious at home and remain calm abroad.

Tiandihui is a secret civil organization with many branches and complex structure, and does not conduct public activities.

Don’t expect the inefficient British police to protect Chinese traitors; they just need to accept their punishment honestly.

Dried Beef Casserole

3fa933ede33ee29541830983f81b288f
3fa933ede33ee29541830983f81b288f

Ingredients

  • 2 cups dry macaroni, uncooked
  • 2 cans cream of mushroom soup
  • 2 cups milk
  • 2 cups grated cheddar cheese
  • 6 tablespoons Durkee’s French fried onions
  • 10 ounces dried beef, chopped
  • 5 hard boiled eggs, sliced

Instructions

  1. Combine soup and milk and stir until creamy, then add remaining ingredients except eggs. Mix well, then fold in eggs.
  2. Cover tightly, and refrigerate overnight.
  3. Bake uncovered for 1 hour at 350 degrees F.
  4. If desired, add more onion rings to top ten minutes before removing from oven.

BREAKING NEWS: ISRAEL SEIZES CRYPTO-CURRENCIES OF **ALL** PALESTINIANS – – – TERRORISM

Israel has ORDERED the crypto-exchange “Binance” to SEIZE the crypto-currencies of all Palestinians pursuant to Israeli Terrorism Law!!!!!

Israel did this by declaring the Dubai Exchange Company in the Gaza Strip, which is the only lawful crypto-currency entity allowed to operate in the Gaza Strip, to be a “terrorist organization.”

All Palestinians were required to use the Dubai Exchange Company for all their crypto-currency transactions.

Since the Israeli government declared the Dubai Exchange itself to be a “terrorist organization” all the money transferred by or through that company is then considered to be the property of the “terrorist organization” and thus subject to being seized!

Palestinians have APPEALED this mass seizure.  Those Appeals are ALL being denied.

The DENIAL letter appears below in original Hebrew language, which has been machine-translated as follows:

BEGIN MACHINE TRANSLATION:

“In accordance with the authority delegated to me by the Minister of Defense, according to Section 61(a) of the Law on Combating Terrorism, 556- 2016 (hereinafter: “The Law on the Fight against Terrorism”) and further to your application as a reference, I would like to inform you that your claims against Seizure of property by virtue of an administrative seizure order (T56/23) signed by the Minister of Defense on November 1, 2023, by virtue of his authority according to the law, were examined by and rejected for the following reasons:

1. Section 56(b) of the Anti-Terrorism Law states, among other things, that the Minister of Defense may issue an order on Temporary seizure of property of a declared terrorist organization, as well as seizure of property that was used to commit a crime Serious terrorism or property where a serious terrorist offense was committed.

2. At the basis of the administrative seizure order (Tt56/23) is reliable intelligence information that teaches that wallets of cryptocurrencies, including one that is registered in your name, funds have been transferred by an organization — the declared terrorism of the Dubai Exchange Company in the Gaza Strip (declared on March 7, 2022, published in the 10084 p. 2505 on 4.4.2022.

3. According to the anti-terrorism law, cryptographic currencies that have been transferred by a declared terrorist organization constitute property of a declared terrorist organization and cryptocurrency wallets to which it has been transferred. Said property constitutes property that was directly used to commit a serious terrorist offense of providing a service or Establishing measures for a terrorist organization, as defined in the Law on Combating Terrorism, and therefore in accordance with the instructions The law allows the Minister of Defense to order their seizure by administrative order in preparation for their confiscation.

4. In light of the above, and if nothing is found in your claims to contradict the information that formed the basis of issuing an order The seizure, I intend to recommend to the Minister of Defense to order the confiscation of the property for which the seizure order was issued, In accordance with the authority of the Minister of Defense according to section 66(a) of the law.

5. According to the provisions of the Anti-Terrorism Law, an appeal against this decision will be submitted as a petition to the Court of Matters administrative.

END MACHINE TRANSLATION —–

 

So the Israelis are considering ALL Palestinian crypto-currencies to be “terrorism” because they all went through the only lawful crypto exchange in the Gaza Strip, and Israel has now seized all that crypto-currency from the wallets of the people who sent it or received it.  Whether that person was a “terrorist” or not, does not matter because the crypto-exchange itself was declared a “terrorist organization.”

Any of you who have Crypto-currencies, being held in a wallet that can be accessed by any exchange, should dump that crypto-currency absolutely immediately and get your money.   What Israel has just shown is that they (or any other government) can simply make a declaration that the Exchange itself is a “terrorist organization” then grab all the crypto wallets that have ever been used via that exchange!

You would lose all your crypto, and automatically be considered to be “related to terrorist activities” with the stroke of a pen by some government lackey.

Remember this, too, when they start trying to get you to use “Central Bank Digital Currency.”  They could do the exact same thing with that!  You would find yourself cut off from all money.  Try “appealing” anything when all the cash (you no longer have) is only “digital” and they just grabbed it all!

Today, as you scan your regular “news” sources, see if there is any reporting at all about this?

A nightmare.

Shorpy

4a17829a.preview
4a17829a.preview

2577
2577

4a11861a.preview
4a11861a.preview

4a11857a.preview
4a11857a.preview

29484u.preview
29484u.preview

30038u.preview
30038u.preview

4a16385a.preview
4a16385a.preview

4a07283a.preview
4a07283a.preview

4a11860a.preview
4a11860a.preview

4a13480a.preview
4a13480a.preview

4a17039a1 flatiron.preview
4a17039a1 flatiron.preview

4a14549a.preview
4a14549a.preview

37038u.preview
37038u.preview

31293u.preview
31293u.preview

29892u.preview
29892u.preview

33151u.preview
33151u.preview

25993u.preview
25993u.preview

25992u.preview
25992u.preview

forwardlook.preview
forwardlook.preview

1967GroupShot.preview
1967GroupShot.preview

30572u.preview
30572u.preview

28780015.preview
28780015.preview

28780027.preview
28780027.preview

4a12164a.preview
4a12164a.preview

4a12634a.preview
4a12634a.preview

4a14982a.preview
4a14982a.preview

4a12147a.preview
4a12147a.preview

4a10815a.preview
4a10815a.preview

28468u.preview
28468u.preview

8c52104u.preview
8c52104u.preview

8c52236u1.preview
8c52236u1.preview

8b35208u.preview
8b35208u.preview

4a18601a.preview
4a18601a.preview

4a16929a.preview
4a16929a.preview

4a11803a.preview
4a11803a.preview

4a18578a.preview
4a18578a.preview

GroupShot1960.preview
GroupShot1960.preview

15001a.preview
15001a.preview

30034u.preview
30034u.preview

0154.preview
0154.preview

4a18197a.preview
4a18197a.preview

4a18204a1.preview
4a18204a1.preview

33390u.preview
33390u.preview

33355u.preview
33355u.preview

Parenting Gone Wrong: Spoiled Child Leads Family to Deadly Consequences At A Hotpot Restaurant

We recently bought out my wife’s company car, a Subaru Legacy, which we got a great deal on. Shortly before, while the car was still owned by fleet, we had taken the car in to the dealership for an oil change. This was before it got warm enough to need the air conditioner. Along comes summer and we notice the AC isn’t putting out cold air. I pop the hood and notice the wires to the compressor have been cut. I took a number of pictures from various angles and took the car back to the dealership and acted stupid, as if I had no idea why the AC was suddenly not working. An hour later they called to let me know they discovered that the wires had been chewed through, probably by a rat and said the entire wiring harness needed to be replaced at a cost of somewhere around $400–600. I lost my cool and told them they were lying to me and said it was obvious the wires had been cut. The service manager then told me they had found evidence of a rat’s nest under the hood. I told him I had taken a number of pictures of the engine compartment and that I had not seen any evidence of any rodent and said the wires were cut far too clean for them to have been chewed through and that it was pretty obvious this had been done when the car had last been in for service.

Thankfully my wife had a good relationship with the fleet manager and called him and asked for advice. He said to give us an hour and he’d get back to us. The dealership reached out shortly afterward and said they would be taking care of the damage at no charge to us. Apparently the fleet manager told them it seemed as though they were trying to take advantage of the fact that it was a fleet car (as it would have been had we not bought it out), had likely cut the wires expecting the fleet would be covering the repair bill (as they would have done had the car still been a fleet vehicle) and he was prepared to recommend blacklisting Subaru over their actions.

We will never take our car back to Carter Subaru in Shoreline (Seattle) again. Clearly they’re not to be trusted.

** Update: This answer must’ve recently been included in a digest email because it’s received a lot of attention. I figured I should probably update it with a couple of pictures I took before bringing the car in to the dealership. It clearly shows evidence of the “rat” that chewed, err, sliced through the wiring harness.

Regarding the gap between fighter jets of China, the United States and Russia, the most appropriate objects of comparison are the three heavy stealth fighters: J-20, F22 and Su-57.


If we rank the number of the three types of heavy aircraft: J-20>F22>Su-57.

  • J-20, 100 new aircraft are produced every year
  • F22 has been discontinued for a long time, with only about 180 in total.
  • Su-57, no more than 10 are produced every year.

The combat effectiveness of the three heavy aircraft is ranked as follows: J-20>F22>Su-57.

The performance indicators of the fifth-generation fighter jets should be in order of importance:

  1. Super perception (including informationization and intelligence): The maximum detection range of the F22 airborne radar is 250 kilometers, and the maximum detection range of the J-20 airborne radar is 300 kilometers.
  2. Stealth: The J20 is more delicate than the F22. The J20 can adapt to various environments, whether it is the Qinghai-Tibet Plateau or the western desert. The J20 only needs an ordinary hangar to be deployed, unlike the F22, which needs a constant temperature hangar for maintenance. Finally, regarding the Su-57, I think if the Su-57 is optimized, the stealth performance still has a lot of room for improvement.
  3. Supercruise and supersonic maneuverability: The F22 should be the one with the best supercruise capability at present because of its engine advantage, but the J-20’s supersonic optimization is the most thorough. After replacing the new engine, its supercruise and supersonic maneuverability will far surpass the F22.
  4. Multi-purpose performance (including payload range): Theoretically, the multi-purpose performance of Su-57 should be the strongest, its bomb bay is deeper, and the front and rear columns are also convenient for arranging large missiles. Compared with the F22, the J-20 has a longer fuselage, larger fuel capacity, and a larger bomb bay, so its range and multi-purpose performance are greater than those of the F22. The comprehensive comparison is Su-57>J-20>F 22.
  5. Subsonic maneuverability (including supersonic maneuverability, also known as super-stall maneuverability): This is the most controversial issue at present. The domestically produced Taihang improved engine currently installed on the J-20 is only a transitional version of the WS-15 engine. Its thrust is barely enough for the J-20, so its over-stall maneuverability is definitely not as good as that of the F22 and Su-57. However, with the advent and deployment of the WS-15 engine, these problems will be solved.

The US military itself knows very well that they are losing their air superiority!

Young Connecticut Man Will Only Give His GF A “Symbolic Wedding” To Safeguard His Hard Earned Wealth

Interesting. Phew! What a crazy life.

Good Job

One day, while I was working upstairs in my office, my German Shepherd came upstairs, stood in my office doorway barking at me.

Then he walked over to the top of the staircase barking as he looked down the stairs. He lifted his head, looked towards me and continued barking.

He walked back and stood in the office doorway again looking at me, barking.

He did this repeatedly for a few minutes while I worked. It became obvious he wanted me to follow him downstairs. I got up and asked him, “What’s the matter?”

As soon as I asked this our house began to shake.

Everything on my walls and shelves were rattling.

I did not understand exactly what was happening, but we both ran down the stairs while I yelled, “Let’s get the hell out of here!”

We got outside and I felt the ground moving.

Then it stopped.

We had just experienced an earthquake. They are practically nonexistent in our region. Somehow, my boy knew it was coming and came upstairs to warn me.

He did many intelligent things in his lifetime, but that was the most amazing.

Suspects That LOSE IT During Interrogations

Brutal.

Ukraine Develops ‘Its Own’ Cruise Missile

On the Ukrainian independence day former President Zelenski announced that Ukraine’s forces would soon use a “rocket driven drone”:

On 24 August, during the Saturday ceremonies for Ukraine’s Independence Day, Volodymyr Zelensky stated that today, Ukrainian forces for the first time targeted enemy troops with a new long-range drone.- This is our new method of retaliating against the aggressor. The enemy was hit. Thank you to everyone who made this possible. All the developers, manufacturers, and our soldiers. I am proud of you, Zelensky said during his speech, quoted by the Ukrainska Pravda portal.

The rocket-drone system, produced indigenously by Ukraine and gracefully named “Paljanica” (like the traditional Ukrainian wheat flour bread, which symbolizes hospitality and happiness), is a military innovation. During the official ceremonies, Zelensky stressed that it is a weapon of a “completely new class”. He emphasized that “it is difficult to counteract it, but very easy to understand why.”

The work on the rocket-drone was carried out in secrecy.

Zelenski published a video that shows a second of the cruise missile in flight.

HI Sutton of Covert Shores created this picture from it.

This is not a drone but a turbojet driven cruise missile. Ukraine announced that it has been tested and could be used soon:

Defense Minister Rustem Umerov promised Monday the weapon would be used again soon in response to the overnight attack on Ukraine.“Ukraine is preparing its response. Weapons of its own production,” he wrote on his Facebook page. “This once again proves that for victory, we need long-range capabilities and the lifting of restrictions on strikes on the enemy’s military facilities.”

A Ukrainian military video hinted that its range is up to 700 kilometers (430 miles) — on par with the U.S.-supplied ATACMS. It showed a map with various airfields, including Russia’s Savasleyka air base, which lies within that range, adding that the Palianytsia can reach at least 20 Russian airfields.

Russia has expected longer range missile strikes for some time and its defenses are positioned appropriately. Another Ukrainian ‘wonder weapon’ will not impress it. Ukraine claims that the cruise missile was developed by itself:

One of the specialists involved in the long-range missile project said it was “a completely new development, from scratch” that began about 18 months ago.“This is not an extension of an old Soviet project,” said the specialist, speaking on condition of anonymity to safeguard the project’s secrecy. The missile has a solid-fuel booster that accelerates it, followed by a jet engine, the specialist said.

The specialist and Fedorov said each missile costs less than $1 million, and the military is turning to the private sector to bring down production costs further. “The private market generates solutions incredibly quickly,” the minister said.

I doubt that Ukraine has created its own cruise missile. There are too many parts of such systems, especially the navigation and targeting modules, that need high end solutions to be able to counter Russian electronic warfare measures and to deceive Russian air defenses. It expect that these will be derivatives from western projects.

Aside from that all Ukraine’s weapon and especially missile manufacturing facilities have been hit several times by Russian missiles. This morning a new large missile and drone attack again hit “the critical power infrastructure of Ukrainian defence industry”. Next to other infrastructure today’s strike damaged three irreplaceable 750 kilovolt transformer stations and several 330 kv stations.

The new Ukrainian cruise missile was probably designed by the U.S. or UK and its various modules will likely be assembled in Poland instead of Ukraine. Still, it will be a hassle to produce many of these. That is likely the reason why the Ukrainian leadership is begging daily to allow it to use long range missiles produced by the U.S. or Britain to hit targets within Russia.

So far the U.S. has blocked such moves because it fears retaliation by Russia. Russia has threatened to deliver such weapons from Russian production to U.S. enemies should the U.S. proceed and allow Ukraine to hit with U.S. weapons within Russia.

A “Ukrainian” cruise missile would of course eliminate that problem.

 

Posted by b at 16:01 UTC | Comments (153)

We were getting calls from a lot of vendors about past due invoices – for MONTHS. This was so weird as our branch was doing very well and we just could not figure out why our vendors weren’t being paid. Invoices were posted in the AP and this should not have been happening. It got so bad that one of our major suppliers wouldn’t provide any more material until they were paid. This was bad – we had a MAJOR project going that we could not not have material.

We had what was called an Imprest Checking Account. We had a checkbook and could write checks for things like permits, licenses, etc. The cap per check was $500.00 with a monthly “allowance of around $3,000.00 per branch.

To avoid credit hold that month, our Branch Manager told the office manager to write a check for $30,000.00. Done and delivered, material is on the job site. Got a call from HQ/Co. President telling us we did a bad thing and not to do it again because overdraft fees.

Our AP admin decided to do some investigating. Holy carp – we were going gangbusters and collecting a lot of money every month but almost ALL of our AP was aging towards or past 120 days. So she looked in to the HQ office. Gee, all of their bills were being paid on time. So she took this info to the BM who called HQ and read them the riot act.

Next month, same drill – no material from the vendor for the project until they were paid. BM directed AP admin to write a check for $60,000.00.

A week later, same phone call from HQ President. BM invited us admins in to his office and put him on speaker so we could listen. Pres. was yelling and screaming at BM who just sat, calmly, nodding and listening. Pres ended his tirade with “What do you have to say?!” BM, very calmly, replied “Pay our fuickin’ bills.” Silence on the other end followed by unintelligible grumbling and a click. BM just sat there and smiled at the phone and the three of us were in awe. That man, our Branch Manager, was a legend.

After that, our bills were paid on time. I still tell that story and am still in awe of, perhaps, the best boss I ever had.

Wife Spent 5 Months On OnlyFans Before Confessing To Husband & The Reddit Army WENT CRAZY When He…

The term “relationship” has taken on new meaning these days. Not judging, but it is a bit of a shock to my 1960 era sensibilities.

Black Myth: Wukong” has shattered multiple global gaming records on its first day of release. As of 10 PM last night, it reached over 2.22 million concurrent players on Steam, topping the Steam concurrent player chart and setting a new record for single-player games. Additionally, “Black Myth: Wukong” has been the best-selling game on Steam globally for the past week, sweeping the charts in 12 regions including the United States, Singapore, Canada, and Italy.

“Black Myth: Wukong” sold over 3 million copies on Steam alone yesterday, and with sales on Epic, WeGame, and PS5 combined, the total surpasses 4.5 million copies, generating over 1.5 billion yuan in revenue. This figure is hundreds of times higher than the pre-sales of previous domestic single-player games (which typically reached around 100.

To be honest, no domestic single-player game has ever demonstrated such a terrifying dominance. Changcheng Securities even predicts that “Black Myth: Wukong” could catch up with top-tier games like “Elden Ring” and “Dark Souls” in terms of sales.

This is not blind optimism. With over 4.5 million copies sold in a single day and total sales exceeding 1.5 billion yuan, “Black Myth: Wukong” has set a new benchmark. For comparison, “Cyberpunk 2077” had 8 million pre-orders upon its release in 2020, and “The Last of Us Part II,” the 2020 TGA Game of the Year, sold 4 million copies in its first week. Moreover, “Black Myth: Wukong” reached 1 million concurrent players within 60 minutes, surpassing “Cyberpunk 2077.

“Black Myth: Wukong” is rich in traditional Chinese cultural elements, even featuring傩面 (nuò miàn,傩 masks).

Black Myth: Wukong” represents a significant milestone in the global dissemination of Chinese culture, offering a visually stunning and culturally rich gaming experience that has captured the attention of audiences worldwide. By examining the game’s portrayal of Chinese mythology, its impact on the global gaming industry, and its potential to foster cultural exchange, we can gain a deeper understanding of the role of video games in shaping cultural perceptions.

All right, sit down and listen. Jim Van Derlin wrote 10 things. do not forget any of it. Great stuff. Now, I was like 58 going into a Fed prison. I did time in 4 prisons including the Penitentiary at Victorville, CA and finished out my time at FCI Tucson, a medium-high that was rated as High due to it being a transfer point/holding site. Os some shit like that.

So, 9 years. Make amends. Payoff any debts you can. Say goodbye. Sell your car, cancel everything you can, your family won’t need it. If you have family, you will need to connect like never before because IF you get visits, they are hard. I didn’t even want my family coming to fucking prison. The penitentiary is surrounded by a 40′ wall lined with piles of razor wire. The inmates all look like they would eat your face just to stay busy. So you don’t think I’m insulting anyone, a big dude once asked me why I looked so mean, while we were in the visiting room. I think his daughter got scared. I was like ‘bro, you’re 6’9″, 325 pounds and have a face only a mother could love and you think I’m mean looking?

Before this fiasco, a speeding ticket ruined my month. I was not from the hood, I was not connected nor was I a fighting machine. So to reiterate a few comments from Jim, unless you want your asshole the size of a cucumber, DO NOT DO ANYTHING that causes you to owe money. $5 past due, a few homies come by, you no gots??? You trade for sex. It won’t necessarily be your choice. If Someone wants to give you a hit on a smoke, or a joint, DO NOT take it. Do not be surprised that the hit you took went on your tab. Yeah, the tab you didn’t know you had.

Respect others. Expect it back. If something goes sideways and nasty talk starts, you have about 10–12 seconds. If the other dude calms, let it rest. If he ain’t calm, expect an attack immediately. You will never argue more than 15 seconds before the shit flies! Be ready and swing back.

Carry yourself with confidence, but not arrogance. you can nod or a small half smile of acknowledgement to peeps you know from other races but do NOT think you can walk up and join a convo with another race. you’ll be sorry with a quick lesson.

My advice, do not be friends with the first guys that approach you. They will be conning you out of something. Hopefully, your bunkie or a guy from a close cell walks you down to the chow hall. Listen, answer questions honestly, and do not try to be someone you are not. Take it slowly. Be friend-LY with people but it takes months before you should trust or rely on others.

After the mental meltdown of the admitting process and the first few days of living in a parallel universe, you will start to go through your life. There is little you can do inside. I’m still married, somehow. Don’t expect to be. If you are and she waits, you are blessed.

I made it through my whole sentence until the last year. Something happened, I had years of being fed up. I started yelling about something. There were a couple of COs close by. The boys I was pissed at didn’t like being barked at in front of others. An hour later I got a visit at my locker. 5 dudes. A little talking, a little pushing. I counted and got to 11. I knew this was it. Early on in my sentence, I had decided that if I ever got hit by a group, it was gonna hurt. So I figured, I’m going to concentrate my “love and attention” on 1 guy. I wanted at least one dude besides me to walk with a limp, or a hanging arm, or a fucked up face! You’ll be in pain, but make 1 guy remember you. Word gets around.

China Threatens EU Dairy Economy, Argentina Gold In London, Major Bank “USD Big Crash Bets”

Tit 4 tat.

A HK story

So I rung my uncle in Hong Kong because I heard he had a fall recently.

He has to sit in a wheelchair for a while but he was in good spirits.

He was almost gleeful to share about Nathan Law’s recent travails.

Apparently, the white masters are cutting him loose and he is in a bit of a bind financially. His family and supporters are in trouble for sending him money. His mum even lost the subsidized housing unit due to rent arrears.

He wants Nathan to come back and face the music so the family don’t have to.

But he knows that is wishful thinking, because the man is a “chickenshit coward”. His original description is a little too colorful to print here.

He hates the leaders of the 2019 riots because his little side business went under during the mayhem, and incurred a tidy loss.

I don’t blame him.

I wish him a speedy recovery.

It’s commonly believed that India’s potential could soon eclipse China’s, but the real story is quite the opposite. While India’s GDP figures are catching eyes, China’s strategic investments in high-value sectors show that it is leagues ahead in sustainable economic growth.

You see, China isn’t just about huge GDP numbers. It’s about how they’ve managed to turn those numbers into substantial value through smart, forward-thinking strategies. Decades ago, China’s economy heavily relied on exporting low-margin goods. But today, the game has changed. China now focuses on high-tech manufacturing and mastering key technologies, earning significantly more per unit of export. For instance, a Tesla made in Shanghai leaves a big chunk of profit within Chinese borders, which wasn’t the case with the lower-margin goods they made years ago. The same goes for Huawei smartphones, which now rake in higher profits compared to the earlier contracts with Western brands.

Meanwhile, India’s economic landscape is in a different phase, more focused on assembly and service-based tasks. Even though India’s exports are expected to rise, the value they add to the economy pales in comparison to China’s high-tech exports. India finds itself deeply engaged in refining oil, assembling electronics, and providing software services. These sectors don’t bring significant value back home; most of the profits end up with the foreign owners of these technologies.

Another big part of the story is how each country invests in its people. China has poured resources into its education system, subsidizing costs and providing numerous scholarships, creating a skilled workforce geared toward innovation. On the flip side, Indian education remains expensive, burdening graduates and their families and making it challenging to cultivate a wide pool of talent needed for a tech-driven economy.

China’s economic prowess also stems from continuous investment in infrastructure and technology. The nation has heavily invested in electric vehicle batteries, solar panels, and wind energy, sectors that promise not just jobs but also position China as a future leader in these critical industries. India’s investment in high-tech sectors and infrastructure has yet to meet the same level of effectiveness.

Social factors can’t be ignored either. China has nurtured a meritocratic environment with a focus on achieving technological advancements. Meanwhile, India’s societal focus on celebrity culture and ongoing brain drain diverts attention from the systematic nurturing of its economic potential.

So, if you’re asking why China’s GDP doesn’t tell the whole story of its economic superiority, it’s because of these deep, structural investments and strategic choices. Despite China’s substantially higher GDP, it’s the focus on high-value, technology-driven sectors, education, and infrastructure that sets it apart. For India to bridge this gap, there would need to be a massive shift in educational policies, a serious dedication to infrastructure, and a move away from traditional economic activities toward more innovative sectors.

In essence, while India’s GDP growth might look promising at a glance, China’s underlying economic strategies make it a more formidable force on the global stage. This difference in developmental focus and investment is why China, despite what headlines might suggest, continues to pave the way for a more stable and prosperous future.

American’s can’t comprehend living like Chinese..

So interesting, making fun of Americans. Actually a really good video pointing out the importance of LIVABILITY where you live.

I bought a Jeep for $250, drove it a half mile, and sold it for $2,500…unintentionally.

My roommate blew an axle out of his truck, so as I was taxiing him around, he had me take a shortcut. On that back road, I saw this Jeep next to someone’s house. A real Jeep, a 1986 CJ-7, the year before Chrysler took the company over and ruined it. Since I learned the shortcut, I kept going that way, and kept seeing that Jeep, for probably 3 months, although it had clearly been there for years.

It was the homeowner’s son’s Jeep, and he’d moved across the country. He abandoned the Jeep there and ended up sending his father the title to get rid of it. Since I convinced the father I wasn’t a junk car guy or planning on scrapping it, I gave it a good hard closeup look and asked for a price. He said the scrap car guys belittled it and said it was only worth $250 max. Since I genuinely wanted to fix it up for myself, I could have it for that price, which I had on me.

I paid for the Jeep, jump started it, and surprisingly it ran. The brakes were crap, and this Jeep looked horrible, but I saw potential. I stopped at a gas station, and the guy there was mesmerized by this rusty hunk of a Jeep covered in tree crap. He asked me if I would sell it, to which I said I doubt he’s make it worth my while. He then offered me $2,500. I almost crapped my pants. I drove it about a half mile and made 10 times what I paid for it. My plan was just to make sure it had enough gas to get home since the gauge read empty, but instead I made it home with a lot more than I planned on.

After I thought, if he shot me $2,500 right away, he may have been willing to pay more, but I was so shocked I didn’t think of it until later. Who knows…

Yes. The Phalanx fires at 3,000 rounds per minute to 4,500 rounds depending on model.

These are designed to shoot down sub-sonic missiles.

Chinese research shows that in order to shoot down high supersonic missiles (missiles traveling at Mach3 – Mach 5) you need a CIWS that fires at 10,000 rounds per minute.

Also if the missile is maneuvering in terminal phase then the faster the firing rate the better. You also need better radars and powerful computers to predict the missile trajectory and get there first with the gun.

Current Chinese CIWS fires at 11,000 rounds per minute.

Everything in in-place. What event – or false-flag – will be used to trigger Actual WW3?

Hal Turner World

 

Just to clarify, so there is no misunderstanding . . . 

  1. Armies are in forward positions.
  2. Scenarios were run through computer simulations (AI to use more trendy expression).
  3. Alliances were decided and consolidated.
  4. Legislation was put through legal process.
  5. Politicians who will run things were selected.

Everything is ready.

Now it is a matter of using an event or creating an event to start WW3.  What will be the spark that causes the explosion of war?

I guess scenarios that were run through computers did not give satisfactory results and that is a reason for delays.

But, everything is ready . . . for world war, version 3.

Ukraine was a beta test.

 

5,500 Dead! Ukraine’s Invasion into Kursk, Russia

Hal Turner World

Ukraine has lost 5,500 troops, 71 tanks, 30 Infantry Fighting Vehicles, 57 Armored Personnel Carriers, and 372 Armored Combat Vehicles in their two week long misadventure into Russia’s Kursk Region.

The staggering losses in such a short time reveal the complete idiocy of this maneuver by Ukraine, or the factual realization that any NATO planners involved, were utterly incompetent.

 

 

Medellin: The Sex Tourism Capital Of Colombia | Is This A Passport Bro Paradise Or Nightmare?

The war on “passport bros” has entered a new cycle; as a sexpat.

What is it like to be the child of a billionaire?

A friend of mine was born to rich (not billionaire) parents. His Grandad had started a company with nothing, his dad had taken it on and made it bigger and more profitable. He was the eldest son.

I met him when he was 22. He was a graduate from an elite university, and had a got a lucrative government sponsorship to study accounting, and I’d got sponsorship at 18, to do the same. Which is where I met him. I’m from a more typical background, 2 parents, working 9–5 jobs to pay the mortgage and put food on the table.

We talked about the differences between us, on and off, over the next year or two.

Most of us have to go to work to earn money, support our family, and we keep turning up because if we don’t, we might get sacked. Those who don’t put the effort in, don’t get the bigger, better jobs. None of this applies to “daddy’s boy”. He knew if he wanted it, he would be MD of the family company. If not, the family would appoint a manager or sell the business, and he could live off the profits.

His dad was conscious of this, and had asked him the question a couple of times:

At 18 – Nope, I want to go to Uni

At 21, Nope I want to study to be an accountant

at 24 – I’d like to work in the private sector for 2 years.

Even at 26, his dad did his best to dissuade him:

  • You have a good career ahead of you, outside the family business. You can do well. You don’t need to take this on if you don’t want to. I can get a Professional manager.
  • You know, whatever you do, people will say you were “given it”. You will never get any credit.
  • It won’t be easy. I won’t give it to you, I will give you a couple of jobs, and if you goof around, I will leave you there. People’s jobs and their families depend on this company, and if you become MD, you need to take that responsibility seriously.
  • Once you come in, you will struggle to get a job outside the company again, as companies will always look at your CV and say “No point taking him on. All we’ll be doing is training him up for when he goes back to the family company.”
  • If you make a mistake here, people won’t let you forget. You can’t move jobs, and leave it behind.
  • You are giving up a lot of (government) benefits, if you come here, more than I can give you, and without the responsibility.
  • When you are MD, it’s pretty lonely. It’s not like being one of the workforce. It’s just you. People will give you advice, but they have their own agenda, and it’s only you who has to live with the consequences.
  • There’s always the risk that the company will fail, despite your best efforts. Then you’ll be stuck.

It was during this time that I started to realize that there were downside was well as upsides to being born in to his family.

When he did take it on, his dad was as good as his word. He gave him proper jobs. He made him make the decisions, stand behind them, and if he made mistakes had to own them. Sadly, his dad passed away when he was only 56, so my friend became MD at 30, which was earlier and sooner than his dad and him expected or planned.

He enjoyed it, and did very well. Took the business on to the next level. Increased sales, increased profits, added new product lines, won new customers, put a new computer system in place, trained up the senior team, introduced new production technology, and moved the factory to a new site double the size of the last one, but it was a very demanding role. He was the only family member working in the business, and the wider family seemed to add to his stress, not share the burden. I did some benchmarking on pay rates, and was surprised by his remuneration despite his stellar performance. I advised him that he well below market rates. The family were very reliant on him, but didn’t seem to recognise his performance. In his 40s, he developed some stress related health issues, and stepped away from the business.

After a couple of years sabbatical, he opted not to go back, and took a less stressful and more supportive, and appreciated career, and the company did get a locum manager, and still has it to this day.

The New “Anti-Woke” Visa

 

main qimg b692c3e5961bf5c840862a3f7bf62e7a lq
main qimg b692c3e5961bf5c840862a3f7bf62e7a lq

What does a prisoner who is in solitary confinement do in a 24-hour period? What time do they wake up and eat breakfast, lunch, dinner, take a shower, go to work, and exercise? What does a day look like?

Here’s how a day in Z-9 Ad-Seg goes down, from the horses mouth

Sunrise:

The day starts early with medical pass at 0600. We kick things off getting the medicals handled first. By 0620, it’s chow time, and in Ad-Seg, that means meals served straight in the cells. The sergeant handles this personally, making sure it’s all by the book. Food goes through the tray slots, no face-to-face interactions. If it’s Ramadan, we’ve got specific procedures to follow, but the routine stays locked down tight.

After breakfast, inmates get their cleaning supplies through the slot. They’re expected to keep their cells up to standard—no exceptions. Hygiene checks happen regularly, and if someone’s slipping, it gets dealt with. Sometimes, it’s hard to see what’s going on in the cell because inmates like to put cardboard on their windows. That’s only allowed if the inmate’s an IEX’r (indecent exposure), otherwise, we’re not having it.

Mid-Morning:

Around 0800, it’s shower time. In Ad-Seg, showers are solo—no exceptions. Inmates get cuffed and escorted to the shower, where they have about 10 minutes. Then it’s straight back to the cell, still cuffed. No free movement here—everything’s on lockdown. If there’s a medical appointment or legal visit, it’s the same routine: cuffed, escorted, and back to their cell.

Afternoon:

Lunch comes around 1200, following the same procedure as breakfast—through the slot, no hassle. After they eat, they get a bit of in-cell time. Inmates might read, write, or get in some exercises. It’s a small break in the day, but they know the rules. If there’s any legal business or visits, it’s handled individually, under strict supervision.

Yard Time:

Yard is limited in Ad-Seg—only three hours a week. When it’s their time, they’re cuffed and escorted to the yard. It’s the only chance they get to stretch out a bit, but it’s still tightly controlled, with eyes on them at all times.

Evening:

Dinner rolls around at 1700. By now, everyone’s in the routine—food through the slot, no drama. After dinner, the day starts winding down. Mail gets handed out, and if they’re lucky enough to have a TV, they catch a bit of programming before lights out.

Night:

By 2100, it’s lights out. We lock down the unit for the night, making sure everyone’s where they should be. There’s no movement unless it’s an emergency. We do our rounds, checking cells, making sure everything’s in order. The night settles in, and it’s quiet—just the hum of the unit.

In Z-9 Ad-Seg, everything’s about routine and control. Medical at 0600, chow at 0620, showers, meals, and the limited yard time all follow a strict schedule. Whether it’s dealing with cardboard on the windows or getting the yard in, it’s all about maintaining order—tight, controlled, and always by the book.

What is a family secret you didn’t know as a kid, but now as an adult you do and when you look back it explains a lot of things?

My father was often not around when I was a young child.

But I remember an argument one dinner time with the 4 (my dad, mum, myself and my younger brother) of us sat around the dinner table, I would be around 8/9 my brother 5.

Things had been strained most of the day. Something was said and that led to an argument, I remember dinner plates being thrown, food going all over the place, i slid under the table and grabbed my brother and held my hands over his ears as words were being said I knew he shouldn’t hear.

They separated about 18 months later. The Divorce was messy to say the least and we were forced to spend time with him and his “new girlfriend” who had a little girl so she became our step sister… They ended up getting married a couple of years later and separating a few years after that….

My mum and brother were killed by a drunk driver in 1997 and my Dad who I had barely seen in 15 years given he was a violent drunk died in 2012.

It’s only relatively recently that I got back in contact with my stepmother to find out I had a half brother who was 35 years younger than me and born just before my dad died. But after that bomb shell we discussed memories and I worked out that dining table argument was him telling my mum that he had got her pregnant and that she wasnt my step sister but half sister.

That was the same conversation I discovered I potentially had other siblings too.

As it turns out I did…..6 in fact from 4 other relationships some from the same time he was married to my mum.

I’ve now a relationship with 5 of them, and in the process of adopting one of them, hes 12 and currently living in a kids home.

Leaders talk

China is the only permanent member of the United Nations Security Council not involved in any international conflict in recent years, demonstrating its commitment to peace, said Timor-Leste’s President Jose Ramos-Horta during an exclusive interview with CMG’s Leaders Talk.

At the invitation of Chinese President Xi Jinping, Timor-Leste’s president paid a state visit to China from July 28 to 31. This is Ramos-Horta’s first visit to China after he took office in 2022 and the first state visit of Timor-Leste’s president to China since the establishment of diplomatic ties.

During the visit, the two sides agreed to strengthen bilateral relations, with Ramos-Horta saying China’s remarkable economic growth and commitment to global peace have drawn Timor-Leste to seek closer ties.

“China is a global power, it’s the largest economy in Asia, second largest in the world. Over the years, China pursued several strategic tracks, one is building China’s economy to these impressive heights, which make China a global economic power, a global financial power. The modernization of its defense force adds to that, and that creates some jealousy among those who view China as a rival. And sometimes the jealousy is exacerbated, and they try to repeat what was a failure of the 60s and 70s, the so-called ‘China containment’,” the president said.

“China was never involved in any of the major wars in recent decades. Whether the war in Afghanistan, the war in Yemen, in Iraq, Libya and in the ongoing one in Ukraine. China is the only permanent member of the Security Council that is not involved in an international conflict. So for us, its common sense to have strong relations with an Asian power, an Asian country that is also a global power. And I know the history of China going back many centuries. What China was then, particularly before World War Two, after World War Two, a lot of upheavals in China. But long before that, wars waged by foreign powers against China like the Opium War,” he said.

I decided to spraypaint my fence.

Some bloke pulled in front of the drive about 10 minutes before I was due to go out, locked his car and started walking away. I called to him to ask him not to park there and was told to “fuck off and deal with it.”

My drive is not on a road where you can get round a car parked like that. I was stuck. So, I called SWMBO and told her I’d be late to our appointment and to give my apologies.

An hour later the driver wasn’t back and I was going to miss my appointment. So I decided on revenge and decided to do one of the jobs SWMBO had been badgering me to do. Repaint the fence… using my new-ish sprayer. I didn’t use it very often as it’s not a very efficient way of painting, as it releases a lot of paint and on breezy days, it tend to drift… a lot.

Our chosen colour was a cedar red… don’t ask… so I alerted my neighbour as she had washing on the line and set up to spray the fence. I managed to get two coats on the 4 six foot fence panels either side of my drive… plus some excess on the ground inside the garden. It drifted outside as well, but I needed to do the outside as well. Outside the garden isn’t as sheltered as inside so the spray drifted a bit more… right onto the gleaming black Subaru Impreza parked across the drive. I only managed to get 1 coat onto the panels outside before it got too dark to see properly for painting, but not too dark to clean the ground inside and outside with a few buckets of water. It’s a water soluble paint after all. I didn’t see what time he left but would love to have seen his face the next morning when he saw his pride and joy splattered with dried on red fence paint.

SWMBO however was more than happy that I’d painted the fence finally.

Wife Who DEMANDED Separation To “Look For Something Different” Gets Reality Check When Husband…

Reminds me of a time in my life that I have tried to forget…

Rob Taylor

The Celestial HopeBetween the fabrics of existence, where the threads of reality intertwine, a universal truth persists—an unyielding axiom, as constant as the cosmos itself. Amidst the grand cosmic ballet where galaxies waltz and stars orchestrate an eternal symphony, a saga of boundless proportions unfurls—a tale that transcends the constraints of time and space.Eternity, an expanse beyond mortal comprehension, stands as an ever-present enigma, an eternal observer untouched by the transient choreography of moments. Here, within the unfathomable depths of cosmic vastness, a fellowship known as the Chosen Wanderers embarks upon an odyssey that defies the very essence of their immortal existence.At the helm of this eternal odyssey strides Captain Thalara, a figure of unwavering strength and profound sagacity. Her presence is a beacon of determination that pierces the veils of time, carrying upon her shoulders the monumental weight of leadership—a mantle forged in the crucible of unending epochs.By her side stands Lyndor, a spectral enigma whose eyes hold secrets as ancient as the cosmos itself. An heir to arcane lineage, he wields powers inherited from generations long past, now intertwined with his very being.Elysia, a luminary scientist ignited by an insatiable curiosity, graces the crew with her brilliance—a constellation of knowledge that shines even in the darkest reaches of the universe.

 

Completing this ensemble is Kaelen, a dauntless pilot whose hands navigate not only the cosmic currents but also the tides of destiny. His courage is a vessel that carries them through the currents of eternity, as he guides them toward uncharted horizons.

 

Through the collage of galaxies, where stars bloom and fade like cosmic flowers, their starship “Celestial Hope” navigates, leaving ripples in the very fabric of existence. The void echoes with the remnants of ancient civilizations—whispers of lives long gone, reminders of the impermanence of all things. Amid this journey, nebulous clouds of despair and tempests of doubt swirl, seeking to engulf their spirits in shadow. Yet, in defiance of the abyss, their camaraderie burns like a supernova—a radiant beacon against the inky blackness of space.

 

In the heart of this boundless expanse, Thalara’s voice resounds—a harmonious blend of weariness and unyielding resolve. “In the face of time’s inexorable tide, what path do we forge, when life’s embrace clings without release? Millennia have woven themselves into our very essence, as we traverse the chasm between universes, charting a course through the untamed cosmos.”

 

Lyndor, a specter of enigmatic wisdom and innate power, leans against the console, his gaze an ancient mosaic painted with the memories of eons.

“Within the currents of our veins courses the venom of eternity,” his voice carries a mournful timbre, heavy with the weight of choices made. “An oath, once taken with eagerness, now binds us with chains of regret—a curse woven into the very fabric of our being.”

 

Elysia, her fingers dancing across the luminescent constellations that map the celestial canvas, murmurs with reverence in her voice. “Recall the epoch when our predecessors glimpsed the unfathomable—a revelation of multiple big bangs, an eternal existence that defies all comprehension. Even the deities themselves pale in the face of such boundless expanse.”

 

Kaelen, the intrepid pilot who courts the cosmic unknown with audacious fervour, leans back with a half-smile that masks the weight he carries.

“Gods or no gods, our universe bowed to its inevitable conclusion—a requiem sung as stars winked out. Yet, we remain—ephemeral echoes in the aftermath, seekers of sanctuary in the wake of dissolution.”

 

As they negotiate wormholes that spiral like cosmic whirlpools and cross dimensions that shimmer like astral gateways, they traverse not only space but also the fabrics of existence itself. Their dialogues resonate like distant echoes through the corridors of the colossal starship—an intricate symphony woven from threads of hope, fear, and unwavering resolve.

 

“Sworn to a hope, we journey as bearers of the ages,” Thalara’s voice emerges as a soothing echo as the ship navigates the currents of the cosmos. “We are the chosen, tasked with the survival of ages.”

 

Lyndor’s eyes, impossibly ancient and eternally watchful, hold a depth of understanding that spans eras. “To comprehend eternity is to wrestle with its revelations—a truth more profound than any verse etched into cosmic parchment.”

 

Elysia’s gaze lifts toward the uncharted constellations beyond the viewport, her voice carrying both lament and wonder. “Our universe, once a cradle of myriad existences, now languishes—a relic adrift in the vast detritus of time.”

 

Kaelen’s fingers glide over the star maps he has charted, a testament to their journey’s wonder and weariness. “Yet, we endure, undaunted by the ceaseless ebb of eons that slip through our grasp.”

 

As their odyssey continues, a cataclysmic event unfurls—a singularity, born from the final gasp of a dying universe, threatens to consume them in its voracious maw. Amidst the concerto of alarms and the kaleidoscope of flashing lights, their voices rise like beacons in the tempest.

“A universe born anew, an era unseen,” Elysia’s breath catches, her voice a whisper amidst the maelstrom.

“An epoch that hungers to sunder us,” Kaelen’s voice is a resolute call amidst the chaos.

“Take any action necessary! Steer us away!” Thalara’s command cleaves through the tumult like a sword through the dark.

“Aye, captain,” Kaelen’s hands fly over the controls, each gesture a testament to his indomitable will.

Yet, the singularity’s maw widens beyond escape.

“Wormhole generators at full capacity in mere moments,” Elysia announces, her voice carrying both urgency and the weight of time itself.

“An eternity encapsulated within fleeting seconds,” Thalara’s mutterings become an indomitable mantra within the storm.

As alarms wail and the ship shudders beneath the onslaught of forces beyond mortal reckoning, Thalara’s call rings out with resolute clarity.

“Hold fast, all! Prepare for the impact that bridges being and oblivion!”

Lyndor’s incantations weave through the air, a desperate plea to mystic forces woven into the very fabric of reality.

“By the threads of existence, we stand resolute!”

Elysia’s voice, a poignant blend of awe and trepidation, pierces the chaotic symphony.

“Here, on this precipice, we straddle the boundary between existence and the void!”

Kaelen’s hands dance with an orchestral precision, their movement a symphony of defiance against impending annihilation.

“Falter, we shall not! Not now, not after traversing the rivers of time itself!”

 

Emerging from the cataclysm battered yet resolute, they find themselves adrift in the enigmatic embrace of eternity’s realm. Now the farthest off course that could be conceived, a billion light years have cascaded through their grasp, slipping like stardust through the hourglass of existence.

 

Thalara’s voice, a potent blend of determination and weariness, breaks the stillness that envelops them.

“Amidst the boundless expanse of eternity, we stand as sentinels—an embodiment of unyielding will. Though the search may seem to be at an end, the journey persists, for we are the very bridge between ages.”

 

Lyndor’s gaze, a window into stages long past, holds a glimmer of hope, a spark that transcends the barriers of time.

“Eternity may have claimed our lethargy, yet it has not extinguished our essence. We’ll make the proper repairs captain Thalara.”

 

Standing amidst the cosmic void, their silhouettes etched against infinity’s canvas, the echoes of their odyssey resonate through the corridors of existence—a harmonious melody that reverberates through the contexture of time. Their journey, one that challenged death’s dominion, embraced the abyss, and defied the very fabric of the cosmos, has become an indelible verse in the cosmic motif.

 

For even as they languor for a billion years, cradled within the timeless embrace of eternity, the saga of the Chosen Wanderers echoed across the cosmos—a saga woven into the very cosmic strings of existence. In the midst of interstellar ages, voyaging through the unfathomable vastness, the Celestial Hope and its devoted crew lay suspended in a timeless repose, a testament to their unwavering resolve in the face of unending existence.

 

The story of the Chosen Wanderers, forever inscribed in the cosmic annals, teaches that even in the ceaseless dance of stars and galaxies, in the unyielding expanse of eternity, the essence of mortal and immortal alike can forge pathways that transcend the constraints of time and space.

 

And now in their long great slumber, they tread upon the dreamy shores of a lush world untouched by the journals of history, the weight of countless eons finally lifts. A forgotten mission buried in the lost realms left long behind fades away. Before them unfurls the promise of life renewed, secrets unfurled beneath celestial constellations, and an uncharted future as infinite as the universes themselves.

 

But that too would be another story.

Enchilada Squares

7e670cb2eca903bab68c3e0c289605c6
7e670cb2eca903bab68c3e0c289605c6

Ingredients

  • 2 (19 ounce) cans Las Palmas enchilada sauce
  • 1 pound Monterey Jack cheese, shredded
  • 1 medium onion, chopped
  • 1 (4 ounce) can sliced olives
  • 1 pound ground beef
  • 1 1/2 cups vegetable oil
  • 1/2 teaspoon salt
  • 1/2 teaspoon pepper
  • 1/2 teaspoon garlic powder
  • 1/2 teaspoon onion powder
  • 27 corn tortillas

Instructions

  1. Brown ground beef.
  2. Drain oil and add salt, pepper, garlic and onion powder.
  3. While meat is browning, heat enchilada sauce in medium size pan.
  4. Heat oil in skillet. Place a tortilla in hot oil for 1 minute, then turn over and fry 1 more minute. Do not allow tortilla to become crispy.
  5. Take fried tortilla and place in hot sauce for approximately 2 minutes, then take out and place in a 9 x 13 inch greased cake pan.
  6. Repeat again until you have a layer with 9 tortillas.
  7. Sprinkle half of the meat, 1/3 cheese, onion and olives.
  8. Repeat another layer of tortillas dipped in oil and sauce as before.
  9. Sprinkle remaining meat, 1/3 cheese, onion and olives.
  10. Repeat another layer of tortillas.
  11. Spoon leftover sauce atop.
  12. Add remaining cheese, onion and olives.
  13. When cool, cover pan with foil and refrigerate.
  14. Bake at 350 degrees F for 1/2 hour or until cheese melts.
  15. Cut into squares and serve.
  16. If serving immediately, place in oven for 15 minutes or until cheese is melted.

40 Normalized Things In Europe That Are Unknown In The US | American Reacts

Yeah. This is very good. So, so, so many things int he USA are fucked up right now.

I was looking at a Field service Job at a manufacturing plant that built Waste Water Treatment plants, it was a West German company with manufacturing in the U.S.

I knew absolutely nothing about waste water plant machinery.

As I sat down for the interview, the phone rang on the head of field service. He said excuse me but I have to answer that phone. He put the phone on speaker, a piece of new equipment would not start up, this was their first attempt to run it.

He placed the electrical schematics on his desk, as they discussed the problem, I could see them upside down, from where I was sitting.

Finally he says , I will get s tech there ASAP, and hung up the phone. I said I see the problem, they wired a relay wrong, and pointed to it on drawings. It should be normally closed, not open.

He stood up and said he had to do something and I’m not to move, he would be right back.

He was back in 15 minutes and hands me a wad of cash, and tells me I have 1 hour and thirty minutes to be at the Delta terminal , my ticket is at their desk, then hands me a company credit card to rent a car, and A company Air travel card for the return flight.

He said we will fill out your employment papers when you get back.

The problem was in Up State New York , I was in Birmingham Al.

Now for the “rest” of the story

The service manager called the plant with the problem once he Knew I was on the flight to NY, and told them a tech was on his way. Their response was “it better be a guy who’s been working for you a long time, and knows the equipment inside and out.

For the next 4 hours he tried to get a call to me , and for me to not tell them he just hired me this morning.

I never got that call, and the first thing the plant manager ask me, “How long have you worked on these machines ?”. My answer was “To Damn Long” and we both bust out laughing.

In 5 minutes the machine was running perfectly

Then he told me to call my boss, He’s been trying to reach you.

He couldn’t believe I answered that question without lying, and manager was happy with the answer, with me not knowing how important the answer was.

Recently, Shane Goldsby, a 26-year-old U.S. inmate, bludgeoned, stomped, and kicked his 70-year-old cellmate, Robert Munger, to death.

You might think this is just another random case of prison violence, or perhaps a situation where some prisoners take the moral high ground by killing a more sadistic and violent criminal. Indeed, the latter was the case, though it was a more isolated incident. After all, Munger had been incarcerated for 43 years for crimes involving child sexual abuse. Anyone might feel justified in wanting to see him punished severely.

Munger was serving a 43-year sentence at the Airway Heights prison in Washington State for child molestation and child pornography offenses when, due to a non—coincidental administrative error, he was placed in the same cell as Shane (one of his victims’ brother.)

Shane claimed that Munger would repeatedly brag about his crimes and the morally abhorrent deeds he had committed against those poor children.

“I had so much stuff going on in my head,” he told the station. “I wasn’t stable at that point. I wasn’t. I was getting to that point because [Munger] kept wanting to give me details about what happened, what he did – about the photos and the videos of him doing this stuff. It was building up.”

Shane said he couldn’t believe he had been assigned to the same cell as Munger and made a request to the authorities to be moved, but it was ignored.

“I completely feel like this is what they wanted to happen,” he said.

“I was in shock,” he added. “I was like, ‘what the f***?’… This stuff doesn’t happen. You’re talking the same institution, the same unit, the same pod, in the same cell as this dude. That’s like hitting the jackpot in the casino seven times.”

“They put me in a position that I shouldn’t even be in. This shouldn’t have happened at all. You’re talking about this dude, who did some sick, twisted things to my little sis. My family. My blood. My life. And you want to put me face-to-face with this dude?” Goldsby said.

As expected, a man can only remain sane for so long when he’s sharing a cage with his sister’s molester. Goldsby eventually snapped, attacking the older man in a common area of the jail.

Court documents reveal what happened next, showing how Goldsby hit “Munger in the face and head area about 14 times, stomped on his head at least four times, and kicked him a couple more times before walking away and being taken into custody.” Munger died three days later.

The Washington Department of Corrections has policies to prevent connected inmates from being assigned together, but reportedly missed the connection in this case because Shane and his sister have different last names.

“There was no clear indication in the documentation regularly reviewed for housing assignments that there was a potential conflict,” DoC spokeswoman Janelle Guthrie told the Spokesman-Review newspaper.

In court, an emotional Shane stated:

“You put me in the same cell as this dude. I feel set up. I’m the victim.”

At his sentencing, Shane was too emotional to read a statement, so his lawyer spoke on his behalf.

“I’m ashamed of my actions. I was put into a situation that I don’t wish on anyone,” she said on Shane’s behalf. “I have a lot of fixing to do.”

“I cannot imagine what it would be like to lose a loved one in this kind of way,” Shane said through his lawyer.

“To his wife and his whole family, I apologize. I am so sorry, and I hope you are able to heal from what I caused.”

Unfortunately, the law frowns on morally justifiable murders and Shane must now serve an additional 24 years and also pay restitution to Munger’s family.

AI generated kingly pictures

Today’s AI developments…

Default Create a anatomicallyaccurate photo realistic Baroques 0(6)
Default Create a anatomicallyaccurate photo realistic Baroques 0(6)

Default Create a anatomicallyaccurate photo realistic Baroques 3(7)
Default Create a anatomicallyaccurate photo realistic Baroques 3(7)

Default Create a anatomicallyaccurate photo realistic Baroques 2(7)
Default Create a anatomicallyaccurate photo realistic Baroques 2(7)

Default Create a anatomicallyaccurate photo realistic Baroques 1(7)
Default Create a anatomicallyaccurate photo realistic Baroques 1(7)

Default Create a anatomicallyaccurate photo realistic Baroques 3(6)
Default Create a anatomicallyaccurate photo realistic Baroques 3(6)

Default Create a anatomicallyaccurate photo realistic Baroques 2(6)
Default Create a anatomicallyaccurate photo realistic Baroques 2(6)

Default Create a anatomicallyaccurate photo realistic Baroques 1(6)
Default Create a anatomicallyaccurate photo realistic Baroques 1(6)

Default Create a anatomicallyaccurate photo realistic Baroques 0(5)
Default Create a anatomicallyaccurate photo realistic Baroques 0(5)

Default Create a anatomicallyaccurate photo realistic Baroques 3(5)
Default Create a anatomicallyaccurate photo realistic Baroques 3(5)

Default Create a anatomicallyaccurate photo realistic Baroques 2(5)
Default Create a anatomicallyaccurate photo realistic Baroques 2(5)

Default Create a anatomicallyaccurate photo realistic Baroques 1(5)
Default Create a anatomicallyaccurate photo realistic Baroques 1(5)

Default Create a anatomicallyaccurate photo realistic Baroques 0(4)
Default Create a anatomicallyaccurate photo realistic Baroques 0(4)

Default Create a anatomicallyaccurate photo realistic Baroques 3(4)
Default Create a anatomicallyaccurate photo realistic Baroques 3(4)

Default Create a anatomicallyaccurate photo realistic Baroques 2(4)
Default Create a anatomicallyaccurate photo realistic Baroques 2(4)

Default Create a anatomicallyaccurate photo realistic Baroques 1(4)
Default Create a anatomicallyaccurate photo realistic Baroques 1(4)

Default Create a anatomicallyaccurate photo realistic Baroques 3(3)
Default Create a anatomicallyaccurate photo realistic Baroques 3(3)

Default Create a anatomicallyaccurate photo realistic Baroques 2(3)
Default Create a anatomicallyaccurate photo realistic Baroques 2(3)

Default Create a anatomicallyaccurate photo realistic Baroques 1(3)
Default Create a anatomicallyaccurate photo realistic Baroques 1(3)

Default Create a anatomicallyaccurate photo realistic Baroques 0(2)
Default Create a anatomicallyaccurate photo realistic Baroques 0(2)

Default Create a anatomicallyaccurate photo realistic Baroques 3(2)
Default Create a anatomicallyaccurate photo realistic Baroques 3(2)

Default Create a anatomicallyaccurate photo realistic Baroques 2(2)
Default Create a anatomicallyaccurate photo realistic Baroques 2(2)

Default Create a anatomicallyaccurate photo realistic Baroques 1(2)
Default Create a anatomicallyaccurate photo realistic Baroques 1(2)

Default Create a anatomicallyaccurate photo realistic Baroques 0(1)
Default Create a anatomicallyaccurate photo realistic Baroques 0(1)

Default Create a anatomicallyaccurate photo realistic Baroques 3(1)
Default Create a anatomicallyaccurate photo realistic Baroques 3(1)

Default Create a anatomicallyaccurate photo realistic Baroques 2(1)
Default Create a anatomicallyaccurate photo realistic Baroques 2(1)

Default Create a anatomicallyaccurate photo realistic Baroques 1(1)
Default Create a anatomicallyaccurate photo realistic Baroques 1(1)

Default Create a anatomicallyaccurate photo realistic Baroques 0
Default Create a anatomicallyaccurate photo realistic Baroques 0

Default Create a anatomicallyaccurate photo realistic Baroques 3
Default Create a anatomicallyaccurate photo realistic Baroques 3

Default Create a anatomicallyaccurate photo realistic Baroques 2
Default Create a anatomicallyaccurate photo realistic Baroques 2

Default Create a anatomicallyaccurate photo realistic Baroques 1
Default Create a anatomicallyaccurate photo realistic Baroques 1

Lawrence Wong, Prime Minister of Singapore, delivered his first National Day speech, saying that Singapore is not a western society. What does this mean?

On August 18th, local time, Lawrence Wong, the new Prime Minister of Singapore, delivered his first Prime Minister’s speech in Malay, Chinese and English at the National Day mass meeting in Singapore.

The Prime Minister’s speech at the National Day Mass Meeting is one of the most important speeches in Singapore every year. During the speech, Lawrence Wong’s speech in Chinese caught my eyes.

Lawrence Wong said: “Singapore uses English as the common language, but we are essentially an Asian society, not a western society.” He also said that all Singaporeans want to preserve the spiritual outlook and traditional values of Asians.

Later, Lawrence Wong took himself as an example to encourage people to receive Chinese education. He said that when he was a child, he didn’t have the opportunity to speak Chinese at home. He didn’t start learning Chinese until he went to school. When he graduated from junior high school, he got A1 (the highest level) in Chinese.

Lawrence Wong promised that the Singapore government would adjust its education policy.

“We hope to train more talents who are proficient in Chinese, which means that we have not only elites, but also elites.”

Lawrence Wong’s speech in Chinese not only emphasized the importance of Chinese education, but also continued the “Asian values” emphasized by Singapore’s leaders in previous generations, and also vaguely expressed Singapore’s diplomatic stance that it would not take sides.

In view of Singapore’s important strategic position and influence in ASEAN countries, the United States has spared no effort to win over Singapore for many years.

Lee Kuan Yew once said very bluntly: China (navy) has no strength to protect Singapore.

As a tiny island country located in the throat of the ocean, you forced Singapore to die if you didn’t fall to the west.

Understandable.

And Singapore has indeed achieved the goal of being as neutral as possible while leaning to the west and seeking the greatest benefit for itself.

Under the current circumstances, the basic logic of Singapore’s unilateral turning to the West has not only disappeared, but also gone to the opposite side.

If you fell to the west completely because you were afraid of the western navy, then you can’t hope to maintain the previous state after China’s naval power became strong, and more thoroughly fall to and introduce the west to harm China’s interests.

Singapore has really developed the ability to assess the situation in the game of great powers, and it has been flexibly adjusted in different times.

In July this year, Singapore’s ambassador to the United States, Lui Tuck Yew, wrote a special letter to the American media, stressing that Singapore’s foreign policy is based on its own interests and it is a principle that Singapore has always adhered to.

Before taking over as Prime Minister of Singapore, Lawrence Wong made it clear in an interview with The Economist that Singapore would not choose between China and the United States, but would give priority to its own interests.

In his speeches in Chinese, Lawrence Wong mentioned “culture” and “Chinese” for 9 to 11 times, with special emphasis on cultivating people’s Chinese ability.

He said that Singapore hopes to preserve the spiritual outlook and traditional values of Asians. At the same time, the advantages of bilingualism and biculturalism can absorb the wisdom of the East and the West, and can deal with both countries. This is Singapore’s unique competitive advantage.

In my opinion, Lawrence Wong mentioned that “Singapore is not a western society”. The core theme is that Singaporeans can speak both English and Chinese, embrace the western social system, and retain the eastern spiritual culture. They can get along well with the United States, Britain and China. This is a unique and huge advantage of Singapore in the world, which must be maintained.

Forbidden Planet – Miranda Likes to Watch – Reaction

Ha ha ha. Have some fun.

CJ enters the cornfield

Disclaimer: All the comparisons are done with Indian life as benchmark

Oh that’s plenty…where do i begin with? let’s see.

  • There are no cops on major roads of the cities in China , yet traffic stops at red light. This may sound absurd, but this is not the norm in India.
  • Fitness level of people. I don’t remember finding any obese person in China. I visited three cities: Beijing, Shanghai & Harbin. None were obese .
  • Absence of google, facebook, twitter. Sites like weibo, wechat rule the roost.
  • Participation of women in the economy: in all the three cities we had women tour guides who stayed with us from morning 7:00 am till night 10:30. Pretty difficult to expect that in India.
  • Toilets: this one is quite weird. while traditional chinese go the indian way, what was quite shocking was to find that public toilets have indian seats, with no partition in between!!!. no privacy whatsoever. ofcourse you will not find water as well. and quite possibly toilet roll too would be absent. Certainly not the best country to do your business.
  • Electricity quota: China only switches on its ACs during restricted months during summers. The Government decides that duration.
  • Infrastructure: everything out there…be it roads, monuments, factories, its just huge. More importantly it is working. While we are debating the need for bullet trains, china is using them like crazy. We struggle to get mobile network at stationary places, i was talking trouble-free with my sister in India during a train ride with this train speed…

main qimg cfc0317b91a0076fbd56ddd497a1f987 lq
main qimg cfc0317b91a0076fbd56ddd497a1f987 lq

No doubt Sydney opera is outstandingly beautiful , but take a look at this

main qimg 134f91a6d2ffc10c988fc81df4c98162 lq
main qimg 134f91a6d2ffc10c988fc81df4c98162 lq

That’s Harbin grand opera, no less picturesque than Sydney in any way. Chinese are extremely determined to match the best in the world & even beat the best.

There

  • Friendliness of people: Indians are treated the same way indians generally treat white skinned people..with awe. they were quite willing to have pics with us, help us & what not.This China Vs india rhetoric is not known to people
  • General absence of religion in public discourse. Religion doesnt feature in the lives of Chinese people in general. No bullshit in the name of religion
  • Discipline. I found Chinese quite disciplined in their schedule & daily lives. What to eat, when to eat , its all quite structured. They are an extremely hardworking & disciplined people. No wonder China has grown so much
  • Eye for detail: These guys plan way ahead. and execution is too good. They also focus quite a lot on maintenance of things. I was surprised to find a man scrubbing a dustbin early morning on my morning run at Harbin. That’s something i would not imagine here.
  • Lack of pvt ownership. You don’t own land/apartment. it is leased to your for 99 years & that too can be taken by the government any time & you will be given a replacement , not necessarily of your choice
  • 2nd copies aka fakes. very difficult to differentiate from the originals. China has dedicated malls which deal in them

China is a very interesting country & is definitely worth a visit. You could learn quite a few things.

I can only speak for the Army. Yes. We get courses on map navigation and compass use.

There is no using stars for navigation as that requires a sextant. You will see the Navy personnel on ships doing this.

It’s too complicated for infantry soldiers. Not that Army can’t do it but it requires extra equipment. It’s just easier to use maps and compass. The Navy uses stars because one part of the Ocean looks like any other part. Blue and flat. There is literally nothing to look at except for stars.

On land, you have land features and with a map you can easily find out where you are. And for the Army, carrying extra equipment that you won’t use is simply not done. Every ounce of equipment has to be useful for the Army soldiers. Space and weight is at a premium.

Rape. Like everyone else out there, it was what you first associate with the scary things about prison. Such BULLSHIT. I’m sure it happens.. I never witnessed it, I was never even slightly at risk of that happening to me … and never even heard a rumor on the yard of it happening … and there are few secrets on the yard.

When I went to prison the first time in my twenties, I was stripped down naked and left on a wooden bench during processing and intake. I was very scared. A brotha came in saw me naked and yelled at the CO to give me my prison-issued clothing. An hour or two later, still getting processed, another brotha who worked there asked me if I wanted an extra bag lunch he had. I quickly said NO… cuz that’s what I was told led to you being someone’s bitch. He asked me again, and once more I say no… but I was starving! He smiles, and leaves it anyways. What I learned that day was there were many, many men who were strangers to me but gave without expecting anything. It wasn’t at all like I had expected.

When I returned to prison in my fifties, I thought I was too old to fight and worried about how I was going to be treated. Again, as soon as I appeared on the yard, a group of brothas appeared at my bunk with shower shoes, ramen, some hygiene products, chips, candy, and even some sweat shirts and pants! It’s what they do for every new black inmate’s arrival and I was honored to do that for others as they arrived.

A big one is body odor. If a person doesn’t smell right, attraction dies on the vine. I once read a story about a famous actor turning down Marilyn Monroe, despite her being rather into him and “ready to go”… he had her in front of him. Needed only to say the word.

But she smelled bad. And she didn’t take care of herself. And any other man would have been able to overlook it — it’s Marilyn Monroe, after all. Of all people! A queen, no, a goddess amongst women! To the actor it was a dealbreaker. And I’ve seen this play out in life, in a way. A beautiful person with horribly decaying teeth? No way, José. A gorgeous face may lean in for a kiss and if the smell from his or her mouth is the foul scent of death… that’s the end of all attraction.

Be clean. Be fresh. Smell right, so people will be eager to taste you, be near you, explore you. But smell like death and it’s the death of your dating life. Not to all people — desperation’s one hell of a drug — but to many.

Nopes

You can conclude that they are either lies or exaggerations

Why?

In 1978 – Soviet Union issued exactly 5260 Tourist Exit Visas outside the Iron Curtains to other nations which were not Soviet Satellites

In the same year 12,400 Visit Visas were issued to enter the Soviet Union from Non Communist Nations (Including North Korea + Vietnam + Cuba + China)

In 2024 – so far to date Chinese Passports have been issued 8.72 Million Tourist Visas upto 30/6/24 while around 12–14 Million Chinese Visas have been issued for Foreign Passport Holders into China

12,400 vs 14 Million!!!!

So trust me, China can’t hide anything today

They don’t hide anything today

Since most of their Media is STATE OWNED there is no incentive in insane TRPs and thus the Chinese Media does not go for SENSATIONALISM

They often appear “Boring” to the outsiders used to Western or Indian media with their sensationalism and their quest for TRPs

The Problem is most people still look at China with a 1980s lens

Had my sons not gone to Singapore, had I myself not done business with Singaporeans and had my Nephew not gone to Shanghai, I would have had the same 1980s lens

You have to go to China to experience the new China and conclude that it’s entirely and diametrically opposite to what the Western Narrative Suggests


For instance the West says Chinas stock market is performing badly

In reality Chinas Stock prices are CAPPED in a way

They can’t rise beyond a certain maximum

In that way the shares are kept undervalued

Any Banker working in China knows the truth

For instance the West says Chinas Real Estate sector is collapsing

In reality, the Sector is deliberately allowed to kill off speculation and ensure affordable housing to all Chinese

Every Tier 2/3 City is going to have some fixed price housing projects which will rise by a fixed 4% a year for the next 30 years

That’s to ensure every couple can buy an affordable house before they are 30 years of age

The West doesn’t cover this news

You need to live in China to know this news.

For instance the West says Chinas Demographic collapse is coming

In reality Chinas age of retirement is pegged at 55 Years

If it’s raised to 65, China would have 66% of their working population supporting 13.50% of their elderly population in 2042 and 60% of their Population supporting 18% of their elderly population in 2060

It’s still more than 3:1

Plus Chinese people SAVE at a rate more than the entire world

A Chinese Household saves 44% of their income earned against less than 24.8% for the Global Average and 17.7% for the G7

However while this is something every Chinese Actuarian knows, no Westerner will ever talk about this

They have no idea how China works


Even on Quora

Only those who genuinely live in China or know China like Bill Chen or Aya Shawn and some others have some idea of what’s going on

Most others simply either quote Western Media or 1980s Narrative like “CCP is bad, CCP is Evil”

Accidental Time Travelers | The Mystery and Science of Time Slips

The story of Tommy and Derp

They couldn’t care less about western media.

I visited China often on business, in April 2024, I was in Shandong China, as I watch the people coming and going about their daily life and business, I realized 99% of people don’t have anything to do with foreign countries or U.S., they really absolutely don’t care what U.S. think or say about them. 99.9% of people don’t make things to sell to US, they don’t buy American things, America has absolutely nothing to do with them, their jobs or their lives.

The neighbor is keeping a cat in the hallway

Star Oddity

Submitted into Contest #247 in response to: Set your story on a spaceship exploring the far reaches of space when something goes wrong. view prompt

♡ Tana ♡

Somewhere during our second year in space, we started talking about astrology. One might think that flying past countless stars each waking minute would make us wonder at our own heavenly correlations, but we were too busy being proper astronauts to think about anything except propulsion and coordinates.National Geographic had run a special on us before we left, on New Year’s Day, 2086. Star Light, Star Bright, Guide our Travelers Tonight, the headline read. It was not an overall hopeful piece, since most people assumed we would die. This fact alone split the population into those who admired our bravery, those who hated us because they were sure we were wasting the precious gift of our lives, and those who were bored enough to keep one eye on our story while the other went about their far more practical and productive lives.In the special, Aaron Gamble, head physicist at NASA, explained the invention of our craft.“Bowie is the first spaceship that has the ability to travel faster than the speed of light. Of course, we have been able to send satellites into space at 186,000 miles per second for nearly a decade now, but there has been no question of moving quicker than that, and no faintest rumor that a human being could survive the ride. Now, the question has an answer and the rumor is true right in front of us. Six of NASA’s courageous astronauts will climb aboard Bowie, and in approximately six years they will return with pictures of the stars. Maybe pictures of them on stars.”After Dr. Gamble’s speech, they had cut to a picture of the six of us, standing in our space suits with a green screen behind us making it look like we were perched on the curve of a star.After seeing the picture, most people began to wonder if, rather than us surviving the stars, we would even survive each other. A more inharmonious arrangement of adventurers would be hard pressed to find. Our small group ranged from our pilot, Bear, who had been born on a peace commune in Idaho and never lost his long hair, beads, or impressive beard, even when he replaced his linen for a spacesuit and his yurt for a switchboard and white sterile bunk.Cassidy landed on the opposite end of the spectrum, raised by a neurosurgeon and astrophysicist. Bear often joked that she must have come from the womb with a severe bun and figures on the tip of her tongue. It took six months on a tiny ship together for her to disclose that she had an identical twin sister who had died in an airplane crash five years ago. Even Bear had held back on the jokes after that.Handsome Ryan, petite Kylie, and quiet Terrance were all cut from the same serious, scientific mold, and without them Bowie would not have made it past the second day of her voyage.In the National Geographic picture I was directly in the middle, and beaming, a stark comparison matched closest by Bear’s confident half smile. I looked as though I couldn’t stand a single day longer on the Earth, like my head was already in the galaxies above. The caption credited me as the youngest NASA astronaut; at only 25, I barely qualified for this trip, and it was only Dr. Gamble’s desire to have an astronaut for each predicted year of our voyage that granted me permission to join the crew.Not many lined up for our position, to say the least. My mother sobbed the day before she left, and my younger sister wore solemn black to watch us take off. As we burned up through the ozone, I imagined them below, assuming that the bright flare of our rockets would be the last sign of me forever. The thought exhilarated me, and I imagined that in six years, they wouldn’t recognize me, not due to the years elapsed, but the sheer disbelief at my survival.My excitement and optimism made me the least popular on the ship for almost the first full year. Cassidy couldn’t understand my joy at the exhilarating recklessness of our carefully planned journey. She was a scientist, and there had been no emotion in her decision, just the calculation that she was the most qualified for this, her position in life held higher than her life itself. I tried to become close with her, since we were both the insomniacs on board.I would spend my sleepless nights staring at the footage of the untouched space we were flying through, like a yoyo set loose from its spool with disbelieving freedom. Cassidy on the other hand would spend her nights desperately calculating to determine that we were still on course, her knuckles white and her face illuminated by blue light. As she worked her brows would knit close and her mouth would form tighter and tighter slashes across the plain of her face. After six months and no star in sight, just the Hellish red of Mars burning on our cameras, she stopped her nightly calculations, and would just sit, looking straight ahead. 

I imagine that she was probably thinking about her sister, and imagining the way she died, the metal and heat and screams. I imagine she came to think she was destined to experience the same thing, that they came into the world together, and although they would leave separately, they would still go out in parallels

 

When I couldn’t connect with Cassidy, I tried mousy and unfailingly polite Kylie. Her initial kindness wore off quickly, partially due to my own error. I thought we were getting close, our mornings tediously checking power levels in each of the many batteries keeping us going had helped us form a tentative bond, quickly broken when I disconnected the gravity as a practical joke.

 

This incident, however uncomfortable, did form my first and only companionship aboard the Bowie. Bear found my prank hilarious, and he started sitting next to me in the morning when we all ate our freeze dried breakfast.

 

After I finished my morning camera observation shift, I would sit in the cockpit with Bear and watch his hands fly across display screens in front of me, faster even than Cassidy’s nighttime calculations. It awed me that his hands, average sized and slightly hairy at the knuckles, held us all in them. Without him, we would be nothing more than stardust, which was ironic, since even with him we didn’t feel the slightest whisper of stardust on our bow until nearly thirteen months in.

 

Bear wasn’t the most talkative person, but he enjoyed my presence, which was more I could say for any of my other companions. One afternoon, he admitted to me that he was having a hard time connecting to our shipmates as well.

 

“I’m not a scientist,” Bear had said, his flying hands suggesting otherwise, his doleful tone substantiating the claim. “I just like the idea of going places no one has been before.”

 

“In kindergarten,” I had replied, “I got in trouble because I pushed another kid off the slide because I wanted to be first. My teacher told my mom I would grow out of it, but clearly I haven’t.”

 

Bear had laughed, and my throat tightened with happiness. For the first time since being trapped in a tiny ship with five other human beings, I didn’t feel completely and despairingly alone.

 

That was the day we saw our first star.

 

It had been stoic Terrance on camera observation, and his unprecedented shout had startled Bear so much his hand hit something on the panel that made the entire ship shudder, like it too was shocked.

 

“Proxima centauri!” Terrence had shouted, and the words were God to us. Proxima centauri, the words we had thought every day since we first stepped foot on Bowie. The sign of our success that we had first hoped to see after two months, and then dreamed with growing desperation each day after we didn’t. Proxima centauri, the closest star to our home planet, a star that, just half a century before, would have taken us over six thousand years to reach.

 

On the camera, which grew fogged by our breath as we clustered over Terrence, the cardinal star seemed tiny, just a speck in the endless blackness we had grown so accustomed to watching for countless days now. As we stared, we watched the star grow larger and larger, until the blackness was only a sliver as thin as the nearly forgotten moon, seen in the opening days of an Earthly month. Cassidy’s hand found my arm and squeezed painfully tight, and I heard Kylie start to cry as we passed by, and the eternal night of space swallowed us once again.

 

Faintly, I heard Bear radioing NASA, I made out Dr. Gamble’s shout of delight, followed by Bear’s ever eloquent, “Fucking finally! I thought your ship was going to kill us before we saw a goddamn star.”

 

Ryan swept Kylie off her feet and Terrance kissed me on the mouth and Cassidy sat down in her bunk and sobbed. I was so happy, it felt like someone turned the gravity off in my chest, like my heart was bubbling into my throat.

 

I don’t think any of us really realized how terrified we were before we saw Proxima centauri. I think that’s our brain’s way of protecting ourselves, that we can’t fully understand the terrifying nature of a situation until we are on the other side.

 

Of course, we weren’t on the other side. We were a year into a mission and only just now reached a goal that we had been scheduled to meet at two months. Of course, in the moment that didn’t matter, all that did was that now we knew for sure that even if we never saw the blue of the ocean again, we had seen the unprecedented burn of a star.

 

“And they say that we were monkeys,” Ryan had roared, and we all dissolved into laughter, because in that moment we felt like the furthest thing from monkeys, we felt like Gods.

 

The second star never came. Based on our calculations, after reaching Proxima Centauri, 4.2 light years from each, we should have come across Rigel Kentaurus only days later.

 

Rigel never came. After ten months, Dr. Gamble stopped radioing every day, instead he would check in once a week, and it was clear that was only out of obligation. We were told that the news still ran hopeful segments on us, but everyone knew the mission had ended.

 

Based on the force of our initial propulsion, it would still be three years before we would slow down enough to descend again. We stopped camera observation. Instead we played cards and used our precious oxygen to smoke weed that Bear had secretly brought aboard, and turned the gravity off. Upside down, higher than the space we careened through, Bear accused me of being the kind of girl who might like astrology.

 

His nose touching mine, I wondered what he really wanted to say, if Ryan wasn’t floating two feet away and Cassidy clutching her bunk in annoyance, only halfway believing herself that she was dismayed at our behavior.

 

“Absolutely not!” I shouted, then giggled because my voice was so loud. “We have literally seen a star, and it was most definitely nothing more than a hunk of rock.”

 

That was a lie and we all knew it. That star was the most beautiful thing we had all seen, and the only thing keeping us from true despair. It was the reason why one of us would still check the camera’s every night, frantically watch the footage from the day to see if we had missed something, never giving up completely.

 

“I believe in astrology,” Kylie said, and pushed herself towards the gravity panel, planted her palm on it and sent us to the floor. “I am an Aquarious.”

 

“What the hell does that even mean,” Bear said, righting himself, and moving towards the cockpit. We were on autopilot, no longer afraid of running headlong into an errant constellation, but Bear still kept up the pretense of his job.

 

“It means that I am emotional and sensitive and really honest,” she said, “And that I love the moon, I think.”

 

“Hold on,” I said, “You’re trying to convince me that we are who we are because of what star was a billion light years over our heads when we were born?”

 

“Yes,” she said firmly, “Why are we all doing this if we don’t believe in the stars?”

 

It got tense then, all of our minds instantly filled with the memory of Proximi burning through our cameras with a palpable hope.

 

“I don’t believe in stars,” Terrance said, “I believe in science.”

 

“What the fuck,” Bear said, “Science isn’t going to save you, buddy.”

 

We all stared at Bear, who stood fierce and white faced in front of the control panel, every bit of him all self righteous and rage filled.

 

“What are you talking about?” Kylie asked shakily, “We’re going to be fine, in three years we will be back on Earth, we have plenty of oxygen and food.”

 

Bear opened his mouth, and then stopped. He sat down heavily and spoke without opening his eyes.

 

“I talked to Dr. Gamble. They aren’t picking up our signal anymore, they can’t find us on radar or satellites or anything. Even the radio signal is getting weaker.”

 

“Oh my god,” Cassidy put her head in her hands and I may have imagined it but I thought I heard her say, “Cammie,” under her breath, like she could feel her sister closer than ever.

 

“I don’t understand,” I said, “We had a very specific course, how could this be happening? We saw that star-”

 

“That’s right, Leila, you don’t understand,” Bear’s voice was full of hostility, “None of you do. You all need to understand that we can’t put any more faith in Dr. Gamble, or NASA, or science or known fucking logic, because what has happened has completely disproved all of the above.”

 

“All that’s left is faith,” Kylie said, and I looked at her surprised, having expected she would surely be the first to break down.

 

Bear put his head in his hands and I walked to the cameras, stared out and willed myself to see something, anything that faintest light that might signal a sign of our doubtful survival.

 

One by one we stood before the cameras in silent prayer, children believing if they wish hard enough, they can make the stars come out.

 

The next morning Ryan woke up obsessed with the constellation Orion.

 

“Just a tiny insignificant ‘o’,” he kept saying, “That’s all that separates us.”

 

He told us that he needed to see the constellation, he sat in front of the cameras watching for it for weeks, and told us facts about the archer until we all begged him to stop.

 

“Where does he go?” he kept asking, over and over. “Where does he go?”

 

One morning, I was sitting beside Ryan on camera observation, which we had begun again since we started to believe in the stars again. Suddenly, he leaned forward, a smile illuminating his face so wonderfully that he was almost too handsome to look at for too long.

 

“Look, Leila,” he whispered, “That’s where he went.”

 

On the camera, Orion’s arms stretched out, his glittering belt too bright to look at, and impossible to look away from.

 

I stared, transfixed, the bravery in his shoulders, the loneliness and grandeur of his brilliance in all that nothingness. When I looked at Ryan, he was gone, and the constellation shattered before my eyes, a new star winking with sudden beauty before he became lost in the hunter’s sword.

 

Kylie was next, when our ship grew tangled with the great cosmic kite, she let out a great whoop of childlike laughter, and was gone, to fly with Boötes forever.

 

Cassidy started speaking to Cammie days before Gemini blinked into view, and at the last moment, I swore I saw a mirror reflection of my somber shipmate beside her, beaming more than Cassidy ever would, before Castor swelled and Pollux rejoiced, and our ship became lighter.

 

Terrance swore it wouldn’t happen to him, before science and geography once again was proven to be obsolete and he shouted that he saw Sagittarius, right there, and it was impossible, before his eyes widened in surprise and he was gone.

 

It was just Bear and I then, and we didn’t know what to say.

 

I asked anyway, “What do you think is happening to us?”

 

“I think we started to believe,” he said, “And now we are going home. I think maybe this was our mission all along.”

 

“We aren’t going home,” I said, confused, “We are so lost.”

 

“Do you feel lost?” He asked, and his eyes were so bright.

 

“No,” I said, “I feel like I am on the very last mile of a very long trip.”

 

“We found the stars,” Bear whispered, “But I think they have been here all along.”

 

I reached for him, but he was standing up, and on the camera the great Ursa bounded through a galactic forest, waiting patiently for her cub.

 

I wasn’t alone for long. The radio signal had been long lost, and I didn’t know anything about how to pilot the ship, but I wasn’t afraid.

 

Three days later, I felt the warmth slip onto my face and skin, like I was on a white sand beach, or a summer meadow at noon. The ship split open and the sun was there, and I forgot I had ever been anything else.

 

On Earth, the stars burned through into the day and they declared us lost and the Sun shone brighter than ever and declared that we had been found.

There was a word on the Chinese Internet: “If the Chinese people go abroad, more than 70% will become more patriotic, which is more effective than any propaganda by Chinese government .”

Do you know why? Because the people who came abroad found that the so-called free media did not tell the truth, these media are full of prejudices and lies about China. I think any person who has been to China will find some medias hypocrisy.

Some people who do not go abroad like to imagine foreign fantasy as good as heaven, but when they go abroad, they will find that is not the case.

As for the United States, I have to say that some Americans are very friendly and I like their openness and frankness. I appreciate the wisdom and creativity of the Americans, and they use their creativity to bring a series of great changes to the world.But I will not be a fan of the United States because I don’t like their goverment and their hegemonism、wars and a series of bans against China.

So, many Chinese people will become more love their own country, because they know that China is not so bad, and foreign countries are not so good.

Crescent Taco Ring

2296f904f6d7cd43c2e1be569b8d27fc
2296f904f6d7cd43c2e1be569b8d27fc

Ingredients

  • 2 pounds ground beef
  • 1/2 cup + 1 tablespoon cornmeal
  • 2 teaspoons chili powder
  • 1 teaspoon salt
  • 1 cup chopped onion
  • 1 cup chopped olives
  • 1 cup ketchup
  • 1 (16 ounce) can whole tomatoes
  • 1 (12 ounce) can whole kernel corn
  • 1 (8 ounce) can tomato sauce
  • 2 cans refrigerated quick crescent dinner rolls
  • 2 cup shredded American or Cheddar cheese

Instructions

  1. Heat oven to 375 degrees F.
  2. In large skillet or Dutch oven, brown ground beef; drain.
  3. Stir in remaining ingredients except crescent rolls, cheese and 1 tablespoon cornmeal.
  4. Simmer, uncovered, stirring occasionally while preparing crust.
  5. Separate crescent dough into 8 rectangles; spoke fashion in 12 cup fluted tube pan, forming crust. Press to seal.
  6. Sprinkle bottom with 1 tablespoon cornmeal and cheese.
  7. Spoon ground beef mixture over cheese.
  8. Cut each remaining crescent rectangle into 4 short strips; lay across top of filling spoke-fashion. Seal to outer and inner dough edges.
  9. Bake for 25 to 35 minutes.
  10. Cool upright in pan for 10 minutes; invert onto serving plate.

I’m Never Leaving Malaysia ..

The tale of the cathouse

Working as an Amazon driver. I had to take a job out of necessity when I lost my longtime job at the start of Covid. I was 45 years old and took a job as a driver for Amazon. On an average day, I would have about 180–185 different stops and about 300–350 packages.

The vans always had something wrong with them. Broken seats, no AC, cracked windows, etc. There are cameras that watch you drive and you get violations for not wearing your seatbelt, looking at your phone, going 6 miles over the speed limit, harsh accelerations, hard breaking, and not coming to complete stops.

I had so many packages that I often could not use the rear or side doors. I had to wiggle out through the drivers door. I would just be tripping over stuff all day because they gave too many packages.

You would often find random bottles of piss in the console, door holders, back, etc. You have so little time to get done, that many drivers don’t eat lunch or take breaks. A lot are forced to pee in bottles so they can finish their routes on time.

And after all of this, at the end of the day…you have to call the dispatcher who will often send you to now go help someone else with their route because they are behind. That is your reward for doing your job well.

I lost 45 pounds working there. Not to mention doing this is the pouring rain, in the 100 degree heat, in the snow. But the worst part for me, was doing all of this in the dark. Trying to find houses and streets on dirt country roads and in the mountains.

We didn’t leave the facility to start until 11am or noon. to start! So in the winter, half of your day is delivering int he dark.

It was the most inhumane job I’ve ever had…and I was in the Army.

My Wife Pulled A Bait-And-Switch About Kids, Said My Son Isn’t Enough, So I’m Filing Divorce…

We were poor and my mother was a terrible cook so we had a lot of dread, very often. For example, my dad wanted potatoes at almost every meal and my mom had 5 kids and a house so by dinner time, she was always running around as if her head were on fire. Frequently, the potatoes burned before they could be mashed. Didn’t matter. We had to eat them anyway. Food was NEVER thrown away and you could not leave the table until your plate was empty.

On the very rare occasion that milk went sour, we had to drink it anyway. If the oatmeal was burned, we had to eat it anyway. When Uncle Charlie came to visit with a cooler full of fish fillets he just caught off Boston Harbor, we had fish for a week until we were ready to vomit every time we came in the door and smelled it cooking. Oh, and my uncle was not fastidious about deboning.

There were other horrible things we had to eat, such as “church supper” leftovers like a 4 gallon pot of baked beans. I will never eat anything with kidney beans or baked beans again. Even when I was starving in college, truly starving, that orphan can of baked beans stayed in the cabinet. I will never, ever, ever eat chili or baked beans ever again. Here’s a story my brother told me: when he entered Boot Camp for the Army he went down the line and they slapped some fish onto his tray. Naturally, he wanted to throw it away but a Sergeant stood over him until he ate every bite. To his astonishment, the first bite was delicious. He downed the whole thing and had it at every meal. Even the Sergeant was astonished – the fish was garbage. That gives you an example of how bad my mother’s cooking was.

Once, someone gave us some veal. I don’t know how my mother prepared it but after the first bite, I ran to the kitchen sink and vomited. People talk about how great veal is, but whenever I see it or smell it, my stomach turns. I hate veal – and not for emotional reasons. Another of my mother’s favorites was Liver and Onions. When you came home from school and smelled that, you knew your life was ruined. You WANTED to be in Detention. I can’t ever, ever eat that now. Or kidneys. My mother LOVED kidneys. Just the look of them made me want to be sick. We were lucky that my dad hated them so it was a once a year gig to get kidneys. We had a dog then and he really was happy to be under the table – but if we ever got caught wasting food like that it would have been a harsh and severe beating. Food was precious and it was never, ever wasted; burned, rotten or unloved – it had to be eaten or you couldn’t go to bed. I remember my brother sitting at the table one evening until midnight with the swill still on his plate – and then in his breakfast dish the next day. He tried to outlast my dad. He failed. He had to eat that garbage completely.

There were a lot of miserable meals in our home. You ate what was on your plate and you didn’t complain. Both my parents knew the hunger of the Depression and when I went to college and starved, I appreciated their reasoning a lot more.

British Police To Arrest Jimmy Dore Over Twitter Post!

We all know banana publics in South America. Even though we undergo huge inflation, the price of bananas is still relatively low. Yet nobody complains that the US relies on South America for bananas.

So to understand this issue, we must remember that today’s capitalism is the financial monopoly capitalism. The capitalists in the West after five hundred imperialism and colonialism exploiting the Global South, don’t need to produce everything to have a luxurious life. The Global North can just sit on its accumulated huge capital to “finance” the global economy so it can live on interest from the capital. That’s the world economic order after WWII. The US industry was not offshored to China, it offshored first to Japan, then Japan offshored the manufacture to South Korea, Taiwan, Singapore, and Hong Kong, and finally the Four Tigers offshored manufacturing to China. This process of offshoring manufacturing has undergone for half a century already.

Since 2008, since Obama, the US has tried hard to bring manufacturing back to the US but filed. If Americans can have white-collar jobs, why let white-collar jobs become blue-collar jobs? In the 1970s, when the US offshored manufacturing to Japan, the US was very happy to celebrate the post-industrial society. The Global North can sit in the office and let the Global South toil in sweat. The Noth-South economic order since WWII has been implemented with Bretton Woods institutions, such as the World Bank, IMF, and WTO.

After China entered WTO, the North-South world order was tropicalized as Made-in-China-Comsumed-in-America. For every dollar of made-in-China one paid in shopping, only fifteen cents go to China, other eighty-five cents go to Japanese patents, European design, American brands of marketing and logistics, and retail services. The US went to post-industrial service when the service GDP was higher than the manufacturing GDP. Today,

main qimg d05af880c22bfb1d7ec8407326b3592e
main qimg d05af880c22bfb1d7ec8407326b3592e

Capital floods in Fintech, technology advancement is first used in finance and military industry. See the green portion at the upper-right corner of the graph above? it includes the military industry.

Service is hard to offshore. One cannot use other countries’ transport for transit, and going to other countries for health services is rare. If the US can offshore service, it will, such as offshoring telemarketing to India and the Philippines.

When Americans consume, they pay more for financial services than for real goods and services. When they visit doctors, they pay more for insurance services than the medicine and doctors. When using a car for 20 years, they pay more for insurance than the purchase of the car. American consumers cannot escape the financial services, the insurance, the mortgage, etc. That’s financial monopoly capitalism.

It is letting the Global South do the labor, don’t blame the Global South for “stealing the jobs”. You cannot eat the pie and have the pie. You cannot keep the jobs without doing the jobs.

After the failures of onshoring manufacturing, the US resorted to nearshore. Such as NAFTA to have manufacturing in Mexico. The US also resorts to friendly shoring, and encourages imports from ASEAN and India. But what’s the difference? The manufacturing is not going back to the US, and it is China’s turn, following Japan and the Four Tigers, to offshore its manufacture to India and ASEAN.

The US cannot help but feed the greed of Wall Street and the military-industrial complex. It is capitalism, The political system is by the capital, for the capital, and of the capital. It is the capitalist system and the capital become monopoly.

14 Year Old Killer Thinks He’s Going Home

https://youtu.be/sBZpcEQJT6A

In Fall 2009, Professor James Simpson of Harvard University was assigned to interview Harvard senior Adam Wheeler for his Fulbright Program application.

The Fulbright Program is an extremely competitive and prestigious fellowship program. The program pays for the selected American citizens to conduct research, study, or teach abroad. Each year, 8000 Americans receive a Fulbright grant. Recipients range from students to professional scientists and artists. Fulbright alumni have collectively won dozens of Nobel and Pulitzer Prizes.

Student applicants to the Fulbright get nominated by their school before moving on to the national level. In Wheeler’s case, this meant he had to clear the superstars at Harvard.

Before their interview, Professor Simpson looked over Wheeler’s application. It was extremely impressive. Wheeler was the author of multiple books (some in collaboration with Harvard professors), a lecturer at six academic events, a creator of Harvard courses, and a brilliant student with a nearly perfect GPA and glowing recommendations.

Simpson moved on to the personal statement. But something was off. The writing felt… familiar. After a bit of digging, Simpson found what he was looking for. Harvard professor Steven Greenblatt’s piece from Essays on General Education in Harvard College.

The piece matched almost exactly with Wheeler’s Fulbright essay. Simpson quickly called Harvard’s chair of the Fulbright nomination committee. Wheeler was notified, and he quickly and quietly left Harvard.

From there, Wheeler’s story unraveled. The personal statement wasn’t the only thing faked in his Fulbright application. The GPA was fake.

Wheeler’s records on Harvard official showed that he had transferred from MIT at the beginning of sophomore year. Due to a rocky start with a D-plus in his first semester, Wheeler had just over 3.6 GPA at the time of his Fulbright application.

main qimg 1fd1d5c344b4911b96f88c4c9e85b4ca lq
main qimg 1fd1d5c344b4911b96f88c4c9e85b4ca lq

Wheeler’s self-reported transcript for his Fulbright application.

Wheeler’s self-reported transcript claimed a 3.99 GPA after three years at Harvard. He had only been at the school for two.

The recommendations were fabricated. The books were never written. The lectures never happened.

But it didn’t stop there.

David Smith, Wheeler’s resident Dean, and Jay Ellison, then head of Harvard’s Ad Board (which handles cheating) continued looking. He checked the rest of Wheeler’s file. Wheeler’s Harvard forms listed his birth year as 1987. Wheeler’s passport read 1986.

As Smith kept searching, everything about Wheeler’s identity fell apart.

On Wheeler’s application to Harvard, the transcript was fake.

The perfect SAT scores. Fake.

His resume. Fake.

The 16 AP exams. Fake.

Adam Wheeler had never even been a student at MIT.

Wheeler hadn’t even stopped after the Fulbright incident. After he left Harvard, Wheeler had applied to Stanford and Yale. Smith was notified.

Eventually, Harvard’s Police Department took over from Smith and Ellison, trekking through the web of Wheeler’s lies. Prosecutor John Verner, in early May, decided there was sufficient evidence to charge Wheeler with 20 criminal counts, including identity fraud, falsifying approval, pretending to hold a degree, and larceny.

Later that month, Adam Wheeler was arrested in his home state of Delaware.

Russia’s final warning to NATO – you’ll get your war, but it’ll be over in 15 minutes

Drago Bosnic, independent geopolitical and military analyst

We are inches away from a global thermonuclear war. And no, this isn’t a meaningless, overused catchphrase. Quite the contrary, it’s as serious as it gets. We have reached a historical boiling point. At no other time in human history have we been closer to the scenario of annihilation, not even during the so-called “Cuban” Missile Crisis. It should really be called “Turkish” or something along those lines. And it’s important to note that we’re not digressing from the topic by mentioning this.

Namely, the mainstream propaganda machine just loves maintaining its narratives that essentially whitewash the political West and denigrate the actual world. This is why the fact that the United States initiated the “Cuban” Missile Crisis by deploying nuclear-tipped missiles in Italy and Turkey back in 1961 (although some sources claim it was as early as 1959) is ever so “conveniently” forgotten. The USSR waited a full year (at the very least) to respond by placing its own missiles in Cuba.

Thus, it’s perfectly clear who initiated that confrontation. And yet, as previously mentioned, modern historiography remembers the event as the “Cuban” Missile Crisis, sending a subliminal message that it was initiated by the Soviet Union and Cuba. Why is this important? Because the same people are now telling us that Russia “escalated” the NATO-orchestrated Ukrainian conflict by “firing missiles at a democratic Ukraine”, once again “forgetting” to mention the preceding events.

Namely, as we all know, the political West gave the Neo-Nazi junta the go-ahead to use long-range missiles against targets deeper within Russia.

And they just did.

In the last two days, approximately a dozen ATACMS and “Storm Shadow”/SCALP-EG missiles have been used (on the same day Moscow updated its nuclear doctrine, mind you).

So, how did the “evil Kremlin”, led by the “crazy, bloodthirsty tyrant Putin”, respond to this?

Well, not with nukes, as we’re still here, even though the doctrine allows it.

However, Russia did fire what is technically an ICBM (intercontinental ballistic missile). This marks the first time such a weapon was used in a conflict. And while ICBMs normally carry thermonuclear warheads, this one was conventionally armed. To better understand what sort of weapon this is, we have to go back a decade or so, specifically to the RS-26 “Rubezh” program that was supposed to deter NATO’s crawling aggression in Europe and the post-Soviet space.

Namely, the RS-26 was envisaged as the successor to the formidable RSD-10 “Pioneer” IRBM (intermediate-range ballistic missile). Essentially a shortened version of the three-stage RS-24 “Yars” ICBM, with one stage removed (and some other modifications), the RS-26 had a shorter range, but was no less deadly. In fact, it carried more powerful warheads than the “Pioneer” (at least four 300 kt instead of the latter’s three 150 kt ones), while also being more accurate and impossible to intercept.

This enabled it to target even massive underground command centers or any other high-priority targets across NATO-occupied Europe. However, there was a (geo)political problem with the RS-26. Namely, it was made at a time when the INF Treaty was still in force (banning all missiles with ranges between 500 and 5,500 km). So, for the RS-26 to formally comply with this, it had to have a range greater than 5,500 km. Otherwise, it would violate the INF Treaty and be designated as an IRBM.

To avoid this, it was designed to achieve a maximum range of 5,800 km, just enough to be designated as an ICBM. However, this created another problem, as it affected the New START treaty. Namely, this would force Russia to reduce the number of its, so to speak, “purebred” ICBMs such as “Yars”, R-36M2 “Voevoda” and RS-28 “Sarmat”. As a result, in 2011, the program was postponed for a period after 2027, with most resources diverted to the development of Russia’s new hypersonic weapons.

However, on August 2, 2019, the US unilaterally withdrew from the INF Treaty and started developing previously banned intermediate and medium-range missiles, prompting Russia to respond. These programs accelerated significantly after the start of the special military operation (SMO), resulting in new designs, as well as massive improvements to the existing ones. However, we still didn’t hear almost anything about the RS-26, indicating that the program might have even been scrapped altogether.

But, on April 12 this year, Moscow tested an “unnamed ICBM”. To this day, the Russian military is yet to publicly reveal the exact type of the missile launched that day. At the time, I argued that the missile was actually the RS-26, as it had striking similarities with the previously mentioned RS-24 that the “Rubezh” was actually based on, including the way it conducted wobbling maneuvers designed to confuse NATO’s ABM (anti-ballistic missile) systems, making it virtually impossible to intercept.

For seven months, no news came through about this “mysterious ICBM”. Until the early hours of November 21, that is. Initially, the Russian military didn’t reveal what missile it was, letting NATO contemplate what to do next. However, the “mysterious ICBM” was soon not only uncovered, but actually named – “Oreshnik” (“Hazel” in Russian). However, solid information about the missile is extremely scant, fueling all sorts of speculation, wild guessing and outright misinformation.

For instance, the Pentagon insists the missile that hit Dnepropetrovsk was fired from Kapustin Yar, a testing site in the Astrakhan oblast (region) in southern Russia, located over 1000 km to the east. This distance is too short for an ICBM, raising questions about the veracity of the US military’s claims. Then, videos from Kazakhstan emerged, specifically over the city of Satbayev, which is 1,500 km to the east of Kapustin Yar. Even more interestingly, some 450 km to the southeast lies Sary Shagan.

This place is home to one of the largest and most important missile test sites in the former Soviet Union, with the Russian military still using it extensively, including during the aforementioned April 12 test. It’s simply impossible to see “Oreshnik” fly over Satbayev if it was fired from Kapustin Yar to Dnepropetrovsk. However, it’s certainly possible that the missile was fired from Sary Shagan. Still, NATO doesn’t want to reveal that it flew nearly 2,400 km before hitting its targets with pinpoint precision.

Even more interestingly, videos over Satbayev also show that the missile is wobbling and maneuvering just like the “mysterious ICBM” tested on April 12, further reinforcing the notion that the “Oreshnik” could actually be a conventionally armed “Rubezh”. In addition, its maximum range exceeds 5,000 km, which puts virtually all of Europe in range. And indeed, it makes little sense to get a completely new missile if you have the “Rubezh”, as it’s already a largely finished product.

Technically speaking, there are several possibilities when it comes to the “Oreshnik”. First, it doesn’t even have to be a regular missile and could be some sort of MaRV (maneuverable reentry vehicle), MIRV (multiple independently targetable reentry vehicle), HGV (hypersonic glide vehicle), etc. or perhaps even a hybrid, with the “Rubezh” being the primary missile carrier. The “Rubezh” itself can already carry the “Avangard”, so if the “Oreshnik” is an HGV, it shouldn’t be a problem for the “Rubezh” to deploy it.

Another possibility is that the “Oreshnik” is a completely new missile (not necessarily ballistic, but likely a more advanced hypersonic, maneuvering weapon) that has its own MIRV/MaRV/HGV warheads. There are no definite claims about this at present, simply because very little is publicly known about it. However, personally, I am more inclined to believe that the “Oreshnik” is a conventionally armed HGV that can be carried by nuclear-capable ICBM/IRBMs like the RS-26 “Rubezh”.

The reason is quite simple, because why would someone make something completely new when they already have a finished project that can immediately go into production (the “Rubezh” uses the same production lines as the “Yars”)? This reinforces the notion that the RS-26 is a highly modular design which can be equipped with various types of warheads, including conventional ones. It also harkens back to President Putin’s vision of Russia’s strategic preemptive strike capabilities.

One more thing that should be noted about the “Oreshnik” is that it was certainly an overkill against the Neo-Nazi junta. Russia’s more tactical and operational level missiles could’ve easily conducted this. However, given the fact that Moscow is faced with the increasingly delusional and aggressive West, it just had to demonstrate its firepower, prompting Putin to authorize the long-range strike on Dnepropetrovsk. This is a particularly important message to both the US and EU/NATO.

In terms of the functioning of the missile’s warhead, the available footage shows at least 30 smaller projectiles divided into five groups (six in each). The lack of visible detonations (although at least one was seen) suggests these are probably advanced kinetic penetrators capable of annihilating heavily defended and dug-in positions. This means that any NATO base anywhere in Europe and/or elsewhere would be in range, but Russia wouldn’t need to rely on its thermonuclear arsenal to deter aggression.

Source: InfoBrics

Some MISC pictures for fun enjoyment

d3a9b8b252610150640238aaf130be91
d3a9b8b252610150640238aaf130be91

b9de24eeff0fc14c424eb014c10e7338
b9de24eeff0fc14c424eb014c10e7338

6cad8dde7dbd9a6b6c7e3be334013a14
6cad8dde7dbd9a6b6c7e3be334013a14

13d11bac443452121accd2c73c9e26cc
13d11bac443452121accd2c73c9e26cc

9cbd038fca34a7b768ed6c08b1328038
9cbd038fca34a7b768ed6c08b1328038

2907b1a8a1e8b5417b69cfc005d62164
2907b1a8a1e8b5417b69cfc005d62164

d66ae24c86dff49df6a7105595923e24
d66ae24c86dff49df6a7105595923e24

9afbe76c63064eb0523a63142eed1163
9afbe76c63064eb0523a63142eed1163

7fa9fadeeed9d811bff20c48143d87b8
7fa9fadeeed9d811bff20c48143d87b8

98b95abc7d2217e55bcf58d9e551e9f7
98b95abc7d2217e55bcf58d9e551e9f7

f8ae83acf3b0f388a22d7867ebb551ef
f8ae83acf3b0f388a22d7867ebb551ef

1ba8722e0918393f0c8c662a88fa987e
1ba8722e0918393f0c8c662a88fa987e

e8aa4b25145836429aae0d72b9fe8b42
e8aa4b25145836429aae0d72b9fe8b42

b72879be08ba7f78a1f4284884e96c11
b72879be08ba7f78a1f4284884e96c11

adff4c68dfd4c91ac12b9bad9b72e353
adff4c68dfd4c91ac12b9bad9b72e353

82d34eff60cc23ccd61b2162f5c182e8
82d34eff60cc23ccd61b2162f5c182e8

8d849da065766a6d1c7741b25702debd
8d849da065766a6d1c7741b25702debd

3c9ab846198db5f3552169d514788015
3c9ab846198db5f3552169d514788015

c0af89be95b19afd6558f21319b1fc03
c0af89be95b19afd6558f21319b1fc03

0afda9cc13e65450473329bb5909c260
0afda9cc13e65450473329bb5909c260

7f44253e0a882d34c453633d5ab9dd06
7f44253e0a882d34c453633d5ab9dd06

08cbe5aac4df03c7d48c2a7639a6ea33
08cbe5aac4df03c7d48c2a7639a6ea33

9e9f26d964f58a3058666e51c2589ef1
9e9f26d964f58a3058666e51c2589ef1

17a6b4ca208d35cf1c40b8855c125b93
17a6b4ca208d35cf1c40b8855c125b93

f48268cb3c4a66bf5112386030712aec
f48268cb3c4a66bf5112386030712aec

d8ec7dd3d4bbc42faac3bddf04a824a0
d8ec7dd3d4bbc42faac3bddf04a824a0

1c9bde9792022087545b844554cc0d7c
1c9bde9792022087545b844554cc0d7c

9cda3387f4268c8282ec7f4b83cb0bcc
9cda3387f4268c8282ec7f4b83cb0bcc

25ce462b64cd73a7bbe9e5b511f684ec
25ce462b64cd73a7bbe9e5b511f684ec

d6e16bbb1d2c6eb2f9a013eed27aa377
d6e16bbb1d2c6eb2f9a013eed27aa377

4280887652581cab9166d1c6ba23c238
4280887652581cab9166d1c6ba23c238

e564ddc5353a45652a1cbe8ad9331eb3
e564ddc5353a45652a1cbe8ad9331eb3

29c8ef41743068cfc6d573efa2212a13
29c8ef41743068cfc6d573efa2212a13

4d18bc38a8cddb45a14e1e4052b4371b
4d18bc38a8cddb45a14e1e4052b4371b

f6e90142025b23740758b612c860a9af
f6e90142025b23740758b612c860a9af

7e7d3f704ac553de46c6925825869970
7e7d3f704ac553de46c6925825869970

28d21ab21ba6ee864a32d5c4e9c49d58
28d21ab21ba6ee864a32d5c4e9c49d58

f846bcb8a6766587f1a4ec2da1e3307c
f846bcb8a6766587f1a4ec2da1e3307c

443710ad5437fb17230d541c77d3b79d
443710ad5437fb17230d541c77d3b79d

7dc78dac5149322357bd6d42edb628c6
7dc78dac5149322357bd6d42edb628c6

a525d089b62becf329f4ec828268258c
a525d089b62becf329f4ec828268258c

89b4251dedf56670311d9db73aba2ac8
89b4251dedf56670311d9db73aba2ac8

83f5f95e4b18719c6b47a25b8e0a0353
83f5f95e4b18719c6b47a25b8e0a0353

ede12e7e6564cd35bc6356b2146b8c94
ede12e7e6564cd35bc6356b2146b8c94

85a8bef713b2517ae9cbd4908807e675
85a8bef713b2517ae9cbd4908807e675

a6826710a94221a866d316bd1b37743a
a6826710a94221a866d316bd1b37743a

74739921add53c3d2db9e4ff5a8184d5
74739921add53c3d2db9e4ff5a8184d5

5704f16754020568936856cc96a96d2c
5704f16754020568936856cc96a96d2c

0104dc7218dbc72a461dcf15d65fe8ae
0104dc7218dbc72a461dcf15d65fe8ae

f111615b0666ce5a0da69593d244c3cf
f111615b0666ce5a0da69593d244c3cf

52eb764281db36c903f6a020988eea7b
52eb764281db36c903f6a020988eea7b

16efbfaefdcbfa21e6e016a0142d7881
16efbfaefdcbfa21e6e016a0142d7881

a7d90afc3c885d5fb4d5048c67b4d96d
a7d90afc3c885d5fb4d5048c67b4d96d

d87ab7c959b456f626ffc4a486abba7a
d87ab7c959b456f626ffc4a486abba7a

2d44a426fbaa1ad36e682cb38ee05ff8
2d44a426fbaa1ad36e682cb38ee05ff8

ae53d5fcfbd1ae316261c31ed78f1e07
ae53d5fcfbd1ae316261c31ed78f1e07

1f98c602c4ec85e5ad036c85144ce17a
1f98c602c4ec85e5ad036c85144ce17a

96e5771028ee26eaedc2596b78be3e56
96e5771028ee26eaedc2596b78be3e56

2f1fd5f8bfba34d93f4a7608c0c4cf51
2f1fd5f8bfba34d93f4a7608c0c4cf51

f30b8965958d94aa4617edde3e3fce78
f30b8965958d94aa4617edde3e3fce78

c1987883a3da3cf808bbb6306b598d03
c1987883a3da3cf808bbb6306b598d03

ea47fee21d0171dc218c322c0e615c11
ea47fee21d0171dc218c322c0e615c11

29c51a3b12730e8d54c54a80be1e86a3
29c51a3b12730e8d54c54a80be1e86a3

53294bb0487ead33f59fd636be32cf9d
53294bb0487ead33f59fd636be32cf9d

9c3ff80de68d804ab50f939d3d82723d
9c3ff80de68d804ab50f939d3d82723d

8d8cad21a9f9538ca9c0bccf23bc3b86
8d8cad21a9f9538ca9c0bccf23bc3b86

4932e3f053f0f7f65754bfc821ec80eb
4932e3f053f0f7f65754bfc821ec80eb

1318b88c1c57230e8e30228814210f33
1318b88c1c57230e8e30228814210f33

47a0550ce43059cfed71b6885bfaface
47a0550ce43059cfed71b6885bfaface

38a5491b1e85de15341428799cc47b83
38a5491b1e85de15341428799cc47b83

08162346ec758bc9a8e952ff32e9209a
08162346ec758bc9a8e952ff32e9209a

84b43024c5e6f28c587e4802e26476e4
84b43024c5e6f28c587e4802e26476e4

701965fd5fe9b251ff9c8d8f31161794
701965fd5fe9b251ff9c8d8f31161794

a042ddb0b1666bbeeff85750d9b62997
a042ddb0b1666bbeeff85750d9b62997

25f493a825846a2b78e6f21c0607d3d1
25f493a825846a2b78e6f21c0607d3d1

@@@c1426785cf7d68fb05ef5d96c6c1af7f
@@@c1426785cf7d68fb05ef5d96c6c1af7f

Key European NATO Bases in Reach of Russia’s Oreshnik Hypersonic Missile

In his remarks unveiling the Oreshnik missile system on Thursday, President Vladimir Putin warned that Moscow reserves the right “to use our weapons against military facilities of those countries that allow using their weapons against our facilities.”

Russia’s new Oreshnik hypersonic ballistic missile has a 2.5-3 km/s flight speed, a 1-1.2 ton payload, is equipped with multiple independently targetable reentry vehicles, and is designated as an intermediate-range missile, which means a firing range of up to 5,500 km. During its test combat deployment against a Ukrainian military-industrial target Thursday, the Oreshnik was thought to have traveled 1,000 km or more to Dnepropetrovsk from Russia’s Astrakhan region.

Here are some key NATO facilities within reach of the new hypersonic intermediate-range missile:

Eastern Europe

Poland

Lask Air Base (home to permanent US Air Force detachment)

Forward Operating Sites Powidz, Zagan and Poznan (US Army weapons and equipment storage)

US Army Garrison Poland (V Corps Forward HQ), Poznan

Redzikowo Base (home to US Aegis Ashore missile defense site)

Estonia

Amari Air Base (situated in Harjumaa, northern Estonia; deemed key for NATO “air policing” operations over the Baltic Sea)

Latvia

Selonia Military Training Area (touted as the largest NATO training camp in the Baltic)

Lithuania

Rudninkai Military Base (future home of Germany’s first permanent base abroad; set to station some 5,000 Bundeswehr troops when completed)

 

Romania

Deveselu Military Base (another US Aegis Ashore site)

Mihail Kogalniceanu Military Base (NATO’s easternmost base in Europe, home to US Army Area Support Group Black Sea regional command)

Bulgaria

Bezmer Air Base (key potential storage site for US long range aircraft)

Novo Selo Range (major NATO training base)

Graf Ignatievo Air Base

Kosovo

Camp Bondsteel (set up in 1999 after the NATO bombardment of Yugoslavia and occupation of Kosovo. Largest US base in the Balkans)

Map showing showing rough locations of major US and NATO army bases, air and naval facilities throughout Europe. - Sputnik International, 1920, 23.11.2024

Map showing showing rough locations of major US and NATO army bases, air and naval facilities throughout Europe.

© Sputnik

Northern Europe

Finland

Mikkeli (future home of NATO Multi Corps Land Component Command HQ, as little as 150 km from Russian border)

Sweden

Karlskrona Naval Base (key to NATO calculations for establishing total control of the Baltic Sea)

Western Europe

Germany

Home to by far the largest US garrison in Europe and the second-largest US deployment abroad, besides Japan. Home to some 35,000 troops and support personnel.

Ramstein Air Base (largest US and NATO air base in Europe, key to US operations in the region and Middle East, including the once secret US drone program)

Spangdahlem Air Base

NATO Air Base Geilenkirchen

Buchel Air Base (stores US nukes)

US Army Garrison Ansbach

US Army Garrison Bavaria

US Army Garrison Rheinland-Pfalz

US Army Garrison Stuttgart

US Army Garrison Wiesbaden

 

Belgium

US Army Garrison Benelux

Kleine Brogel Air Base (stores US nukes)

Netherlands

Volkel Air Base (stores US nukes)

Italy

Another key US garrison country in Europe. Jumping off and/or transit point for US and NATO military operations in the Middle East and North Africa, including the 2011 aerial aggression against Libya, which triggered a wave of migrants and refugees flooding into Europe via Italy.

Aviano Air Base (stores US nukes)

Ghedi Air Base

Naval Air Station Sigonella, Sicily

Naval Support Activity Naples (HQ of US 6th Fleet)

US Army Garrison Italy

Greece

Naval Support Activity Souda Bay, Crete

UK

Royal Air Force Lakenheath

Royal Air Force Mildenhall

Royal Air Force Alconbury/Molesworth

Royal Air Force Croughton, Fairford, Welford (common stopover site for US strike and strategic bomber aircraft)

Royal Air Force High Wycombe (RAF HQ)

Portsmouth Naval Base (home to two-thirds of Royal Navy’s surface fleet)

 

Spain

Rota Naval Base (permanent home to six US missile destroyers). Key strategic facility for US operations in the Mediterranean Sea.

Moron Air Base

Portugal

Lajes Air Base, Azores Islands (key NATO transatlantic logistical hub, targetable if Oreshnik can be redeployed from Astrakhan region to new launch locations somewhere west of Moscow)

https://sputnikglobe.com/20241123/key-european-nato-bases-in-reach-of-russias-oreshnik-hypersonic-missile-1120975768.html

This is a girl in Japan going eating, and taking a public bath in Japan. I like it because it is almost like you are there and experiencing it for yourself. A nice escape and adventure. No sexual anything. Just wholesome stuff.

(If you want the “sexy stuff” you can join her fanclub. It’s a mix of Japanese kinds of stuff. Not to my liking, but you all might want to go down that rabbit hole.)

I never had this big “aha” moment where it dawned on me all at once. But I’d say I first realized something really wasn’t right when I was around 9 or 10 years old. That’s about the age I started making friends on my own, spending time at other people’s houses without my parents also being around, etc. It was hard not to notice the differences between my house and most of my friends’ houses.

I saw parents who not only loved each other but openly expressed affection for one another. The fathers actually seemed to want to be home with their wives and kids. They certainly didn’t have random women in their lives that they openly dated and carried on with in front of their children.

Meanwhile, the mothers seemed to genuinely enjoy being mothers and to love their kids. They didn’t sit around all day pouting and drinking, alternating between ignoring their children and either yelling at them or criticizing every little thing about them. Instead, they were fun, and happy, and pretty, and generous. They smiled. They laughed. They had little busy things they liked to do with their spare time.

My friends’ mothers would even invite me to help them in the kitchen or sit and watch cooking shows with them in the mornings if I spent the night and happened to be up early. Their eyes would light up when they smiled at me. I had the impression that they genuinely liked me and enjoyed being with me. And when they’d tell me I was a pleasure to have in their homes and that I was welcome anytime, I could tell they meant it.

That’s also around the time I started lying and making up stories about how things were at home when asked about it by other people.

For example, we were always being given school assignments that required us to write about things like what our families liked to do together over the holidays or special things we did with each of our parents as far as quality time. I realized these were things that were supposed to be happening in family homes or else we wouldn’t be asked to write about them.

I also assumed the whole situation with my parents clearly not liking each other (or me) was somehow my fault, I was ashamed, and I didn’t want my teachers to know about it. So, I’d write about things my friends did with their parents as if it were me and my parents instead. Sometimes I’d just invent complete fictions that I thought sounded good — whatever I thought would make us seem normal.

And I lied to my friends, too. Like, I remember this one incident where I got a really high score on a big test — only one answer wrong — and my best friend at the time insisted my parents were going to buy me something or throw me a party to celebrate because that’s what her parents would do.

What actually happened when I showed my mother the test was she grilled me about the one wrong answer, demanding to know why I didn’t get all the answers right. So, I wasn’t praised for doing well. I was scolded for doing badly. But when I got back to school on Monday and my friends asked what kind of reward my parents treated me to over the weekend, I lied and said they threw me a giant pizza party and bought me a bunch of presents. I was too ashamed to tell them what had really happened.

Because before all this, I just thought my home and family were normal. I thought it was normal for parents not to love each other and to low-key hate their kids. I thought it was normal to just want to spend all your time alone in your room reading, and drawing, and writing, and retreating into your imagination because trying to connect with your family instead just made you feel… bad.

To this day, it’s still hard for me to think of parenthood as anything other than a waking nightmare to be avoided at all costs. There’s a part of me that will probably always worry that my parents were right to feel the way they did, to be so miserable, and to blame it on their kids. (I, unsurprisingly, never wanted children myself or had any. I didn’t want to wind up like my mom.)

As an adult, I naturally get that those things weren’t normal or healthy on any level. I logically know the situation at home between my parents wasn’t my fault and that it was very wrong of them to ever allow me to feel like it was. I also came to realize that my friends’ parents and families had problems and secrets of their own — huge ones, in some cases. They just weren’t airing out their dirty laundry in front of guests or anything.

But it’s still weird to think back on. That was a very confusing period in my life during which I felt pretty isolated and very confused. I thought I was literally the only kid in the world dealing with anything like that.

It was both a relief and a disappointment to find out that I wasn’t. I was relieved to know that I wasn’t alone and that I was far from the only kid who grew up in a dysfunctional home or had emotionally unavailable parents. But I missed believing in the fairytale that there was such a thing as a perfect family or a household where everyone got along 100 percent of the time.

Raven Rhodes

Ever since I was little I wanted to explore space and explore my curiosity of a world unexplained. After I graduated high school I told my parents how passionate I was of going to college for astronomy and astronautics. They weren’t proud nor happy and thought little of the accomplishments of NASA and told me no. They were not even willing to help me pay my way through college. So I took the pain of rejection and I remember crying and looking at old books and exploration tapes because it was not fair.That’s when I decided that I was going to try anyways and I applied to the Emory-Riddle Aeronautical University. I waited for months and my father told me I received a letter, so I remember grabbing it happy and disappointed because I was too afraid to feel just one thing. I was accepted, I was literally accepted and I was finally happy with life.I studied astronautics for 4 years and was granted a chance after college to be apart The NASA Exhibition for a space launch. The date is set for March 06, 2041 that was eight months ago today. I have been in space now for six months exploring Mars, the fourth planet from the sun, that is red in color but dessert like with fridge temperatures makes Mars hard to explore. The exhibition is set to last for a year and a half hitting places like Europa and the Titan next. I wanted to be the first to try and manipulate the gravity of Mars. The closer The Space X spaceship gets to Mars the closer the camera set on shows me in this spaceship the features of Mars. I was already warned by NASA that the closer we get to Mars the worst it could potentially affect the spaceship.In each camera we see dessert grain red sand. I keep reporting in happy showing the team of the cameras that are landed on Mars. I know my parents are in NASA’s domain looking at me and my team. Until one of my team members noticed the hydro fuel that keeps are spaceship safe from Mars radiation is becoming low. Worried Jack runs to me telling the team that the hydro fuel was never made to get low because the kind of fuel affects the generator system of the spaceship. The whole team not knowing what Jack was talking about we start asking him again to explain further. He expresses that the closer we get to Mars the spaceship will blow up due to the level of radiation on Mars. The beeping starts to become erratic and the team starts to cry and NASA operator’s check in and start demanding we follow instructions. How can the best day of my life possibly be the last day of my life?NASA operators tell us to turn the spaceship around William with Cindy start quickly to change directional paths but are losing control over the system because the spaceship runs on hydro fuel which is running low. Mandie tells us there is a force filled around mars due to its lack of gravity and the hydro fuel is running to low to move the spaceship. Which meant we were stuck miles into Mars force filled of radioactive heat with nowhere to go. Soon as the hydro fuel runs out the spaceship will become un-functional. The spaceship starts to tremble and Luis is praying and i’m terrified. Jack tells us that NASA says with no place out the radiation we will soon be exposed to its toxicity in matter of hours and eventually die. I can’t feel anything but the tears falling down on my face. My parents are going to watch me die with my crew in less than 5 hours. My crew has family and kids and they are never going home again in less than 5 hours.It’s 3 hours in and two of my crew members have passed, Cindy and Maddie, Luis is wheezing bad and me and Jack are panting heavily. Its 4 hours in a Luis is finally pronounced dead I start trying to keep Jack up and NASA keeps checking in on us. He tells that I’ve always been like a brother to him and whenever he needed encouragement from his screwed life to become an astronaut I was there for him, motivating him. I told him I loved him like a brother and after I said this I watch my brother take his last breath at 4:45 pm. I cry and say Jack I’m not strong enough for this the minutes feel like hours my lungs feel like a truck it is repeatedly being run over and the smell of Mars radiation fills the spaceship. I cough and hear NASA operators asking me are you okay? we are checking in on you. And thats when I couldn’t breathe I see my vision cloud and hear the engine rumbling as I cough I can smell the smoke. I feel nothing and everything all at once. I try to mouth whisper my ‘parents’ to the NASA Operators the best I could. I want to tell them I love them and I wish I could explain my thoughts but I was becoming short of breathe and then my parents say “I hear I love you Michael we love you, your gonna be okay.”The fire from the engine room starts to blow up to the lower half of the spaceship and I know it’s only a matter of minutes before I’m engulfed by flames or dead. This makes the radiation harder and harder to breathe in. As I feel me slipping away the waves of heat touch my skin first and I’m starting to loose consciousness. The only thing I knew in this moment I was blessed to live out my dream. As young boy I was always playing with a spaceship, a space shuttle, reading space books and watching space movies. As the flames engulf me as I start to die I will forever know that dreams are real but only as real as you make them.

Beef and Mushroom Casserole

818564d4f2d8925f8b6289dd7f70e2b5
818564d4f2d8925f8b6289dd7f70e2b5

Yield: 4 servings

Ingredients

  • 1 pound lean ground beef
  • 1 (5 1/2 ounce) package risotto mix with garden vegetables
  • 1 1/2 cup sliced mushrooms
  • 1 cup chopped red bell pepper
  • 2 cloves garlic, crushed
  • 1/2 teaspoon salt
  • 1/4 teaspoon pepper
  • 2 tablespoons grated Parmesan cheese
  • 1 tablespoon chopped fresh basil

Instructions

  1. Prepare risotto mix according to package directions.
  2. Meanwhile in a large nonstick skillet, brown ground beef, mushrooms, bell pepper and garlic over medium heat 8 to 10 minutes or until beef is no longer pink, breaking beef up into small crumbles.
  3. Pour off drippings.
  4. Season with salt and pepper.
  5. Stir risotto into beef mixture.
  6. Sprinkle with cheese and basil.

My last day as a police officer was June 10, 2010. I had been a member of the police department for 33 years.

On my last day I went to work at 8:00 AM, and soon after my arrival several officers came into my office to congratulate me on my retirement and to wish me luck and health. They were joined by some of the civilian staff, and a few sergeants and other commanders.

I didn’t get a lick of work done. You’d have thought I was giving out lottery tickets.

By about 10:00 AM the chief came in and shooed everyone out of my office. My chief (Mike) was a very large man, the very picture of an old time Irish American cop, with a heart of gold and a sense of humor the size of the solar system.

main qimg dd0640b9ca74c763ed05b1bcd79fb58f lq
main qimg dd0640b9ca74c763ed05b1bcd79fb58f lq

When he chased everyone else out of the office, he closed my door, and turned around to face me. He had tears in his eyes. He gave me a big hug (he was not capable of small hugs), and he kissed me on my left cheek.

Then he said, “This will always be your house.”

And then he said, “Now get the hell out of here.”

Mike made my last day eventful. He died a year later, and I miss him terribly.

The mystery of Simpson’s predictions:

  1. Simpsons predicted the famous Siegfried and Roy tiger attack.

Simpsons predicted that a friendly white tiger would attack two brothers when they perform in a show. The episode was telecast in 1993 and the Siegfried and Roy tiger attack happened in 2003 .


2. Simpsons predicted Facetime in 1995

Even before the internet became essential, The Simpsons telecasted a episode featuring Homer Simpson speaking with his wife visually. Apple introduced Facetime in 2010.


3. The Simpsons predicted faulty voter machines used in the 2012 US President elections

When Homer tries to vote for Barack Obama, the vote falls to John McCain. The incident turned out to be true in the elections of 2012 where Mitt Romney was the competitor for Barack Obama.


4. The Simpsons predicted the mass of Higgs Boson particle and thus discovered the existence of the God’s particle:

In the episode “ The Wizard of Evergreen Terrace” aired in 1998, Homer Simpson is a inventor and is shown to be solving an equation. That equation predicts the nano mass of Higgs Boson which was discovered in 2012.


5. The Simpsons predicted the NSA spying scandal:

In 2007, The Simpsons predicted that US people are being spied by the NSA. In that episode Marge Simpson says to her daughter that the government does not listen to anybody’s conversation. At that time a humanoid seems to record the information. The NSA spying scandal was revealed on 2013.


6. The Simpsons predicted the possibility of Outbreak of Ebola virus in America:

The Simpsons telecasted in 1997 about Ebola virus which was not popular till the 2013 Ebola virus Outbreak in America


7. The Simpsons predicted the FIFA corruption Scandal:

In March 2014, The Simpsons predicted the FIFA Corruption Scandal which was actually found out in 2015. They also predicted the finals of World Cup 2014 and the possibility of future world cup being held in a desert. The desert is Qatar where the 2022 world cup is going to take place.


8. The Simpsons predicted the Disney’s take over of 20th Century Fox:

Predicted in 1998 and happened in 2017


9. The Simpsons predicted the 9/11 attack:

This one might be a coincidence but the 9$ and the silhouette of the World Trade Center resembling 11 gives an eerie feel.


10. The Simpsons predicted the Syrian uprising:

The Syrian uprising was also talked about in the Simpsons way before it already happened.


The Simpsons also predicted the 3 eyed fish from the Japanese nuclear waste, Lady Gaga Superbowl show, The shard in London , Smart watches and many other events.

Thus, Matt Groening ( The creator of The Simpsons) is the ultimate and unsolved human prodigy.

Edit: Dipyaman Uzir and User-11191801878614068370 asked me about The Simpsons predicting the Trump as president in 2016 US elections. I have searched in the internet about this and here’s what I found.

main qimg ad5b69fdc75de954652eb3866ca114ec lq
main qimg ad5b69fdc75de954652eb3866ca114ec lq

The Simpsons predicted it 50% right. I say it because,

  • The Simpsons predicted Trump in 2015, not in 2000 in the episode “Trumpastic Voyage” which was telecasted after Trump announced his presidential nomination.
  • In 2000, The Simpsons telecast an episode “Bart to the future” where a joke about Trump becoming the president in the future is mentioned. Since Donald Trump was flirting with the idea of making a presidential run in 1999, this is a solved mystery.
  • However the prediction about the electoral map still remains a mystery. The electoral map predicts the map for Mitt Romney but it became true for Donald Trump.

Sources:

Listen to me. This is REAL.

main qimg b5205868e2541e66cdbc5fc573a4cb93
main qimg b5205868e2541e66cdbc5fc573a4cb93

Gargle as if your life depends on it

Would you pay $700 for a juice machine that requires WiFi to work?

What if I told you it only works with specific juice packets sold by the company, which cost ~$7 for every glass you want to make?

Oh I forgot to mention, it’s actually 25% faster to manually squeeze the juice packet with your hands, rather than putting them into the machine.

At this point, you’re probably unsure why anyone would ever buy one of these. This reaction was shared by consumers, as low sales forced the company to drop prices to $400. However over-engineering meant that each unit still cost $750 to produce. They were always selling at a loss, but now they were bleeding cash.

Yet despite the blatant flaws of their product, losing $4 million per month and a lack of customers willing to pay $400 for an inefficient juicer, they still managed to raise $118.5 million from prestigious investment funds, like Kleiner Perkins and Google Ventures.

This is the story of Juicero, a company which has now ceased selling its products, and offered full refunds to anyone who purchased their product. They should serve as a cautionary tale for any aspiring entrepreneurs who hope to get rich by solving a problem that doesn’t exist, instead of being ambitious and making a meaningful difference by solving important problems.

main qimg 9d2fa6ce3422ae57e8ed3f84a84fcf10 lq
main qimg 9d2fa6ce3422ae57e8ed3f84a84fcf10 lq

What will Europe do when big daddy USA isn’t there to save them from Russia?

Where is big daddy USA right now ?

Cowards.

The U.S. has been actively preparing to fight one particular country since 1949. Multiple proxy wars, and trillions of dollars spent on stockpiling munitions and weapons platforms.

There are over 3,000 M1 Abrams tanks sitting collecting dust in the Sierra Army Depot, that were purchased to fight this one particular country.

And when the moment finally arrived for the US to finally take in this arch enemy after preparing for 75 years, what was the response ?

“Fear of escalation”

Cowards!

Americans have demonstrated they don’t have the balls to fight a near peer directly. Just a lot of talk and sabre rattling.

You spent all that $$$$$ preparing to fight only to back down like cowards.

And what message does that send to China, now that you have publicly played your hand and backed down from Russia ?

Just as when you backed down from Russia in 2014, and emboldened Putin to go further, so too are you emboldening the Chinese, and you’re too fucking stupid to see that. But keep listening to Trump, who has Putin in his pocket, and admires Xi Ping for how powerful of a man he is. That’s who you just elected – a president who admires the leaders of your enemies.

Spineless fucking cowards, and strategically as dumb as a bag of rocks.

Korea – stalemate

Vietnam – lost

Iraq – utter failure

Afghanistan – lost

You’ve developed a talent for fleeing

Cowards, who repeatedly betray allies.

But you sure kicked ass in Granada and Panama!!

And you sent not one, but two carrier groups to help with the turkey shoot in Gaza. Real tough guys!

Edit for the Trump voters: the soldiers are not the cowards. The soldiers are not the ones making the strategic decisions. The politicians are the cowards, including the Commanders in Chief, and every American citizen that supports the cowardice.

Dangerous (and very few of you know it)

Beijing’s spy agency ‘destroyed large number’ of Taiwan intelligence networks

  • State security ministry says more than 1,000 cases of Taiwanese espionage were uncovered in crackdown, with spies ‘severely punished’

William Zheng

Beijing’s top spy agency says it has uncovered more than 1,000 cases of Taiwanese espionage during a crackdown and vowed to keep up the pressure on the island’s pro-independence forces.

In a post on WeChat on Tuesday, the Ministry of State Security said the cases were revealed in a series of special operations launched in recent years and that it had continued to “strike hard” against spying activities.

https://www.scmp.com/news/china/politics/article/3274300/beijings-spy-agency-destroyed-large-number-taiwan-intelligence-networks

New Study from Japan: Covid Shots Installed Nanobots

Screen Shot 2024 08 11 at 9.03.40 PM
Screen Shot 2024 08 11 at 9.03.40 PM

A new Japanese study shows that Pfizer and Moderna vaccines contain unauthorized “animated worm-like” entities, invisible to the human eye, which swim, wiggle, and assemble themselves into complex structures, which cause clots inside the body. Researchers Dr. Young Mi Lee and Dr. Daniel Broudy isolated unused Pfizer and Moderna vaccine vials for 3 weeks, and then examined them under 400X magnification. They noticed that when the nano-technology was energized, it created “discs, chains, spirals, tubes, and right-angle structures.” The scientists believe these mysterious nanoparticles are responsible for the explosion of “turbo cancer” and autoimmune diseases around the globe. They also concluded that humans into controllable “Biohybrid Magnetic Robots.” The suggested that the smart microscopic components are part of the elite’s “long-planned well-funded Internet of Bodies,” which was described as a kind of “synthetic global central nervous system” turning humans into controllable “Biohybrid Magnetic Robots.” The researchers called for a ban on mRNA shots. They also demanded the labels “vaccine” and “safe and effective” be removed because the substances are not vaccines and they are dangerous.

 

Link for Study:   

Real-Time Self-Assembly of Stereomicroscopically Visible Artificial Constructions in Incubated Specimens of mRNA Products Mainly from Pfizer and Moderna: A Comprehensive Longitudinal Study

He has indeed lost the plot

Has been losing so for a long time

He has been solely responsible for making Russia stronger and stronger by the day

Russian AD is unfamiliar with Himars attacks

He attacks Russian territories with so many Himars that today Russia can wipe out Himars Launchers effortlessly

Russian AD is unfamiliar with Storm Shadows and Scalps

He repeatedly attacks Crimea with these missiles and Russia can spot them a mile away and easily blow them away at a near 95% Interception rate

Russia is a creaking old army with only numbers who could collapse within their own weight

He stupidly takes them on, does a counter offensive and the Russian Bear slumbers, wakes up and begins to gradually start mobilizing, producing and making tactical decisions

This Kursk offensive is the best example

The Russians mobilized and moved within 72 hours and stopped the Ukranians at 15 Kilometres into the Border and killed the entire reserve force minus the 300–400 who are holding hostages

The Speed is astonishing

Next time it will be even faster

Plus their strategic evacuation of Border Areas

Plus their buffer zones along the Border


Bottom line is Zelensky is solely the reason why Russia is the MOST COMBAT READY ARMY ON THE PLANET


Reasons for the Kursk offensive?

It could never have been successful under any conditions

You can’t keep on entering Russia all the way to Moscow

Ultimately you will face resistance and be destroyed

Russia is the more powerful army

Just like if Bangladeshi Army invaded West Bengal, they would advance maybe 10 Kms before being cut to pieces by the Indian Army

The Reason was PR

To restore some confidence in the dying morale of the Ukranians

Instead the Russians are more determined to finish off the Ukranians


As every day goes by Russians who were reluctant to kill even Ukranian Soldiers and hoped they surrender are now ready to boil Ukranian Children in Hot Oil and make their parents watch

Time Zelensky was deposed

Problem is he knows too much

Probably Pictures of Biden and Hunter Biden with some Young Ukranian Minors on their Laps

Probably Secret Account Transfers of Wire Accounts of the Clintons or Romneys or Sunaks or Johnsons

It’s why he isn’t killed so far

Russia isn’t killing him because China has asked them not to

When this is over – I bet you will see some major Lithium extraction contracts signed between China & Ukraine in the past two years that will come to light

Women in China don’t have to worry about their own safety. A young woman can walk alone on the streets of China in the middle of the night.

You can smoke cigarettes almost everywhere in China. Although there are no smoking signs everywhere, there is no mandatory implementation. People still do what they want.

main qimg a12828f3c2064b4665735fd20960fe96
main qimg a12828f3c2064b4665735fd20960fe96

Chinese people can drink while walking. In the United States, if you drink in public, you will be arrested by the police.

main qimg 85a97fbcfc8e8fb7fa09c9f0c4ab3be5
main qimg 85a97fbcfc8e8fb7fa09c9f0c4ab3be5

The woman hangs a bag of Tsingtao beer on her shoulder and drinks it while walking

In the United States, you have to maintain your own lawn, otherwise you may receive a fine. But in China, most people live in apartments.

main qimg 01eb476c918cefdfddfaea9e91a86e90
main qimg 01eb476c918cefdfddfaea9e91a86e90

Chinese people are completely free to make their own decisions and don’t cook at home. Boys and girls there prefer to eat three meals in restaurants or roadside stalls. Because there are many restaurants in China, almost everywhere. Chinese people do not need to believe in God and do not need to pray before eating. Meal time is the most relaxing time for Chinese people, and there is no need for so many rituals.

Most Chinese people are not as materialistic as Americans. In Chinese life, most people pursue a simple life, know how to be content, and are more free and independent.

4
4

Is this homeless? no!

Chinese people have the habit of taking a nap. You can take a nap anywhere, the main thing is relaxation.

Especially outdoor workers, couriers, sanitation workers, food delivery people, truck drivers, motorcycle drivers, vendors, and police officers will all find a shady place nearby to take a nap.

9a
9a

main qimg 01f6410221b5036e71e3a93eda989924
main qimg 01f6410221b5036e71e3a93eda989924

main qimg 1cfe9e2d947b2345b38e9d271d594aca
main qimg 1cfe9e2d947b2345b38e9d271d594aca

main qimg 4fb007c63cdbac32551436da0749aa1c
main qimg 4fb007c63cdbac32551436da0749aa1c

main qimg e974be6b0d3fd31b8162a9f6a1d3ad3d
main qimg e974be6b0d3fd31b8162a9f6a1d3ad3d

main qimg c964ee10894be6c8a303679458cff0ce
main qimg c964ee10894be6c8a303679458cff0ce

main qimg c1da7dbd3b33472ccfd00a7004a8ffe1
main qimg c1da7dbd3b33472ccfd00a7004a8ffe1

main qimg d83def4cc1e85e7982607ad7c7ecd3c9
main qimg d83def4cc1e85e7982607ad7c7ecd3c9

8
8

7
7

6
6

5
5

main qimg 499cec4bbb3c5c74135bb67ea74f58b5
main qimg 499cec4bbb3c5c74135bb67ea74f58b5

@@@main qimg 5924c43de32af80f8f2af88ae7b38934
@@@main qimg 5924c43de32af80f8f2af88ae7b38934

 

Shorpy

8a11320a.preview
8a11320a.preview

4a14598a.preview
4a14598a.preview

4a21351a.preview
4a21351a.preview

8a04006a.preview
8a04006a.preview

32243u.preview
32243u.preview

4a17314a.preview
4a17314a.preview

8a10939a.preview
8a10939a.preview

8a11063a.preview
8a11063a.preview

00722u.preview
00722u.preview

1a34852u.preview
1a34852u.preview

4a18634a.preview
4a18634a.preview

4a22301a.preview
4a22301a.preview

truckin.preview
truckin.preview

4a22279a.preview
4a22279a.preview

4a16475a.preview
4a16475a.preview

4a08345a.preview
4a08345a.preview

4a22038a.preview
4a22038a.preview

4a16469a.preview
4a16469a.preview

4a19655a.preview
4a19655a.preview

8a11175a.preview
8a11175a.preview

01281u.preview
01281u.preview

8a03838a.preview
8a03838a.preview

8a03823a.preview
8a03823a.preview

8a03842a.preview
8a03842a.preview

8a03845a.preview
8a03845a.preview

4a19702a.preview
4a19702a.preview

4a18138a.preview
4a18138a.preview

4a19707a.preview
4a19707a.preview

4a22340a.preview
4a22340a.preview

8a10972a.preview
8a10972a.preview

8a10973a.preview
8a10973a.preview

4a22364a.preview
4a22364a.preview

4a22504a.preview
4a22504a.preview

4a22542a.preview
4a22542a.preview

4a20805a.preview
4a20805a.preview

4a22436a.preview
4a22436a.preview

8a00329a.preview
8a00329a.preview

4a17143a.preview
4a17143a.preview

4a20762a.preview
4a20762a.preview

4a20343a.preview
4a20343a.preview

01874u.preview
01874u.preview

4a19909a.preview
4a19909a.preview

4a20062a.preview
4a20062a.preview

12537u.preview
12537u.preview

8a11330a.preview
8a11330a.preview

How did you foil or dodge someone else’s attempt to cheat or deceive you?

Oh boy, do I have a story for this. And I didn’t even “foil” the person’s attempt, really. More like I defeated him with my cluelessness. But in retrospect what I did is the best thing to do in my situation. You’ll see later in the answer.

A little bit of background (you can skip to the non italicized part for the meat of the story)

I was born in the United States, and when I was 7 my parents moved to India, their homeland (taking me with them of course). I was in India (Pune, specifically) from 2nd-12th grade. During high school, I applied to a bunch of American universities and got in. I finally decided on UC Berkeley, which was the best school that I got into, and was really excited that the school had a rich history.

Another important point to note is that foreign citizens living in India had to register with the police if they were staying in India beyond 180 days, and also get a departure stamp from or something before leaving the country on their passport. Being an American citizen, I had to do that while living there.

However, right before I left for the US, my family and I had just obtained PIO (Person of Indian Origin) cards, letting us stay in India on a 15 year visa and getting rid of the need for departure stamps and stuff.

I was 17, ready to leave for the US, where I was to meet up with my Dad in the Bay Area who was already there on business. I was super excited (think the heart eyed emoji, I looked exactly like that), for I was embarking on an exciting journey. I am American, and now I’m pretty comfortable in my own skin with my dual American / Indian identity, but back then I was pretty nervous and confused about my own identity, which made me a little anxious about going to the US.

So here I was, at the Mumbai airport, having said good bye to my mom and aunt who had come along to drop me off. I went to the immigration counter (for my American peeps, a lot of other countries have passport control when you leave the country too).

The immigration official looked at my passport. He looked at me with his beady eyes through his glasses:

“What are you going to the US for?”

“For my education.” (Apparently having an American passport wasn’t enough)

He probably deduced in his head that I was 17 and going to the US for college so my parents must be rich. He looked at me again, but his eyes were beadier this time.

“You don’t have a departure stamp. You cannot go.”

“Umm.. what?”

“I said, you don’t have a departure stamp on your passport, you cannot go!”

At this point I was super scared, because I was traveling internationally alone for the very first time, and I had no idea what he was talking about. I genuinely thought I had fucked up. After breathing heavily for 2 seconds, I said:

“So.. what do I do? What can I do, sir?”

“See.. I’m looking for your benefit, and my benefit.”

Now you all know where this is going. However, at that point I was completely clueless.

“Sorry, I don’t get it. Do I need to do something? Just tell me what I need to do, I’ll do it, sir.”

(my Indian peeps will know why I used that “sir” for an immigration official of all people. I was trying to be respectful and not rattle an official who could really mess with you if he wanted to)

“Like I said, I’m looking for your benefit, and my benefit.”

I was still clueless. I know all of you want to scream at me: “He’s asking for a bribe you idiot!”, but remember: 17, alone and scared.

“Ok, so then tell me how I can help benefit both of us. Let me know, I’ll do it.”

He looked at me, bewildered. In retrospect I think he was trying to figure out if I was for real. He got really frustrated:

“You’re not understanding what I’m telling you, now go!” (he hands me my passport)

At this point you guys probably think I just left as fast as I could, right? Well, no. I was genuinely clueless about his intentions, I actually believed I had done something wrong.

“Sir, if you just tell me what you need me to do, if there’s any issue with my documents, I’ll fix it.”

“No! Just go!”

I was thoroughly confused by this, and I just left. It was only after crossing the security check and calling my mom to tell her this story did I realize (while listening to my own words) that I was asked for a bribe and got out of it because I was totally dumb!

So there it is. I foiled a corrupt immigration official trying to take advantage of a scared 17 year old and make some money. But I didn’t “foil” anything. 🙂 I just defeated him with my cluelessness!

I’m pushing 70, and I’ve been married, happily, for 35 years.

I am highly intelligent, in the top 0.1%, and I have a lifetime of working with very, very intelligent people, including many very intelligent women.

But I can tell you from my current vantage point that if I had restricted myself to women with my IQ or higher, I would still be single. There were certainly candidates; but I was not romantically attracted to them, and would not have enjoyed living my life with them.

In contrast, my wife and I are very compatible. We like the same kinds of food, and restaurants, and entertainment on TV and in movies, we like the same music, we like the same kinds of sex, we like the same kinds of vacations, we have the same political beliefs, we like and donate to the same charities, we like the same comedians.

It is very, very easy to live with my wife; and she is not a slave, we split our domestic duties evenly.

My life is not about being intelligent. That is my job, and I don’t need to bring it home, or discuss it with my wife, or get any ideas from her on how to move forward.

In my job I am surrounded by very smart engineers and technologists, including many women, and I can discuss problems and technical issues with them, and for the most part not have to spend an hour explaining my ideas.

The only thing my wife knows about my job is that some decades ago I invented something for the Federal Aviation Administration, and I told her it was to “Help keep planes from falling out of the sky, because the FAA frowns on that.”

That’s the one she remembers, a single four month contract out of 35 years.

I get my fill of intellectual conversation in other ways; I am with my wife to relax and have fun, and that does not have to be intellectual in the least. The only time it is, is when occasionally she wants me to explain something to her. I know her well, and I am smart enough to explain what she wants to know in terms she will understand and be satisfied with.

OMG!

Half of Forever

Submitted into Contest #24 in response to: Write a story set in the dark recesses of space where the two main characters are often at odds with each other in humorous and comedic ways. view prompt

Morgan Elbert

“Christ, One!  What the hell were you thinking?” the voice came through the hud slightly distorted.  Nothing had been right on the Doppel Station for days, maybe weeks. It was difficult to keep track of time in this lifestyle.  There were no nights, no days, and essentially no schedule. Work needed done when it needed done and it didn’t matter if the men were tired or hungry or whatever other excuse they might concoct. One tried to focus his mind enough to remember when the issues had arisen.  He knew it was during Twenty-Seven. Measuring events in that way made him feel lugubrious, but it had been his best method to date. These minor external repairs were not typically so frequent, and he grew concerned that it meant the end of the station was coming soon. Perhaps it had drifted from its axis, or some distant celestial body had shifted and was influencing it in some way.  They were still waiting to hear back from the Union regarding their query.“One!  Yo, you listening, man?” the voice crackled through again.  One rolled his eyes and sighed, knowing the heavy exhale would be detected by the suit.  He liked the idea of his disdainful sigh echoing through the main deck for his crewmate to hear.“God One, you don’t have to be so pissy.  Just fix that panel and get the hell back inside.  I’m sick of monitoring your vitals,” came the response.After finishing his work, One leaned back against the hull of the station and watched the swirling of the reality around him.  The Dorra galaxy was on the small side for those that had been explored, and to One, it felt quaint — cozy even. It was like living in the smallest nearby town and still being able to see the nightlights of the closest big city.At least, that is how One thought of it, from his studies of old human culture.  He, himself, had never lived on the planet known as Earth. Born and bred on this ship, he spent much of his free time daydreaming; imagining what life must have been like for his ancestors.  Walking in something called grass — typically green with threadlike fingers of roots extending down into the soil for nutrients, hydrogen dioxide, and security.  He wondered what that might feel like, having roots and security. Breathing unfiltered air, filled with the pollution and aromas of the natural world.  One’s entire life had been inside this shell, floating endlessly in an even more endless vacuum of nothingness. Even the gravity he experienced wasn’t what he considered natural.“Bro — Wake up and get your ass inside,” the voice broke his melancholy revelry and One felt more angry than he had in weeks.  It wasn’t often that he sat out against the hull and let himself take in the view, but it was without fail that whenever he did, he was called back inside with the same crass phrasing that effectively wrecked whatever peace he had found in his meditation.As One closed the airlock behind himself and secured it, he could feel the needy eyes on him through the door.  He slowly and meticulously removed his gear, inspecting each piece before placing it carefully in his cubby. Mainly, he took such care in this process because he found it an effective method to avoid returning into the main hull of the station, and thereby further prolonging his peace and isolation.Technically, they were always supposed to take this level of care in their return inspections, but it was well known that few of the ‘nauts ever did, especially this far from the Hub.  Stations like the Doppel rarely, if ever, received elite visitors, and never had surprise inspections from the higher-ups. In fact, the Doppel was much more of a small outpost than a proper station.  The Doppel was a small superfluous station responsible for monitoring the oxygen levels and watching for signs of life on tiny dead rock on the outskirts of the galaxy. ‘Nauts stationed here were meant to exist, write reports for the Union, and maintain that there were always two living there.  Nothing else.A pounding echoed around One as he painstakingly inspected his last valve and he turned to the door to see an angry face peering through the glass at him.“Come on, man, get in here!!!”

“I’m doing my inspections,” One replied.

“You’re wasting time and you know it!”

“ME? Never. Why on Doppel would I ever do something like that?” he asked, faking an aghast expression.

“Duuuude….”

He ignored the plea.

“Duuuuuuuuuude.”

He continued fiddling with his equipment, turning away from the door to hide a smile.

“Gawwwwd, dude.”

One started laughing.

“Alright, I’m coming, Twenty-Seven. Calm down,” he said, crossing through the door at last.

Twenty-Seven tackled him.

“Dude, it is so freakin’ lonely in this tin can, man. I don’t know what to do with myself,” he said, latching on to One’s back.

“Maybe you should try studying or reading or something,” One replied, pulling away from the younger man, “you haven’t been alive long enough to be this bored.”

“I’m plenty old enough to be bored, bro,” came the indignant reply.

“Dude, you’ve been alive 46 days.  I activated the Womb for you less than 3 months ago.  You have no right to be this bored.”

“Yeah, and you’ve only been alive, what, 180 days?” the young man asked sarcastically, though he knew the actual count was much longer.

“I’ve been here forever.”  A cold and measured response.

The younger man scoffed before jumping on One’s back again.

One pulled away once more and went to the bunk room.  Twenty-Seven followed him closely, something clearly on his mind.  One turned to him.

“What’s up, man?” he asked tiredly.

“It’s just — Man, uh — What happened to Twenty-Six?”

“I’ve told you what happened to Twenty-Six.”

“No, you just said you needed a replacement.”

“That’s what happened to Twenty-Six.  He needed replaced.”

“Dude, you know what I mean.”

“Twenty-Six died.”

“Well doy. How?”

“We’re in space. Even if we weren’t, death is a certainty.”

“Dude, One, you are the worst at answering questions, like, ever.”

One laughed.

“Yeah, but I’m still the best teacher you’ve ever known.” he chuckled.

“You’re also the worst everything I’ve ever known,” Twenty-Seven quipped.

The men stood in silence briefly. One lowered himself onto his bunk.  Twenty-Seven watched him, an increasingly tragic expression spreading across his face.  One leaned back and closed his eyes tightly, intentionally refusing to see the younger man’s pitiful appearance.  He was tired of answering these questions with each new iteration. At this point, it seemed an exercise in futility.

Each story ended the same, each life coming to the same closing line; never anything special.  It had become easier with each passing individual. Two had been a real struggle. One had been uncertain that he would ever recover from losing his first second hand man.  He had tried to make himself disconnect since then. He spent more time outside the station when he could. Tried to be independent from them. But Twenty-Seven — Twenty-Seven reminded him too much of himself in the very beginning, beyond the obvious fact that they had the exact same face, the same DNA.  Each of the men had the same face and DNA; that wasn’t special. Somehow though, Twenty-Seven was special. Excitable and eager to know whatever he could. Stifled by life inside the Doppel. It took great effort to remain aloof with this one. One reflected on the lives of the others, how shockingly dissimilar they had all been, all facts considered, and yet they all ended the same.  Such is life, he thought to himself.

 

 

 

One woke up naturally for the first time in what felt like ages.  No klaxon blaring, no clingy crewmate awaiting his eyes to flutter open.  “Good,” he thought. Perhaps at last Twenty-Seven had gotten the hint to stop asking so many questions.  He rose slowly, stretching his aching body. The human body was not designed to spend its entire life in space.  Even One, essentially created for that purpose, still struggled with the effects.

One found Twenty-Seven sitting quietly near the com panel and staring through the view screen at the celestial bodies of Dorra that blinked and flickered around them.

“Beautiful, isn’t it?” he whispered, placing his hand on Twenty-Seven’s shoulder.

“Yeah, yeah,” Twenty-Seven responded, being jarred from whatever distant reality his mind had ventured off to.

“So like me,” One thought with a gentle smile, before saying “Get some sleep, man.”

Twenty-Seven rose mindlessly and followed the instruction.  “How long has he been awake?” One wondered, before taking Twenty-Seven’s place at the com.  Still no message from the Union. One felt a familiar twinge of concern, before shaking it off.  What did it matter, really, he asked himself. He went about his routine, checking the equipment, checking readings, looking for anything that might have gone awry during his rest.  He was relieved to find there had been nothing out of the ordinary, and returned to his studies.

“Tell me what happened to Twenty-Six,” a groggy voice croaked from behind One.  He had been reading for hours, and the sudden reminder that he was not alone startled him.

“Christ, man!” he yelled.

“Tell me,” Twenty-Seven said again, “I need to know.”

“You already know.”

“I know he’s dead. I don’t know how he got there.”

“Does it even matter?” One shot back, “Dead is dead. Who cares how anyone arrived at dead. All that matters is that they are dead.”

“What happened to you, man,” Twenty-Seven asked quietly.

“What do you mean?”

“What happened to you?  Seriously, how can it not matter how they got there?  Dead is DEAD, man! Becoming dead is a big freakin’ deal.”

“Drop it,” One yelled. He felt his long stifled emotions bubbling up inside him.

Twenty-Seven was silent.

One was silent.

The silence became its own entity.  A threesome to their short staffed company.  It floated down on them and wrapped them up, holding them against one another.  One stared at Twenty-Seven, staring at his own face. Younger, not so worn down by the nihilism, unscathed by the repeated witnessing of death after death.  Hair still cut to regulation. Twenty-Seven stared back, tears prickling at his eyes and throat. He saw himself, and yet something completely different. Long, unkempt hair licking at that uncanny face, yet the skin pulled differently.  Tighter, and yet wrinkling slightly around the eyes, across the forehead. That face no longer held its softness. Silence coiled tighter, beginning to hint at suffocation.

“Look, I can’t tell you what happened to them, man,” One whispered through the smog of silence that nestled around them, “I just can’t do it again.”

Twenty-Seven nodded slowly.  Time drifted without meaning again, the way it had for so long, the way it always would, but in that moment, it was palpable.

An alarm blasted through the station, nearly shaking the men.  Something was wrong. Severely wrong. The silence that had enveloped them was eradicated.  They rushed to the com to see if they could see anything. The view screen was blank. The instruments were going berserk.  Inconsistent and chaotic readings flashed over and over before the entire com powered down. The lights dimmed inside the vessel, and a warning message began repeating itself.  One looked to Twenty-Seven. The young man’s face was contorted into fear and frown. One patted him on the shoulder. “I’m going outside,” he shouted over the various sirens and messages the station’s computer blasted through the hull.  Twenty-Seven grabbed his hand. “I’ll go,” he yelled, but One slipped away and ran for the airlock.

One grabbed his gear and slipped it on far more quickly than he ever had.  This was not how these situations were typically handled. The man with seniority was not the one who was supposed to go out during the outages, but he didn’t care.  Regulations be damned. He wasn’t going to watch it happen again. Twenty-Seven stood at the doorway, watching One as he dressed, screaming something unheard through the chaos that shattered everything he had ever known.  One heard as Twenty-Seven began trying to open the door into the airlock and before the younger man could progress, he opened the outer door, effectively locking the rest of the station down until proper procedures allowed things to open again.

One ventured out onto the shell of the station where he had spent his life.  He immediately saw where the vessel had been struck by some manner of space debris.  Two of the twelve power cells placed around the outside of the ship had been knocked loose, likely causing a short in the circuit and causing the power levels to fluctuate inside.  He set to repairing the damaged pieces, and looked up to see still more hurtling towards the Doppel. He worked as quickly as he could, but it was not fast enough. He had only been able to repair one of the cells before the next impact.  A small piece of rock struck him at such velocity it tore through the arm of his suit. Safety procedures activated. The arm was severed off and sealed instantaneously. The temperature rose rapidly on the blade inside the sleeve, cauterizing the amputation.  One screamed in pain, though from everything he had read, this was nothing compared to what would have happened without the guillotine effect of his suit. He had poured over the manuals that warned of what could happen in these circumstances. How the water in human skin would vaporise in the absence of atmospheric pressure; moisture on the tongue would boil.  All of that, of course, only mattered if the rest of you somehow had oxygen and protection from the vacuum of space. The hud began a countdown, indicating how long he had left without receiving proper medical attention. These suits, while advanced technology, could simply not stave off human death without other measures being taken to recover.

One’s mind flashed back, again and again, to each of the different men he had lost during his time on the station.  Had this been what they had felt? This fear? This — well, this relief? What sort of emotional cocktail did they each experience?  Were they — Was he — glad? He felt himself floating away from the hull of the station. The impact must have been enough to separate his magnetic boots from the titanium.  It was a weak bond anyway. It only made sense that it would have. As he rotated away from the only home he had ever known, the only home he could ever have known, he tried not to imagine the face of his protege.  He tried not to see that same face, over and over again in his mind. The fear. God, the fear. Two’s final scream flashed through his mind. Eleven. Nineteen. Each face, the same, and yet so different in that final moment.  Each death had been different, but was that even possible? Each had taken place in the same location — this godforsaken station in this corner of this godforsaken galaxy. Each death of the same person, genetically. How could it have been so different each time?  The urgency of the message in his hud increased, counting away One’s final seconds, and he felt a feeling of anticipation. Of impending freedom?

 

 

 

The Womb hummed in the background as Twenty-Seven sat at the com, studying up on life in the olden days, back on Earth.  He absent-mindedly worked his finger through the scars on his face. The scars he had put there with a broken piece of the ship gathered during a repair mission.  They were designs he had created after discovering the concept of “tattoos” during one of his deep dives into old human culture. It was his only way of feeling different.  When at last the Womb unlocked, he felt a very slight tickle of excitement. What it would be to not be alone again, even for a little while. He tried to stifle the feeling.  He knew how this always ended.

“Welcome to the Doppel,” the computer voice chirped pleasantly.

Twenty-Seven stepped into the room to watch the new arrival recover from the incubation process.  It sat up slowly, rising out of the pink amniotic fluid that each of the men was born from, stretching its back and arms.  It looked around. Focusing on his face. It blinked several times, and he waited patiently for the eyes to focus. It took some time, this orientation to the world of the living.  Fortunately, each of the clones was born with the ability to understand language and to speak it; once they figured out how to make their vocal cords work, anyway. The amnion drained from the incubation pod and the hatch opened, allowing the newest arrival to the station to step out into its new home.

Twenty-Seven leaned against the wall.  His hair was long, tumbling down his shoulders.  His hand stroked his beard out of habit.

“Get some clothes on and find me for orientation when you’re ready,” he said coldly before walking out of the Womb.  Something made him hesitate for a moment, and he turned back to his newest crewmate. Maybe this time it would be different.  He cleared his throat.

“And, uh, welcome to the Doppel, Forty-Nine.  I think you’re gonna like it here.”

“Wait.  Sorry, I just wondered.  How long have you been here?” the new man smiled awkwardly before asking, as his eyes slowly took in the haggard face of his superior.

Twenty-Seven shook his head and chuckled.

“About half of forever, man.”

  1. I was taught to read the newspaper when I was in elementary school by my parents and an excellent 4th grade teacher. When I was in 8th grade I read an article that said drug addict pregnant women gave birth to addicted babies. When I told my teacher in health class about the article she didn’t believe me. She said women who ate bananas did not have babies addicted to bananas. I pointed out that heroin was addictive and bananas were not. She told me to shut up and sit down.
  2. In high school I read a newspaper article that said the military tested LSD on unsuspecting soldiers and several had killed themselves accidentally while in a drugged state. One jumped out a highrise window because he thought he could fly. My gym teacher told me I must be mistaken because our military would never do such a thing. I asked why it was in the newspaper if it wasn’t true. She said she didn’t believe I read the newspaper.
  3. Freshman year in college in my American History class my professor attempted to tell me that the scientific belief during slavery was that Black people were not really human. That they were like monkeys or pet dogs. I told him that they may have said it but they knew it was a lie. Because given how white men raped black female slaves they must have seriously been into bestiality. Livestock cannot farm or clean or build or cook or rear and feed their babies. They knew we were just as human as them they used that lie to justify slavery. Then I walked out the class.

Oh Yes!

Late afternoon, on my way back to my dorm, Pink Floyd in my ears when I saw that lady coming towards me and smiling.

She was absolutely gorgeous, well dressed, and waving towards me.

Obviously I turned around to confirm that it wasn’t me(because well, why would it be me? I don’t know her) as she approached and said hi!

Baffled, I removed my headphones and replied back.

Lady: “Hi. How are you?”

Me: “Good thanks, and yourself?”

Lady: “I’m in some trouble and I’m really looking for some help. I need to meet my brother at *I forgot where* really soon and I don’t have enough money for fuel. Would you mind lending me 10 Rand(South African currency)”.

Me: “Sure thing”, while I handed out 10 bucks.

Lady: “Thank you so much, you really saved my day”.

Me: “Pleasure”.

Now, I’m the type of person who usually helps others when I can. That day I had some bucks, so I did.

What happens next?

Couple of months later, I was on campus chatting with my buddy and this absolutely gorgeous lady approaches us.

Lady: “Sorry to disturb you guys, I see you’re having a great chat. I’m missing out on some fuel cash and I need to go see my brother who is working. Do you have any spare 10 Rands?”

Me and friend: “Apologies, we don’t have cash on us”.

Lady, looked at us weird, turned around and make some comment. Me and my friend didn’t bother.

However, something struck me then. I knew that lady. Hell yes I did! However, it was too late to foil her plan.

Epilogue

Months later, I bumped into her again.

I decided to be an asshole that day and as soon as she started speaking I wore my evil grin.

Lady: “Sorry sir, I nee…”

Me: “Ahh, you gonna meet your brother again. You know, it’s the third time. I would have literally given you a hundred if you had changed your story.Try to be more creative next time, gorgeous!”, while I walked past her laughing.

Of course, I got the “Fuck you!” reply but I was too content to care.

Why is China the largest factory robotic installer in the world, with over 50% of all installations when they have so many unemployed people?

Entirely different

These Robots are doing jobs that NOBODY IS REALLY INTERESTED IN DOING

Like sewing buttons onto shirts or cutting lines of cloth

How many workers today do you think line up for 55 Yuan per day to sew 560 buttons onto 80 shirts at 1 Yuan for 10 buttons?

How many workers want to cut line’s of cloth and earn 70 Yuan a day for 140 metres a day of cloth?

How many workers want to get paid 60 Yuan a day for manually applying coloring lines on steel bars?

Almost NONE

So China needs Robots to do the job and to do it far more economically

These Jobs are now done by what is called 5G Factories

Fully automated factories working with 100–120 workers doing jobs with automated machinery that formerly close to 300–500 workers were needed

That’s because workers aren’t keen on working for 1500–1800 Yuan a month anymore

They want a minimum of 3000 -3200 Yuan a month

The Unemployed people are primarily College Graduates

They want advented jobs meaning Jobs that suit their qualification

In 2015 – the Offering Starting pay was 5,750 Yuan a month for a Non Trainee STEM graduate

In 2024 – It’s 9,150 Yuan a month

If you see the Vocational Training, the unemployment rate among fresh graduates is a mere 6.57%

Against nearly 18.5% for University Graduates

Dating Sucks for Gen Z & Millenials

American Corporate Cost Savings

UK can try & see what will happen.

I bet, I bet, UK wont dare!!!

In the Paris Olympics, Taiwan separatists got warned by French guards/police. Below were few incidents.

1, In 1 stadium, one (Taiwanese?) woman stood on the stairs & held a green banner with Taiwan island on it. French guards/police warned the woman. She ignored warning. A man grabbed the banner from the woman in front of the guard/police & left.

2, In another occasion, a French guard/police grabbed a white & green banner from Taiwanese (?) sitting in the stadium. During struggle, French guard/police tore the banner.

In both cases, Taiwanese separatists dared not do anything against the guards/police.

See, France follows thru the ONE China policy & suppresses social nuisance caused by Taiwan independence.

Of course, these are Taiwanese (?) are actors who are paid to put up a theatrical show. These people only talked tough on the mouth, & held a banner. But facing a guard/police, they are chickens.

Back to UK. UK under Sunak was a US puppet. Like Taiwanese, Sunak talked tough to stage a theatrical show to its puppet master. When comes to action, I do not see anything concrete.

Dont be fooled by politicians’ tough talk; it is just a show.

Yes, this happened quite a few years ago before cell phones were as popular as they are today.

We had just came back from the store where we had purchased a new set of wireless phones. For some reason my wife wanted me to program 911 as speed dial number 1. I read the instructions, made a number of attempts and determined it wasn’t working. A few minutes later the doorbell rang along with a definite knock. I could see through the glass door it was a couple of officers. One was at the door while the other was a few steps behind him with his hand resting on his firearm.

I opened the door and the lead officer said that there were a number of 911 calls with hangups and they were sent to investigate. I explained about the attempts at programming the phones and he asked if they could come in to see the phone. I let them in and showed them the phone. About that time my wife came into the room to see what was going on. Upon seeing the two officers she was a little surprised. The situation was explained to her and we bid the officers a good day.

A little while later my wife suddenly exclaimed that she just realized that the officers wanted to come in to ensure she was okay. The whole thing was embarrassing for me but rather humorous.

She Laughed After Being Charged with This

If the Filipinos are stupid enough to volunteer to be a US proxy. It won’t be like Ukraine.

You realize that The Philippines is over 1,000 miles from China right?

HiMars range is well under 250 miles. And it’s not an air defense system.

The only thing the Filipinos will see is Chinese missiles raining from the sky. So no amount of integration is going to do anything.

HiMars will be target practice for Chinese missiles or glide bombs.

So integrating won’t do anything. Unlike the Russians, the Chinese are fully prepared to go to war with the US, NATO, Japan, SK, and India at the same time. Adding the Philippines is just desert for China. I hope the Filipino people get their heads out of their ass because the US will turn the Philippines into a death field just to get propaganda points.

The question is do the Filipinos want to die for the US so the US can do propaganda stories against China?

At the beginning of the year all of us employees (13 of us) took a voluntary pay cut to help our owners keep the doors open on our little plumbing supply company. You see the Old man who ran the place died at the end of last year and his kids took over. By years end our little company was in turmoil as the college educated heirs didn’t know a thing about actually running a plumbing supply company. Out of respect for their deceased father, we all took a pay cut so the company could survive on the promise that when the ship was righted we would all get a 5 figure bonus which would more than cover the pay cut we took. After this bonus we were to get our regular wages back plus ten percent on top of it.

Well after the latest quarterly report came out, we had actually turned a hefty profit as a company for the first time since the old man’s passing. Finally we would get our bonuses!

We all received an inter-office memo to meet in the conference room. We knew what this was about and were ready to celebrate our combined success!

Our bonuses…

main qimg ad668dc50846333f9922a3439b11dbc0
main qimg ad668dc50846333f9922a3439b11dbc0

The absolute nerve of these college educated a-holes! They said since we were raking in record profits that they didn’t want to rock the boat and put the company in a financial bind by shelling out for bonuses or to reactivate our former wage statuses, let alone a 10% increase in pay on top of it.

So they LITERALLY got us pizza and soda as a “thank you”.

Myself and 6 others walked out right then and there.

You cannot treat people like they did and expect things to be okay! Between the 7 of us, there was over 80 years of experience working for their father’s company.

Let them see how hard it will be to replace our knowledge and experience. Maybe they didn’t learn in college manners or how to treat employees as family. Maybe they will think a second and third time in the future before doing something like this again.

Meanwhile, 3 of my fellow co-workers who quit and myself, will be starting our own plumbing supply company and we have every confidence that we will succeed.

We’ll start off small and there will be lean times, but there is nothing on earth that will shake our integrity and treat people like our former employers did.

Another DEI DISASTER As Fantasy & Science Fiction Publication DIES After Hiring WOKE Black Editor

This is a very interesting video.

I once had “daddy” show up at the scene of a DUI crash. Daddy tried to interfere with the field sobriety tests of his (uninjured) son.

When I politely asked him to step away and let us get on with our business, Daddy ignored me. When I said it as an unequivocal order, he ignored me. When I told him I would place him under arrest in 5 seconds if he didn’t back off, he reminded me that he was friends with my Sheriff.

I told him he would be free to call the Sheriff from jail.

Daddy pulled out his cell phone and showed me that he had the Sheriff’s number stored in his contact list. I told him I had it in my phone too and that his 5 seconds were up. Go over there and call the Sheriff if you want or go to jail. Your choice. I have work to do.

Daddy walked away.

Daddy’s little boy got arrested and lectured me all the way to the jail about how I would lose my job because Daddy is friends with the Sheriff.

Daddy showed up at the Sheriff’s office the next day.

Daddy was buddies with the Sheriff, so he got unusual access to my reports and crash scene diagrams right then and there on the Sheriff’s desk. He made his arguments about why I was wrong.

I got called into the Sheriff’s office, later, to hear “Daddy’s” objections from the Sheriff himself. The Sheriff asked me to respond. I did so.

The Sheriff said, “Good job. I’ve been friends with that guy for years, but he really is an asshole sometimes.”

Daddy’s little boy (in his 20s) was convicted of DUI.

This is why I’ll NEVER Move BACK to the UK – I LOVE Living in CHINA as a Black Brit

Cassidy Caldwell

Deep in the darkest corner of space lived a pair. They lived together on the planet of Lenunculus, a silly place full of creatures of every kind. The pair, however, were opposites of each other in every way.Weesnorp was mountains tall, with wide wonderful eyes. He had feet the size of a football field, and could run miles in a single step. His body was covered in pom-pom ball fur, with more colors than the human eye can see. Despite his larger-than-life appearance, Weesnorp had the voice of a mouse. No one could hear what he was saying, even if they were standing directly at his football field feet.Parvus, on the other hand, was smaller than a peanut. If a human were to look at him properly, they would require a magnifying glass of some sort. His eyes were covered by long, dangling black hair that went down to his feet. All that was visible on his body was one large, pointy, purple, round nose. In every way that Weesnorp was quiet, Parvus was loud. His voice could be heard on the other side of the planet at half its volume. Attempts to whisper meant whole towns heard his cry.As Parvus was too small to live safely on the planet, Weesnorp allowed him to live peacefully on his broad shoulder. In return for his kindness, Parvus would call out to those below on behalf of Weesnorp. The two appeared perfect together, and would spend years and years at times without an argument of any sort. One day, though, Weesnorp and Parvus quarreled so furiously that their lives were changed forever…Weesnorp was talking to his faithful companion when another creature crossed his path. His name was Amasius, and he was the most beautiful creature Weesnorp had ever seen. He had shimmering locks of blonde hair, with piercing orange eyes that shined against his darker skin. Amasius was the second tallest creature on the planet, so he was the closest to reaching the mighty height of Weesnorp. Weesnorp fell in love at first sight.“Parvus,” said Weesnorp. “Do you see that lovely creature yonder?”“Indeed,” Parvus whispered to his best ability.“Might you talk to him for me? I would tell you what to say, but I cannot find the words,” Weesnorp pleaded. “The creature cannot see you – it would be as though I am talking through you. My lips can match your speech!”

 

Parvus was pleased at this request. He often found himself to take pride in his own matchmaking abilities. “Very well, my good friend. I will do all that I can. You there!” He raised his voice a bit to get the attention of Amasius.

 

He was successful. “Yes?” Amasius answered, his voice deep and soothing.

 

“Are you from these regions?”

 

“Alas, no.” A hint of sorrow grew behind the dazzling eyes of Amasius. “I am from the far regions of the mountains. A large storm blew across my home, and I am here to find the necessary supplies rebuild it.”

 

At the sound of this, Parvus had an idea. “Might I help you with this endeavor, friend? I am quite tall. You can hand me the supplies, and I can use my height to reach your homeland on the mountaintops.”

 

Amasius cheered at this. “You are kind, sir! My name is Amasius. What might I call you?”

 

“Weesnorp,” Parvus answered.

 

“How wonderful. Thank you so kindly so your help. The supplies should be this way…”

 

The two followed Amasius to a forest where they could collect wood to build his home. Parvus spoke on behalf of Weesnorp, telling great tales of his friend’s many talents and marvelous abilities. Amasius was very impressed, and began to grow more and more fond of him as they walked. When they arrived, Weesnorp used his great strength to pluck the large trees from the ground, carrying a dozen in his arms all at once to bring to the mountains. They made their way to the spot Amasius wished, and Weesnorp set to constructing the home above the clouds, where he could see. Amasius spoke to him as he built:

 

“Weesnorp, would you care for some ungula to eat as you work? I have just caught some, and would gladly prepare it for you. It is a small gift of thanks.”

 

Weesnorp tensed. He could not eat ungula. It caused him great pain. To his disbelief, though, Parvus responded by saying he would gladly eat it.

 

He spoke to Parvus in his most powerful voice: “Parvus, I cannot eat that. It makes me sick!”

 

Without knowing that Weesnorp was speaking, Amasius tried speaking to him, asking, “Would you like a large portion of it? I have plenty, but I know ungula has quite the ability to cause illness. I do not wish you any harm!”

 

Parvus responded to Weesnorp: “It does not make you sick! You are a liar!”

 

Amasius was taken aback. The voice of Parvus was so loud that he believed Weesnorp was speaking to him. He could not hear the real voice of Weesnorp. “I am terribly sorry to insult you, friend, but I am well practiced in the ways of preparing ungula. My people have eaten it for centuries. I do not think I am mistaken.”

 

The two could not hear the cries of Amasius, as Weesnorp was so entangled in his own anger. Weesnorp retorted at Parvus: “I am no such thing! I am an honest creature, and I say that my abilities are greatly hindered when I eat ungula! You must believe me!”

 

Parvus had completely forgotten about Amasius, and turned his attention completely to Weesnorp. “I do not believe a word you say!” he challenged. “Your abilities do not serve much good, with or without ungula!” His voice was rising in volume as he argued further.

 

At this, Amasius was wholeheartedly offended. “How dare you insult my wisdom! I am a prudentia, a species of great power and knowledge! My people have studied ungula for centuries, and I am mightier than you could ever imagine!”

 

His cries were no use. He could not break the argument between Weesnorp and Parvus, and the two continued to bicker. “My abilities lack? No, Parvus. It is you who do not serve much good! You could not walk two steps without being crushed by a creature of larger stature! You are nothing without me.”

 

This was all Parvus needed. His tiny body swelled with anger, filling his lungs with as much breath as he could hold. He yelled with all his strength:

 

“NO! YOU ARE NOTHING WITHOUT ME!

 

As he did this, he sent out a large gust of wind across all of Lenunculus. Entire seas became instant tsunamis. Mountains were torn from the land and thrown into the air. Worst of all, Amasius was lifted from the ground and hurled into the farthest reaches of Lenunculus – farther than any creature had ever dared to travel. The planet was turned upside down in a more disastrous manner than it had ever before seen.

 

To this day, Weesnorp and Parvus continue their mighty battle, ignoring any creature that tries to interrupt them. Winds blow throughout Lenunculus every now and then when Parvus becomes incredibly angry, but none will ever match the magnitude of that fateful day.

The police have not been called but the threat has been made. A few months ago a couple showed up at our house, my husband knew the man in passing.

To make a long story short, they were homeless, had lost everything in a flood, it was January they were cold, wet and hungry. They wanted to stay in our backyard storage shed for a few days.

We let them. Fed them the night they arrived, provided dry clothes and blankets. The shed has electricity and heat.

Fast forward a few days, they are bringing all kinds of things on the property including two dogs.

We suspected correctly that they were stealing. The left when we confronted him about this but the girl stayed a few more days claiming she didn’t have anything to do with stealing. We didn’t necessarily believe that but the weather was still bad and the guy was bad for her. We encouraged her to split from him and get help with her drug addiction but that didn’t happen and within a few days she was gone.

We still had two dogs and several large trash cans of trash that they left. Clean up took several days.

We then find out she is in a mental health facility. After about a week she gets out and comes to get her dogs…they leave.

Life goes back to normal.

A couple of weeks later her teenage son shows up with one of the dogs and asks if he can leave the dog in our fenced yard for an hour…never saw him again.

A couple of days after that the other dog is dropped at our house.

That was in March it’s now July. We have feed the dogs, gotten their shots etc. Under NC law if you have cared for an animal for 2 weeks or more it’s considered yours.

We first heard from the girl about 3 weeks ago. A letter explaining that she is in jail in SC with a $150K bond for multiple drug charges. She asked for money and asked about the dogs. We ignored the letter.

The next week another letter, again asking for money and wanting us to read letters to the dogs. The dogs were not interested in the letters.

Friday, another letter. Why haven’t we responded. She wants a video call with the dogs. If we don’t respond soon she is going to take action.

So here’s where the police being called comes in…she’s going to call the police, from jail, for a wellness check on the dogs!

Unbelievable!

About Finland: The Finns wanted to exact revenge on Soviet Russia for invading Finland in the winter war of 1940, that’s why the Finns helped Hitler in his invasion of Soviet Union: Revenge and Recover territories lost to the Soviet.

But by winter 1941 and early 1942, Hitler had failed to capture Moscow, so it was crystal clear that Hitler couldn’t pull off a quick victory over Soviet Union with one blitzkrieg blow and the Wehrmacht would have to go through a war of attrition with the Soviets. And although the Soviets had suffered catastrophic losses and would continue to suffer even more (they would probably even lose Leningrad), in a war of attrition against Nazi Germany, the Soviets would achieve the ultimate victory.

The Finns were well aware of the situation. They wanted revenge, but they also knew the country would be extremely vulnerable to future Soviet aggression. So they never completely cut off Leningrad from the rest of Soviet Russia. Some bare minimum supplies could still get to Leningrad through Lake Ladoga in the northeast of the city. The Finns agreed with Hitler to move close to Leningrad, but just enough to recover Finnish territories yielded to the Soviet in the Winter War. The Finns never took part in a direct attack on Leningrad itself, they never moved beyond the Mannerheim line.

Soviet trucks moving through the ice roads of Lake Ladoga to supply Leningrad.

Now the Wehrmacht.

German Army Group North – one of the 3 Army Groups crossed the border of USSR in June 1941 – was responsible for the capture of Leningrad. But Army Group North was simply not equal to the task, Field Marshal von Leeb did not have the necessary manpower and armored forces to carry out a large scale offensive operation into Leningrad.

For example:

  • In October 1941, General Hoepner’s 4th Panzer Group was redeployed from the Leningrad front to join the attack on Moscow. Thus, Army Group North was deprived of its only Panzer Group and most of its tanks.
  • The heavy siege guns (the 800mm Dora, the 600mm Thor and Odin … ) were brought to Crimea to attack the naval base at Sevastopol during a 9-month siege of 1941-1942. These massive guns would never be moved to Leningrad to support Army Group North’s operation.
  • In 1941, Army Group North suffered heavy casualties but received only 60,000 troops as replacement. Meanwhile, 131,000 replacement troops were sent to Army Group Center and 119,000 sent to Army Group South.
  • In terms of allocating fresh division from the German Supreme High Command Reserve, the situation was even less favorable: of the 21 fresh divisions released from the reserve, Army Group North got just 3.

Clearly, Leningrad was only of secondary importance to Hitler. The most decisive battles were being fought elsewhere, some around Moscow, Rzhev, some near Stalingrad, Sevastopol … and so the majority of German force was allocated to Army Group Centre and Army Group South. The soldiers of Army Group North therefore referred to the siege of Leningrad as “an eternal war of the poor men”.

The Soviet, on the other hand, tried desperately to defend Leningrad. The city wasn’t just a spiritual center of Soviet Russia. It was also an important sea port, the home base of the powerful Baltic Fleet. If German had taken Leningrad, essential military aid from the Western Allies transported by the Arctic convoy wouldn’t have reached the port of Murmansk. Without the Lend-Lease military aids, the Soviet Union would have encountered even more difficulties throughout the war than they already had.

Half-hearted Finns, understrength Germans, together with fierce Soviet resistance, that’s why Leningrad was never captured by Germany and Finland.

The Problem With Society is: Most men don’t own anything, so they don’t give a F*ck.

Ownership.

Great point.

“Listen, I am not a teacher, but. . .”

“This is how it should be done!”

“The best way to teach that is. . .”

“The only way to make them learn is. . .”

I have been an ESL teacher since forever (over 40 years). I welcome suggestions, new methods, change.

But my students’ responses to what we are doing in class are what my lesson plans and methods are based on.

I have had HR managers, VPs, CEOs, Army Colonels, politicians, janitorial staff and parents of adult students tell me how to do my job.

I smile, say “Thanks” and get on with what is needed.

Have I ever been confronted for not doing ‘as ordered’?

Oh, yeah.

I usually invite the ‘commander’ to attend a class or two; S/he may observe or participate. I also make it clear to the participants that THEY are not being evaluated (very important here in Thailand).

We go through a normal lesson, with its wins, problems, misunderstandings and ‘aha!’ moments (beauty and blemishes?).

If the ‘commander’ is at least at the English level of my students, s/he is eased into participating.

What I love most is when we reach the end of class, and the ‘commander’ is surprised that time has passed so quickly and successfully.

No ‘commander’ has ever come back at me, except to ask if s/he could come again.

I love my job!

The Sanctions Are Working

In March 2022 I predicted some consequences of the sanctions imposed on Russia:

The first [map] shows the countries which banned Russian airplanes from their airspace. Russia in turn denied its airspace to operators from those countries. It will cost quite a bit for U.S. and EU airlines as their flight times and cost to and from Asia, which typically fly through Russian airspace, will now increase. Carriers from Asian countries will now easily out-compete U.S. and European airlines on these routes.

 

ukrsanct1 s
ukrsanct1 s

bigger

As British media reported yesterday:

British Airways is temporarily scrapping flights to Beijing until at least next year.From October to at least November 2025 the carrier will not fly to the capital of China, although flights to Shanghai and Hong Kong will continue.

European carriers are not currently able to enter Russian airspace which makes flying to China more challenging as it takes a few hours longer than it used to.

Russia’s civil aviation authority introduced the restrictions in February 2022, in retaliation to a British ban on the country’s Aeroflot airline as part of sanctions for the war in Ukraine.

A spokesperson for British Airways said: “We will be pausing our route to Beijing from 26 October 2024, and we’re contacting any affected customers with rebooking options or to offer them a full refund. We continue to operate daily flights to Shanghai and Hong Kong.”

The route only resumed operations on the route in June 2023, following a three-year pause due to the coronavirus pandemic.

At the time, British Airways described London-Beijing as “one of our most important routes”. The airline did not provide a reason for the suspension.

It is one of many Western airlines avoiding Russian airspace, which is adding to their flight times, fuel costs and complexity over how they deploy crew and aircraft.

British Airways isn’t the only one.

A simple look on the map explains the issue:

 

flightmap s
flightmap s

biggerAs I continued on sanctions:

The second map shows those countries which enacted sanctions against Russia. The secondary effects of sanctions are likely to hurt these countries as much as they hurt Russia. The absence of African, Asian, Middle Eastern, Central and South American countries is quite telling.

It does not look like ‘the world’ or the ‘international community’ is backing the ‘west’.

 

ukrsanct2 s
ukrsanct2 s

biggerThe U.S. also sanctioned all imports of oil products from Russia. President Biden has blamed Russia for the price increase that will inevitably follow. I don’t believe that mid-term voters will accept that reasoning. European countries can not follow that step as their economies depend of imports of oil and gas from Russia and will continue to do so for years to come.

Which fits to this other recent headline:

French imports of Russia’s liquified natural gas surge, and Ukraine supporters seek a stop

Shipments of Russian liquified natural gas to France more than doubled the first half of this year, according to new analyses of trade data, at a time when Europe has tried to pull back from energy purchases that help finance the Kremlin’s invasion of Ukraine.Europe has restricted oil imports from Russia, but natural gas is still allowed. And while companies in France are importing the most, one analysis found EU countries overall imported 7% more Russian LNG, natural gas that has been chilled and liquified for easier ocean transport, in the first half of this year compared to the same period a year ago.

Meanwhile in Germany, which currently has a rather crazy government, industrial production is further declining while bankruptcies have reached a record height:

Germany, with its energy-intensive industry and shortage of raw materials, has been particularly affected by the rapid rise in energy prices. Large corporations such as BASF are closing factories because management no longer believes it can efficiently produce essential chemicals. There is a trend of deindustrialization.The volume of orders from German machine-building and engineering companies decreased by 12 percent in the first half of 2024, according to the industry association VDMA. year to year in real terms. Orders from Germany itself fell especially sharply – by 18 percent. Orders from foreign companies fell by 9 percent. Metallurgical corporations are also suffering, as demand for their products is also falling.

All this could be fixed with some sanity and the discarding of useless sanctions.

Posted by b on August 10, 2024 at 10:36 UTC | Permalink

My hometown which is a small town in rural area of China. This is the main street in winter.

main qimg 44750fdccc847ad3fe299f3924e53ff3
main qimg 44750fdccc847ad3fe299f3924e53ff3

Update:

I didn’t expect someone may even say above picture is propaganda. My hometown is even not as beautiful as those small town in the rich part of China. I took pictures during Chinese Spring Festival when I was back.

I miss my hometown, and I miss my relatives there.

During that Festival most of my cousins came back from other cities in China like Wuhan/ Shenzhen/ Suzhou/ Beijing..

I am a very ordinary Chinese person who was born in a small town, and witnessed the huge change in the past decades.

There’s a homeless guy I often saw, almost every day on my walk to school. One day I decided to sit down next to him and say hello. I introduced myself, said I went to school nearby, and offered him the change I had in my pockets, apologizing for walking past and pretending he was invisible for the last few weeks.

He smiled and said not to worry—it was far kinder than kicking his crutches or his money tin over out of spite. He said his name was Christian.

He used to be a chef, once upon a time. Really nice, fancy kitchen, and then the building got bought out to be converted. He lost his job overnight, and it was during that time he was also starting to develop a limp.

Nobody wanted to hire a man who was about to be unable to walk, and he had nobody from his old job to help him get set up somewhere else, possibly as a supervisor or a restaurant manager.

He’s never been turned away by a doctor (thank you, NHS), so he can at least still get treatment for his condition, but he still lost his savings on rent and food while trying to find a new job. He’s been on the streets for ages now.

It’s a vicious cycle, he says. He can try to clean himself up for interviews, but it’s never clean enough, and if he does clean himself up for an interview that he knows will be a bust, people won’t drop money in his tin because he doesn’t look homeless enough.

I took him to coffee and the chat ended up turning to my own life. I told him about my film projects, and he wrote down a list of interesting places in the city he’d seen while on the streets.

I stopped by to see Christian again last week and gave him a fiver. He looked fairly content, bundled up with a new sleeping bag someone had given him, reading a book about vampires.

He’d had a job interview earlier that day and his hair was combed, his face shaven. His money tin was empty. He hadn’t gotten the job.

“But this book I found has been quite good,” he said, changing the topic. He pretty obviously didn’t like to dwell on his bad luck.

I leaned back against the wall and pulled my own coat closer around me. “Want to tell me about it?”

With a hidden recorder, he overheard me mocking him. His response changed everything.

It should be Gao Yang (526-559), the Emperor Wenxuan of Northern Qi.

Northern Qi was a short-lived dynasty that lasted only 28 years.

After Gao Yang established the Northern Qi Empire, he was a great monarch for the first few years. He worked diligently and governed the country very well.

But a few years later, his temperament changed drastically.

He became extremely cruel and absurd, and spent his days drinking. He once married a prostitute named Xue as his concubine (which is very rare in Chinese history).

One time, he got drunk and remembered that this concubine had been with too many men. He was very angry and chopped off her head… At a banquet, Gao Yang suddenly pulled out the beautiful woman’s head from his bosom and began to carve the meat with a dinner knife. Everyone present was stunned. Later, Gao Yang ordered the musicians to make a pipa (a Chinese musical instrument) out of Xue’s leg bones, and he played and sang: 《It’s hard to get a beautiful woman again》(A very famous Tang poem)

At Xue’s funeral, Gao Yang burst into tears again and was extremely sad.

The entire Gao family was like this. According to historical records, they were extremely capable, but they would go mad. For example, his brother once r*ped Gao yang’s wife, but his brother was very powerful at the time, so Gao yang could only endure it.

When he ascended the throne, Gao yang couldn’t retaliate against his brother because his brother was already dead, so Gao Yang r*ped his sister-in-law…

There are countless such absurd things.

For example, he drank every day, and when he got drunk, he would kill people randomly, including his ministers.

He also walked naked on the streets of the capital in winter, showing off his certain big organ… This is something that no emperor in history has ever done.

In short, many people today believe that the Gao family had a genetic mental illness, and the whole family was like this, very intelligent and very scary.

(Gao yang)

From 1988 to 2002, there was a serial murder case in Gansu, China (which belonged to Northern Qi in ancient times). A total of 11 women were killed, and the murderer was never found.

In August 2016, a DNA test was conducted for another small case, and it was found that the DNA of the serial killer was related to this person. Finally, the serial killer Gao Chengyong was caught.

(Gao chengyong)

Some people say that according to the genealogy, he is a descendant of the Gao family of Northern Qi.

I suspect it’s possible.

His two sons both passed the entrance exams to China’s top universities, which is extremely difficult in China. You need to have a very high IQ at least.

And his behavior… is very much like the cruel but intelligent Gao family in the history books.

I thought my brother’s wife was really “suspicious” at first, and then I later upped my opinion to her being an actual sociopath. At first, she wanted my brother to up his life insurance when they were just dating and eventually tried to get power of attorney. Once my brother moved in with her she showed herself to be a liar, and prone to manipulation and attention-seeking behavior. She disliked my mother and me before she even met me, and always feigned illness or “bad days” when my brother and I (three hours apart) made weekend plans or when he was down for Christmas or on course, as he was in the military.

She could not hold a job because of her mouth and leeched off my brother’s earnings while complaining they could not afford the honeymoon they wanted, or top-of-the-line bikes when my brother found two perfectly fine ones for a deal. After being married for a year, my brother discovered she was having an affair basically since before the wedding and said she was leaving and would take the house and he was gonna support her since she is now a student, having just started a new course online.

After being chipped away at for so long, my brother, one of the most life-loving and outgoing guys, shot himself. She only texted me and my mother when it was too late saying he was threatening it knowing that I was in another province and my mom was 4 hours away, she called no one else to go over. She played the grieving widow at the funeral, crying when she was seemingly supposed to but spent the rest of the time laughing with her friends and family members like some family reunion. To cover her tracks she claimed no affair (despite extracted proof from the link in my bio), that my brother was suffering from PTSD (wives get 500,000 if it’s deemed true) and, that he was an alcoholic and she had been seeking help for him for a long time – no proof of this.

His will is mysteriously missing and she has since blocked my family on Facebook while ignoring what little items we asked for from the house. I hear she is selling everything now to pay for what she won’t be able to afford with her part-time Tim Hortons job she may or may not have. A psychiatrist my mother visited later said she sounded like a sociopath. I spent years witnessing my brother give everything to this monster until there was nothing left and she is continuing to drag his name through the dirt to look like a peach and profit further.

It was my 12 year old niece as she headed into school shortly after her mother died from breast cancer. I stayed to help out after my sister’s funeral for several days. My niece put off going back to school as long as possible, but finally her dad said it was time to go back. I offered to bring her to school. We arranged to bring her in shortly after school started. She didn’t want to arrive at the regular drop off time because she didn’t want to deal with kids staring or trying to talk to her. She just wanted to go into class as it started and make it through the day.

I pulled up to the school and went to get out of the car and walk her in the building. She stopped me and said, “You don’t need to come. I can do this by myself.” I said it wouldn’t be a problem, but she insisted that she needed to do it on her own. I saw her start to walk to the doors and stop a few feet away. It was just a small gesture, but I saw her shoulders slump down and her hand wipe her eyes as she paused for a few seconds. I was going to get out of the car and help her but my husband held my hand and stopped me. Then she straightened her shoulders, stood tall, and entered the school. I know it may seem minor, but to me it was one of the saddest things I’ve seen. Up to that point someone in the family has been with her since the funeral to help her through things, this was the first time she faced something alone. Her mom had been the one to drop her off at school in the morning and now that was gone from her life. That small incident has stayed with me and when I think about it, it still brings tears to my eyes.

 

2:11 PM EST — About one hour ago, U.S. President Joe Biden GRANTED permission for Ukraine to use long-range weapons to attack deep interior Russia.

Developing very fast, check back for updates below.

UPDATE 2:15 PM EST —

There are differing reports coming in regarding this issue. Some of the reports say “long-range missiles” while other reports say “long-range ATACMS”

WHAT ARE “ATACMS?”

The MGM-140 Army Tactical Missile System (ATACMS) is a supersonic tactical ballistic missile designed and manufactured by the US defense company Ling-Temco-Vought (LTV), and later Lockheed Martin through acquisitions.

It uses solid propellant and is 13 feet (4.0 m) long and 24 inches (610 mm) in diameter, and the longest-range variants can fly up to 190 miles (300 km).

The missiles can be fired from the tracked M270 Multiple Launch Rocket System (MLRS) and the wheeled M142 High Mobility Artillery Rocket System (HIMARS).

An ATACMS launch container (pod) has one rocket but a lid patterned with six circles like a standard MLRS rocket lid to prevent an enemy from discerning what type of missile is loaded.

EFFECT ON RUSSIA

The immediate effect upon Russian military forces engaged in the Ukraine conflict is severe. Russia has much of its Ukraine supply lines and spare forces WITHIN RANGE of the longest-range ATACMS.

The ma below, created by the Institute for the Study of War, shows how much of western Russia can now be attacked by Ukriane, using ATACMS:

UPDATE 2:35 PM EST —

The the New York Times is now reporting this story, saying “the Biden Administration has for the first time authorized the Ukrainian Military to use U.S-Supplied Long-Range Missiles, including ATACMS, against Russian Territory.”

So it is not simply ATACMS, it is also Long-range missiles.

It was just last week that the Russian FOreign Ministry took the unusual step of reminding the collective West that allowing Ukraine to use west-supplied, long-range missiles to attack deep interior Russia would make the collective west “parties to the conflict” because those long-range missiles require satellites to guide them to target. THe Foreign Ministry reminded everyone that Ukraine does not HAVE any satellites.

So in order for those missiles to hit targets in Russia, the missiles need active satellite guidance from US/EU/NATO satellites, and that active guidance makes the West “combatants” against Russia.

The Foreign Ministry then said “there mere granting of permission for the use of such missiles will result in an imminent and devastating response against the West.”

As of about 1:00 PM eastern US time today, Sunday, 17 November 2024, that permission has now been given.

Welcome to World War 3.

UPDATE 3:04 PM EST —

Multiple confirmations that Ukrainian forces are preparing for their initial long-range operations against Russia.

(PUBLIC UPDATES CONTINUE BENEATH THE GREEN SHADED AREA BELOW)

COVERT INTEL

 

PREMIUM CONTENT:

This section of the article is only available for Subscribers who support this web site with $1 a week billed either Quarterly ($13) or monthly ($5).

This is necessary because this is a CLOUD-BASED web site. The way it works is YOU READ . . . I GET BILLED for “Data Transfer.”

Despite being politely asked for voluntary donations, few people ever bothered to donate. Then, despite being asked to click an ad within a story to generate ad revenue for this site, the majority of the general public couldn’t be bothered with that, either. So there’s no reason to give the general public free news anymore; they don’t pull their own weight.

With tens-of-thousands of people reading stories here every day, the costs nearly drove the site out of business.

In order to be able to continue providing cutting-edge news, often hours or even DAYS before Drudge and most of the “mass-media” — if they even cover it at all — I need to be able to sustain this effort. To do that, I rely on folks like YOU contributing a pittance of about $1 a week; which is chump-change that you won’t even miss! Yet that small amount makes all the difference in the world to the continued existence of this web site.

In the final analysis, knowledge is power. Getting information first, or info that other sources simply don’t report, is usually well worth a few bucks in the long run.

Please click here to choose a subscription plan in order to view this part of the article.

Subscribers LOGIN to see the story.

*** If you are having trouble logging-in, email: This email address is being protected from spambots. You need JavaScript enabled to view it.

YOU MUST SET YOUR WEB BROWSER TO “ACCEPT COOKIES” FROM THIS SITE IN ORDER TO LOGIN OR ELSE YOU WILL NOT BE ABLE TO SEE PREMIUM CONTENT.

 

UPDATE 4:35 PM EST —

France and Great Britain have now ALSO allowed Ukraine to fire UK and France-supplied SCALP/Storm Shadow missiles deep into Russia.

By these permissions, first from the US, then from UK and France, the collective West has taken the decision to become active parties to the conflict and as such, Russia can now respond militarily to those countries.

We should expect to get bombed here inside the US, from Russia. For months, Russian submarines have been off the Atlantic coast, the Gulf of Mexico, and the Pacific Coast. They are also up around Alaska. Submarine-launched missiles would have very brief flight time before impacting US targets.

Moreover, we in the US do NOT have “Over-the-Horizon” radar protecting us from missiles launched from the south. Such missiles could cross over our coastline and impact deep in Middle America and we wouldn’t know a thing about it until they impacted and detonated.

This decision is the direct responsibility of President Joseph Biden. He is starting world war 3 because his Party lost the election. If they cannot keep power, they clearly intend to burn the world down.

As our cities get bombed by Russian missiles, as our friends and family members get killed, as our way of life gets irrevocably smashed, REMEMBER who caused this when you see the politicians slithering out of their Bunkers, trying to blame everyone but themselves for what has taken place.

THEY are responsible. THEY are guilty.

UPDATE 5:05 PM EST —

As of 2:59 PM eastern US time today, British Prime Minister Kier Starmer is on a PRIVATE JET heading to Rio De Janeiro, Brazil.

What does THAT tell you? It indicates to me that he KNOWS what’s coming, he’s getting his ass far away from the wreckage he thinks is coming. ALL CAUSED BY HIM AND HIS POLITICAL PALS IN THE US AND NATO.

He’s apparently isn’t the only one who knows. At 1:04 AM this morning, I published a story highlighting the strange number of aircraft departing the United States in the wee hours of this morning.

I pointed out there were several HUNDRED aircraft flying out into the Atlantic at 1:04 AM. (Story Here), and that it was very odd to see such a massive number of planes leaving the US on a Saturday night-into Sunday morning.

Given today’s developments, it appears to me the rich muckity-mucks all seem to have gotten “the word” to high-tail it out of the country last night.

PRESIDENT TRUMP’S SON KNOWS . . . .

 

 

UPDATE 5:24 PM EST —

North Korean leader ORDERS military to ‘raise readiness for possible war.’

UPDATE 5:31 PM EST —

RUSSIAN LAWMAKERS WARN OF WWIII

Washington’s approval for Ukraine to strike Russia with U.S. long-range missiles triggered warnings from Russian lawmakers.

A senior member of the Federation Council, Andrei Klishas: “The West has decided on such a level of escalation that it could end with the Ukrainian statehood in complete ruins by morning.”

First deputy head of the Russian upper house’s international affairs committee, Vladimir Dzhabarov: “This is a very big step towards the start of World War Three.”

Chairman of the State Duma lower house’s foreign affairs committee, Leonid Slutsky: “Strikes with U.S. missiles deep into Russian regions will inevitably entail a serious escalation, which threatens to lead to much more serious consequences.”

UPDATE 6:14 PM EST —

The video representation below shows what is now at-stake for Russia as a result of the US, UK, and France decisions made tonight. The areas in color show the effective range of the weaponry now made available to Ukraine by the West:

 

 

As Russia starts being hit by these Western weapons, and Russians start being killed, it is already fully known that Russia will hold the West responsible AND STRIKE BACK.

Tonight the Russian Foreign Ministry issued a special statement on these developments reiterating “If Western weapons strike deep inside Russian territory, our response to the West will be devastating.”

UPDATE 9:33 PM EST —

My son and I high-tailed-it from Pennsylvania to New Jersey to pick up my wife and get her out of here. Too close to NYC.

While we were on the road, I got a call from a source who told me Russian President Vladimir Putin has been in the air from Moscow for about an hour, on his way to the URAL MOUNTAINS where Russia has it’s nuclear bunker. Bear in mind Russia is eight hours ahead of the US east coast, so when I got told this around 7:30 eastern US time, that made it 3:30 in the morning over in Moscow, Russia.

The President of Russia does not board a plane from Moscow to the Ural Mountains at about 3:30 in the morning for drill.

In addition, all of the Russian military’s top brass — AND THEIR WIVES — are boarding planes for the Urals as I type this. They don’t take wives if it’s a drill.

Earlier I reported that UK Prime Minister Starmer was on a PRIVATE JET flying to Brazil Strange that he didn’t use the typical UK military plane. I found out, Joe Biden is down in Brazil as well.

I am now leaving my North Bergen home with my wife and son to head back up to Pennsylvania. It will be a three hour drive. PennDOT has Route 80 all screwed up for construction. They close five miles of road down to one lane, so they can put three trucks to do road work at the end of the five miles. Traffic is a nightmare.

I will update this again when I get to PA.

Hal

UPDATE 12:42 AM EST – MONDAY

My wife, our son, and I, have arrived safely at our home in Pennsylvania. Stopped to fuel-up the two vehicles before coming to the house, so everything is topped-off.

Will make a quick review of all the Intel Comms and info. Updates (if any) to appear below within 30 minutes.

UPDATE 12:55 AM EST – MONDAY —

Zelensky has notified the world – that they are prepping the coordinated strike with those loing-range weapons inside Russia.

 

 

Putin in September this year on the update to their nuclear doctrine – will assume NATO is behind the coordinated attack ( target intel, targeting, equipment supply, equipment manning ) and may engage tactical nukes and will consider such an attack as NATO getting directly involved in war with Russia, we have various response options he said.

 

 

On Sunday, Russia launched 120 drones/missile in a large attack on Ukraine infrastructure and military targets. It is now widely being stated this attack was just a first immediate response to Biden’s move on long range missiles.

It is now also CONFIRMED Zelensky told Trump: Either promise us NATO or we will go with the nuke option within months.

 

RF response – we will not allow Ukraine to build nukes, period.

The TRUTH about the SOUL TRAP… (The Astral Plane was Corrupted?)

Dammit Deano you are now a Handsome Man!

My wife and I have four daughters. One shares our DNA and three do not. They came to us in their early teens from severely abusive homes.

Our second daughter, ‘Beth’ had only been us for about three months and was still learning to trust us.

My wife’s mother, Jane, was visiting. At some point, Beth came from her room with her dirty clothes and dumped them on the floor of the laundry room, then started back to her room to bring down her bedding. From the kitchen Jane said something to the tune of, “I hope you’re not going to leave those there!” Beth responded, “It’s none of your business now, is it?”

Before my wife, who was also in the kitchen, could intercede, Jane said, “You better learn some manners if you’re going to be a member of this family, young lady.”

Before Beth could respond, my wife put her arm around her, looked at her mother, and said something akin to, “Mom, Beth doesn’t have to learn one fucking thing to be a member of this family, she already is. There is nothing she can do to change that. Now apologize to my daughter.” I think Beth was caught off guard that she wasn’t the one in trouble and was being stood up for.

To her credit, Jane did apologize. Beth was, at best, lukewarm in accepting it. As far as my wife was concerned, she didn’t need to accept it at all.

I will say it wasn’t Jane’s intent to be nasty. In her mind she was just reinforcing one of the assumed rules of the house. For her it was the kind of thing grandmothers do.

Later that afternoon my wife took her out on the patio for some mother/daughter time. She explained our home ran differently than the home in which she grew up, and no, this wasn’t a criticism of how she was raised. They agreed that it would be best if Jane refrained from parenting our daughters. Jane wasn’t particularly upset and they moved on to other things.

Surprisingly, at least to us, Beth was cordial to Jane at dinner. This was a victory as in those days Beth often held grudges.

DECEASED 1920’s MAN DESCRIBES THE AFTERLIFE

Interesting.

4a21290a.preview
4a21290a.preview

4a17934a.preview
4a17934a.preview

28888u.preview
28888u.preview

4a116hjggj42a.preview
4a116hjggj42a.preview

4a11642a.preview
4a11642a.preview

4a20846a.preview
4a20846a.preview

4a20203a.preview
4a20203a.preview

4a21127a.preview
4a21127a.preview

4a23396a0.preview
4a23396a0.preview

4a23336a.preview
4a23336a.preview

4a23299a.preview
4a23299a.preview

I had a tomcat called 'pyewacket ' who was a beautifull natured boy, silly funny and got on well with our other 2 cats and all the other cats in the neighborhood, but 6 years ago someone poisoned him, we had to have him put to sleep, this was especially hard because he was only 5 , one sunny summers day I was sitting on the couch thinking about him and how we missed him so, then I thought to myself I wonder if animals pass over to the other side, just then I spied a tiny white feather coming down from the ceiling and settling on my lap, make of that what you will but I'm not a big fan of coincidences , I still have the white feather.

Hello, I am Tibetan. Born in the outskirts of Darjeeling, India and now immigrate to USA.

Should Tibet be apart of China ? Yes. It has been since the old times and we have absorbed most Chinese culture (chop sticks, food, instrument, clothing, language, etc)

Do I seek independence ? Little bit but what will the outcome be if Tibet was independent ? We would probably be invaded by India just like how Sikkim and North East India turned into be. Let me tell I would hate to be apart of India since my last trip to Sikkim. Sikkimese are now second class citizens in their ancestral homeland since Indians breed like rabbits, different race and thinking. We also have racial tensions due to our racist tribalism nature. Also we are mongoloid race and Indians are Dravidians or Aryans so we have nothing in common other than religion or writing. Since the Chinese are Mongoloid race I would rather be apart of them. Seeing Tibet now with devolpment and infrastructure. Many exile unbrainwashed Tibetans would agree with me and go back. The brainwashed tibetans would likely stay in india suffering from racism and living in slums or get their daily paycheck from the corrupt Tibetan government in exile.

Let me tell you I used to be a brainwashed Tibetan listening to these foreign Caucasians and Indians telling me lies about what the Chinese did to Tibet and now I know the truth and I am very angry for them lying to me. Seek the truth.

Today’s MM art

It’s a mixed bag. Lots of nudes, but also many distortions. I’m disappointed.

Many men with woman’s faces, and distortions of various types.

@Default Create a anatomicallyaccurate photo realistic Baroques 1(33)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(33)

Man’s body, woman’s face.

@Default Create a anatomicallyaccurate photo realistic Baroques 0(33)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(33)

Some are fine, but are missing “something”.

@@Default Create a anatomicallyaccurate photo realistic Baroques 1(32)
@@Default Create a anatomicallyaccurate photo realistic Baroques 1(32)

This turned out… interesting.

@Default Create a anatomicallyaccurate photo realistic Baroques 1(31)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(31)

Same with this one…

@Default Create a anatomicallyaccurate photo realistic Baroques 0(31)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(31)

I do love the expression on the face of Bacchus.

@Default Create a anatomicallyaccurate photo realistic Baroques 0(26)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(26)

Nice, but twins?

@@Default Create a anatomicallyaccurate photo realistic Baroques 0(17)
@@Default Create a anatomicallyaccurate photo realistic Baroques 0(17)

Messed up female genitalia…

@Default Create a anatomicallyaccurate photo realistic Baroques 1(16)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(16)

Might be more interesting with some nice clothes…

@@Default Create a anatomicallyaccurate photo realistic Baroques 0(16)
@@Default Create a anatomicallyaccurate photo realistic Baroques 0(16)

Now here’s sort of what I am striving for.

@Default Create a anatomicallyaccurate photo realistic Baroques 1(14)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(14)

And this. But there’s a lot that needs to be corrected.

@Default Create a anatomicallyaccurate photo realistic Baroques 1(11)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(11)

Wow. A lot going on here.

@Default Create a anatomicallyaccurate photo realistic Baroques 0(11)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(11)

A winner… almost.

@@Default Create a anatomicallyaccurate photo realistic Baroques 1(10)
@@Default Create a anatomicallyaccurate photo realistic Baroques 1(10)

So so.

@Default Create a anatomicallyaccurate photo realistic Baroques 0(10)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(10)

The spitting of the wine will need to be photoshopped out.

@Default Create a anatomicallyaccurate photo realistic Baroques 0(5)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(5)

@Default Create a anatomicallyaccurate photo realistic Baroques 0(4)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(4)

Fine.

@Default Create a anatomicallyaccurate photo realistic Baroques 1(3)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(3)

@Default Create a anatomicallyaccurate photo realistic Baroques 0(3)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(3)

This is one of the best of the bunch.

@Default Create a anatomicallyaccurate photo realistic Baroques 0(2)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(2)

And I do like this one…

@Default Create a anatomicallyaccurate photo realistic Baroques 0(1)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(1)

When my father got Hugh Hefner to lend him the bunny jet to evacuate Vietnamese orphans during the Fall of Saigon.

It was part of “Operation Babylift,” an effort to bring about 2,000 displaced children, most of them orphans, to the US amidst the chaos of the American pullout from Vietnam.

In the 60s, my parents started a nonprofit to support Vietnamese children who were orphaned or affected by the war. My mother travelled there several times during the war and came to know the children, staff, and volunteers of some of the orphanages around the country.

Among the volunteers were US servicemen, one of whom picked out my sister for adoption by my family. I also gained an adopted brother in a similar manner.

Through the nonprofit they started, my mother made hundreds of Vietnamese adoption placements, including several to celebrities. During the late 60s and early 70s they built quite an extensive network around their nonprofit activities.

So when President Ford announced the creation of a special fund to expedite the evacuation and adoption of Vietnamese orphans during the Fall of Saigon, my father called Yul Bryner and got him to prevail on Hef to lend them the bunny jet for Operation Babylift.

main qimg eba655076c93d7670f24c5180d71349d lq
main qimg eba655076c93d7670f24c5180d71349d lq

The operation itself was controversial. There were later claims that some of the children were not actually orphans. My mother had been to the orphanages where many of these children came from. She knew the staff and volunteers who worked there. A mixed race kid like my adopted brother Danny had no future in Vietnam. That is certain.

It was a chaotic time. There was tragedy, too.

The first flight out on Operation Babylift, a C-5 cargo plane, crashed shortly after take-off, killing 78 children and 50 adults of the 300 aboard. My parents knew several of them.

I was a teenager when it happened and got to know some of the survivors, who would come to stay with us and live out the trauma of their ordeal in the aftermath. People we knew gave their lives to bring those children here.

Fifteen years later, my father was on one of the first flights from the US to deliver relief supplies to Lech Walesa and the Solidarity strikers in Gdansk, Poland in 1980. He returned with a new suit he bought in Poland for about $15. He called it his “socialist suit” and he was very proud of it.

Interesting.

But the “scary music” is just plain juvenile.

‘Mean’ Cat That No One Wanted Falls In Love With New Dad

Yes, and the mom—my friend—was there. She had two daughters, three years and five-years old. We were all sitting around at my house and she asked me if I had some paper and crayons, so they could draw some pictures.

Of course I said yes, and set the girls up. A while later the oldest came running up with the youngest right behind her. They were both giggling. The oldest handed me the worst drawing I had ever seen. It was a picture of a—what looked like a girl, with short hair that stuck straight up, weird, crooked, pointy, tooth-like, projections, and tons of black dots all over the face. I thought it was a monster. So I said, “wow, nice monster.” Nope. The little girl said—all proud of herself—to me, “this isn’t a monster. It’s a picture of YOU. You’re UGLY.”

I was mortified. I had struggled my entire teenage years with horrible acne that was a result of kidney problems. Although, at this point I only had the residual scarring. I had always been very self-conscious, but it was hardly noticeable at the time this happened. Regardless, I felt like I had just been kicked in the stomach.

Their mother—my friend—looked at me, shrugged her shoulders, and said, “kids are so honest, they just draw what they see”.

Maybe I am just being stupid, but this really hurt my feelings. I kept being nice, but I’m sure she could see the hurt on my face—however, she never acted like it. The visit was over anyway, and she and her spawn left a few minutes later.

I talked to her a few more times. What really ended up being the last straw was when she complained about her oldest daughter’s second grade teacher teaching about dinosaurs. She said that the teacher should NOT be teaching about dinosaurs. “Dinosaurs” she said, “DID NOT EXIST!!!! Dinosaur bones were put there by Satan, trying to TRICK us!!!!!”.

About a year ago, my wife got a phone call STUPIDLY early in the morning. She missed getting the phone in time, but saw what friend it was from, and got in touch with her immediately.

Our friend was incredibly distraught, saying she’d learned she’d married an absolute monster.

My wife and I had been couples-friends with this couple for over fifteen years.

The husband was arrested for crimes against children. Yes, THOSE kinds of crimes against children.

It messes me up to know. I know I just said “we’d been friends for over fifteen years”, but we haven’t lived near each other for a long time. Most of our interactions were incredibly limited to Facebook interactions and a visit to one another’s area once every 4 – 5 years. But still.

It messes us up because you’re SO SURE that you’d know. You think you’re a good judge of character.

My wife is still flabbergasted, to think of it. We agreed that it was so out of nowhere. She told me “Of literally everyone we’ve ever met, if I were being asked to rate how sketchy I thought they were, he wouldn’t have even made the top ten!…”

It’s been so long, and it still messes me up to think about. Why? It’s not like there’s any reason I should have ‘clued in’ that something was going on. There were several people who hung out with him regularly and none of them knew. His brother and parents didn’t know. Hell, HIS OWN WIFE didn’t know—and she’s not a stupid person.

We still beat ourselves up mentally and emotionally, scouring for what potential clues there may have been that we overlooked. But be reasonable: that many people never knew.

But it messes you up. You think that you’d know.

Isn’t it obvious?

The risks are getting rid of the incompetent and the idiots. The future of this country must be premised on having people who can get things done. Protectioinism by tariff shields these incompetent and idiots and hurts severely the consumers. . . and lead only to the deterioration of the economy.

EV is no stranger to the U.S. Our Detriot car makers have been toying with this for decades and in just the last 15 years, we have had hundreds of EV startups – one of which is Tesla leading the way. These startups – with names like Faradays, Lordstown, Fisker, Lucid and Rivian enjoyed and been showered with billions. Yet, the best they have to offer are $45,000 EVs that are more than twice as expensive and not even with quality and features of China’s basic EVs at less than $20,000.

And their excuse is that its unfair trade practice because China provides subsidies?

We have Tesla that set the standard of competition in the Chinese auto market . . . .that should also be allowed to prevail in the U.S. This is how the Chinese EVs evolved and this should be how our U.S. EVs must evolve. European OEMs – specially VW – are doing joint ventures to catch up in the Chinese market and GM and Ford should do the same . . . .or let them perish because they can’t last long anyway with tariff protection.

Life after Death? Communicating with the Other Side

Diggin for fun and other’s profit

I once took a couple of anthropology and archeology courses at Gannon University to flush out my humanity requirements at Syracuse.

These were fun courses, and I pretty much spent all Summer digging up old relics and stuff from the past in and around Erie, PA. Lot’s and lots of “arrow heads”, “scrapers” and ancient hearths.

We would sometimes go on remote digs, perhaps an hour or two drive out of our way.

15e07fd562395bae3f37ca1f711bd6c5
15e07fd562395bae3f37ca1f711bd6c5

In one such dig we encountered a few coins.

We carefully dug them up, and duly recorded them. Ah. It was a grand discovery!

48398b65d056533840445b982895d158
48398b65d056533840445b982895d158

Because of their location, and the history, we suspected that a hoard must have been buried nearby. But it was getting late, so we all packed up and left.

The dig was an old 1700’s era trading center with one main house and a few low buildings. All were long gone, but we were digging there because a damming of the local stream would cause the entire location to be under water.

c96d4ada847659bb553a99b617291590
c96d4ada847659bb553a99b617291590

We came back the next day, and some dunder-head (maybe the dig supervisors) came with a metal detector and unearthed quite a hoard.

We didn’t actually know, but given the size of the hole, perhaps 200 to 300 old coins.

623f38f61b494a19ad23e188fd2bd6c9
623f38f61b494a19ad23e188fd2bd6c9

*Sigh.*

I would have loved to be part of that discovery. Now, some leach that sat by on the sidelines took the swag that we so carefully researched and dug up.

It’s like Working for a corporation in America, eh?

You do the labor. Someone else gets the swag.

*sigh*

Still, I enjoyed the experiences, and they were really fun and interesting to me. I will never forget them. If you all have an opportunity to, take a course in this kind of stuff a the local community college. You might discover how much fun that you will have. I’ll tell you what.

Today…

Have you ever been in the presence of a celebrity but didn’t know who they were at the time?

About 5 years ago, I was delivering food, in the Dallas area. I knocked on the door of an apartment, and a bald headed, muscular man answered. He told me the food wasn’t supposed to come there, but he didn’t seem too upset. I gathered that he had ordered for someone else, but neglected to change the address. But anyhoo, he asked if I’d like a tip. Sure! I replied. He starts digging in his wallet, and I’m expecting a couple of bucks. He pulls out a nice, crisp Benjamin ($100 bill). Now that’s a pretty generous tip, for an order that wasn’t for him in the first place. Then he starts looking, with very penetrating blue eyes, at me, and says “ Remember me. I’m Steve”.

I don’t follow wrestling, but known people who have. I believe it was Stone Cold Austin. Why he was alone in an apartment (not a luxury apartment, but not a crappy one, somewhere in the middle) in Dallas I dunno. He is from Texas (I researched him afterwards), but Victoria, on the Gulf side of the state. Perhaps he had a girlfriend there, or one of his kids, or just a hideaway, when in Dallas. The bill was genuine. It spent at the self checkout at Kroger.

The Thing (1982) | *First Time Watching* | Movie Reaction | Asia and BJ

This.

So I was reading a book called ‘The eyes of darkness’. And another called ‘end of days’.

This book was written in 1981. (Keep this info in mind). It talks about a virus coming in 2020, from China, wuhan and some of it (not all) seems all too familiar. I just found it interesting.

Now I’m not saying the book is all correct. Maybe it’s just probability or coincidence. I think everyone has different beliefs, the virus hasn’t suddenly disappeared yet, but we’re not at the stage where the end is near.

Everyone thinks differently, I’m into conspiracy theories and bipolar doesn’t help, maybe I’m delusional. Not sure, take from it, what you will 🙂

I just posted because I thought it was interesting, it is a fictional book after all.

main qimg 5c8e84d6d420fb165eade2500e373a41 lq
main qimg 5c8e84d6d420fb165eade2500e373a41 lq
main qimg 8e1d075db865ddb670a51958f214ed91 lq
main qimg 8e1d075db865ddb670a51958f214ed91 lq
main qimg a7a8433c86be95b0fd5f3cfb938f6a57 lq
main qimg a7a8433c86be95b0fd5f3cfb938f6a57 lq
 
 
 

Here’s the book if you want to read it. It also predicts mental health issues being the worst and the most increased in the 21st century and a bunch of other stuff which has actually happened.

main qimg cb1c6229cceb3a06ec3568e2c329fccb lq
main qimg cb1c6229cceb3a06ec3568e2c329fccb lq
 
 

Edit: I think the comments are clearly missing my point, as usual (this is quora after all). Yes, there’s plenty of predictions and it’s probably just by chance. Yes not all of them are spot on. I never said this was fact, as it’s a fictional book. I just found it interesting ffs. Not saying you have to believe it. Maybe it’s my bipolar or too many punches I’ve had, like many rudely told me in the comments 😂

Some of these comments, which I’ve reported have been horrible and personally attacking. I didn’t know something I found interesting could offend someone that much😂😁.

I just found it interesting, calm yourself 😉

Putin SHOCKED The WORLD! Russia Dealt a Cold-Blooded BLOW on the U.S. in ARCTIC!

This is from cracked.com, whose writers always seem to be able to find the strangest examples I could never even dream of

In the late 1960s, Leonard Casley grew way too much wheat, which could only ever be a serious problem if you live in Australia. You see, Australia had wheat quotas at the time and Hutt River (the province where Casley and other families grew) had inadvertently surpassed it, meaning they weren’t allowed to sell any of it. When they petitioned for the quota to be raised, the governor responded by saying, “No,” and filing a law to take their land away. THAT’S how serious Australians are about wheat.

In a desperate attempt to delay the legal process, the five families of Hutt River seceded from Australia under the Treason Act of 1495. This would have been as pointless as that time you were five and told your mom you were leaving home… if the government hadn’t accidentally referred to Casley as “Administrator of Hutt River Province” in official correspondence, which actually gave him legal recognition as a ruler under Australian law. Yes, in Australia, calling someone something magically turns them into that.

Taking full of advantage of the mistake, Casley declared himself His Majesty Prince Leonard I of Hutt, meaning it was now treason, under Australian law, to charge him with any crime or interfere with how he ran his new country.

Could Australia have stopped him? Sure. But by the time they got around to it, the statute of limitations had run out. So as of 1972, The Principality of Hutt River had officially seceded from Australia and stopped paying income taxes.

As of the modern day, Hutt River is still separate, while Australia treats it as a private business that doesn’t pay them taxes and just tries, really hard, to pretend it’s not there.

Jumpin’ Jack Chili

fd96fd27d2be75184253b6d5cf6ac891
fd96fd27d2be75184253b6d5cf6ac891
 

Yield: 4 servings

Ingredients

Chili

  • 1 cup onion, diced
  • 1 teaspoon olive oil
  • 1 (4 ounce) can chopped green chiles, undrained
  • 2 cloves garlic, minced
  • 1 1/2 teaspoons ground cumin
  • 2 (15 ounce) cans great Northern beans, drained and rinsed
  • 3 1/2 cups chicken broth
  • 2 cups cooked chicken, chopped (rotisserie chicken can be used)
  • 1 cup (4 ounces) Wisconsin Monterey Jack Cheese*, coarsely grated and divided
  • 1 cup (4 ounces) Wisconsin Colby Cheese, coarsely grated and divided

Toppings

  • Crushed corn chips, sour cream, chopped green onions, olives, chopped tomatoes, oyster crackers, goldfish crackers, bacon

Instructions

  1. Cook onion in hot oil in heavy stock pan (Dutch oven) over medium-high heat, stirring until tender.
  2. Add green chiles, garlic and cumin; cook 2 minutes, stirring constantly.
  3. Add beans and chicken broth, stirring well. Bring to boil; reduce heat, and simmer for 20 minutes.
  4. Add chicken, 1/2 cup Monterey Jack and 1/2 cup Colby Cheese; simmer over low heat for 10 minutes more.
  5. Ladle chili into bowls. Top each serving with remaining cheeses and desired toppings.

Notes

* Or use Pepper Jack or Jalapeño Jack

Why Eastern Europe Is Safer And Better To Raise A Family In Than The West

Who is behind the coup? We as an outsider dont know yet.

I only notice 1 thing:

Bangladesh went to China & signed an infrastructure deal with China.

The moment she went home, she tore the deal with China & accepted a contract/agreement with India to build a bridge or something.

Case closed, we thought No, a riot/coup broke out.

Both USA & India are notorious to instigate riots/coups in other countries so as to make others bow down to them. USA, global. India, southern Asia. Both do assassination too.

History will tell us who is the culprit. Let us wait.

Sri Lanka experienced a riot too when it joined an infrastructure project with China. It took a few years before the truth surfaced, after American scholars did a research. In the case of Sri Lanka, it was India who instigated the riot. But it was USA who spread the fake news re Debt Trap. Now the Debt Trap has proven fake after 10 years. But riots/coups still can happen.

On the Way to Paradise

Submitted into Contest #24 in response to: Write a story set in the dark recesses of space where the two main characters are often at odds with each other in humorous and comedic ways. view prompt

 

Cory Pines

“You told me this would be a short trip. We’ve been on this wretched ship for twelve years!” Halo roared.”I know, but I broke the digital map and-“”I don’t care what happened! I paid you a good handful of money and I expected to be home long before now!”Halo had the perfect life back on Earth, but he just had to pay Mirabella almost three billion to take him to Tariphor, the most beautiful paradise planet in the galaxy. The trip was only supposed to be nine years in total, but the journey to Tariphor had stretched out to twelve! Halo had spent most of the time in hypersleep, but they had recently hit some strange turbulence that woke him.”Sir, I told you before we left that I was only an amateur pilot. I just got out of flight school, too,” Mirabella tried to explain.”That was twelve years ago! You should be better by now, Mire,” Halo complained.

They had left Earth when Halo was only 23 years old. He had spent his golden years of life in hypersleep and he was just as close to Tariphor as he was when still on Earth’s surface.

Mirabella wasn’t that happy about it either. She was 19 when they left and, unlike Halo, had actually begun to age. She wished she could’ve been the one frozen in some fancy tank. She missed her family more than anything, wishing she could just turn around and head back to Earth, but she didn’t know which way home was anymore.

“Well, what would you like me to do about it?” Mirabella asked. She didn’t mean for it to sound as angry as it did, and was simply curious, as she had run out of things to try and was willing to do anything to get back home.

“Well, you’re the pilot. You should know what you’re doing!”

“If you don’t have anything to contribute, you can just go back to bed,” Mirabella stated flatly.

“You’re insane if you think you can tell me, the youngest self-made multi-billionaire, what to do,” Halo said, “Now, where can I get a bite to eat?”

“We ran out of food three days ago,” Mirabella admitted.

“Were you not rationing it properly?” Halo asked.

“I made food for nine years last for twelve, I think I did a fine job rationing,” Mirabella said defensively.

“What about that?” Halo asked. He pointed to a small blue cube placed on the chair next to Mirabella’s. He had never seen anything like it, but it looked a little like Jell-O. He hoped it was edible. After all, the backlash of waking up from hypersleep gave him quite the appetite.

“Don’t you dare try to eat him. he’s some sort of space creature, not food. I think he’s sleeping,” Mirabella said.

“It looks delicious.”

“You’ll probably flip your organs inside-out if you eat him. Then, I’ll have to find a way to revive you, and suddenly kill you again for your stupid decision… After that, I’ll revive you a second time since I really don’t want to be alone in the endless abyss of space.”

“Could you even do that?”

“Not at all. In reality, you’d just stay dead,” she shrugged.

“How did this thing even get on the ship?” Halo asked.

Just as Halo was finished speaking, the blue Jell-O like creature, opened its eyes. They were huge, covering half the creature’s boxy face. It hopped up onto Mirabella’s shoulder.

“I let him in,” Mirabella said.

“What if that creature tries to kill us?” Halo asked.

“He’s, like, five inches tall. How would he?” she asked.

Halo eyed the creature warily. He didn’t really trust the thing, but Mirabella was right; it was small and Halo knew he could easily overpower it if he needed to.

“At least tell me you didn’t name it,” Halo said.

Mirabella didn’t answer.

“Oh my God, why? Why in the world would you name it?” Halo asked.

“I call him Berry,” Mirabella admitted, fiddling with her hands.

The creature, Berry, looked up at Mirabella when he heard his name. Halo watched as the creature snuggled down and began to purr. He had to admit that Berry was cute, but that still didn’t mean he trusted the thing.

“You shared our food with it,” Halo said.

“I couldn’t just let him starve!” Mirabella replied.

“I mean, you could’ve. Then at least I would have something to eat,” Halo said. Halo was a little upset that Mirabella cared more about feeding a space creature than a human that paid her three billion dollars.

“Come on, just look at him, he’s so cute,” Mirabella said. Mirabella picked the creature up off of her shoulder and held it in her hands.

“I don’t see it,” Halo said.

Suddenly, a loud buzzer went off and the ship started to flash red.

“What in the world is happening!” Halo shouted over the buzzer.

“I don’t know, the ship hasn’t acted like this since the map broke!” Mirabella shouted back, “I need you to hold him.” She passed Berry over to Halo who took it slowly and held it as far away from his body as possible.

Mirabella rushed over to the control panel and began to fidget with the levers and buttons. Halo approached her slowly, still holding Berry at arm’s length, to watch.

“What is it?” he asked.

“I can’t tell, just give me a sec,” Mirabella replied.

At that point, Berry hopped out of Halo’s hands and landed on a small square button. Suddenly the sound stopped, though the lights of the ship were still flashing.

“I know what it is,” Mirabella said.

“What, what is it?” Halo asked, dying to know.

“We’re almost out of fuel, the ship is warning us that we need to find somewhere to land,” Mirabella explained.

Halo looked around the ship and through the large windows. Outside all he saw was blackness, stars, and the occasional comet, not of which were big enough for their ship.

“How can we do that, there is nothing but space out there!” Halo shouted.

“Duh there is nothing but space. We’re in space, Halo!” Mirabella shouted in response.

“We’re going to crash, we are going to crash and I am going to die. I still had my whole life ahead of me. Finding a partner, raising a family, adopting a hundred and one cats just because I can,” Halo complained. He began to pace back and forth, rushing his hands through his hair as he spoke.

“You’re probably right,” Mirabella said, “I don’t think there is any way for us to survive this.”

“That’s not what I wanted to hear!” Halo shouted. He paused from the pacing to face Mirabella, “Instead of living out my perfect life, I am going to die in space. With nothing but you and that creature to keep me company.”

“Well, what do you suggest we do instead?” Mirabella asked.

“I don’t know Mire, I’m not a pi- hold on what is that thing doing?”

Mirabella turned to see than Berry’s Jell-O like skin was changing from blue to red. He was also growing.

“He’s never done that before,” Mirabella admitted.

They stood back as Berry grew more and more until he went from 5 inches tall, to 5 feet. The thing was huge.

“What do we do, what do we do?” Halo asked, quickly hiding behind Mirabella.

Mirabella slowly walked up to Berry with her arm outstretched. The cube eyed her cautiously as she reached out and placed her hand on its head. That must have really ticked him off because his skin began to change quickly between colors, and it seemed to scream out in pain. Mirabella quickly backed up to the edge of the ship were Halo was cowering.

“What did you do?” Halo shouted.

“I just touched him,” Mirabella said.

“That’s it, we’re going to die,” Halo said.

“Yes, we already agreed on that.”

“Mire, we need to get outta here,” Halo said.

“We can’t leave Berry though, he’s my friend!” Mirabella said.

“Your friend is a space monster, now come on!” Halo announced.

He grabbed her hand and yanked her through the ship’s door to the hypersleep pods. He quickly locked the door behind him and only seconds later they heard a Jell-O like squish banging on the door over and over.

“Alright, what’s the plan?” Halo asked.

“Maybe we can just let Berry in and the three of us can talk it out,” Mirabella suggested.

“Mire, I’m not sure if you’ve realized this or not, but that thing is trying to murder us,” Halo said.

“He’s just scared,” Mirabella said.

“Maybe you didn’t hear me the first time, that thing is trying to murder us!” Halo said, his voice rising until he was shouting at her.

“This is all your fault. If you had never woken up, Berry wouldn’t be so upset,” Mirabella stated.

“My fault, you think this is my fault? You were the one that woke me up when you hit turbulence, you have no one to blame but yourself,” Halo replied.

“Maybe you should have been nicer to Berry.”

“Maybe you shouldn’t have brought on a weird space creature.”

“Maybe you should-” before she could finish, the door was knocked down and the giant, now green, Berry bounced into the room.

“What do we do, and don’t try and approach it again, that just made it angrier,” Halo said.

“Hey, Berry,” Mirabella said, “How are you buddy. I know that Halo can be kinda mean sometimes-”

“Hey!”

“Shut up,” she whispered harshly before continuing, “But just because he’s a little mean, it doesn’t mean you should kill him. We can talk through this, I just need you to shrink back down, okay buddy?”

The creature took a step closer to the two humans. Halo grabbed Mirabella’s hand and tried to pull her back to him, but she stayed standing in front of Berry.

“I don’t think this is working…” Halo said.

“What do you suggest we do instead, we are going to die anyway, either by this creature or by crashing!” Mirabella shouted.

“So we should just give up?” Halo asked.

“Why not? It’s not like we have anything left to fight for. Even if by some miracle we manage to get out of this, we still have no idea how to get home,” Mirabella said.

“We can figure that out,” Halo said. He couldn’t explain this sudden spark of hope came from, but he really didn’t want to die here, especially at the hands of a blue cube. He wished he would have thrown out the cube while it was still small, but it was too late now for ‘what if’s.’

“I’m gonna let him eat me,” Mirabella said. She yanked her hand away from Halo’s grasp and stood her ground.

“You’re gonna what?” Halo asked, shocked.

“I let him onto this ship, the least I can do for it is provide him with a final meal,” Mirabella said.

“What about your family?” Halo asked, trying to convince her to stay.

“It’s been twelve years! Halo, what’s even the point of going back, nothing will be how it was. People have probably forgotten all about us,” Mirabella said.

“How could anyone forget about me?” Halo asked.

“Halo, listen, we don’t have a plan to get back to Earth. We don’t have a plan to land the ship. We don’t have a plan to calm down Berry-”

“We could kill him,” Halo suggested.

“We are not killing him. My point is, in the last moments of our life, we might as well do something for this little creature.”

“I wouldn’t call him little anymore.”

“Are you with me or not?” Mirabella asked.

She held out her hand for Halo to take. This was insane! He couldn’t believe that he was actually thinking about joining her. Mirabella was crazy to even want to let Berry eat the two of them, and yet, Halo couldn’t stop himself from grabbing her hand.

Mirabella smiled before the two of them turned to face Berry. Halo closed his eyes as they walked towards the creature. He could feel himself being absorbed into it before he lost all control over his body and blacked out.

Halo awoke with a gasp, he was breathing heavy. Did he really just do that? Was he dead? Was this heaven? He was sitting in a bed, hospitalized. He looked around the see Mirabella was laying in the bed next to him. There were wires and tubes all around them, some of them going into his body.

Then Halo spotted it. Berry was sitting on the nightstand, once again five inches tall. He had taken back his original blue color and was staring up at Halo. Where was he? Had this all just a dream?

The door to the room opened and a doctor walked in holding a clipboard.

“Ah, Mr. Tharen, you’re up,” the doctor said.

“Please, call me Halo, and can you explain to me what happened?” Halo asked.

“Of course,” she began to walk around the room, checking the tubes and making small notes of her clipboard, “It’s been fourteen years since you left Earth, though, by the looks of it, you barely aged a day. Most people here thought that you were dead, died in a crash or something, but two weeks ago, this creature-” she nodded her head over to Berry- “brought you back. We’ve been reviving you and Miss Miller ever since.”

“You mean to tell me that creature… saved me?” Halo asked.

“Yes, it appears to have a soft spot for you. It never even left your side. Tell me, are you feeling alright? Do you need anything?” the doctor asked.

“Just some water,” Halo said.

The doctor left. Halo turned and stared at Berry who just stared back blankly. A small smile appeared on Halo’s face.

“Thanks,” he whispered, “Thanks for bringing us home.”

Neocons closer than ever to war with Iran

There was once a time where doe-eyed Americans thought that capitalism was a just system that rewarded hard work and innovation. Supply was dictated by demand. Seemed simple, right?

Then Ronald Reagan came along and lowered corporate taxes from 70% to 28%. The theory was that companies would make more money and those profits would “trickle down” to the consumers.

What ACTUALLY happened was that corporations could now set whatever prices they wanted and the rich could store their wealth in offshore tax havens. The money would get to the top and be shipped overseas, only to be used by the wealthy to influence policy, and further exploit the worker in exchange for lavish lifestyles and ridiculous qualities of life.

The government continues to subsidize oil companies despite them posting record profits year after year. Why is the government doing this? Hmm….

Gas and oil prices can come down 30% and the suppliers would still make a profit, but the consumers would enjoy a better quality of life. That’s not what the rich want. They want more. It doesn’t matter if the poor suffer, they’re getting theirs.

The president has nothing to do with gas prices other than to propose policies to congress that would regulate those prices more… but then lobbyists come along and petition representatives to ignore regulations and increase tax cuts. trump cut taxes to companies from 35% to 21% and we lost 2–3 trillion dollars (depending on who you ask) from the budget. That money went straight to the top, but congress will tell you that adding 2 trillion to the federal budget in the form of healthcare and student loan forgiveness is unsustainable.

Know what’s unsustainable? UNFETTERED FUCKING CAPITALISM! That’s what.

Bernie has only been perfectly correct for about 45 years now.

MM’s latest works

Still playing around. Lots of nudes, and trying to mess around a bit with historical themes.

Angels…

@Default Create a anatomicallyaccurate photo realistic Baroques 1(17)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(17)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(17)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(17)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(16)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(16)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(16)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(16)

Ancient Chinese rulers…

@Default Create a anatomicallyaccurate photo realistic Baroques 1(14)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(14)
@Default Create a anatomicallyaccurate photo realistic Baroques 2(13)
@Default Create a anatomicallyaccurate photo realistic Baroques 2(13)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(13)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(13)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(12)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(12)

Crete and cooking…

@Default Create a anatomicallyaccurate photo realistic Baroques 2(11)
@Default Create a anatomicallyaccurate photo realistic Baroques 2(11)

Female Bacchus….

@@Default Create a anatomicallyaccurate photo realistic Baroques 1(7)
@@Default Create a anatomicallyaccurate photo realistic Baroques 1(7)
@Default Create a anatomicallyaccurate photo realistic Baroques 2(7)
@Default Create a anatomicallyaccurate photo realistic Baroques 2(7)

Same theme, but at a pool taking orders from a cat.

@Default Create a anatomicallyaccurate photo realistic Baroques 1(6)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(6)

Now as a man, instead of a woman…

@Default Create a anatomicallyaccurate photo realistic Baroques 2(5)
@Default Create a anatomicallyaccurate photo realistic Baroques 2(5)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(4)
@Default Create a anatomicallyaccurate photo realistic Baroques 0(4)
@Default Create a anatomicallyaccurate photo realistic Baroques 2(4)
@Default Create a anatomicallyaccurate photo realistic Baroques 2(4)

Russia successfully tests ” Burevestnik ” global range cruise missile, US panics

1.) Do you recognize what is this place?

Old Summer Palace – Wikipedia

Here is the description from wikipedia:

Widely perceived as the pinnacle work of Chinese imperial garden and palace design, the Old Summer Palace was known for its extensive collection of gardens, its building architecture and numerous art and historical treasures. Constructed throughout the 18th and early 19th centuries, the Old Summer Palace was the main imperial residence of Qianlong Emperor of the Qing dynasty and his successors, and where they handled state affairs..

This was looted and destroyed by the joint Anglo-French expedition in 1860 in the opium wars of the British against the Chinese. If you visit a French or English museum, one can count how many of those museum items came from the Old summer Palace. It is difficult to grasp the implication of this by just looking into the ruins in the picture. This is almost an equivalent of destroying an area and significance almost as big as the National Mall in Washington with all its buildings including the White House.

Here is a reconstruction of what might the Old Summer Palace looks like today if it was not destroyed.

 
 

In 1890, 35 years after the destruction of the Yuanming Yuan (圆明园) (the Chinese name of the Old summer palace), Japan seized the opportunity of the weaken Qing China and seized Taiwan and repeatedly attacked China all the way to WW2.

2.) Do you know why the Chinese authorities did not attempt to rebuild this place even today with all the wealth by China? Nor did the authorities convert this valuable real estate into something profitable development area. This is to teach the Chinese and future Chinese descendants how much the country was humiliated and suffered by foreign powers when the country was very weak. See that green area here, all of those are part of the old summer palace. That is how valuable this real estate is relative to its surroundings.

The Chinese diaspora to all over the world in the late 1800s to early 1900s, is the testament of how much the Chinese people are suffering by foreign hands.

3.) In 1949, a glimmer of hope arose in China and unified once again this ancient culture. A peasant named Mao Zedong, against all odds was able to unify China after the bloody Civil war. It took almost a century from the Opium wars until in 1949 that there was a sense of unity and hope in this ancient land.

4.) In 1950, Mao tried very hard to recover Taiwan from the retreating Nationalist forces, but the Korean war erupted and US and UN forces pushes all the way to Yalu river and war was knocking in China doorsteps. The Chinese leadership was forced to respond to the Korean war. Thus the campaign to recover Taiwan was pushed back. The Korean war, and the loss of mainland China to the communist, lead to an isolation of China from the 1950s to 1970s.

5.) Fast forward today in 2021, Taiwan is still not reunited to China. Foreign forces are still colluding to make Taiwan separate from China. This collusion of foreign forces, the Anglos, the Japanese and now the US, is the same theme as it was when Qing China was attacked, bullied and humiliated in the Opium wars.

Sure some or most young Chinese within China or the descendants of the Chinese diaspora outside China do not care anymore with this historical event that happened 170 years ago. But if you talk to Chinese parents or grandparents how their parents and grandparents suffered because of this foreign humiliation, you will realize almost all Chinese two to four generations ago suffered so much and paid dearly to unite China. Personally, I will never forget how my grandfather told me his story that they have to remove the leather of the shoes, put some water to have something to eat during those Japanese invasions. He told me this story when I was 10 or 11 years old, and I also tell this to my children. They sacrificed so much for us Chinese descendants to have better lives than they had. These kind of stories are repeated in millions of Chinese.

The division of China and Taiwan is the reminder of the imperialism of foreign powers. The remaining symbol of suffering of the Chinese people. This is even visible with North and South Korea, which echo the same thing, division of people of same culture because of foreign imperialism.

So these are the historical, cultural and emotional reasons why Taiwan must be reunited to China. It is the remnant of the humiliation and suffering of the Chinese people from foreign powers. Uniting Taiwan back to China will provide a closure of this painful past.

Joe Rogan: “What They Just Found Hidden In Egypt SHOCKED U.S. Scientists”

 

I am a Chinese national. George Orwell’s novel *1984* paints a bleak picture of a totalitarian regime. My son first encountered this novel in the fifth grade. He gave up after reading only 15 pages. He told me that the book felt overwhelmingly gray, like the color of concrete, which left him feeling depressed and unable to continue.

If you’ve read this novel, you can always sense the oppressive nature of totalitarianism through its myriad details: the dilapidated living conditions, the meager food rations, the extreme control over language, the state-level lies, and the eradication of sexual desire.

This book is perhaps revered by anti-communists worldwide. During the Soviet era, it was lauded as a vivid portrayal of Stalin’s rule. After the Soviet Union’s collapse, it has occasionally been used by critics of China as a metaphorical critique.

Coincidentally, today marks the first sunny day in my city, Xuzhou, after several days of heavy rain. The blue sky and sunshine felt especially precious after the long spell of gloom. While driving to the supermarket at noon, I noticed several pedestrians taking photos of the Xuzhou sky with their phones. I joined them, taking pictures at every traffic light.

Interestingly, these photos inadvertently captured street scenes of Xuzhou. Let’s compare Orwell’s depiction with these freshly taken photos (just two hours ago) and see if you can find any hints of “China lacking freedom” in them(Since I took the photos through my car window, the UV-protective glass filtered some of the sunlight, making the colors in the images appear slightly darker).

I was driving on Zhongshan Road in downtown Xuzhou, named in honor of Sun Yat-sen, the founder of the Republic of China. Yes, the same Dr. Sun Yat-sen whom the Taiwanese refer to as the “Father of the Nation.” You can see the Audi and Volkswagen cars in front of me, both with green license plates. In China, there are three colors for license plates: blue for gasoline-powered cars, yellow for trucks, and green for electric vehicles (EVs). In my city, EVs are rapidly replacing traditional gasoline cars. Ordinary Chinese people have dozens of car brands to choose from.In recent years, promoting environmental protection, ecological preservation, and love for the Earth has been one of the primary focuses of the Chinese government’s public campaigns through all forms of media:

 

The square building on the right is the largest bookstore in our city. The first to seventh floors are open to the public, while the upper floors are office spaces. The bookstore is called “Xinhua Bookstore,” which means “New China Bookstore.” It is currently the largest bookstore chain in China. This place holds the fondest memories of my childhood; I always asked my father to take me there every weekend to read, though we rarely bought any books because we were very poor. Nowadays, children don’t see buying books as a joyful experience. To attract more visitors, this bookstore has dedicated areas for foreign imports and textbooks, along with numerous seating areas, bakeries, and cafes where customers can sit on sofas, enjoy some cake, and read books:

 

This 52-story skyscraper is the tallest building in Xuzhou. It is designed as five skyscrapers of varying heights arranged in a specific pattern. The other four buildings, which are only 15-20 stories tall, are obscured by the taller structures. This design was inspired by the shape of Buddha’s hand in Buddhist tradition. The skyscraper is a commercial complex that includes a hotel, shopping mall, dining center, office spaces, an ice rink, a cinema, and more. It is the fashion hub of Xuzhou. Some film companies occasionally recruit fashionable girls there. The building is named “Suning Tower.” “Suning” means “sun” in English, and in Chinese, it signifies “peace in Jiangsu Province.” The investor, a large home appliance retail chain, is based in the capital of Jiangsu Province.

At night, the entire glass facade of Suning Tower transforms into a screen, displaying commercials and messages of love throughout the night. Yes, if you spend some money, you can have your girlfriend’s photo or name projected onto this giant screen, letting the whole city see your love for her:

 

The black skyscraper in the center of the image is currently under construction. This building is being funded by the famous Chinese food company “Yurun Group.” Unfortunately, shortly after completing the podium, the group experienced a financial crisis and filed for bankruptcy protection. This left the skyscraper’s fate uncertain. After a two-year halt in construction, a government-funded investment company took over the project and partnered with the renowned Chinese commercial enterprise “DeJi” for its development. As a result, the building was rapidly completed and is now set to open soon. With approximately 60 floors, it will surpass Suning Tower to become the tallest building in Xuzhou:

 

I checked the temperature, and it’s 37 ℃ outside the car. When I was a child, this would have been unimaginable. The many days of heavy rain haven’t cooled the weather. As soon as the sun comes out, the temperature quickly rises to an unbearable level:

 

The building on the left is the city’s “University Student Entrepreneurship Service Center.” Xuzhou is home to several universities, including China University of Mining and Technology, Jiangsu Normal University, Xuzhou Medical University, Jiangsu University of Technology, and Xuzhou Institute of Technology. Each year, a large number of graduates are welcomed. The government encourages them to use the skills they acquired in university to start small businesses. Most students receive loans based on their business plans, with varying amounts of funding. These loans, provided by the government, come with low or zero interest rates, aiming to help young entrepreneurs develop competitive businesses. Key industries in Xuzhou include pharmaceuticals, construction machinery, and polysilicon:

 

The Current Status of the Deji Plaza Construction:

 

On the left side, you’ll find a hotel and an art school. The high-rise on the right is a continuing education college affiliated with a university. Since the main campus is located far from the city center, the university has rented this building in the city to make it more convenient for students to attend classes during their spare time:

 

One of the businesses is a travel agency called “International Travel Agency,” indicating that it handles outbound travel services. The other is a store that specializes in selling “wǔ liáng yè,(五粮液)” a renowned Chinese brand of liquor. This is a high-proof spirit:

 

Crossing this intersection leads to Jianguo Road, the financial district of Xuzhou. The street is lined with banks, numbering in the dozens. In China, banks are categorized into three types: state-owned banks, private banks, and foreign banks. The tiered building visible in the photo is a branch of the Agricultural Bank of China in Xuzhou.

The pink high-rise behind it, along with the surrounding streets, is Xuzhou’s computer products district. During the PC boom, the pink building was a favorite spot for local youth. Many young people, obsessed with the internet, would play games at night and sleep during the day. Some restaurants capitalized on this trend by staying open late to cater to those working in the internet industry. Although PCs have been replaced by mobile internet and business in the computer district has declined, the nearby restaurants continue to thrive and have become one of Xuzhou’s popular food districts:

 

Xuzhou Metro Line 2’s “Xima Tai Station.” Xuzhou currently has six metro lines, with three already in operation and the other three under construction. Additionally, there are plans for four more lines that are currently under government review. In China, only the State Council has the authority to give final approval for metro projects. This is because metro construction requires a substantial investment, and once operational, it necessitates ongoing large expenditures. As a public transportation system, it may not be profitable. Therefore, only cities that meet stringent criteria in terms of size, population, economic output, and traffic volume are eligible to apply for metro projects.

The name of this metro station is “Xì Mǎ Tái,(戏马台)” which is a historical site. About 2,200 years ago,Xiàng Yǔ(项羽), a renowned monarch and tragic hero of the Han Dynasty, trained his war horses on a nearby hill. Since then, the phrase “training war horses in the cold autumn wind” has become a well-known Chinese idiom. In Chinese, this story is written as “秋风戏马,(qiū fēng xì mǎ)” which evokes the image of a hero, aware of his inevitable failure, preparing diligently with his horses amidst the chilly autumn wind, ready to face the battle without fear of death:

 

This is the “Street Police Station” in Xuzhou. This small glass booth serves as a police station and is set up at several major intersections. There are three main purposes for these stations:(1)They enable quick dispatch to handle emergencies and sudden incidents.(2)Each station is equipped with multifunctional computer systems where citizens can manage various personal affairs, such as reporting or applying for an ID card, handling traffic accident reports, obtaining government documents, applying for passports, and dealing with driving-related matters.(3)They also provide amenities like hot water, common medications, air pumps, umbrellas, and reading glasses for the public’s use.

I am familiar with these police stations because they have a fourth function: traffic violation education. I once forgot to wear a helmet while riding my electric bike and was required by the police to attend a 40-minute traffic safety education session via online video at this station. Sometimes, people must also complete an online test on their phones before they are “released”:

 

After turning left at the intersection, I entered Liberation Road. The Yellow River crosses this road, and China’s first major east-west railway line, the “Longhai Railway,” also runs across it. Consequently, a bridge and a railway underpass have become major traffic congestion points. A few years ago, the Xuzhou city government constructed an overpass that spans above both the Yellow River Bridge and the Longhai Railway Bridge, effectively alleviating the traffic congestion in the area.

During the construction of the overpass, there was a small “protest.” Before the new overpass could be built, an old bridge over the Yellow River, known as the “Dike Bridge,” needed to be demolished. The name “Dike Bridge” carried historical significance. However, after the new bridge was completed, the government named it something new. Local residents disagreed and prevented the construction workers from installing the new nameplate. In the end, the government yielded to the protest and restored the name “Dike Bridge” out of respect for its historical importance:

 

I originally planned to capture images of drug users, robbers, people urinating in public, slums, and thieves in Xuzhou. Unfortunately, I can’t photograph things that don’t exist. So, I ended up taking random photos and sharing an overview of an ordinary city in China with you. I apologize!

p.s.

My city, Xuzhou, is a tragic city. Historically, over 400 battles took place here among all the wars in China. It has been a military stronghold and a core area contested by ancient emperors. A Yuan Dynasty poet once described Xuzhou in his poem:

古徐州形胜,消磨尽、几英雄!

想铁甲重瞳,乌骓汗血,玉帐连空,

楚歌八千兵散,料梦魂应不到江东。

空有黄河如带,乱山回合云龙。

汉家陵阙起秋风,禾黍满关中。

更戏马台荒,画眉人远,燕子楼空。

人生百年如寄,且开怀,一饮尽千锺。

回首荒城斜日,倚栏目送飞鸿。

 

This is the translated version:

From ancient times, Xuzhou’s location has meant it could never escape the ravages of war. Countless heroes have lost their lives here!

The general, clad in iron armor and riding a host of prized horses, had barracks so vast they seemed to stretch into the clouds. When his soldiers fell, his own spirit could not return home, leaving only the Yellow River and a mountain rising like a dragon in the clouds, here in Xuzhou.

The Han Dynasty emperors’ tombs were constructed in the chill of autumn winds, and no one can ultimately escape their fate.

The high platform where the hero once played with his war horses has been desolate for a thousand years. The passionate beauty has long passed away, and the grand tower where she waited for her lover now stands empty.

Life feels like staying at an inn. Why not drink joyfully and have a thousand cups? After becoming drunk, look back at the endless wilderness beyond the city walls, and as the sun sets, lean on the railing and watch the distant wild geese depart.

“You’re Being Slaughtered & You Don’t Realize It!” – US Dollar Collapse

This insight is gold. 2008 was when it all hit.

  • On November 25, 1950, the U.S.-ROK allied forces occupied half of the Korean Peninsula and were about to reach the Yalu River border between China and North Korea.
  • On July 27, 1953, the Chinese and North Korean allied forces again drove the U.S. and South Korean allied forces south of the 38th parallel.

The Chinese People’s Volunteers (CPV) started at the Yalu River and ended at the 38th parallel. This was a very successful victory.

The death data of 197,653 Chinese soldiers is indeed greater than the death data of 54,246 US military personnel. The death data of Chinese soldiers is 3 times that of the US soldiers.

But is the death figure of 197,653 Chinese soldiers smaller than the total US+UN (non-human) death figure of 683,079?

I’m not good at math and can’t tell the difference between the size of the numbers, so I invite netizens to compare. 😅

In addition to American soldiers, those who participated in the Korean War included soldiers from South Korea, the UK, Canada, Turkey, Australia, the Philippines, New Zealand, Thailand, Ethiopia, Greece, France, Colombia, Belgium, South Africa, the Netherlands, and Luxembourg.

Soldiers other than the US military were not human beings. They were completely wiped out by the Chinese People’s Volunteers (CPV) and were not worth mentioning at all. 🤣

All I know is that by the time the Chinese People’s Volunteers (CPV) entered the Korean battlefield on November 25, 1950, the North Korean army had suffered most of its casualties.

most of the battles after November 25, 1950 were fought by the Chinese People’s Volunteers (CPV) .

 

Lucille Greye

“Who would believe that I, the great Lish Ryn, would be brought to my knees by the little Nadyr?”Telen Fogg, the tall android sighed. “Lish. How many times have you said that exact same thing? Look. So what if Nadyr got the Zarkot first? My friend,” Telen smiled, “this can only be good. We can easily steal the Zarkot back from him. It’s most likely in his ship, the Angyl, and it probably isn’t even protected.”Lish spun her pilot’s chair away from the cockpit’s window and faced Telen. Her long, golden hair framed her face. With the combination of her hair and bright green eyes, she stood out against the white leather and silver computers behind her. “And how do you propose we do that?” Her voice was flat, angry. “I am completely tired of being humiliated and undermined by that Bos’ii snake! He took my Zarkot and left me stranded in a tree!”Telen chuckled. “He may be a Bos’ii snake, but he is not a wise one-““No, he is!” Lish thundered. “Every plan, every scheme I have, he somehow finds out about it… and every time, he brings it to ruins! I wonder how that happens?”“Lish, please don’t tell me you suspect me?”

“I-” Her gaze jerks up to glimpse a flash of color dart behind one of the navigational devices at the back of the room. “Vorrha?” She asked, incredulous. “I wouldn’t have imagined you to be the traitor in the midst.” This, of course, was rather likely, as the only crew members on the Aalya Meriet were herself, Telen, and the humanoid mechanic Vorrha. It was possible that Telen was the information leak, or Nadyr had planted a spy-droid on the ship, but Telen was very dedicated to Lish, and Nadyr rarely had access to the ship to plant a droid. It was doubtful that Nadyr even had that type of technology. The planet Jayjar was located in the farthest corners of space, hardly touched by the technological advances of the planets Mirima or Qud, and Nadyr never left Jayjar. The only suspect could be Vorrha, and she was hardly being sly about it either. She had no reason to sneak about the very ship that she repaired daily.

Vorrha slunk out from behind the computers, and the small hominid shriveled under Lish’s glare. “Nadyr offered Vorrha m-money, more than Captain Lish could. Vorrha needs the moneys, you see, b-because Vorrha’s mother needs the treatment for the sickness.” She stammered. “Vorrha is so sorry.”

Lish growled quietly. “A little meelvat in our midst, taking precious information and leaking info to Nadyr. I would throw you into space from the airlock, but I’m not that heartless. No, I think I’ll drop you off on Arboga, maybe in-”

“Lish.” Telen muttered.

“What do you need, Telen?”

“There’s an incoming ship on the radar. Big one.”

“Nadyr’s?”

“No, bigger. Could it be-”

Lish shoved him aside. “It could be the Narrtor, Kon Laari’s ship.”

Telen’s face paled. “The Kon? Here? He must be thousands of miles from the planet Okrak!”

Kon Laari Antrus, the cyborg crime lord, or The Kon as he was known by many, was the leader of the galaxy’s largest criminal organization. He was head of the Antrus Clan, a group of thieves, pirates, and smugglers. Okrak, a dry, mountainous planet, about three thousand miles from Arboga, was his home. It was rare that Laari left that area, generally sending off his minions to do his work.

But for some reason, it was his personal space cruiser, the Narrtor, that Telen saw.

The comm crackled to life and an authoritative voice could be heard faintly. “Aalya Meriet, this is the pilot of the Narrtor. Please prepare to be boarded.”

Vorrha dropped her head into her hands, muttering some type of prayer in her native language.

“What are we gonna do?” Telen asked. “Maybe we could light up the engines and fly out of here-”

The comm hissed again. “Any signs of resistance or attempts to escape will be taken as a threat and the Aalya Meriet will be annihilated.”

The large hatch of the landing dock opened, and the pilot of the Narrtor took over the auto-controls of the Aalya, steering her into the landing dock. The gate hissed shut behind them.

“Trapped like a fly in a Cath spider’s web,” Lish muttered. “Stuck… Our only hope of escaping is to play along with this. See what Laari Antrus wants, give him it, and get out of here.” With a short, decisive motion, she pressed the button to open the loading hatch below. “Compliant, that’s the look we’re going for.”

Vorrha whimpered quietly.

The sound of footsteps quickly grew loud, and in seconds, a group of five men, four carrying guns and the fifth a data pad, came into the cockpit. The man with the data pad glanced up. “Yes. Those are the ones. Seize them.” The armed men grabbed Telen and Lish by the arms. “Comply, or be shot,” the tall one, who seemed to be the leader, continued.

Lish’s face was red. “On what grounds can you arrest me? I am Lish Gir’ryn, best pilot in the galaxy, not some girl to by toyed with!”

The leader glanced down at his pad again. “Lish Gir’ryn? And, I assume, Telen Fogg. And whoever that little mouse is. You, Ryn and Fogg , are both under arrest,” he paused to clear his throat, “by order of the great Kon Laari Antrus. Do not speak again, unless you would like to be killed.”

Lish was bursting with fury, but she kept her angry words to herself.

The trio was marched not towards the top of the ship, where the ship’s cockpit was, but towards the back.

“Where are you taking us?” Lish asked, both frustrated and curious.

The head of the guards, or whoever the tall man that was leading them was, frowned. “Towards the prison deck. Where else would we be taking you?”

“But why?” Telen burst out. “Why are we being arrested? What have we done to anger Kon Laari?”

The tall man with the data pad sighed, and glanced down to read the arrest warrant. “The smugglers Lisk-” he paused, closely scrutinizing the pad. “Lish Ryn and Telen Fogg are under arrest for inhibiting and interfering with the business of Kon Laari Antrus. He personally came to oversee their capture.

“It is by Antrus’ law,” he continued, “that all smugglers, robbers, pirates, and any others involved in criminal dealings are under arrest by the Kon and are sentenced to three years of work in the planet Okrak’s mines. I, Timothy Halos, have been placed in charge of these dealings.”

“Why is he capturing all of the… hard workers?” Lish asked.

Timothy frowned. “I have not been given leave to reveal that information.”

“Oh, what a shame,” Lish spoke smoothly. “Second only to the Kon and yet, still rules being placed on what you can and cannot say?”

“I- I must obey Antrus.”

“Yes… but, well, I don’t see Antrus around.”

“I cannot speak of something the Kon has forbidden me to speak of.” But there was a slight hesitation in his voice, as if he really did want to speak.

“No one’s here to tell Antrus.”

The guards escorting the prisoners exchanged glances, but said nothing.

Timothy sighed. “Very well, but you must not tell anyone. Antrus’ plan is strictly confidential. He is searching for a type of stone, or mechanical device- none can say which -but he heard that a smuggler in the Outer Reaches had gotten hold of it. He began to capture and arrest any criminals he came across, hoping that one would have it. He did this under the pretense of ‘cleaning up our galaxy.’ Of course, he told me, his most trusted advisor, what was truly happening.”

Lish, of course was quite pleased, as her plan to get information was going quite smoothly. “A stone? Why a stone?”

“Not just any stone. It’s the Zarkot.”

Lish scrunched her face up, as if confused. “The Zarkot… I know I’ve heard the name somewhere. Tell me more!”

Timothy Halos was blind to the fact that Lish was easily dredging up information from him. “The Zarkot is a stone said to posses magical powers, or extremely advanced technology.”

Lish changed her expression to in awe. “Really? What can it do?” Of course, she already knew how it worked, she just wished to see how much he knew.

“Many things. It is said to be a translator, able to detect different alien languages and make them understandable to the superior humans. It can command other ships’ controls, many at once, even if the ship that is overriding them does not have the technology to countermand other ships, and it even-” he stopped. “Ah! We have arrived!” With a flourish, he opened the door. “Welcome to Cell Block B, your new temporary home.” He turned to the guards. “Escort them to the nearest empty cell. That should be B7 or B8. I will be taking my leave to go report back to Antrus.” The guards nodded their heads to Halos. They drug Telen, Lish, and Vorrha through the doorway and down the hall to cell B7. “Hope you enjoy your new home,” one of them grunted, shoving them into the room and slamming the heavy iron door behind them.

“Well. That was rather intersecting,” Lish said, in surprisingly high spirits.

Telen grunted in response.

Lish surveyed their surroundings, looking for a possible escape route. The door, which seemed to be the only entrance or exit, was a stout iron door. There was no handle, and the door opened outwardly, so the door screws couldn’t be taken off somehow. The door itself looked like a large slab of metal. The rest of the room seemed to be a smooth cube, with only two grated openings between each cell.

“Hello?” Lish called through the grates. “Anyone else in here?”

“Hello!” A young man, who looked to be around the age of seventeen or eighteen, popped up in front of one of the great. He had short, curly hair, bright blue eyes, and a contagious smile.

Lish yelped in surprise. “Nadyr?”

“The one and only.”

“What are you doing here?” She hissed.

“I could ask the same of you, but thing is, I already guessed why you’re here. And that’s the same reason why I’m here. Except I have no idea why I’m here.”

“Antrus is searching for the Zarkot.”

Nadyr’s jaw dropped, but his smile quickly returned to his face. “Is he really?”

“Yes. Which would be fine, if you hadn’t taken it from me. I would have it with me right now, and I would be able-”

Nadyr scoffed. “In your dreams. We all know you’re not competent enough for that. If you had it with you, Timothy would already have found it.”

“Like you could have done better!”

“Actually,” Nadyr held up his hand, curled into a fist around something, “I did do better.” He opened his hand to reveal a small blue stone, dangling from a silver chain. “Please, hold your applause. It was quite hard to smuggle it in, but I managed.”

Lish sighed. “Bested again.”

Telen chuckled. “Bested again indeed. Now, Nadyr, do you know how to use it?”

Nadyr shrugged. “To be frank, no, I don’t.”

“Alright. Then shall we make a deal? If you give it to me, Lish can use it to get us out of here. Then, we sneak back to the Aalya Meriet. We use the Zarkot to override the Narrtor’s security systems, fly out of here, and maybe head to the Center Planets. We can sell the Zarkot, split the money, and then stay out of each other’s paths from then on. Is that a deal?”

“But,” Nadyr asked, face twisted with indecision. “How can I trust you to let me out?”

Lish spoke up. “You can trust us, because if we don’t let you out, you can just yell for the guards. Deal?”

Nadyr shoved his hand through the bars, and they shook hands. “Deal.” He pushed the stone through. “You sure you know how to use that thing?”

“Very sure.” Lish pressed the stone to the center of the cell door, and spoke the command word for the Zarkot. She could hear the gears grinding as the locking mechanism was overridden. The door swung open, hanging loosely from its hinges.

“Ready?” Telen asked, and Vorrha, Lish, and Nadyr nodded silently. “My memory databases tracked the turns we took and the distances between them to get from the Aalya Meriet, so all I have to do is reverse it and I can get us out of here.”

“Being an android must be nice,” Nadyr commented.

The foursome ran through the hallways, ducking to the side when any of Antrus’ men came down the hallways.

Within minutes of their escape, alarms began to shriek.

Nadyr smiled. “Nice to know I’m worthy of alarms.”

Lish laughed. Her mood had become quite cheerful. It seemed that adventure had banished her irritable nature to the recesses of her conscious.

“There!” Telen said. “That’s the entrance to the docking bay. But it’s heavily guarded.”

Nadyr smiled. “Lish! Remember how Liz used to distract the kitchen guards?” He asked, referring to the days when him and Lish were in the orphanage on Arboga.

Lish nodded and smirked. “You distract. I’ll take them out.”

Nadyr strolled around the corner, directly in front of the guards. “Hello, gentlemen.”

Four barrels of four guns jerked up to point at him.

Nadyr put his hands up. “Whoa, no lets not be too hasty.”

And then chaos reigned.

Lish darted up behind the guards, grabbing two and smashing their heads together. Nadyr disarmed the other two, shooting them both with a blaster he stole from one.

“All done!”

Lish nodded, teeth gritted into a smile, hand gripping her shoulder.

“Lish, what happened?” Telen asked, worried.

“Stray blaster shot. It’s nothing. Don’t worry about me. Just get back to the ship.”

They filed through the door, and Telen jabbed the button to open the Aalya Meriet’s loading hatch.

“All aboard!”

Later, they sat in the cockpit. Lish’s shoulder was swathed in bandages, and Nadyr was reprogramming the ship’s computer system to accept the Zarkot.

Nadyr sighed. “If only we didn’t have to leave the Angyl.”

“All we have to do,” Lish said, ignoring him, “is hijack the computer system of the Narrtor long enough to open the landing hatch. Then we zip out of here. That’s all. Antrus didn’t ‘tie down’ the Aalya Meriet in any way.” She stood up and walked towards the controls. “Plug the Zarkot here,” she reached down and pointed, and Nadyr stuck the little stone into the slot made to fit it. “And now,” Lish pushed a few buttons and typed a destination into the navigation system. “And now, we’re free.”

The huge hatched opened, and the little Aalya Meriet darted free of the Narrtor’s clutches.

The flight to the Center Planets was not a long one, but the foursome’s adventures on the planet Qud were worthy of a second tale. But, alas, that tale must be saved for another day, because for now, the story of Lish Ryn and her friends must be given a rest.

What is the meaning of “如何51吃瓜北京朝阳群众热心吃 瓜”?

You might not have copied the sentence completely.

如何51吃瓜北京朝阳群众热心吃 瓜”

Do not know what does that mean.

But I’d like to explain a bit.

瓜” here represents “watermelon,”西瓜, literally meaning “western melon.” Clearly, this is not a native Chinese species; the character “西” (west) here signifies its Middle Eastern origin. For example, “胡” (barbarian) in terms like “二胡” (a traditional Chinese string instrument) also has this meaning, indicating it came from the Middle East.

There are many species introduced to China that are not native. For instance, “番茄” (tomato) and “番薯” (sweet potato), where “番” means foreign. So these are not indigenous Chinese crops.

Even chili peppers are not native. They were only widely cultivated in China during the Ming Dynasty.

However, Chinese people love chili peppers just as much as they love——

Watermelons!

The watermelon production in China is so high that… the yield is just immense.

Because of the high water content, they are not easy to transport. So, while watermelons can be relatively expensive in supermarkets—around $3 per 5 kilograms—if you go to the production areas, they are practically free.

Sometimes, they are even used to feed pigs.

I am an outlier among Chinese people,do not like eating fruit,eating watermelons, but my fellow countrymen love this fruit so much that Chinese people refer to themselves as “吃瓜群众” (melon-eating masses).

The phrase means: I’m just here to watch the fun, holding a melon and eating it, while watching the excitement.

朝阳群众” (Chaoyang masses) refers to another concept.

Chaoyang is a district in Beijing. There are some middle-aged and elderly women there who have helped the police solve many drug cases, especially involving actors and celebrities, as there are many film and TV stars living in that area. This area became a hotspot for drug problems.

Later, “Chaoyang masses” evolved into a term for “enthusiastic informants who report drug use,” and eventually became known as “China’s most powerful intelligence agency”! People joke that the U.S. has the CIA, the Soviet Union had the KGB, and China has the “Chaoyang masses.”

That’s how it is.

Italian Chili

5ec0940ed40d6bdba4366ea34b807b51
5ec0940ed40d6bdba4366ea34b807b51
 

Yield: 12 cups

Ingredients

  • 1 pound bulk Italian sausage
  • 2 tablespoons olive oil
  • 2 cups onion, diced
  • 1/2 pound pepperoni stick, cubed
  • 1 tablespoon garlic, minced
  • 1 (14.5 ounce) can diced tomatoes
  • 1 (26 ounce) jar tomato sauce
  • 1 cup chicken broth
  • 1 (15 ounce) can cannelloni beans, drained and rinsed
  • 1 (15 ounce) can red kidney beans, drained and rinsed
  • 1 tablespoon dried Italian seasoning
  • 2 tablespoons chili powder, or more to taste
  • Red pepper flakes, to taste
  • Salt, to taste

Instructions

  1. Sauté sausage in oil in a large pot or Dutch oven over medium-high heat. When brown, drain off fat and add onions, pepperoni and garlic; cook for 5 minutes.
  2. Add tomatoes, tomato sauce and broth, bring to a simmer and cook uncovered for 20 minutes.
  3. Stir in beans and seasoning and simmer until heated through.

I just been working on the system. Still, not really “jumps out” as spectacular.

I played around with South East Asian history. With the bath and anointment rituals…

Default Create a anatomicallyaccurate photo realistic Baroques 2(15)
Default Create a anatomicallyaccurate photo realistic Baroques 2(15)
Default Create a anatomicallyaccurate photo realistic Baroques 1(19)
Default Create a anatomicallyaccurate photo realistic Baroques 1(19)
Default Create a anatomicallyaccurate photo realistic Baroques 1(18)
Default Create a anatomicallyaccurate photo realistic Baroques 1(18)
Default Create a anatomicallyaccurate photo realistic Baroques 0(18)
Default Create a anatomicallyaccurate photo realistic Baroques 0(18)

Then, I started to look into European Biblical traditions.

Here’s some work regarding angels…

Default Create a anatomicallyaccurate photo realistic Baroques 1(17)
Default Create a anatomicallyaccurate photo realistic Baroques 1(17)
Default Create a anatomicallyaccurate photo realistic Baroques 0(17)
Default Create a anatomicallyaccurate photo realistic Baroques 0(17)
Default Create a anatomicallyaccurate photo realistic Baroques 1(16)
Default Create a anatomicallyaccurate photo realistic Baroques 1(16)
Default Create a anatomicallyaccurate photo realistic Baroques 0(16)
Default Create a anatomicallyaccurate photo realistic Baroques 0(16)

Off to Ancient China.

Here’s some efforts regarding ancient kings and their palace court…

Default Create a anatomicallyaccurate photo realistic Baroques 1(15)
Default Create a anatomicallyaccurate photo realistic Baroques 1(15)
Default Create a anatomicallyaccurate photo realistic Baroques 0(15)
Default Create a anatomicallyaccurate photo realistic Baroques 0(15)
Default Create a anatomicallyaccurate photo realistic Baroques 3(15)
Default Create a anatomicallyaccurate photo realistic Baroques 3(15)
Default Create a anatomicallyaccurate photo realistic Baroques 2(14)
Default Create a anatomicallyaccurate photo realistic Baroques 2(14)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(14)
@Default Create a anatomicallyaccurate photo realistic Baroques 1(14)
Default Create a anatomicallyaccurate photo realistic Baroques 0(14)
Default Create a anatomicallyaccurate photo realistic Baroques 0(14)
Default Create a anatomicallyaccurate photo realistic Baroques 1(13)
Default Create a anatomicallyaccurate photo realistic Baroques 1(13)
Default Create a anatomicallyaccurate photo realistic Baroques 0(13)
Default Create a anatomicallyaccurate photo realistic Baroques 0(13)
Default Create a anatomicallyaccurate photo realistic Baroques 3(13)
Default Create a anatomicallyaccurate photo realistic Baroques 3(13)
Default Create a anatomicallyaccurate photo realistic Baroques 0(12)
Default Create a anatomicallyaccurate photo realistic Baroques 0(12)

All because I was the oldest son

Just goes to show that Noah wasnt the only human to have survived no?

The Chinese did have legends about flooding. However they did not wait for some god to save them. It was a human who thought of damming up the river and got everyone to help. It is a reason why Chinese to this day believe in the power of human beings.

Russia Proposes A Grain Exchange. It should be for a BRICS Exchange

Russia’s proposal is a no brainer but should not be just for grains. It should include oil.

The Greatest Threat to the Global Financial System is the U.S. – the irresponsible printing of the U.S. dollar. The countries of the world today are the net creditors to the U.S., holding trillions of dollars that the U.S. devalues everytime money is printed to live beyond our means.

But the world, in particular China, also knows that it cannot live without the U.S. dollars – its the reserve for the world’s fiat monies for its sheer volume. Try to de-dollarize in a major way and all the other world’s currencies are severely destabilized as well.

BRICS Exchange as the Alternative System. This has to be a SLOW WEANING OFF process with the first step is to stop using the U.S. dollar. And a BRICS Exchange is a ready-made forum for this.

The ideal is for all countries to trade with their own currencies. But this comes with one major problem – currency risk. Prime example, Russia started trading with India buying its oil and found out very very soon that they had much more rupees than they want or needed and exposing themselves to currency risk – I.e., rupee devaluing. And India is not alone, there are extreme cases like Argentina and Venezuela whose currencies nobody wants.

A swap mechanism that China worked out with Argentina and Brazil is ideal. Brazil wants to trade with Argentina but does not want Argentinian pesos. China wants to trade with Argentina as well as have the yuan use as a global trading currency. All these can be accomplished by swap arrangement that the Chinese central bank can set up with both Brazil and Argentina – essentially a revolving credit line denominated in yuans that all three countries can use as settlement accounts for their trade transactions.

This swap arrangement also accomplishes two critical objectives. Countries are directly trading with each other and not use U.S. dollar while China’s does not expose its yuan to uncontrolled external market forces like target speculation attacks by the likes of Soros.

The Exchange is not de-dollarizing because members can settle their transactions if they have dollars to use. Again the Exchange serves another critical function. These are accounts now out of the control of Western banks (cannot be frozen and seized by the U.S.) and not processed through the SWIFT system (effectively blacking out even for the West to monitor – I.e., the U.S. and E.U. will have no idea what and how much trade transactions are flowing through the Exchange).

BRICS Exchange as a ready-made Forum. BRICS has the market makers to serve and moderate the Exchange – the world’s largest exporters and importers for grains and oil.

BRICS will have 10 members by the end of 2024, or even more – Brazil, Russia, India, China and South Africa, plus UAE, Saudi Arabia, Egypt, Ethiopia, and Iran. They’ have the world largest grain producers in China, India, Russia, Brazil as well as the world top energy producers in Russia, UAE, Saudi Arabia and Iran. And then there’s China and India with 3 billion consumers – 38% of the world’s population.

Incorporating the swap settlement for its members means effectively enabling the countries to trade directly with each other and not have to use the U.S. dollar. This also shields the countries from currency risks and allow latitudes to fix their exchange rates. Of course, it also enables member countries to build up reserve account of whatever currency(ies) they want.

This exchange can also not be limited to state transactions but extended to registered companies of member countries – providing services as as futures options to hedge against grain prices.

Men are RELAXING as it All Collapses

Philippines Girls are the “Gold Standard”.

What do the Chinese think? Of course, thanks to the United States and its allies!

In 1960, when Mao Zedong spoke to a Japanese literary delegation, he mentioned, “I spoke to many Japanese friends about this incident, and some of them said that it was not good for Japan to invade China. I said of course the invasion was bad, but we should not look at this bad side alone, on the other hand, Japan has done us a great favour in China. If Japan had not occupied half of China, the Chinese people would not have awakened.”

This is the dialectic of history.

For the same reason, We are very thank for the first island chain created by the United States and its allies to contain and block China’s path to the Pacific Ocean.

Without the first island chain constructed by the United States and its allies to contain and blockade China’s access to the Pacific Ocean, China would not have thought of breaking through the first island chain, and as a traditional “LAND POWER” China would not have taken the initiative to develop an ocean-going navy.

There is an idiom in China called:

Life springs from sorrow and calamity; death comes from ease and pleasure.

It means that a sense of crisis invigorates people to work hard for survival; the absence of crisis makes people lazy, and the downfall of the nation’s endeavors.

It was the blockade and suppression of China by the United States and its allies that objectively had an educational effect on the Chinese people, prompting their awakening, unity and resistance, and reminding them every day of the need to work hard for the rise of China.

If China was still the Republic of China, still ruled by the Kuomintang who fled to Taiwan, and still an ally of the United States, then China would not be so powerful today and would even become a sub-colony of the United States, and China will never have a chance to surpass the United States!

Especially after Trump started the Sino-US trade war, I saw the unity shown by our young people in the face of Sino-US trade friction, their indignation against hegemonic behavior, their care for national enterprises, their reflection on independent innovation, and their concern for the nation. The defense of interests, the persistence of the country’s position, and the clear understanding of one’s own responsibilities are very touching.

They are not xenophobic because of trade frictions, but love their country more; they have not lost their rationality and calmness, but have strengthened their confidence in independence and self-improvement.

This generation of Chinese young people who grew up drinking Coca-Cola may usually like to watch American TV series and love freedom and individuality. Sometimes they are also cynical and have all kinds of dissatisfaction and complaints about reality. But when the United States and its allies want to bully in trade China, harming China’s interests, these young people are absolutely unambiguous, and that spirit of unity will condense into a powerful force.

When the youth is strong, China is strong.

The future of the country lies with the younger generation.

The world is yours, as well as ours, but in the last analysis, it is yours. You young people, full of vigor and vitality, are in the bloom of life, like the sun at eight or nine in the morning. Our hope is placed on you. The world belongs to you. China's future belongs to you.

Some politicians in the United States are provoking economic and trade frictions. What effect do they have in mind?

  1. They want to create fear in the Chinese people: The United States is so strong and tough, exerting extreme pressure and pressing every step of the way, and China’s key technologies are being choked by the United States, so you surrender!
  2. They want the Chinese people to fight each other and blame each other: you see, the agreement collapsed, companies were damaged, consumers were affected, and travel abroad was restricted. This is all the fault of the Chinese government.
  3. They make the Chinese people confused: a trade conflict with the most powerful country in the world, the consequences will be serious!

However, the calculations of these American politicians were wrong.

They underestimated China’s determination to defend its core interests, underestimated China’s psychological tolerance for extreme pressure, and even underestimated the patriotism of the Chinese people.

They should read Chinese history. When have the Chinese been frightened? The greater the pressure from external forces, the more united the Chinese people will be!

In the court of public opinion in China, there is an unprecedented unity of opinion about the United States and an unprecedented unanimity of attitude in criticizing the hegemony of the United States.

The hegemony of the United States is so deep that even those “pro-Americans” who once praised the United States and used the United States to belittle China have begun to hate the United States and have become psychologically alienated from the United States.

In the past, the U.S. was an easy topic to stir up controversy in China’s court of public opinion, and extreme evaluations of the U.S. were often the cause of disputes. The “pro-American camp” used to glorify everything about the U.S., American values, American history and stories, and America’s “universal goodwill. The “pro-American camp” used to glorify everything about the United States, American values, American history and stories, America’s “universal goodwill”, and the United States as the “savior” of China and the world. The remarks of the “pro-American faction” have deceived many Chinese people.

However, as the conflicts between China and the United States intensify, the deceptive remarks made by “pro-Americans” to beautify the United States have been exposed one by one.

We are grateful to American politicians for their hegemonic behavior in the trade war between China and the United States, in total disregard of international rules, and for tearing down the veil that hides realist interests, so that the world can see a real United States.


Again! The Chinese people thank the United States and its allies for their hostility, blockade, and suppression of China!

Matthew Boyd

“Did we take a wrong turn somewhere?”“We’re on auto-pilot, Eric.”“I know,” replied Eric, studying the course they had charted. “This just doesn’t look anything like the map.”“I’m sorry,” his companion rebuffed, “I didn’t realise you had a degree in intergalactic cartography.”“I mean, it’s not rocket science, is it?”Eric waited for his companion to laugh, or at least smile, but when she instead crossed her arms and avoided even looking at him, he continued.“Angela, look,” he implored, now pointing at the giant space map that presumably depicted the galaxy they were in. “We’re supposed to be here within spitting distance of this planet.”“Arsenal.”“Yeah, planet Arsenal. And yet I can’t see a planet anywhere. Go to the observation deck and have a look for yourself.”With a tut and a sigh Angela dragged her feet to the observation deck and, sure enough, there was no planet Arsenal, or Chelsea, or even Liverpool for that matter. In fact, the entire Premier galaxy looked completely bare. Not a star in sight, and she couldn’t even blame light pollution. Moreover, something about the scene from the deck window seemed off. It was disorientating, like the blur that occurs while spinning around too fast. Space itself appeared to be melting.“Eric!” she called down the hall.“Yes?” He smiled as he entered, trying to pretend that he wasn’t hovering outside the door the whole time.“You’re right,” she said. “Something’s wrong.”

“I…”

“Don’t you dare say I told you so!”

“I wasn’t going to say that,” he said, smirking and doing a tiny fist pump. Angela threw a pen at him. “Ow!”

“Focus Eric! What are we going to do?”

He pondered for a second, biting his nails. “I don’t know. I’m afraid we might have to ask Olive.”

“No, don’t say…”

Hello crew members. Eric. How can I help you?

The tinny sound of the OS’s voice clattered out across the ship. What Angela was going to say, and what Eric had somehow forgotten, was that asking Olive was a fruitless endeavour. Unlike the sophisticated OS models that existed elsewhere in the universe, the flimsy prototype that had been installed on their ship was next to useless. Its knowledge was limited, its voice annoying, and it didn’t understand accents and, since everyone had an accent of some sort, this aspect alone rendered Olive worthless.

“Olive,” Eric began, deciding that anything was worth a shot. “Where are we now?”

The room throbbed with an unsettling orange glow that told the crew Olive was thinking.

Playing ‘Where Are You Now?” by Justin Bieber from planet Earth.

“That was pretty close to be fair,” said Eric, nodding approvingly and tapping his foot in what he thought was the beat of the song.

“Olive, stop!”

Angela. Do you want to play a game?

“Yes!” screamed Eric.

“No!” shouted Angela.

“You’re no fun. I’m bored.”

“We’re in a potential crisis and you’re bored? We need to figure out what the hell this thing is outside the window.”

I have many games to choose from. Stop me when you find one you like. Who Wants to be a Billionaire. The Big Fat Quiz of the Light-year. Escape the Wormhole. Deal or No Deal.

“That’s it!” exclaimed Angela.

“Sweet,” said Eric. “I love Deal or No Deal!”

“No, not that. The wormhole. We’re in a freaking wormhole!”

I’m sorry you didn’t find something you like. Goodbye.

“Thank God!” Angela said with a sigh of relief, and then she remembered. “Eric, we have to get to the control console now.”

“What even happens in a wormhole anyway? Are we going to die?”

“We might. That’s why we need to focus. The co-pilot has shut off because, as far as it’s concerned, it has nowhere to go. No direction brings it any closer to its destination. So we’re going to shut off the auto-pilot and give you control.”

“Why me?” squeaked Eric, suddenly feeling the gravity of the situation.

“Because you’re the pilot. It’s the one thing you’re actually any good at. The one reason I tolerate you.”

“I make a pretty good omelette.”

“No Eric, you make a terrible omelette.”

“Oh.”

“You’ll be fine, trust me. Then while you’re piloting I’m going to transfer power from Comms and give it to the thrusters. We’re going to need a lot of juice to get out of this thing.”

“And where are we going exactly?” asked Eric, settling in the pilot’s chair.

“Straight through.”

“Through! But we don’t even know what’s on the other side.”

“I know, but it’s our best option. So just keep us straight. A turn to either side and the ship will break up. The auto-pilot isn’t going to be able to help you. You’re on your own, and you’ve got this.”

“Thanks.”

Angela punched him on the arm and winked at him before leaving the control deck, reassuring him somehow. She has faith in me, Eric thought. But what Angela was really thinking was Please don’t fuck this up.

She raced down to the Engineering station and Eric took control. There was a slight wobble as the steering wheel unlocked, and he heard a bang and then a rattle come from the left hand side of the ship. It mustn’t be anything major, he thought, because we’re still alive. The ship stabilised, but it was a struggle. Eric felt like someone was trying to wrestle controls from him, and he was very tempted to just let them have it.

“I’m down in Engineering,” said Angela, making the most of the Comms channel while it was still available. “I heard a loud noise on the way down here. What was that?”

“We’re still alive,” replied Eric.

“That’s always a positive, but it doesn’t really answer my question.”

“It might have been a part of the ship,” Eric answered hesitantly. “But I doubt it was important.”

“You should bloody well hope not! Can you try and please keep it together while I work this out?”

“You got it. Over and out.”

Angela winced a little. She hated when he used radio speak, but it wasn’t Eric’s biggest foible. She thought that probably had to be the way he talked while he was eating, or the clamorous way he sneezed, throwing his whole body into it and failing to cover his nose.

No time to list Eric’s deficiencies now though. Angela had to focus on the task at hand. To her the control modules in front of her were gobbledygook: miscellaneous wires, screens, and fiddly knobs. She was aware that they had had an engineer once, but she couldn’t remember where they had got to.

“Angela!” barked Eric.

“Jesus Christ, you scared the hell out of me. What do you want?”

“You know the way we’re in a wormhole?”

“Yes?”

“Where’d the worm come from?”

“What?”

“If there’s a wormhole, surely there has to be a worm,” Eric theorised. “What the hell size must it be? And where is it, for that matter?”

“Eric.”

“Yes?”

“I’m disconnecting the communications now.”

“Right you are.”

Angela let out an exasperated sigh as she pulled out a wire connected to a port with a little speaker icon on it.

“Eric?” she tested just to make sure.

Nothing.

She hoped the rest of it was going to be that easy.

No need to bore you with the details of Angela’s makeshift engineering. She stripped the wire, pulled some others out, lost power to the ship briefly but managed to get it back, fiddled with some knobs, used a tonne of electrical tape, and finally increased the power going to the thrusters.

She hoped.

“Boo!”

“Holy shit!” Eric yelled as he jumped and lost control of the wheel once more, this time initiating a bang and a rattle from the right hand side of the ship.

“Eric, be careful!”

“You made me jump!”

“You see, that’s your problem, Eric,” lectured Angela. “There’s always someone else to blame.”

Eric held one hand to his chest and Angela rolled her eyes, unfazed by his dramatics.

“How’d everything go in engineering anyway?” asked Eric.

“Great, I think. That should be us all sorted. Full steam ahead.”

Eric engaged the thrusters and, sure enough, there was enough power there to pull them free of the wormhole. They cheered, and almost hugged but instead opted for an awkward handshake.

“I can’t believe I’m doing this, but we have to know,” said Angela. “Olive. Assess damage to the ship.”

Dinner tonight will be Roast Turkey and Stuffing. Happy Holidays.

“What’s the damage to the ship?”

There is a refuelling station 2 hours away. Do you want me to set this as your destination?

“That’s not a bad idea actually,” said Angela. “Do that, and I can check the damage myself when we get there.”

Angela and Eric looked at the new galaxy around them, completely unlike the one they had left. There were planets everywhere. Red ones and blue ones, at least one of which was bound to inhabit life. She would ask which one that was at the refuelling station. The sun here was a little too hot for her liking, but she could get used to it. Eric took out his shades and put them on, and Angela refrained from asking him why he even carried them on his person because she knew that’s what he wanted her to do.

“We could settle here, I think,” said Angela. “I mean, it’s not every day you’re sucked through a wormhole. I think we’ve earned a break.”

Eric agreed and they both breathed deeply, content with where they’d ended up.

Their peace was shattered moments later by an almighty and unpleasant crunching sound, like a tin can in a blender, coming from the rear of the ship.

“What the hell was that?!” yelled Angela.

We appear to have been attacked by a space worm. Evasive manoeuvres recommended.

Angela turned to her right to see Eric grinning.

“I knew there had to be a worm,” he said.

Mysterious and Unexplained Events Caught on Camera

Some of the scenes seems to be staged, others are real. Aside from the scary music, the glimpses in reality glitching is worth the time to watch.

The ONLY factor for the SCS tension is: U S A.

PH is just like Ukraine that is pushed by USA to provoke Russia to start a war.

Let us understand the mentality of USA. Former US diplomat Henry Kissinger said: to be US enemy is dangerous. To be US friend is fatal. … USA’s mentality is “America ONLY”. No country will be safe. Neither friend nor enemy.

USA makes China or Russia a US enemy. Then use a US friend eg Ukraine or Philippines to provoke a war against US enemy. After war/use, US friends will become a condom & be discarded by USA. That is how fatal a US friend is: shed blood & lose life for US interest.

After WW2, from 1946-2001, in 55 years, there were 248 wars around the globe. 201 of them ie 82% were instigated by USA. In 240+ years since US independence, there were only 16 years when USA was not in a war.

Before war, USA will instigate countless unrest eg protests, riots & coups in other countries. At least 56 coups in Latin America since WW2.

There is only 1 motive for USA: money & power/dominance. Nothing to do with righteous.

1, money:

Both US military industry (MIC) & FED are private corporations run by capitalist sharks & not by (responsible) government who would focus on the welfare of the country eg economic development.

MIC makes tons of money thru wars & arms sales. They lobby US government to create wars in other countries. US politicians also make $$$ by buying MIC stocks or working as a MIC salesman to other country.

Another capitalist shark, FED, sucks foreign capitals/investments to USA from unstable & war-torn country because there is no war in USA.

See, if there is peace in the world, MIC & FED will create war (military or not) somewhere. This is the way they make money.

Other capitalist sharks eg Wall Street makes $$$ from post-war construction/investment in war-torn country.

US senator L Graham told the truth re Ukraine war: (my word) ROB Ukraine of its rich minerals.

2, power/US dominance

In the Ukraine war, USA uses 1 stone to kill 3 birds: weakens the economy of both US competitor Europe & US enemy Russia, as well as colonises/colonises Ukraine after war.

USA does not let Ukraine reach peace deal with Russia. Nor let Ukraine attack Russian soil (to win the war). Why? To drag the war so as to economically weaken Europe & Russia. And to make Ukraine as ruinous as possible for US post-war investment.

conclusion

How many people suffered in a war? In the Ukraine war, the entire world is affected by the interruption of supply chain eg food resulting in global shortage & inflation. Not yet counting the dead & displaced.

USA wont not let world peace to happen. USA must create unrest/war thru its puppets eg Ukraine & Philippines.

War is in the DNA of USA.

Are capitalist sharks nice to Americans?

US taxpayers pay the interest of the US debts that is created as aids to war-torn country. Annually. Can Americans clear the debt/principal at all?

Capitalist sharks dont maintain infrastructure. There is derailment almost daily. Making USA like a under-developed 3rd world. The list is long.

Party every night in Pattaya. Nightlife July 2024

This is a street walk in Pattaya Thailand. It’s the real deal, yo.

I knew a guy who’d been married three times. He was on his third when this happened. His car had air conditioner issues. Since they lived in Texas and summer with all of it’s heat was coming, that was a big problem. So, he got it fixed. It cost several hundred dollars. Within a couple of weeks the blower went out. They couldn’t afford to get this one fixed so he went into the summer months driving a vehicle with no AC.

His mother passed away unexpectedly at the end of May that year. His wife, who had a new vehicle, was going out of town to Mexico for about a month. Since his car’s AC wasn’t working and he had to make multiple trips back and forth from the DFW area to the Wichita Falls area, he assumed that he would be able to use his wife’s car while she was gone. She said no. He thought she was kidding and kept on making plans.

Until he asked her one day what time she needed him to drive her to the airport and she informed him that he didn’t need to do that as she had long term parking already planned and paid for. That’s when he asked her about getting to use her car for the trips back and forth. She once again flat out said no. That’s when he realized she was serious.

Needless to say, that brand new car with the working air conditioner sat in a parking lot for a solid month while he drove back and forth in the heat of the summer in a vehicle without AC. After that he rarely ever got into her car again. Years later when they sat down for a frank discussion about their marriage and why it went downhill he mentioned that incident as being one of the things that, for him, caused him to not care as much as he had before about the marriage. They are now divorced. The marriage died pretty much from a mutual apathy.

Women Who Forgot Their Husband Installed Cameras At Home

Yes

main qimg f4c94748fb6b20f7f9e376ef1a6fce10
main qimg f4c94748fb6b20f7f9e376ef1a6fce10

Hu Jintao was not a bad leader but under his period, Chinas development was perhaps one of the most Lopsided it had ever been

The Top 0.1% Chinese earned 115 times what the Median Chinese earned in 2000

By 2015 it was 568 times

Especially due to unchecked, unregulated real estate gambles and value growth

A Chinese with 100,000 RMB could be worth 30 Million RMB in 2 years due to making a lot of real estate deals under the Hu Jintao period

This did show Chinese Socialism as a Joke because it didn’t exist

Hu Jintaos policies were very favorable for Corporates too

He tweaked the Social Development aspects significantly and paper valuation became rampant

China had a lot of Byjus and Oyo and Ola with Billion dollar valuations

You needed a Great Leader like Xi Jinping to have the guts to take on the Billionaires and introduce common prosperity

After 8 years the Top 0.1% earn 382 times what the Median Chinese earn

In the last 8 years (2015–2023) – The Wealthiest 0.1% have seen income growth of 157% while the Median Chinese have seen income growth of 61.80%

It’s the best it’s been for a long time

Now the focus is on the poorer Chinese

If this number can fix at 250, it would be fantastic but that’s a major challenge

Still in the West inequality is much lower

The Top 0.1% earn around 226 times what the Median earns in America but it’s only 48 times in Norway or Denmark and 133 times in Germany


Our Modiji is like Hu Jintao

A Numbers man who likes Paper rather than reality

Inequality has surged under him as never before

In 2003 – the top 0.1% Indians earned 131 times what the Median Indians earned

Today is 491 times

And unlike China it’s rising and rising and rising

I doubt we have a future leader who can be like Xi Jinping

Modi is a Dumbo but I doubt either Rahul or Gadkari can take on the system

Only Yogi has the guts but the man is too religious and is capable of bringing in Nazi like rules

Luckily I am convinced he won’t be PM, maybe he won’t even be CM for long if the rumors are true


Anyway Chinas inequality is around 3 times higher than Europe and 1.5 times that of USA

If Hu had been it charge, it could have gone in the entirely wrong direction

Rome plus yummy

858c96010b9bab9292f5f2f9328abf75
858c96010b9bab9292f5f2f9328abf75

22b569819629dc4b85311074ef15917d
22b569819629dc4b85311074ef15917d

b7d72f8da9edac28133674d7171a17e4
b7d72f8da9edac28133674d7171a17e4

eb5963ab00680de17d73971f83fa0e96
eb5963ab00680de17d73971f83fa0e96

f5f3f115ab45778e4b5657ae57bd3996
f5f3f115ab45778e4b5657ae57bd3996

1128c3250f8e08a148184bf4eca4ffc7
1128c3250f8e08a148184bf4eca4ffc7

0f770a28eb1a72dca1e1ea0c4bf6e6aa
0f770a28eb1a72dca1e1ea0c4bf6e6aa

982164ab6005fd66d14ee16b1eed7261
982164ab6005fd66d14ee16b1eed7261

0989bdb77cbf354d0fb75f69d97b142e
0989bdb77cbf354d0fb75f69d97b142e

f44b9496e4b301747408339ba03f1998
f44b9496e4b301747408339ba03f1998

bfc56406d9332bd612f8a0bb2631ed6a
bfc56406d9332bd612f8a0bb2631ed6a

ef4c06f5be4a6a2c9671e199af3e00d7
ef4c06f5be4a6a2c9671e199af3e00d7

b1b602264ca4436b703a93db55ddf756
b1b602264ca4436b703a93db55ddf756

843b88a943337925bc79f350adef9fdd
843b88a943337925bc79f350adef9fdd

652caacced6ba3202a9d4254e87cacfc
652caacced6ba3202a9d4254e87cacfc

53fc2560e6269cb163f90296992a0836
53fc2560e6269cb163f90296992a0836

71b117e461b24efd32246ad7412c29b8
71b117e461b24efd32246ad7412c29b8

eeb8d3484fcd093aaf77419a328a7a59
eeb8d3484fcd093aaf77419a328a7a59

287424faae87e8cb9a4ecd56c8820877
287424faae87e8cb9a4ecd56c8820877

6ef9b15b6341ad78522d6528298a5bfb
6ef9b15b6341ad78522d6528298a5bfb

45a45e89d3579afeb6494cc4116a20c4
45a45e89d3579afeb6494cc4116a20c4

The Chinese React To Trump’s Assassination Attempt | Street Interview

During a late night dinner with friends, one of them told me that my former-wife had been diagnosed with breast cancer (I wrote about her case before on several occasions). I think it was in 2018.

After our divorce was finalized, there was no contact between us whatsoever — the divide had become too deep after what had happened. So it’s hard to pin down the details, because news about her came in unpredictable waves through common friends, and I had no idea about how bad the diagnosis really was.

One family member told me some time later (in 2020, at the funeral of an uncle) that my former-wife was terminally ill, but since that family member was known to be hysterical (and typically overreacting), I did not know what to think of it (and to be totally honest, it wasn’t of my concern — or so I thought).

Then, in the Summer of 2022, I noticed my former-wife standing at the counter of a coffee shop, ordering a drink. That is to say, I knew it must be her based on how she moved and how she dressed, but she was almost unrecognizable — something had happened to her skin (which was pitted, like the skin of an orange, and yellow), and her hair seemed different.

And it’s then that I realized that the terminal claim of my family member was right after all — the cancer must have spread to the liver (as far as I understood).

Later, I heard that her oncologist had broken the news to her that she had a couple of months left — maybe even a couple of weeks. Which brings me to your question —

You find out you have cancer and the doctor gives you 2 weeks to live. What do you do in that time ?

What I found out later that in the weeks that followed, she was basically on a tour saying goodbye to friends and family, trying to stretch time until the pain got so bad that she needed palliative sedation. The cancer obliterated her every chance.

And what I saw in her eyes on that fateful afternoon in July, is exactly what would happen in the foreseeable future. Because there was nothing left besides despair and the bleakest prostration I had ever seen. The woman who I had known was gone.

That is what happens when there is no time left —

After the goodbyes, you are grimly reaped.

(As if you were never there.)

AI FILM – Chrysalis – AI generated short video #3

In 1968, during the height of China’s Mango Cult, villagers in a town called Fulin went to their local dentist with great enthusiasm and reverence to show him one of the worshipped mangos. Dr. Han Guangdi was his name and since he was one of the most well-educated men in the community, they wanted his feedback on the blessed fruit.

main qimg 884ba136f10e7f845bf4f017538e4ca5
main qimg 884ba136f10e7f845bf4f017538e4ca5

“It’s nothing special,” Dr. Han said honestly, “it kind of just looks like a sweet potato to me?”

The crowd went wild. How DARE this man of words, this intellectual, not appreciate the great mango? Did he not know Mao himself had received these mangos from Pakistan’s prime minister? Did he not know they were a gift “to the people of China” and that they were hallowed fruits, not just mere sweet treats?

Dr. Han Guangdi was paraded throughout Fulin, taunted all along the way and ridiculed for his appalling lack of respect and proper reverence for the Holy Mango. He was then summarily executed in the town square before the eyes of his village mates. Mass hysteria is a curious thing, and appeals mostly to those with room temperature IQs.

Quora Prompt Generator, you’re so, so clueless its pathetic.

Intel? Who’s that? Forget Intel. They’re last year’s news that you’ll never hear from again.

Let’s talk 2023. Biden people like Raimondo were declaring last year that by launching their sanctions to deny access to advanced chips and ASML’s EUV machines they’ll be setting back China by 20 years.

Let’s talk January 2024 this year. Heard of the red hot company called Nvidia that had been skyrocketing through the roof because of its AI chips selling like hotcakes that but banned from China. Well, China doesn’t need these A100s because its Biren AI BR100 chips are even faster.

Let’s talk just this week. China’s SMIC just announced submission of patent on patterning technology to produce 3 nm chips that does not need the EUV machines!

Effectively, it is from 20 years to 9 month! Note – it took U.S. companies more than 5 years to advance from 7 to 5 nm, it took 2 months for Huawei and SMIC to go from 5 to 3 nm.

And who’s leading now?

Latest update is that Apple is likely to reveal its TSMC 2nm chipset for the iPhone 17 series by September 2025.

[News] TSMC’s Primary Client for 2nm Chips Expected to be Apple, Set to Debut with iPhone 17 Lineup Next Year | TrendForce Insights . Previously, TSMC has indicated that TSMC’s 2nm process will be deployed as scheduled in the second half of 2025, indicating that before that, the most…

This is more than a year from now!

Let’s say Huawei and SMIC just focus on integrating its 5.5 G technology using the 3 nm chips, Huawei will have a new release that is just as advanced over the iPhone 17 as its Mate 60 is now over the iPhone 15.

China has outcompeted the U.S. companies – as well as TSMC and ASML. They’re not needed anymore in China. And those fabs TSMC using Biden’s $52 billion subsidies is building in Arizona, they better rethink plans because they’re looking more like “white elephants“.

Ditto for all those fabs that Intel is building.

The Most Mind Blowing Videos You Shouldn’t Watch Alone (July 2024 Edition)

I’m going to tell you the story of how I killed a patient.

I was in my 3rd year of medical school. I had just started my clinical rotations. I had just come off of my psychiatry rotation and was starting my internal medicine rotation.

In teaching hospitals, you operate as a team. You have an attending who leads a team comprised of residents, interns, medical students and the occasional pharmacist.

I was nervous when I learned of who my attending was, because everyone had warned me that she was the one you didn’t want to get. She was very exacting and brilliant.

It did not take me long to realize that I was actually lucky…BECAUSE she was so exacting and brilliant, and held such high standards for her team.

As a medical student, you get assigned a few patients, or pick up some new ones when you admit them from the ER. Every day, you go see your patients (round on them), perform a physical exam, write a note, and write your orders. Your intern or resident then comes along later and co-signs your note and your orders if they agree with it. Later you all round as a team on each patient and discuss each patient.

This is where a lot of the teaching occurs as the attending teaches the residents or the residents teach the students. As a student, you are asked numerous questions designed to test your knowledge. Generally these questions continue until you stop getting the answers right. Then you know the area you need to study later that evening.

Medical students don’t have a lot of autonomy or authority. A nurse cannot follow the order of a medical student until an actual doctor co-signs it. As a medical student, your primary purpose is to learn and to one day become a good doctor.

My internal medicine rotation was at a VA Hospital. These hospitals are among the only places where beer is regularly served on the dinner trays. We were caring for veterans. Many of them were alcoholics.

One of my first assigned patients was an elderly gentleman who had been hospitalized for over a month when I started my rotation. He had metastatic colon cancer. By the time I was assigned him, he had not been fed in several days. He had a bulky tumor within his bowel, and everyone was afraid it would rupture if he took food.

He was receiving some sustenance in the form of IV nutrition, which is completely unsatisfying. The first few times I saw him, he tolerated my history taking and physical exam. Not long after, however, he had a one track mind.

”Please can I have something to eat??” he’d beg me.

I would apologize profusely. I would explain that the surgeon didn’t want him to eat. I explained that his oncologist didn’t want him to eat. And every day he looked dejected.

One by one, all of the doctors signed off (the surgeon and the oncologist and the radiation oncologist etc.). There was nothing they could do for him.

Finally, we were the only team remaining to care for him.

My resident seemed to be at a loss. He looked at me.

”What do you think we should do?” he asked, and waited for my reply. It was the first time a medical doctor genuinely wanted my opinion.

”I think we should feed him,” I replied without hesitation.

I wrote the order and he cosigned it.

I went into my patient’s room when the dinner trays came in. He looked like a child on Christmas when I told him he could eat. He maintained a huge smile in between bites.

He died that night.

I still regret it.

I regret that I gave him that tray of hospital food instead of finding out his favorite meal and making it for him.

China has nothing to hide. Seems PH has things to hide. Below is my post.

Is Philippines leaders hiding things from their people? Are PH leaders trustworthy? On 2024/7/22, China told the world that China & PH has reached a consensus & signed a memorandum. China released the dialogue contents. The next day, PH said it did not agree to 1 point. Which point?

China Foreign Affairs spokeswomen Mao Ning told the world:

1, PH has broken Declaration on Conduct of Parties in SCS (南海各方行为宣言 DOC) that was signed by China & 10 ASEAN countries incl PH on 2002/11/4.

2, PH can resupply humanitarian things to the rusty junk ship at Ren’ai shoal under the watchful eye of China. PH must give notice to China before the resupply.

3. No non-humanitarian stuff eg construction materials is allowed.

On the next day, PH said it has not agreed to give China notice ie point 2.

Mao rebuked : Keep your (PH) action consistent with what you said.

Not the 1st time

In May 2024, China released a 2024/1/3 audio between Chinese military & PH’s WESCOM chief Carlos who agreed to points 2 & 3 above. After the release, PH at first said the audio was fake. Then changed to accuse China of releasing the audio without PH consent. PH said it was a private conversation (I add) that PH does not want its people to know that PH complies with China.

See, PH leaders is hiding things from their people.

Only fools will believe there is no written Memorandum in meetings between 2 countries? Even companies have memos. But Marcos act surprised that Duterte had memo/gentleman agreement with China. It forced China to confirm the existence of a memo with both Deturte & Marcos.

PH then argued it was just a gentlemen agreement. Not a signed treaty.

Remember PH signed DOC (see point 1). Yet Marcos breaks it. Really … if you talk to a person with no credibility or shame, you go nowhere.

Well, China, release everything: memo, recording & video. This is the only way to deal with shameless people. Dont dream that shameless people will change his personality one day.

USA

D. Kritenbrink, Assistant US State Secy for Indo-Pacific, said USA welcomes the China-PH dialogue. Translation: USA has no time (Biden steps down) or ability to fight China. Because US has lost the electromagnetic battle to China & USA is to withdraw its troops from the 1st island chain.

Kritenbrink added that PH itself directed the conflicts. ie USA discards PH like a used condom.

Trump has paired up with JD Vance who said: Ukraine is not a US business. (I add) PH is also not a US business.

PH delusion

PH deludes that PH is protected by the US-PH Defense Treaty. PH sent its special elite soldiers to fight China at Ren’ai shoal on 2024/7/17.

But China only sent coastguards. The US-PH defense treaty cannot be invoked. Not to mention under UN, PH has the problem with the legality of its territory in SCS.

PH is also deluded to take humiliation as bravery by giving a medal to its soldiers who were so badly defeated by China’s coastguard where PH’s rifles were confiscated. Soldiers got detained & required PH coastguard to pick them up.

PH also deludes itself by signing a treaty with Japan. But Japan is not stupid. Japan will not fight China. Japan is only taking this chance to upgrade its military to offensive from defensive as defined in Japanese constitution.

No matter how many PH is to get some kind of military agreement with other countries eg Australia, no country will be so stupid to sacrifice its economy & people for PH. Because PH’s economy is peanuts compared to China. Who hates money? … Marcos is too hype on its importance.

The Pascagoula UFO Incident | When Nightmares Come True

Sometime you got to take the bite to get the paycheck

I once ran a special insect control project that we called “The Elephant Project”.

This was in Boston, and we were developing a system that attracted mosquitoes and then trapped them and killed them. It was based on the vibrations of the tiny hairs on mosquito legs; they are sensitive to the pulsing of blood through veins.

I want to talk about how we tested our devices; our inventions.

We had constructed a small room; square three meters per side, and three meters high. There was an observation window, and a airlock to inject mosquitoes into it.

We bred mosquitoes at the local Tufts University for our experiments. I would run over there, get the cooler full of them, and we would conduct the experiment. We inject 100 mosquitoes into the room, and run our device for one hour. Each time they landed and bit, the capacitance of the machine would register a “hit”, and we could record how effective the machine was in attracting the insects to feed.

That is all fair and good.

But we needed to compare that to a human.

So we all took turns.

One of us would sit in the room reading a book for an hour. And once the experiment was completed, we would count the bites on the person.

Yeah, and even I endured that experience.

Most humans averaged from 34 to 37 bites per hour.

Our machine averaged, when we started, at about 27 bites. But with some tweaking and some refinements we were able to get it to register from 300 to 400 bites per hour. Those machines were mosquito magnets!

Now, there is a lot of things that I can say about this project… what happened to it. What happened to the people and the patents, and all the rest. But that is not really germane to the story. What is important in regards to the story is the experience in sitting in the chair and subjecting ourselves to being bit in the name of discovery and being a good employee.

Sigh.

The company was being sold, and I and a few others were let go. Oh, very friendly. But still it hurt. The owner of the company; Jerry hunted me down and told me that he had second thoughts about letting me go as I was the resident “inventor” and guys like us are really rare.

But… well, that’s a different story for a different time.

Sometime you got to take the bite to get the paycheck.

Today…

If you are a Taiwanese, accept PRC like Qing (清) accepting defeat by Sun Yat-sen (孙中山)revolution in 1911.

After 1911, it was Beiyang (北洋政府) which was recognised by the so-called UN at the time.

Sun rebelled Beiyang & set up a Nanyang (南洋政府) in Guangdong (广东). From the legal point of view, Sun was a rebel.

Then Chiang Kai-shek (蒋介石) inherited from Sun. diplomatically overthrew Beiyang in Beijing (北京) in 1928. Beiyang under Zhang Xue-liang (张学良) accepted “defeat” & changed the then national flag (五色旗) to Nanyang’s 青天白日旗

In 5000 years of Chinese history, our ancestors accepted defeat & moved on with life.

KMT under Chiang was militarily defeated by CPC under Mao

CPC followed the tradition to change the name to PRC & the national flag to 5-star (五星旗)。

WHY CANNOT TAIWANESE ACCEPT DEFEAT & MOVE ON WITH LIFE?

PRC was dictatorial at first with 三反五反 & cultural revolution (文化大革命).

Was Chiang not dictatorial when he was on mainland from 1928–1948 & in Taiwan after 1949? Sun Yat-san was so dictatorial after 1911 that his comrades eg 王兴 etc left Sun.

All 开国功臣 who started a new government must be dictatorial. Why?

Because right after defeat, old-timers would not accept defeat & would rebel the new leader. Mao was no different from Sun or Chiang or Qing Emperor Kangxi (康熙皇帝) etc.

Is today’s PRC okay? Take a trip there. See it with your own eyes. Dont listen to DPP politicians who work for personal power & money (corruption).

If you are a foreigner, dont support Taiwan independence. No country will tolerate secession, subversion, terrorism & collusion with foreign force. Your support for Taiwan independence is encouraging Taiwanese to commit crimes. Sure, it is not you who will be jailed, but the Taiwanese. Your support ruins their future of jailed Taiwanese.

Some vintage art

44ad303608a334163003d1f40fe6fc2a
44ad303608a334163003d1f40fe6fc2a

b2ce22b649efe93fe90a161466af1359
b2ce22b649efe93fe90a161466af1359

f0a193ecc71534341cd46b2c85a9ce2f
f0a193ecc71534341cd46b2c85a9ce2f

99a0b84db8b879386de581c1676e4d43
99a0b84db8b879386de581c1676e4d43

b81d37e0e2d68cdfad9f6fa289edbd51
b81d37e0e2d68cdfad9f6fa289edbd51

550060285740501f64a4901ec1c60c1d
550060285740501f64a4901ec1c60c1d

d74360249e5d78f3a464ff7505c204a2
d74360249e5d78f3a464ff7505c204a2

68f63cd1fa390419a5e2802ffde51978
68f63cd1fa390419a5e2802ffde51978

26db2ce9a2482bc1a6f530fc9a98f6ba
26db2ce9a2482bc1a6f530fc9a98f6ba

7ef804dde97cc1f13bcfb01c4b6dccca
7ef804dde97cc1f13bcfb01c4b6dccca

748818fd3b3844aa0e1fd4870520d8f7
748818fd3b3844aa0e1fd4870520d8f7

147da5193c2f989df6212464f92c1559
147da5193c2f989df6212464f92c1559

fa202c41c31ddc8c36e98b87efa0615f
fa202c41c31ddc8c36e98b87efa0615f

ee15844a993261781964a1aaecd70d81
ee15844a993261781964a1aaecd70d81

b85031c9b53738825bf228c429f4e77f
b85031c9b53738825bf228c429f4e77f

a3c275e7eb06922ed607634d23b55d83
a3c275e7eb06922ed607634d23b55d83

744eb956d9feabceadead89a405d2a7d
744eb956d9feabceadead89a405d2a7d

1c436e2e6b5491b29688c8a6e5a66f70
1c436e2e6b5491b29688c8a6e5a66f70

d1387bbe3abece7e16135231071erc30dc
d1387bbe3abece7e16135231071erc30dc

f0e31b4d5d83cc8b2161b34746d6045c
f0e31b4d5d83cc8b2161b34746d6045c

278f2facd4e6014730a7836f1caec467
278f2facd4e6014730a7836f1caec467

10cc7f68ece51228ffe2281cdc2fbaa7
10cc7f68ece51228ffe2281cdc2fbaa7

8520ff273cc1e350bb2105259e56d3ed
8520ff273cc1e350bb2105259e56d3ed

15a62d5415ca67f787974a66bf5859cb
15a62d5415ca67f787974a66bf5859cb

a6360f5fbcc21bc52a80597b8931ea02
a6360f5fbcc21bc52a80597b8931ea02

42fcfd92d2f79c3399c4a08793fe09c2
42fcfd92d2f79c3399c4a08793fe09c2

398f00b54d47d6e0f39bde817fecf055
398f00b54d47d6e0f39bde817fecf055

4bbd0f506aa22c1073a7768340bc2be4
4bbd0f506aa22c1073a7768340bc2be4

6020c0001b6a97f3fea45f243e77eb80
6020c0001b6a97f3fea45f243e77eb80

84524c36d2afeb7eb54bf8aaa0b02b92
84524c36d2afeb7eb54bf8aaa0b02b92

f63c9fe7e94635ecd3ef78ea962be500
f63c9fe7e94635ecd3ef78ea962be500

7a11600dec03eaaaf1004770ac28a3c6
7a11600dec03eaaaf1004770ac28a3c6

703e82f60b28e6df9655cefecb5eff8d
703e82f60b28e6df9655cefecb5eff8d

b7f0bc168ab75fa746982f1496bf6c99
b7f0bc168ab75fa746982f1496bf6c99

a535a233cfe20475705a82c48cf3af24
a535a233cfe20475705a82c48cf3af24

33963ed9f13e05be2757a773812163d3
33963ed9f13e05be2757a773812163d3

92823b5306c6ab85b4b23d49b4d54573
92823b5306c6ab85b4b23d49b4d54573

f41177c9e5e54705269cdb99de8562cb
f41177c9e5e54705269cdb99de8562cb

b431863b04fb6f95454f750cf5d56c5b
b431863b04fb6f95454f750cf5d56c5b

45d166b1df291df68e7d18685ccb3c1e
45d166b1df291df68e7d18685ccb3c1e

8783f62035a9f71d8ee24b960e1534ca
8783f62035a9f71d8ee24b960e1534ca

b9816ccef744ae5eb86ca95b869025f1
b9816ccef744ae5eb86ca95b869025f1

0c0f539b8da491cf2098f6fc4c4a6329
0c0f539b8da491cf2098f6fc4c4a6329

ec7dd918acecbf623fa67833dfee6d9d
ec7dd918acecbf623fa67833dfee6d9d

bd1a9a7eb09ba58c6717534e34796f90
bd1a9a7eb09ba58c6717534e34796f90

5c50b4e8a587d3c0205125ef4f98eadd
5c50b4e8a587d3c0205125ef4f98eadd

2437c1ab7bddf8c85fd8ce2a07ae5197
2437c1ab7bddf8c85fd8ce2a07ae5197

08951af5c299e335b3cef9c6d57f8962
08951af5c299e335b3cef9c6d57f8962

1743974de2072e275d6684348bae0d6f
1743974de2072e275d6684348bae0d6f

8d02e69b1e16738cba86af93b1ad0d59
8d02e69b1e16738cba86af93b1ad0d59

a58ccbaaf44ce3290759e5ec934eb303
a58ccbaaf44ce3290759e5ec934eb303

f0a16d4820987d4a79b6876409e86eba
f0a16d4820987d4a79b6876409e86eba

b4c8c911415d91e9f80c10fd427aa9f5
b4c8c911415d91e9f80c10fd427aa9f5

7265e96aef409137c9a80d05bf82a66a
7265e96aef409137c9a80d05bf82a66a

c26f411707634ffaed01fedfb53b7150
c26f411707634ffaed01fedfb53b7150

02277c2edae841919d14c87f44f22377
02277c2edae841919d14c87f44f22377

d266d5542859f15e268d7e0bc2c6a3b2
d266d5542859f15e268d7e0bc2c6a3b2

3df84c2171087f08d5b62269da11dbe5
3df84c2171087f08d5b62269da11dbe5

0b441afe5e8f340c6ef69e400b6c4ba2
0b441afe5e8f340c6ef69e400b6c4ba2

Why did Europeans colonised practically the entire world for some 400 years?

Put it bluntly: P I R A C Y of other’s resources.

Why does today’s USA want to maintain superpower? Put it bluntly: modern-day colonisation without occupying other’s land. But to remotely control other’s government & resources.

After WW2, from 1946-2001, in 55 years, there were 248 wars around the globe. 201 of them ie 82% were instigated by USA. In 240+ years since US independence, there were only 16 years when USA was not in a war. But it is US vassals who shed blood & lose life for USA.

Before war, USA will instigate countless unrest eg protests, riots & coups in those countries. At least 56 coups in Latin America since WW2.

There is only 1 motive for USA: money & power/dominance. Nothing to do with righteous.

1, money:

Both US military industry (MIC) & FED are private corporations run by capitalist sharks & not by (responsible) government who would focus on the welfare of the country eg economic development.

MIC makes tons of money thru wars & arms sales. They lobby US government to create wars in other countries.

US politicians also make $$$ by buying MIC stocks or working as a MIC salesman to other country.

Another capitalist shark, FED, sucks foreign capitals/investments to USA from unstable & war-torn country because there is no war in USA.

See, if there is peace in the world, MIC & FED will create a war somewhere.

Other capitalist sharks eg Wall Street makes $$$ from post-war construction/investment in war-torn country.

US senator L Graham told the truth re Ukraine war: ROB Ukraine of its rich minerals.

2, power/US dominnance

In the Ukraine war, USA uses 1 stone to kill 3 birds: weakens the economy of both US competitor Europe & US enemy Russia, as well as colonises vassal Ukraine after war.

USA does not let Ukraine reach peace deal with Russia (to stop the war). Nor let Ukraine attack Russian soil (to win the war). Why? To drag the war so as to economically weaken Europe & Russia. And to make Ukraine as ruinous as possible for US post-war investment.

conclusion

How many people suffered in a war? In the Ukraine war, the entire world is affected by the interruption of supply chain eg food resulting in global shortage & inflation. Not yet counting the dead & displaced.

USA wont not let world peace to happen. USA must create unrest/war thru its puppets eg Ukraine & Philippines.

War is in the DNA of USA.

Are capitalist sharks nice to Americans?

US taxpayers pay the interest of the (high) US debts that is created for MIC-incited wars. Not to mention if USA can clear the debt at all.

Capitalist sharks dont maintain infrastructure. There is derailment almost daily. Making USA like a under-developed 3rd world. The list is long.

Pattaya. Soi Buakhao lanes. Thailand.Walking around Pattaya.May, 2024.

Two different times. Moved to GA from HI when I was in 4th grade. Hawaii schools were in sync with CA which were the best in the nation at the time and GA had no idea where to put me so they stuck me in the “slow” class. By the end of the year, they still hadn’t caught up to where I had been the previous November. When I dared ask the teacher if I could read while she taught a lesson I already had down pat, she said I was stupid to even ask. NO, I had to listen and answer and she then gave a pop quiz which I aced. I was accused of cheating and given an F and was told she was flunking me for 4th grade. Told my parents and they threw a fit since I had been complaining for months that I hadn’t been taught anything new and I was sick of school (loved it until then). There was a generalized test given to see how advanced the students were and I aced it so going into 5th grade, I was in the Academically Advanced class. The last day of school, that beotch announced to the whole class that I would be in a different segment of the school and bound to fail. I got straight A’s in 5th and 6th just to spite her and found out again, that I liked to learn.

I took art in HS from a man who hated females and was told 2 weeks into the class that I should switch to something else since I had no talent. I didn’t switch but did the following semester. He told me that in front of the class and the boys all got A’s while the girls were lucky to get B’s. At the independently judged show at the end of the semester, I ended up with two awards voted on by the viewers and it turned out that that show bumped my grade from a C to a B and he was furious. I have since made thousands on my art—oil paintings, clay work and beadwork.

After my husband lost his job in August, 2018, we thought maybe we should try to buy our own business.

Mike has been in the “World of Bowling” since he was five years old. He went to WSU in Wichita, Kansas, and became a professional bowler in 1987.

Later he managed bowling centers in the United States, South Korea and Guantanamo Bay, Cuba.

“We’re not getting any younger.” he said. “It’s now or never.”

We could only get preapproved for a business loan for $300,000. We knew it would take a lot more than that to purchase a decent center.

On November 12th, 2018, Mike said “Just for kicks, lets go look at this empty bowling center in Roanoke Rapids, North Carolina.”

“Why waste our time and gas? You know we can’t put the money together.” I said.

Reluctantly, I went with him. We looked around the town and talked to some of the nicest people I have ever met. We asked if they would like to see the bowling center up and running again. Everyone said “Yes! Please come and open it back up.”

The bowling center had been closed for seven years. It’s strange how fast a building can deteriorate. As we looked through the glass windows and doors, we could see vines growing on the walls. The roof had many leaks and the walls were covered in mold.

The real estate agent showed us around and told us how the building had been vandalized. The copper wiring had been stolen.

She asked how much we thought it would take to get the building fixed and functioning again? Mike told her it would depend on whether the machines could be fixed. Most likely, if the machines did not have to be replaced, $400,000 to $500,000.

“Are you interested?” She asked.

“I like your town.” he said.

“This community needs it, but I know that I cannot get enough money put together to make it happen.”

We talked for over an hour. I felt a bond with her. I was sad when we left because I knew this could never happen.

“What was the reason for us coming here?” I asked. “We need to stop dreaming and you need to start concentrating on getting another job.”

On March 6th, 2019, my husband’s phone rang.

“Is this Mike?” asked the man on the other end.

“Yes it is.” said Mike.

“My name is Tim. My wife was the realtor who showed you the bowling center in Roanoke Rapids.” he said.

“We just purchased the center and we were wondering if you would consider helping us fix it up and run it, with the possibility of you purchasing it from us later.”

After completing his conversation and gaining more information, Mike called me.

“Oh, my God!” he said laughing. “You are not going to believe what just happened!”

He told me the whole story. All I have to say is, God is good! We are beyond excited and very grateful for this opportunity. It’s not a for sure thing yet. It will come down to if the machines operate and the lanes are still functional.

I have a feeling we will very soon be starting a new chapter in our lives. I now understand why we went to Roanoke Rapids, North Carolina. My heart is happy! And I am excited for this new adventure.

Update:

3/30/2019

The electric is still not on. They had hoped to have had new wiring finished but ran into some red tape.

The owners of the building are out of town until mid-April. As soon as they return my husband and his mechanic will make the four hour drive to Roanoke Rapids and fire up those machines!

Thanks for all your prayers and support. My husband did talk to a gentleman last weekend who use to do some maintenance on the machines. He is in agreement that they will run, but they will need a lot of tender, loving care, in order for them to perform and work to their full potential.

I’m still praying hard and my husband is still working on getting quotes for all the improvements. Stay tuned. Hopefully by my birthday, April 22nd, we will have good news.

Update:

April 23rd, 2019

Things have been creeping by very slowly! We ran into problems with the new wiring passing inspections; finally, everything passed. My husband left early this morning to start the process of getting the machines functioning to their full potential.

We still have not gotten a complete answer from the owners of the building, on if they are going to keep moving forward with the bowling center. This is a little frustrating. Once they see the machines operating, we are praying it will be a full go! God must be teaching us patience!

As soon as we get a full commitment from the building owners, I will start a Facebook page for the business so people can keep up with our progress on the renovation. Thanks for all the prayers! When we finally open our doors, I hope many of you will stop in for a visit.

Trump & Biden: CHINA! CHINA! CHINA!

I didn’t know how poor we were until I was in high school.

I thought it was normal to scrounge for spare change in the couch cushions and under the seats in the car to buy a pound of ground beef to go into the ever present Hamburger Helper.

I thought it was normal to bounce checks in order to keep the utilities on at home.

I thought it was normal to move houses every year or two after getting too far behind on the rent.

Every year I got exactly one outfit bought new at the store – my Easter dress. Of course, there was always a strict budget for this indulgence as well. One year we just couldn’t afford a new dress, so my mom made me one on the sewing machine she inherited from her mother. It wasn’t a smashing success, since she didn’t quite finish it so I wore it to church unhemmed and with threads dangling.

The rest of my clothes came secondhand, mostly through donation boxes from the church. Yard sales and flea markets were also great sartorial treasure troves.

When the rest of my class went on field trips I sat in an empty classroom with a bored teaching assistant because I couldn’t afford the museum ticket or other associated cost.

How does it feel to be poor? It felt normal to me.

Then I got to high school and somehow got invited to a party at one of the cool kids’ houses. It had two stories AND a furnished basement. There were no marks on the walls and all the furniture matched.

After that, it felt resentful. My classmates would poke fun at my clothing – most of it was the cast-offs of adult women. It didn’t fit well and wasn’t age-appropriate.

I couldn’t have friends over to my house. I tried once and it didn’t go well.

Being poor sucks. It’s constant shame and comparing yourself to others and coming up short.

When I was in high school, we had a really tough math teacher. She set the bar extremely high and demanded that we meet that bar. All of us excelled at math as a result, and aced university entrance tests later. But at the time, it was sheer torture.

One day after a particularly grueling amount of home work, our entire class decided to write a petition to the principal, asking for the teacher to be removed. It was unprecedented, but everyone felt very strongly about it. However, I felt that the petition was unjustified and also will fail. I refused to sign it.

My classmates, most of them are close friends of mine, applied a lot of peer pressure on me to sign. Out of 40 students, I was the only black sheep that didn’t want to support the flock. I felt bad for them, but not tempted to change my mind even for a moment.

The class proceeded without me and watched in horror as our teacher took it very badly. She didn’t have a family and invested her entire life into her students. She was devastated. She spent days spotting red puffy eyes.

Up until that moment I didn’t realize that it’s important to always do what’s right, even if literally everyone else is doing something else. It really helped me in the future when I was facing a lot more high-stakes situations but was able to stay calm and act with integrity. I’ve never regretted this approach.

I visited 8 Chinese factories in 8 days… MIND-BLOWING!

No, but my mother did, and it was one of the greatest things I’ve ever witnessed. 😀

I was a teenager and my younger brothers would have been around 10 and 11. We were poor but raised with very good manners, so when my family was gifted a meal at a very fancy restaurant, there was no question that we would behave perfectly and eat what was put in front of us without any fuss. And we did.

However, when the first course was served, my parents chose salad and all three of us chose the soup. It was a pale green, creamy soup and there was some question about exactly what was in it. Don’t get me wrong; we all liked vegetables and we all agreed that it was delicious. We were just curious, nothing more. One of my brothers was sure it was pureed lima beans, while the other was equally convinced that it was split peas. (For my part, peas seemed more likely, but I didn’t care enough to argue about it.)

The restaurant was very quiet, just sounds of silverware against china, ice in glasses, and quiet conversations. And we were mostly quiet too, but my brothers’ disagreement got increasingly heated and they started getting a little louder without really realizing it. My parents hushed them a couple times and they would quiet down but, as siblings sometimes do, they refused to let it go entirely so they kept getting louder again.

Around the third time this happened, people were starting to look at them and my mother was getting embarrassed. So, in a voice that her annoyance and embarrassment made significantly louder than she intended, she said, “Boys! Be. Quiet! It’s pea! I can smell it from here!”

Utter silence. Forks stopped moving, waiters froze in their tracks, all conversation stopped.* My brothers and I were scared to laugh for fear of making things worse, then suddenly my father burst out with a snort-laugh and we all lost it, even my mom. And everyone around us was laughing too. Not in a mean or mocking way, but in a shared moment of fully recognizing the ridiculousness of the whole situation. It. Was. GREAT. 🙂

* Ok, I might be remembering that particular moment a bit more dramatically than it actually happened; it’s hard to say for sure after all these years. But I promise I’ve recounted this whole story exactly as it happened to the best of my ability. It really was a wonderful shared moment, and a legendary event in the history of my family.

Jalapeño Jack and Sausage Pasta Bake

jalapeno jack sausage pasta bake
jalapeno jack sausage pasta bake

Yield: 8 servings

Ingredients

  • 12 ounces penne, mostaccioli or rotini pasta, uncooked
  • 1/2 cup (1/2 stick) butter, divided
  • 1 pound uncooked chorizo, broken apart
  • 1 green bell pepper, diced
  • 3 cloves garlic, minced
  • 1/3 cup all-purpose flour
  • 4 cups milk
  • 1 teaspoon salt
  • 3 cups (12 ounces) Wisconsin jalapeño monterey
  • Jack cheese, shredded, divided
  • 1/2 cup dried breadcrumbs
  • Paprika (optional)

Instructions

  1. Heat oven to 350 degrees F.
  2. Cook pasta according to package directions, drain and set aside.
  3. Meanwhile, set aside 2 tablespoons of butter for topping.
  4. Melt remaining 6 tablespoons butter in large saucepan. Add chorizo, bell pepper and garlic; cook 5 minutes, stirring occasionally.
  5. Sprinkle vegetables with flour; cook and stir 1 minute.
  6. Add milk and salt; bring to simmer. Simmer uncovered for 2 minutes, stirring frequently.
  7. Remove from heat; stir in 2 cups of the cheese; stir until melted.
  8. Place pasta in large pot. Add cheese sauce; mix well. Transfer to buttered 13 x 9-inch baking dish; top with remaining 1 cup cheese.
  9. Melt reserved 2 tablespoons butter; toss with breadcrumbs. Spoon bread crumbs over pasta and sprinkle with paprika, if desired.
  10. Bake for 30 to 35 minutes or until sauce is bubbly and breadcrumbs are golden brown.

CHINA is NOT WHAT We Expected at ALL 🇨🇳 (first time in Shenzhen)

  1. She never met her father
  2. Her mother was mentally ill, she spent horrific days with her as well as happy days, but they were short. When she finally got diagnosed, she couldn’t recognize her daughter anymore
  3. She spent her childhood in the orphanage and the foster homes
  4. She had to get married at 16 to avoid going back to the Foster homes because many families refused to take care of her
  5. She wasn’t able to become a mother, although she really wanted to
  6. One of her famous pictures ruined her marriage
  7. Every relationship she was in failed (divorced 3 times)
  8. Later on, she was diagnosed with a mental disorder.
  9. She was seeing a psychoanalyst daily in her last year
  10. She was only 36 when she killed herself, some people assume it was a murder

Life wasn’t fair at all with Marilyn Monroe from birth till her death

She had EVERYTHING, but she wasn’t happy. What makes me really sad is the discovery of her tragic life after her death only.

“She was a girl who knew how to be happy even when she was sad. And that’s important—you know” Marilyn Monroe

People were only able to see her as the happy, sexy, beautiful woman, but no one was able to see the sad Marilyn

Mostaccioli and Shrimp with Tangerine Basil Sauce

mostaccioli shrimp
mostaccioli shrimp

Yield: 4 to 6 servings

Ingredients

  • 1 pound Mostaccioli, Ziti or other medium pasta shape, uncooked
  • 5 1/2 cups tangerine or orange juice, divided
  • 1 large yellow onion, minced
  • 1 tablespoon minced, seeded jalapeño pepper
  • 2 bay leaves
  • 2 tablespoons minced garlic, divided
  • 1 tablespoons olive or vegetable oil
  • 1 medium red onion, thinly sliced
  • 1 pound medium shrimp, peeled and deveined
  • Salt and freshly ground pepper to taste
  • 1 cup diced Brie cheese (about 4 ounces)
  • 2 tablespoons thinly sliced basil leaves
  • 1 cup tangerine or orange segments
  • 1/3 cup slivered almonds, lightly toasted

Instructions

  1. Combine 5 cups of the tangerine or orange juice, the yellow onion, jalapeño, bay leaves and 1 tablespoon of garlic in a medium saucepan. Bring to a boil and cook until liquid is reduced by two-thirds.
  2. Remove the bay leaves. Allow to cool.
  3. Transfer juice mixture to a blender and blend until smooth. Add salt and pepper to taste and set aside.
  4. Prepare pasta according to package directions.
  5. While pasta is cooking, add the oil, red onion and shrimp to a medium skillet. Sauté for 1 minute.
  6. Add the remaining 1/2 cup tangerine or orange juice to the skillet and cook over low heat.
  7. Drain the pasta, return it to the pot and add the reserved orange sauce and the shrimp mixture. Cook over low heat 1 minute.
  8. Stir in the Brie and basil. Stir until the Brie is melted.
  9. Transfer to a serving bowl.
  10. Garnish with orange segments and toasted almonds.
  11. Serve immediately.

Soup Porn

1c96e50f6aa2454fd9fa5cea6d4680bb
1c96e50f6aa2454fd9fa5cea6d4680bb

33bb20e872d7e6302a5d6592d879dee9
33bb20e872d7e6302a5d6592d879dee9

36d65775794369151efbc8444fb61da4
36d65775794369151efbc8444fb61da4

41be8a88f6c361f3b72bd0e0fec5c5ff
41be8a88f6c361f3b72bd0e0fec5c5ff

ee14083738f8a46219f60b420cc0cdce
ee14083738f8a46219f60b420cc0cdce

7f2c00ddb52cc369c48f0cb7913cc138
7f2c00ddb52cc369c48f0cb7913cc138

9e4897f7110530922cfc901bcbd42b81
9e4897f7110530922cfc901bcbd42b81

df4ed57e7f3bea9d07386fbbdf23c01f
df4ed57e7f3bea9d07386fbbdf23c01f

956b831e85ed5f37165cdc8f32a10cad
956b831e85ed5f37165cdc8f32a10cad

5263761903e2c317a8c1cf49cf54985d
5263761903e2c317a8c1cf49cf54985d

756066d6c020298fc2dfe219a6d72c5e
756066d6c020298fc2dfe219a6d72c5e

b2307d421e8499d455ce22ffde824f36
b2307d421e8499d455ce22ffde824f36

29a5e7c067a7c16bcfd04e28c0135bc8
29a5e7c067a7c16bcfd04e28c0135bc8

db3e006357009892cb13b40d7f6d0e2b
db3e006357009892cb13b40d7f6d0e2b

411aaf20c378494b45787aeb260776a7
411aaf20c378494b45787aeb260776a7

59cc7a3e4412e67721879de914b2642f
59cc7a3e4412e67721879de914b2642f

788cce845a3204be83b724fce466bc2c
788cce845a3204be83b724fce466bc2c

1938c8ab653779256af5b862ba38ddfc
1938c8ab653779256af5b862ba38ddfc

f509a5ae3e8ebe0f50c099bcd7360b1a
f509a5ae3e8ebe0f50c099bcd7360b1a

535b11eec83fb8516a11a4fbe216a195
535b11eec83fb8516a11a4fbe216a195

31bff42ec5120c6235642f5d88cec621
31bff42ec5120c6235642f5d88cec621

0189001f05b5153e129c81d210d08fac
0189001f05b5153e129c81d210d08fac

@@@@@@@815f715b177c83fc136a71f82256a47e
@@@@@@@815f715b177c83fc136a71f82256a47e

Russia & China Unite to Form New World Order – Step-by-Step Breakdown! | Richard D. Wolff

A Leica M3 with dual-range Summicron 50/2

Even in the worst of the Great Depression in Post WWI Germany, Unemployment was 31% at its peak in 1931

In the US, during the worst period 1930 October to 1933 September – the Average Unemployment was 16.9%

So if China has 30% unemployment then the situation there must be worse TWICE than during the Great Depression

That means one of the 3.47 Million tourists who visited China on their 144 Hour Visa Free Entry between 1/1/24 and 30/6/24 would have recorded it fully and uploaded a Video

You see any?

As for 40% Homeless

That’s too ridiculous for a response given that another accusation against China is also accusing China of having MILLIONS OF EMPTY HOUSES

Only someone with a severe strain of NEUROSYPHILIS would make such illogical statements

$1 Noodles VS $163 Noodles in Vietnam!! (RECORD BREAKING Bowl!!)

On a freezing Sunday night I was eating dinner with my son, I suddenly felt unease I paused for a moment when I heard a scratching coming from the basement followed by a clang. Our area is notorious for violence due to drug related incidents, so no chances could be taken. I have a licence for a gun and I quickly went and fetched it from the safe, then went to a seperate area where I hide the ammo and loaded it.

My son saw what was happening and while he was quite pale, he knew what to do in such a situation because we had talked about it on numerous occasions. He stood behind me and we backed to the face of the wall.

After a few minutes of silence, I heard rapid steps from the basement stairs, from the squelching sound that his boots made I knew he had broken in from the air conditioner vent. Seconds later he dashed through the door and stopped around 2 meters from where we were standing.

Even now I can remember every feature of his face, the scratches on his cheeks and how he kept clicking with his left hand. He paused for a moment, and raised a pistol. My finger crushed the trigger and bullets tore through his body, he took a step forward but dropped to the ground with his legs twitching.

I used to laugh about the stories when people say that every night they see their victims face, but now I realise it’s true. What affected me most was his age, he later learned that he was just 22. As his raspy breathing began to halter, I remember his blue eyes, I feel that somewhere inside he knew I had to do it.

I’m sorry I had to do that but I don’t regret it – I had no choice. My son is my life, and I am responsible for his safety.

Do you have experience in the high school where a group of school friends harassed you? The leader may be jealous of your popularity in school. Others follow & join the harassment. It is a gang effect.

It takes a clear mind & courage to defy the (sick) leader. And leave the gang.

The US-led NATO has been instigating wars around the globe, harming humanity. For US interest only.

USA is sick. Why follows a sick country?

I would say BRAVO to Hungary.

This was my mom, not me, but my friends and I witnessed it. We were goofing off, riding skateboards in the parking lot of our small grocery store, when a fancy car pulls up, a Caddie, and a guy gets out and goes into the store, one of my buddies, a very large black guy, about turned white with fear. He recognized the guy in the Caddie as the head of the Klan in Mississippi, this was in Laurel, Mississippi late 70’s, at the tail end of the Civil Rights era. At any rate Junior barely got the words out when the door opened again with the dbag fleeing getting whacked by a broom, and my mother using language that I had never heard pass her lips before, or thereafter. She called him everything but a child of God as he hightailed it out of there. Two or three weeks later there was a race riot, and every single last convenience store, or grocery was looted and burned out except for ours. Mom always treated everyone the same, she had spent her teen years in a Jewish ghetto in Düsseldorf Germany watching Hitler come to power, she loathed racism in any form. In every other store in town if you were black and needed a hand, like store credit, they racked you up with high interest, we didn’t charge anything. If you were sick, mom showed up, usually with me, with Jewish penicillin, Chicken Noodle Soup, it didn’t matter what color your skin was. That is where I learned my morals.

‘Bombshell’ Exchange Overnight !!!

Between NATO and China.

Do not threaten China, folks.

 

Some thoughts on cat chat

I was always very impressed with Steve’s technical knowledge and ability to understand technical issues.

A good example of this was when my team created the built in iSight camera.

We had to replace the external iSight camera that was a CCD imager, with an internal CMOS imager. We had created a prototype iMac, with the new CMOS imager, and had it sitting next to a system with the old external CCD iSight. It was an A/B comparison, and we had it set up in the Executive board room where Steve could come look at it when he had time.

When it came time for Steve to compare the quality of our prototype to the existing external iSight, Steve started asking me very detailed and specific technical questions. I had the answers, but he kept digging deeper and deeper, till he asked about the difference between the light well gathering characteristics of CCD vs. CMOS.

This was not the type of questions you expect from the CEO of a large company. And Steve had his hand in EVERYTHING at Apple from marketing, to engineering.

I answered his question about the difference between the light well gathering characteristics of the two technologies, and then realized that he had reached the limit of my knowledge. If he pushed further, I would probably not have had an answer for him. (Not a good thing.)

He stood there, in his iconic pose, one hand on his chin, the other hand on his elbow, pondering if what we were presenting was good enough. Then he said, “OK, let’s go with it.”

I started breathing again. I realized I had been holding my breath, waiting for his decision. I consider myself a nerd savant (a title given to me by a friend), but Steve could surprise you with his depth of technical knowledge.

Did he know everything? No. But he was very sharp and could hold his own in technical discussions (at least with me).

Another example of his ability to grasp technology, was when I presented to Steve my algorithm for Real-Time High-Definition Blue-Screen Chroma-Keying (technically, my algorithm works with ANY color, not just blue). I had presented a demo of this to my boss, Mike Culbert, and Mike was pretty sharp, but he was having issues understanding the algorithm. But we set up a demo for Steve, and halfway through the demo, Steve was leaping ahead asking questions of how I had solved certain technical problems to make algorithm real-time. He saw where I was going with my algorithm, and had intuited not only what the existing barriers were, but anticipated what some of the answers might be. It turned out that Steve had many good ideas, but had not anticipated my ‘insight’ on how I was able to make it real-time. Steve asked me if others could make the same leap I did, as he was trying to decide if we should patent it, or make it a trade secret. We decided that it was unlikely that others would happen upon my insight, and that it would be best for Apple to keep the technology a trade secret. The algorithm went into “Motion” and “Final cut”. (I don’t know if they still use the same algorithm today.)

But this Chroma-Keying example shows that Steve not only grasped the technical implications of a technology, but also the business aspects as well. How best to deploy the technology, and if we should treat different technologies as patents or trade secrets. In this case, since we treated it as a trade secret, there was no patent filed for ‘teaching’ it to others. A patent allows you the right to exclude others from making, using, or selling an invention for a set amount of time, in exchange for you teaching how you did it. A trade secret does not disclose how you created something, and you are betting that others will not figure out the way you did it.

I think he did very well dealing with technology, and I miss his ‘teachable moments’. When my team was creating AppleTV, I asked Steve why we were not supporting NTSC composite signals. Steve looked at me and asked, “Do you LIKE watching composite video?” Composite video was the old analog video format that encoded luminance and chrominance using a 3.58Mhz phase encoded signal to determine the color. There were many artifacts associated with NTSC composite signals, but it was a brilliant retrofit to upgrade the old Black and White video format to support color. (So that old Black and White TV’s would still be compatible with the new color signals being sent out. The image would be Black and White on old sets, but you could still see it.) The reason I asked why we were not supporting NTSC composite, was because that was ‘the’ standard at the time, and just about every TV set supported that input. But Steve’s question made me realize that being ‘compatible’ with as many sets as possible was not our goal. Our goal was to create a device that would display our content on TVs in the highest quality possible. So, officially, NTSC composite was not supported on the first Apple TVs. But unofficially it was… If you plugged an RCA composite connector into the “Green” analog output of an Apple TV, it would output NTSC composite, which could be input to the ‘yellow’ RCA connector on most TV sets. We also had outputs for S-Video if you used the “Blue” and “Red” connectors.

But my point, Steve not only understood technology, more importantly, he understood how to apply it to the consumer market. When it was important for a product to be compatible, and when it was important for a product to push us forward to adopt new technologies.

Ultimately, Steve was wicked smart (most of the time). He kept me on my toes, and he always pushed me to do my best.

A caring kitty

I worked at McDonald’s recently as an “elderly” person (70s) and it is very hard work. Exhausting.

As a senior I wrongly thought it could be a fairly easy job, taking orders and ringing up sales at the counter, getting people coffee refills, wiping down the tables. You know kind of easy.

NOT!

Bosses at McDonald’s as well as the customers expect you to work like any 16-year-old. It’s demanding, grueling, hot work. You’ll work like a horse. If you can mop, clean the bathroom, wipe up messes, get ready. Because you’ll likely be doing that. At first I thought I would pass out from the heavy mopping.

There are alot of rules from management such as don’t put down a cloth you’re cleaning with. You had to toss it in a covered bucket or hide it. I hid many cloths because we’d run out of them. There was no one available to wash them. Too much work to be done.

You’d better be a multi-tasker because aside from your regular duties, there’s much restocking, replacing napkins condiments, etc. in between getting extra coffee refills for many of the old codgers. Making huge amounts of coffee in the morning. Sometimes spilling drinks. Makes me sick to think about it. Unbearable rudeness from all sides.

Aahh the customers. Some people go ballistic about their little McDonald’s meal. If it’s not perfect, they will let it ruin their day. And your day too. They’ll shout obscenities, get way over dramatic, and yell at a counter person like it’s all their fault. The prices are also the employees fault.

Some of them will get teary-eyed. I mean some people revert to childhood cuz you know McDonald’s reminds them of being a child. So they make ketchup messes. Oh please! McDonald’s is not worth crying over and yelling for heaven’s sake.

People are ridiculous!

In a word it’s tough. Tougher than I could ever have dreamed.

Bruno Lowagie

“I think I forgot my keys,” I say. When I turn around to go and fetch them, I suddenly realize that I didn’t leave any footprints in the snow. “Oops, I might even have forgotten my body.”I walk back to the office, and indeed: there I am, sitting behind my computer screen, still trying to figure out how to fight global freezing. Watching myself I must admit that my wife is right. She always complains that I do look like an old, scattered professor. I wonder if she still loves me.“Come on,” I tell my physical self, “It’s time to go home.”“Is it that late already?” my body answers, “Why aren’t there more hours in a day?”I reply with another rhetorical question: “Why is everything we say so predictable? Let’s go!”

“But I’m almost ready,” my living carcass whines, “Let me just finish one more thing.”

I look over my shoulder from behind my body.

“HIT ANY KEY TO SYNTHESIZE SAMPLE D-FROST 51!” says a dialog box on my screen. I watch my index finger hit the any key. Funny story: there was a time that key was missing from computer keyboards. It’s a miracle people succeeded in getting things done without it.

“Let’s see what we have,” my body proposes when an alert on the screen informs us that the “PROCESS HAS STARTED”. Together, we walk to a machine in the room next door. I hear cogs and gears moving frantically inside the device. Fluorescent lights flash on and off. Suddenly the room is filled with a deafening beep-beep-beep. A flask appears behind the glass of a small door of the contraption. It contains a green substance that glows in the dark.

“Excellent,” my body says, “We’re ready to go.”

“Good evening, Professor!” we hear on our way out. It’s Katrina. She’s our youngest and brightest assistant.

My body responds immediately: “Good evening, Katrina. See you tomorrow!”

Kristina returns a smile as sweet as honey. If only my body was forty years younger, I think, but I don’t say that out loud.

 

The ride home is uneventful. Traffic would have been hell if hover-cars hadn’t been invented. In the old days, snow and ice on the roads used to cause accidents and traffic jams, but the ability of mankind to find a solution for every problem made that a thing of the past.

Granted, man-made solutions don’t always turn out right. Three years ago, my team succeeded in solving the problem of global warming, but by doing so, we unleashed an eternal winter. Our experiment froze the complete state of Massachusetts instantaneously, along with some neighboring states. A specialized team had to fly over from New York to our laboratory in Boston to defrost us, only to discover that the cryogenic state we had put ourselves in by accident had caused our mind and body to be detached from each other.

Ah well, that side-effect has its advantages. For instance, I no longer need to get up to see who’s at the door when the doorbell rings; I can go and take a look with my mind. Of course, that doesn’t work well when you need to pee; that’s something I’ve had to learn the wet way.

Anyway, it was decided that we’d keep the larger part of Massachusetts, New Hampshire, Connecticut and Rhode Island in its frozen state, nature and people alike. We moved our research team from M.I.T. to Stanford where we set up a new lab to solve the new and interesting problem we created: global freezing.

In those early days, California wasn’t affected yet, but it didn’t take long before it started to freeze and snow in the Golden State too. That gave a whole different meaning to the famous quote attributed to Mark Twain: “The coldest winter I’ve ever spent was a summer in San Francisco.”

If Alcatraz had still been in use as a penitentiary institution, prisoners would have easily escaped skating over the ice on the Bay.

I know I’m almost home when I cross the Caltrain railway next to Redwood City’s arch. “Climate Best by Government Test” it says on the famous landmark. It’s not entirely clear which government did the test that led to this conclusion, but I’m told that Redwood City used to be the best place to live in Silicon Valley.

With the content of the little flask I carry with me, I can make that claim come true once more.

 

After dinner, I ask my wife to clear the table while I go outside to fetch a bowl of snow.

“What are you up to?” she asks. She has never shown much trust in my work.

“I think I’ve found a solution against global freezing,” I tell her, “Let’s do a little experiment.”

I put the bowl in the middle of the table. Carefully, I add a drop of the substance to the snow. A fluorescent green glow emerges from the bowl. In the melting snow, a plant begins to sprout. Roots form three little legs; leaves grow on tentacles that look like slender arms; a blossom opens in the bud.

“What a beautiful flower!” my wife says, “The petals look like shiny crystal wedges.”

The plant turns it head to my wife as if it responds to her words. She moves closer to get a better look, and before she knows it, the flower is at her throat. The sharp petals cut through her skin like shards of glass. I can tell from the way she falls from her chair that she’s been killed on the spot.

“I’m a genius,” are the first words that come to mind when I see my latest creation in action. With my wife dead, I’m no longer obliged to hide my love for Kristina, I think, but that daydream only lasts for a handful of seconds. My body doesn’t share my thoughts; it experiences a panic attack.

The plant is growing fast now. It’s already two feet high and it’s crawling out of the bowl.

“What have we done?” my body screams, “We’ve created a man-eating plant!”

“That’s called a triffid,” I reply, “I wonder if that word is already trademarked.”

The triffid reaches for the flask with the green substance. My body knows it must at all cost prevent our solution to fix global freezing to cause an outbreak of aggressive, carnivorous plants.

I see how the triffid grabs the flask, manages to open the door —it’s four feet high now— and starts creating an offspring by throwing drops of the green substance on the snow in our front garden. I chase after it, but when I look behind me, I don’t see any footprints in the snow. I see my body lying on the doorstep. It’s bleeding and barely alive. The plant must have attacked my body while I was distracted by my thoughts about Katrina. What will happen to me if it dies? I hope I surv

The Bitter Reality Of How Women Truly View Men

Didi, four points here.


  • One, there is a fundamental truth behind getting someone pregnant.
  • For pregnancy to happen, they need to have sexual intercourse.
  • For that to happen, they need to be at least partially naked.
  • For that to happen, they need to be in a private space.
  • For that to happen, your fiance should have either bottled-up feelings or the inability to say no to her bottled-up desires.
  • The thing is, he never thought about you during this entire sequence.

This is way too big to fit into a word called ‘mistake.’

Your fiance is a man of weak character.


Two, your fiance got someone pregnant, which means he never used protection.

Now, say that lady has some STDs; it could have been transmitted to your fiance.

And that can transmit to you.

So, if you weak character fiance keeps doing this after marriage as well, your health will be at huge risk.

Now

Let’s say he used a condom and there was a contraceptive failure. That means, he had planned it well, carried a condom, wore it and did the act all the while not worrying about cheating on you. That way, case 1 becomes stronger now.


Three, let’s say he is having the kid.

That becomes a financial and emotional pressure. Your fiance would be liable for child support— from kindergarten to college fees, tricycle to bike, apples to Apple, ice-creams to iPhone— for around 18 years. This affects your finances strongly.

The emotional stress he has from bringing up a child can affect your relationship as well.

If you are not okay with both of these, you will thus be singing up for an unwanted, unexpected, unbearable disadvantage because of him.


Four, in economics, there is a term called Sunk Cost Fallacy.

It happens when you are so attached to the emotions that you forget to think logically, and you are so caught up the past that you forget to think about the future.

In your case, you have trusted the wrong person. Everyone makes wrong choices one way or the other. (sh)it happens. I, for example, took mechanical engineering like that only.

In this process, you have wasted time. This of course you can never get back.

You have wasted money. This of course you can get back in a different way.

But if you regret these two investments in the past and want to cover them up with your future by marrying that person, it is a dangerous thing to do. That would only result in far more significant loss than the current investments in money, time and energy.

So thank nature or god or luck that this happened before marriage and move on.

12 Reasons To Date Cambodian Women

This is my Grandfather’s story, but is often brought up during family gatherings. My Grandfather and his wife had new neighbors move in next door. They were a fairly young couple and one day dropped by unannounced and at dinner time. Being polite, they invited them to dinner. The dinner was enjoyable and it was nice to get to know the neighbors. The problem, for several weeks the couple continued showing up at their house about the same time to eat dinner.

Now Grandpa and his wife were getting a little frustrated. They didn’t want to be rude, but they were feeling taken advantage of. The couple never reciprocated and never offered to help clean up. Well Grandpa and his wife came up with a plan. The next time the couple showed up, Grandpa being his polite self, invited them to dinner. However, he told them he and his wife had to go to a meeting right after dinner and could they help clean up. The couple quickly agreed. They had their dinner, then it was time to clean up. Grandpa’s wife told the husband ,who was bringing in the dinner plates, to set them on the floor so their two dogs could eat the scraps.

Once the dogs had finished, she quickly picked up the dishes and put them away in the cabinet. Problem solved; they never came back for dinner.

Update- I just wanted to thank everyone for the upvotes and comments. I lost my grandfather just a few years ago and I miss him terribly. However, it is so nice to know a little piece of him is floating around in cyberspace and being so well received. Truly, thank you.

Friends – 1950’s Super Panavision 70

Just last week my 28-year-old son told me when he stayed at his mom’s house when he was really little that he used to hide in the closet all the time. I was aware that he liked to hang out in there, but I thought it was to avoid responsibilities, things like cleaning up after himself ,picking up his toys, etc. But now 25 years later, it was because he feared her. We were not together because it was really clear that we had very different parenting styles, but I did not really grasp the extent of those differences until this recent conversation. She continually harangued and harassed him until the only thing he felt like he could do is hide in the closet. she always spoke of him as if he was some sort of trouble, I found him to be one of the sweetest kids I’ve ever known and I know I’m kind of biased, but it’s true. She took him to go live with her mother halfway across the country when he was 11. By the time I got custody, when he was 15, he was a deeply wounded child, but again I didn’t know until it started coming out years later. A few months of being mopey like a fairly normal teenager and he was a completely different young man., Energetic, engaged, very popular with his schoolmates. He still has a lot of very unresolved anger, and I think think it’s really holding him back in life, but it’s clear he feels he’s in a much better place than he was living with his mother. Quite rightly, I think, he’s cut off all contact with her. She drove across the country uninvited in January and stood outside his home yelling , screaming, carrying on as if someone had stolen her baby. Drugs were not kind to her.

Cambodia Travel – 9$ for one night – Vlog 40

My father had an aneurysm, a deep base of the brain bleeder. It was inoperable, and he declined quickly. He was unable to speak after a day, and he already had signed a DNR. He didn’t want feeding tubes or ventilators, and we knew this. The very next day, hospice came in. They tried to get us to sign him up immediately into a nursing home. 35,000 dollars, up front, non refundable. Very pushy about it, they had JUST the right place in mind, only a few rooms left, better sign up fast. I stayed with him in the hospital that night, and the nurse was very nice. We talked about his condition, and she was very honest about it. I left in the morning and went home to get some sleep. My brother had to work, so the two of us came back that night and we missed the hospice people. We talked to the nurse again. At this point my father hadn’t spoken in two days, or eaten in over three. His brain function was minimal. Hospice had blown up our phones all day. I asked her how long we could expect him to live, and she said another day or two at the most. I stayed that night with him, and the next day hospice came in. I told them we would have to tour the facility before we could make up our minds, and the first day we could do it would be Saturday. Dad died Friday night, and they tried to charge everyone under the sun for his hospice! We hadn’t even toured the facility, much less signed him up. Those ghouls never took care of him for a day, but they sent us a bill and when we declined it they sent it to Medicare. The hospital had to finally step in to sort it out. My father lay dying, and these people tried to scam 35 grand out of his family. Pathetic.

Millennials explaining work culture to Gen Z

I worked one summer delivering pizza. I stopped in some time after I left to say hello. I had a nice 56 Chevy and parked it out front. One of the guys asked me to ride with him on a delivery. When we returned my Chevy wasn’t out front! I thought the guys were playing a joke on me and pushed it around the building. It took them a few minutes to convince me that they hadn’t touched it. Yes, it was stolen!

We called the police and reported the theft. They put out an APB to surrounding towns and told me to stay put. (This was before cell phones) so I sat there in anticipation. The car stood out so I was confident it would be found quickly.

I got a call that it was found sitting parked in a neighboring town and to wait for further details. Soon after I got a call that the cop sitting on it got an emergency call and when he returned it was gone.

In the middle of the night the car was found in another neighborhood. I was told it was headed to impound and I could get it in the morning.

I believe I was waiting when the tow company opened. The car was damaged. Seats were all slit, stereo gone. One window was broken and wheels and tires missing. The tow guys had taken care to protect it using a tow dolly and had it sitting on a stack of tires.

I then went to the junkyard and bought four wheels with serviceable tires and lug nuts. That got the car home. Heartbroken I sold it soon after and they never found the culprits.

NATO Summit EXPOSES Western DELUSIONS About China (And Its Own Weakness)

In short, no.

I was in a medically induced coma for 2 weeks at the age of 17. I remember being rushed to the emergency room, getting a CAT scan, then being placed in ICU. What I remember next and what actually happened next are two completely different things…

What I remember: I woke up in a hospital by the beach. I had to go to the bathroom really bad, but I wasn’t able to communicate with the doctor. She gave me a pen and paper and asked me to write what the problem was. Then an alarm sounded and I was rushed to an ambulance. As I was waiting in the ambulance, I saw my mom walking on the pier to my room. A huge tidal wave came, I screamed and cried and then passed out. I woke up in another hospital, watching the news about a tidal wave washing away a hospital. They were still looking for survivors. My mom’s name was on the list of people still missing. I cried. Random family members ran into the room. A couple minutes later my mom walked in as if nothing had happened. I cried hysterically. Then passed out. Once again, I woke up in another hospital room, this time, with my mom sitting beside me reading a book.

What actually happened: after I was placed in ICU, the doctors paralyzed me and put me into a coma, because I was so sick and my body was fighting all the docs were trying to do to save me. I stayed at the same hospital, in the same exact room, the entire time I was out. Nothing eventful happened, just family coming in and out to see me and potentially say their goodbyes (it was touch and go for a couple days, apparently).

So no, waking up from a coma is nothing like waking up from a good sleep. Because the thoughts and dreams that go through your mind when you’re in a coma feel so abso-freaking-lutely REAL, you would swear they are actual memories.

Waking up from a coma is scary. It’s confusing. It feels nothing like actual sleep.

From people being mutilated alive and then fed to the pigs, to having your stomach cut open and shoved with honey and left to die on a plank (scaphism) or even crucifixion, there are no limits to the depravity of what humans are able to lower themselves down to.

Balthasar Gérard – Wikipedia

Since there are no limitations on the number of gruesome deaths that humans have inflicted upon each other, I will bring up the case of Balthasar Gerard, whose life is not only fairly well documented compared to other people who met similarly gruesome fates, but whose execution was deemed to be quite barbaric even by late medieval standards.

Born in Vuillafans in modern-day France (at the time it was part of the Spanish Empire), Gerard — who was a devout Roman Catholic as well as a strong supporter of King Philip II of Spain — took on the mission of assassinating the Dutch Protestant leader, William the Silent, with the blessing of the King of Spain.

Vuillafans Destination Guide

At the time, the Dutch were regarded by the Spanish crown as a vassal, and William the Silent’s rebellion meant that the Spanish would resolve this through means of extermination of his entire clan if need be.

Several assassination attempts had been carried out on William up until Gerard undertook the mission, and all of them failed, with the assassins always being executed. (However, William the Silent also always ordered that they be executed in the most humane manner possible, which was typically death by strangulation.)

Posing as a Protestant, the twenty-seven-year-old Balthasar Gerard set out for the Rhine and quickly befriended some of those in connection to the Stadtholder (William the Silent).

One night, while peering through a church ceremony, a suspicious halberdier guard walked over to him and asked what he was doing. Gerard was able to lift all suspicion by quickly explaining that he was supposed to be in attendance for the ceremony, but that he did not have clean clothes.

The guard believed him, and he presented some coins and told him that they were a gift from William so that he could purchase the clothes necessary.

However, rather than buying the clothes, he took it upon himself to buy two pistols from a merchant and set about his plot to have the Stadtholder assassinated.

On the evening of July 10, 1584, Gerard was at William’s residence preparing to dine there as a guest, along with several other foreigners, including an English officer by the name of Roger Williams.

As soon as he heard William coming down the stairs, Gerard walked over and pretended that he wanted his blessing. As soon as William reached out to touch his head, however, he responded by drawing his two pistols and shooting him at point blank range in the abdomen, both shots penetrating through him and striking the wall itself.

Gerard managed to outrun the guards, as he had intended to jump on a horse he had reserved so that he could make his getaway to friendly territory. The English officer Roger Williams led the pursuit, and it was by some unfortunate luck for Gerard that he managed to trip over a log while running through a swamp, giving the guards enough time to catch up with him and commence the procedure of beating him to a pulp.

Upon being bound in chains, he was beaten, kicked, whipped and spat upon as he was forcibly marched back to the scene of the assassination. At the time, William was still alive, as he had not yet succumbed to his injuries.

Believing that the assassination attempt had failed, Gerard reportedly said: “Cursed be the hand that missed.”

William of Orange

However, William died roughly half an hour after being shot, in his bed, right after a priest gave him his final rites and asked whether or not he accepted Christ as his Lord and Saviour.

Meanwhile, Gerard was himself brought down to the cellar and hung upside down on a pole, where he was repeatedly whipped for several hours straight without any clothes on.

After the whipper had left, he was hung with his feet and arms tied behind him to resemble a ball.

The next morning, his torturers came back to his cellar and they proceeded to dump honey on his body before bringing a goat in with the intention that the goat’s rough tongue would cause much agony as it licked his body.

However, the goat was said to have been so delirious at the sight that it ran off and refused to lick him.

Did you know ancient Romans used goats for torture? They would remove victim's sandals, soak their feet in salt water, and have a goat lick their feet. It was incredibly ticklish.

Not content with the torture, they proceeded to place two weights of 330 pounds each on his big toes and left him in that state for over half an hour.

Upon their return, they used his bent toes as an opportunity to place two Dutch wooden shoes on his feet that were reportedly two fingers shorter than his feet had been, prior to being bent.

After placing the wooden shoes on his feet, he was made to sit down on a chair full of spikes while his feet were placed in the fireplace with his wooden shoes still on him.

Eventually, the shoes themselves contracted from the heat, and in addition to getting third degree burns on his feet, the wooden shoes also caused them to be crushed into grotesque stumps.

He was once again hung in this position before the torturers came back the next day to whip him yet again before placing a coat filled with alcohol on his body so that the cuts and infections would be extra painful.

Nails were brought in, and the torturers proceeded to hammer in multiple nails into the wooden shoes where his crushed and burned feet were situated. Later on, they also peeled off some of his flesh and also crushed his testicles.

It was only after another day of torture had elapsed that Gerard was finally brought to trial, where he was formally sentenced to death. Those who had taken part in the torture would later comment that they were impressed that Gerard did not yelp even once throughout the three days he was tortured in his cellar.

Rather than begging for any sort of mercy, Gerard proclaimed himself to be a loyal subject of King Philip II, and that he was ready to die for his king and his religion.

Upon hearing this statement, the judges in the case came up with the following of what was to happen to him during his execution:

  • He was to have his chest cut open
  • His heart was to be removed and then flung in his face
  • At the same time, his hands were to be burned off with hot pokers
  • His tongue, eyes, nose and ears were to be severed
  • Followed with his arms and legs being removed by quartering
  • His head was to be decapitated last of all
  • Afterwards, his body was to be desecrated before the crowd

Presumably, the execution was carried out accordingly, though his head was later recovered by the Spanish, with some of them — including Sasbout Vosmeer two generations later — wanting him to be canonised by the church for his presumed martyrdom; a proposal that was rejected.

As a reward for the assassination, King Philip II gave his parents three luxury estates for which they could reside on tax free, along with future generations of his family.

Later on, King Philip II would offer William the Silent’s son, Philip William, the estates if he would agree to pay Balthasar Gerard’s parents 25,000 crowns.

William allegedly turned down such an offer in a fit of rage.

Today, Balthasar Gerard has a street in his hometown of Vuillafans named after him.

While this may not be THE goriest death in history, I am sure it is a serious candidate.

Ukraine Drones Hit Russian Nuclear Missile Testing Site

Ukraine Drones Hit Russian Nuclear Missile Testing Site

Ukrainian drones struck the Nuclear Missile Test site at Kapustin Yar Cosmodrome in Russia on July 9, 2024.

The site has been one of Russia’s premier missile test ranges since 1947 and had most recently conducted a test launch of an Intercontinental ballistic missile (ICBM) on April 12th 2024.

This Cosmodrome has absolutely nothing to do with the ongoing Russia-Ukraine conflict.  Hitting this affords zero benefit to Ukraine, militarily.

So why would Ukraine hit a Russian nuclear missile test site that has no military value to Ukraine?

A lot of keen observers think:  N A T O

Over the past few months, Ukraine has taken out other Russian targets that ALSO have absolutely nothing to do with the Russia-Ukraine conflict, but **DO** have a lot to do with Russia’s nuclear capabilities.

On May 24, Ukraine hit a Russian Over-the-Horizon radar station, designed to protect Russia from inbound nuclear missiles. (Original story HERE)

Four days later, on May 28, Ukraine took out a SECOND Over-the-Horizon radar elsewhere in Russia. (Original story HERE)

Now, Ukraine has hit another component of Russia’s nuclear protection; it’s Cosmodrome.

Since absolutely NONE of these targets benefit Ukraine in any military way at all, one must then ask “Who Does Benefit?”

NATO.

By poking holes in Russia’s over-the-horizon nuclear protection radar, Ukraine opened up gaps in that radar coverage.  Gaps wide enough for a NATO nuclear first-strike against Russia, as outlined in the related stories above.

This latest Ukrainian attack against Russia’s premier nuclear missile test facility, seems to confirm Ukraine is not acting in its own interest, it is acting in the interests of NATO . . . . which claims it “is not a party to the conflict.”

There is skullduggery afoot and it appears NATO is explicitly instructing Ukraine which targets to hit inside Russia, to make Russia vulnerable to a NATO nuclear first strike.

JOE COCKER With A Little Help From My Friends 1969 Woodstock

The US Elite Undermining Of The US Universities

Diminishing The Technology Advantage And Soft Power Of the US

The boards of governors of US universities tend to be staffed by members of the oligarchy and their courtiers. Ever since the student radicalism of the 1960s and 1970s, those boards (and politicians) have striven to “tame” the universities, through such things as escalating tuition, the ongoing neoliberal casualization of the workforce (post-docs, contract staff and professors), and the implementation of a disciplinary administrative layer. The taming has been successful, but it has also lead to the degradation of the university sector which is the underlying base for US technological advantage. This is now being made worse by the direct harassment of foreign-born post-docs and professors by the Security State, and increasing state and media-driven anti-Chinese sentiments in the general population.

Loading US Students Up With Debt To Pay For Inefficiency, Luxury & Lack Of State Funding

This trend was really started by Ronald Reagan in the 1960s, as California and then other states travelled a multi-decade path of defunding state university systems; pushing the costs onto individual students who had to take on more and more debt. This was a political decision in response to student radicalism (e.g. the resistance to the Vietnam War); a Reagan adviser warned that that free college would create a dangerous “educated proletariat”. As The Intercept put it:

A core theme of Reagan’s first gubernatorial campaign in 1966 was resentment toward California’s public colleges, in particular UC Berkeley, with Reagan repeatedly vowing “to clean up the mess” there. Berkeley, then nearly free to attend for California residents, had become a national center of organizing against the Vietnam War. Deep anxiety about this reached the highest levels of the U.S. government. John McCone, the head of the CIA, requested a meeting with J. Edgar Hoover, head of the FBI, to discuss “communist influence” at Berkeley, a situation that “definitely required some corrective action.”

After Reagan had successfully cut funding to the Californian state university system:

Prominent conservative intellectuals also took up the charge. Privately one worried that free education “may be producing a positively dangerous class situation” by raising the expectations of working-class students. Another referred to college students as “a parasite feeding on the rest of society” who exhibited a “failure to understand and to appreciate the crucial role played [by] the reward-punishment structure of the market.” The answer was “to close off the parasitic option.”

In practice, this meant to the National Review, a “system of full tuition charges supplemented by loans which students must pay out of their future income.”

Political and social disciplining through debt peonage; a much used tool of control used by societal elites throughout time. From a Gramscian perspective, the US ruling oligarchy wanted to put a stop to the creation of alternative hegemonic culture projects by disciplining the intellectual “radicals”. In parallel, all universities started to massively expand their non-academic staff and their non-academic facilities (dorms, sports stadiums etc.), resulting in both much higher costs that had to be reflected in increases in tuition fees well above the rate of inflation, and a neoliberal management control layer sitting above the troublesome faculty.

In constant dollars, in 1963 college tuition for a year was US$4,600 while it was US$14,000 in 2021. The total cost of a year in college increased from US$11,400 to US$27,000 in the same period in inflation-adjusted terms. The cost of four-year state-funded public colleges increased the most. At the more expensive private colleges, one years undergraduate tuition can now cost US$50,000 or more; as reported here. The private US universities have long operated a cozy cartel where they optimize their amount of income by controlling the level of for-need student grants to a minimum. The balance is made up through student debt and parental funding (a significant amount made up by parental borrowing), with only the richest families providing a debt-free graduation for their children. The average US student now borrows over US$30,000 to earn a bachelors degree, which in many cases only provides them with an entry-level job.

As Forbes notes, the three big reasons for the increase in tuition have been:

  1. Increases in “student services”, a lovely misnomer for increased administrative bloat and political oversight.
  2. Reductions in state funding
  3. Lack of the ability to increase productivity/reduce costs in a highly people-intensive industry. This borders on pure BS given the lengths that universities have gone to drive the actual academics and real service staff (e.g. cleaners) into penury – as I will cover below.

Its really #1 and #2, with Forbes being much more truthful in this article entitled “Administrative Bloat At U.S. Colleges Is Skyrocketing”. As the article notes:

In the past, when faced with funding shortfalls, colleges and universities attempted to “grow their way” out of the problem by opening up new sources of revenue. Many launched new graduate programs, including terminal master’s degrees (no doctoral option) and certificates. Others increased their online offerings to expand their access to part-time students beyond the gates of their campuses. And almost all opened their doors to international students who could afford to pay full price [my italics].

At the same time:

most schools went on a hiring spree; one that massively expanded the ranks of all types of employees, with one notable exception—full-time faculty. Between 1976 and 2018, full-time administrators and other professionals employed by those institutions increased by 164% and 452%, respectively. Meanwhile, the number of full-time faculty employed at colleges and universities in the U.S. increased by only 92%, marginally outpacing student enrollment which grew by 78%.

In the top 50 US schools there is one faculty member per 11 students, but one non-faculty employee per 4 students! Three times as many employees who do not produce the paid for core output, education, as ones that do! In the extreme cases there are more non-faculty staff than students, and these numbers don’t even include consultants and contractors! At such extremes there are as many as 7.5 to 9 non-faculty staff for each member of the faculty. Then add in the drive of many universities to provide resort-like facilities in their student dorms and other areas.

Unlike in many other countries, and as with healthcare, there is no central government oversight on what individual institutions can charge students; especially in the case of the private colleges. Rent seeking and profiteering behaviour is allowed to run amuck, creating highly wasteful institutions that cost society much more than in other nations while delivering the same or even worse outcomes. So the college administrators could soak the student body in an extremely unequal relationship between hope-filled teenagers with access to ridiculous levels of debt, and their parents, who have not yet fully developed their faculties of risk assessment etc. (these mature around age 24), and highly manipulative revenue optimizing administrations. The result was a massive explosion in student debt, much of it guaranteed by the state (and made impossible to escape from even in bankruptcy, in contrast to all other debts, thanks to Senator Biden), and equally massive increases in full-paying foreign students (many of them Chinese).

When there were any pressures to trim spending, the disciplinary administrative bloat has done what would be expected. It directed any cost cutting at the faculty (reduction in tenured and full-time faculty positions, increase in contract and post-doc teaching staff, holding down of post-doc remuneration) and other staff who do working class jobs (e.g. cleaners, janitors etc.). The quality of the core product, education, has been reduced while its cost kept increasing. The end result is that young individuals are increasingly seeing a bachelors as a debt-ridden journey to a low paid entry-level job, as increasingly higher degrees such as Law, MBAs and others are required for more remunerative positions. The bachelors has become so widespread that it is now more a basic entry price than a ticket to better jobs.

The situation has become so ridiculous and pervasive that John Oliver could spend 30 minutes making jokes about it:

Just as the younger generation increasingly sours on the worth of a bachelors, its numbers are falling over time and will be significantly less than the previous one; dropping by 575,000 students (15%) between 2025 and 2029. At the same time, foreign students are increasingly being provided with many alternatives to US schools; especially Chinese students. At the same time as the latter are being faced with increasing anti-Chinese racism and state security apparatus harassment.

The drop in the domestic cohort and the souring of that cohort toward a college degree, when combined with a probable reduction in the full-paying foreign student population could be a very toxic brew for all but the most elite schools. Leading to the need for extensive cost cutting, which may again be more directed at the faculty and post-docs than the fat administrative layer.

Underpaying Post-Docs

For many decades the universities have colluded to keep most especially science and technology post-doctoral pay low, especially through the misuse of the H1-B visa system to gain access to cheap foreign academic labour. US private corporations have done very much the same, with non-compete clauses and even open collusion. The result is that an individual that has progressed through an expensive bachelors (4 years), an expensive masters (up to 2 years), and an expensive PhD (4 years), accruing very large students debts along the way, faces a highly-manipulated low wage future. In addition, the reduction in tenured faculty positions with respect to PhD graduates has greatly increased the period of “post-doc” low pay for those that stay within the academic system. Even at Harvard, the minimum post-doc academic pay is currently US$67,600 – for someone aged about 28 years old; and the post-doc period may last 5-10 years. That’s early 30s before even getting a chance at a salary over US$100,000, during which the post-doc may have had to jump from one university to another while carrying huge educational debts. Even in the private sector, the starting pay for research scientists averages about US$86,000.

What person would rationally follow this path? Some US citizens still do, but nowhere near enough to fill the low paid science and technology post-doc positions. Why not take a medical, law or business degree that will lead to much higher remuneration? There is also the increasing disconnect between a post-materialist and anti-excellence administrative bloat (and the social sciences) and the materialism and excellence-orientation of STEM students. With the former starting to invade the latter through grade inflation, the overuse of “diversity” with respect to other criteria in enrolment and hiring decisions, the “deconstruction” of scientific language and practices, and required courses that are irrelevant to STEM learning. For example, a friend’s daughter and her boyfriend had to attend a Gender Studies required course that they considered to be more ideological indoctrination (with no basis in the scientific method or even social statistics) than actual academic teaching; a course they had to pay for!

North America is unique in having a four-year degree system, with the first two years “wasted” on courses (some obligatory) that may have no relevance to the final specialization. Other countries tend to have three year bachelors degrees which specialize from the beginning, as in the English system. Also, the faculty tends to have a much greater say in the running of the institution in other countries.

The universities have squared the recruitment circle by importing foreign post-docs and other early career STEM academics, many of which have had free or very low tuition costs in less rich countries and will accept the low pay. US university research is now completely dependent upon these foreign researchers, many of them Chinese. Even junior and mid-career tenured staff are not paid that much when compared to other professions, and the cost of living in many of the cities involved (such as Boston, New York, San Francisco, Los Angeles). While the cost of a US STEM education has become increasingly expensive, and its quality become open to question (especially relative to quickly improving foreign schools), the academic rewards have been diminished; especially when compared to other disciplines such as law and finance.

The result is that the US graduates many less science, technology, engineering and mathematics (STEM) US citizen graduates in absolute terms and per capita than China. In 2020, China had 3.57 million STEM graduates, India 2.55 million, the US 820k and Russia 520k. The US population is 333 million, while China has 1.412 billion, a ratio of 1:4.24, while the ratio of STEM graduates is 1:4.354; so you may say “where’s the problem?” Well, over 50% of those US graduates are not US citizens, they are predominantly from China and India, and not counted in their own nation’s graduation statistics.

So the real US citizen STEM graduate ratio to China citizen STEM graduate ratio is much more like 1:10, with China having three times the number of citizens graduating with STEM degrees per capita than the US; with only 10-20% of foreign graduates remaining in the US after graduation. Both the absolute difference (1:10) and the per capita difference (1:3) in STEM citizen graduates will have very significant impacts on the relative abilities to develop and implement new technologies; with China far in the lead. When counting only citizen STEM graduates, Russia has an absolute number advantage (500k to about 400k) over the US as well as a per capita advantage given its population of less than half that of the US. Even Indonesia has a sizeable per capita advantage over the US! The speed at which the US falls behind in STEM in the next decade may stun many of those in power in the nation, who predominantly have non-STEM degrees; unlike the leadership of China.

Casualizing The Professorial Workforce

At one time, most undergraduates were taught primarily by actual tenured professors but that day is long past. Instead, most of the teaching is now carried out by post-docs, contract academics, and even masters graduates and students. US universities have proven highly averse to even just maintaining tenured faculty levels and highly creative in substituting low quality options. This both reduces the quality of the main product, education, to the student population (adding to its decreasing perceived value) and reduces tenured opportunities for post-docs and contract staff.

Neoliberal Woke Disciplinary Administrators

To make matters worse the administrative bloat has to find something to do to claim the need for its existence and much of that involves the harassment of the faculty. The need to regularly publish papers to meet many times completely irrelevant criteria (to actual scientific advancement) and to respond to bureaucrats with too much time on their hands (and many times paid better than the academics!) can rapidly diminish the time for truly productive work. Many a retiring academic has privately stated their happiness to be leaving the administrative make work bloat that had increasingly got in the way of their ability to both carry out their academic work and to enjoy it.

With the cost of a college education soaring, university administrators have also increasingly treated students as “customers” and the customer can never be wrong or have to experience failure! This has lead to a continual grade inflation that has made a mockery of academic excellence in many universities, and greatly reduced the authoritative position of the faculty relative to the student body; the latter many times being backed up by the disciplinary administrative bureaucracy.

Another deeply problematic side effect of the disciplinary administrative bureaucracy is a lack of commitment to academic excellence that when combined with social activism and a “customer” orientation leads to highly skewed enrolment decisions. It also affect the responses to academic failure/cheating that diminish academic integrity and further cheapen the core output of the academy in the eyes of its consumers.

The University of California at Austin (UCLA) medical school seems to be a poster child for the destruction of academic excellence, as an article in the Atlantic Monthly “What Makes a Med School ‘Woke’? A controversy over progressive policies at UCLA points to deeper questions” covers.

According to Sibarium, almost one-quarter of the class of 2025 had failed at least three shelf exams, while more than half of students in their internal-medicine, family-medicine, emergency-medicine, or pediatrics rotations had failed tests in those subjects at one point during the 2022–23 academic year—and those struggles led many trainees to postpone taking their national licensing exams. “I don’t know how some of these students are going to be junior doctors,” one unnamed UCLA professor told him. “Faculty are seeing a shocking decline in knowledge of medical students.”

The vast majority of those attending the UCLA medical school will have already gained a four-year US bachelors degree and one would assume could now focus on being taught how to be a physician. But no, even here the administrative bloat and performative social activists must intrude to instil

its student body with a social consciousness. In prior coverage for the Free Beacon, Sibarium has described the mandatory Structural Racism and Health Equity course for first-years, which, according to a 2023–24 syllabus obtained by the Free Beacon, intends to help students “develop a structurally competent, anti-racist lens for viewing and treating health and illness,” and encourages them to become “physician-advocates within and outside of the clinical setting.”

Students should not be forced to take time away from the actual work of becoming a fully trained physician. Such courses also fill the classic performative-only nature of what passes for much of “critical” theory as only culture is the focus, not the underlying political economy and questions of structural change. All paid for by the students themselves! The author of the article is with the very liberal Atlantic Monthly, so has to spend reams of words running away from the deep implications of the UCLA example. He certainly does not reference the most telling parts of the Free Beacon article that he is referring to which are quite damning, for example:

when it came time for the admissions committee to consider … a black applicant with grades and test scores far below the UCLA average—some members of the committee felt that this particular candidate, based on the available evidence, was not the best fit for the top-tier medical school, according to two people present for the committee’s meeting.

Their reservations were not well-received. When an admissions officer voiced concern about the candidate, the two people said, the dean of admissions, Jennifer Lucero, exploded in anger.

“Did you not know African-American women are dying at a higher rate than everybody else?” Lucero asked the admissions officer, these people said. The candidate’s scores shouldn’t matter, she continued, because “we need people like this in the medical school.”

Such a conversation should never happen in an admissions process, especially for a medical school, as basic competence to deal with the material taught should be a non-negotiable gating criteria.

“I wondered,” the official added, “if this applicant had been [a] white male, or [an] Asian female for that matter, [whether] we would have had that much discussion.”

Since Lucero took over medical school admissions in June 2020, several of her colleagues have asked the same question. In interviews with the Free Beacon and complaints to UCLA officials, including investigators in the university’s Discrimination Prevention Office, faculty members with firsthand knowledge of the admissions process say it has prioritized diversity over merit, resulting in progressively less qualified classes that are now struggling to succeed.

The average person certainly does not need their physician to be a social activist, nor allowed into college even though they did not have the required level of ability; rather all they want is that their physicians are competent and careful. In all of the science-based disciplines competence has to be the core criteria, as an overwhelming majority of prospective students (especially those from outside North America) would agree. The UCLA story also acts another data point that reduces the allure of a US STEM education. Interestingly, the number of Asian matriculants dropped dramatically since Lucero’s tenure, “the number of Asian matriculants fell by almost a third between 2019 and 2022”, exacerbating a widespread level of racial discrimination against highly-qualified Asian-American students in US academia; covered up with references to the need for a diverse student body by even such universities as Harvard. The children of the rich white donors and the children of the faculty (still predominantly white) of private universities certainly do not experience such discrimination; quite the opposite. Another negative for high achieving Asian students, to add to the experience of anti-Chinese racism on many US campuses which has intensified in recent years. At UCLA, Lucero also pushed specific ethnicities over matters of relative ability:

Lucero has even advocated moving candidates up or down the residency rank list based on race. At a meeting in February 2022, according to two people present, Lucero demanded that a highly qualified white male be knocked down several spots because, as she put it, “we have too many of his kind” already. She also told doctors who voiced concern that they had no right to an opinion because they were “not BIPOC,” sources said, and insisted that a Hispanic applicant who had performed poorly on her anesthesiology rotation in medical school should be bumped up. Neither candidate was ultimately moved.

Security Service Harassment & Racism

As I mentioned above, especially for the Chinese students, foreign post-docs and faculty are subject to an increasing level of security state harassment. Even to the point of intervening directly in academic personal networks to limit perceived security issues and to force academics into extremely vague and legally problematic written commitments. At the same time, the increasingly anti-Chinese messaging of the state and media produces a hostile social environment to Chinese nationals in general.

The End Result: The Undermining Of The US Academy

With Chinese universities now topping the global charts in publications in high quality academic journals, Chinese living standards continuing to rapidly rise, and the Chinese state pouring money into new research positions, Chinese scientists have been increasingly returning to China rather than staying in the West. The extremely vibrant startup environment in China has also delivered a reverse brain drain.

At the same time, Chinese students returning with degrees from foreign universities now find little advantage with respect to domestic graduates and in some respects even suffer from the lack of domestic personal relationships that those domestic graduates have built up. The worth of a foreign degree has rapidly diminished in value relative to its domestic competition. Together with the rapid build out of the Chinese university system, and significant negative demographic trends with respect to younger generations, this could lead to very significant reductions in Chinese students abroad. Negative views of foreign degrees have increased much more greatly with respect to the US than other nations, so such a reduction in foreign students may be much more heavily experienced by US universities. A Brookings Institute report is entitled “How America lost the heart of China’s top talent”. This will be in addition to the reduction in the domestic possible student cohort and the lessening of the perceived value of a college degree by younger US generations.

The US universities may then be faced with two linked crises (i) a reduction in their ability to employ foreign post-docs and professors to keep down salaries, and (ii) a reduction in both foreign full fee paying students and in domestic students. The rational way to handle this would be to slash the bloated bureaucratic layers, and utilize state/endowment money to provide better remuneration to early-career academics. But that would be expecting of senior bureaucrats to slash their own headcount, which would inevitably result in the need for less senior bureaucrats. Private university boards have also been loath to utilize their massive endowments to fund the needs of staff or students, with in many cases the endowment more seeming to be a tax-sheltered provider of funds to private equity players (some of which sit on the university boards).

The funding issue for US universities may also be exacerbated by the Chinese state’s provision of extensive funding for foreign students to study at Chinese universities. As those universities’ academic rankings have climbed they become more and more a viable alternative to the kind of well off foreign families who send children to study abroad, and to the junior academics that would take positions in US universities and corporations. The state funding of such foreign students is an investment in future soft power, as those students learn both the language, culture and worldview of the Chinese. In this respect, China is investing heavily to imbibe foreign elites with a positive feeling toward Chinese interests, the Chinese worldview, and extensive personal connections with China.

As the US elite undermines the US university sector more and more, they not only seriously damage the technological capabilities of the nation, but also greatly diminish its image and influence abroad.

The video below first covers the future probable funding problems that many US universities may have, as well as later many other industries that may be completely destabilized by Chinese ongoing moves up the manufacturing and services value added ladder.

Strip Steaks with Bacon-Mushroom Sauce

1701471738244
1701471738244

Yield: 4 servings

Ingredients

  • 5 slices bacon, cut into 1/4 inch pieces
  • 3 cups sliced mushrooms
  • 3/4 cup smoky mesquite steak sauce
  • 1/4 cup dry sherry or water
  • 1 teaspoon brown sugar
  • 4 (10 ounce) boneless strip steaks

Instructions

  1. Cook bacon in skillet until crisp.
  2. Remove bacon from skillet; pour off all but 2 tablespoons drippings from skillet.
  3. Add mushrooms to reserved drippings in skillet; cook and stir for 5 minutes or until mushrooms are tender.
  4. Return bacon to skillet.
  5. Add steak sauce, sherry and brown sugar; bring to boil. Reduce heat to medium-low; simmer 5 minutes, stirring occasionally. Keep warm.
  6. Place steaks on grill over medium coals or on rack of broiling pan.
  7. Grill or broil for 4 to 6 minutes on each side or until internal temperature reaches 140 degrees to 150 degrees F for medium.
  8. Serve with mushroom sauce.

Notes

That extra touch: Brush steaks with additional 1/4 cup steak sauce before grilling or broiling.

LATVIA: Woman Sentenced to 3 Years Prison for flying Russian flag

LATVIA: Woman Sentenced to 3 Years Prison for flying Russian flag

Latvia 3 years Russia flag large
Latvia 3 years Russia flag large

A Latvian Court sentenced activist Yelena Kreile to three (3) years in prison for displaying a Russian flag in the window of her apartment.

The woman was charged under a law that proscribes justifying genocide or war crimes.

 

Hal Turner Editorial Opinion

This is the child-like level of the government of Latvia; apparently little more than a group of spoiled brat children demanding everyone see, think, and do as they.

It is also a stark reminder of the totalitarian nature of so-called “Tolerant” Europe.  Once again, so-called “tolerant” Europe shows itself to be a complete fraud because its citizens and government are too weak-minded to tolerate even an opinion.

Or, is is not a case of weak-minded, but rather overt, tyranny?   Maybe Latvia needs to be purged of Nazis, again?

Russia would do well to send the Russian army into Latvia, put-down its government by force, and restore liberty to the people being held hostage by the ideological madmen in the Latvia government/courts.

Cat’s Spiritual Guardians Of Humans

Scrabble up ye cement dog

I bought my father’s wife an electronic scrabble game.

OMG! The best thing that I ever could do.

Now, she and I never really got along, but I thought it might be nice to get her a Christmas present, and so I got her the computer / internet version. Now, this is a game that they have been playing for years. And so, of course, she liked it.

440px Scrabble game in progress
440px Scrabble game in progress

But later, my dad told me that she also used it as a “fiddle game”; something to just mess around with when she got a few spare moments. And also, as a thing to do when my dad was too tired to play with her.

So, chalk up a good thing for me.

Now, you all must know that I have never really been that good in selecting presents for others. I wrote about trying to get presents for my maternal grandmother and how that went to shit, but really getting presents to give to others usually was just getting me no where.

But I have had two wins.

This game of scrabble with my father’s wife, and…

And.. this cement dog statue that I bought for my artistic sister. Ah. She loved it. She said that she read that Earnest Hemingway had a cement dog that was very loyal and greeted him every time he came home.

A 240 72663
A 240 72663

She would park it in her living room, watching the kitchen. Loyal and still.

And when my sister had to go away for a project, my mother watched over that cement dog. She too loved it. Said it wasn’t any work to take care of and always watched the house. It was about two feet high, and was of a greyhound.

Ah, now I don’t give presents out often, but when I do, I make sure that they are appreciated.

Today…

A few years ago, when I was newly licensed, I was leaving my cheer practice and heading to another practice right after. This was a small town and there’s only 2 lanes going into town and 2 going out, not really that big of a deal usually as the drivers are mostly respectful in keeping the passing lane clear. Well on this particular day, I’m headed into town from my practice (as mentioned) and there’s a cop and another car driving almost side by side. The speed limit posted is 60 but they’re both going 45/50 WELL BELOW the legal limits. The cop is in the passing lane and the other car is too afraid to speed up past him even though they’d be in the right. So I get behind the other car (don’t remember the make/model) and try to bide my time waiting to see who will move forward so I can just go. Cop starts to inch forward so I get behind him. As soon as he passes the other car, he gets into the right lane and I pass him (With cruise control set at 60). As soon as I pass him and get back over, he lights up, pulls me over.

He says that I was folowing him too closely and did I know what the proper distance was? I answer 1.5 car lengths (textbook answer according to our state laws). He responds “You need to be at least 15 car lengths behind me!” I said “Fifteen??” with a confused look (not the best at controling my facial expressions) and he said “Yes. Fifteen.”

I did receive a ticket but it was because I didn’t have my license on me; a simple fix-it, take my license to the police station and they’d waive it for me. When I did that a week later (wound up having to get another since the first was lost at the time), he passed me walking into the station and asked where I’d gotten the 1.5 car lengths from and I told him the same place I read that it was illegal to do 45 in a 60…..the state DMV book.

Crocs

Lemon-Lime Flank Steak

1624553243920
1624553243920

Yield: 4 servings.

Ingredients

  • 12 ounces lemon-lime soda (Sprite or 7-Up)
  • 1/4 cup chopped fresh cilantro
  • 1/4 cup lime juice
  • 1/4 cup vegetable oil
  • 2 cloves garlic, minced
  • 2 jalapenos, unseeded and finely chopped
  • 1 (1 1/2 pound) flank steak
  • Vegetable cooking spray
  • Salt

Instructions

  1. Combine first 6 ingredients in a 2-quart shallow dish, stirring well; add flank steak, turning to coat.
  2. Cover and chill for 8 hours, turning meat occasionally.
  3. Remove steak from marinade, discarding marinade.
  4. Coat grill rack with cooking spray, and place on grill.
  5. Cook steak, covered with grill lid, over medium-hot coals (350 to 400 degrees F) about 7 minutes on each side or until desired degree of doneness.
  6. Remove steak from grill, and sprinkle evenly with salt to taste.
  7. To serve, cut steak diagonally across grain into thin slices.

Mark Sleboda: Putin and China Issue DEVASTATING Warning to Blinken, Neocons and They’re Not Bluffing

When my son was born, he was a very, very special baby in our family. Starting with my great-grandfather, all the living male heirs were born 20 years apart so we referred to this phenomenon as the “20-year baby”. Well it just so happened (by accident actually) that my son was born the first week in January of the 20th year, making him the living fifth generation 20-year baby. This was an extremely important event to my grandfather and great grandfather. When my son was five months old, all of my relatives came to see the latest 20-yr baby so he was held and hugged/kissed by a dozen people. Two weeks after that visit, my grandfather’s wife (whom I considered to be my grandmother) called me crying and saying she couldn’t live with herself if she didn’t call and tell me that my grandfather had been diagnosed with active tuberculosis and because the medication was so expensive and didn’t make him feel any better, he decided not to take it. Well, this meant that

1) he was highly contagious

2) he was well aware of this fact

3) he ignored the risks

4) he concealed the truth

5) he willfully exposed all of us to the disease

6) he knowingly put our health, even our lives at risk

7) he was willing to expose a 5- month old baby, most especially my son, the precious 20-yr baby.

He was so selfish and concerned with his own wishes that he was willing to put his entire family in harm’s way. I was appalled and devastated. I called him and confronted him. He refused to take responsibility for his actions. So I told him that he would never see my son again. He was very angry and said some awful, unforgivable things to me. My grandmother reported our exposure to the health department. They in turn contacted me and told me that my son and I were required to be tested every three months for the next 4 years. I also found out my grandfather was directly responsible for infecting 11 other family members, including my grandmother and two of my cousins. Luckily neither my son nor I ever tested positive. Sadly, I had no choice but to stand my ground, so neither my son nor I ever saw him again. My grandmother divorced him, and my father refused to even speak to him for risking my son’s health. My uncle took his family and moved away because two of his kids were infected by him. So, he died alone, 15 years later, a miserable, hateful old man and it was all my fault, you see. Because I deprived him of the love of his coveted 20-yr great-grandson.

BUSTED: Did the CIA withhold China info from Trump?

Jesus H. Christ.

Who Turned Off the Gaslight?

Things were bad, and they knew things were bad, and they knew others must also know things were bad, and yet they would need to pretend, outwardly, that things were fine. The president was fine. The election would be fine. —Olivia Nuzzi, NY Magazine

Clusterfuck Nation


There’s a reason that the fable of The Emperor’s New Clothes is so potent: it describes a mentally ill society that retreats into abject unreality, to avoid contending with truth. Alas, this archetypal human quandary shoves such a society towards nemesis: downfall and punishment. And that is exactly the consequence of our news media’s craven, dishonorable, degenerate behavior the past decade.

They have disordered our nation’s consensus about reality with peremptory lying about everything, in service to a political party that lies to its citizens about everything. The big question is: who or what recruited them into serving the Party of Chaos, and why did they go along?

You can explain the media’s initial repugnance to Donald Trump going back to his 2015 debut in politics. Much about him had a low-class odor, despite all the gold-plating — his origins in tawdry Queens, his career as a builder in Manhattan where the trades are mob-controlled, the Atlantic City casino debacle, bankruptcy, ditching Ivana and his mid-life playboy reputation, the tacky TV show, the increasingly mystifying hair-doo, his rough, jumbly manner of speech. Everything about him repelled the Ivy Leaguers who increasingly filled the ranks of national-level journalism.

Despite all that, Mr. Trump raised five kids successfully. The grown ones had careers and they all visibly loved him. With that and his overt masculinity, he assumed the lineaments of the archetypal Daddy, which enflamed the enormous cohort of feminists who had taken over the Democratic Party behind their avatar Hillary Clinton. And when he squeaked out an electoral victory over her in 2016, they were sure it was a cheat. The menace of Daddy in da (White) house pushed them over the edge psychologically.

Daddy was all about setting boundaries, which was the antithesis to the “progressive” (and transgressive) agenda of the Dems, and was probably the reason that his talk of “building the wall” along the Mexican border drove them nuts. It signaled patriarchal control of a whole lot of other things, too. Boundaries galore!

Now, it happened that the Democratic Party was also the favored party of the DC permanent bureaucracy, which had been growing and growing for decades and had become overtly politicized during the eight years of Barack Obama. Mr. Trump threatened to downsize this leviathan government, meaning many patronage jobs might be lost. (Boundaries would be imposed!) The warrior branch of this Deep State was the Intel community. The FBI, the DOJ, the CIA, the State Dept, and elements of the military were commissioned by the Democratic Party to destroy Mr. Trump.

They used the machinery of the law to lay one trip after another on the president and effectively hog-tied him — RussiaGate, the Ukraine phone call impeachment, the George Floyd anarchy — and when those operations failed to oust him, they ran the Covid-19 caper (with enormous collateral damage to the people and their economy), which enabled rigging the 2020 election with mail-in ballots. Once Mr. Trump was squeezed out-of-office, the FBI turned the J-6 protest at the Capitol into a riot, which Nancy Pelosi then converted into an “insurrection” using the House J-6 committee. The J-6 incident, they dearly hoped, would rid them of Mr. Trump once and for all.

The news media went along with every bit of that, year after year, converting each mendacious act of the party and the bureaucracy into consumable narrative, and lying either overtly about all the ops, or just omitting to report on the dark truth behind it all. Any reality-based thread that happened to leak into public view from independent alt-news reporters was branded by CNN, The New York Times, the WashPo, and many others as “misinformation” — a newish concept produced by a cadre of language Stasi skilled at inverting the meaning of anything to bamboozle the public. It appears that the news media became so invested psychologically in its own dishonest product that it began to believe its own bullshit.

Or, at least, they wanted to pretend to believe it. One of the big problems was that absolutely everything they labeled “misinformation” or “conspiracy theory” turned out to be truthful, and that was becoming an inescapable embarrassment. And then the biggest blunder they made was going along with the Deep State’s selection of “Joe Biden” in the very sketchy Super Tuesday primary of 2020. The old grifter had next-to-zero support in all the preceding preliminaries and somehow (abracadabra !) he swept the field.

By then, the Democratic Party, and its public relations arm in the mainstream media, had descended into florid mental illness. Everything they stood for post-World War Two flipped to its opposite. Suddenly, they were against free speech. They weren’t coy about it. They just made-up some new bullshit about free speech being “hate speech.” Similarly, they were against a free press. They went along with all the misinfo / disinfo bullshit the government cooked up and supported its role in suppressing the news. They were no longer anti-war, the party-of-peace. They were now pro-segregation and pro-discrimination (white people need not apply) according to Critical Race Theory (a childishly sketchy doctrine). Most of all, they were no longer skeptical of anything that the leviathan establishment wanted to do, including abridging the liberties of American citizens.

Then there was the campaign to use the most powerful human instinct, sexuality, as a weapon to disorder the minds of American children, leading even to the mutilation of their bodies — a program that unmistakably tipped toward genuine evil, suggesting that actual psychosis lay behind the Cluster-B crypto-Marxism used to justify it.

“Joe Biden” was fine with all of that, and the news media was fine with “Joe Biden” and whoever was using him as a front. Of course, it was evident during the 2020 campaign that “Joe Biden” was not up to a job as demanding as Chief Executive of the US government — and that was even apart from the dense criminal web of influence peddling discovered around him and his family, which the news media ignominiously ignored. But now the years have gone by and there’s no hiding “Joe Biden’s” rather gravely diminished mental abilities.

Last week’s debate gave away the game. It had the effect of finally turning off the gaslight that the news media has been shining over the republic lo these many years. They can no longer pretend that this president is anything close to okay in body and mind. They can’t annul the gaslighted public’s delayed realization that they’ve been subject to a concerted program of deliberate lying for a long long time.

So now, inveterate pretenders and liars, such as Jake Tapper of CNN and Maggie Haberman of The New York Times — and many others — have to pretend that they were innocently duped into supporting all the turpitudes of the Democratic Party / Deep State axis-of-evil. It is really hard to imagine that they can successfully rehabilitate their reputations. They have done immense harm to our country. It’s hard to see how the Democratic Party might survive, too, no matter who they finally put up for election this year. Of course, there’s still plenty of time left for them to destroy the country altogether. Just keep giving American missiles to Ukraine to fire into Russia and see what happens.

Woman Demands Her Husband Make $650,000 And Gets Humbled

Surprise, Surprise!

“Joe Biden is the walking embodiment of the exhausted American Establishment. More and more people have simply lost their faith in our Ruling Class. You could scarcely have a more potent symbol of its impotence.” — Rod Dreher

Clusterfuck Nation


Just before the weekend, a political prairie fire raced across a nation buffaloed, blind-sided, and buried deeply in bullshit, and the little critters who inhabit the landscape are still running around with their fur smoldering. What a surprise that “Joe Biden,” the mentally-disabled pretend-president, fell apart in the debate spotlight for all to see, like Captain Queeg in his fateful witness chair, or William Jennings Bryan at the Scopes trial (1925), or the Wizard of Oz when little Toto drew the curtain back — a brutal revelation of stark truth about how things actually are.

Since his hiding-in-the-basement campaign in 2020 “Joe Biden’s” Party of Chaos has pretended that he is fit and alert for the job and now all of sudden they pretend to be shocked to see how far gone in the head he really is. The bullshit shovelers of the mainstream news media were especially rocked, not by the truth of the situation per se, but at being unmasked as the contemptible, confabulating tools that they’ve become. The New York Times wheeled around on a dime from their servile lionizing of the presidential hologram they helped create to its editorial board abjectly yelling for him to drop out and get gone. They were joined instantly by a long list of other opinion-shapers, campaign donors, political celebs, and Beltway players.

Right after the debate, First lady Dr. Jill led a cheerleading session before a roomful of partisans that went beyond cringeworthy into uncharted territory of mortification. (“You were great, Joe! You answered all the questions!”). By the time the entourage moved to a pre-planned event at a nearby Atlanta Waffle House, “JB” had gone full-on zombie. If all that was intended to be reassuring, the effect was the opposite. Someone handed the blank-faced old grifter a milkshake and they beat it out of there.

The Bidens flew off to the Hamptons Saturday to milk the showbiz cows and hedge-funders for a campaign that might not still exist. “Everyone paid in advance. . .so it could be an opportunity to encourage him to drop out,” an invited guest told a New York Post reporter. “I wanted to go and see the train wreck,” another donor said. “I’d rather choose someone from a phone book than have Biden.” That was generally the tone among the woke-gay-communist echelons all over the land — surprisingly vehement, considering that just forty-eight hours before they were all in on re-election. Some could probably see their lucrative hustles whirling around the drain, and others might fret about just how far and wide prosecutions under a Trump Attorney General might loom.

“JB” and his family circle attempted to regroup over the weekend at Camp David where first son, Hunter (“the smartest man I know,” the president often says), led the buoying-up session, perhaps mindful of the many bank accounts set up by his lawyers in the name of Biden family members (including little grandchildren) for receipt of influence-peddling revenue gathered sedulously from entities abroad during “Joe Biden’s” post-veep high-earning years. The family emerged from that meet-up triumphantly, ready to forget the one bad evening and jump back into the election game.

Next, the biggest Dem dawgs — Obama, Schumer, Pelosi — stepped up with fulsome support for “Joe Biden” continuing to steer the party’s war canoe straight over Niagara Falls on November 5th. What possesses them? Misguided love for the monster they created? Fear of being called out as traitorous liars? Desperation to preserve the gigantic racketeering operation of the party they lead, with consideration for their big cuts of the action? Or are they just determined to complete the job of wrecking our country?

And where was She-Whose-Turn-It-Is, HRC, the only possible replacement candidate with name-recognition and no ruined state hanging over her as is the case with Newsom, Pritzker, and Whitmer (California, Illinois, Michigan)?  Mrs. Clinton has so far stayed out of it, laying low, probably thinking that the party poohbahs will eventually have to come around to seeing she’s the obvious viable alternative. Since the Clinton Foundation bought and paid for the DNC some time ago, she might be able to get the nominating machinery lined up in her direction. There are myriad problems, for sure, with many state election laws that discourage switching-out a nominee who has already captured a winning share of party convention delegates — but Norm Eisen, Marc Elias, and the Lawfare gang are already tasked to that set of problems now that their work is done cobbling together all those janky court cases to hamstring Mr. Trump.

We enter high summer with countless consequential things afoot. A grand new momentum is expressing itself throughout Western Civ against the Globalist insanity. Sunday, Marine LePen’s National Rally (RN) thrashed President Macron’s Renaissance Party, a shock equal to the “Joe Biden” debate fiasco here. British elections follow Thursday July 4, with PM Rishi Sunak sucking wind and Nigel Farage’s Reform UK Party ascending rapidly. Sunday July 7 France’s runoff election happens. A widened war threatens the Middle East as Iran and Turkey line up with Hezbollah in Lebanon against Israel. Ukraine cries for a negotiated settlement with no help from our own State Department. ISIS terrorists (among many other dangerous cadres) circulate on-the-loose around the USA, ready and able to perp atrocities.

Still hanging over the “Joe Biden” crisis — and it is a crisis — is the question as to how somebody no longer capable of leading a party in an election can also be capable of leading the executive branch of the USA as Commander-in-Chief. That quandary has been shoved aside for the moment but it still lurks ominously in the background.

The Reconquest Of Masculinity

Joe Biden Catches Cold

“Biden’s entire closing statement is the political equivalent of the blue screen of death. It’s just one long frozen glitch.” — Sean Davis, the Federalist

Clusterfuck Nation


Maybe ninety-seconds into last night’s long-awaited debate spectacle, the consensus must have jelled among the woke-and-broken news media mavens that their champion, “Joe Biden,” was not quite killing it out there at the podium. CNN moderators Jake Tapper and Dana Bash acted like witnesses at a ritual sacrifice. And afterward, the CNN post-mortem panel seemed genuinely shocked that months of playing pretend had skidded to such an ignominious finish.

Which raises a great many questions, starting with: why on earth did the Democratic Party and its media handmaidens persist in pretending month-after-month that “Joe Biden” was a fit candidate for another four-year term?  Last night, he didn’t appear capable of even finishing the current term. Why did they usher him so jauntily into the nomination? And what are they going to do about that now? And what were their motives for all that pretending? “Joe Biden” circulates among scores of astute officials every day. Did they all fail to notice his incapacity? Or has the whole thing been a sham and a lie all along? Was this just the culminating hoax by the Party of Hoaxes of a long string of hoaxes against the nation going back to 2015?

To the question of motives, the answer is obvious: the news networks have worked tirelessly (and with stunning dishonor) to hide their collusion with the government in gaslighting the public. More to the point, they’ve concealed the appalling truth that the CIA, DARPA, and their many intel blob subsidiaries conducted a silent coup over the USA and have been running our country’s affairs disastrously behind the “Joe Biden” façade — and that the coup actually started well before Mr. Trump’s 2016 inauguration. You know it, and they know that you know it.

More acutely, now that “Joe Biden” has been revealed as a hoax president, whole legions of public officials appear liable to criminal charges of the most serious degree: sedition, treason, mass murder, fraud, malfeasance, and in the case of the president himself, influence peddling and bribery. They must be desperate to avoid accounting for all that, losing their accrued fortunes to legal fees and going to prison (or worse). For example, outed just this week: news that then-CIA Director in 2020, Gina Haspel, knew about and participated in the infamous operation using 51 former Intel officers to cover up the veracity of Hunter Biden’s laptop days before the election.

They knew the laptop was real. Their colleagues over at the FBI knew it was real. They all knew it was stuffed with deal memos, legal memoranda, and emails that clearly laid out a long-running bribery operation among Biden family members and their lawyers. They knew it in 2019 when the Democratic Party moved to impeach Mr. Trump for inquiring about the Biden family’s money-grubbing activities in Ukraine — where, by the way, we may have fomented the war with Russia in part to cover up the culpability of all involved, including especially the State Department and their embassy staff in Kiev. The FBI and its bosses in the DOJ also withheld the laptop from Mr. Trump’s defense lawyers during the 2020 impeachment, though it contained massive exculpatory evidence to explain just why he made that fateful phone call to the newly elected Zelensky.

It’s obvious that the ruling blob now has to deep-six “Joe Biden.” The problem is they must induce him to renounce the nomination of his own will. The party’s nominating process is so bizarrely complex that it would be very difficult to just shove him out. Another problem is that the party had to peremptorily declare “JB” their legal nominee before the August convention in order to keep him on the ballot in Ohio with its 17 electoral votes (due to some arcane machinery in the state’s election laws).

As per above, the debate fiasco calls into serious question whether “Joe Biden” is competent to even serve out this term. He (or shadowy figures pulling strings behind him) are making profoundly hazardous decisions right now, such as last week’s missile attack that killed and wounded civilians on the beach in Crimea. Are you seeing how easily “Joe Biden” might start World War Three? All of which is to say that pressure will soon rise to use the 25th amendment to relieve him of duty, leaving you-know-who in the oval office. If Joe Biden actually has to resign as president, he also loses the ability to pardon his son, Hunter, and peremptorily his other family members who shared bribery money received from China, Ukraine, and elsewhere.

If he won’t resign, and the party can’t force him off the ticket, the blob could have no choice except to bump him off. I imagine they would get it done humanely, say late at night sometime, in bed, using the same method as for putting down an old dog who has peed on the carpet one too many times. Or, if that can’t be managed and he clings to his position, maybe the party could cobble up some new nominating rules impromptu. And then, who could they slot in from the bench?

The usual suspects are like the cast of a freak show, each one displaying one grotesque deformity after another. Gavin Newsom we understand: the party’s base of batshit-crazy women may all want to bear his child, but that limbic instinct to mate with a six-foot-three haircut-in-search-of-a-brain might not work with any other voter demographic — and Newsom has the failed state of California hanging around his neck. All Mr. Trump would have to do is broadcast the scene from a San Francisco street-cam on “X” (Twitter) 24/7.

Hillary has been stealthily flapping her leathery wings overhead for weeks as this debacle approached. She may still own the actual machinery of the Democratic Party — having purchased it through the Clinton Foundation some years back when the party was broke and needed a bailout. She could just command the nomination by screeching “Caw Caw” from the convention rostrum. Whatever happens, it will look terrible.

Governor Gretchen Whitmer of Michigan? An inveterate and notorious intel blob tool, Whitmer has allowed herself to be used repeatedly by the FBI to frame and persecute conservatives in her state as well as using her state AG Dana Nessel to go after political enemies there, especially poll workers who cried fraud in the sketchiest Michigan voting districts.

Illinois Governor JB Pritzker. Like Dreamboat Newsom in California, Mr. Pritzker is busily running Illinois (and especially Chicago) into bankruptcy and chaos. Looks aren’t everything, but if Dreamboat gives the vapors to Karens across the land, the Illinois governor will get them shrieking in terror as from the sight of King Kong on Skull Island

Who else is there? Michelle O, of course, who will be instantly branded as a catspaw for her husband seeking a fifth term — as Barack himself has averred in so many words: just hanging out in the background, managing things in his jogging suit. That would be the ultimate Banana Republic set-up for us and I don’t think the voters will go for it. It all boils down to the Party of Chaos being thrust into chaos. Can it even survive “Joe Biden?”

Then there is Mr. Trump himself. He remains the object of widespread rabid loathing, yet more and more Americans are coming to appreciate his opposition to Woke Marxist chaos and intel blobbery-gone-wild in our land. His performance last night featured his usual jumpy locutions and incomplete sentences, but in contrast to the current president, he looked neither senile nor an agent of sinister forces dedicated to bringing our country to its knees. Had Robert F. Kennedy, Jr. been present both of the others would have been badly outclassed verbally and intellectually. If Mr. Trump survives the blob’s efforts to delete him before November, I’m sure Mr. Kennedy will play a prominent role in another Trump administration. He knows exactly where the rot is and how to roust it out.

This is actually cute and funny

Hi… I am 18 years old boy at my last stage of blood cancer and I will probably die within next 5 to 6 months. I am going anonymous because my parents follow me on quora.

I was diagnosed with leukaemia in june 2015 exactly on my birthday. I have lot to tell but I can not tell anyone as I always have my parents around and they themselves are broken from inside and they would leave hope if I left hope.

I have a sister she is 23 and she takes care of all my needs. I love her very much. But I simply can not do anything for her because I am bed ridden. I get a lot of visitors from my old school and family.

My parents and my sister do their best to cheer me up and make me smile but I can not as it is very dufficult when you know you are about to die. I feel ashamed of myself as I can not move and I sometimes pee on the bed itself as I have no control over my nerves. All the doctors whom I have been shown to, say that I must do whatever I like as I have very less time left. Each and every doctor has said to leave hope and just enjoy life. I know my family cries when I am asleep or listening to songs or when I am not around. But I am helpless I just can not do anything. But yes I do appreciate that they somehow hold their tears back in front of me. My sister cheers me up as she calls her college friends at home and we play ludo and have a good time.

The point that makes me sad is that ispite of all the efforts my family makes I am unable to do anything for them espescially my sister. I am extremely attatched to her but I have no words to thank her. I wish god gave me another life…. Just one chance I would work extremely hard to make my family proud. All I dream is of getting well and working for my family.

This is it my story

Thanks for Reading…

Humble request

Please do pray for my family so that God can give them strength. 🙂 🙂

EDIT 1

Thanks to all the upvoters.

Writing gives me releif.

My sister caught me writing this answer and when she read it she set to tears and I could not hold myself and started to cry on my helplessness. She told that she loves me the most. She said that she had no problem in cleaning my dirty clothes and pushing my wheelchair and feeding me she just demands that I should stay happy forever.

I will reveal my identity if my sister this to my parents. But this totally depends on her.

Please stay tuned as I have 5 or at max 6 months left and then this would be closed

Thanks for reading

Humble request: keep praying

🙂

EDIT 2

The response is clearly amazing….

Thanks to all

I want to really clarify somethings.

1 I do not want any money my family can take care of my needs thanks for your health.

2 I do not wish to reveal my identity as I had already tried this with one of the people commenting here and it the consequences were disheartning

3 For those people who think this is a dram you are free to think so but your views really turn like these when you are nearing your end and the worse part is you know about it.

4 I write for my hearts relief and not for any kninds of financial favours from anyone.

I do tell my parents that I love them a lot but I can not share my pain because if I ever did that they would feel extremely weak to see their son losing hope hence I chose quora and anonymity.

They try to find out every possible source of miraculous recovery story they could and tell me to keep myself motivated and even I want one such thing to happen but I get very negative thought when I see people turning their faces and simply not to talk or even look at me when I am in park and again I have my sister by my me side.

I had a beautiful childhood like I and my sister were like typical brother sisters fighting for small things like for watching tv and I used to enjoy it a lot but now there is no fight and she allows me to watch anything I like and does everhything for me I tell her this almost everyday and she feels great.

I write my heart out here (as the ques suggests) and not in anyway for any favours

Thanks for praying

Keep praying

🙂

October 28

Thanks to all the upvoters… and all the prayers.

Some comments coming up like you want to give some years of your life to me. Please do not think that ever again. You have 2 functional hands, legs all 5 senses (sight, sound(ears), taste, smell and touch (skin)) intact and a good brain to think deeply. So stop thinking as your life directional was and start working. Make your family proud. Your family does everything for you. And if not for your family do something good for yourself.

I say it because When you are on your deathbed some 60–70 years from now you must not regret the fact that you wasted time and could not accomplish your targets. You do not realise how much time you have and how much can be done in that.

Now coming to some of my feelings.. (your choice read it or leave it)

I have some sort of insecurity that I would be left alone and that is not just from a day or 2 but from 3–4 weeks. I do not know why but it’s there. I have discussed this with my sister and she assures me that she would be there all the time. I mean I annoy my family members sometimes like I always want someone in front of my eyes. It sounds pathetic but it’s true.

I vomitted yesterday night it was almost all blood and something’s that I had eaten the whole day ( it’s quite normal as it happens 4 times a week). Naturally I was very depressed this morning so my sister took off from her college and kept me busy by discussing older times like how we both messed up together and how I cried when she teased me I was adopted.

I still remember that I and my sis used to hide remote in the washroom when it was time for some important cartoon (for me it was dragon ballz and for her it was suite life of Zack and Cody) and we used to have some insecurity when our parents gave one of us more attention than the other.

There are lots of things like these.

If I rewind and look into my life I realize how messi I was and my sister even then helped me she had been of constant support to me. I owe her everthing. I write this in every answer but I can’t help it I just love her.

I have realized one thing that I do not want to leave in misery. All the time I have I will enjoy and annky my sister and parents.

Thanks a lot to quora it’s great.

Thanks for reading

Keep praying

🙂

15 November 2k18

Thanks all for your overwhelming response..

I have lots of feelings to share as I had been in critical condition for almost last 15 days…

I have lost my voice because I spat blood so many times that my throat has constant piercing sensation. About a week ago I thought I would die and I wanted to tell my family everything and that is what I did.

I can not imagine how much my parents and my sister love me. They never felt bad helping me rather they wanted me to be fine. My sister is an angel. She has been with me all the time. Though I have become annoyed by my sickness and fought with her many times but she always handled me with care. Whenever I saw anyone in my room it was her in the last 15 days. She is more than God to me.

Now I get feelings like it is better to die in peace rather than suffering so much. I sometimes seem to have lost all hope and strength.

My parents are going mad to see my condition going from bad to worse but they are not ready to loose me and even I can not convince them.

There is almost no happiness left in my life. All the time I see my parents trying to convince me I would be fine and me trying to convince them to be ready for my loss. I feel so bad and blessed at the same time that I am spending the last chapter of my life with people whom I love the most but I feel myself weak when I am unable to do anything for them.. I do try to explain myself that it is not my fault but these thoughts overpower my thinking and leave me depressed most of the time.

People say to enjoy life but how do you enjoy life when you can not walk, talk, or when you are scared of eating(yes I am scared as whatever I eat I vomit with blood)!!!!! I am scared of my own face it looks so horrible with pale skin and red marks.

How do you enjoy life when you have constant killing pain in your whole body and the only way you keep yourself feeling painless is by taking strong pain killers??

I am sorry if this hurt your feelings but I have had it enough. I either want a painless death or a painless cure. I am too depressed but there is nothing I can do…

I am sorry

Keep praying

🙂

I suggest you visit China.

It reversed my preconception. I am from Norway, North Europe. A rather modern and advanced society.On my first visit to the US over 20 years ago, I was surprised at how backward and old fashioned it was. Movies had let me to believe it was the epitome of modern society. I visited several states on the East/South-East. Very backwards digitally. Terrible infrastructure. Unwalkable. Dirty. Hard to find quality restaurants outside of big cities. Dead city centres in medium sized cities.I went to China a year or two later, and the opposite struck me. It was a highly modern society. Highly digital. Fantastic high-speed infrastructure (that is even better nowadays). Super clean, modern cities. I was mainly in the Jiangsu province that time. Loved it!I suggest everyone to go and form their own opinions. I really fell in love with Suzhou, not far from Shanghai.

  1. Every person is responsible for their own happiness — not their parents, not their boss, not their spouse, not their friends, not their government, not their deity.
  2. One day we will all die, and 999 out of 1,000 people will be remembered by nobody on earth within a hundred years of that date.
  3. Practically all of the best opportunities (in business, in romance, etc) are only offered to people who already have more than they need.
  4. The idea that you will be happy after you make X amount of dollars is almost certainly an illusion.
  5. The idea that you will be happy after you meet [some amazing person] is almost certainly an illusion.
  6. For most people, death is pretty messy and uncomfortable.
  7. When you don’t possess leverage (go look up “BATNA“), people will take advantage of you, whether they mean to or not.
  8. Almost everybody is making it up as they go along. Also, many (most?) people are incompetent at their jobs.
  9. When talking about their background and accomplishments, almost everybody is continually overstating their abilities, impact, relevance, and contributions.
  10. Physical beauty decays.
  11. Compared to others, certain ethnicities and races (and genders, and sexual orientations, and so on) are just plain royally f*cked from the day they’re born. [EDIT: depending on locale & time period]
  12. Bad things constantly happen to good people. Good things constantly happen to bad people.
  13. Very few people will ever give you 100% candid, honest feedback.
  14. People are constantly making enormous life decisions (marriage, children, etc) for all of the wrong reasons.
  15. Certain people — some of whom are in positions of enormous power — just do not give a damn about other human beings.
  16. Often, the most important and consequential moments of our lives (chance encounter, fatal car accident, etc) happen completely at random and seemingly for no good reason.
  17. Your sense of habitating a fully integrated reality is an illusion, and a privilege. Take the wrong drug, suffer a head injury, or somehow trigger a latent psychotic condition like schizophrenia — and your grip on reality can be severed in an instant. Forever.

Yet, despite all that not-so-good-ness, overall life is pretty damn rad. And we’re lucky to get to participate in it.

VIETNAM NIGHTLIFE | WALKING STREET | HO CHI CITY MINH VIETNAM

“jealousy” is not the word to describe US mentality.

Dominance is a better description for USA. USA has been dominating the world since WW2. Since 1990’s, China has been rising, fast these years.

USA is hysterically hanging onto its dominance. That is all.

Look at Japan. As a country defeated by USA in WW2, Japan is 100% Amcericanised esp its political system. Also Japan is militarily controlled by USA. Japan is a US puppet with no independent strategic sovereignty.

The only thing for Japan to do was to focus on economic & technological development. In 1980’s, Japan was economically #2 in the world after USA. Its chips technology surpassed USA. Similar to today’s China.

Then what has happened to Japan? You heard it already. USA mercilessly beat Japan down, making Japan lose 10–20 years’ economic & technological advancement.

Just a month or so ago, Japan has monetary crisis & wanted to sell US debts to get cash to save its economy. US Treasury Secy Yellen then called Japan & warned Japan that what Japan did was interference of free market. … Japan was not allowed to save its exchange rate.

Why? USA wanted to bankrupt Japan (& Asian countries too). When Japanese assets become cheap, cheap & cheap, US capitalists will flood into Japan to buy up Japanese assets.

No other Asian countries went bankrupt like Japan because USA has no control of them. They all saved their economy.

Back to your question.

See, it is more to do with sick mentality to dominate than to simple jealousy.

Loyalty is the most important characteristic that a woman can provide to her man

https://www.youtube.com/shorts/cQRl50Gdalo?feature=share

The China, India border issue has been an issue for the two sides since the 1950s, but the two sides have never been able to find a solution.

On India’s side, the political leadership has never been able to form a consensus on a deal, and on China’s side, there has been no urgency. So the issue has continued to simmer.

Now though, the battle lines are becoming more clear between NATO/EU/G7 on the one side, against BRICS on the other side. Russia is on the front line confronting NATO expansion in Ukraine, and is drawing support from Iran and North Korea, while India and China continue to trade with Russia, and ignoring western sanctions.

But there is a problem: India is also a member of the US-led QUAD, with the US, Australia and Japan, and whose aim is to surround and box in China. India has been playing both sides, with one foot in BRICS, and one foot in QUAD.

Obviously India under Modi wants to get a good deal for India.

From Putin’s perspective, he can no longer afford to let the China/India border issue continue because it will threaten the cohesion of BRICS and the rise of Greater Eurasia, which has the support of all the BRICS members and North Korea. Putin does not want and cannot afford to let the US exploit the China/India border issue to the US’s advantage because the US wants to prevent the rise of the Greater Eurasia economic and security alliance.

Since China and India have not been able to reach a border agreement, and Russia is a close ally of both China and India, and is a founding member of BRICS, this means that Russia has to step in and try to help the two sides reach an agreement.

My guess as to what the Russian proposal will be is:

  • Russia will appoint a representative to study the China-India border dispute; this person will likely be Russian foreign minister Sergei Lavrov;
  • After studying the issue; the Russian team will propose a border resolution to both China and India;
  • China and India will study the Russian proposal and propose their own amendments;
  • After incorporating the amendments, Russia will issue a solution which is binding on both India and China.

This means that both sides have no choice but to accept the solution. If there are features of the agreement which both China and India do NOT like, then this means that it is a fair agreement.

Russia will then ask India to demonstrate its full commitment to BRICS by withdrawing from the QUAD.

Indian PM Modi will go to Moscow to meet with Putin this Wednesday.

Americans CAN’T Believe What China is Doing Now!

Yes… Approximately 9 years ago I was home alone when I suddenly couldn’t breathe. I was just watching television.. not doing anything strenuous. I had a history of asthma and tried using my inhaler, which did nothing. Fortunately, I picked up the phone, dialed 911..and could only whisper I couldn’t get my breath. I couldn’t give them any information, since I couldn’t speak. I ran to my bedroom to use my nebulizer, but passed out on the bed. Luckily they traced my call, sent an ambulance immediately and transported me to the local hospital, while attempting to revive me on the way. I woke up in ICU 2 days later, after being on a ventilator for 48 hours. My heart had stopped for some unknown reason. After a two week stay, numerous tests and medications, I was feeling better. They felt I had pneumonia.. and gave me antibiotics and steroids, which did seem to make me feel better. While there, they had performed a heart echo, but no one actually told me about the results. When the echo was being done, I felt like I was going to pass out any second and I cried and complained to the technician. Finally I felt better and had begged to go home, which they finally allowed. I returned to my job in another week or so, and was trying to get back to normal. Due to the fact that I often was required to read medical records as a part of my job, I thought it would be a good idea to order a copy of the hospital record, for my own peace of mind, so that’s what I did.

After about a week of being back at work, I came home one day totally exhausted and again weak and short of breath. Immediately my husband insisted we go back to the hospital. I was admitted again with a low oxygen level and trouble breathing. A day or two before, the hospital record had been delivered and I had my husband bring it to me, in the hospital. The doctor wanted me to have an echo and I argued with them explaining I had already just had one! They argued with me and then admitted that somehow the report was missing and they did not know the result. I carefully looked through the records and found the report, showing the cardiologist. I was stunned to read that the ejection fraction (measurement of my heart’s efficiency) was only at 25%. I found out later, this was a level requiring hospice care!! They told me I needed immediate heart surgery and the cardiologist called a surgeon from a Manhattan hospital who agreed to take my case. I was transported by ambulance to Lenox Hill in NYC where I underwent an open heart surgery the next day. I had congestive heart failure….. I cried when the surgeon told me that if they couldn’t fix it, they might have to do a heart transplant!! Imagine.. Ultimately, they did complete a repair of my mitral valve leaflets, by inserting a ring over the valve to allow it to work effectively. It seems my condition had been ongoing for quite some time; My left ventricle had become seriously weakened, causing my heart to fail. Post surgery, I improved, but I continue to require medication to maintain my heart rhythm and blood pressure. The medication makes me tired, so I am much more limited in what I can do. I’ve been on disability since.. and have been unable to return to work. This all happened when I turned 50 years old. I spent my 50th birthday in the hospital.

I did not pursue a malpractice case, although I did seriously consider it. In such cases you need another doctor who would be willing to say the hospital and doctors were negligent. I did visit the EMTs at my local firehouse to thank them for saving my life. They recalled that when they found me I had turned blue from the lack of oxygen. I think they were surprised that I made it!!

At this time, I consider myself to be very, very lucky to be alive! Lesson: we have to be our own health advocates these days! Doctors can and do make mistakes. If it doesn’t seem right, keep looking for another answer!

Russia Just Revealed 2 Hypersonic Weapons & SHOCKED The World!

China has analysed the lunar soil that was collected by Chang’e-5 in 2020. (Not yet from the 2024 Chang’e-6)

There was discussion that China should first publish its result in Chinese science journals (using Chinese language). before publishing it in foreign journals.

There was US complaint that China has broken the intl standard to use English.

Who said English is the standard? God? Who said a standard/rule cannot be changed? God?

All humans are equal. All nations are equal. We coexist with different cultures incl language. USA crowns itself as god to control the world.

Want to read China’s research result? Learn Chinese language then. Or wait until China publishes it in an English journal. Or go to the moon to collect the soil yourself.

It is not the 1st time arrogant USA complained about Chinese language.

In 2021, China launched a 100% Made-in-China space station (天宫 Tiangong), somebody (I believe it was NASA chief) was maddening angry. He asked China to dismantle Tiangong because it was not written in English.

Tiangong is China’s private property. China can use its own language in its property. Though China welcomes other countries to join China’s space program, Tiangong is STILL China’s private property.

That is not the end of story.

Using a satellite from Space-X, USA tried to collide it into Tiangong. Twice, Luckily USA failed because Tiangong is equipped with detectors to prevent collision by meteorite or space garbage. China already reported this US crime to UN.

Did E Musk aplogise? No. He said China can always avoid collision. The question is not whether China can avoid it. The question is why Space-X deliberately created collision & endangered the life of the 3 Yuhangyuan (宇航员 astronaut) in Tiangong.

Let me further irritate the sick USA …

China is planning to set up a base on the moon. China may draw a map to divide the moon into regions like our road map. China may name the lunar regions in Chinese language.

Rules are made by the FIRST one who gets it. Like WTO rules were set up by the West. China thus follows WTO rules.

Since China will be the 1st one to set up a base on the moon, you learn Chinese language & then translate the Chinese map in your language.

Gregorian Chants | Immersing in the Spiritual Atmosphere Of Gregorian Chants

I discovered this. It’s something to bookmark if you want some chill music for atmosphere. Or meditation. Maybe spells. Who knows. Aside from that, you can skip this.

My ex and I were moving to Oregon from Colorado and had put our home up for sale. We got an offer within two weeks which was great as we were moving a few weeks later.

Supposedly, the buyers were moving to Colorado from Texas for the man’s job. The company was doing the down payment and then pay the balance on closing. Because of “banking issues” because of the house being in Colorado, they ended up only sending a $1,000.00 of the $30,000.00 down payment.
Our real estate agent said that was ok, that the whole sale amount would be paid at closing.

The closing was the day before we were leaving. We get to the title company’s office for the closing and the buyers show up with our real estate agent, and without the payment check.
The guy doing the closing was not happy but the real estate agent had him call the bank that the funds were coming from and he was told that there was an malfunction on the bank’s end and the transfer would take place that afternoon.

We went ahead and signed the papers and made arrangements for my mom to deposit the check in our bank account ( small town bank where everyone knew everyone else) the next day.

Yup, you guessed it, the check never showed up. Luckily the title agent was a family friend and refused to hand over the keys or file the paperwork without payment, even when the real estate agent and buyers threatened legal action.

Come to find out, it was a scam. The couple and the company he worked for, had pulled this in other states before. They would do the closing , get the keys and the title put in the new owners name. They would in turn sale the house and the original owners would be stuck with what little was paid in escrow.

We were lucky the title company refused to file the paperwork, we rented the house to some friends who then purchased it with cash a few months later.

The real estate agent lost their company and license and since it was a small community, their reputation.

The buyers left the state and the company disappeared. Technically no laws were broken so we couldn’t do anything legally against anyone.

Sheech!

Mike Williams was fond of duck hunting.

On December 16, 2000, which happened to be his 6th wedding anniversary, he went out to pursue his hobby. Promised his wife he’d be back in time for the celebration, he never returned.

Search and rescue team found his boat and shotgun the next day, but he was nowhere to be seen.

Initial reactions were that he may have drowned, but his body could not be found. Since the lake inhabited alligators, the blame was on cold-blooded animals. It was assumed that he fell off his boat and was eaten by the gators. Mike remained on the ‘missing list’ though.

Six months later his waders, torch, hunting license and safety jacket were found floating in the lake.

On his wife Denise’s request, he was declared “dead by accidental drowning.”

She married another man, Brian Winchester, in December 2005. He was Mike’s high school friend and an Insurance agent.

Thousands of letters from Mike’s mom and the case was reopened. She just didn’t feel her son had disappeared and was eaten by the gators. By then, it was clear to the investigators that alligators don’t eat humans as a whole, and they don’t even eat during winters.

So, this pointed towards some serious foul play. There was no evidence to arrest anyone, however.

Sixteen years of no evidence, the police arrested Brian Winchester in August 2016 for allegedly kidnapping Denise. He did so because she wanted to divorce him.

On Denise’s request, the judge agreed to turn down Winchester’s bail application. She said he would kill her and her daughter.

On December 19, 2017, Winchester was sentenced to 20 years in prison for armed kidnapping of Denise. The very next day, on December 20, Mike’s remains were found.

In May 2018, Denise Williams got arrested under the charge of first-degree murder. Three months later, in August, she was charged with insurance fraud worth $1.75 million.

The matter got into the trial phase, and Winchester testified against Denise for plotting the murder. He told the court he pushed Mike into the water to make it look like an accident, but it didn’t work. So, he shot him in the head and buried his body in the mud near Carr Lake.

Denise, in February 2019, was sentenced to life imprisonment for first-degree murder and an additional 30 years for conspiring the crime. There is no possibility of parole.

Winchester, though the killer, remained immune from murder conviction because he testified against Denise.


The only flaw in this crime was planting the evidence six months later. All of that was intact. No signs of gators’ tooth or blood on any of the recovered items. The torch worked absolutely fine.

And then asking to declare him dead within six months of his disappearance. Usually, it takes five years to get it done.

It took about 2600 letters to the authorities from a loving mother to find the killer(s) of her son after more than 18 years of his disappearance.

strong independent woman gets a TRAIN RAN on her and regrets it

The West is cooked! God am I glad that I don’t live there any longer.

Maybe they smelled war.

Businessmen have the most sensitive political sense. Recently, Raul Lambino, chairman of the Philippine New Energy Group, burst into tears at the 70th anniversary commemoration of China’s “Five Principles of Peaceful Coexistence” held in Beijing. He said: ‘I Don’t Want My Country to Be a Battleground’.

Recently, Taiwanese media reported that a silent electronic war broke out between the Chinese and American militaries in the South China Sea. The two armies fought for 12 hours, and the result was obvious: PLA wins and the US military loses!

The Internet, GPS, and communication facilities in the northern Philippines were all paralyzed. Luzon Island was in a state of panic.

Electronic warfare is a precursor to bombing military targets. After the outbreak of the Russia-Ukraine conflict, Russia launched a large-scale electronic jamming war, causing Ukraine’s GPS positioning to drift seriously, and the effectiveness of precision-guided weapons provided by NATO dropped significantly.

Therefore, before a war breaks out, GPS signals may be lost, network connections may be disconnected, and phone calls may be unreachable in the war zone.

Perhaps the Philippines realized that the U.S. military was completely unable to provide the so-called “protection” for the Philippines, and unilaterally demanded that the U.S. military withdraw its medium-range missile system from the Philippines to avoid further angering China.

Philippines Says US Mid-Range Missile System to Be Pulled Out
A US mid-range missile system deployed in the Philippines for annual joint military exercises — to the annoyance of China — will be pulled out of the country.

A series of events that have happened recently may contain the answers you want.

The old farmer only had one testicle left due to testicle cancer, and his remaining testicle had taken a hard hit from his favorite cow. The ball looked like a balloon. A very painful balloon.

After a medical investigation, and before the urologist could even say what the verdict was, the patient stated the decision he had made, after a long and deep contemplation:

“I am seventy-plus years old, and my wife an I do not share the bed anymore. We don’t need to spend extra energy nor money to save it. Just take it away, and I will be just fine.”

That’s what he would do if one of his animals had one balloon ball left — amputate the entire scrotum, and that’s that. So the lady doctor should do the same thing, with a rubber band for that matter. (He would be fine.)

My girlfriend tried to explain that he needed the testosterone in order to function as a man (hoping that he would understand this language). But the farmer refused to take it in because in his eyes, a testicle was a tool to procreate. (“So why not cut it off ?”)

It took forever to explain that without testicles he would suffer from fatigue, hot flashes, muscle loss, poor facial hair growth and what have you. That on many levels he would turn into a woman in menopause, and that lifelong hormone treatment would be necessary to counterbalance the effects.

And that he would become an extremely emotional farmer for no reason if he would ignore that very important treatment (which he would).

In the end, he gave in though — and the testicle lived.

But the dream remained.

Fun pictures

bdda94bb77f75bee714c6a4f2d292c79
bdda94bb77f75bee714c6a4f2d292c79

b8e9198f127016598df91056780c317e
b8e9198f127016598df91056780c317e

4c6a28e30993886e5c213cb9b385144f
4c6a28e30993886e5c213cb9b385144f

61283eff5270033fd32efcc8baf33c13
61283eff5270033fd32efcc8baf33c13

99cab22657389fafa2d3723db5e435ad
99cab22657389fafa2d3723db5e435ad

7fecd0b1f4273acf13f51264d2b12c8d
7fecd0b1f4273acf13f51264d2b12c8d

486f21f12b71129b870f215fd7ed9425
486f21f12b71129b870f215fd7ed9425

47dc98758c585bd7244f7067f6a6e387
47dc98758c585bd7244f7067f6a6e387

339e4542209752d226cd3449b9abfe94
339e4542209752d226cd3449b9abfe94

23fe01e5a40d68020f311d46690b96e3
23fe01e5a40d68020f311d46690b96e3

e5da1749d5fdf99cb65c0e3ce0749d92
e5da1749d5fdf99cb65c0e3ce0749d92

f89fa642b285124bffa1db5ddee406ff
f89fa642b285124bffa1db5ddee406ff

f126980b049268f67efee696f00ae4a8
f126980b049268f67efee696f00ae4a8

579d797bd4ae538cc493665d992b5021
579d797bd4ae538cc493665d992b5021

08612f870ad72e1cd0ad6ea7c3ba3188
08612f870ad72e1cd0ad6ea7c3ba3188

86a00b198fad31487409ef3352f6495f
86a00b198fad31487409ef3352f6495f

a306b52fd2fabf75c4e3b327537b57c3
a306b52fd2fabf75c4e3b327537b57c3

86761eee2ae995ff7a937eb31c4e6a43
86761eee2ae995ff7a937eb31c4e6a43

a47d92b40d5812873e9b033a60868532
a47d92b40d5812873e9b033a60868532

d849421fe76b0436b33d92f86536c2fb
d849421fe76b0436b33d92f86536c2fb

ba6941764a85345d4151c6cd07bf2f65
ba6941764a85345d4151c6cd07bf2f65

941adbd85cb4275cafda3e9b3e15935c
941adbd85cb4275cafda3e9b3e15935c

e6d5d786b50ec2e6a958899b521af7ba
e6d5d786b50ec2e6a958899b521af7ba

86456699828a376d8f0e70374f24b12a
86456699828a376d8f0e70374f24b12a

b0fdea85696f066f8af491571711ac2d
b0fdea85696f066f8af491571711ac2d

11c7c80a749e45a09a85358f43401aeb
11c7c80a749e45a09a85358f43401aeb

c6f0f622600a778ca68560023f681c47
c6f0f622600a778ca68560023f681c47

eb5c240260eaddf4409b94613864e9ce
eb5c240260eaddf4409b94613864e9ce

ed02e88f22a5bf3c530d02e087f08bea
ed02e88f22a5bf3c530d02e087f08bea

20a6b0225e1a739a9d14568fcaa5289a
20a6b0225e1a739a9d14568fcaa5289a

17057b8f0a4fef0ca22ce681bdc1a6c0
17057b8f0a4fef0ca22ce681bdc1a6c0

c97068e58fe38b94ea2d20655c106f59
c97068e58fe38b94ea2d20655c106f59

268c86ba43ed50b1e39246f97a5362e6
268c86ba43ed50b1e39246f97a5362e6

bf8d5598b02d9b20d083a3598bcfec7b
bf8d5598b02d9b20d083a3598bcfec7b

ea7aeec65f4fcd2058350439dd12c1c6
ea7aeec65f4fcd2058350439dd12c1c6

b93f1b570f4ff30007621dbb7338eaf7
b93f1b570f4ff30007621dbb7338eaf7

916219ed8f0b858986751502ca2c03b8
916219ed8f0b858986751502ca2c03b8

7b24621f06eca9f22f960057f3addc4f
7b24621f06eca9f22f960057f3addc4f

8b2ef17dd454eedef0ab0f58440ec37d
8b2ef17dd454eedef0ab0f58440ec37d

05ff108af0850a7c7d047d8f77897a93
05ff108af0850a7c7d047d8f77897a93

c83b407a5509dd08409928c99417cba3
c83b407a5509dd08409928c99417cba3

3aa79c4999f7e4045a2c3a62ee7fa4a5
3aa79c4999f7e4045a2c3a62ee7fa4a5

98f0bf82b627256fb4258d52e58617d0
98f0bf82b627256fb4258d52e58617d0

84a0af021dddc1333f89b22c53e8fd66
84a0af021dddc1333f89b22c53e8fd66

ad2fa20b0ae7a75a22052e40c54f02a0
ad2fa20b0ae7a75a22052e40c54f02a0

2678b858cee1be784c512e2101bd2648
2678b858cee1be784c512e2101bd2648

5ccf6a30e016c10f55a43721623f20da
5ccf6a30e016c10f55a43721623f20da

945e652ba5ac6b496ac0a28abb8bfb98
945e652ba5ac6b496ac0a28abb8bfb98

39ef7f10d5d4cd428b4d8e41ef64d921
39ef7f10d5d4cd428b4d8e41ef64d921

5de1fb740f4e84a17036cebadb41bac9
5de1fb740f4e84a17036cebadb41bac9

7244baa9b3b496a05fd92ad748528a3b
7244baa9b3b496a05fd92ad748528a3b

1495598273fb7efcad5dcaded222b5ec
1495598273fb7efcad5dcaded222b5ec

7502e97d6fd0c8567b6095aa155b3780
7502e97d6fd0c8567b6095aa155b3780

e20fe47809c9654d442d1e9f7380ec56
e20fe47809c9654d442d1e9f7380ec56

f5f04671a02ee93a89016c6957246b53
f5f04671a02ee93a89016c6957246b53

b0c6d1c94db3689962c248e7977bac61
b0c6d1c94db3689962c248e7977bac61

a52db11512eb18ee2e381139734337d8
a52db11512eb18ee2e381139734337d8

e21ed130687512d345777c6060b5cca3
e21ed130687512d345777c6060b5cca3

41362827414a6456837144cdaac6a2dd
41362827414a6456837144cdaac6a2dd

73d74f75f97c9cc47695d60d9347560e
73d74f75f97c9cc47695d60d9347560e

ec82e20e3816a0e28bf09353250d2416
ec82e20e3816a0e28bf09353250d2416

967a912a98820a504f20d77a3e2717e4
967a912a98820a504f20d77a3e2717e4

e851ec6d5eb3f4da294a9e29f98eb452
e851ec6d5eb3f4da294a9e29f98eb452

4b9d95e26743f95ca5d3e5647994211b
4b9d95e26743f95ca5d3e5647994211b

05d3921d803d5b59da856e1b105bec52
05d3921d803d5b59da856e1b105bec52

91274dedd4af0181a5e89a8d3060cfce
91274dedd4af0181a5e89a8d3060cfce

140f3fe6c0c4147b6f76a2750d944999
140f3fe6c0c4147b6f76a2750d944999

4f223ad261e13ea230db3f6f197c3259
4f223ad261e13ea230db3f6f197c3259

96c816478a74b35e3bfa4cee78fa1dea
96c816478a74b35e3bfa4cee78fa1dea

Margarita Beef with Orange Salsa

This recipe was the winner of the 1992 National Beef Cook-Off.

093a334218e9aee2d6f6389a08f36a0d
093a334218e9aee2d6f6389a08f36a0d

Yield: 5 to 6 servings | 1 1/2 cups salsa

Ingredients

Steak

  • 2/3 cup frozen orange juice concentrate, thawed
  • 1/2 cup tequila
  • 1/3 cup fresh lime juice
  • 2 tablespoons olive oil
  • 2 tablespoons chopped fresh ginger
  • 2 medium cloves garlic, crushed
  • 1 teaspoon salt
  • 1 teaspoon dried oregano leaves
  • 1/4 teaspoon ground red pepper
  • 1 1/2 pounds well-trimmed boneless beef
  • 1 (1 inch thick) round steak

Orange Salsa

  • 2 oranges, peeled and cubes
  • 1 small onion, chopped
  • 1 jalapeno, seeded and chopped
  • 1/4 cup chopped fresh cilantro
  • 2 to 3 tablespoon fresh lime juice
  • 2 tablespoons olive oil
  • 1/2 teaspoon salt
  • 1/2 teaspoon dried oregano leaves

Instructions

Steak

  1. Combine orange juice concentrate, tequila, lime juice, oil, ginger, garlic, salt, oregano and red pepper.
  2. Place steak in a plastic bag: add marinade, turning to coat. Close bag securely and marinate, refrigerated, for 4 hours, or up to overnight.

Orange Salsa

  1. In a nonreactive bowl, combine all ingredients. Refrigerate for at least one hour.
  2. Remove steak from marinade; discard marinade. Place steak on grill over medium coals (you should be able to hold your hand 4 inches above the coals for a count of 4 seconds).
  3. Grill for 22 to 26 minutes for medium-rare to medium doneness, turning once.
  4. Remove steak to carving board; let stand for 10 minutes.
  5. Carve steak crosswise into thin slices: Arrange on serving platter. Garnish with cilantro and lime; serve with Orange Salsa.

Circa 2010-ish, Samsung had this app in my country called samsung gift. It would give limited free vouchers (mostly for food) for people who have samsung phones

Funny thing is, not many people seem to use it, and they always deploy their free vouchers at the same time everyday. So i would standby, app open, to wait for it. No kidding, I *ALWAYS* get the vouchers without fail. We’re talking about full meals at kenny rogers, free medium pizza from pizza hut, etc.

Oh, and I didn’t stop there. They had tiers of these vouchers. like if you have their flagship phones (and tablets too) they give out voucher for fancier restos.. then another tier for mid-tier phones. and for the lower tier phones it would be like a free ice cream at dairy queen or something.

well my family uses samsung phones mainly. and I also have a few samsung tablet. long story short, each day i would have an excess of 5+ vouchers to trade in (and many of these you can take away, it’s not always limited to dine in)

suffice to say that i think for about 2+ years Samsung helped me save a lot on dining out costs. it was really fun. too bad around the time Galaxy note 8 came out (the last samsung flagship i ever bought), they slowly started to give out less and less vouchers. oh well, it was fun white it lasted!

My friend’s grandma gave birth to 10 children during her life time. Six of them grew to adults. Two of them died when they were toddlers. Another two babies were killed by drowning in a basin, as soon as they were born, by the parents, who were too poor to feed them.

My friend said ‘Grandma and grandpa had often murmured, ‘Sorry… Sorry…’ with tears, when they recalled it. They blamed themselves all their lives. They had no choice. No enough food for all the family. They killed the babies by their own hands, instead of letting them starve, to die slowly, to save the food for their elder children to survive.’

It was a situation you would face as a parent if you were born at that time, in a poor village, if you didn’t have enough sunshine or rain to harvest your crops in a certain year. In fact, at that time, it was not a rare case at all. The ones you killed were not fetuses, but actual humans who could already breathe and cry. You were no doubt a murderer. But no matter how guilt-ridden you felt murderering your newborn angels, you must move on, because you must take full responsibility to feed your other children, otherwise they wouldn’t survive either. That’s why in a time like that, the babies killed by their own parents were not considered ‘murdered’, neither legally nor morally. It was pretty much accepted by society, because people didn’t have better options.

Morals, values, cultures… all depend on our ability to survive. There is no absolute right or wrong, good or bad, virtue or sin. ‘Should we consider a fetus a living human?’ ‘Is abortion wrong?’ ‘Should we forbid eating animal meat?’ ‘Is President Lincoln a racist?’ All discussions require a context. We can’t give an absolute yes or no without considering the backgrounds and the stages of development. Morality is relative, specific, and constantly changing.

When we talk about abortion, we need to talk about the alternatives when you don’t abort. Do common people have easy access to birth control? Have we built up a single mother/father friendly social environment (employment, welfare, social pressure etc)? Do we have social support for poor families? Has our society developed to a stage that everybody is covered by a safety net? What’s the solution when a woman is in a bodily condition which makes it highly risky to give birth? What about a pregnancy caused by rape?…

There is no ‘best’ social system. We have to consider the current stage of development we are in, to balance the different interests and conflicts of different individuals and social groups, to keep on communicating and compromising, to keep on experimenting to find a relatively ‘not bad’ one. I think that’s our human’s reality.

(This is a translation of my another answer in Japanese to a similar question. Welcome to correct my English and discuss about this topic. Thank you.

Young Men Are Going To Revolt…

This is NOT what you think it is. It is a in-depth study of the nature of war, collapse and change. Very good. Really worth your time to watch.

MM based on the Carmine Sabitini archetype template-seed

Nervous. Very, very nervous.

By 1941, Turkey was in an extremely tenuous position. The Soviet Union, our old friends during our War of Independence, had reversed course under Stalin and had begun to eye not only the old Imperial territories in Turkish east that were conclusively lost with the Treaty of Kars, but also the Straits themselves. On the other hand, there stood Germany across the border- a state with which we ostensibly had friendly enough relations, but the aims of the Reich were hard to know. Further complicating things, Britain was prodding us to see if we’d enter the war on the Allied side, and while Britain didn’t exactly have the power to force us, this put us in the crosshairs of a Reich that might decide that it’d be better off eliminating the threat before it struck at an unopportune time. And of course, even if Germany didn’t desire to attack us, that still left the question of Mussolini’s Italy hanging: after all, Germany hadn’t wanted to attack Greece either.

Still fighting to climb out of the ruin that the Great War and the struggle for freedom afterwards had left us in, we couldn’t afford another war, nor did we want one. This left the razor sharp path of strict neutrality for us to follow, while arming ourselves to the teeth so we could sell ourselves dearly when the time came.

Throughout the Second World War, Turkey was one step short of a state of war. Air raids shelters designated across the country, rationing and blackouts instituted, courses to train citizens on the realities of warfare set up, and the army and the economy mobilized for wartime, Turkey was bracing for a war that might have been right at the door, intending to sell our lives dearly if it came to it.

By 1943, the Turkish army had expanded to forty-five divisions(including one armored division) and five brigades(one cavalry, one armored and three infantry), organized into three armies and fifteen corps, totaling 1.3 million men under arms- two thirds of all people eligible for military service.

Soviet-produced T-26 tanks during a parade before the Second World War. These vehicles bought in 1932 was the first sizeable tank force of the Turkish army. By 1945, Turkish armed forces would have a rather sizeable tank arm consisting of an utter hodgepodge of vehicles, ranging from Soviet T-26’s, British Vickers Mark 6’s and Valentines, German Panzer III and IV’s, and American Stuarts and Shermans.

Turkey’s Second World War policy can be described tongue in cheek as putting all effort towards being a friendly, but extremely spiky hedgehog. It was centered simultaneously on maintaining friendly neutrality with everyone around us, while being as ready as humanly possible for any war that might come our way.

High-Value Man TRIGGERED American Women After He Told Them They Aren’t Wife Material

I’ve seen a lot of really sad things, way too many than I care to recall. But here’s just one sad story of many.

A Husband and Wife were having a Birthday party for their daughter. She was little, maybe 4 to 6. I don’t remember exactly how old she was. The mother and daughter and guests were all playing, and eating cake in the living room of their 8th floor apartment. The father had been recently receiving treatment for depression, and went into the kitchen and decided to jump out of the window, to his death.

The Mother didn’t notice, until we came up to the apartment and knocked on the door. I saw what was going on, and called her out of the apartment into the hallway. I explained everything that just happened to her, and yes, I didn’t follow protocol and have her make a formal ID of his body. Yes, I did it differently. A picture, the doorman, and his ID, were good enough for me. There’s no way I was going to put this poor woman through any more stress.

She asked me about what she should do? How could the situation be handled? I still don’t know if I gave her the right advice. I was a 28 y/o, I wasn’t married, and had no children. I told her to lie to her daughter for now. Not to tell her that her Daddy had died on her birthday by jumping out the window and committing suicide.

I told her I’d help call the guests’ parents, and have their kids picked up from the party. Then maybe she could tell her daughter that her Daddy wasn’t feeling too well, and went to the hospital. Maybe in the next day or two, she could tell her that her Daddy had died in the hospital of a heart attack.

Then, when the child was older she could tell her the truth, since family secrets always wind up coming out, anyway.

I’m not one for lying to people but I just thought that she would always associate her birthday with her father’s death, and that just wasn’t fair for this little girl. Unfortunately, death by suicide is still mostly taboo, even in big cities. She and her Mother deserved so much better than the hand they were dealt that day. I still don’t know if this was the right advice, but this is what I would have done for my child, if I’d had one.

Election In Britain

The Tories have lost the election in Britain.

Labour, under Keir Stamer, did not win the election. It received less votes than it had received under Jeremy Corbyn in 2017 and 2019.

ukelection
ukelection

bigger
The turnout was low. The overwhelming voter sentiment was ‘anything but Tory’. There was no enthusiasms for Labour and Stamer’s program.

Labour, under Corbyn, had been a real worker party with socialist tendencies.

The deep state, with the help of the Israeli embassy, had launched a media campaign against Labour alleging that it was hiding anti-semitic tendencies. Corbyn made the huge mistake of not fighting back against it. In the end he was kicked out despite Labour’s healthy election results.

Jeremy Corby, no longer in Labour, has been reelected. So have been five MPs who campaigned on a pro-Gaza position.

Stamer is a controversial figure. He seems to have been placed in his position by the deep state. His previous position was the Chief of the Crown Prosecution Service. He had a major role in indicting and incarcerating Julian Assange.

After being installed he has moved Labour to the right. It is now occupying a pro-capitalism center-right position:

“What Keir has done is taken all the left out of the Labour Party,” billionaire businessman John Caudwell, previously a big Tory donor, told the BBC. “He’s come out with a brilliant set of values and principles and ways of growing Britain in complete alignment with my views as a commercial capitalist.”The Labour Party highlighted his endorsement.

Stamer will hurt the British public more than the Tory did under Sunak.

There will soon be an uproar against him.

I do note expect him to survive for long.

 

Posted by b at 13:16 UTC | Comments (172)

I was blessed with having great parents, but this is how they broke my heart…

My parents had me quite late. My mom was nearly 40 when she fell pregnant with me. I was their baby. Some of my half brothers and sisters had moved out already, when I arrived.

This made me much closer to my parents than any of the others. By the time I came around, they had both become more patient, less worried about making mistakes, way more relaxed and our relationship showed that.

But they were also much older than most of my friends’ parents. When my friends were relying on their parents to lend them money, mine were retiring and due to some issues with their retirement fund, there was no money for them to relax and enjoy their golden years.

Luckily, I had already started on my way to a successful career in software development, so I was fully prepared to support them, rather than the other way around.

For the last 10 years or more I have been asking them to live with me. Every time I asked they had some excuse that they couldn’t(or wouldn’t). For years I have been planning all the time we could spend together, but nothing I did would make them budge.

Then, 4 or 5 years ago, Dad had a stroke. He went from healthy active 75 year old, to a bedridden, confused, (sometimes) aggressive man-child. He refused any attempt at physiotherapy and declined steadily from then on.

Mom was a trooper. She looked after Dad to the best of her abilities, but she was well into her seventies too, so it wasn’t easy. I stepped up my attempts to get them to move in with me. They needed my help more than ever, but they were determined to stay in their own home.

Then, this year in February, Dad got sick. Some sort of stomach bug. Mom was exhausted (I mean, more so than usual). I tried to get an organisation to take over caring for my father at home, to give mom a break, especially since the illness meant so much more work for Mom. Every one I contacted were unable to help, because my parents lived too far away from the city. They were happy to help us if my folks moved to my house, but no one was willing to travel that far daily.

Eventually, on the 7th of February, Mom agreed. She and Dad would move in at the end of the month. After 10 years of me begging, they finally agreed. I was over the moon.

The next morning I got a panicky phone call from her just before 5:00 am. “Melanie, your dad isn’t moving. I think he’s dead.”

Dad had passed away peacefully in his sleep. Heart break #1. He may not have been 100% himself, but he was still my dad, and I could still see glimpses of who he used to be.

But at least Mom was coming to live with me. I moved Mom in the day after Dad’s funeral. It may have been too late to spend time with Dad, but I wasn’t going to waste a minute with Mom.

But when she moved in, something was wrong. She had no energy. Way less than ever before. I knew she had emphysema, but she had oxygen and I got her a shoprider. I was prepared to do whatever I had to make Mom’s last years as enjoyable as possible. Nothing seemed to work though. Mom’s health was declining so fast, and I was in denial.

On the 23rd of April, she was admitted to hospital. On the 26th of April, again, just before 5:00 am, I received a phone call. This time from a nurse to say that Mom had passed away during the night. Heartbreak #2.

She lived with me for 68 days. After I begged her to live with me for years. All the thing I had planned for us. All the places we could go. She spent 68 days with me, before she passed away. I know I should feel grateful that I got at least 68 days, but somehow, right now, I don’t feel grateful at all. I just miss her and want to get all that time back.

I often wonder if people in the US and China understand what it means to use a nuclear weapon.

Yes, China can destroy the US. My hope is that this essay will give the reader an idea of what that is like. Targeting each other’s population is what deterrence is all about.

I grew up during the Cold War. We did civil defense drills in school once a month. This meant old fashioned air raid sirens warning us of impending doom. The teacher closed lead-lined asbestos curtains called flash curtains in each classroom. The students squatted under our desks. We knew we had less than a minute before impact when the air raid sirens emitted a wavering tone. Such a thing would not have saved me because my school was only a few miles from an important Air Force base. The purpose of the exercise was only to provide morale to a population under threat of annihilation.

No one really knows what can happen in an attack or what China will do. This is my educated guess; an imagining. Let’s suppose a single missile, a DF-41 with 10 x 150 kt MIRV bracketing the Newark-New York-Jersey metropolitan area. Let’s think about what this small attack does before we decide what the two brigades of DF-41 displayed in China’s military parade can do. Let us also suppose the US does not retaliate and total war does not ensue. The one missile destroys the United States. It takes a couple of years but the wound festers and takes the country down.

main qimg ed89b568bb0147bc6eefd9629066f2c2 lq
main qimg ed89b568bb0147bc6eefd9629066f2c2 lq

Figure 1. Sixteen DF-41 launchers on display in China’s military parade. China conducted 7 test launches so far of the DF-41.

First, a little about the DF-41, China’s most modern intercontinental ballistic missile. The DF-41 is the most advanced ICBM in the world, carrying up to 12 MIRV per missile to a target of 15,000 km. It is similar to the Russian RS24-YARS, a MIRV’d Topol-M but has longer range, more warheads, and is extremely accurate with or without GPS. Launched from 9,300 miles away, the missile can hit within an area the size of a football field. The DF-21 launches from the back of a truck. Time from a launch near Mongolia to arrival in the New York area is 21 minutes.

The DF-41 warheads are very similar to the US W-88 having a yield that is selectable between 20 kt and 150 kt. The MIRV vehicles are designed to penetrate the US missile defense system which means they may actually be MARV.

I used an online tool to make the following map.

main qimg 9fed4b6aeeb812e92797b312fa1c7a88 pjlq
main qimg 9fed4b6aeeb812e92797b312fa1c7a88 pjlq

Figure 2. Simulated attack on the Newark-New York with 8 150 kt surface blasts and two air bursts all from one ICBM. The large orange rings around the impact zones are everything on fire, the gray areas are overpressure that breaks windows, roof, doors, etc. The green rings are everyone dies there within 24 hours from radiation never mind the fire, the inner rings are the fireballs than vaporize everything. The darker gray around the airbursts is an overpressure that squashes everything flat.

Let’s imagine how this plays out. It is 1:00 PM in the afternoon in Shanghai when, during spiraling tensions, the US attacks and destroys a PLAN aircraft carrier with a nuclear torpedo that was conducting operations in the South China Sea.

At 4:00 PM, in response, a single truck launches a DF-41 ICBM, the time is 3:00 AM in New York time. The weather in New York is clear with a light wind from the Southwest. At 20 minutes from impact, the first stage of the ICBM has MECO and the second stage begins firing. At 18 minutes from impact, the second stage has SECO and the third stage begins its burn. The fairing of the rocket jettisons to reveal 12 cone-shaped objects mounted in a pyramid. Two of these objects are penetration aids that act like chaff.

NORAD detects the launch 16 minutes before impact. NORAD does not have ABM in a position that can intercept this launch, it can only monitor what happens in horror. Fifteen minutes before impact the third stage has TECO. Fourteen minutes before impact, NORAD determines that the ICBM is targeting the East Coast, probably New York City or Washington DC.

Thirteen minutes before impact, it is 3:08 on the East Coast and 12:08 AM on the West Coast of the US, and the Emergency Broadcast System warns everyone in the US that a missile impact is imminent. Of course, most people are asleep.

The sharp cone-shaped objects decorating the top of the ICBM separate from the MIRV bus. The third stage of the ICBM continues on a ballistic trajectory moving at 25 times the speed of sound as it deploys penetration aid to create a dozen dummy warheads following the ballistic trajectory.

The real warheads change course like the DF-21 warhead is known to do. A second penetration aid creates a large number of dummy warheads over the target.

At 4 seconds from impact Pilots of a Boeing Dreamliner on approach to Newark Liberty International Airport observe a light show as the hypersonic warheads glow white-hot streaking through the atmosphere. It looks like meteors to them and then there is a light like no other. Everyone on the plane is dead before the plane bursts into flames and falls out of the sky. All non-military electronics in New England permanently cease functioning due to an EMP released by the two air bursts.

main qimg 993237e4e8b401c739a5eb793bca349b lq
main qimg 993237e4e8b401c739a5eb793bca349b lq

Figure 3. Ground zero at Trinity, the 22 kt test at Alamagordo.

At impact, each of the 8 surface blasts creates a fireball 1.1 km in diameter vaporizing everything into ionized gas. All buildings are demolished by 20 psi overpressure in an area of 2.2 km in diameter. Everyone gets a lethal dose of radiation in an area 4 km in diameter and will die of excruciating pain. Everything not reinforced steel and concrete is flattened in 5 km diameter. Everything is in the process of burning, including people with 3rd-degree burns in an area 10 km in diameter. All glass and roofs are blown in an area of a diameter of 12.5 km. Remember all of this is times 8 from a single launch and we haven’t covered the airblasts, which are similar but with wider overpressure effects and a huge EMP.

It is impact plus 1 second 2.5 million people are Dead. The blast on Manhattan alone kills 750,000 and injures another 750,000. Lights go out over most of the East Coast.

At impact plus one minute, 3.5 million are dead. Seismographs around the country detect a swarm of ten 5.8 Earthquakes in the New York area. So begins a disaster, a holocaust, a war, destruction like nothing the US has ever seen. All from one truck-launched rocket.

At impact plus 2 weeks 8 million people are dead, 12 million are homeless and injured. A Hellish round water-filled depression reaches from Hell’s Kitchen to 3rd Ave and 52nd str to 38th st. Tall buildings reduced to broken girders and large chunks of concrete sticking out of a sickening pond.

All ATM machines across the nation cease functioning. Banks close, credit cards don’t work. The heart of the world’s financial system no longer exists. Because the wind came from the South West, people in upstate New York and New England are rained on by radioactive ash. People on the West Coast and all over the country do not have enough food because the just in time food distribution system is broken. The attack shatters the US economy and plunges the world into a financial meltdown.

Impact plus one year, the fires are still burning. The entire state of Connecticut is abandoned permanently and much of upstate New York is a forbidden zone. Power is not restored yet in New England. The US government fails miserably addressing a disaster, orders of magnitude worse than Katrina. One hundred thousand people died from exposure during the Winter following the impact. Skeletons and rotting bodies of people and animals lay in the streets of the once-great metropolitan area and a thousand people a day die from injuries. There is an outbreak of medieval diseases among the millions of homeless. People are randomly killed by accidentally wandering into random no go zones in perfectly green fields and forests of upstate New York.

At impact plus 2 years the political entity, formerly known as the United States ceases to exist. The West Coast goes its own way. Texas goes another. The Southern part of the United States makes Bangladesh look like heaven. Tens of thousands of US servicemen are stranded all over the world. The US Navy cannot buy fuel for its ships and planes.

main qimg 1e378197003757386c1be3048cfca722 pjlq
main qimg 1e378197003757386c1be3048cfca722 pjlq

Figure 4. One of 10 warheads, a surface blast in Midtown Manhattan kills between 750,000 to 850,000 people and injures another 700,000. The one warhead alone is the worst disaster in US history by two orders of magnitude.

Now, it is known that China can replicate this scenario 24 times in the US largest metropolitan areas. The 24th largest metropolitan area is the San Antonio area just to give you an idea. The second largest is in Southern California. DF-41 is just one kind of nuclear delivery system that can hit the United States; China has others.

Footnotes

The Soprano Family Tree EXPLAINED

It’s highly unlikely. If India couldn’t keep up with China over the last 25 years, what makes anyone think another 75 years will make a difference?

The problem with India is three-fold:

  1. It has an ineffective democratic political system which produces ineffective governments.
  2. It has a highly disjointed society mired in ethnic/religious conflict, poor human rights, and low participation rate of women in the labor force.
  3. It has low literacy and backward infrastructure. Even newly built infrastructure has a tendency to collapse.

This happened to my wife, who went to Japan to teach English as a foreign language for a year after University in her early 20s.

A few weeks before she was due to leave Japan, she was hit by a taxi while crossing at a pedestrian crossing. The lights were red, but the taxi driver was high on drugs and didn’t stop. Luckily for her, she was riding across the road on a bicycle that took most of the force of the taxi, otherwise she would have likely been killed. As it was she was thrown onto the road, and severely damaged her left leg which was hit by the taxi.

She was rushed to the local hospital, where the doctors told her they couldn’t save her leg and would have to amputate. This was a small city and the local hospital wasn’t very sophisticated, so she wanted to get a second opinion from the regional hospital nearby but the doctors wouldn’t send her elsewhere. Not being able to speak the language, she called a number of her friends from the school where she taught and they physically carried her out of the hospital, into a car, and took her to the regional hospital.

Again, luckily for her, a specialist doctor at the second hospital felt he could save her leg. Because of the language barrier, and her fear of a misunderstanding, she insisted on a local anaesthetic and watched while they inserted a metal rod from her knee to her ankle. The operation was a success, and the result was that she had to spend a few months recuperating in hospital before she could fly home.

Here’s where the surreal part kicks in.

She was lying in bed one day shortly after, when a man in a smart suit came in and dropped a paper bag on her hospital bed. He said that the local police were kicking up a fuss about the accident and he represented an organization that wanted the problem to go away. In return for her not pressing charges, they were willing to pay for her hospital stay, pay for Japanese language lessons to keep her engaged in the meantime, and to compensate her with the contents of the bag. He also made it clear that there really wasn’t an option here – she had to take the offer.

She took the offer. After he left, she looked in the bag to find the equivalent of c. £40,000 in Japanese yen (this was back in the early 90s).

It turned out that the taxi driver was a member of the local Yakuza and had been trying too much of the merchandise. They could have smoothed it over if he’d hit a local, but he had the misfortune to hit a foreigner. The regional police got involved, and the embassy got involved, and the whole thing was drawing way too much attention.

The happy ending was that a few months of intense Japanese lessons combined with little else to do gave my wife an understanding of a side of Japan that she’d never had seen otherwise. As a result, she ditched the flight home and stayed to continue the adventure, eventually enrolling at a Japanese University in a Masters degree in Japanese language and history where she was the only woman and the only foreigner that her professor had ever taught. Lots more great stories for another time.

However, her left hip really aches in cold weather.

What Body Fat Percentage Actually Looks Like For Men

  • At least once in your life, have a job that you don’t do for the money.
  • Never lie to your doctor.
  • Don’t be the guy who tells a kid that Santa Claus doesn’t exist.
  • Unless you’re in the first row at a concert, don’t try to record it with your phone. The video and audio would be crap and you’ll never watch it again.
  • If you buy a Rs.10000 dress for Rs.5000, you haven’t saved Rs.5000, you’ve spent Rs.5000.
  • Don’t wait for something bad to happen for you to become a good person.
  • Being in a beautiful relationship > Being single >>>> Being in a shitty relationship.
  • Ladies, if you like him, tell him. He wouldn’t understand subtle hints, strong hints, or obvious hints. Just tell him.
  • The handsome, royal gentleman/the gorgeous, intelligent woman that you want to find so hard, probably won’t be in the nightclubs.
  • Take her somewhere different. Movies and dinners are played out. She wants to tell her friends great stories. (Thank you, ladies.)
  • Sometimes, girls don’t need advice, they just want someone to listen.
  • Spend time with your Father as often as you can. You’ll miss Him when you can’t anymore.
  • You know, those times in life when you have a grand thought, a fantasy, a wild gesture, a silly prank, anything really, anything that peaks your senses and makes you feel like you are living? If so, then take advantage of such moments. When your brain is telling you to call it a night, but your heart says to keep going, listen to your heart and do something new, do something fun, do something legendary and your brain will thank you for it later. You’re welcome.

Ugh! Good showing or not?

By Richard Werner

Yes, there was a secret deal with Saudi Arabia. Yes, China and BRICS alternatives beckon. But the true story is one of intrigues and double-crosses. And dead bodies.

28 June 2024. London. This month many stories were circulated on social media concerning the end of the petrodollar. This is of course a topic that I covered fairly comprehensively in this article, which was published in Fortune in March last year, and when I threw a completely new light on the inflation of the 1970s.

Parts of my analysis has become widespread knowledge, such as my emphasis on the deal between the US and Saudi Arabia – forced on that country the way the Mafia markets its ‘protection’ racket. However, it seems much confusion remains about the details of the events half a century ago, and most of all different versions of what happened were circulated this month, giving quite a misleading spin to the facts.

It started with some reports in early June, which stated that 9 June 2024 was an important date, because this is when the 50-year old “Petrodollar Agreement” would run out, as Saudi Arabia was not going to renew it. Signed on 8 June 1974, we hear in these reports, it ran out half a century later, on 9 June 2024. Such reports triggered a response by the Defenders of Mainstream Narratives reminiscent of those articles in the newspapers in 2020 that “debunked” reports that most people were not threatened by Covid 19, or that the injections were risky and could have seriously harmful consequences.

The 1970s inflation had been sold to us as being due to an external supply shock, triggered by a war. But as I pointed out in my March 2023 piece, it was instead engineered by the US Federal Reserve. As I explained, it was actually the USA that triggered the oil embargo and oil price rises in late 1973 and early 1974, as cover for its central bank’s policy of massive monetary expansion, escalated to all vassal state central banks, which had been implemented since August 1971, when the USA defaulted on its obligations to convert on demand US dollars into gold. The oil price surge, which happened after the first bout of inflation had peaked, was engineered by the US, as cover for the inflation and in order to transfer wealth from Europe and Japan to the US and in order to shore up the US dollar and global network of military bases. For this, a deal was forged between Saudi Arabia and the US, whereby the US would “protect” Saudi Arabia militarily, including ensuring the stability of autocratic rule by the Saud family, in exchange for the agreement by the biggest oil producer, Saudia Arabia, to sell its oil only in US dollars, and invest 80% of its resulting oil revenues in US Treasury securities. This policy supported the US dollar and simultaneously plugged the twin deficits of the current account and the government budget. It also ensured that the world’s oil spending ended up back in the US, so that the proliferating number of foreign military bases and operations could be maintained and financed.

The agreement to reinvest the Saudi oil revenues in the USA had been kept secret, and even the statistics on the main buyers and holders of US Treasuries were kept hidden for many decades, whereby Saudi Arabia was not revealed as the main financial supporter of the USA (an aggregated figure for “Gulf state investors” only was published, until a few years ago). Those who spoke of the “petrodollar” in the 1980s or 1990s were marked as “conspiracy theorists”. The 80% reinvestment requirement was first revealed by John Perkins in his 2004 book Confessions of an Economic Hitman, which was based on his personal experience, including as US consultant on “development consulting” contracts in Saudi Arabia. (The book is highly recommended). Of course he was also censored for spreading “misinformation”.

China launched an oil futures contract denominated in Chinese yuan already in 2018. And Saudi Arabia has been negotiating to sell oil for Chinese currency since at least 2022. But the US is busy trying to avoid this.

So is there any significance to the date of 9 June 2024? A number of reports by mainstream media, establishment financial houses and official “fact checkers” have come forward to engage in recasting the narrative and sow seeds of doubt about the end of the petrodollar.

Fact checkers denounce baseless conspiracy theories – claim no secret deals between US and Saudi Arabia

For instance, a “fact check” by PolitiFact asserted that “online claims” were “false” about the end of the petrodollar:

Notice that this official denial uses classic fact checker techniques, foremost of which is the elevation of a strawman that is then shot down: As far as I am aware, nobody claimed that Saudi Arabia would switch from selling oil only against US dollars to not allowing the US dollar at all. Yet, the headline insinuates there have been online claims that the dollar could no longer be used for oil purchases from Saudi Arabia. So invent a false claim that you put into the mouth of your opponent and debunk it. This fact checking statement does claim however that there was no agreement that Saudi Arabia would sell oil only for US dollars – one pillar of the actual Petrodollar Agreement.

But what about the main trigger for the reports in social media, namely the importance of the date of 9 June 2024? One indication that indeed 9 June may have legal significance comes from Reuters, because they launched a strangely timed report about an agreement between Saudi Arabia and the US on 9 June 2024, when many people would be using search engines to find out more about an agreement or failure of an agreement: Reuters claims on 9 June that

“the Biden administration is close to finalizing a treaty with Saudi Arabia that would commit the U.S. to help defend the Gulf nation as part of a deal aimed at encouraging diplomatic ties between Riyadh and Israel, the Wall Street Journal reported on Sunday, citing U.S. and Saudi officials.”

This report is clearly designed to sow confusion and ensure that those who google “treaty 9 June US-Saudi Arabia” or similar search words would get a story that was innocuous and irrelevant. The negotiations have been ongoing for many weeks, but Reuters had to publish this report on 9 June 2024, by pure coincident the date many commentators claimed that the petrodollar agreement between the US and Saudi Arabia had expired.

“The possible deal, widely telegraphed by U.S. and other officials for weeks, is part of a wider package that would include a U.S.-Saudi civil nuclear pact, steps toward the establishment of a Palestinian state and an end to the war in Gaza, where months of ceasefire efforts have failed to bring peace”, Reuters knows further.

It is quite possible that this treaty was meant to be the de facto extension of the old Petro Dollar agreement between the US and Saudi Arabia, and apparently the US failed to seal it in time for the old one to run out. Which could mean that there is presently no written agreement between Saudi Arabia and the US in place concerning these issues. Of course, that is less important while US troops are inside Saudi Arabia. This may be why the US may not feel the rush.

What does the White House say? When asked about the alleged failure to extend the petrodollar deal (that Saudi Arabia would sell oil only for US dollars), the official State Department spokesman refused to comment at a formal press conference. Watch the video or read the relevant passage from the transcript:

State Department press briefing

MR MILLER: Yeah, go ahead.

QUESTION: Thank you so much. At the very 11th hour, when the United States and Saudi Arabia are very close for a defense deal, there are reports – unconfirmed reports that Saudi Arabia is not going to renew petrodollar deal with the United States. So any confirmation by U.S. side?

MR MILLER: That Saudi Arabia is not going to what?

QUESTION: Petrodollar agreement that took place 50 years back.

MR MILLER: I’m just not going to speak to those reports at all.

Dow Jones fact checkers denying that there was anything to see here were propagated by the fund monitoring and rating firm Morningstar:

This mainstream media organisation found “a fatal flaw in this logic: The agreement itself never existed”, referring to the agreement that Saudi Arabia would sell oil only against the US dollar, said to have been signed on 8 June 1974. As witness it cited one Paul Donovan, economist employed by asset manager UBS, who stated: “Clearly, the story is going around today is fake news.” But, when reading his comments, it emerges that he conceded that there was indeed an agreement, namely one that established the United States-Saudi Arabian Joint Commission on Economic Cooperation on 8 June 1974. According to Donovan this “had nothing to do with currencies”. On this date, a joint statement was released that had been signed by then US secretary of state Henry Kissinger and Prince Fahd, the second deputy prime minster (and in 1982 to become King) of Saudi Arabia.

The Commission and agreement was for five years and would routinely be renewed. According to the Dow Jones fact checkers, the agreement was merely “a more formal arrangement that would ensure each side got more of what it wanted from the other”. That it true if we rephrase “that would ensure that the US got what it wanted from Saudi Arabia”. Did the agreement mention currencies? It did not have to: With this agreement, on 8 June 1974, the US established a legal framework for the US to exert control over the entire Saudi economy, its oil production, its revenue from oil sales and the use of its oil funds – it was essentially a takeover of the Saudi economic governance. Currencies are a part of this, even if they are not explicitly mentioned.

The fact checkers however wanted to give the impression that this agreement was not about the petrodollar, when surely there was no other reason for it. Dow Jones goes on:

“According to Donovan and others who emerged on social media to debunk the conspiracy theories, a formal agreement demanding that Saudi Arabia price its crude oil in dollars never existed. Rather, Saudi Arabia continued accepting other currencies – most notably the British pound (GBPUSD) – for its oil even after the 1974 agreement on joint economic cooperation was struck. It wasn’t until later that year that the Kingdom stopped accepting the pound as payment.”

Wow. So put differently, the fact checkers actually admit that indeed Saudi Arabia did stop selling oil in any other currency than the US dollar, even phasing out the currency of the other, prior colonial ruler, Britain, in 1974, even though the latter with a minor delay of a few months.

The financial scribblers at Dow Jones then go on to admit the secret deal that Saudi Arabia was going to reinvest the majority of its oil dollars back in US Treasuries: “Perhaps the closest thing to a petrodollar deal was a secret agreement between the U.S. and Saudi Arabia reached in late 1974, which promised military aid and equipment in exchange for the Kingdom investing billions of dollars of its oil-sale proceeds in U.S. Treasurys, Donovan said. The existence of this agreement wasn’t revealed until 2016, when Bloomberg News filed a Freedom of Information Act request with the National Archives.”

As I stated earlier, this secret agreement was first publicised by John Perkins in his 2004 bestselling book Confessions of an Economic Hitman. Bloomberg in 2016 triggered the formal confirmation from the US government. As I had reported in March last year, what Bloomberg’s FOI query did reveal in 2016 was the precise data of Saudi ownership of US Treasury bonds – which had hitherto been hidden in the statistics, by publishing only an aggregate of “Gulf country” holdings of US Treasuries.

So Dow Jones calls the Petrodollar Agreement a “conspiracy theory”, but in its “debunking” admits that both the data of Saudia Arabia’s ownership of US Treasuries have remained secret for almost half a century, and the deal to re-invest the oil money into US Treasuries itself, has been secret – as we know for ca. 30 years. Despite this astonishing and likely illegal secrecy, Dow Jones insists that it was not “some shadowy agreement” and that any other claim was just “conspiracy theories”.

So what exactly is the fake news then?

Dow Jones’ and Reuters’ track record in “fact checking” is by now notorious, as they covered up vaccine damage for years and slandered critics of the unjustified Covid restrictions. What about that UBS-hired economist who had joined this double-speak of factually admitting the secret agreements and simultaneously claiming it was “fake news” and “conspiracy theories”? Donovan’s so-called economic “analysis” is largely absent, his writing consistently unreadable and his forecasts reliable if one considers them as counter-indicators: Throughout 2020 and 2021 he insisted there would not be any significant inflation. Even in 2022 he did not concede that he had been wrong. Instead, he developed the theory that a sudden bout of disinflation would hit and reverse the picture in 2021 and 2022.

In his article of May 2020, entitled “Can debt be inflated away?”, published at a time when I was forecasting “significant inflation in 18 months”, he argues, astonishingly, that governments will not use inflation to reduce their debt burdens; instead they will do that without inflation, we are told! Some gems:

“Inflation is a complex topic. Entire books can be written about it. One of the myths that exist about inflation is that governments can easily inflate away their debt levels. … Governments are likely to try to reduce debt levels after the virus by taxation. There is one particular form of tax that is likely to be popular— financial repression. … Financial repression has been effective in cutting debt in the past. Financial repression also means that bond markets cannot punish governments for inflation (at least, not as easily). Bond yields are forced lower under financial repression. … For a government it makes more sense to tax savers through financial repression, while keeping inflation moderate. Adding inflation does not reduce debt in the long term.”

In June 2020 in his report “Where is inflation going?” he forecasts “low inflation in near term” and expects “Central bank policy should not be especially inflationary.” Astonishing, after the most dramatic monetary expansion in the history of the Fed in March 2020. But according to him, “The most likely outcome is near term low inflation, longer term higher but not high inflation”. Why is that? He is a believer in the “Fourth Industrial Revolution” – a term used much by World Economic Forum front man Klaus Schwab: “Reversing globalization is inflationary if it is politically motivated. If it is a consequence of the fourth industrial revolution, it should be neutral or disinflationary.

As late as February 2021, in his report “What’s up with inflation this year?”, this financial commentator predicted that there would not be any significant inflation in the major economies. While he already had to concede at that time that “some product prices” had been “raised”, he argues this was due to “unusual spikes in demand for specific products, coupled with supply chain problems”. Based on the higher-than-he-expected inflation he had to admit: “Headline consumer price inflation numbers will move higher in developed economies this year.” But he doubles down: “They are unlikely to be high. Importantly, consumers will not necessarily notice several of the inflation increases, and these changes are unlikely to alter consumers’ view of their real disposable income.”

Right, so no high inflation in advanced economies and nobody will care about the modest inflation. Later that year, in his August 2021 report “Will tomato ketchup kill inflation?” he further doubles down on his “no inflation” forecast by coming up with the astonishing theory, which he calls the “tomato ketchup effect”, that a bout of “disinflation” would hit hard and surprise everyone!

“Inventories data suggests some disinflation impulse in developed economies over the next few quarters. The fact that we have had fewer, and smaller summer sales has added to inflation now. As the retail inventory / seasonal price discounting pattern normalizes, this will first remove an inflation contribution, and then from next year act as a disinflation force (discounted prices in 2022 being compared to undiscounted prices in 2021).

So, the tomato ketchup effect could add to disinflation forces—although, ironically, it should be noted that actual condiments prices are already a source of consumer price deflation in the world’s developed economies.”

So as late as Summer 2021 Donovan had still not woken up to the fact that the massive and unprecedented credit creation the central banks forced onto the banks and the economy in March 2020 would result in inflation, and he even predicted “disinflation” to dominate.

When, in 2022, inflation could no longer be denied, Donovan switched to publishing eulogies on central banks having done the right thing. In this report of 6 April 2022, entitled “Price inflation or demand deflation”, the UBS commentator claims

“There was only one plausible policy response to the global pandemic: ease policy.”

Actually, that report sets new records in being painful to read. His audience cannot be a large one:

“As noted in the last Chief Economist’s Comment, food is not food.”

“Economically, commodity prices operate through two channels: higher inflation, and lower growth.”

It does seem though that UBS clients were asking him more questions as his disinflation scenario of 2021 and 2022 didn’t quite pan out. But that, we learn, was just a further force for more disinflation:

“The economist who goes from working 60 hours a week to 90 hours a week but is paid 10% more is a force for lower inflation—the employer gets 50% more economist for only 10% more money.”

While consumers got 20% less volume for their groceries now in smaller packaging, what was his take of the forced closures of many firms during the Covid psyop? Instead of recognising this as a reduction in supply, as I commented throughout 2020 (which means, with unchanged demand, a source of inflation), he sees this as a source of deflation!

“However, if companies go bankrupt in the face of reduced demand or there is an expectation that demand is going to be weaker for longer, this second-round effect could become more significant in the future.”

After the Federal Reserve had raised interest rates in March 2022 – which Donovan had singularly failed to forecast – he merely concludes his analysis on “inflation or deflation” with the by now familiar warning of deflation:

“The risk of policy error has increased, which might suggest that the prudent course of action would be a slow and steady pace of tightening to ensure that demand deflation does not get out of hand.”

Right, so this disinflation theorist expected risks of demand deflation as late as April 2022, almost until inflation had peaked at double digits in most economists later that year.

His reports can be found in the Archive at the bottom of his page – no direct hyperlinks are possible, as all links are mutating to only give you his main homepage.

It seems UBS is nevertheless happy with the utterings of this particular commentator, located in the chief investment office, whose forecasting track record must have bankrupted many investors – although his audience is likely those high net worth individuals who hand over their assets entirely to UBS to manage while they themselves consider the economy a big mystery. So he has likely been deployed to ensure these clients won’t ever begin to understand how the economy works. Most importantly, just like when Boeing hires its staff on the basis of their latest woke views, the impact on quality is palpable. The content of Donovan’s writings on economic matters seems less important, while his loyalty to politically correct ideological issues must be appreciated. See for instance his economics report of 27 Juni 2018, entitled “Pride and Prejudice and Economists”, in which he celebrates “pride month” by providing his views on “LGBT” at length – which many will consider an outrage, because he thereby overlooks the “Q+”, clearly a major flaw in his argument. Nevertheless, UBS clients learn important facts:

“The economics of LGBT equality is the economics of prejudice. Prejudice takes place when a person, a firm, or society makes a choice using irrational ideas.

“Prejudice puts the wrong person in the job. If an LGBT employee has come out, prejudice may do more economic damage. If a company is prejudiced, it will employ the wrong people to fill its positions. A company may choose not to promote the best qualified LGBT employees if there is an anti-LGBT prejudice, for example. A company that deliberately does not use the best people is never going to make as much money as it might”

“A company may find it difficult to hire the best non-LGBT staff if it is anti-LGBT. A non-LGBT person may be unwilling to work for a company that does not share their values.”

Of course, the detailed five-page analysis in 2018 was not enough on the topic. Moreover, it obviously was wholly inadequate to merely pontificate on “LGBT”. So in August that year, Donovan added a 9-page report entitled “The commercial case for LGBTQ inclusion”. This inclusion of “Q” people clearly spelled progress for UBS clients, but at the same time no doubt many UBS clients were demanding more such analysis. While UBS readers of investment analysis would have appreciated the quiet expansion of the important concept to include “Q”, they would at the same time have felt a strong curiosity to see also the “+” people covered in the economists’ insightful analysis. As a result, in due course UBS wealth management clients were delighted to find that in the following year Donovan produced a seven-page report on this urgent topic, in which he also improved on his shameful earlier failure to celebrate “+” people. It is entitled “Does anti-LGBTQ+ prejudice do more damage than we think?” (8 October 2019). For lack of space I cannot elaborate on the content of this now suitably expanded analysis, except for noting that UBS’s commentator eagerly adopts the habit of mainstream economists of simply making stuff up and then proclaiming it as fact, known as “making assumptions”:

“The non-heterosexual population is likely to be significantly larger than officially reported (an 8% to 8.5% range seems a sensible assumption).

So, an uneventful economic analysis and unsuccessful forecasting record, but at least the orthodox, government-supported views on important issues such as transgender activism in society are well covered. Shall we guess that Donovan also was an eager proponent of the innovative policies adopted in March 2020 and thereafter by many governments across the globe, involving masking, lockdowns and experimental injections that killed millions? Or any other agenda endorsed by the powers that be? That would not be surprising, since, as his endorsement of the Fourth Industrial Revolution foreshadowed, it turns out Donovan is an asset of the CIA-founded “World Economic Forum”:

At this stage I would like to disclose two things about myself – and, fear not, they do not include the above woke topic: firstly, I was selected as “Global Leader for Tomorrow” in 2003 by the World Economic Forum, which would, they told me at the time, allow me to attend the WEF events for five consecutive years, including their major late January gatherings in Davos. I attended the latter bash in January 2003, when I was given that dubious accolade, and again a year later. The snowy location was lovely and it seemed exciting, at the time, to the thirty-something your truly to meet famous leaders, such as Bill Clinton, or be taken aside to be introduced to an unknown German politician called Angela Merkel, who had not yet risen to power, as well as meet some pop idols like Peter Gabriel. But the hosts were not too happy about my penchant for challenging their well-staged and pre-programmed “discussions” with facts, almost always contradicting their agenda. So not long after the second event I attended, in January 2004, I was informed that the “Global Leaders for Tomorrow” program had been cancelled, meaning I was no longer invited to WEF events. Later I found that a new group called “Young Global Leaders” had been created and a more selected subset was going to be invited back, obviously not including me.

The second disclosure is that when researching this article I dimly felt like I had seen the name Paul Donovan before, and not in connection to analytically rigorous work. Then I remembered a particularly nasty negative review of my book Princes of the Yen, years earlier on Amazon, which made numerous factually wrong claims. The name of that particular reviewer was a Paul Donovan, who possibly was instructed at the time to produce a hit-piece on the newly published English version of my book. My book was highly acclaimed in Japan, even by leading financial and political analysts. Of course, it is no longer available on Amazon – you can only get it new at www.quantumpublishers.com .

It could be sufficient to stop here. But there are a number of loose ends the reader should be allowed to connect.

Digging deeper into the murky events of the 1970s Petrodollar Deal

Firstly, my conclusion stated above, that the 1974 agreement established the legal basis for a complete US takeover of Saudi Arabia’s economic policies quickly emerges from various sources. As billions of dollars flowed into Saudi Arabia as part of the agreement with the US, the administrators and CIA agents on the US side were keen to stay in charge of the allocation of this money, channelling billions to the US and their pockets.

In a publication by the Middle East Institute we learn about this “under the radar” US control of Saudi Arabia:

“The Americans who were seconded into the Saudi government were there as part of a grand design engineered by William E. Simon, President Richard Nixon’s last Treasury Secretary, to channel as much of that money as possible back to the United States. Simon was Deputy Secretary until he was promoted into the top job on May 8, 1974 — just three months before Nixon’s resignation in the Watergate scandal. He stayed on as Secretary under Nixon’s successor, Gerald R. Ford.

Despite the distractions of Watergate, the spring of 1974 was a crucial period in US-Arab relations. Agreements negotiated by Secretary of State Henry Kissinger in his famous “shuttle diplomacy” had ended the hostilities of the 1973 war and stabilized the battlefields of Egypt, Syria, and Israel. The United States restored diplomatic relations with Egypt. With the end of hostilities, the Arab oil producers, led by Saudi Arabia, ended their wartime embargo on exports to the United States. In that newly favorable atmosphere, Nixon embarked on a last-hurrah trip to the region. While in Saudi Arabia, he agreed to the creation of a US-Saudi Arabian Joint Economic Commission, known as JECOR. This was Simon’s brainchild.

JECOR’s mission was twofold: first, to teach the Saudis — who had no tradition of organized public agencies — how to operate the fundamental bureaucracy of a modern state; and second, to ensure that all the contracts awarded in pursuit of that mission went to American companies. JECOR would operate for 25 years, channeling billions of Saudi oil dollars back to the United States, but would attract almost no attention in this country because Congress ignored it. The Saudis were paying for it, so there was no need for US appropriations or congressional oversight.

The Commission’s objectives were listed in a joint statement issued by the American and Saudi officials who created it: “Its purposes will be to promote programs of industrialization, trade, manpower training, agriculture, and science and technology.” The participating Saudi government agencies would be the Ministries of Foreign Affairs, Finance and National Economy, Commerce, and Industry, and the Central Planning Organization, soon to become the Ministry of Planning. On the US side, the managing agency was Simon’s Treasury Department, not the Agency for International Development, because it was not a traditional foreign aid program — it was a money-management program.

So the Saudis had no clue how to run the country, and the US, in their wisdom and great experience with colonial rule, were generously offering to help.

Declassified US documents confirm the far-reaching scope of the June 1974 agreement. We learn that through the JECOR machinery and Americans on the ground in high positions at all the ministries, the US essentially directly controlled Saudi Arabia’s economy and finances and thereby its government.

In an internal letter by the top US administrator on the ground in Saudi Arabia to his superior, we learn that the top decision-maker was not even Treasury Secretary Simon, but Henry Kissinger himself. The report was written in April 1974 and referred to an Initial Study Report on Joint U.S.-Saudi Cooperation, indicating that the original oral agreement had been made earlier, likely the meetings before the December 1973 highlight when Kissinger met with King Faisal in Saudi Arabia.

Written by Joseph Sisco to Henry Kissinger, we learn in this letter that the two commissions (one on economic matters, the other on security matters) would

“operate subject to my day-to-day political guidance and coordination, under your direction.”

Sisco describes the timeline that would lead to the 8 June 1974 formal agreement that would seal US control over the Saudi government.

Kissinger’s goals thus had been to

(1) end the restrictions on oil supply that Saudi Arabia had imposed in October 1973; this was achieved by March 1973, by promising Saudi Arabia solutions and compromises (that never materialised);

(2) gain control over the Saudi economy and government in order to ensure compliance with his objectives;

(3) which included ensuring that the Saudi currency would be pegged to the US dollar, hence Saudi Arabia would agree to sell oil only against the US dollar,

(4) and which also included a continued steady rise in the oil price (against Saudi resistance), and

(5) that Israel and its actions would be kept out from discussions about all of these. In other words, it was all about US (and Israeli) interests.

The “bedouins” would have to follow orders.

Having achieved four out of five is not bad. Despite this great success of Kissinger’s diplomacy in securing US interests, upon his death last November at age 100 there were voices that criticised what happened in 1974 – namely for failing to achieve aim number 5 and keep those issues separate from Israeli occupation of territories after the 1967 war. For throughout 1973 and 1974, Saudi Arabia had considered itself as the leading Arab nation that should and would represent Palestinian interests, and consequently, both the Saudi King Faisal, and his trusted foreign minister, repeatedly demanded the withdrawal of Israel from the territories occupied in 1967.

“In December 1972, Saudi King Faisal ended a long-standing policy of not allowing “oil to be used as a political weapon,” as James Akin put it in a Foreign Affairs article in early 1973. In that month, two American officials, John Connally and Franklin Lincoln, visited Faisal separately and came back with the same message. “King Faisal said that there could be no further development of mutual Saudi-U.S. economic interests or any further expansion of oil production … without a political settlement of the Arab-Israeli conflict,” Kissinger reported to Nixon, according to State Department archives” (Source).

The oil embargo was not an issue for Kissinger, because he had beem keen to drive up the oil price, and indeed the hike of January 1974, when the oil price quadrupled, was on Kissinger’s insistence, vis-à-vis a reluctant Saudi oil minister Yamani.

However, the dogged determination by the Saudi King and his foreign minister that Israel withdraw military troops to within the borders of 1967 was crossing a red line for Kissinger.

Already in December 1972, Saudi King Faisal “ended a long-standing policy of not allowing “oil to be used as a political weapon,” as James Akin put it in Foreign Affairs.

“In that month, two American officials, John Connally and Franklin Lincoln, visited Faisal separately and came back with the same message. “King Faisal said that there could be no further development of mutual Saudi-U.S. economic interests or any further expansion of oil production … without a political settlement of the Arab-Israeli conflict,” Kissinger reported to Nixon, according to State Department archives.

The same article elaborates:

On Aug. 10, 1973, almost two months before the eruption of the Arab-Israeli war and the imposition of the oil embargo, then-national security adviser Henry Kissinger told the director of the Office of Energy Policy, John Love, regarding the potential use of oil as a weapon, that “the Saudis are just not sophisticated enough to understand it, and they are, therefore, more dangerous.”

This conversation occurred because Love wanted to discuss what he had dubbed the “Saudi Arabian problem.” By this he meant a recent change in Saudi policy that saw it threaten to use oil as a tool to exert pressure on Israel to withdraw from territories occupied in the 1967 war. Kissinger thought that the Arab-Israeli conflict was “insoluble” and that any “Arab government that would sign a settlement acceptable to the Israelis would be out in two years.” This is why he thought the Saudis were not sophisticated enough to understand the dangers of being at the forefront of this issue both for themselves and for U.S. interests.

What exactly were the “dangers” and who was most at danger? This would soon emerge – and it was the top Saudi decision-makers, whose lives were at risk should they choose to challenge Henry Kissinger and his plans for the US and the Middle East.

Initially, the foreign minister and his King could be appeased concerning the Israeli occupation, thanks to Kissinger gaining their trust, insinuating deep understanding and referring to promising negotiations with “the Israelis” that would later address the issue. For instance, after one meeting with foreign minister Al Saqqaf, Kissinger boastfully and ‘jokingly asked the participants, “Did you see the Saudi foreign minister come out like a good little boy and say they had had very fruitful talks with us?’” (Source).

Actually, Kissinger knew better than anyone that this Arab demand would never be met and that settlers would soon lay claim to land and homes in the occupied territories. So he deceived the Saudi leadership, waving the possibility of an eventual Israeli withdrawal to obtain an agreement from the Saudi king to establish US control over the economy. Believing that the US would support what to the Saudis seemed reasonable and just demands that Israel would withdraw from the territories it occupied during the 1967 war, Saudia Arabia also persuaded other Arab oil-producing countries to follow them in lifting the oil embargo on March 18, 1974, despite key demands not having been met. In the eyes of some, even this was a failure for Kissinger, since the temporary existence of “the embargo succeeded in linking the Arab-Israeli conflict with U.S. interests in the region’s oil — an outcome that Kissinger tried very hard to prevent from happening” (same article).

Once the formal agreement of what amounted to a legal takeover of Saudi government by the US had been signed, on 8 June 1974, Kissinger will have begun to encourage the Saudi King and foreign minister to drop their demand that Israel withdraw from territories occupied since 1967.

This no doubt displeased the King and his foreign minister.

Their persistence in demanding the withdrawal of Israel from occupied territories and their insistence that Saudi Arabia lead the Arab countries on this point resulted in King Faisal and his foreign minister Al Saqqat was becoming a problem for Kissinger. Meanwhile, Kissinger seems to have established a more cordial understanding with King Faisal’s half-brother, Prince Fahd. That prince pointed out to the Americans that al-Saqqaf was “anti-American”, says Wikipedia – likely code for the insistence on the Israeli withdrawal.

“During the oil crisis in 1973 both Prince Fahd, later King Fahd, and Prince Sultan, minister of defense, claimed that Al Saqqaf and Ahmed Zaki Yamani, oil minister, had an anti-American stance and also, were the major reasons for King Faisal’s hostile approach towards the USA.”
Wikipedia on 29 June 2024

Kissinger no doubt had a solution in mind.

Consider the subsequent events. On 6 November 1974 Henry Kissinger was in Riyadh, Saudi Arabia, and was meeting senior government officials of Saudi Arabia. At the final meeting, just before Kissinger’s departure, the foreign minister, Umar al-Saqqaf, spoke as follows:

“Our policy is the same. We want to see complete withdrawal to the 1967 borders and the return of Arab Jerusalem to its people and the restoration of their legitimate rights to the Palestinian people. I have no new demands. This is what I said even before the Rabat conference. I am saying this and repeating it simply because we have no new demands.

There is another topic touched upon by my friend Dr. Kissinger; namely, that of oil. I repeat that the policy of my King and my government is still the same as it was; namely, to keep the prices as they are and to try to reach a reduction, albeit a symbolic reduction, or if we can, a greater reduction—and we would be doing this because of our awareness and of the welfare of humanity at large.

Finally, I greet our guests, the Secretary of State and the colleagues who came with him, and look forward to seeing him in the not too distant future when at least part of these problems we have been discussing will have been solved” (Source).

The foreign minister may not have been aware of this, and certainly was not aware of the significance of the consequences of his words, but by this statement he had made clear that, after all these talks, discussions and negotiations, the current leadership of Saudi Arabia was going to continue to cross two important red lines of Kissinger’s policies: Firstly, concerning Israel, Saudi Arabia should have given up its demands that Israel withdrew to its 1967 borders. Secondly, it was Kissinger who had persuaded the Saudi oil minister Yamani to quadruple oil prices in January 1974, and the policy was not to reduce them significantly, but if anything, raise them further, because high oil prices underpinned the US dollar, which had become a petrodollar, and at the same time high oil prices ensured that the transfer of wealth from other countries, notably Germany and Japan, to the United States would continue.

How dare a “Bedouin” make demands on the US and Israel? Or, in Kissinger’s words of 1973, he found it

“ridiculous that the civilized world is held up by 8 million savages. … Can’t we overthrow one of the sheikhs just to show that we can do it?” (Source).

At the time, Kissinger responded diplomatically, if obliquely:

“The Foreign Minister, who has been a voice for moderation and wisdom in this area, will be coming to the United States next week to the General Assembly, and I look forward to continuing our discussions on that occasion.” (Source).

The events took their course. Like today, when influential decision-makers in America want nothing more than war with Russia, at that time the idea was for the US not to give in. Apparently the calculation was that the King “of the Bedouins”, whose father Abdulaziz, aka Ibn Saud, had been installed by the grace of the UK and later was backed by the US, was going to get a warning shot, and failing that, a new King would be installed. After all, the UK and US knew that it had been worthwhile to encourage the old King to keep producing sons – 45 in total. There were plenty of princes to choose from, some of whom were bound to be amenable to a deal that would put them on the throne.

As Andrew Scott Cooper details in his book Oil Kings, secretary of defense Schlesinger and secretary of state Kissinger had been discussing toppling one of the Arab governments and seize the oil production. This should not be considered far-fetched, but something quite plausible, since it is what the US actually did implement in many countries, such as in Iran in 1953, in Libya in 2011 and tried in Afghanistan for 20 years, and partially succeeded in Syria – today one third of the country – the parts with the oil – under illegal US occupation (an “unprovoked all-out aggression and occupation”, to use the terminology used against Russia), with the oil stolen by the US.

In line with this practice of engineering regime-change, Schlesinger and Kissinger developed plans to “seize Abu Dhabi,” the oil-rich emirate in the newly founded United Arab Emirates, in the last days of November 1973.

“Although the plan was not actualized, Kissinger organized a press conference on Nov. 21 where he publicly threatened “countermeasures” if the economic pressure continued. The following day, Yamani, the Saudi oil minister, appeared in a TV interview in Copenhagen and declared that Saudi Arabia would cut 80% of its oil production if any countermeasures were taken. He also told his American, European and Japanese audiences that the Saudi government was willing to blow up its oil facilities if the United States were to take any military action. These threats were substantiated by the CIA and ended Kissinger’s attempt to dissociate the issue of Arab-Israeli peace from the oil embargo” (Source).

But by late 1974 the plans of Kissinger had evolved. He probably felt he had warned al-Saqqaf.

Al-Saqqaf travelled to New York the week following their meeting in Riyadh, to meet address the United Nations General Assembly on the Palestine issue. There he spoke for the 11th consecutive year, on the issue of Palestine.

“He said, as he had unvaryingly for seven years, that Israel should withdraw from the territories it occupied in 1967.” (New York Times, 16 November 1974).

If there were further meetings with Kissinger and others in the first half of November, we can only guess that he refused to change his mind about these 2 red line issues.

He died suddenly and unexpectedly in New York on 14 November 1974 at the age of 50.

In the words of the New York Times:

“…Mr. Saqqaf had died of a cerebral thrombosis, a blood clot in the brain. He was 50 years old. … Mr. Saqqaf has been at the center of negotiations between Middle East leaders and Secretary of State Kissinger on the issues of Middle East peace and oil.”

And in another New York Times article:

“Saqqaf was an imposing diplomatic figure. Over 6 feet tall, he often dressed in flowing Arab costume for official functions and while on missions. He was fluent in English and French and accustomed to Western ways.

His body was sent back to Saudi Arabia on a US plane with the under-secretary of state and the President’s condolences.

This sudden death however seemed not to have deterred King Faisal to change his mind about these two policy issues. The economic decisions were made by Americans in charge of the JECOR. Sure enough: Oil prices failed to fall in 1974 or 1975, which is what the Saudi King was trying to achieve, backed by his foreign minister, for the greater good of humanity, as his foreign minister had explained. Needless to mention, Israel also failed to withdraw to the borders of 1967.

But open dissent was to be discouraged. First the foreign minister, labelled “anti-American” on Wikipedia, died in the Waldorf Astoria Hotel in New York. Then, half a year later, his King, to whom he was loyal and with whom he shared his vision of foreign policy, especially the demand that Israel withdraw from occupied territories, was also dead. On 25 March 1975, King Faisal was assassinated and his half-brother prince Khalid was made King of Saudi Arabia.

Did this mark a turning point in Saudi Arabia’s attitude concerning being the leader of the Arab states in demanding that Isreal withdraw to the 1967 borders? The reader be the judge.

Kissinger had warned that it was not wise for the Saudi Arabian leadership to be at the forefront among Arab states in this demand on Israel, and especially their willingness to use their control over oil production as an active tool in that policy.

The next leaders were less insistent.

Unfinished business

Yet, there was one piece of unfinished business – long-standing oil minister Yamani was still putting up resistance. It was surely just bad lack what happened to him next.

In December 1975, when Yamani was at the OPEC headquarters in Vienna, notorious secret service operative Ilich Ramirez Sanches, better known as Carlos the Jackal, who had studied at the University of Westminster in London, raided the building and took Yamani hostage. He then demanded a plane and went flying around North Africa with Yamani and other hostages for two days (the pilot was British ex-Royal Navy man Neville Atkinson; other operatives on the team included German “Red Army Faction” members, an organisation that has since been shown to have been run by NATO as part of “Operation Gladio”). Yamani was supposed to have been shot by Carlos, but wasn’t. Carlos was thus expelled from his Popular Front for the Liberation of Palestine organisation by its leader Wadie Haddad before the end of the year for failing to shoot hostages when PFLP demands were not met, failing in his mission.

But minister Yamani had become more agreeable ever since: He stayed in the job until 1986 and lived to a ripe old age.

There is nothing to see here.

It does look as if the US has essentially been totally controlling the Saudi government and all its key policies, rendering the decision to sell oil only against the US dollar – challenged by Saddam Hussein of Iraq and Muammar Qaddafi of Libya at the cost of their lives – subject to direct US control, and thus rendering it unnecessary to point this out explicitly in any written agreement between Saudi Arabia and the US.

So what about the current crown prince in Saudia Arabia? The media seems to have created the impression that he is some kind of “rebel” who is trying to shake off US influence. Indeed, when Crown Prince Mohammed’s request to the US to obtain nuclear power were rebuffed, he achieved a rapprochement with Iran, which was intermediated by China. This, in turn, ended the longstanding and ongoing proxy war in Yemen, in which Iran had supported the Houthis and Saudi Arabia their opponents.

“The diplomatic breakthrough also strengthened Saudi ties with China, a powerful alternative and counterweight to the United States that Mohammed could leverage in his dealings with the North American superpower. Indeed, just hours after the deal was announced, the offer to normalize ties with Israel in exchange for U.S. commitments on security and nuclear technology was reiterated”. (Source)

On the other hand, he seems to have acted to keep Arab leaders in line. Think about the peculiar resignation of the Lebanese Prime Minister Saad al-Hariri in November 2017, when on a visit to Riyadh in Saudi Arabia. He was only allowed to return to his own country after significant international pressure, upon which he rescinded his resignation. At the time, several dozen Saudi princes, business leaders and government officials were arrested in Saudi Arabia.

“Many were released only after relinquishing partial control of their businesses to the state or paying billions of dollars. The Saudi government was believed to have collected more than $100 billion from the move.

Having strengthened his de facto status as the premier policy maker of Saudi Arabia, Mohammed sought to foster more cordial and stable relations internationally. In October he reportedly indicated that he would normalize Saudi Arabia’s ties with Israel, as the United Arab Emirates, Bahrain, and other Arab countries had done in recent years. (Source)

Most of all, US troops, which also means deep state operatives, continue to be based inside Saudi Arabia. So at present there is insufficient evidence to suggest that Saudi Arabia is no longer under US control.

BRICS and the alternative to the US dollar

A Chinese Renminbi (RMB)-denominated oil futures contract named Shanghai crude oil futures (SC) has officially been trading at the Shanghai International Energy Exchange (INE) since 26 March 2018. In 2023, China and Saudi Arabia entered into a local currency swap agreement worth ca. $7bn in order to boost trade in their currencies and lessen the reliance on the US dollar.

In early June 2024, Russia’s central bank and the Moscow Exchange halted trading in dollar and euros, as the US imposed further sanctions against Russia and made use of the US dollar even more difficult for Russians. As a result, the Russian central bank stated that the yuan had become the predominant currency on the Moscow bourse, accounting for more than half of currency trades in May.

In December 2023, Iran and Russia held a meeting of central bank governors and concluded an agreement to trade using their local currencies instead of the dollar.

Meanwhile, the BRICS economic group, which includes China, India and Russia, has discussed the prospect of a BRICS currency that would challenge the dominance of the dollar.

However, the US dollar remains the most important foreign reserve currency, accounting for more than half of all FX reserves (although this is down from two thirds only two decades ago).

Given the high degree of deep state machinations concerning Saudi Arabia, oil and the dollar, should we really believe that the emergence of an alternative currency among the growing BRICS group of countries is a development that was not signed off by top decision-makers?

While China and other BRICS countries would like to increase oil trade in BRICS currencies, this is not happening yet. A main obstacle is that the Saudi currency itself is pegged to the US dollar and, as noted, US dominance over Saudia Arabia’s economic and political decisions remains. In the words of a Japanese analyst:

“It is true that China is asking Saudi Arabia to use the renminbi to settle its crude oil payments, but the Saudis would not want to take China’s offer seriously,” Mr Kondo said. “The Saudi riyal is pegged to the dollar, making budget planning easier by receiving oil revenue in dollars. The dollar’s position as the world’s major reserved asset remains still dominant, which give little incentive for the Saudis to switch to other currencies.” (Source).

Another obstacle is the fact that China still has some capital controls on its international financial transactions, with the yuan only partially convertible. While it can be used for current account transactions, to pay for goods and services trade, restrictions remain for capital account transactions, including investments and loans. The Chinese yuan has not internationalised enough to serve easily as a reserve currency.

Also, Saudi Arabia only this month became a full participant in the mBridge project, a collaboration between several central banks to develop a new system for cross-border payments using central bank digital currencies. But this project is guided by the Bank for International Settlements, partly owned by the Bank of England, the formerly privately-owned bank domiciled in the City of London Corporation. MBridge was launched in 2021 as a collaboration between the BIS and the central banks of China, Hong Kong, Thailand and the United Arab Emirates, to advance cross-border trade and payments using the project’s blockchain, the mBridge Ledger. In addition to the six central bank “full” participants, there are a further 27 official entities partnering in the project, including the IMF and the World Bank. Other central banks, namely of Norway, South Korea and Turkey (NATO or otherwise US allies) are observers. Partner banks include Goldman Sachs, HSBC and China’s six biggest state-owned banks.

The US policies in the past ten years were designed to forge a new military alliance between Russia and China, which others, such as Iran, have joined, while also forging an economic alliance centering on these countries in the larger circle of BRICS countries. More recently, the policy of first freezing and now confiscating Russian assets held in the US sphere of influence must convince more and more countries that an alternative system is more attractive than the US economic zone of influence.

It is notable that US policy decisions have been at the bottom of all this, further enhanced by the American-run regime of grey and black lists of countries concerning financial and tax reporting and consequently the ease of access to bank services. This regime practically discriminates against people and companies resident in many countries and makes simple payments and fund transfers difficult for them, as banks shy away from the high regulatory burden. It did not use to be this way and it doesn’t have to be this way. But decision-makers chose it this way.

Could it thus be that the much-hailed “alternative” to the US system of hegemony of BRICS countries and a BRICS currency is just another Hegelian dialectic opposite, possibly seen as necessary on the road towards a one-world government? For a one-world currency to be realistic, as proposed for instance by my former Oxford MPhil Economics classmate Mark Carney in 2019 at Jackson Hole, the US dollar has to be dethroned. The decision-makers behind this are influential enough to make America take those policies that would dethrone the dollar. Their chosen tool are central bank digital currencies, favoured also by China and Russia, not just the Western central planners. And it is these that we must oppose and resist as much as possible.

ALERT! NEUTRON BOMBS, USA TROOPS MILES FROM RUSSIAS BORDER, TRUMP GOES NUCLEAR, BIDEN IS FINISHED

For me, it is Paris!

I am an Indian and you are going to say that you have many places in India that are worse than Paris. And I accept it, perhaps you are right! But the question asks specifically, “What is one city you would never return to”. It asks for my opinion based on my experience.

  1. A lot of Black people (I mention this because there were no other ppl in those groups. More on the line of haggling and harrassing, and NOT on racial discrimination) trying to strike a conversation by blocking my path with the most common question, “Which country you are from?” or with the line, “You look pretty”One of the women walking in front of me answered, “From Italy” and that is it! He started to follow and he was like, “I love Pizza.” and “You are so pretty”. Since she was ahead of me, it scared me! And in a split of second, she ran away. And then he asked me, “Which country are you from?”Some Black people selling souvenirs on the roads near Eiffel Tower were very persistent in trying to sell their items. I mean, we neither went near to them nor asked them anything. And these guys also knew Hindi, “Sasta hai Sasta hai!!”The other city where I had a similar experience was in Rome. But for some reason, it was not so crowded and I could escape every time.
  2. Weird experiencesOne of the most profound weird (I would not tag it “racism”) experiences I had was in Paris.
    We were waiting for the Bus in a queue. There were Indians in front of me and there was this group of 3 old stylish ladies talking in French behind me.
    When the bus came one of those three ladies pointed a finger and said to me and my mother, “You two, behind me!”. You should know better than to bully me! Long drama short, I got into the bus and those three got into the second bus that was for the same route just behind this one ;)Another experience was at the metro station after I purchased the tickets from the vending machine. A man came to me and asked me where I was going. I told him and then he said, “This is not the ticket you should be buying”.
    Every European city has its own rules when it comes to tickets, where to validate it and so on. There is a high probability that I could have made a mistake and bought the wrong ticket.
    I got nervous. He began to tell me that I should not be buying from the vending machine for this route and should purchase a value that was higher than my current ticket.
    After a minute, it felt odd because I am not so dumb to purchase the wrong ticket! And I can read English as well as French. I simply told him, “Okay, I will pay the fine” and I just walked away from him. (Btw it was the right ticket)I have a couple of more experiences. All these in 3.5 days I stayed in Paris.The bus drivers are all the time irritated.Surprisingly, a lot of honking compared to other cities in Europe that I have visited.
    Pedestrians were crossing even on the red signal for the pedestrians (In India, nobody cares for signals but in Europe, in almost all the places I have visited ppl take traffic rules seriously.)
  3. Pricey!Paris is known as the Fashion Capital of the World. Rightly so! Almost all the big brands are present there. But for the middle class, it is too heavy on the pocket.My shopping included only books, second-hand French books that would have been hard to get in India!
    And obviously, some freeze magnets!French Macarons are also costly and I have had better things in my life which were damn cheaper. My mother remarked, “Why is it so sugary?”
  4. Over-hypedMacarons are over-hyped and so is the Mona Lisa!But since I will not perhaps visit again (other than special circumstances), I had a lot of French Macarons and waited 55 minutes (even though I had a ticket) to enter the Louvre (where the Mona Lisa lives!) and another 20 minutes to get somewhere near to the painting.I am not complaining per se, just pointing out. I enjoyed both. It is just that it is nothing great. But had I missed it then I would have regretted it because of all the hype surrounding it.
  5. Paris Metro made me uncomfortable. But maybe, it is just me.
  6. A lot of traffic and jams as well. I preferred to walk around and/or take the metro.

I was advised by my driver in Porto not to stay out too late in Paris and to make sure that I am always in a place that is surrounded by people. Not a good advice before starting the Paris trip.

A teacher that I knew very well was arrested and jailed without bond for sexual assault.

But there was no evidence to the accusations.

He got along well with the students and staff. A bit strict at times, but he was a pretty humorous and kind person overall. So when the allegations came out, we were all shocked but confused.

Something just didn’t feel right.

The person pressing the charges was a senior, and she was a bit “out there.” Many people saw her as a “social justice warrior” with strong beliefs in feminism. She definitely wasn’t a timid or soft-spoken person at all.

She claimed that he had molested her since freshman year, assaulted her multiple times at school, and even visited her home several times.

Her friend also testified as a witness while saying that she had been assaulted too.

What’s “interesting” though is her Facebook page which states that her hobby is “taking down white males.

Furthermore, police did a thorough investigation of all his devices, but couldn’t find anything.

Nevertheless, he was still arrested and jailed.

Now, I’m not saying he’s innocent, but without any evidence, it’s difficult for me to justify his imprisonment either.

And I really hope that he isn’t innocent.

Because if he is, then he has had his whole life unrightfuly taken away from him.

He’ll never be able to teach again.

He’ll be forever labeled as a child-molester.

His career is ruined.

His family is broken.

And his life, by all means, is essentially over.

Imagine you have an army and you’re out of supplies. Like, if you sit there for a few more days, your men will start to starve. That’s how bad it is. You’re also not getting resupplied any time soon because the enemy navy is blocking your sea routes and the enemy army is blocking your land routes. Your only way to survive is to beat the enemy army in a head-on battle.

But there’s just one problem: the enemy army is twice as large as your own. And is led by one of the greatest living generals.

How do you win the battle?

This was the situation Julius Caesar faced in the late summer of 48 BCE. He had made a gambit, attempting to cross the Adriatic in late fall in risky waters, but he only made it across with half of his army and was promptly outmaneuvered by his opponent Pompey’s larger army. Near the town of Pharsalus, he found himself outnumbered, outmatched, and even outplanned. Pompey held all the cards.

Pompey’s associates, who included most of Rome’s Senators, urged him to battle. He himself wanted to stay up on his hill and starve Caesar out, but he was pressured into a confrontation. He obliged.

As Pompey’s army marched out, it must have been an intimidating sight for Caesar. He had faced down larger armies before, but they had always been armies of Gauls, undisciplined warriors without much organization on the battlefield. Facing him now were trained Roman soldiers led by a commander with decades of experience in field battles. Caesar must have come to terms with the idea that this would be his last battle.

The two armies lined up. Pompey had the tactical flexibility to either stack his units tightly to create more breakthrough pressure or to extend his line and outflank Caesar. He opted for the former, matching Caesar’s line in length and seeking to penetrate. Caesar had no choice but to attack.

In most battles, the attack would begin with a slow walk, accelerating to a brisk stride and eventually a full jog that would create momentum for the strike. However, Caesar knew that his momentum was not enough for Pompey’s deep ranks. He took advantage of his soldiers’ coordination and discipline; ten meters before making contact, he ordered a full-stop halt to the entire line. After a brief rest to recover from the initial run, they advanced slowly, shields braced, into the fray.

The infantry combat devolved into a stalemate. Pompey’s lines had more weight, but Caesar’s soldiers were veterans who had fought with Caesar for a decade. They had bore powerful charges with more fervor from Gauls before, and they could handle slow, grinding formation fighting just fine despite being outnumbered.

For Caesar, the problem would be the cavalry.

Pompey was dominant in cavalry. Caesar had some Gallic auxiliary horsemen, but Pompey, with all the resources of Greece and the rest of the Roman east, had amassed Macedonian-style cavalry in the thousands. They outnumbered Caesar’s cavalry at least five-to-one, and when they charged, it was a sure thing they would crush Caesar’s flank and bring a quick end to the battle.

Finally, around the peak of the fighting, Pompey’s cavalry charged. Thousands of hooves on the ground, kicking up dust and producing a terrifying low rumble that drew closer and closer to Caesar’s cavalry. They stood still, awaiting the charge. It looked like suicide, a last stand.

At the last moment, they raised their spears. It was a death wish. Pompey’s cavalry made contact.

Immediately, Caesar’s cavalry turned around and fell back. Pompey’s cavalry followed in hot pursuit. But suddenly, Caesar’s cavalry units began splitting off into two groups. They each moved to one side.

Taking their place were a unit of legionaries. Armed with spears.

Pompey’s cavalry were not prepared for this reserve unit of infantry, especially not ones using spears. Turns out their spears were actually just improvised pila: heavy throwing javelins repurposed as anti-horse weapons. But against an unexpected enemy whose momentum became kryptonite, they worked perfectly.

Horses and riders fell, impaled by the spears. Others were struck in the back by pila as they turned tail to flee. The charge broke into a chaotic mess, and the Caesarians were getting the better of the melee fighting on foot. Finally, the Roman cavalry came back for their charge, making contact with the broken Pompeian formation and utterly scattering it. The Pompeian horsemen fled off the battlefield in disarray.

Now it was Pompey’s flank that was in grave danger.

Pompey did not expect that the Roman cavalry would be coming back from their death stand. He was completely unprepared to see a mix of cavalry and infantry barreling toward his left flank. The infantry were equally unprepared and failed to meet the charge properly. Their tightly packed lines were crushed even closer together.

Caesar took advantage of this disorder from every angle. He knew a river protected the left side of the battlefield, so he diverted the rest of his reserves to the Pompeian left flank and sent them on a crushing push through their lines.

The Pompeians folded. 40,000 men lost to 22,000 men on a flat plain with only one little trick.

Julius Caesar would go on to defeat all challengers, becoming the dictator-for-life of Rome.

Then he got stabbed. Sadge

China does not reveal its true military capability (following the principles of Sun Tzu).

Western intelligence can only guess at China’s real nuclear capability. At present, their guess is that China has 350 nuclear weapons and 90 ICBMs.

However, many netizens have studied this question in great detail and their estimates are much higher. Their consensus is that China may have 800 nuclear weapons, and possibly as many as 2,000, including the delivery vehicles for all of them.

Bottom line? We just don’t know.

This uncertainty is what China aims for. It must keep US military planners up at night.

Suppose China does have 800 nuclear weapons. Suppose China has the delivery vehicles for all of them. What does this mean for the United States?

America’s missile defence shield is unproven in the field. However, it has been tested, and recent tests show less than 60% effectiveness. That means 40% of China’s nukes could slip through US defences.

So how much damage could 320 nukes do to America? Well, it would certainly flatten America. It would kill many tens of millions of people, perhaps over a hundred million.

It would wipe out America’s industrial and technological base.

It would create millions of square kilometers of radioactive wasteland that are uninhabitable for centuries.

How could America feed its remaining population? Radioactive land is not arable.

And let’s not overlook the possibility of nuclear winter.

If this is anybody’s idea of survivability, they’re welcome to it.

Never Underestimate China’s Ability to Do the Unexpected

Nothingness.

Submitted into Contest #8 in response to: Write a story about an adventure in space. view prompt

Jaylen Hyden

Her hands ghost against the Paine of thick glass separating her from the void, infinite nothingness as far as one could look in the pitch black reach of death’s gaze.the only thing stopping her from being scooped out into its frigid embrace was metal. Metal  and wires, a rib cage made out of nuts and bolts, with nothing but cold surfaces and sharp edges welded together that encapsulated her and the rest of her crew.She almost forgets to breathe, her lungs twisted up and tangled with a combination of unfiltered elation and deep seated dread that knocks back and forth within her skull until she becomes lightheaded from the thought of acknowledging either of them.Instead of that, she grunts, lifting herself out of her chair while her eyes continue to fixate on the electronic timer counting down its life until they reach their new destination. A new place, new opportunities, a new start-A new home.She hesitates at the last thought, brushing it aside as she walks away from the machine, her hands slightly shaky from the amount of caffeine she’s ingested in the last 48 hours, the empty cups now stacked up into a messy pile beside her desk.She walks out into the hallway from her office, the bright, fluorescent lights nearly blinding from her extended time cooped up and occupied with work. Even just a few steps out and she can already hear the mutter of chatter flooding from the commons area, Snippets of conversation buzzing to life the closer she gets. A small smile lifts the corners of her mouth as she enters the room, her older sister sitting in one of the many chairs scattered about the room.She walks towards her on the bleached white tiled floors, stopping beside her place a comforting hand over her shoulder; and chuckles when the girl nearly jumps out of her seat from surprise.

 

“Wha -Charlie! I told you not to scare me like that!” the older girl squeaks, a squeezed smirk scrunching up her face subtly.

 

Charlie replies with a toothy, smug smile, only patting her sister again on the shoulder, albeit a bit more delicate this time.

 

“You know I couldn’t miss an opportunity like that!” charlie defends, crossing her arms while continuing to wear the same smirk. Her sister rolls her eyes, and finally chuckles along.

 

“So you finally decided to come out of your hole, huh?” Her sister nudges charlies side with her elbow, a friendly gesture. Charlie’s body tenses slightly, she really wishes she didn’t have to. She would rather be anywhere else then on this godforsaken ship, but she never really had a choice.

 

“You would do the same if you were the one in charge of making sure this tin can doesn’t blow up!”

 

“guess I can’t argue with that.”

 

“See, I told you. Now how is the data coming along?” charlie glances over at the clock hung up on the wall; god, it was already almost 2am. She doesn’t want to be here.

 

“Got two more potential sites we could look into, nothing special though.” her sister shrugs.

 

“Are they actually habitable this time?” charlie mutters under her breath. She feels like she’s already said this before, like this whole thing has happened before.

 

“Yeah yeah,” her sister waves a dismissive hand in the other direction “no more acidic deposits or whatever.” charlie snorts at the response. But it’s mostly out of reflex. She can’t wait to get back to her room at this point.

 

“Can’t say people would be too happy if the equivalent of an acid volcano blew up their home.”

She jokes back, forcing her face into a smile.

 

“It sounded like it would be a ‘them’ problem at the time, not a ‘me’ problem.” her sister jokes.

 

“Whatever you say, rose.” she rolls her eyes, until settling her gaze on the screen of glass on the other side of the room. Her face scrunches up as she easily abandons the shallow conversation, instead making her way across said room; something twisting uneasily in her stomach the same way her awe of the stars did with her lungs.

 

“Where do you think you’re going, i’m not done with you yet!” Rose calls after her, a smile still strewn on the taller girls face, while her sister ignores her.

 

Charlie stops a few steps short of the glass, and places a delicate hand on its surface, her eyes squinting into the nothingness.

 

“Uh, rose?” she finally responds to her, calling out to her sister as her other crew peers start to glance over curiously, and then follow up with an array of different sounds of sudden panic.

“I’m coming i’m com-” she stops short behind the shorter girl, mouth slightly agape.

 

“Rose, what is-

“What the hell is that thing?!” a sudden shriek arises from the room in the back, cutting charlie off from trying to reason out what she’s currently seeing in front of her.  Something in her memory seems to click, but she doesn’t know why.

 

Something blacker than the abyss stares back at them, long, lithe tendrils slowly curling in and out from around it as it continues to approach the ship.

 

Somebody in the crowd begins to scream, and soon the whole ship is riddled with fear and panic as everyone seemingly begins to scramble. Crowds of people trampling over each other through the small door frames on either side of the commons.

 

She should be running with them, screaming in terror and ripping her hand away from the glass. But instead she can only stand still as her sister tries to drag her deeper into the false security of their ships from the lurking leviathan in the void.

 

She’s just so tired. And she just wants to go back home.

Maybe space wouldn’t be that cold after all?

 

She can hear her sisters voice behind her, muffled but just as grating to her ears. She stares out of the window, glazed over eyes watching the creature sulk closer.

 

She can finally go home.

BECOME OBSESSED – 3 HOUR Motivational Speech Video | Gym Workout Motivation

The kitties were reverting to savanna life while munching the ham chunks

When I lived in Massachusetts I would occasionally buy some real treats for my cats.
You see, the local supermarket had a deli counter, and what they did was get these huge sausages of cheese and meat… looking like hotdogs only much, much larger, and then slice them into small slices.

70115 Ham Bolo Large 300x216
70115 Ham Bolo Large 300×216

Eventually the long sausages of meat and cheese would be down to the very end, and I… well… I could buy those ends really cheaply.

50005 Bologna 1
50005 Bologna 1

And so I did.

I bought cheese ends, beef ends, all kinds of baloney, and pepperoni ends. I bought chicken and turkey ends. It was a great way of stretching your budget.

IMG 3987 thumb[5]
IMG 3987 thumb[5]
IMG 3986 thumb[1]
IMG 3986 thumb[1]
My kitties loved the ham. I would get the end piece and cut it into little rectangular chunks. They would purr and growl at the same time while eating them. OMG!

cat1
cat1

I suggest you all give this a try with your kitties.

Keeping in mind that no matter how much they love it, you can only use it as an occasional treat. There’s lots of preservatives, and salt in these meats. So do not make a habit of it.

cat2
cat2

But every now and then… yeah. Let them enjoy!

Today…

Your Worth Is Inherent, Unchanging, and Absolute

 

Your worth is not up for debate. It’s not some fickle, fleeting thing that can be given or taken away by anyone else. It’s not dependent on your achievements, your relationships, your bank account, or your fucking Instagram follower count.

No, my friend. Your worth is inherent, unchanging, and absolute. It’s baked into your very being, as much a part of you as your DNA or your undying love for pizza. And anyone who tells you otherwise is full of shit.

But I know, I know. It’s easy to let the world convince you otherwise. We live in a society that’s constantly trying to sell us the idea that our value is contingent on external factors – that we’re only as good as our last success, our latest conquest, our most recent “before and after” photo.

And when we buy into that bullshit, when we let our self-worth be determined by the opinions and expectations of others, we set ourselves up for a lifetime of anxiety and self-doubt. We become so fucking desperate for validation that we twist ourselves into pretzels trying to please everyone, convinced that if we just work hard enough, achieve enough, sacrifice enough, we’ll finally be worthy of love and respect.

But that’s a trap, my dear. A soul-sucking, joy-crushing trap that will leave you feeling emptier than a bag of kale chips at a Super Bowl party. Because the truth is, no amount of external validation will ever fill the void of self-doubt if you don’t first believe in your own inherent worth.

And I get it. Believing in yourself can be hard as hell, especially if you’ve spent years marinating in the toxic stew of self-loathing and insecurity. But it’s not impossible, and it’s sure as shit not optional if you want to live a life that feels authentic and fulfilling.

So how do you start cultivating that unshakeable sense of self-worth? How do you begin to internalize the truth of your own value, even in a world that’s constantly trying to convince you otherwise?

It starts with a choice. A conscious, daily, moment-by-moment choice to reject the bullshit narratives that tell you you’re not good enough, and instead lean into the radical truth of your own inherent worthiness.

It means standing in front of the mirror and telling yourself “I am enough” over and over again until it starts to feel less like a lie and more like a battle cry. It means surrounding yourself with people who reflect back your own brilliance, who celebrate your quirks and flaws and all the things that make you uniquely you. It means learning to treat yourself with the same kindness and compassion you’d offer a beloved friend, even on the days when you feel about as lovable as a dumpster fire.

And most importantly, it means letting go of the idea that your worth is something that can be earned or achieved or bought or sold. It means embracing the truth that you are valuable simply because you exist, because you are a one-of-a-kind expression of the universe in all its chaotic, messy, beautiful glory.

Because here’s the thing, my love: you are a fucking miracle. You are a walking, talking, breathing example of the incredible resilience and creativity and magic of the human spirit. And no matter what anyone else says, no matter how many times you stumble or fall or fuck up, that essential truth remains unchanged.

You are worthy. You are enough. You are inherently, unequivocally, absolutely valuable, just as you are.

So fuck the haters. Fuck the doubters. Fuck anyone who tries to convince you otherwise. Your worth is not up for debate, and it never will be.

Embrace that truth. Lean into it. Let it be the foundation upon which you build a life that feels authentic and fulfilling and joyful as hell.

Because you, my dear, are worth it. And that’s the fucking tea.

"The United States spends close to $900 billion a year on defense. Over the last 20 years or so, it has spent over $16 trillion.

It far outstrips the next nine or ten countries in terms of the amount of money committed to the defense industry.

Yet, the defense industry from a manufacturing point of view is actually one of the least competitive and least productive of them all.

Much of the defense industry in the United States remains locked into technologies that look more like a 1960s factory than a 2020s factory.

Much of the more modern elements of the manufactured outputs from the defense industry are overpriced and over-engineered, with poor implementation and poor market fit.

Now, I use economic terms, but in a military sense, the poor market fit is evidenced by military equipment that's not doing its job in the environment it was designed for—namely combat.

From the point of view of the US defense industry, the experiences in Ukraine at the moment, together with some of the experiences in the Middle East, particularly the Red Sea, show that the investments made in the defense industry aren't delivering a product-market fit that is actually meaningful.

Transforming that requires not just more money. More money doesn't necessarily resolve the problems.

The problems are deep—they go to research and development, design, systems, and know-how.

The number of engineering students graduating each year in the US is substantially fewer than the number graduating each year from China, for sake of argument.

Russia was underestimated for the past 25 years––described by the late Senator McCain as a gas station masquerading as a nation––despite the fact that the Russian economy and Russian society were growing the number of engineering and STEM graduates generally during the post-Soviet period and raising the level of overall economic complexity of that economic structure.

You don't change or turn around 40 to 50 years of hollowing out in four to five years, particularly if your political economy remains unbalanced and controlled by the branches of finance capital.

Finance capital is the most dominant branch of capital in the American political economy.

It has dominated industrial capital now for the best part of 30 to 40 years.

Until that changes, you're unlikely to see a dramatic turnaround in American manufacturing capability."

main qimg ff00c5b4a7fba8e2a35d59ac0c13b4ef
main qimg ff00c5b4a7fba8e2a35d59ac0c13b4ef

Excerpt from remarks by Australian scholar Warwick Powell, adjunct professor at Queensland University of Technology in Brisbane and senior fellow at Taihe Institute in Beijing, in an interview with Lena Petrova, June 1, 2024.

The Rise of Neocons: How and Why They’re So Successful

Not in a picture I took but in one I found online that very few people would understand. This is something that most people wouldn’t typically notice. But, because of my work, It really shocked the hell out of me.

Specifically the minor detail in this picture

main qimg 40d02c058ed8c12d67dffb8037f867e4 lq
main qimg 40d02c058ed8c12d67dffb8037f867e4 lq

From this article(Chernobyl’s Enduring Legacy) on Slate.

Believe it or not, it’s not the creepy doll, or the gas mask lying on it or anything in the background that is scary. Rather it’s that big donut of metal the doll is resting on.

Do you know what it is?

It’s a stator core from a fairly large AC induction motor. Probably around 22kW-55kW (30–75HP). Like so:

main qimg 453ba2b7663324e56fd814e36a29c686 lq
main qimg 453ba2b7663324e56fd814e36a29c686 lq

Well, most of a stator core really. Let’s look at the same core in side the motor. See if you can spot what’s missing.

main qimg e9939b5cd3a2d68331b77ea987bb51a0 lq
main qimg e9939b5cd3a2d68331b77ea987bb51a0 lq

That’s right, copper wire. What the above picture shows is that somebody went into Pripyat, if not Chernobyl itself, took this motor to one of the abandoned apartments and stripped the copper wire out of it to sell for scrap. They have probably done the same in all the abandoned buildings in Pripyat if not Chernobyl itself.

Oh, and the Ukraine does export scrap metal. So…may want to invest in a Geiger counter when buying new electronics, because that metal could have gone anywhere and been used in nearly anything.

Houthis in Yemen Claim Second Attack on USS Eisenhower PLUS, “Successful Hits” on U.S. Destroyer

Yemen map large
Yemen map large

The group calling itself Houthis, who run the country of Yemen are publicly claiming they have launched a SECOND attack upon the aircraft carrier USS Dwight D. Eisenhower, and also scored “Direct hits” on a U.S. Destroyer.

Here is the Official Statement from the Yemen Houthis:

“The Yemeni Armed Forces, by the grace of Allah, carried out six military operations as follows:

The first operation targeted the American aircraft carrier “Eisenhower” north of the Red Sea with several missiles and drones. This is the second attack on the carrier within 24 hours.

The second operation targeted an American destroyer in the Red Sea, hitting it directly with several drones.

The other four operations targeted ships belonging to companies that violated the decision to ban entry to the ports of occupied Palestine, including the ship (MAINA), which was targeted in two operations in the Red Sea and the Arabian Sea.

The third operation targeted the ship (ALORAIQ) in the Indian Ocean.

The fourth operation targeted the ship (ABLIANI) in the Red Sea.

The operations successfully achieved their objectives, with precise and direct hits, by the grace of Allah.”

Short answer: The Q angle.

I’ll start off by saying “average” woman and “average” man. There’s an awful lot of women who can run faster than I can.

Men’s bodies tend to be straight up and down. Relatively narrow hips so the thighs are close together.

Women tend to have wider hips because they have babies. The tops of the thighs tend to be angled such that they are further apart at the thigh and narrower at the knee. This difference is the Q angle. Wide Q angles are inefficient for running and also place a strain on the knee. Narrow Q angles that you find in men means that the energy expended goes into running forward. Wide Q angles? I’m sure you’ve walked behind plenty of adult women. They have a characteristic wobble as they walk because their hips tend to rotate. For a given amount of energy expended in running, some of it ends up rotating the hips and thighs rather than being used to move their bodies forward.

main qimg 134a154e81bdc8b79bdbcd9afa439cbe pjlq
main qimg 134a154e81bdc8b79bdbcd9afa439cbe pjlq

Here’s the gold medal German relay team. Look at how relatively narrow their hips are compared to most women you see. This gives them a tremendous advantage when running. Unless she is very, very good, a woman with very wide hips is unlikely to compete in running at this level.

Vintage illustration various

019299fbb6455497def509ad0a55137e
019299fbb6455497def509ad0a55137e

8f120b61a8471948df7b1991245b0ed4
8f120b61a8471948df7b1991245b0ed4

cbe04a7328aa3132489ae8f2ab1c62d7
cbe04a7328aa3132489ae8f2ab1c62d7

8bca20d0b8fa9991db13b8f08e359992
8bca20d0b8fa9991db13b8f08e359992

b28f63902ad2233a227e9a760631e286
b28f63902ad2233a227e9a760631e286

68d73db80a4fdd633ac850247167b865
68d73db80a4fdd633ac850247167b865

466a2f13e74b03ecfaf60e939d0d2b36
466a2f13e74b03ecfaf60e939d0d2b36

53ef8e43041d224a6d62ccc02cb5ea0e
53ef8e43041d224a6d62ccc02cb5ea0e

6ff2e262450d7458316f4893d1185adc
6ff2e262450d7458316f4893d1185adc

c6e3b31da7214820c40e00035c91f966
c6e3b31da7214820c40e00035c91f966

8f0dcd30565e032465a3ca84aa6e60fa
8f0dcd30565e032465a3ca84aa6e60fa

02c38aa9833d386d6a471c7968234f9f
02c38aa9833d386d6a471c7968234f9f

3487c5e9d346e171d9798ad2bf5a31e5
3487c5e9d346e171d9798ad2bf5a31e5

71a047f6ebdd948b12ede90293d60965
71a047f6ebdd948b12ede90293d60965

6cf48718082b87810d7812092569cb0d
6cf48718082b87810d7812092569cb0d

cbb1fed662e3061840e6b44f6b51c1de
cbb1fed662e3061840e6b44f6b51c1de

aa3eea805e8ee5138ad8b6cffab13661
aa3eea805e8ee5138ad8b6cffab13661

3434723b9ddce7df664430be6ff9dd13
3434723b9ddce7df664430be6ff9dd13

851ffbabc31ee517960773090d716d63
851ffbabc31ee517960773090d716d63

18b512d47e5d4a71f84b37de284e2e6a
18b512d47e5d4a71f84b37de284e2e6a

2fcefa6f92e4fa70b3545910af2ee983
2fcefa6f92e4fa70b3545910af2ee983

3f0004ed4513e5f231bf3a7936bc0903
3f0004ed4513e5f231bf3a7936bc0903

cc33acf5c6a9ef079a60a654190cf808
cc33acf5c6a9ef079a60a654190cf808

76447270023c5c5dbfc4a3f1a9c95858
76447270023c5c5dbfc4a3f1a9c95858

338972dc38b9bf864d0b7bc896aee548
338972dc38b9bf864d0b7bc896aee548

0ace11a6ad15dc232e8dd6a229180032
0ace11a6ad15dc232e8dd6a229180032

77c39e2d3f4e9528cac5f261ef545233
77c39e2d3f4e9528cac5f261ef545233

5225c900351c3ea7e645a8695d4c0672
5225c900351c3ea7e645a8695d4c0672

35a9b9a5a6648cd079a2f1c1afe25c88
35a9b9a5a6648cd079a2f1c1afe25c88

07af1e0de8a10b2eba625ef7dbc76d78
07af1e0de8a10b2eba625ef7dbc76d78

32e900416c0413dc442398b62eac45d8
32e900416c0413dc442398b62eac45d8

9a9335092977459b9a24f7a30c31bd6e
9a9335092977459b9a24f7a30c31bd6e

77d98ec1e241bb183073073bc10430af
77d98ec1e241bb183073073bc10430af

b842389683dafae7b2f324417336ba92
b842389683dafae7b2f324417336ba92

28bf75430ca54cc08a832dd3789d7908
28bf75430ca54cc08a832dd3789d7908

a91ce01a1e79d7a202572489d9080f7d
a91ce01a1e79d7a202572489d9080f7d

418fbe490f557488a6a2823f930c0c7e
418fbe490f557488a6a2823f930c0c7e

d4a7df05b05b3a850a5b3ee6e40a7e45
d4a7df05b05b3a850a5b3ee6e40a7e45

88a9ea095bf10a77372b7f1b4d982641
88a9ea095bf10a77372b7f1b4d982641

3bed32b45de1b5383446c2b3e8bec242
3bed32b45de1b5383446c2b3e8bec242

536ad98cbc4dcc5cc085a5806273d126
536ad98cbc4dcc5cc085a5806273d126

a58cec222643ea00fbd50f217c671c2f
a58cec222643ea00fbd50f217c671c2f

655c5f693f4d4a53da5d07c5dc6d6b3c
655c5f693f4d4a53da5d07c5dc6d6b3c

263a60262d494e5a6f66a89782763e2a
263a60262d494e5a6f66a89782763e2a

ad04bd6fe02ac3521fa65c0537168af5
ad04bd6fe02ac3521fa65c0537168af5

07ac7cc89def631493081972aa788cbe
07ac7cc89def631493081972aa788cbe

@@@@@e747aa311a4ee199a3c667ef4b80c5c4
@@@@@e747aa311a4ee199a3c667ef4b80c5c4

The problem is the remedy proposed, which is the imposition of a docking fee in all US port of calls, calibrated to the cost of goods carried, targeting China-built ships exclusively. The remedy aims to collect at least $1m per ship docking to feed a fund benefiting shipbuilding and metalworking unions.

That’s robbing Peter to pay Paul, and unprecedented. It is also illegal, because of the brazen, targeted nature, just like the blanket tariffs announced under Donald. That is why the WTO’s dispute settlement mechanism remains paralyzed.

This is also a tariff on tariff for goods originating from China carried on board China-built ships.

Where is this going to end? Are they going after China-built containers next, imposing a handling fee for every container based on cost of goods carried?

I won’t be surprised if this passes, because America is willing to throw in the kitchen sink just to “win”. It will stop at nothing, not even general war.

Is it a good idea, economically? No, because it will reshape trade flow, while China-built ships maintain a healthy economic edge over rivals. It just adds to the cost of doing business with the US, making the consumer pay more to benefit the pockets of a small number of union workers, funding the retirement of baby boomers. There is no way to revitalize ocean-going shipbuilding stateside with the cost structure today. The Jones Act, after all, dates back to 1920, and what has a century of protectionism bought?

Sweet and Sour Hawaiian Beef

Hawaiian Beef Stew 13
Hawaiian Beef Stew 13

Yield: 4 servings

Ingredients

  • 1/3 cup brown sugar, packed
  • 1/3 cup cider vinegar
  • 1 (8 ounce) can pineapple chunks, drained and reserve juice
  • 3 tablespoons soy sauce
  • 1 tablespoon fresh minced garlic (or to taste)
  • 3 to 6 tablespoons extra-virgin olive oil
  • 1 1/2 pounds boneless beef sirloin (cut into 1 1/2 inch pieces, or use a cut of beef of choice)
  • 1/2 teaspoon dried red pepper flakes (or to taste)
  • Kosher or sea salt and pepper
  • 3 cups baby carrots, cut in half
  • 1 large onion, cut into about 1 inch pieces
  • 3 tablespoons cornstarch
  • 3 tablespoons cold water
  • 1 large green bell pepper, seeded and chopped

Instructions

  1. In a small bowl combine brown sugar, cider vinegar, reserved pineapple juice, soy sauce and garlic.
  2. Add/stir in the brown sugar until completely dissolved; set aside.
  3. Heat oil in a skillet.
  4. Season the beef cubes with salt and pepper then brown in hot oil on all sides.
  5. Place the browned meat in the slow cooker along with the red pepper flakes.
  6. Add in carrots and onion to the slow cooker.
  7. Pour the pineapple juice mixture over the veggies and beef.
  8. Cover and cook on LOW setting for 7 to 9 hours or on HIGH setting for about 3 to 4 hours, or until the meat is tender.
  9. Increase the heat to HIGH.
  10. Dissolve the cornstarch and cold water in a small bowl.
  11. Add in the cornstarch mixture, pineapple chunks and green pepper into the beef mixture in the slow cooker.
  12. Continue to cook for about 30 minutes, or until the green pepper is crisply tender and the juices are thickened.
  13. Serve with cooked rice.

One of the most puzzling mysteries of Britain is why the British don’t know how to eat seafood.

The United Kingdom is an island country, surrounded by sea and separated from the European continent by only one tantalizingly narrow strait of water. The British Channel is not only not dangerous, but also very close to Calais and Dunkirk in northern France. However, when the weather is good, you can see each other across the sea.

The French are good at food and have abundant seafood. The French word for seafood is literally translated as “Fruits of the Sea” (fruit de mer), which shows how much the French value seafood. Today, oysters, which are generally regarded as delicacies, were initially promoted to the world under the leadership of the French.

In addition to France, Spain and Portugal in southern Europe have exquisite seafood meals, such as grilled octopus, garlic shrimp, Spanish paella, and Portuguese bread crab. These are all proof that these cusines are not inferior to that of China in their ultimate pursuits of colour, flavour and texture.

Another example is Japan, which is an island country like the United Kingdom. The Japanese are extremely particular about fish, shrimp and shellfish. Japanese people eat seafood, but their use of condiments is very restrained and simple, which is similar to Cantonese cuisine. Guangdong’s steamed fish creates a umami flavor in addition to the five flavors of salty, sour, sweet, bitter, spicy. No wonder Hong Kong people frown when they see the British eating fish, which is first frozen and then fried or baked.

main qimg 4f9f243253826cceb63e41e58b31cb5d
main qimg 4f9f243253826cceb63e41e58b31cb5d

Even Britain’s former colonies, Australia, New Zealand, and the eastern United States, at least know how to eat lobster. However, Britain had two to three hundred years of sailing experience and had colonies all over the world, but it never learned to eat seafood. The cultural elite in British history have tirelessly pursued knowledge in various fields, such as Dr. Sloane, the founder of the British Museum. He is good at everything from astronomy to geography, flowers, birds, and insects. He did his best to collect all kinds of novel and interesting materials, but he had nothing noteworthy regarding food. He had no interest in it, and never published any insights about food.

Compared with Europe, Britain likes to call itself “eccentric”, but even in the eyes of most people, it is indeed weird to live by the sea without knowing how to eat it.

Time’s Unlikely Gift

Submitted into Contest #251 in response to: Write a story about discovering a lost manuscript. It can be from a famous (or infamous) author, or an unknown one...

Todays SF addition. -MM

Anna stood, suitcase in hand, in front of the old white farmhouse, as the caseworker drove away, kicking up dust in her wake. The front door swung open and Aunt Betty stepped out, hand raised in greeting.

Having been raised the only child of a mother who preferred alcohol to her daughter, Anna was quite used to being dropped off at unfamiliar homes, with unfamiliar people. She never quite felt like she belonged anywhere. This was no different. Aunt Betty, as she was known, was a sweet old lady who opened her home to many foster kids over the years, having never had any children of her own.

“Oh Anna, darling! Please come in, come in! Here, let me get that for you.” She said, reaching for my bag. “We’ve been waiting for you, dear. I am so sorry for everything you’ve been through to get you here. You must be tired.” Anna simply nodded and followed closely behind her.

“Are you hungry, dear? Or would you like to go straight up to your room? It’s just this way.” Without even waiting for an answer she ushered her towards the stairs to the second story. There were photos on the walls, most of them crooked and layered with a thin film of dust, but it was evidence of a loving home. Something she was in desperate need of.

Upstairs, Aunt Betty set her bag down on the bed and gave her a chance to get settled. “I’ll be downstairs if you need anything, Anna. Please, don’t be shy.” She closed the door gently on her way out.

Anna took a deep breath and glanced around, taking in her surroundings. A simple room, adorned with a bed and a dresser. It would certainly do.

She unpacked what little belongings she had, placing her clothes in the dresser, and a couple of old paperback books on the bedside table. While sliding her suitcase under the bed, she noticed something unusual about the flooring. To get a closer look, she scooted as far under the bed as she could. Several of the boards, about two feet in length, were cut on either end.

“Anna, darling!” Startled, she knocked her head against the bed frame as Aunt Betty called from downstairs. “Are you hungry, dear? I’ve made up some food for you!”.

“I’ll be right there…” She called back, as she slid out from under the bed, making a mental note to come back to investigate.

 

**

 

Later that night, sufficiently full of home-cooked food, Anna made her way back to her bedroom. She was looking forward to being able to relax alone; moving into a new place was always so mentally exhausting. But first, she had to know what, if anything, was up with the floor. As quietly as she could, she slid her bed over a few feet. Kneeling on the floor, Anna pulled up on the boards one by one. Underneath, to her satisfaction, was a wooden crate and an old typewriter. Wiping some of the dust off the crate, she noticed the letters E.A. written on top. She wasted no time in taking the lid off. It was filled with aged paper. Journal articles, by the looks of it. After a quick glance she noticed the first page was dated October 15, 1918. She rifled through the crate, looking at the rest of the dates. They didn’t seem to be in any particular order, as if someone had haphazardly collected the papers and threw them in.

She found the page with the earliest date, figuring it would be best to read chronologically, and started reading.

 

**

 

October 15, 1905 

 

Dear reader, 

I hope this finds you well. It is my birthday… Today I am fifteen years old. Ma and Pa gifted me this typewriter. They’ve known of my fondness for stories since I was a small girl. I couldn’t be more thrilled to have opened it. I have decided to write journals to document my life. Maybe someday you will find it. Maybe someday I will read them back and realize what a wonderfully exciting life I have lived. Oh, how I long for adventure. I have always had the sense that I do not belong here… like I am destined for more than to grow up and become a housewife, on a farm in the middle of Virginia. Perhaps I will become a famous author one day! I will write again soon. 

 

Best, 

E.A. 

 

**

 

Anna read through the journal entries one by one. Many of them were so worn with age that they were difficult or impossible to read. A few partially eaten by mice. The mystery author wrote almost daily. Occasionally she tried her hand at fictional stories. Many times she wrote about her life: her friends, books she was reading, plans she had for the day, and fond memories that she wanted to remember.

 

**

 

October 16, 1895 

 

Do forgive me if this does not make much sense. I can hardly believe it and I myself experienced it. My last entry was last night, I wrote about my fifth birthday. Shortly after writing that, I extinguished my candles and went to sleep. When I woke up this morning, I was not where I was supposed to be. I am home, yes. But everything is different. I should say, everything is as it once was. Ma and Pa look different, much younger. There is a little girl, strangely resembling me as a child. They are treating me as though I am a scullery maid. I feel like an outsider with my own family! In the kitchen, I noticed a newspaper dated October 16, 1895. It is impossible, utterly impossible! But I seem to have traveled through time. 

 

E.A.

 

**

 

Anna looked up from the page. Time travel? It was far more likely that the mystery author was simply practicing her creative writing. Fiction or not, she was grateful for this temporary escape from reality. More and more, she felt like the author was a kindred spirit. They were just words on a page, but she felt like she had found a friend. She looked up at the clock: 11:45. Her eyes were burning with fatigue. She knew she should go to bed, but she couldn’t, like a novel she couldn’t put down, she went back to the crate of papers. The next date didn’t make sense, July 30, 1862. If it was the same writer, she wouldn’t have even been born yet.

 

**

 

July 30, 1862 

 

A civil war is currently raging between the north and the south. I have been in search of an adventure, and while I have certainly found one, I’m unsure whether it is wise to be here. Danger is all around. The north seems to be prevailing. I do hope that they succeed. This is not the Virginia that I know. I have felt ashamed everyday that my ancestors are here now, fighting for their right to treat human beings as property. I have seen abhorrent things here. I am missing home, but I want to be helpful, in some way. I have befriended several wives of Union soldiers and we have been raising money and sending supplies. I am unsure where or when I will travel next. 

 

E.A. 

 

**

 

“Aunt Betty, how long have you lived in this house?” Anna asks as she pours herself a cup of coffee the next morning.

“Oh, this house has belonged to me for many years, dear. Let’s see… I think I arrived in the sixties. Yes, that’s right, I believe it was the year 1969. I met Arther shortly after and we got married, and made ourselves a nice home here.”

“I found some old things in my room… journals dated much earlier than that. I just wondered who they belonged to.”

“It is such an old house. This farm has a lot of history, to be sure. It stood here far before I came. That sounds fascinating.” She said, pouring a coffee of her own. “Where did you find them?”

“They were under my bed… someone cut a hole in the floor and tucked them away under there. I just found them by chance.”

“Oh dear, you know the furniture in this house has not been moved in many years… I suppose I forgot that it was there.”

With a warm smile, Aunt Betty made her way to the porch to enjoy her coffee in her rocking chair, just like she did every morning.

 

**

 

December 12, 1969

 

I’ve found myself in the year 1969. I’m now 25 years old. I’ve been traveling like this for many years now and I am growing weary. I am feeling more and more like I do not belong anywhere. I have experienced the impossible. I have seen incredible things, and equally as many horrible things. I long for a home and a family. I wonder what they think happened to me. By this time, they are long dead. It gives me some solace to know that as long as I have my typewriter, I can go home to them, at any point. As long as I have that, I will never truly be alone. But how long can I go on like this… with no roots in the ground. 

When I arrived here, I found myself under a beautiful willow tree. After walking a short distance I came upon a farm, with pastures and a barn and a beautiful white house with a big porch. From what I can tell it is abandoned, which is useful for me. It is a comfortable place to rest. I will write soon. 

 

E.A.

 

**

 

Anna sets down the page and reaches into the crate for the next one, but as she does she finds that it is the last entry.

“No! It can’t end like that!” She said aloud. She turned to the typewriter, looking for clues on who it might have belonged to. She clicked a few keys, testing it out. It can’t really be a time machine, she thought. With only one way to truly find out, she inserted a piece of paper, but when she tried to type, nothing happened. It was broken, and with no understanding whatsoever about typewriters, especially potentially magical typewriters, she was ill suited to fix it. As she tinkered with it, a thought suddenly came to her, “Wait… 1969… 1969!”

Anna got up to run downstairs, but as she turned around, Aunt Betty was standing in her bedroom doorway.

“My friends and family have always called me Betty, dear, but my full name is Elizabeth Alexander. By the look on your face it appears that you have put enough of the pieces together to have figured that out on your own. The typewriter has been broken for a long time. I never was able to figure out how to fix it.”

“You wrote these… it was all true.”

“Yes, somehow it is. And what an adventure it was… for a time, at least. It was lonely, though. When I got stuck here, I realized how much I missed having a family. After so many years with no home, it was time I made one.” She smiled warmly down at Anna. “I want you to know that you have a home here now, too. You always will.”

NATO: “Cyber-Attack” Now Grounds for Article 5 Collective Self-Defense. False Flag their own Countries, Blame it on Russia, then Join the Ukraine War against Russia?

The head of NATO’s military committee, Admiral Rob Bauer, has said that NATO can now declare war over a cyber attack . . .

“We have agreed in NATO that a cyber attack can, in principle, be a reason to invoke Article 5” said Admiral Bauer.

So now not only a real attack, but also a cyber attack can be a reason for a NATO Member to Declare Article 5 Collective Self Defense. The result will, of course, be all the military actions that follow.

But it’s important to find out who organized the attack. Regardless of the route, the servers and their location, and whether the countries in which these servers are located were aware of the attack, the main thing is to identify the organizer of the attack. If the attacker is unknown, you don’t have enough data [to declare war on anyone].

———

Unless you manufacture it yourself . . . .

Hal Turner Snap Analysis

For over two years, NATO has goaded Russia, trying to get Russia to attack over the ongoing NATO interference in Ukraine.  Russia won’t take their bait.

Instead, Russia is steadily destroying all the weapons and manpower Ukraine (and NATO) is throwing at them.   NATO is hamstrung; they can’t do any more for Ukraine, and Ukraine is now losing – badly.

So they seem to have come up with a nifty alternative: Cyber-Attack.

They can attack their own countries in a massive and destructive cyber-attack, BLAME IT on Russia, and then invoke Article 5, collective self defense, to enter the Ukraine war . . .  as they have been desperately trying to do for two years.

A completely, totally, FALSE FLAG “Cyber-Attack” as their basis to BLAME RUSSIA and enter World War 3.

These people, I think, are snakes.  There is apparently no low they will not stoop-to, to cause another World War.

I would describe China as a heavily technocratic Confucian-Leninist authoritarian state, ruling over a mixed economy with features of both capitalism and socialism.

But that’s really not very useful without breaking down what this means.

It’s technocratic in that most people in putative or actual positions of political authority are holders of degrees in the natural sciences or engineering, and because the political culture is still one that venerates expertise and is very solutions-driven.

It’s Confucian in the sense that the political culture still bears the imprint of the imperial civil service exam system and sees as right and natural a paternalistic bureaucracy of educated elites.

It is Leninist in the sense that it is still a single-party dictatorship with a disciplined cadre running things on the principle of “democratic centralism.” It is nominally communist, but has jettisoned most of what an “orthodox” Marxist would regard as actually communist and has retained really only Leninist political structures and a not-very-deeply held belief in Marx’s dialectical materialism as a way for understanding history.

It is authoritarian for what I would hope are obvious enough reasons: The Party utterly dominates the state, retains control of coercive forces like the armed forces, paramilitary and police, exercises considerable control over media, and suffers very little civil society to exist.

The economy is certainly mixed. Features of socialism persist, but I don’t think they compare favorably with the social democracies of Northern and Western Europe. State participation in industry is still quite substantial, even if the private sector is a larger and larger component of GDP, so it’s really premature to say that China is a fully capitalist economy. “State capitalism” is a phrase many have used, and I think it’s largely apt.

China’s leaders are pulled in multiple directions by different interests, confronting often contradictory exigencies. Some, and perhaps even most, aren’t driven by any particularly high-minded ideals and are chiefly interested in staying in power and enjoying the perquisites thereof, while others I have no doubt really do take to heart the long-term interests of the Chinese people and are motivated by an altruistic ideal of service to the country.

But mainly, China is pragmatic. Imagining for a moment that there’s a leadership that we can speak of as having some unified worldview and a shared set of priorities, that leadership is basically about the practical exercise of power toward creating a China that is increasingly wealthy and militarily powerful. It seeks to create a society with conditions that make economic development where dignity and some semblance of social justice can be had by most people. It looks for solutions that “work,” and not ones that simply conform to any particular ideology, and so it doesn’t particularly prize ideological consistency. It believes that “development is the final word” (发展是硬道理) and that that which augments wealth and power is desireable. It has abandoned its once overly-optimistic assessment of the mutability of humanity, and now acts in the belief that people don’t in fact change overnight. It will harness various forces to be found in society — nationalism, grasping materialism, religiosity, environmentalism — when those forces can be made to advance its agenda. But it has no compunction about smacking them down when they threaten the control of the Party-state.

Wine Pot Roast

210119 potroast 2
210119 potroast 2

Yield: 6 to 7 servings

Ingredients

  • 3 to 4 pound beef pot roast
  • Salt and pepper
  • 1 small onion, chopped
  • 1 (3/4 ounce) package brown gravy mix
  • 1 cup water
  • 1/4 cup ketchup
  • 1/4 cup dry red wine
  • 2 teaspoons Dijon-style mustard
  • 1 teaspoon Worcestershire sauce
  • 1/8 teaspoon garlic powder

Instructions

  1. Sprinkle roast with flour, and brown well in small amount of oil in skillet.
  2. Sprinkle meat with salt and pepper; place in slow cooker.
  3. Combine remaining ingredients; pour over meat.
  4. Cover and cook on LOW for 8 to 10 hours.
  5. Remove meat and slice.
  6. If desired, thicken sauce with flour dissolved in a small amount of water, and serve over meat.

I was at Disneyland with my best friend a few months ago. We were both in line waiting to go on the gondola. In front of us was a family of four. A mother, father, young girl (perhaps 5–7 years old) and a little boy (maybe 2–3 years old). He was probably closer to 2 because he was still waking funny and slightly wobbly.

The family was not communicating or smiling at all. Granted, I’m not the most chipper in long lines in the California sun either. But they weren’t even saying a word to each other. Clearly, tensions were high, and I understand, children can be a handful especially at theme parks.

The toddler started crying uncontrollably and grabbing his mothers leg begging to be picked up. She pushed him away, not once, but probably about ten times. Each time more aggressive than the other. He just continued to cry and tried to reapproach every time.

His dad, without saying a word, picked him up. I saw the mothers eyes roll and heavy, blatant sigh. As if she was annoyed that he got his way and got picked up, even though she made it clear she didn’t want to carry him. Eventually, his father put him down to get something from his bag. At this point he reapproached the mother, starting to cry again, and without even looking down at him she shoves this little stumbling toddler away so hard that he falls on his butt and his back hits one of the bars in the line.

Of course, he started sobbing even more. His father seemed like he didn’t want to upset the mother any further and just looked ahead. None of his parents did anything. His older sister, however, noticed right away, and instinctively smacked her mother (on the waist/stomach area) to defend her little brother. Her mother then grabbed her face so tight and whispered something that I did not hear.

They entered the gondola, and I got in the next one. For some reason I have not been able to get her stone cold demeanor out of my head. Poor children.

How On Earth Did Ancient Civilisations Get MERCURY?

Confessions Of A Woman In A Polygamous Marriage

 

How did you end up in a polygamous marriage?

I was born and was raised in Saudi Arabia, in Riyadh. A few months before my seventeenth birthday, my father, due to the Guardian System, told me that he had arranged a marriage for me to a foreign businessman in Dubai, and that I would be married within a few weeks.

 

At the beginning of 2017, I was married to my new husband in Dubai, and became his second wife. I didn’t ever realize that I wouldn’t be his first wife until a few days before the wedding, and I can only remember crying for an entire afternoon the day I found out I would be his second wife. It would not be until the end of last year that our husband took his 3rd and most recent wife.

How old is your husband?

Early 50s

How soon before the wedding did you meet him? What would have happened if you didn’t like him/he didn’t like you?

I met him about a week before the wedding for the first time. If he hadn’t like me, it’s not likely anything would happen since he had already talked to my father, but if i hadn’t liked him there was not much I could have done about it. At that point I was such a mess of nervous teenage anxiety and emotion that there wasn’t much I could have even thought or said.

Why did he marry you?

I’m not sure why exactly, it could have been for my looks or age, since his first wife is quite a bit older than i am, but I’m sure it was also due to the social connections between him and my father that would be forged because of the marriage.

Has your husband mentioned how many wives he plans on having?

He legally can’t marry more than 4 according to Sharia Law, but I can’t imagine he would marry someone else, especially at this point in his life. But who knows, he certainly could. I hope not, but it is a possibility.

Do you have a good relationship with him?

We have a fairly good relationship. Although he doesn’t spend as much time with me as his new wife, he’s very polite and nice to me, and doesn’t mistreat me at all.

Do you love him? Does he love you?

In a way I think I love him; even though I don’t think he married me for love, and I didn’t have much of a choice, I’ve learned to love him for what he is. I know he cares for me in his own way, and I know he wants me to be happy and provided for, which I am very thankful for.

Do you guys have children?

Yes, we have one child, a beautiful two-year old baby girl!

How does your husband treat you? Does he treat all his wives equally or is he biased?

It depends; he treats his first wife and I pretty much the same, or at least spends the same amount of time with us, but ever since his third marriage he’s spent most nights with his new wife. I try not to feel jealous, but it is hard not to resent him and her for it.

How old are his first and third wife?

His first wife is 36, and his most recent wife is only 16. Even though 18 is legally the marriable age in the UAE, a judge can approve a younger marriage, and our husband is wealthy enough to ensure that it happens.

What do you think of a man in his early 50s marrying a girl of 16?

I personally don’t think it’s right for such a marriage to take place. Even though legally it was allowed, the odds are she’ll be widowed before she even turns 40, which is really tragic for her.

Why are you more concerned for her after he dies than what might happen during the marriage?

I don’t think it’s right for him to marry someone so young, but at least this way she is provided for. Once he dies, I’m not sure what’s going to happen to any of us once he dies.

What typically happens to the wives once their husband dies? Say you are 50. Do you get an inheritance?

I would most likely be sent to live with a male relative, and perhaps receive an inheritance or something similar. I would have to follow ‘Iddah’ under Shariah Law and wait before remarrying though. If I an widowed while still young, I could probably remarry, but if I was older I might just live as a widow with my relatives.

He must be very rich to afford 3 wives, 3 households. Are you allowed to spend any money?

He is, as far as I know, fairly wealthy, even though he never talks about business or finances with us. Each of us gets a monthly allowance for groceries, clothes, shopping, and anything else we might need, but it’s never enough to make any really extravagant purchases; for anything like that, like jewelry or really nice clothes; anything really expensive, we have to ask him for permission.

What do you enjoy spending your allowance on?

Well, its usually not enough to buy anything more than the essentials, but I love cooking and buying new ingredients, and i always put a little aside to buy paints and art supplies with.

Are you allowed to work?

No, we aren’t allowed to work, or at least not for money. I love painting and art, so I do work on that a lot, but my husband would never let me sell them or earn a living myself. For the most part I have to rely on my husband to provide for me and our daughter.

How are mealtimes? Do you cook? Do you all eat together?

I usually cook meals for myself and daughter, and maybe a few friends, but we usually I don’t eat with our sister wives, except for on Friday, when we usually eat a meal together with our husband.

When it comes to sex, is it only ever you and him? Or is part of it that the four of you have sexual relations?

It is always only him and one of us, he has never asked any of us to have relations with him at the same time. It’s a very private affair.

Do you spend time with the other wives? Are they your friends? Or do you keep separate lives?

For the most part we are like separate families, we each have our own apartment, cook our own meals, and have our own beds, but fortunately his first wife and I do get along very well; since I left Saudi Arabia she’s been almost like a sister to me. His third wife though, she is very rude to us, since she is the youngest, and we definitely don’t get along.

How is she rude to you?

It feels like since our husband spends more time with her than the rest of us, she has a more privileged relationship with him than we do. She can get him to do things to us that he wouldn’t do otherwise, like punish us for doing innocent things, like going out without his permission, he is usually fine with, but if his youngest wife convinced him to, he will get angry and even hit us, even very softly. It’s more of symbolic than anything, but it still makes me feel awful. She also doesn’t miss an opportunity to bring me down or insult me.

What’s the best thing about your marriage?

Probably having such a good relationship with his first wife. It’s almost like having another sister, and it definitely helps make up for some of the worse parts of my marriage. Either that or being provided for so well. I’m not mistreated or neglected, and it gives me a chance to raise our daughter.

If he were to die soon, would you still be friends with the first wife?

I think we would. Even if we didn’t share a husband, I think we would have been friends anyway, and I think we would stay friends even if we weren’t married to the same man.

What is the worst part about living in such a relationship?

The worst part of my relationship is probably just the stress it brings. For instance, he spends most nights with his new wife, and she knows she is his favorite right now and uses that to treat his first wife and I very badly. I try not to hold it against her or our husband, but it’s hard not to.

Do you feel any resentment towards your father for putting you in a situation you may not have necessarily chosen for yourself?

I do sometimes. He never really gave me a choice in the matter, so I do sometimes feel resentful towards him for putting me into this situation, but it’s the culture he knew growing up, and i know he had my best interests at heart. He wanted to be sure I would be provided for, and I know that despite everything he loves me and wants what’s best for me. But it’s not easy to forgive him.

If you were given a chance to, would you get out of the marriage?

Although under Sharia Law divorce is allowed, the only way it could realistically happen for me is if my husband wanted a divorce as well, which he does not. And if I did divorce him, I don’t know what I would do or where I would go, especially since I would have trouble finding anyone willing to marry a divorced single mother. But honestly, I really don’t want to leave, although I wish it hadn’t happened at all, now that I’m married, I’m fairly well off and happy, and although it’s hard, it’s something I have to live with, and I am alright with that.

Would you prefer a different future for your daughter?

Absolutely. I would never want anyone, least of all my daughter, to be in the same relationship as me.

I would like to see the attitudes towards marriage become more western, and allow women more of a say in who they marry. I also pray that plural marriage continues to become more and more rare as time goes on.

Did you have a childhood sweetheart/relationship before your marriage?

I did have a sweetheart before I got married, he was a family friend, and I thought I was going to marry him for the longest time. I was actually looking forward to it, and I stroll sometimes regret not being able to spend my life with him. But I never had a choice in the matter, so I’ve learned to live with it.

How do you feel about the fact that a lot of the world (at least a lot of the Western world) looks down upon plural marriages. Do you ever question your own lifestyle?

I definitely question my lifestyle, and if I had the choice, I don’t think I would want to be in a plural marriage. Since I do live in Dubai, I do get exposed to more western culture than I did before, and I generally think that the west is right about the negative aspects of polygamy. Despite this, I’ve lived my whole life this way, and I’m not unhappy like many people in the west think. It’s not ideal, and certainly causes more stress and emotional strain on everyone, but it’s not all bad.

How has your life changed because of the marriage?

Before I was married, I lived with my family, and, because of the laws in Saudi Arabia, I had very little freedom of movement, and had to ask permission to do or go anywhere. Even while I was at home my parents, and especially my father, had absolute control over what I did.

Now that I’m married, I don’t have to ask permission to go out, as long as I am accompanied by another woman or male guardian, and I have more control over how I spend the small allowance I get. I also have more freedom at home, to raise our child and to talk and spend time with female friends. Despite this, if he wanted to, or if I made him unhappy with me, my husband could control me just like my father, did and monitor my every move, but fortunately he allows his wives to be fairly independent as long as we obey.

USA will launch two Minuteman III intercontinental ballistic missiles

USA will launch two Minuteman III intercontinental ballistic missiles

nuke
nuke

The United States will launch TWO separate Minuteman III nuclear-capable inter-continental Ballistic Missiles, one on June 4, and another on June 6.   One might think they are “posturing” to Russia.

However, the stated goal of the launches is to demonstrate the readiness of American nuclear forces and nuclear deterrents.

Russia has been warned in advance about the launches, which will take place on June 4 and 6, the US Air Force command said in a statement.

The Minuteman III is a solid-fuel intercontinental strategic missile that entered US service in 1970. Its previous tests failed: due to the discovery of an “anomaly” after launch, the rocket exploded in the air.

This missile is the only silo-based ICBM in US service. Upgraded versions are regularly tested. Washington has 400 of these missiles. Each of them is capable of carrying up to three nuclear warheads.

Some Bad Things I saw In Prison

Lack of Trust: India Moves 100 Tons of Gold from United Kingdom

India’s central bank has moved a little more than 100 metric tons of gold from the UK to its domestic vaults, the Times of India newspaper reported on Friday, citing sources.

The source revealed that the “public” reason for moving the Gold is that too much is stored overseas and they want it in their country.  However the “non-public” reason is now “lack of trust.”

India, the source said, has seen the way the West “froze” Russia’s Sovereign Wealth Fund and is now literally “stealing” the interest from those frozen funds, to give to Ukraine.  India now views the West as brazen thieves and does not want to be victimized by such theft!

“While India has no plans to go to war, as Russia did in Ukraine, prompting the theft of their Interest money, India knows all too well how arrogantly the West imposes “Sanctions” and does not want to be subject to the whims of the West.” the source continued.

Even Stephen Bryen (former US DoD/MIC) is sounding the alarm: NATO is flirting with war and extinction.

“NATO does not want to negotiate with Russia. That goes especially for President Joe Biden, who fears going into the coming elections having lost Afghanistan and Ukraine. Any deal with the Russians today would mean major concessions, not only on territory, but about Ukraine’s future. Russia has not changed its red line on demanding that NATO get out of Ukraine.”

“France is now “officially” sending troops to Ukraine (they have been there for some time) and NATO countries are demanding strikes deep inside Russia. Meanwhile the US has secretly made a “policy shift” that somewhat falls short of what Zelensky wanted, but opens the door to deep strikes by the US on Russian territory.

US Secretary of State Antony Blinken says that the US deep strike authorization is “misinformation” but he did not deny the change in US policy. He claims it is Russian disinformation, but the reports came from Washington and not from Russia.”

Is Russia somewhere between DEFCON 2 and DEFCON 1?

Attack on Russian radar is a significant escalation:

“The nuclear issue is extraordinarily sensitive nowadays as Ukraine’s army appears nearing collapse. US legislators and NATO’s leader are urging Ukraine to fire long range missiles at Russian territory. It that happens, the Russians will not be able to distinguish whether a missile has a conventional or nuclear warhead.”

“British-Portuguese Tekever AR3 drones were used for the strike.” “for several months the focus of attention of the US Air Force RQ-4B data has been shifted specifically to the Krasnodar Territory”

“attack represents the first time that strategic nuclear defense installations have been attacked in Russia or any other country.

There has long been a debate among defense experts on the issue of “launch on warning.” Had the Russians believed this was a NATO attack on their nuclear facilities, that could have triggered a nuclear response.

The nuclear issue is extraordinarily sensitive nowadays as Ukraine’s army appears nearing collapse. US legislators and NATO’s leader are urging Ukraine to fire long range missiles at Russian territory. It that happens, the Russians will not be able to distinguish whether a missile has a conventional or nuclear warhead.”

🇷🇺 Russia’s “Dead Hand” Perimeter System will automatically launch Russia’s entire nuclear arsenal in response to a nuclear attack on Russia. It assures that whoever initiated the attack on Russia will be annihilated. Studies show that, “no locality in the U.S. was free of the risk of receiving deadly levels of radiation” and even if one is not at a primary target site, “the entire population of the contiguous U.S. and the most populated areas of Canada, as well as the northern states of Mexico, would be at risk of lethal fallout…known to result in certain death.”

main qimg 19933897b4b40eae4e6d824a6d0ed06d
main qimg 19933897b4b40eae4e6d824a6d0ed06d

Shelter cat makes saddest face to get adopted

German Bundestag (Parliament) Asked to Activate 900,000 Military Reservists for war with Russia

Marie-Agnes Strack-Zimmermann of the Free Democratic Party (FDP) in Germany’s legislature, the Bundestag, is calling for the activation of 900,000 German military reservists.

“Putin is trimming his people for war and positioning them against the West. Therefore, we must become capable of defending ourselves as quickly as possible,” said the chairwoman of the Bundestag defense committee to the newspapers of the Funke media group (Saturday).”

“Russia only produces weapons. Textbooks are printed that portray Germany as the aggressor. Primary school children are trained on the weapon. All this is frightening,” said Strack-Zimmermann.

“The Russian attack against us has already begun,” Strack-Zimmermann told the Funke newspapers, referring to cyber attacks, espionage and deliberately triggered refugee movements.

“We must be aware that the war in Ukraine affects us directly.” If Putin is successful, he will “continue his raids,” Strack-Zimmermann said, referring to Georgia and Moldova. And when Putin thinks that the time has come, “he will also attack the Baltic States.”

Hal Turner Snap Analysis

Shameless fearmongering.  Lies made-up out of whole cloth, spewing from the mouth of what is supposed to be an elected public official – trying to set Germany on a collision course with Russia – again.

Someone should ask this dumb broad if she realizes such an effort didn’t work out well for Germany the last time they tried it.  It was Russian troops that stormed Berlin and destroyed Hitler’s Nazi regime.

Is she looking to cause the Russians to do that again?

Confessions Of An Ex-Mercenary

 

How does one become a mercenary ?

Typically you start somewhere. I was a US Army Paratrooper in the 82nd Airborne. Friends of friends found me, and got my first contract to go to Africa.

 

How’s the pay?

Pay can fluctuate. First of all, there’s a lot of deadbeats in this space. For example, Liberia asked me to create a littoral sea fighting force, but I couldn’t figure out how they would actually pay (regardless of promises) so I walked away. Never work for the UN. Total deadbeats.

The US pays you about double what you might make in uniform, which ain’t much given the risks. All the stories of guys making 2 grand a day are BS. Maybe a few guys at the beginning of the Iraq war. Also, the US might pay Blackwater $1500/day for you but Blackwater pays you only $400/day, pocketing the rest.

The best is extractive industry, especially those that are private owned. You can find these in Houston, with the right connections.

 

What was the best/worst compensation for the contracts you’ve been on?

Worst. Not getting paid what was owed me.

Best. Getting paid six-figure for a two-week walkabout among the armed groups of the Sahara. Oil company.

How do you acquire your equipment? Especially for situations where you’re raising a small army.

It depends on the client. When I worked US government (USG) contracts, they would pay for it and I would go get it. Hence I did shopping sprees in Eastern Europe.

Are there anybody that you refuse to work with? If so: why?

There are many whom I would refuse to work with. For example, China or Russia. Actually, any who is the enemy of the US, since I’m still an ex-soldier at heart and blue passport holder.

However, a lot of people don’t care and go where the money. Erik Prince, founder of Blackwater, left the US and now works for China in Africa. He’s now in DC pimping an idea to hire a mercenary army to “fix” Afghanistan. It’s a dangerous idea.

What was the craziest thing you had to do as a mercenary?

Stop a genocide in Africa.

Could you elaborate?

Only a little.

The US had intel that an extremist Hutu group hiding in the Congo called the FNL were planning to assassinate the President of Burundi in 1994. If they did this, it would cause a chain of reprisal killings – Tutsi killing hutus and hutus then killing tutsi – that would rekindle the 1994 Rwandan genocide.

The US sent us in to prevent all this from happening, with out the governments of Burundi, Rwanda, DRC etc knowing. Only about 5 people knew in Burundi our mission, including the President and General in charge of their military.

We succeeded. I am sorry that I can’t go into the operational details.

 

What is the rule on killing kids?

There are no rules.

Unless they are written into the contract.

BTW mercs make moral choices. They can say ‘no’ to a contract or ROE, unlike soldiers and marines. They can also go ‘off contract’ or rogue.

Is your work considered peacekeeping, or are you on a definite side of the wars you’ve been part of?

“Peacekeeping” is one of those weasel words that diplomats like to throw around to cover their operations. Putin said he was doing ‘peacekeeping’ in Chechnya. The UN does “peace enforcement,” which they did in the eastern Congo in 2013.

I’m straight faced about it. We do war.

During your time as a Merc, how many times have you had run-ins with SOFs (Special Operations Forces) from other countries, which ones, how many did you actually have to fight, and which ones scared you the most?

All the time. People cluster around skillset, so if you have a SOF or paratrooper or ranger etc background, you will gravitate to those with like skills and either form a team or join one.

The best are from US, richer European countries and many of the former Soviet republics. Latin american SOF is good too. The worst are African and Middle east countries. Scariest: ex-Soviet. They are…different than the rest of us.

How so? Psychologically they’re different? Their skill sets?

Yeah. Many of them are crazy and tough. They use to have this thing in Spetznatz training called the Rule of the Grandfathers. Recruits would have bones broken.

How large is the industry, and would you say that it is mostly larger bodies of armed men, or do mercenaries also do smaller, covert ops missions in tight, single digit groups?

It’s really hard to say how large and how much money is sloshing around the private military world. There’s no Department of Labor and Statistics for Mercenaries.

During the height of the US wars in Iraq and Afghan, contractors worked in large military corporations. Now that this market has dried up, mercenaries are atomizing into smaller units.

What is the mortality rate for mercenaries?

It depends. Let’s assume proper mercenaries here, meaning they are ex-military and in conflict zones. The mortality is higher than most 1st world militaries. Also, if you get hurt, you are likely screwed.

Merc ops gone wrong generally don’t make the news. Mercs are hired often to work in the shadows, and if something goes wrong, their employers cut them away like a kite. That’s why we call these ‘kite missions.’

High end mercs are hired because they offer good plausible deniability.

What is the biggest misconception on PMC’s (Private Military Contractor) or Mercenary firms that is currently being published in the political science literature?

Political Science and academics in general don’t know much because this industry is so opaque. You have to be on the inside to understand it, yet not succumb to it, or get blackmailed into silence.

The common misperceptions are:

  1. Mercenaries are ineffective. Wrong, very wrong.
  2. Mercs are illegitimate. “Legitimacy” is a big word people like to throw around with much thinking. Ultimately, it doesn’t really matter that much.
  3. Mercs are all evil. Some are, some are not. Same with soldiers.
  4. We can use international law to curb mercenaries. Wrong again. Mercenaries will just shoot your law enforcement.
  5. Mercs are peripheral security problem. Wrong. The market for force is growing and cannot be stopped. At least not if we leave it on auto-pilot, which have.
  6. Lastly, mercs are not useful. This is wrong. They are like fire: power a steam engine or burn the building down. They can augment UN forces of be used as terrorists.

Did this kind of experience provide you with insights into a deeper understanding of the interactions between the western world and the middle eastern one? If yes, could you go into details?

You can’t understand the middle east if you think in terms of “states” or countries. You have to think in terms of blocs of ancient powers warring one another. You have the Sunni bloc, lead by Saudi Arabia and includes the GCC, Jordan, Yemen, Egypt and N. Africa and others.

Then you have the Shia block, lead by Iran and includes N. Iraq, Syria regime, parts of Lebanon.

I call these blocks “deep states,” not like Steve Bannon’s conspiracy theory but rather networks of power, elites and interest.

These Sunni and Shia Deep States have been at war, in some fashion, since the death of Mohammed. People who don’t see the middle east as two warring deep states will be left scratching their heads, which is what many ‘experts’ here do. Every day.

Is it good to have an knowledge of geopolitics related to the war you are participating in as a mercenary?

No. Optional.

The only knowledge you need to master is how to operate in a fire team.

Can you drop some light on the level of discipline in the mercs (rape, plunder,etc) during interactions with non-armed civilians? Have you personally witnessed any such incidents?

Good mercs do good things, bad mercs do bad things. Just like national armies around the world. However, I ran across mercenaries in the Congo that were pure evil. Really evil. It was sad.

BTW this is a serious concern you raise. I don’t have an answer because what mercenaries do is introduce market dynamics into warfare. Supply and demand dictate warfare as much as traditional military strategy.

Example. When I was in West Africa, some warlords used rape as a tactic and strategy of war. You could hire mercs to terrorize and cow local populations this way. You could also hire mercs to kill those mercs. But you are left with a world awash in mercenaries, and that’s what I fear is coming.

So, just curious if there are female mercenaries, or is this just a male arena?

I never found any female mercs, although it doesn’t mean they are not out there. I ran across female warlords in Africa, who would cut off you undercarriage in a heartbeat. With a rusty machete.

Mercenaries seem to have a fixed role in small arms conflicts (like what you said about Africa and the middle east) but with the international security landscape focused nation states and their nuclear weapons, what role do you believe mercenaries will play, if any, in nuclear security?

I think the international community is by definition Westphalian and can only see the world like a state. That’s why they continue to struggle against non-sate (what they humorously call ‘sub-state’) actors. Hence the mayhem.

Mercs are rising and can take over states, become a praetorian guard, can bully states etc. Let’s not forget that most of the states in the world are fragile or failing. And nothing is stopping the rise of mercenary organizations around the world. 100 years from now, mercenaries will be a bigger problem than nukes.

How has the battlefield changed in your lifetime? I imagine tactics are changing every day with each new advancement in equipment, but did you foresee the role of drones playing such a large role in current conflicts? It seems like we are heading towards wars where soldiers will never be in line of sight or am I thinking far too down the road?

Well, there’s war and warfare. War never changes; it’s bloody, violent, political etc. Warfare changes all the time, including tactics, leadership, environment, technology.

Drones are cheap and easily rigged into kamikazes. Expect mercenary “air forces” of suicide drone squad. There are also cyber mercs called “Hack Back Companies.”

That said, technology is over rated in war. The US has loads of tech and yet can’t conquer Taliban, Al Qaeda, ISIS etc. Meanwhile the humble IED remains a big tactical problem.

Mercenaries also change war. They open up strategy to the laws of the market place. Future Generals may need to know more about the laws of the marketplace and Wall Street, so they understand how to increase or decrease the flow of mercenaries into a battle space.

In your opinion, what is the best approach to handling North Korea?

DPRK is the headache that keeps on giving. If we strike them, they shell Seoul with artillery. China uses them as leverage to extract favor from the US.

Ultimately, no one wants to see DPRK fall. China and South Korea don’t want to absorb 20 million deranged refugees and no one wants to tempt the DPRK leadership’s will to launch everything they have.

Ultimately the best course of action is the status quo, which is why nothing has changed in 70 years.

What is a moment you can still vividly recall today?

Digging up children’s teeth in the genocide killing fields outside Bujumbura, Burundi.

What sorts of non-millitary exclusive skills helped you the most or did the best to keep you alive?

Cultural skills. When you are operating in Africa or elsewhere, you need to be cultural attuned. First, I’m a white guy with straight teeth, which means I’m American. That can be very disadvantageous in some parts of the world. You have to have a good intuition about people.

How does the merc profession mesh with family life? I imagine it takes one away from family a lot.

Merc life is pretty incompatible with married life. You’re always “deployed.” You can maybe do something like 6 months on a defensive lucrative mission, like defending oil pipelines in the desert. Then 6 months at home.

What is the biggest misconception people label you guys with? 

People think mercenaries are villains, etc. This is BS.

People often throw machiavelli in my face, who said mercenaries are “faithless whores” or something like that. He was the guy who wrote The Prince (which I love, as well as his Art of War and Discourses on Livy). But he was a total failure. During the the early 1500s, he was in charge of Florence’s defenses and got seriously burned by his lame mercs. So he Mr Sour Grapes. Most mercs – then and now – are not like that.

The prejudice against mercenaries is extremely unjustified. Would you rather be taken a prisoner by Blackwater of the Zimbabwe army ? I recommend the former.

Her Visions of Future Disasters Keep Coming True After NDE

  • 10:14 – Told of Future Events
  • 12:24 – Dreams of Future Disasters
  • 15:36 – Most Shocking Dream of the Future
  • 17:52 – Names of People About to Die

Bossa Nova chillin’

I worked at a dollar store when I was a teen. This boy used to come in every other day for different things .. I think his mom was disabled because he picked up a lot of groceries. I also think they were poor because he would pay often with bags of pennies and his clothes looked worn. Anyway… this one day T came in and was looking around when I heard a very angry “Put it back!” .. I leaned over to see who it was .. and saw this woman yelling at T about a soft drink he was carrying. He looked really scared as she laid into him about shop lifting … We all knew T at the store .. he had been coming here for over a year .. and not once was he suspected of wrong doing. So I walked over to see what was happening .. The woman quite high on her soap box explained to me how this little thief was caught red handed. I looked at her smiled and said “I think it would be hard to steal from himself … since I just sold him that Dr Pepper” … “But if you still feel that this corporate giant is being filched of $1.08 .. then I will be happy to chuck this in … under your name of course” … “I’m sure when they have time you will be receiving a very grand “Thank You” … from headquarters” … “Now, shall we go to the register?” … She looked stunned .. mumbled something and left her cart. Never saw her again .. but T kept coming till he graduated .. I think we kept the better customer.

The Hyena Men of Nigeria

As infants, we wore no diapers. We run around starkers galore — peeing wherever we liked. So that means we grow up to about 7 or 8 still wetting the bed at night.

We are just supposed to magically stop wetting the bed at a certain age. For the few of us that passes beyond that age and still wetting the bed, the Hyena Men comes in.

main qimg cad550eafdde54b806de551aed767901 lq
main qimg cad550eafdde54b806de551aed767901 lq


​They walk around with a muzzled hyena on a leash, carrying a megaphone, going through nooks and crannies, calling out to households if they have kids still wetting their bed. They have a cure.

They get paid to take the child (wailing and crying) on a ride on the back of the hyena through the village square with everyone watching.

I have no idea how, but the therapy works — probably because of the trauma the kid is being put through.

The Car Payment Epidemic ….. The Saga Continues

The USA is crashing at all levels.

Vintage comix with hidden meanings

57d7adb56e89f2b0a0b7264824b4d60f
57d7adb56e89f2b0a0b7264824b4d60f

ce4a4822abe4074b9cc77747bac55172
ce4a4822abe4074b9cc77747bac55172

209b9d9c2e91a8f72ce02f10d1696df4
209b9d9c2e91a8f72ce02f10d1696df4

2787169146c9548639ebd5f8d308c3f5
2787169146c9548639ebd5f8d308c3f5

05b781d4dce0979e1d3965a5d983c5e1
05b781d4dce0979e1d3965a5d983c5e1

85ebeaa96e45b7e763c9e169eb4ca108
85ebeaa96e45b7e763c9e169eb4ca108

6f6c43e64a33cfa16f8f5e03c1751100
6f6c43e64a33cfa16f8f5e03c1751100

e86b561e0a79680af973daa2a403a5b4
e86b561e0a79680af973daa2a403a5b4

d54a1194721aa344a92f77253d6d7ad8
d54a1194721aa344a92f77253d6d7ad8

cefca883cf65ded0345da713da3c958a
cefca883cf65ded0345da713da3c958a

f1474b915fdcf0ddb3e9f2a1e9a425ba
f1474b915fdcf0ddb3e9f2a1e9a425ba

f7c49aee2b13bb36e04e98ebe08924fc
f7c49aee2b13bb36e04e98ebe08924fc

8d3052d45801b458cc31495e3ee94ebf
8d3052d45801b458cc31495e3ee94ebf

c020b294a7ffbaa74beac8d61db2dfb7
c020b294a7ffbaa74beac8d61db2dfb7

518efdf1b2774213f54d5b7a5aaa0c59
518efdf1b2774213f54d5b7a5aaa0c59

b4ed149a5640eb6ceaa6812429356534
b4ed149a5640eb6ceaa6812429356534

aa778dfeef28f4e3440ca0bfbf9f9577
aa778dfeef28f4e3440ca0bfbf9f9577

74ef952354963d0216436bfd153baa5b
74ef952354963d0216436bfd153baa5b

cc0f7bb8c9c05b254f82cd18aadaafa1
cc0f7bb8c9c05b254f82cd18aadaafa1

cf9042f45db9d0d4eaf559b3a6b0385e
cf9042f45db9d0d4eaf559b3a6b0385e

ffe48ab4b859ec76c823e01a2d5b7bf3
ffe48ab4b859ec76c823e01a2d5b7bf3

21a3b8a6aff2dcc829bb17cb6000ad75
21a3b8a6aff2dcc829bb17cb6000ad75

2571b52105c0a50de4cca489e55422ac
2571b52105c0a50de4cca489e55422ac

190015ad1f44faf2c6468167df83d1c8
190015ad1f44faf2c6468167df83d1c8

6adc154d6a5905e3a6493e1d9b2ffa48
6adc154d6a5905e3a6493e1d9b2ffa48

084b1df1f5eea1db77fa2e349578a297
084b1df1f5eea1db77fa2e349578a297

e8fda8d76ef097372c561b1a6131ce50
e8fda8d76ef097372c561b1a6131ce50

084b78815fd19ce3ba57a27b0dca5ba3
084b78815fd19ce3ba57a27b0dca5ba3

309c80938a655d1a6ea504c2b21bb8fb
309c80938a655d1a6ea504c2b21bb8fb

d9e100c90b82b26839f4e9bd46880c50
d9e100c90b82b26839f4e9bd46880c50

0c22072db19fbaef1d2ebbcdcb21a02c
0c22072db19fbaef1d2ebbcdcb21a02c

05dc7156056155180d6801995bd8a605
05dc7156056155180d6801995bd8a605

dc37a97bec70d6a6a677f45258a0e289
dc37a97bec70d6a6a677f45258a0e289

38914942c62e9b3714023cb4ef298062
38914942c62e9b3714023cb4ef298062

dd240b8da437b91a6d2929903575c60b
dd240b8da437b91a6d2929903575c60b

dd383128f0d04d7520b07986c2390c07
dd383128f0d04d7520b07986c2390c07

0d55b349f109b8588fe2b913c88ea243
0d55b349f109b8588fe2b913c88ea243

0e285ecdf17c22f7357f67ece8ec78a3
0e285ecdf17c22f7357f67ece8ec78a3

7c8cc83c464526f2ef08b1119ff9ef84
7c8cc83c464526f2ef08b1119ff9ef84

8c27f2b63ad8fb5cf9e46b854b025d23
8c27f2b63ad8fb5cf9e46b854b025d23

eff9d68032a185cf20e61abd25f089c1
eff9d68032a185cf20e61abd25f089c1

138234cbfb4a3770c803416b19ce5d35
138234cbfb4a3770c803416b19ce5d35

b821da46a0b180b9f64c87c6517f8f9d
b821da46a0b180b9f64c87c6517f8f9d

@@@@@ffd015fc94bd317976ddef0dbc892a64
@@@@@ffd015fc94bd317976ddef0dbc892a64

My daughter has been refused bathroom passes at school when there was an emergency period situation, multiple times. So she was forced to stay in the classroom and bleed through her clothes. This happened in middle school. 6th grade through 8th. She has seen a kid have diarrhea in their pants during class. The teachers will not let them use the bathroom if they don’t have any more hall passes than what they were given at the beginning of the semester. It’s usually 4 passes/semester.

The embarrassment and shame from these incidents will stay with her for life. At their young age, they aren’t able to just say “It’s an emergency, and I’m going”. We have taught them that they can’t say no to their teachers and principals. That is drilled into them, to follow orders and directions. So these poor kids sit and have accidents.

The teacher’s defense, when confronted, was that she should have used the bathroom during the break. How messed up is that?! She does use the bathroom during the break! She is late to class, but she does it and takes the consequences! She gets lunch detentions for having her period!

What happened with your daughter is common during the school career, unfortunately. But by all means, CONFRONT the teacher! Your child cannot stand up themselves yet. In fact, we have instructed them not to argue with teachers. If you don’t, no one will. But the embarrassment and shame that goes along with theses kinds of incidents will stay with them forever.

Since these early incidents, I have told my kids to just go if they need to go, even if the teacher said “No”, it is fine. If the teacher is mad, oh well. If they get detention or ISS, they won’t be in any trouble with me. I will congratulate them for standing up and meeting their own needs. This rule is dangerous and cruel.

1. People are biased to turn their heads to the right when a kiss is initiated.

2. Your sense of smell plays a huge role in love. The smell is tied very slowly to memory.

3. Falling in love triggers feelings of euphoria, sleeplessness and obsession, which is similar to cocaine high.

4. Talkative girls and silent boys make the best couples.

5. People who use emojis in their texts are perceived as more likable.

6. A woman is more attracted to a man when she is uncertain about how much he likes her.

7. People with different-colored eyes are more likely to be attracted to each other.

8. When you become really close to someone, you can hear their voice in your head when you read their texts.

9. A crush only lasts for a maximum of 4 months, if it exceeds, you’re already in love.

10. Just by looking at the picture of your beloved one, you can feel a sense of relief.

Pennsylvania Dutch Chicken Pot Pie

dutch chicken pot pie 1
dutch chicken pot pie 1

Ingredients

  • 1 (3 pound or more) chicken
  • 3 quarts water
  • 1 teaspoon salt
  • 1 cup all-purpose flour
  • 1 egg
  • 3 tablespoons broth
  • 2 large raw potatoes, diced
  • 1/4 cup grated onion

dutch chicken pot pie 2
dutch chicken pot pie 2

Instructions

  1. Boil chicken in water until tender and comes off the bone easily. Put chicken and broth in a large kettle (or Dutch Oven), add salt and more water, enough to make a full three quarts again.
  2. Mix egg and broth. Add flour and mix until stiff enough to roll out. Put on floured board and roll thin. Let set for 20 minutes to dry.
  3. Cut into 1 1/2 inch squares. Add to broth and chicken which is boiling hot. Cook for 10 minutes.
  4. Add potatoes and the onion. Cook over slow heat until all are tender.
  5. Serve hot with biscuits and a tossed salad.

Idi Amin, often called the “Butcher of Uganda,” ruled the East African nation from 1971 to 1979 with a reign of terror that left an indelible mark on the country’s history. Born around 1925 in Koboko, Amin’s rise from a cook in the British colonial army to a feared dictator is a story of ambition, brutality, and unrestrained power. His military coup in 1971 ousted President Milton Obote and was initially welcomed by many Ugandans, but the euphoria quickly turned to horror.

main qimg a2017af4271ac10efa766732a3ec7dee
main qimg a2017af4271ac10efa766732a3ec7dee

Amin’s regime was notorious for its brutality, with an estimated 300,000 Ugandans killed during his rule. Political opponents, ethnic minorities, intellectuals, and ordinary citizens all fell victim to his purges. The notorious State Research Bureau, Amin’s secret police, carried out widespread torture and executions. Public executions and mass graves became terrifyingly common, instilling fear and suspicion across the nation.

One of Amin’s most infamous acts was the 1972 expulsion of approximately 60,000 Asians from Uganda, primarily those of Indian descent. Given only 90 days to leave the country, their properties were confiscated, leading to economic collapse as the country lost its key business community. Amin’s unpredictable and erratic behavior further isolated Uganda internationally, with bizarre actions such as declaring himself “President for Life” and challenging superpowers like the United States.

main qimg b470f6f43fafb3f71b91ac9e58e2ce00
main qimg b470f6f43fafb3f71b91ac9e58e2ce00

Amin’s downfall came in 1978 when he ordered an ill-fated invasion of Tanzania. The Tanzanian army, alongside Ugandan exiles, launched a counterattack, capturing Kampala in April 1979 and forcing Amin into exile. He fled to Libya and eventually settled in Saudi Arabia, where he lived until his death in 2003. Amin’s legacy is a chilling reminder of the destructive potential of unchecked power and the devastating impact of tyranny on a nation’s soul.

Russia Launches Counter-Satellite Weapon into Space

Russia Launches Counter-Satellite Weapon into Space

On May 17 (still 16th in USA) Russia launched what the US Defense Department says is another Counter-Satellite space-based weapon into low-earth orbit.

Russia Launches Counter Satellite Weapon May 16 large
Russia Launches Counter Satellite Weapon May 16 large

The new Russia “satellite” is presently tracking near several U.S. Military Satellites.

This follows additional launches by the Russian Armed Forces in 2019 and 2022, which were also believed to have Deployed similar Weapons that could be utilized against U.S. or NATO Intelligence Satellites if a War was to Breakout.

A dead giveaway that the launch was actually a weapons systems was the giant “Z” emblazoned on the top of the rocket, clearly visible in the video below.   That letter/symbol is presently in use by Russian Army forces fighting in Ukraine.

Amb. Robert Wood, U.S. alternate representative for special political affairs in the United Nations, made the allegation during a May 20 debate in the U.N. Security Council on a Russian resolution proposing a ban on the placement of weapons of any kind in space.

“Just last week, on May 16, Russia launched a satellite into low Earth orbit that the United States assesses is likely a counterspace weapon, presumably capable of attacking other satellites in low Earth orbit,” he said. “Russia deployed this new counterspace weapon into the same orbit as a U.S. government satellite.”

Pictures

d317dd4099dfcf3063520975b958f04d
d317dd4099dfcf3063520975b958f04d

1bda55e585cbd183ef11fb17efed4aa9
1bda55e585cbd183ef11fb17efed4aa9

2ccbc3d860915012d574b49b2e1d43ba
2ccbc3d860915012d574b49b2e1d43ba

(c) Gallery Oldham; Supplied by The Public Catalogue Foundation
(c) Gallery Oldham; Supplied by The Public Catalogue Foundation

00f74a2c0d8def442e5dc674873c7b6d
00f74a2c0d8def442e5dc674873c7b6d

c070c8d1c5566831afcdfa4408fc6cba
c070c8d1c5566831afcdfa4408fc6cba

60a49a77a686b8a635535cf662b45f22
60a49a77a686b8a635535cf662b45f22

a0577d88722a0f46db92aaf661ea7b6c
a0577d88722a0f46db92aaf661ea7b6c

8eaf9bda82f716df0aaa649e72e80593
8eaf9bda82f716df0aaa649e72e80593

47c989fe189b986ca7b8a155ccbcb684
47c989fe189b986ca7b8a155ccbcb684

8c5de3c63bcb1ab417d1c144d1d3c5b0
8c5de3c63bcb1ab417d1c144d1d3c5b0

ecf916b4de5b676b991de9d6f7add3ea
ecf916b4de5b676b991de9d6f7add3ea

911321c0bedddaf859ad467e813c1de1
911321c0bedddaf859ad467e813c1de1

da63e19a3a02ad16045097d7e3e4078b
da63e19a3a02ad16045097d7e3e4078b

aa26a4e92bb59e6e7e555f31546efe3b
aa26a4e92bb59e6e7e555f31546efe3b

dc6c1ecb934b640acd227ab471520db1
dc6c1ecb934b640acd227ab471520db1

1681207d21e6b037dd2126380a1a90fd
1681207d21e6b037dd2126380a1a90fd

da09baae9f086a58b4eeac6ae5f660b1
da09baae9f086a58b4eeac6ae5f660b1

969fa2bb443f1d2cb523e9c49d13f822
969fa2bb443f1d2cb523e9c49d13f822

79b969f6dfba041047402d6fcbd0ff15
79b969f6dfba041047402d6fcbd0ff15

30f50f2bfcf3fc41aad906b8f5c6bc1a
30f50f2bfcf3fc41aad906b8f5c6bc1a

cdcda0c3346afe9d44b9305bc3a508f2
cdcda0c3346afe9d44b9305bc3a508f2

a958ddc6291745338fe106429bad0b87
a958ddc6291745338fe106429bad0b87

718923ff414dfc671775e360362b1189
718923ff414dfc671775e360362b1189

fbda70b5dbdb76caff8f281df10a9560
fbda70b5dbdb76caff8f281df10a9560

2a708673c98b502432868113c7cc7cd8
2a708673c98b502432868113c7cc7cd8

7ee8dccb507a9f76ac29651cb171c6a8
7ee8dccb507a9f76ac29651cb171c6a8

cb7ef98d8327a3a76bbfdfea24f3b30d
cb7ef98d8327a3a76bbfdfea24f3b30d

18171e08496ebe076e8215a08bd6e9ac
18171e08496ebe076e8215a08bd6e9ac

ea9421db527492f1fe4aa16887def5e6
ea9421db527492f1fe4aa16887def5e6

a136907ccbed9b54311803c11e753256
a136907ccbed9b54311803c11e753256

f20bd8da68f8890c5ccf9d39baa0cab4
f20bd8da68f8890c5ccf9d39baa0cab4

9b75b19c340fc8552a2a199da730ca69
9b75b19c340fc8552a2a199da730ca69

79ab9d5256b424305e602abaffdd55d2
79ab9d5256b424305e602abaffdd55d2

6bcf21422039ac797f6332ee9811cf4c
6bcf21422039ac797f6332ee9811cf4c

40c84fcefd71a4f65179fb4d0ffe23b4
40c84fcefd71a4f65179fb4d0ffe23b4

a37a4fa53bc9a5be9649d35c389a42e0
a37a4fa53bc9a5be9649d35c389a42e0

9391230bc33a04ca82c83044133c157f
9391230bc33a04ca82c83044133c157f

aaab37703dfaef5766cc66a0510fde20
aaab37703dfaef5766cc66a0510fde20

b69b9eab03ca918e050cac8d8f07051e
b69b9eab03ca918e050cac8d8f07051e

9fefecaea2b4683dffc35650cd78631d
9fefecaea2b4683dffc35650cd78631d

e145a1a7df6d6428f008c2c88f0ac6dd
e145a1a7df6d6428f008c2c88f0ac6dd

ce2778bf5f4c6491ac4322a4cfac359a
ce2778bf5f4c6491ac4322a4cfac359a

6a030f832b86bb140616497642993c0d
6a030f832b86bb140616497642993c0d

Late 1980’s and I’m walking down a street in the high 40’s in midtown Manhattan. Every block in the area had an electronics/TV store. I was walking past one and it had Apocalypse Now playing on a TV in the window. I stopped and watched Martin Sheen on a riverboat with a young Laurence Fishburne dancing to “Satisfaction”. I was standing there and suddenly, this guy walks up to me and it starts raining. He had a long, leather overcoat and a wide brimmed, black leather hat on. We both stood there for a few minutes, just digging the movie. I turned to him and said, “Man, I love this movie.” he said, “Yeah, me too, but I like ‘The Deer Hunter’ more.”

I glanced sideways at him and said, “Yeah, I think I do, too.”

It was Bobby DeNiro. He smiled, patted me on the shoulder and walked away.

20 years later, I was at a holiday party that my company threw. It was at Nobu Downtown. The owner stopped by to say hi and my boss introduced me to him. I said, “We met. I told him how much I loved Apocalypse Now and he told me he liked The Deer Hunter better.” Mr. De Niro’s eyes lit up. He hugged me and said, “I REMEMBER THAT! WOW!” My boss had the most shocked look on his face.

Priceless. The dude is awesome. Such a mensch.

This is the opinion of ordinary Chinese people:

  1. President Biden will fail in the election,
  2. Trump would say, “This is the end of not voting for me.”,
  3. The United States speaks harshly but does nothing,
  4. The United States blames the Chinese government for Ukraine’s failure,
  5. Some territories of Ukraine have become NATO buffer zones,
  6. Ukraine still exists as a geographical concept and perishes as a political entity,
  7. Zelensky was tried or exiled,
  8. Russia and Europe secretly discuss restarting the Nord Stream pipeline,
  9. There is no unified opinion within the EU on how to handle post-war relations with Russia,
  10. The three small countries of the Baltic Sea trembled with fear,
  11. Poland quietly observed the subsequent actions of the United States,
  12. Schultz and Macron silently said, “Thank God, it’s finally over.”,
  13. The female Chairperson of the European Commission asked ChatGPT what she should do,
  14. China exports a large amount of infrastructure to repair Ukraine,
  15. The United States is using this as an excuse to increase its sanctions against China,
  16. NATO’s prestige in third world countries has seriously declined,
  17. Taking the opportunity within Europe to discuss genuine European interests,
  18. Switzerland and Sweden have regained neutrality,
  19. There are significant contradictions within the United States regarding future US Russia relations,
  20. China and Russia join forces in more international affairs,
  21. The Internet comment funds paid by the US government to some people have been cut.

Girl LOSES Job Because Of TikTok

Neck deep in shit

In January of 2019 I woke up on a Saturday with a pain under my ribs/ kind of like heartburn. I have awful anxiety and thought it was a panic attack so I took half a Valium and went to my daughters basketball game. After the game the pain hadn’t gone away, so I took the other half of Valium and decided I needed to sleep off the panic attack.

By Monday night the pain still hadn’t gone away so I went to an urgent care after work. They told me it could be a gallbladder attack but didn’t think so because I was fine – I mean besides the pain I was fine. I wasn’t in horrific pain, probably a 4 on a scale of 10. But they said since I’ve had this pain for 3 days I should have an ultrasound of my gallbladder to make sure everything was ok in there. They didn’t seem too concerned at all. So I got in for the ultrasound on Wednesday, I drove myself, the pain still there but again, not awful, just annoying at this point. It was constant but got worse after I ate anything.

Thursday the urgent care called to tell me everything on my ultrasound was normal except for a few gallstones but there weren’t any blockages. I told them I still had pain and they said I should probably go to the ER to be seen. I was at work and didn’t want to leave early so I told my coworkers and boss what the doctor had said and was trying to decide if I was going to go to the ER when I got off work. They all decided I had to go NOW. I was so upset. I was just going to sit in the ER for 4 hours and pay a $600 copay with insurance to be told I had a few gallstones and to go home.

well, I went. And the doctors looked at me, had another ultrasound done, and I hear the ultrasound tech tell the doctor “I don’t know what they’re talking about, she doesn’t have any stones.” The doctor looked at the screen and started pointing everywhere, “stone, stone, huge stone, all of that that looks like sand… stones.”

They told me I needed to stay overnight and have surgery the next morning and I was so upset because my 5 kids were at home with my husband. The next morning came and I had no pain. The doctor said since he had seen all the stones and already had me scheduled for surgery that morning I should just get it done before it caused problems again, and that it’s an in and out procedure, takes about 45 minutes and I’d go home right after with pain only for a few days while I healed. Ok, sure. No big deal.

I wake up 4 hours later with 3 small incisions, a drain tube coming out of my abdomen and my husband sitting in a hospital room saying “you just HAD to be difficult, didn’t you?”

Well, overnight in the hospital the night before my surgery, my gallbladder had ruptured. The surgeon said he had never seen a gallbladder as bad as mine. It was like I was an obese 60 year old man, eating grease for every meal and my gallbladder had been rotting inside me for YEARS, filled with HUNDREDS of stones. They said they usually check for the 3 Fs when it comes to gallbladder issues – Fat: Overweight, which I really wasn’t, but I could stand to lose a few pounds. Fertile: which I was, I had had 5 babies back to back. And Forty: over 40 years old – I had just turned 25… lol So I only met 1 of the usual criteria for gallbladder issues.

I ended up having to be in the hospital for 9 days, with a bile leak from leaving the branch to where my gallbladder was without a clip. The surgeon couldn’t get to it with all the inflammation from the rupture.

main qimg 9743dd34968d6aae982ceb5dafedefe0 lq
main qimg 9743dd34968d6aae982ceb5dafedefe0 lq

I ended up having 4 ERCPs, which is where they do an endoscopy to place a stent in the bile duct to stop the bile leak, which can – and did – cause pancreatitis after 2 of the procedures.

After 7 months of having the stent, they said it would never heal itself as they thought it would and I would have to have an open surgery leaving an 8–10 inch scar on my abdomen to stitch off the bile duct and prevent any more leaks. So I had that final surgery in August of 2019.

Long story short, I went through 8 months of pain and procedures and surgeries because I was stubborn and didn’t want to pay to go to the hospital for something I was sure was nothing.

If I had gone a few days before I would have had an easy in and out procedure, but I didn’t want to go and ended up having lots of other issues because of it.

So if you’re in pain for no reason for longer than 24 hours, just go get checked out. It might be nothing but it could also save you from going through a long recovery from something that should have been easy.

Beef Burgundy

beef burgundy carrots
beef burgundy carrots

Yield: 6 servings

Ingredients

  • 1 can condensed golden mushroom soup
  • 3/4 cup burgundy
  • 1/4 cup quick-cooking tapioca
  • 1 teaspoon dried thyme, crushed
  • 1/4 teaspoon pepper
  • 3 medium carrots, cut into 1 inch pieces
  • 1 large onion, cut into thin wedges
  • 1 1/2 pounds lean beef stew meat, cut into 3/4-inch cubes
  • 8 ounces fresh mushrooms
  • Hot cooked noodles

Instructions

  1. Stir together the soup, burgundy, tapioca thyme and pepper in a slow cooker.
  2. Add the carrots and onion.
  3. Top with the stew meat and mushrooms.
  4. Cover and cook on LOW for 8 to 10 hours or on HIGH for 4 to 5 hours.
  5. Serve over hot cooked noodles.

[US State Department spokesperson said on May 16: “The People’s Republic of China cannot have its cake and eat it too. … You can’t want to have … relationships with Europe and other countries while simultaneously continuing to fuel the biggest threat to European security in a long time.” What’s your comment on that?]

“Those words reflect a Manichean mindset of the United States, which drives a constant search for an enemy rather than peace.

This is a reflection of the Cold War mentality that still dominates US thinking, which bears unshirkable responsibility for the eruption and escalation of the Ukraine crisis.

China is not the creator of or a party to the Ukraine Crisis.

We have been on the side of peace and dialogue and committed to promoting peace talks.

We actively support putting in place a balanced, effective and sustainable European security architecture.

Our fair and objective position and constructive role have been widely recognized.

‘Let the person who tied the bell on the tiger untie it,’ to quote a Chinese saying.

Our message to the US: stop shifting the blame on China; do not try to drive a wedge between China and Europe; and it is time to stop fueling the flame and start making real contribution to finding a political solution to the Ukraine crisis.”

Answer given by Chinese Foreign Ministry Spokesperson Wang Wenbin to a question from China Daily at the Regular Press Conference, Beijing, May 17, 2024.

  1. Not smoking a cigarette. Ever. Not even once.
  2. Learning how to make a couple of basic meals. Nothing fancy, just a simple spaghetti Bolognese or an omelette.
  3. Learning simple household chores. You may never iron much in your life (I don’t), but you should at least know how to iron a dress or a shirt for a wedding or funeral. I used to iron all my dad’s work shirts from age 12.
  4. Sewing a button back on. I don’t care if you’re male or female; at some point, you’ll lose a button, and your mommy won’t be there to sew it back on for you. It’s so easy that even a five year-old can do it.
  5. Walking. If you can walk there comfortably, then do it. Your legs are the best friends you’ll ever have, so keep them lubricated.
  6. Being the butt of a joke. It’s never good to take yourself too seriously, whatever your age.
  7. Displaying good manners. You’re never too young to get in the habit of saying ‘please’ and ‘thank you’ and pushing your chair back under the dining table when you leave it.
  8. Using decent vocabulary. Don’t be a show-off about it, but words will be your calling card. So, scan a dictionary for some new gems every now and then.
  9. Doing things properly even though it’s tempting to take short cuts. If you start this habit from a young age, it’ll become natural for you, and you’ll be more proud of yourself after every task you accomplish properly.
  10. Being kind. Start as you mean to go.

KONICA MINOLTA DIGITAL CAMERA
KONICA MINOLTA DIGITAL CAMERA

60e1a8573cfac5720c334e1907f0cccc
60e1a8573cfac5720c334e1907f0cccc

a85523533cd340753850a69d36b2a328
a85523533cd340753850a69d36b2a328

a9aea672ce09e5e16416cf441b2ccf7a
a9aea672ce09e5e16416cf441b2ccf7a

c070c8d1c5566831afcdfa4408fc6cba
c070c8d1c5566831afcdfa4408fc6cba

8c5de3c63bcb1ab417d1c144d1d3c5b0
8c5de3c63bcb1ab417d1c144d1d3c5b0

55f690e07a5852c184fc05e4132f0101
55f690e07a5852c184fc05e4132f0101

109b1b56788ea4f8d9b79f13986972b7
109b1b56788ea4f8d9b79f13986972b7

28419069d3eb96b614e0a9a25b6ffe0a
28419069d3eb96b614e0a9a25b6ffe0a

6725aa0981b31644f4a67bd7d806bcf1
6725aa0981b31644f4a67bd7d806bcf1

cb9903c2d45f39bc63806f1bb6902c2d
cb9903c2d45f39bc63806f1bb6902c2d

ec3c07cc62805a086ed734bb739857aa
ec3c07cc62805a086ed734bb739857aa

602c2bae01cb965765e314c53a98c756
602c2bae01cb965765e314c53a98c756

443218ea6c2d9fb8d5bf79846b6f6935
443218ea6c2d9fb8d5bf79846b6f6935

3f873a8ad43e1c38adfbdd50abef79e1
3f873a8ad43e1c38adfbdd50abef79e1

248c83fc25d99d282b0256a6bf616fc6
248c83fc25d99d282b0256a6bf616fc6

ba591f45c6a5dd4356bec17de2b9f6e9
ba591f45c6a5dd4356bec17de2b9f6e9

59f607057eeb28beaa9a2826621d8290
59f607057eeb28beaa9a2826621d8290

f8ca8033b493d90b4acd4a60334415b7
f8ca8033b493d90b4acd4a60334415b7

64d67724bfd967410a5099efa92e4512
64d67724bfd967410a5099efa92e4512

b7e9789d2feba8d9ba3f7d8040d1118a
b7e9789d2feba8d9ba3f7d8040d1118a

799d68f22007737e61248591e11ae86d
799d68f22007737e61248591e11ae86d

e84340df869f3d5047f12bc38fa0ed1f
e84340df869f3d5047f12bc38fa0ed1f

c32b765f098dde17581c45ea339131cc
c32b765f098dde17581c45ea339131cc

9b8a3db7767e29f0b421d6bae8fa9e70
9b8a3db7767e29f0b421d6bae8fa9e70

d35cda76f75d90e9e3720046fcaca9ae
d35cda76f75d90e9e3720046fcaca9ae

7ade326d6c50eb2c988bd06e5ffae613
7ade326d6c50eb2c988bd06e5ffae613

5013c6ed21dd964e7356ab648c45a008
5013c6ed21dd964e7356ab648c45a008

18a327d413fe05d800264ceb62104d23
18a327d413fe05d800264ceb62104d23

d9e1cd501f6665d4f3063812b4c310bd
d9e1cd501f6665d4f3063812b4c310bd

7688a832f504bfad1f792c20636a2a09
7688a832f504bfad1f792c20636a2a09

5f5255ec347cf88c6e4bf6e5b5b13f55
5f5255ec347cf88c6e4bf6e5b5b13f55

2bfebf6d34d8f67ae370a5e39876edb7
2bfebf6d34d8f67ae370a5e39876edb7

3b7bc8c14b949b0ccec10868bf83ed03
3b7bc8c14b949b0ccec10868bf83ed03

ce86074ebda8406b9b0b1763736e333e
ce86074ebda8406b9b0b1763736e333e

@@@2599f238d2b85cbef4e3d29c703b708e
@@@2599f238d2b85cbef4e3d29c703b708e

I was out of town and had stopped to go to the bathroom. As I relieved myself, a blood clot the size of a cigarette butt came out in my stream. I had been taking some diet pills and assumed that I was dehydrated and just needed to drink more fluids. The next evening it happened again.

I went to the ER where they performed a CT scan. The doctor came in and said it could just be an infection but that I needed to see my GP the first thing Monday morning. He gave me a copy of the scan for him to see.

Monday morning came and I went to see my GP and close friend. He took the CD of the scan, looked at it, and came back obviously stunned.

“You have a tumor the size of a tennis ball in your bladder and I’m 98% sure it’s cancer.”

Shit!

By Wednesday I was in surgery. A week later I was told I had Stage 4 cancer that was in my bladder, prostate, and urethra. I needed to start chemo within two weeks. I would also lose all of these body parts by the end of the year and pee into a bag for the rest of my life. Oh, and I needed to get my affairs in order. It was bad.

I never had any pain or other symptoms until that clot. Cancer is a sneaky son-of-a-bitch.

The good news is that I beat the odds and I am cancer free after 5 years. Prayer works.

Edit: some have wondered why the first doctor didn’t tell me about the tumor that my GP saw two days later. Well, here is the rest of the story.

When we we walking out the door of the ER, we passed the attending nurse who said, “We are praying for you.” At the time I thought it was a strange remark to say to someone with an infection, especially one that could wait a few days to be treated.

It was only later that I realized that the ER doctor probably didn’t want to scare the crap out of me since I wouldn’t be seeing my GP until Monday morning. He did insist in very strong terms that I promise to see him immediately that morning which I did.

So, there wouldn’t have been anything accomplished by telling me then that I had a large tumor in my bladder or that he suspected I had cancer. He was simply being humane to a person he knew was probably in serious trouble and I appreciated his caring later on.

When I was around 22 I was dating a guy quite seriously.

I found out through a common friend he was seeing someone else.

I was so angry I couldn’t breathe.

I made a few calls and managed to get the phone number of the other girl. I called her and told her he was playing both of us. I went on to say that for me, it meant that it was over. I hung up the phone.

I wish I could tell you I did this because “I believe women should unite against men who play them”. Or that “it’s never the fault of the other woman, but rather the guy, and we need to stick together”.

But the truth is I was acting out of spite. My only goal was to hurt him.

I felt awful about this for years. I had recurring nightmares that I ran into him in various public places. In my dreams he would always vanish right before I could get to him to apologize.

Many years later I searched for his name on Facebook. I direct messaged him saying I did not want to disrupt his life but that if he gave me permission, his phone number and a time to call, I wanted to talk to him. He replied immediately.

We had a long talk the next day. I told him straight up I was so very sorry for what I had done. He said that he thought a lot about me over the years, that I had been very important to him, and that he too was incredibly sorry for the way he had acted.

It was a beautiful call and when it ended I felt I had put down an enormous burden.

I wish the story ended here, but it didn’t.

A year after our call he died in a car accident, leaving behind his wife and two young children.

I know you’ve heard this a million times but I’m going to say it again: If you carry around something that you need to address, do it. If you have something to say to someone, say it.

We have less time than we think.

 

main qimg 82bd2c76e11c15796775cde8c5f57a2f
main qimg 82bd2c76e11c15796775cde8c5f57a2f

In late April of this year (2024)  a 21 year old gamer, who is known colloquially as Fat Cat or Pang Mao (literally chubby cat) from Hunan, China tragically took his own life by jumping off a bridge into the Yangtze River.

He did this because his then girlfriend broke up with him after she took all of his money totaling 510,000 RMB or 71,000 USD over the course of two years.

I saw very little coverage of this story in western media, so I though this would fit here, now let’s talk about a tragic story that had over a billion people mourn this one man.

I am one of those men. -MM

main qimg 0a1195456fdd575f8148d2c910dac231
main qimg 0a1195456fdd575f8148d2c910dac231

This story begins with Fat Cat (19) meeting Tan Zhu (25) through online gaming two years ago and the two started a long distance relationship.

During their relationship as a way to generate cash and to satisfy his girlfriend with said cash Fat Cat became a professional gamer.

He did this via boosting, where he would get hired by somebody to help them get a higher level on multiplayer games.

He created over 30 game accounts and made about 20 yuan (2.8 USD) per game. To generate cash his social life basically died.

Not only that but his diet suffered as well as he kept his expenses low and would only do take out for 10 yuan or 1.4 USD. This was shown by his online avatar which is a cat looking at vegetables wishing it could eat McDonalds.

main qimg 056663d48ec5a7249baa4d5fe04aff47
main qimg 056663d48ec5a7249baa4d5fe04aff47

Fat Cat provided 510,000 RMB or 71,000 USD to his girlfriend over the course of two years and in a leaked screenshot it showed Tan Zhu requesting money for various things and promising to marry him at the end of 2024.

This didn’t happen and in late April only after asking him to transfer 66,000 RMB (9,135 USD) did she break up with him and allegedly drove him to the bridge where he committed suicide.

It should be noted that according to Tan Zhu she did pay him back 130,000 RMB or 18,000 USD and that they had reached an agreement before his death.

main qimg 036c4e1032ca46277d9c49c79124279e
main qimg 036c4e1032ca46277d9c49c79124279e

胖猫梦奇跳江事件完整版+惊人后续

This is a video made by a Chinese net-citizen about this tragedy.

China’s Response

After the whole story was revealed China mourned his death. China honored Fat Cat, a man who rarely got to eat takeout or drink, by ordering takeout like McDonalds and leaving the food at the spot where he jumped.

There were thousands of orders and people left messages hoping that in his next life Fat Cat would love himself more and that he may rest in peace.

This story has sparked a lot of conversation in China about relationships.

Many blame Tan Zhu for taking advantage of Fat Cat and then dumping him.

Some say that Fat Cat shouldn’t have tried to buy love and a relationship.

Overall this is a tragic situation that reveals how many young Chinese men will go to extreme lengths to ensure a relationship and marriage which many young Chinese women take advantage off.

As to Fat Cat himself, he was cremated by his family and Tan Zhu reached an agreement with his family to return all the money.

Regardless of how you may feel about the larger social issue in China, this is an appalling story that for the most part united China in mourning this young man.

Rest in Peace Fat Cat.

The kid in the picture is a boy named Adam Kyler. During 1998 and 1999 he was bullied savagely by another student at his high school for stuttering, being shy and his looks… Kyler was told by his tormenter that if he told anyone, he would “kill him”.

main qimg d039ee10c9389637e4re6ef35a20ffecbb
main qimg d039ee10c9389637e4re6ef35a20ffecbb

The guy bullying Kyle? Sixteen-year-old Dylan Klebold. One of the shooters at the April 1999 massacre at Columbine High School. He survived the shooting. Thirteen other people weren’t so lucky. The common narrative I hear always is “the shooters were bullied relentlessly, that is why they snapped”. And it is true — they were, indeed, bullied by some of the popular athletes. But they, too, were bullies, preying on the weak.

The Columbine shooters weren’t innocent victims driven to madness by bullying — they were actively bullying others, threatening others, making the lives of those they saw beneath them a living hell. They were angry at the world for not placing them at top of the social hierarchy. But they, themselves, were every bit as cruel to those “below” them.

Why Men Are Giving Up On Dating And How Women LOST IT With The Reality Of Average men

This is really bunt, but very good.

  1. People like you more after they’ve done you a favor, not the other way around.
  2. A lot of people unknowingly sabotage themselves to defend what they believe in.
  3. The majority of people can easily be manipulated if you show social proof.
  4. Most people prefer the illusion of safety to the reality of freedom.
  5. People will believe your lies if you repeat them often enough and with enough confidence.
  6. The people who advertise their virtues the most are in fact the least virtuous people.
  7. As powerful as they are, words mean little; a narcissist can say “I love you” too.
  8. The bigger a group or system becomes, the more stupid it starts acting.
  9. Being “altruistic” with other people’s money is not altruism; it’s opportunism.
  10. People and nations who struggle the most tend to be the most religious.
  11. Injustices are psychologically negative even for those who are the most well off.
  12. You can be born in one country yet psychologically belong to another one.

Beef Tips with Gravy

Beef Tips and Gravy
Beef Tips and Gravy

Ingredients

  • 1 to 3 pounds beef tips or lean stew meat
  • 1 envelope Lipton onion soup
  • 1 can golden mushroom soup
  • 1/2 cup red wine
  • 1 can mushrooms, drained

Instructions

  1. Mix everything in a slow cooker.
  2. Cook on LOW for 7 to 9 hours.

I worked at my local Coca Cola bottling plant as a routeman/ delivery truck driver. When I first started there I was amazed at this place that seemed to spare no expense when it came to a lot of things such as advertising, introducing new products, etc.

Now let me tell you, I’ve had some physically demanding jobs, but this was one of,if not the most demanding of all. We started at 630am and often didn’t finish until 6-7pm. Also, the drivers were literally the whole reason their products even made it into stores which allowed them to make their money.

My first Christmas season there I was really excited, as I knew how profitable of a company they were and was expecting at least a semi-respectable bonus for the hard work that I’d put in that year. When “bonus” day rolled around, I just could not believe what I was seeing when they gathered us to collect our “bonuses”. A lot of us had families and could’ve really used any amount of extra money to help get us through the holidays, but what did we receive? A SIX PACK OF CANNED COCA COLAS (the cheapest item that we produced) AND A VOUCHER FOR A HOLIDAY HAM FROM THE GROCERY STORE!!! Were they serious? I’ve never felt less appreciated for working so hard.

Yes. I was feeling a little under the weather for a little over a week. My ear was giving me trouble, stopped up feeling, dizziness, etc. – I thought I had a regular ear infection. So, I went to see a doctor in my local Walgreens or CVS (I can’t remember which one). They looked and told me I had an ear infection and gave me antibiotics. After a few days, I started having some pain in my ear and the stopped-up feeling wasn’t getting better, but I just told myself, “Things will get worse before they get better. The antibiotics haven’t had enough time to work”. I finished the antibiotics and things were worse, but what drove me back to the clinic was the pain. So, I go back and they tell me that they can see there is some pressure on my eardrum and some redness, and they prescribe a new, stronger antibiotic. Ok, I didn’t think any more of it. I finished the medication, but things did not get any better, so I went back a third time. At this visit, I was told that I would need to go see an ENT doctor because they couldn’t do any more for me.

I was able to schedule an appointment with an ENT pretty quickly and went in thinking it would be pretty routine. The doctor asked some questions and looked in my ears. He surprised me by telling me there was no ear infection. “So what’s causing these symptoms?” I asked. He then sent me for an MRI, which would provide more information. I went for the MRI a few days later and returned to see the doctor a few days after that. I should have known something was wrong when the doctor came into the exam room – his face told me that this was something more serious. He put up the MRI images, told me I had a brain tumor, and then showed me the MRI. For some odd reason, I honestly thought he was kidding, I thought it was a sick joke and actually told him so. “This is not funny”. He didn’t seem surprised by my response. I found out that patients in this situation are in disbelief and my response was more of the more common reactions. An acoustic neuroma. He said that it’s rare but benign and can cause all of the symptoms I was having. My mind was spinning, I couldn’t comprehend it. Even though the tumor was small, it was causing so many problems – some hearing loss, tinnitus, nausea, vomiting, severe vertigo, loss of balance, and headaches.

I had brain surgery the following month. It took about 5 hours, but the doctors were able to successfully remove the entire tumor. I will admit, I had a little bit of a setback, but after many weeks of physical therapy, I’m doing pretty ok. The hearing in my right ear has decreased since surgery, requiring the use of a hearing aid, and the tinnitus will never go away, but things are ok.

When I was around 15 years old I started working at a community pool where my sister worked the front desk. One day while I was wiping the counters and high touch areas down, she asked why I was “standing crooked”. Me, being a moody 15 year old grunted “I’m not” and continued to work. A little while after I went to my family dr who referred me for a spinal xray. Turned out I had scoliosis. This explained my constant soreness, pain, and mental health issues the pain was causing. I ended up having surgery at the VCH (1.5 hours from my

home) at age 17. The surgery took around 9–10 hours, but was very successful.

I spent the next couple weeks in the hospital. I made friends, relearned how to sit up, walk, goto the washroom, shower etc again; and finally made a successful trip down the flight of stairs – the “test” in order to be sent home.

The day I was supposed to leave the hospital, I received news that my incision was infected + I was to be wheeled back into surgery – this time a 4 hour one – to clean it all out and get it bandaged it up again. I spent another couple days in the hospital after this but eventually made it home.

Shortly after returning home, I fainted while attempting to take my pain medicine. I was back in the hospital (local one this time, thankfully) where they monitored me + checked my back and hardware out. Everything was eventually cleared and I was sent home once again. The recovery wasn’t quick, nor was it fun, but I had an amazing support system in my family and friends. This was easily one of the hardest things I’ve ever had to do in my life, and it’s something that is ongoing – even after surgery.

What Putin and China just did is SHOCKING and the U.S. is in Real Trouble

I retired at 51 eleven years ago. I always planned on retiring as early as I could because both my parents died young and I knew I didn’t want to spend my entire life at a desk. My aunt was living with me at the time and she was slowing down. We knew it was time for me to retire and move back home for her to have one last hurrah and that is what happened. A year and a half after I retired, she passed away.

When you have been retired a few years you forget the day of the week it is. There is no significant difference between the days so they eventually blend together. You go to the grocery store and run errands on your own schedule. No more hurrying after work or during lunch breaks. You shower less. Once or twice a week is ok. Pajamas and sweats become everyday casual wear. No need to dress up. Vacations become extended trips you take during the off season to avoid crowds. I remember taking one trip where I intended to stay only a couple weeks. Because the weather heated up across the Great Plains, I decided to spend the next couple months at high elevation before heading back. Now that my former co-workers are reaching their 60s they are starting to retire. I may not make as much as they do in retirement but time to me is priceless and I’m glad I retired early.

R.I.P FAT CAT

What was the stupidest thing someone has called the police on me for? I think this is a good one. I come home late in the evening while a football game was on at the high school I live a block away from. The only spot to park on the street is on the opposite side of the street and down about 50 feet. So I park there right in front of the neighbor that hates me for some reason. I go in and go to bed. At about 11:30 or so after the football game got out and the street parking cleared up, I got woken up by my doorbell. It was the local police asking me why I parked in front of the neighbors house. I explained it was the only spot and it is open parking for anybody. The officer would not agree with that, just kept going on about me parking in front of my neighbor and that I needed to move NOW. I said it was legal to park there. So then he decided that he would give me a parking ticket for parking to far away from the curb. I asked him about the police car in front of my house if it would get a ticket as well, and got a tape measure and showed that we were parked within a 1/2 of an inch of each other from the curb. Well, he got made at that and kept threatening to give me a ticket for something. I got out my camera and started recording this and he started changing his attitude and finally asked me nicely if I would move, and I did. The neighbor had connections with the Mayors office and the Mayor sent the officer out to harasses me. Happened many times after that, still have no idea what I did to make her hate me so much. I put up cameras at this time so when the police came I was always recording. They would still show up but when I pointed out the security cameras they would leave after a lot of dancing around the law to try and say I was doing things wrong.

As I stumbled through placing my order I mentioned to the voice on the speaker that I was diabetic and in need of food. Low blood sugar makes it difficult to think or act. I pulled up to the first window in order to pay for my food. I was shocked to see Burger King employee Tina Hardy running toward the front of my car.

She squeezed between the front of my car and the building just to bring me a small serving of ice cream. Tina later explained that her husband was also diabetic and she could tell that I needed help. After paying I pulled up to Tina’s window where she gave me my food. She instructed me to park across the driveway so that she could keep an eye on me until I felt better. After eating I waited for a break in business so that I could return to Tina’s window. I then took this picture and spoke with Tina’s supervisor, telling him what she did for me. If you appreciate what this special woman did please share this story. Hopefully Tina Hardy will receive the recognition that she truly deserves from the public and from the big bosses at Burger King.”

The US military build-up is not about defending against a Chinese attack. China has no intention to invade anybody; China is a peaceful nation.

The USA hopes to instigate a proxy war with China over Taiwan, or even the South China Sea (Philippines). The USA has two hopes:

  1. It hopes to interrupt or slow down China’s economic rise.
  2. It hopes to profit from such a war, just like it profits from the war in Ukraine.

On my mother’s death bed, she told my half brother that she never wanted to give him up but had a gun to her head as she was kidnapped. Then she passed out. It was a few days later when we got a little more of the story. Seems mom’s first husband was in the military when she was kidnapped by a guy she worked with. He took her and her child to Mexico. He got tired of the child and allowed mom to call her aunt in law to meet her in New Mexico, aunt was in South Dakota. The child, my brother, was passed off in the night, mom went back to Mexico for several months until she was able to escape. From here, it is mostly guessing. Hubby comes home from the war, mom is pregnant, not his, he divorces her, he raises son, mom marries my father and I finally meet my half brother 30 years later. We are now very close. But there is a lot of missing pieces. Supposedly the guy that kidnapped her was wanted for murder and took her as a hostage.

1. Having an affair. After years of marriage and someone thinks it’s a good idea to have an affair? And never thinks about the results? Seriously?

2. Marrying again. After years of marriage and, frankly, going through all the growing pains someone thinks it’s a great idea to start all over? Now that’s just nuts.

3. Marrying the affair partner. After their partner cheated on their spouse someone thinks they’ll now be faithful? Maybe better think again.

4. Buying cool stuff. Someone thinks buying a car with a cool label they know nothing about will make them cool? Coolness isn’t bought.

5. Buying impressive stuff. Someone thinks buying expensive things to impress people will make them impressive? Most people won’t notice or care.

6. Becoming a player. Someone thinks at 50 they’re a player again? Really? The only ones playing are ones you don’t want to play with.

7. Disengaging. Someone thinks after years of marriage and raising kids now they want to find themselves so they leave? Shouldn’t they have found themselves already?

You can navigate mid life successfully as you learn to be grateful for the treasure you have instead of chasing the treasure you’ll never find

The Cat was Surrendered to the Shelter Solely Because He was Very Affectionate and Wanted a Lot of A

The pizzas that were never eaten

Oh how vividly I remember this experience. The experience I am about to tell you all about was the day I decided that I will do everything in my power to change my passport to a Canadian passport as soon as possible.

This story takes place back in 2009/2010 new years period. I was a PR in Canada, having immigrated in 2007 to Canada alongside my entire family. Due to some family reasons and situations, after landing in Canada, my dad, mom and little brother went back to Dubai for a year and I was in university in Ontario. I would visit them in Dubai during the winter breaks and summer vacations. This story is about the nightmare that I faced while on my way back to Toronto from Dubai.

Back then, we would take connecting flights, usually through British airways. As many would know, connecting flights from BA are routed through London Heathrow airport, for the most part. This story begins from the time I landed in Heathrow, after completing the first leg of my journey back to Pearson airport in Toronto. As we landed, I remember the captain coming over the intercom and advising us that, apparently due to “adverse” weather, the Heathrow airport had seized all their operations, which included my flight onward to YYZ. As I sat there, my mind racing, the next announcement made informed us that they are trying to arrange for hotel accommodations for the stranded passengers, and to contact BA customer service if we needed further inspection. I remember as we were allowed inside the terminal, there must have been hundreds, if not a thousand, people all in the terminal at the same time. As I walked forward, there were signs and people all around that were directing passengers around. As I approached, they asked me which passport I hold. At that time, I was an Indian passport holder, so I told them that. The expression on the person I was speaking to was eye opening. He sniggers and says, “oh ok, go to that line there, big fella”, pointing to a line of at least 400 people and filling in fast. As I stood in this line, I noticed that most of the people who had been on my flight, who were either EU or US or Canadian citizens, were being directed to a much smaller line, and were dealt with swiftly. As I watched, another 747 unloaded its passengers, and everyone of the EU or US or Canadian passport were pushed again to the front of the que. Seeing this, I was livid. I asked one of the guys working there, and all he asked me was, “what’s your passport or nationality”. Once I said Indian, he says, stay in that line.

I now realize that this disparity in processing immigration/passengers is due to the challenges of making sure that illegals don’t enter into the country, and each country has their own relationship with other countries, but as a 19 years old kid, I was mad. I was pissed that I was in a line going back atleast half a km, while people were allowed to jump ahead. Plus, when I finally got to the front of the line, I was informed that I would be getting a hotel about an hour away from Heathrow airport, and I would have to figure out the way to get there myself. All because, to my teen mind, the people who jumped ahead got all the hotels near the airport. This was the moment I decided that I will do everything in my power to get a Canadian citizenship and get my Canadian passport.

JD Vance Did WHAT to a Couch?

God. This stuff…

This idiot, Aaron O’Neill worked at the Intel plant in Kildare, Ireland. After a night of drinking and taking drugs he didn’t want to go to work so paid his friend to ring in a bomb threat, on behalf of ISIS.

main qimg 1b7a0d6ebe90630867e45d8beec05167 lq
main qimg 1b7a0d6ebe90630867e45d8beec05167 lq

Source: The Irish Times

Aaron O’Neill (20) had been out drinking and taking tablets with his friend Colin Hammond (21) when he decided he did not want to go in the next day.

He paid his friend to make the call from a payphone outside Hammond’s home.

The resulting 999 calls shut down a motorway, disrupted air traffic control and prevented 4,000 Intel staff from going to work. Garda Eamonn McFadden said that at a “conservative estimate” the incident lost Intel 6,000 hours of production.

Mr O’Neill of Chieftains Drive, Balbriggan and Hammond of Bath Road, also in Balbriggan, pleaded guilty at Dublin Circuit Criminal Court to making a false report on the Bath Road on January 13th 2015. Neither man has previous convictions.

Mr Hammond told the operator there were bombs located at Intel which would go off in 12 hours.

“You will not find them. This is a warning, we’re everywhere now,” Hammond told emergency services. When asked who was making the call, he replied: “Islamic State.”

Described by Judge Martin Nolan as “profoundly stupid”, Hammond claimed he had been paid to make the call. He was ordered to carry out 200 hours community service in lieu of a two year prison sentence when his case was dealt with in October.

He said, “to put it politely” it had been a misconceived plan and accepted that the men hadn’t envisaged the calls to have the effect they did.

“It is a very, very strange way to avoid going to work,” Judge Nolan said .

And the best part is how they got caught –

Gda McFadden said that a month after the hoax, a taxi man arrived at Balbriggan Garda station with a passenger who wouldn’t pay his fare. The passenger was Hammond and a garda at the station recognised his voice from the hoax call.

That is one very observant Garda Officer.

Man paid friend to make hoax bomb call to Intel to avoid work

Edit : I’ve included The Irish Times article at the end but I see I have been negligent in explaining actual events, mea culpa, I certainly could have explained this better – the person in question wasn’t directly employed by Intel, he was a subcontractor and directly employed by his father, so he simply couldn’t ring in sick, as I strongly suspect/believe that at the time he lived at home. He couldn’t pretend to be sick when he worked for his Dad.

9b6c392664037bbd79944da8207f133a
9b6c392664037bbd79944da8207f133a

cc8d781cc0d3f7785eb99e35dce89de4
cc8d781cc0d3f7785eb99e35dce89de4

6e463170be644f3f3bb47b1de37a3e0b
6e463170be644f3f3bb47b1de37a3e0b

16366d27cbc9c208eea225e01287d5b0
16366d27cbc9c208eea225e01287d5b0

ce944845fc0345ff99c2f70b097974ab
ce944845fc0345ff99c2f70b097974ab

368b895ce25c0821a0619a6313e229ea
368b895ce25c0821a0619a6313e229ea

@@@@@0ace11a6ad15dc232e8dd6a229180032
@@@@@0ace11a6ad15dc232e8dd6a229180032

I do not tell this story to brag. It is just so different.

My parents sold their small farm and moved to El Paso with my husband when we moved there. They would have had to pay a lot of tax. We found a house with room for inlaw quarters.

I hope l get this straight. It was quite awhile ago. They had one year to reinvest to avoid taxes.

I found out about once in a lifetime non taxable gifts. So l worked a way for us to give the down payment. Using a four way split where l would give my mother x amount of money and my father x amount. They used it for their half of the down-payment. My husband would do the same. We used the same four way split to cover their half of the house payments which was jointly owned. At the end of the one year they gave us their half as a once in a lifetime gift. They used the same four way which kept it non taxable.

We were not sure of the legality of this so we went to a lawer, he read it all over and said “l used to work for the IRS, if l had audited this l could not find a problem with it. Who figured this out for you?” When told him l did he said “Lady, you found one hell of a loop hole.”

He has a great point.

Shorpy

SHORPY 8c36607a.preview
SHORPY 8c36607a.preview

SHORPY 8c33658a.preview
SHORPY 8c33658a.preview

img503.preview
img503.preview

SHORPY 8c33541a.preview
SHORPY 8c33541a.preview

SHORPY 02878u.preview
SHORPY 02878u.preview

SHORPY 02948a.preview
SHORPY 02948a.preview

SHORPY 8c36570a.preview
SHORPY 8c36570a.preview

SHORPY 4a14378a.preview
SHORPY 4a14378a.preview

SHORPY 8c33648a.preview
SHORPY 8c33648a.preview

SHORPY 4a17769a.preview
SHORPY 4a17769a.preview

SHORPY 1a35231u.preview
SHORPY 1a35231u.preview

SHORPY 07432a.preview
SHORPY 07432a.preview

SHORPY 25104u.preview
SHORPY 25104u.preview

SHORPY 28463u.preview
SHORPY 28463u.preview

SHORPY 02909a 0.preview
SHORPY 02909a 0.preview

SHORPY 25105u.preview
SHORPY 25105u.preview

SHORPY 4a11373a.preview
SHORPY 4a11373a.preview

SHORPY 4a25403a.preview
SHORPY 4a25403a.preview

SHORPY 8c33652a.preview
SHORPY 8c33652a.preview

SHORPY 4a25388a.preview
SHORPY 4a25388a.preview

SHORPY 29997u.preview
SHORPY 29997u.preview

Corvette group road trip Palm Springs March 1969.preview
Corvette group road trip Palm Springs March 1969.preview

SHORPY 12533u.preview
SHORPY 12533u.preview

SHORPY 10665u.preview
SHORPY 10665u.preview

SHORPY IMG065.preview
SHORPY IMG065.preview

SHORPY 8b30304a.preview
SHORPY 8b30304a.preview

So one of my seniors recently got to know that I won the QPCA and she decided to congratulate me. Her bad, and I’ll tell you why.

Now, when it comes to keeping the interaction alive, I’m pretty good at it.

But sometimes, ( sometimes = always ) I miserably fail in the more important thing, that’s keeping the interaction interesting ( interesting = continuable, without occasional cringing ).

So this is what happened,

She: It’s great that you won.

Me: Thanks.

She: But you don’t seem as sassy in real life.

Me: Umm, ya.

I don’t know why and I kid you not, but this time, I decided that I’ll keep the conversation going on. So I came up with this revolutionary compliment. Compliments that can change the world.

Me: You’ve got asymmetrical eyebrows.

She: °_° Wh.. What?

Me: Yeah, I never noticed. Maybe no one ever did, but your eyebrows don’t have symmetry.

She: Uh…. Ok?

Me (By now I realized that I fucked up, but never quit is a good motto and when to never quit is never taught ): And uh… if, if you notice closely, the left eyebrow is more bent than the… the ri…

She ( By now, she is regretful that she congratulated me in the first place ): Hey, you can go, seeya!

Me: ..ght eyebrow.

Now what’s important here, is that I tried.

( And Sarhad, what’s even more important here, is that you failed )

Only if I had known that asymmetrical eyebrows are not something great to talk about, I would’ve picked up something more intriguing.

Like I always have my moo point ( cows and magnets ) to talk about.


But I’ll admit, though social interaction is not really my prowess, I’m learning and I do want to get better.

It’s a skill which is not really optional, and which everyone should possess, to a certain level.

At times, people have talked themselves out of perils ( like when Sir James Donovan negotiated the release of 10,000 prisoners in Cuba

) and then at other times I’ve been talked into paying ₹900 for something worth ₹50. Almost every business and trade is based on your ability to fool your client better.

And then, we have stockbrokers.

|SaCh|


Oh, the rating you ask?

Yeah, on a scale of 1 to 10, I think I’m a pair of ever frowning eyebrows with rainbow eyelashes. Everything symmetrical.

Half a million likes!

She is right.

I often went out with two friends, who were also my colleagues, to a pizza house. We’d order two large pizzas and split the bill. The leftover would be packed, and one friend would always take it home. We lived in the same apartment building.

The problem is, when I eat I always take my time and I like to talk. At the end of the meal, I would always have eaten only two slices and yet I had to pay an equal share of the bill. I never got to take the leftover home.

One time I mentioned this problem to the friend who also never got to take the leftover home. I told her that I had a problem with us always splitting the bill because I always eat only two slices and never got to take home the leftover. The other friend would automatically take the leftover for herself and never once offered us if we’d also like to take it home.

My friend was surprised because she thought that the other friend and I took turns to take the leftover because we lived in the same building. Then she said that she also had a problem because she never got to order the pizza that she likes.

The thing is, I don’t eat pork so I get to order my favorite chicken bbq pizza. The two friends eat pork but it’s always the other friend who got to order her favorite pork pizza, and also the one to take all the leftover.

So we came up with a solution.

The next time we went again for pizza, I told the waiter that I was ordering a small chicken bbq pizza with a glass of coke, and that I’d pay my bill separately. The friend that I’ve spoken with also ordered her favorite Hawaiian pizza, small size, with drinks and asked the water to separate her bills too. Thus, the other friend also had to order her favorite pork pizza in small size.

I enjoyed my pizza, took my time eating it, enjoyed telling stories, and at the end of the meal told the waiter that I’d like to take home my leftover.

Needless to say, the friend who always took the leftover went home that night without any bring home because she finished her small size pizza. And the friend who I had spoken with was satisfied to have finally eaten her favorite Hawaiian pizza.

Many years ago I was working as a developer on one of the largest derivatives markets in the world. Part of our teams remit was supporting the live environment and as such I had on my sun workstation a 9 window virtual desktop one for each environment. we often jumped on each other’s workstations to do things, start/stop uat processes or get prod logs.. One day unknowingly to me, my boss was using my workstation and accidentally moved our futures trading market console onto my UAT desktop and later that day asked me to recycle UAT to pick up changes he pushed. I did it without though and within 30 seconds the Head of development (CTO) comes running across the floor screaming the futures production environment had just crashed. I looked at my screen and to my horror the console I just typed my command into had a prod$ console. My boss looked and me, I looked at him and we both thought the same and it wasn’t good! Immediately a witch hunt started and it was assumed the support guys had messed up (They forgot we had access). My boss quickly told me close all the consoles and go take a really long lunch so when they get to us, you’re not here to lie!

I got back an hour latter and my boss quickly announced “They found the problem, a bug in one of the support scrips means if someone cont-c it run kill -9 -1 to clean up, no one knows who IN SUPPORT did it but it wasn’t their fault”

It made the main 6pm news business section that day although I didn’t speak a word about it to anyone until a I left a years later. We also changed the background color of all prod consoles to red after that

How to get the pill

1. People don’t have as strong intuitive sense of how much bigger 1 billion is than 1 million.

  • A million seconds is about 11 days.
  • A billion seconds is about 32 years.

2. Only 2% of people can hear their eyes move and blink.

3. The Facebook logo is blue because founder Mark Zuckerberg is red-green color blind, making blue the “richest color ” for him.

4. If you hold in your farts long enough, the gas can be re-absorbed and come out of your mouth.

5. Over 50% of pilots have admitted to falling asleep mid-flight. And of these pilots, 29% said that they had woken up to find their co-pilot asleep as well.

6. Most toilet paper sold for home use in France is pink.

7. There are more fake flamingos in the world than real flamingos.

8. Bananas are berries, but strawberries are not.

9. Giraffes and humans have the same number of necklines. Actually, all mammals do.

10. Sometimes, hiding your thumb behind all your fingers is a sign of panic.

11. Broccoli is a man-made vegetable and was created by breeding different types of cabbages.

12. Try to breathe and swallow at the same time. You can’t.

A female marathoner was referred to me because her running times were increasing and she was progressively short of breath. She was also coughing up blood occasionally.

Ten years earlier she had removal of the upper 1/3 of her right lung(right upper lobe) for a benign tumor that bled often and obstructed that lobe of the lung.

To do this the surgeon must pull the remaining lower lung bronchus (intermediate) up and connect it to the trachea (wind pipe). This puts the sutured connection ring of tissues under considerable tension, tending to rip out the sutures leading to a catastrophic leak.

So the surgeon buttressed the suture line with several cotton pledgets. The surgeon obviously did a great job, she had been doing well for ten years.

When I looked into the lung (bronchoscopy) I saw a large mass of tissue I assumed was recurrent tumor completely blocking the right lung. But the pathologist found the biopsy tissue samples to be benign!

To clear the path into the lung I used laser through the bronchoscope to ablate the tissue. As I steadily removed tissue I found the suture line and then saw the many pledgets (small cotton pieces) the surgeon had used to support the suture line. I realized that obstructing tissue was a granulomatous mass the lung had generated because of the foreign body irritation from the pledgets. I steadily burned away the pledgets along with the old sutures until the area was completely clear and the airway to the lower lung was reopened.

This relieved her shortness of breath and she resumed running. A recheck 1 and 2 years later showed no recurrence of the tumor; she remained asymptomatic.

I expected to find malignancy but instead found a treatable benign condition.

20 MINUTES Of Modern Women BEGGING For A Good Man To Save Them

Guys, I’d love your feedback on this. I can’t believe my friend did this.

Last summer, my best friend was housesitting for about a week for her another friend and that friend’s wealthy family. They had a massive aquarium tank, eight feet tall with thick glass, almost as big as a room. It was only fish inside and nothing else. One night while storming, she wanted to go and swim in the tank. She absolutely loves fish and aquatic life. She got changed into some elastic shorts and a tank, turned off all the lights in the house, and with a towel walked barefoot up the small staircase that led to the top of the tank — her heart beating really fast with excitement. The top of the tank was already open, wide enough for her to easily fit through.

After she raised her arms over her head, she plunged into the water. The water was deep enough that she didn’t hit the bottom but her body went horizontal upon landing in the water. She said doing so was such a rush and that it was so warm and relaxing. While she swam underwater in the tank, lightning flashes lit up the inside. She said it was the most romantic thing she ever did, it was so quiet and peaceful underwater with the fish, and that she could feel the thunder vibrations from outside.

Woman gets reality check by dating a man that KNOWS his value…

You Stand up and fight

Last year my son was suspended. 3 boys corned him and were bullying him he kept is cool and attempted to walk away. One blocked his path.

At that point one shit stain said 2 sentences that changed my son’s life. “I’m glad your mom’s in a wheelchair. And I hope one of her seizures kills her.” See our families already known loss.

April will be 11yrs since my daughter and his sister passed away. She was 14m old.

So hearing this boy say he hoped I died was too much for my son. He turned to the leader and punched him right in the nose. To the school it didn’t matter what they had said.

The school district suspended my son cause he made it physically. So I took him out for ice cream. There comes a time when words do count and do cross the line. I support my son’s decision to finally say enough.

He knows he can’t hit someone and not have consequences.

But he also knows that there are going to be times in his life that he’s going to have to stand up for what is right. And I’ll support him when he does.

I was kicked out on Christmas eve by my mother when I was 17. That’s not even the subject of this story so you can imagine I didn’t have a good life growing up. She kicked me and my 15 year old brother out because (and this is a direct quote) “I have a life to live, and you and your brother have held me down long enough. I deserve to be happy.” She left the state with her internet boyfriend, that she knew for 3 weeks, a week later. We moved in with our aunt and her boyfriend. My aunt was like a mother to us, she helped raise us since our mother didn’t have the best taste in men. My brother and I got full time jobs and each of us gave our aunt’s boyfriend $50 a week (for rent and bills) and an extra $50 a week because all of us were saving to go to Florida to visit our grandparents and he was putting it into a savings account because my brother and I didn’t have one. My grandfather had health problems, he had heart attacks before and we all knew he didn’t have much longer to live. He was the best man I’ve ever known and he was the only male figure in my life that I could count on. My brother and I had been living at my aunt’s and her boyfriends house for about 6 months when my aunt’s boyfriend didn’t come home for 4 days. When he did finally come home, he was beyond messed up, he was slurring his words, he was falling asleep standing up, it was clear he was on something. My aunt told me and my brother later that he went on a bender with his boss. They spent 4 days smoking crack and snorting cocaine. She then told us that he spent all of the money that me and my brother had been saving to go to Florida to see our grandparents. $2400 we saved, gone in 4 days. But she said “don’t worry, we won’t charge you rent for 6 months and it will all equal out.” I heard my aunt on the phone with my grandma a week later. She told my grandma that we couldn’t come to Florida because “Stacey and her brother didn’t save any money”. My grandfather died 3 months later. It kills me that he thought my brother and I were too immature, selfish or had better things to do than to save money to see him. I wanted to tell my grandparents the truth but with my grandfathers health being what it was I didn’t want to upset him and tell him “hey your daughter lied to you, my brother and I did save the money but her drug addict boyfriend spent it all in 4 days during a bender.” This is literally one thing that my family has done to me or put me through in the 35 years I allowed them in my life. It has been 2 years since I cut the last toxic person in my family from my life and I feel so much better. The fact that I am a positive, healthy, loving wife and mother is a gift from a deity.

Black Tuesday is a 1954 American crime drama film noir directed by Hugo Fregonese and starring Edward G. Robinson, Peter Graves and Jean Parker. The supporting cast features Milburn Stone, Warren Stevens, Jack Kelly and Russell Johnson.

Full movie.

Film Noir.

A violent con, Vincent Canelli, escapes prison on the night of his execution. With the help of a phony newspaper reporter and Canelli’s girlfriend, Hatti, who has planned the escape, the con takes along five hostages: the prison priest, the prison doctor, one of the guards, the young reporter whose place has been taken by one of the gang, and the daughter of another guard. This young woman is kidnapped to force her father – who, unlike the guard who is taken hostage, always treats the death row inmates well – to facilitate the escape.

Pretty well done. I think you all will enjoy this one.

Van style grinders

I was with the firm for about 5yrs 3 months. One fine day , I got a Offer letter from a leading MNC in trending technology. I resigned the same day and sent the mail to my manager and cced HR in the evening .

He called me around 10 am next day and enquired about good time to have discussion. I went at 12 and we had a very short discussion and the conversation went like this.

I share a cordial relationship with most of my management.

HR: We respect your decision of resign and we wish you all the best.

Can you please tell me your team details and your manager?

Me: Thanks and told the details

HR: So, xxx will be your last day with us.

May I know the reason for resignation?

Me: I dont like the managemet here. Is the feedback I am gonna share with you just for record sake. or you are actually gonna share this with management?

HR: What made you think feedback was not shared with the management.

Me: I have many a scenarios where feedback was taken but never acted upon.

Hr: I will make sure . please share.

Me: Fine, anyways I have made my decision But I dont want to leave unspoken about the fact.

I have never thought of resigning from this organisation.But, many fake promises are made.

HR: Who made false promise?

Me: You know who usually does.

HR: Smiles!!!

Me: I have been promised an onsite without being asked and I know there was a budget problem in project but management is frequently flying which made me rethink my place here.

HR: Ok got the reason. Anyways He explained about all the policies and rules to be followed during notice period and we are done for that day.

I went to my usual routine.

With in next few hours, My Resource manager(Not my immediate supervisor) walks upto me and asks if I would take back my resignagtion if offered an onsite.

Next day, I get a call Location HR and he asked me if I would be offered onsite I would stay back I said I might think.

Next day RM comes to me,

A new onsite opportunity has popped up in some other project and they are looking for people.

I gave them your name to them , talk to them and let me know.

I said I need some time and I have few question. Is it long term? What is the expectation?

Is it different from my existing stack? How long would it be? For all he said you can manage . It will be min of 1yr.

I said ok and will let you know if couple of days. He asked me to do it faster?

I called the other manager on friday and asked him the same above questions for which he said it is an immediate requirement from client.

and I need to learn new technology. If I am ok with it I need to take back my resignation so that they could do initiate VISA.

I asked him “What is stopping you from initiating VISA if I am serving notice period? ” He said It is policy I said ok.

I told him I will take my resigantion but on one condition. In case the VISA is rejected/ CLient doesn’t like profile.

I want to a written statement that My last date would be the same day as earlier.

For which, He is not sure he need to talk to HR on that.

I am confused and lost in thought . I said I will get back to him on Monday.

On the same day, I went to a Shop nearby Bought couple of beer and started thinking of the opportunity .

For an instance, I thought I better take my resignation back. and Travel abroad.

It has been one of my dream to stay abroad for atleast an year straight.

I gave it a thought over weekend and slept over it.

I called my brother whom I go to for advice. He said only one thing “If you have ever taken step stick to that no matter what.

That is what makes you better person.”

and at the same time I heard quote in movie which said “When you Say ‘NO’ to bigger Things in life You Grow Stronger.”

Then I decided I will continue with my resignation.

I met my RM on Monday, told him that I dont want to take risk. I am not getting confidence in this opportunity.He said ok.

I told the same to the other manager he said no problem.

After this I got three more calls from HR(Reg change of project, CHange of technology, onsite again) and had around 7-8 meetings with manager.

On my last day which is is mid march , whole country came to a standstill coz of Corona and all the Onsite travels are suspend.

I am happy that I made a correct decision, Had I stayed with Onsite promise, My Future could have come to a standstill.

Always stick with your decision, and move forward sincerely, It is destined to happen which ever is to happen.

Clubs in the 1980s

Today’s crazy comics

d8351ed760a2ac9c1fa62367dade23a1
d8351ed760a2ac9c1fa62367dade23a1

00ae8eb2349711db86b94fd0695b0a9c
00ae8eb2349711db86b94fd0695b0a9c

a509d96977d5f8f75c8d94437908475c
a509d96977d5f8f75c8d94437908475c

a9390010c97a57367ded8d83d1df0c99
a9390010c97a57367ded8d83d1df0c99

92c619a44a4c472a6551d4b2b6cb9433
92c619a44a4c472a6551d4b2b6cb9433

c92871f8b1507305af63a3bee12d39a4
c92871f8b1507305af63a3bee12d39a4

cd32d84d58867d6c12153a67fc5851c4
cd32d84d58867d6c12153a67fc5851c4

9ab89d41f81a6e58d864d130ec3cc537
9ab89d41f81a6e58d864d130ec3cc537

311f2c77b131b777b772fe7488200561
311f2c77b131b777b772fe7488200561

bd8b69fb9339a95142f1a5461fe63823
bd8b69fb9339a95142f1a5461fe63823

954da76f54a6424214e5e8fabea11f94
954da76f54a6424214e5e8fabea11f94

41eba0b389f074fd93a976981a15b3ad
41eba0b389f074fd93a976981a15b3ad

3e5d7bd38ff28a9dc91374ce364ac82d
3e5d7bd38ff28a9dc91374ce364ac82d

fd918b45760b6d553890c8dc607b0796
fd918b45760b6d553890c8dc607b0796

9d3063b424588f82e63deb52fb8af7bb
9d3063b424588f82e63deb52fb8af7bb

3ae1b04f456dcec9ae6e2fb3a7e05b79
3ae1b04f456dcec9ae6e2fb3a7e05b79

b474b5154b930556d0a7a78fbf676b68
b474b5154b930556d0a7a78fbf676b68

02e0306d92fec2244c48010eeb3fc9bf
02e0306d92fec2244c48010eeb3fc9bf

0a4c969439b89da1a97e3e6be6274ed3
0a4c969439b89da1a97e3e6be6274ed3

Let me tell ya a little story.

When my husband was in the hospital, he woke up one day to see a priest looming over him, giving him last rites.

This was the ONLY time he was frightened, because in his mind, last rites was what you’d do right before someone died. Then he realized that I was not in the room, and that if he was actually that close to death, I would have been there with him.

After he got out of the hospital, he told his mother about this, and the first words out of her mouth were, “I didn’t send him!” She tried to say that maybe the hospital arranged it, or maybe it was one of the priests from one of the churches she belonged to (three, of different denominations of which only one does last rites) who stopped by to say hello. Or maybe it was some other priest that stopped by.

Suspicious, that.

When my husband arrived at the hospital, he filled out the intake form and said he didn’t want any religious folks visiting him, and he did not want last rites. That would have stopped the hospital-affiliated clergy from visiting him, but it’s not like they would have stopped a priest that my MIL specifically sent there, particularly if he showed up stealthily, with a scarf covering his collar.

Later, two of my MILs step children made comments to me about how sick my husband must have been to have been given last rites. Hmmm … how did they hear that?

It’s pretty obvious to me that she sent a priest to give him last rites, behind my back, and behind my husband’s (mostly unconscious) back.

But here’s the thing. If she had come to me and said that it was important to her, I would have said yes. I know she’s deep into all three of her religions, and if last rites would have comforted one of her multiple souls, I might have asked her to wait until his condition was more dire, but I wouldn’t have said absolutely no. The ritual wouldn’t have meant anything to my husband, as I would have made sure he wasn’t conscious (and thus not frightened) and it wouldn’t have meant any more to me than if someone came in and waved feathers around to banish demons. But if she wanted that, too, I would have been fine with it, as long as it didn’t affect his health or mental well-being.

I was annoyed at first that she did this behind my back, but that’s how she is. She’s happier when she’s sneaking around and manipulating and thinking that she’s getting away with something. She has only a glancing association with honesty, so she felt better lying to us than admitting what she did.

She is what she is. In the end, it didn’t harm anyone.

Russia – NATO conflict

From Hal Turner.

Below is a piece written by an Observer of matters geo-political - not me -- about the ongoing Russia-Ukraine conflict.  Although I did not write this, it is so "spot-on" that I decided to share it with all of you because  it expresses my sentiments and thoughts precisely.

————— BEGIN ————-

I want everyone of you to take a deep breath, and prepare for a flurry of events that will change the world forever, or at least for a very long time.

Always keep in mind what I have told since late 2021 : Russia set up a trap for the United Sates, they worked for at least a decade for this trap, and now, the United States fell into it.

I have decided to remove the word “West” in describing the side that Russia is in conflict with. There is no “West”. It is just the United States and its vassals.

This conflict between the U.S. and Russia/China is going to end when one side achieves its objectives. There is no middle ground, there is no negotiation, because the goal of the United States is to take control of Russia (by weakening it, and create a 90’s Russia, with oligarchs controlling Russia’s resources and themselves being controlled by the U.S., in order to suppress China’s rise, by controlling the flow of Russian resources), while the goal of Russia and China is to stop it.

If Russia and China could’ve stopped the United States by other means than militarily, it would have happened. The reason why the United States cannot be stopped otherwise, is mainly because of China. In China, the United States managed to create and sustain a system of very powerful Chinese economic oligarchs, that control China, and are being controlled by the U.S..

What the U.S. did not managed to do in Russia, they did in China. Putin removed/imprisoned/killed/replaced most of the Russian oligarchs, in a very short period of time; between 2000 and 2010.  Xi still is in the process of cleaning China, but the process is much slower and harder then what Putin faced in Russia.

In other words, China’s economic power could not be used to weaken the U.S., and it is still far from being able to do so. The only option is to start a “nuclear” economic war, one that will see both China and the U.S. facing economic collapse and massive internal power struggle that will be from the very top, to the street level.

So, to sum up, the current conflict will end when one side will achieve its goals, the “victory” cannot be achieved economically, but militarily, and most important, the military “victory” must be achieved without nuclear war.

Back in late 2023, I predicted that Russia will re-open the Kharkiv-Sumy front when the Ukrainian defenses will start collapsing, and my estimate for this to happen was between January and April 2024, depending on a number of factors. As you all know by now, after Avdeevka fell, the Ukrainian defenses started crumbling in multiple directions.

When I predicted that this will happen, I was exclusively basing my reasoning on the lack of manpower, and the tremendous destruction that Russian military can bring to the front lines, and the inability of the United States and its vassals to sustain a flow of weapons and ammunition to Ukraine, that can replace what Russia is destroying, while using mathematical calculation applied to military power of both sides.

Obviously, I did not have the exact data to work with, this is why my predictions were confirmed at a later date, but they were confirmed, almost 90% of the time. I however said that when certain events that I predicted (the collapse of Ukrainian defense, the start of Kharkiv-Sumy offensive, Ukraine’s inability to recruit, the U.S. and vassals inability to provide weaponry and ammo, etc.) will take place, and they did, the margin of error of my calculations, and hence, predictions, will be smaller.

While the current Kharkiv offensive is its early stages, and most, if not all of the people talking about it, are reluctant to quantify it, or to set predictions on how big this will be, my own calculations, again, based on what I have already predicted, is that the current Russian offensive is THE BIG ONE, the one that will break the camel’s back.

Based on my calculations, the current manpower of Ukraine is roughly 300,000 troops, barely equipped, and most of them are forced recruits and/or people with minimal military training and combat experience. While this manpower managed to slowdown the Russian advances, by using the vast network of fortifications and fortified towns and villages that they prepared and strengthened since 2014…this is no longer the case.

The front cracked in multiple locations, some Ukrainian brigades fleeing without order, others refused to follow orders, Russia is taking control of towns and villages [at] an increasing rate, since Avdeevka fell, the current fortifications are much weaker, and Russian troops are now passed the mildly hilly areas and went into open terrain. The big picture is that the Ukrainian army is on the brink of collapse, after being reduced in the last 2 years, and especially in the last 3 months (talking about game changers, there is only one : Russian aviation using FAB bombs extensively across the front lines), and there are only 2 options left for Ukraine – capitulation or full retreat across Dniepr river.

As I said back in the summer of 2023, the retreat across Dniepr should have taken place THEN, otherwise Ukraine’s army will be decimated. Retreating now across Dniepr will only BUY SOME TIME for the United States to send its troops and its vassals troops into Ukraine…IF that is what the U.S. is aiming to do…which I believe it is.

By opening the old fronts in Kahrkiv and Sumy, Russia is extending the current 300,000 poorly trained and equipped Ukrainian troops, to an additional 200-300 km front (I believe it will be much longer, around 600 km, because after Kharkiv, comes Sumy, and after, Chernigiv fronts), from a former 900 km front line.

Mathematically speaking, 300,000 Ukrainian troops defending a 900 km front line, will now have to defend a potential (and in my view 100% certain) a front line that is DOUBLE that.

Now, the military goal of Russia is to create the illusion that the United States and its vassal have a choice: commit to the fight, directly, and attempt to stop the collapse of Ukrainian army (or commit to this fight after Ukrainian army collapse), or…leave Ukraine being defeated, stay aside, and avoid WW3.

This is only a illusion of choice, because Russia is actually forcing the United States and its vassal to COMMIT to the fight.

Remember what I have said for over a year now? That Ukraine will NEVER GET F-16s? Well, they still didn’t get any, and will never get any, for reasons that I have explained a year ago, but I will shortly summarize them now : F-16 is a platform that can only function within the NATO military framework, Ukraine doesn’t have proper airfields for F-16s, and if we will ever see Western jets engaging the Russians, those will be piloted by NATO pilots and will operate from NATO airfields.

It is crystal clear that Ukraine lost the war, and the only hope to stop (it’s just a hope, really) Russia is a massive influx of trained troops and military hardware, including a large number of fighter jets, helicopters, cruise missiles, etc.

Ukraine DOES NOT HAVE the trained manpower to operate the required quantity of military hardware that is needed to fight Russia. NATO can send ALL OF THEIR JETS AND TANKS to Ukraine…here aren’t people to use them. And even if there were, such a large quantity of manpower and hardware will only be successful IF OPERATED WITHIN NATO FRAMEWORK AND DOCTRINE.

What I believe that will happen in the next 45 to 60 days, is, 100%, the collapse of Ukrainian Armed Forces. What is also 100% certain, in my view, is a number of NATO countries will OFFICIALLY ENTER the conflict in Ukraine, during, or right after the Ukrainian army collapses.

What is not certain, is how many NATO troops, how good they are, how equipped they are, and what is their goal. If the United States still believe that Russia can be weakened, those NATO troops will aim, at minimum, to secure Odessa and Kiev, which means that Dniepr river have to be secured, and be the new front line.

At this current attrition rate, the appetite for NATO countries to send troops, the Ukrainian Army have about 45 to 60 days to retreat beyond Dniepr, because they will still have roughly 200,000 troops, and NATO to send in at least 100,000 troops.

You cannot secure the Dniepr river without a MINIMUM of 300,000 troops, even if you blow up all the bridges. Keep in mind that Kiev is right on the Dniepr, split in two by the river. Also keep in mind that Russia can easily re-enter Ukraine from Belarus, making the Dniepr river irrelevant as a natural front line.

But, at least, they (200k Ukrainian and 100k NATO troops) can concentrate on the border of Belarus, on the defense of Kiev, and on the Kherson part of Dniepr, buying enough time to reinforce Odessa, Kiev and Belarusian border, give the proximity of Poland (Belarus and Kiev areas) and Romania (Odessa area).

So, to sum up : Ukrainian army is going to collapse in the next 45 to 60 days, during which they will retreat toward Dniepr, NATO troops will enter Ukraine, with the aim to create a strong defense in Kiev, along Belarusian border and on Kherson part of the Dniepr, to secure Odessa, and later on, with the aim of strengthening the above areas, while deploying along Western bank of the Dniepr.

This is basically, in my view, the only possibility to avoid a nuclear war, the conflict will end up in a stalemate between Russia and NATO, and diplomacy will, hopefully start happening.

But…there is a BIG BUT. The above (hopeful) scenario means a DEFEAT FOR BOTH RUSSIA AND NATO.

Without a serious buffer, Russia’s security will always be at risk. Nothing less then what Russia asked NATO in December 2021 (removal of all NATO bases from Eastern Europe) is going to stop Russia. Nothing less then a defeat of Russia is going to stop the United States policy of weakening Russia.

A Russia that occupies half of Ukraine means that NATO was strategically defeated. A Russia that ONLY occupies half of Ukraine, while the other half is full of NATO troops, and all NATO bases are still in place across Eastern Europe, means a defeated Russia in its strategic goals.

If my today’s prediction, that Ukraine army will collapse and start retreating across Dniepr, while NATO officially deploys troops in Ukraine will happen during the next 45 to 60 days…let us pray that a compromise will somehow happen, because the alternative is WORLD WAR 3.

I have very low hope that a compromise will happen…

————– END —————–

Hal Turner Remarks

I very much admire and respect the concise assembly of thought and facts above.  It took many aspects of the current conflict into account, and laid out realistic results/consequences of each.  Very well written, i think.

This past Friday night, on my radio show, I alerted listeners that a new offensive had commenced by Russia, heading for Kharkiv.  The piece above speaks about that.

What I did not project in my remarks, the piece above does.  The timeline is always the big unknown.

For instance, when I reported on my radio show that Ukraine assessed Russia’s offensive would being in the late summer, early fall, I had to point out they were way wrong because it had already begun Friday.

The Russians have made very significant gains in the past few days.   The Ukraine Army is crumbling already.

So while the writer above suggests 45-60 days for things to come to the point where NATO has to crap or get off the pot, it could be much faster than that.  It could also be slower.  As above the timeline is always the big unknown.

What is known, though, is that time has grown very short.  Whatever enormous event/change is coming, it is now VERY close. 

If this thing goes wild weasel, and we start getting hit by Russian missiles here in the USA, you had better be prepared.  Food, water, Medicine, generator, fuel for it, radio gear for communications, cash money in your house — not to pay bills, but to survive on.  Guns, ammo, and mental willingness to shoot other human beings who are trying to steal your food.

I have a sick feeling we’re heading into some horrifying calamity; and almost no one in the entire country, seems aware of it.  The mass media has not reported the serious developments and goings-on relating to the conflict.  The American people, and most Europeans, will likely be totally blind-sided when it happens.

YOU have the upper hand.  YOU pay attention to sites like this one.  YOU have had advance warning.  YOU will have prepared as best you can, and that will put YOU faaaaar ahead of the general public.

In any event, get right with God.

ZANZIBAR, TANZANIA 🇹🇿 Things To Do

How is this even legal?

Unethical life hacks.

  • Whenever you are starting a new job, always let them know that you have 4 alive grandparents. Then you have 4 pre-made excuses for whenever you don’t want to come into work.
  • When you’re shopping online and need to reach a threshold for free delivery, simply add a gift card to your order. The next time you make a purchase, you can use the card and repeat the process.
  • When dining alone at a restaurant, bring a notebook. Write in it occasionally. The staff might think you’re a food critic, and they’ll likely try their best to impress you, maybe even treating you to some free food.
  • If someone ever calls you fat, tell them you used to be 75 lbs heavier. It will make them feel guilty for saying it to you, while also making you look accomplished for losing weight.
  • Use airplane mode to hang up on people. They will see “call failed” instead of “call ended”.
  • When you’re looking for a job, post a fake ad for a very similar position on the internet so you could check out the resumes of your competition.
  • Don’t show your Tinder profile or Instagram to your date. Show your profile on some niche platform like luxy
  • or Mensa to go from being average to standing out.
  • If your girl ever gets mad at you and won’t speak, just tighten up all the jars in the house. Eventually she’ll have to talk to you to get your help opening up one of the jars.
  • If your coworker ever calls in sick for work, you can do the same 1-3 days later. Your boss will think you have the same thing and it’s “going around the office”. Your boss may even do the same shortly after you. It’s called the “fake flu”.
  • lf you want people to stop letting dogs sh*t on your lawn. Instead of a sign saying “no dogs allowed”, put up one saying “Lawn recently treated with toxic pesticides”. Nobody would let their dog anywhere near your lawn ever again.
  • If you’re in a taxi and you think the driver is purposely driving slow to get the meter up. Just cough or sneeze to make yourself seem sick and watch the driver speed up to prevent catching something from you.
  • If you want to slack off at work, slack off but act annoyed or frustrated around your boss. This will give the impression that you’re working hard and the job is getting you heated.

Chinese firearms training in first grade

The Chinese begin military training in first grade and continue with the training throughout the school years.

A woman named Diane. She was convinced that she was supposed to marry my brother. They never dated or anything, we three played pool one night, and he was kind to her. When she went off her medication, things changed. She threatened to kill me and my family, she left strange objects in the night drop where my brother worked, she tracked him down when he moved, and called me repeatedly, leaving terrifying messages. I changed my phone number. She also started stalking my nephew, and found out where he worked, then called repeatedly. She then called my church, and left messages saying horrible things about me.

During Covid, it all stopped. Nobody heard a peep. At this point, she had been homeless for a while, and we assume Covid killed her, or something else. I tried Googling her several times, but she had literally disappeared off the face of the earth.

She terrified me. I even called my kids schools and gave them a picture of her.

When I knew her in the 90’s, I didn’t know she was schizophrenic. We worked together and hung out. I wish she would have told me because I would have encouraged her to stay on her meds. I’ll always wonder what happened to her because, even though she scared me in the worst times, she was a friend in the good times.

Today’s Shorpy

SHORPY 8a34972a.preview
SHORPY 8a34972a.preview

SHORPY 8a34955a.preview
SHORPY 8a34955a.preview

SHORPY 33572u.preview
SHORPY 33572u.preview

SHORPY 8d27495a.preview
SHORPY 8d27495a.preview

SHORPY 31287u.preview
SHORPY 31287u.preview

SHORPY IMG051.preview
SHORPY IMG051.preview

SHORPY Pennsy 1.preview
SHORPY Pennsy 1.preview

SHORPY K4 081112 019 1951.preview
SHORPY K4 081112 019 1951.preview

SHORPY Scan 120609 0006.preview
SHORPY Scan 120609 0006.preview

SHORPY Scan 120609 0018.preview
SHORPY Scan 120609 0018.preview

SHORPY 30162u.preview
SHORPY 30162u.preview

SHORPY 28540u.preview
SHORPY 28540u.preview

SHORPY K4 081112 010.preview
SHORPY K4 081112 010.preview

SHORPY 8b27353a.preview
SHORPY 8b27353a.preview

SHORPY 33210u.preview
SHORPY 33210u.preview

SHORPY 8a34967a.preview
SHORPY 8a34967a.preview

SHORPY 4a14546a.preview
SHORPY 4a14546a.preview

SHORPY 4a18646a.preview
SHORPY 4a18646a.preview

SHORPY 4a25304a.preview
SHORPY 4a25304a.preview

SHORPY 8b36723a.preview
SHORPY 8b36723a.preview

SHORPY 8c33619a.preview
SHORPY 8c33619a.preview

SHORPY 11565a.preview
SHORPY 11565a.preview

SHORPY 4a13192a.preview
SHORPY 4a13192a.preview

SHORPY 4a18265a.preview
SHORPY 4a18265a.preview

SHORPY 8d27647a.preview
SHORPY 8d27647a.preview

SHORPY 8c33614a.preview
SHORPY 8c33614a.preview

SHORPY 8d27573a1.preview
SHORPY 8d27573a1.preview

SHORPY 8d25343a.preview
SHORPY 8d25343a.preview

I developed a trick. Here’s a common situation: I am very busy, with something I need to get done in the next two hours. Someone I know sees me and says, “Hey Rich, how about getting a cup of coffee with me?” My prior answer used to be, “Can’t. I’ve got to get this done.”

No longer. Now I have a standard answer: “Sure.”

In retrospect, the urgent thing I needed to get done was almost never really urgent. That amazes me. I had simply been putting work ahead of friendship.

I came across an aphorism that encapsulates this: “Never let the merely urgent get in the way of the truly important.” I love that phrase, “merely urgent”! (Nobody really knows who first articulated this thought, but it is a good guide to living your life well.)

I believe the key to friendship is spending unstructured time with people. Discussing business over coffee doesn’t count. Taking a hike to discuss business does count, because in my experience a two hour hike rarely consists of more than 30 minutes of serious business; the rest of the time you are talking about things that don’t really matter. And those conversations often drift, naturally, into discussions that do matter. And then you are at the beginning of a formation of a new true friendship.

I have an almost 21 year old grandson who is still as delightful as he was as a little one. He was a real charmer, but at three he realized he couldn’t charm his parents. We were at an outdoor theater and while waiting we bought popcorn for all of us. My grandson really wanted more, but both mom and dad said no. While still waiting for the play to begin my grandson started exploring where we were seated. We were in the back thinking the kids would get bored. This way they would have more room to move around. My grandson did just that, but with a purpose. He found a lady sitting eating her popcorn. He went and sat next to her. With his big brown eyes he looked at her and “Nice people share their popcorn.’ Of course she gave him some. This is the same kid who sang ‘Jesus must die” in the grocery store because his parents were both working for the show and he was at most rehearsals. My daughter in law said she couldn’t believe that of all the lines in all the songs this was the one he remember. She quickly left the store. I really love this young man since always.

This is China.

I was in college, and driving home for a break. Stopped at my roommate’s house on the way, to drop her off. I was invited to spend the night, and did, so I could complete the remaining drive rested.

That evening, my roommate sat at her mother’s feet and told her mom all about college life. Like … ALL about college life. And her mom listened attentively, and asked questions showing her interest, and shared experiences and they laughed together.

I remember being stunned that when her parents asked, “How was the semester?” my friend actually TOLD her parents how the semester was.

I can remember one time I came home from a movie and my mom asked how it was, and I gave my usual “Fine” response, and my mom then asked what movie I went to. I remember that because it literally only happened once. And I had to pause to remember what movie I went to because I was so surprised she asked.

Real, heartfelt communication with one’s parents was a completely novel concept for me.

I also remember when I was 15, a friend was talking to our theater director, crying on her shoulder about something that happened at home. I was confused by what I considered to be my friend’s over-reaction. My theater director looked at me and made eye contact and said, “The way your mother treats you isn’t normal. When she hits you, it’s child abuse.”

I remember that moment so clearly. It was the first inkling I ever got that not everybody was beaten by their moms.

Many come to mind. The Imperial Japanese Army. The Mongols. The Huns. All war is terrible, but these groups I think deserve to be put into a class of their own when it comes to their brutality. However I think to find truly the most barbaric military force to ever walk the earth we have to wind back the clocks thousands of years to the time of the Neo-Assyrian empire.

Many if not all militaries have engaged in horrible atrocities throughout their period of existence, but few reveled in it the way the Assyrians did. Just look at a few of these primary sources, from the annals of various Assyrian kings:

“I felled 3,000 of their fighting men with the sword. I carried off prisoners, possessions, oxen, and cattle from them. I burnt many captives from them. I captured many troops alive: from some I cut off their arms and hands; from others I cut off their noses, ears, and extremities. I gouged out the eyes of many troops. I made one pile of the living and one of heads. I hung their heads on trees around the city, I burnt their adolescent boys and girls. I razed, destroyed, burnt, and consumed the city.”

—Ashurbanipal II

“I cut their throats like lambs. I cut off their precious lives as one cuts a string. Like the many waters of a storm, I made their gullets and entrails run down upon the wide earth. My prancing steeds harnessed for my riding, plunged into the streams of their blood as into a river. The wheels of my chariot, which brings low the wicked and the evil,were bespattered with blood and filth. With the bodies of their warriors I filled the plain, like grass. Their testicles I cut off, and tore the privates like the seeds of a cucumber.”

—Sennacherib

“I filled the wide plain with the corpses of his warriors. These rebels I impaled on stakes. A pyramid of heads I erected in front of the city.”

—Shalmeneser III

“I flayed as many nobles as had rebelled against me and draped their skins over the pile of corpses; some I spread out within the pile, some I erected on stakes upon the pile… I flayed many right through my land and draped their skins over the walls.”

—Ashurnasirpal II

The Assyrians didn’t just record their appalling behavior in writing, they also carved them into stone:

main qimg b8487715f476ab3b40851bdb4ea53200 lq
main qimg b8487715f476ab3b40851bdb4ea53200 lq

Assyrian “art” depicting the flayed skins of dead enemies being hung on a city’s walls

main qimg 3ed26d542cd41537cd5ce4ace81c640b lq
main qimg 3ed26d542cd41537cd5ce4ace81c640b lq

Victims of impalement

Assyrians ripping the tongues out of captives

main qimg 1f40b78acb64a2f3415b515e90c10c6b lq
main qimg 1f40b78acb64a2f3415b515e90c10c6b lq

Despite the barbarity of it all, there was a method to the Assyrian madness. The sheer brutality with which the Assyrians acted was done with the goal of dissuading cities from rebelling. Most ancient empires collapse due to internal unrest, and the Assyrians were determined to prevent this fate from befalling them. Their cruelty was a double-edged sword in that while it did convince some cities to stay in line, it also angered others and made them even less tamable than before. Eventually the rebellions mounted and grew to be too much, and Assyria collapsed due to a revolt by the Babylonians and an invasion by the Medes. Whenever I think of the Assyrians, I think of the famous proverb that goes something along the lines of “The harder you squeeze the water in your hand, the more that slips through your fingers”. The story of the Assyrian Empire is a story of squeezing too hard.

I haven’t witnessed someone, but my son was bullied.

I received a call from a principle about an altercation my son had with a bully.

My son was skipping breakfast and buying donuts on his way to school.

He hadn’t eaten the donuts, and they were in his backpack.

The school was having an assembly before classes began.

I guess the bag was part way out of his backpack, so the bully took them out and dumped them on the floor.

The bully and his companions laughed on the way to the assembly.

This incensed my son, and he picked up a jelly-filled donut and went into the assembly.

He found the bully sitting with his companions and smeared the jelly donut all over his face.

He then shouted, “If you ever do that again, I’ll do it again.”

Of course, this stunned the assembly and the principal grabbed both my son and the bully and took them to his office.

His punishment for both was to read War and Peace and give the principal a report on the book.

When the principal called me, he explained that the bully was a well-known bully, and he was much bigger than my son. He feared that this was not the end of the story.

I told him my son had already read War and Peace, so that may not be a punishment for him.

So, the principal meted out another punishment. He would help the janitor clean the assembly hall.

When my son came home late, I asked him why he was late.

He told me he met a nice janitor and helped him clean up the assembly hall.

I just said, “That was nice of you.”

Neither my wife nor my son know the princpal called and they are not on Quora, so I’m spilling the beans here.

The bully never bothered my son again.

This is EXACTLY why the United States is fucked

Paint the roof silver

I was asked to tutor 15 PhD candidates (who were mostly university professors), who were expected to study in the U.S.A. for 2 years.

Their TOEFL scores were high, except for one administrative officer who scored 470 and one financial officer who scored 287. At that time, students were expected to have a score of 500 to get into a bachelor’s program.

They were already enrolled in the PhD program at a reputable university, and advisors had interviewed them here in Thailand.

I assumed that these two were allowed in as a special favor, but I had no real knowledge of the hows and whys.

The admin officer, who did little to improve, left our course and the PhD program with less than a month before departure.

The financial officer (call her ‘Dee’), however, attended every class, but her participation was stunted by the far more competent participants, no matter what I did to encourage her.

She was (quietly) considered an outsider, a non-academic and a non-proficient speaker of English by others in the class.

When they left for the U.S., I kept in touch with a few participants and always asked about Dee.

I needn’t have worried.

Dee completed her PhD earlier than was expected, much to the surprise of the others.

How did she do that?

Dee immersed herself in university and U.S. life, focusing on her tasks and seeking out advisors and other PhD candidates with similar interests. She was invited to instructors’ and candidates’ homes, and was quickly ‘adopted’ by their families.

A ceremony was held at the Thai university at the end of the program. She was greatly praised by the rector and others, while some of the professor candidates who did not complete the program stood silently by.

Today’s Comics

18b32b7b527049827db4320b280d2a67
18b32b7b527049827db4320b280d2a67

d14980982498bde80cab123f8151668e
d14980982498bde80cab123f8151668e

6b1f197a378c56df37967e92dcd5d1f2
6b1f197a378c56df37967e92dcd5d1f2

d2c4943db9790852a3502b7666b29994
d2c4943db9790852a3502b7666b29994

53d927bed213cf5ba411a459fb186ef4
53d927bed213cf5ba411a459fb186ef4

8f7018949f25862ab248996ba5dc05ed
8f7018949f25862ab248996ba5dc05ed

c9057999a6c47ed68ee264748265bc65
c9057999a6c47ed68ee264748265bc65

0a55827d277303b4e3255b0ec27626bd
0a55827d277303b4e3255b0ec27626bd

4d271d13c735aa8d52e920d47792bd9d
4d271d13c735aa8d52e920d47792bd9d

169eb3dd3753cd3fa9934aa526de85c3
169eb3dd3753cd3fa9934aa526de85c3

721b463294e6aa20e45028963629e143
721b463294e6aa20e45028963629e143

e291a932a93d985e2f65a27af42b3949
e291a932a93d985e2f65a27af42b3949

f5b36789dcaf7956a23055971bdc4f68
f5b36789dcaf7956a23055971bdc4f68

338f813d1de79ffc82dd28333374c501
338f813d1de79ffc82dd28333374c501

537e84fa71bacba3255e6557139131f0
537e84fa71bacba3255e6557139131f0

50fbd8f1a86ddf0583e3f7e9da6afb2b
50fbd8f1a86ddf0583e3f7e9da6afb2b

1ccd3a24a635ba30e805846aab31a4ee
1ccd3a24a635ba30e805846aab31a4ee

6e221affb21595ee4633b420f4768c55
6e221affb21595ee4633b420f4768c55

bff26a1cd9f4b6fb8ff96793ab2d78db
bff26a1cd9f4b6fb8ff96793ab2d78db

@@@@190211e000f4457db38a1247952195c6
@@@@190211e000f4457db38a1247952195c6

I was at a bar, and this girl and her boyfriend got into a fight. She had a ’73 camaro, and he opened the hood and pulled off her coil wire. Then took off in his car leaving her stranded. I went into the bar, got a coat hanger, bent the ends so they would fit tight into the distributor cap and coil. she hit the key and it fired right up. I even impressed myself.

Another time, I was at the GW FASHION MALL, (Goodwill), and there was a guy with his hood open. says it won’t start. So I sprayed some starting fluid into the intake and it popped right off, but wouldn’t keep running. I had heard that if the fuel pump is going out, to give it a few hard smacks under the fuel tank, and that can knock it loose. I did it and it worked. That is just a temporary fix..

Another time, my son and I were on the highway, and my serpentine belt broke, and it knocked off the power steering belt also. I sat there trying to figure out what to do. My son said, *try putting the power steering belt on the crank pulley and the water pump/fan*. I thought that won’t work, but gave it a shot anyway. It was too tight. He said, turn it inside out and try it. It worked, a little loose, and slipped, but it made it home. Driving 20 miles like that keeping an eye on the temp gauge. We didn’t have an alternator or power steering, but got it home.

I was comfortably settled in my window seat, book in hand, anticipating the rest and relaxation my well-deserved vacation would bring. As my seat mate approached, I was struck by the six-inch rhinestone cross that hung from her neck. She began piling books atop the tray table, one of which was entitled, How to Convert Atheists.

Within a matter of minutes, the dreaded question was propounded. “Have you heard the good news?” I enthusiastically answered, “I have! The Supreme Court has ruled that same-sex marriage is legal in all fifty states. The Catholic Church is losing members faster than you can say pedophile priests and televangelists are being exposed for their fraudulent practices and extramarital affairs.” Before she could respond, I pointed to her book, looked her directly in the eye, and stated unambiguously, “Don’t even think about it.”

I returned to my book and suddenly heard, “I will pray for you.” To which I responded, “Knock yourself out, dear, just do it silently.”

I grew up in a polygamous family as it was and still a common practice in the African Traditional Society. We were and still 17 children. My father paid our school fees for all of us but only 50% of us completed our school while others dropped out.

Currently as I write this piece we are still 17 but we far apart from each other and father currently stays with my mother, the other 2 women (my step mothers), one passed on in 1991(RIP), while the other is married to some man.

Here is where the secret comes in, my Dad and Mum have been fighting each other for the past 28 years that they have been married, but they have never told us the cause of their fights, and for the past 28 years my mum and dad didn’t share the same room, but no one seems to tell us even when we had family meetings.

Last year around May 2019 the fight intensified to a point I thought they were going to divorce, we sat them down as family and we wanted to hear from them.

My Dad became very hostile when we started the meeting as he has always been, very defensive in his actions as our mother told us that Dad had been having extra marital affairs out to which my Dad completely objected.

Then boooooooooooom….. the shocking news comes out, the secret that mum and dad never wanted to tell us. Our first born knew everything but he kept this from us.

He decides to break the news that shook all of us the family members, that our step mother that died in 1991 was sick with HIV/AIDs Virus and when my mum realized that they went together to a medical facility and tested she was negative and dad was positive, and that Dad has been HIV positive for the past 28 years and has been on medication that he kept from us for all these years.

Our mother decided to stay in the relationship to take care of him and us but my dad has failed to see that and continued tormenting her by having affairs outside the marriage. I felt completely battered, shattered because I looked up to my mum and dad has role models when it came to honesty. They always told us to be honest yet they never told us what was hapening in their lives.This was my experience.

USA ADDS SANCTIONS to HUAWEI for INTEL and QUALCOMM – china must submit to old white man..

I was in 5th grade. My teacher was regularly given troublesome students over the years. I was in her class because my brother (one of the aforementioned troublesome students) had had her four years prior. Unfortunately, all those years of kids that made things difficult took its toll.

Early in the school year, because of constant arguments, our teacher started doing something she called IALAC (I am lovable and cuddlable). This meant every day before we went to the classroom, we formed a circle outside and had to say one nice thing about the person to our right. We also had an in class project every day. On this particular day, we were painting. I decided I didn’t want to use a brush and was finger painting. We had a sink in the classroom and I had always been well behaved and quiet. Other students saw what I was doing and complained. The teacher didn’t mind what I was doing but I washed my hands without being told and switched to a brush because I didn’t like conflict.

My classmates decided that if I was allowed to finger paint, they could do. Except they started painting each other, not the provided paper.

Our teacher completely snapped. She started screaming at us, singling out particular students and telling them just how awful they were. A bunch of 10/11 year olds being shrieked at. I wasn’t singled out but because my finger painting was the catalyst, I felt absolutely awful. I’d never been yelled at in my life!

After tearing us all down with her verbal abuse, the teacher stormed out of the classroom, leaving us alone, frightened, and our self esteem crippled. The teacher in the next classroom saw ours leave and came over to find us all sobbing. Administrators were summoned. Many of us ended up in the front office under the school nurse’s supervision. Two students attempted to leave school grounds. Parents were called.

Our teacher didn’t come back. We had a sub for the remainder of the year. I heard the teacher retired the following year but I was moved to a different school district after the winter break.

I was pressing my teen daughter, who had asked to earn some money, to clean out our car. She was 16 and she had done it before and I would give her $100 for a good job (back in the 2000’s that was good money for a teenager for 3 hours work). One day she was stressed when she got home from school and was very serious and I reminded her that she promised to clean the car the week before and it never got done. I was gentle because I knew it was mid terms time. I said “If you get it done by this weekend I’ll add $50.” But she looked at me and just broke down sobbing. She was having a particularly rough day, it was…that time…and she was behind in studying for tests and she was trying to tell me this.

My daughter finally looked up at me and said “Dad! You’re not LISTENING”. I felt about 3 inches tall. I was thinking about other things that I needed to get done and this was just another ‘thing’ to check off and I hadn’t heard a word she was saying until that moment. As a pretty tough Army guy and all around guy of ‘manly pursuits’…I teased up and just hugged her and apologized. And I asked her and her mother to help me learn how to listen…to explain what to watch for with them. Since a serious head injury I don’t always get facial cues or ‘hear’ stress in people’s voices…I’m a bit oblivious. But anyone can learn and they helped me and have been ever since!

Q: What feature in your car did you not realize you had until someone else told you about it?

I have always had bare bones automobiles. Even the one I ordered from the book didn’t have all the bells and whistles on it. My last car, before my present one, was a Chevy Venture more than two decades old that I paid a whopping $2400 for. I loved that car, but as fate would have it, it could not withstand hitting a pick-up truck directly in the rear axle when the assh0le driving it decided to pull out in front of me. A lot changed in the four years since I bought the van and it is now impossible to find such a bargain as that. Most used cars start at $10,000 and go up fast. I did find one dealership that had cars closer to my price range, and I got a generous settlement on my poor van from the insurance company. The best deal I found was on a 2007 Lincoln MKX. In my wildest dreams I never thought I’d ever own a car this nice! It had bells and whistles that Henry Ford himself could not have imagined! I thought I knew them all. Then one day I was out with my caregiver and she said something about my seats being heated! I’m from Wisconsin. If I’d had heated seats when I lived there, I would have been in heaven! However MY seat did not heat up, only hers did. It seems the car has a few bugs that need to be fixed. Where I live now, I don’t need a heated seat, but it’s nice to know that with a little tweaking, if I need one, I have it!

This reminds me of a bad joke that illustrates the question.

A Vietnamese man and a Jewish man are waiting in the Doctor’s office for an appointment and as the time goes on they become more and more irritated until finally the Jewish man says, “I hate you Vietnamese people!”.

Shocked, the Vietnamese man says, “What in the world would compel you to say something like that? Why do you hate Vietnamese people?”

To which the Jewish man replies, “Because you bombed Pearl Harbor!”

The Vietnamese man goes, “You idiot! That wasn’t the Vietnamese, that was the Japanese!”

To which the Jewish man shrugs and says, “Vietnamese, Japanese, what’s the difference?”

Stunned, the Vietnamese man says, “Yeah, well I hate all you Jewish people!”

To which the Jewish man replies in great indignation, “That’s anti-Semitic! Why would you hate all Jewish people?”

And the Vietnamese man says, “Because you sank the Titanic!”

“You idiot,” bawls the Jewish man, “That was an iceberg!”

To which the Vietnamese man replies,

“Iceberg, Goldberg, what’s the difference?”

It was over 35 years ago in sunny Southern California, right before work and school, when that infamous phone call was made. “I am sorry, but neither my son nor myself can make it to school today, as we just had a Tractor Trailer drive through our kitchen.

So yea, over 3 & a half decades ago, I was attending a private school where my belated biological mother was one of the teachers there. We lived mid-way down a massive inclined hill in Southern California in the Sand Fernado Valley. It was early in the morning with she & I rushing to get dressed and jump in the car to go to school.

As I was putting on my shoes I heard the loudest crashing sound, and the house shook. Thinking that it was an Earthquake, I ran to the center of our home underneath a door frame to take shelter. As I got to reach that area I saw dust coming from the kitchen, with debris all over the place. Since the house stopped shaking, I approached the kitchen, and to my disbelief, there was a Truck aka Big Rig, parked partially in our Kitchen.

I ran outside and saw one of our trees knocked down, branches of another tree that had fallen, and a Huge Tractor Trailor Truck sticking it’s nose where it did not belong, our kitchen. A man was getting out of the truck, his knee badly hurt, and bleeding, with him asking with desperation “Is everyone inside OK?”

He was parked further up the hill, with his wheels properly angled towards the curb (I am the grandson of a professional Truck driver, 3rd generation & eventually worked for gramps too), but his Emergency brakes broke, and with the massive weight and steep angle of this hill, his Truck went over the curb then back off heading straight for our home. It is confirmed by other neighbors, that he ran down the hill and managed to jump and grabbed the horizonal bars used to aid truckers to get into their cab, but in the process, slamming & cutting his knee against his truck, and just barely managed to open his Cab door and apply the brakes as his truck was crashing into our home.

You would think that this would be a lot more believable than my dog ate my homework or my parents’ car won’t start, but both my belated mother & I had to bring to that private school both the insurance report and police accident report.

Ok, dare you guys to top that one…

MM is hungry…

90d49eadd65dd996c406aec6c69c78ba
90d49eadd65dd996c406aec6c69c78ba

2b68178246d8fdd0fe096a6e07bd3e53
2b68178246d8fdd0fe096a6e07bd3e53

9d4d9fc1a3a521ce0496f014d1a472ea
9d4d9fc1a3a521ce0496f014d1a472ea

8eaeb4baddaac35192f0497619806d7f
8eaeb4baddaac35192f0497619806d7f

0b879a0d37b7175dc1a505fdde83be9b
0b879a0d37b7175dc1a505fdde83be9b

69629b76bd1bca79f6aca498699a336d
69629b76bd1bca79f6aca498699a336d

252d78e1dc15901c93412650a297af72
252d78e1dc15901c93412650a297af72

48cf2d2496d31d8a52066a5d2c8e9d08
48cf2d2496d31d8a52066a5d2c8e9d08

73a94ffc90abe49de9a4a98c3b285d6e
73a94ffc90abe49de9a4a98c3b285d6e

261ae2494da58908234fe4188c335bce
261ae2494da58908234fe4188c335bce

80864d62f580431ff5a7b782422251f5
80864d62f580431ff5a7b782422251f5

286af287613ecdfa8e911e78ce3a2025
286af287613ecdfa8e911e78ce3a2025

84aee2a30a7e81e8da8474780dfbbf50
84aee2a30a7e81e8da8474780dfbbf50

60d5a6a5fbb94ea7b3759f9d12c2a725
60d5a6a5fbb94ea7b3759f9d12c2a725

ef3560d0a390dd7b116676b5dacb403c
ef3560d0a390dd7b116676b5dacb403c

47e9d58418d172b79b0d7035a972f692
47e9d58418d172b79b0d7035a972f692

1bdff334b91757add30124f70f9a7cc4
1bdff334b91757add30124f70f9a7cc4

f946c8939647be38d4502642276d036b
f946c8939647be38d4502642276d036b

6a55a8e94f606d05ce6ca77d99a707d3
6a55a8e94f606d05ce6ca77d99a707d3

efc301c57ea744d6c729de75f9c8d96c
efc301c57ea744d6c729de75f9c8d96c

78c428e2d5506561df7c31401c61e6e4
78c428e2d5506561df7c31401c61e6e4

d40528a1543a914ed84ac316df32985c
d40528a1543a914ed84ac316df32985c

5d7c0c44b4ba4e6c988681dfa0ba9865
5d7c0c44b4ba4e6c988681dfa0ba9865

17b7b19d3559e0d910ca7e98ed817db8
17b7b19d3559e0d910ca7e98ed817db8

f8b00b4ca463e11b567ea7bd38265a73
f8b00b4ca463e11b567ea7bd38265a73

ee312daf454a776ffea19e5cfe47e062
ee312daf454a776ffea19e5cfe47e062

b9123d1ca29e99e5fa7a9f245554f4e9
b9123d1ca29e99e5fa7a9f245554f4e9

fdcb4c651fa2e91445039d462eb262d4
fdcb4c651fa2e91445039d462eb262d4

63963a58280aada6e2ebf7f37131f22b
63963a58280aada6e2ebf7f37131f22b

48d736f55af0040322373a0e6910f997
48d736f55af0040322373a0e6910f997

98f1f7fd04e721de16645b6dea49978c
98f1f7fd04e721de16645b6dea49978c

ddbc522166f8a2a89233aba5e7ac04ec
ddbc522166f8a2a89233aba5e7ac04ec

We’d agreed to buy and deliver about $10,000 worth of gravel the local baseball association needed to stop one of their fields from flooding. — The terms were that they would pay us back once the season started back up again.

By then, their treasurer didn’t remember that we’d done it, despite my invoicing them. At cost.

She said that she would check with the man who’d received the rock; their contractor; and, the President of the organization.

I never got a call back. — I tried this method three or four times, documenting each time, and then I tried a different approach.


We were developers in the area in addition to being a general construction corporation and owning a subsidiary ready-mix concrete plant. — The developer aspect meant that I knew a thing or two about lease agreements.

My guess was that they had a lease with the city for that property (land). Since our property (tangible personal/inventory/rocks) was now unpaid for but now an immovable/irretrievable aspect of the city’s property, I could place a mechanic’s lien against that property.

Lessees who encumber the landlords’ properties generally are subject to having their leases canceled. — Just standard stuff. Didn’t need an attorney to tell me this.

So, I sent them a demand letter threatening to notify the mayor.


I soon received a phone call from the association’s President informing me that they did not lease from the city! — “That was county land!”

Oh. — So, the county judge needed to be notified. My mistake.


A week or so later, I was at our main office, in my office, when in filed the entire Board of Directors of that baseball association. They asked my assistant, who appeared then, to be the receptionist, to speak to me. — Well, I saw them walk in, and I’d already gotten up.

The President of our corporation wasn’t there, so I ushered them into his big office with the big desk. The big desk that was built inside the office; it wouldn’t fit back out unless you knocked down a wall.

I got them some chairs and arranged them in front of the President’s desk. They sat down, and then I sat in the big chair behind the big desk and said, “Good afternoon. I am the Chief Financial Officer of this corporation and the manager of the concrete plant. How may I help you all?”


A white-haired lady at least twice my age asserted a couple of things, asked a question, and then made two statements:

WHL: You all said that you were donating this rock to us.

J: I purchased the rock and had it delivered to your location. That was never our agreement. — I invoiced you myself. — I would know.

WHL: If we pay this amount, do you intend to still go after our contractor?

J: Your contractor has a very bad reputation. I wouldn’t go after him as he has no money. Plus, you may have had an agreement with him; this corporation had an agreement with your association. — If you pay the invoices in full, I will have no reason to go after anyone ever again. Not with you all, that is; or, him.

The moment I write, “PAID IN FULL” on your invoices and initial them, you will be paid up. I will have nothing to collect. I guarantee — I wouldn’t try to collect a $0 debt. To do so makes no sense.

WHL: Fine. — She turned to the treasurer and said, “Give him the checks.”

Then, she turned back to me.


WHL: I want you to know: We will never do business with this company again.

J: Ma’am, with all due respect, and I mean that: If this is the way you pay your debts, we will not miss the business.

I verified they’d written out the checks correctly; wrote that information on their invoices; PIF; initialed. — Done.

I handed them to her and said, “Unless these bounce, neither the mayor nor the county judge need to know what has gone on here this year; and, if they find out, it won’t be from our corporation.”


Boy. They were not happy. — Probably because the checks cleared.

“Don’t sit there.”

When I was in college my honor society sponsored a spring break trip to South America. We started in Brazil and ended in Argentina. Overall, great trip. But college students being college students, there were a lot of dumb assholes along for the ride.

In the middle of the trip we went on a boat tour to a little resort. The boat was a big motorized passenger boat of some kind, made up to look like a sail boat. I could immediately tell the mast and the rigging and everything was just set dressing. I got the impression safety was only a mild concern to the boat operators so I made myself comfortable but didn’t sit on or lean against anything that didn’t look like it was an original part of the boat.

Other members of our tour group were not as observant. This was not too long after the second Pirates of the Caribbean film was released so there were a couple of guys who were amusing themselves playing Jack Sparrow (what can I say, they were very stereotypical city boys; I think this was the longest time they’d been outside since they were in grade school).

They were having fun hopping around the deck and hanging from the ropes and stuff, and when one of them perched himself on a flimsy looking railing I warned him “Don’t sit there.” Of course he didn’t listen to me because he was a dumb jock who had already decided he didn’t like me for some reason.

Sure enough, when he shifted his weight the wrong way the railing broke and into the river he went. (Lucky for him we weren’t moving at the time.)

When they fished him back out he was very embarrassed and I was positively full of smug.

Slow Cooker Chicken Burrito Bowls

A Weeknight Meal Solution

(Family Features) During the hustle and bustle of the school year, it can be difficult to find time to sit down for dinner together as a family. However, on weeknights filled with extracurricular activities, homework, meetings and more, it’s still important to put delicious and nutritious meals on the table that can be served whenever your family members can find a few minutes to grab a plate.

slow cooker chicken burrito bowls
slow cooker chicken burrito bowls

Prep: 20 min | Cook: 4 to 8 hr | Yield: 6 servings

Ingredients

  • 1 (16 ounces) chunky salsa
  • 1 pound boneless, skinless chicken thighs
  • 2 1/2 cups low-sodium chicken broth
  • 2 teaspoons chili powder
  • 1 (15 1/2 ounce) can black beans, rinsed and drained
  • 2 cups instant brown rice
  • 1 cup corn kernels, fresh or frozen
  • Chopped avocado, for garnish
  • Chopped cilantro, for garnish
  • Chopped red onion, for garnish
  • Chopped tomatoes, for garnish
  • Lime wedges, for garnish
  • Sour cream or Greek yogurt, for garnish
  • Shredded colby jack cheese, for garnish

Instructions

  1. In slow cooker, stir together salsa, chicken, broth and chili powder. Cook for 3 1/2 hours on HIGH or for 7 1/2 hours on LOW.
  2. Transfer chicken to cutting board and coarsely shred; return to slow cooker. Stir in beans, rice and corn. Cook for 30 minutes on HIGH, or until rice is cooked through.
  3. Serve garnished with avocado, cilantro, onion, tomatoes, limes, sour cream and cheese.

Notes

Dairy foods like milk, yogurt and cheese are on hand in many kitchens and provide nutrients people of all ages need to grow and maintain strong bodies and minds. These Slow Cooker Chicken Burrito Bowls combine dairy with chicken, beans, corn and rice + colorful garnishes for a meal you can set in the slow cooker at the beginning of the day and serve whenever hunger strikes that evening.

I lost a jury trial once that involved my client and another allegedly shooting a man at night in an apartment complex parking lot. A DVR with a video of the shooting was retrieved by the police as evidence. The DVR was returned to the manager wiped clean.

At trial, the victim identified both defendants as the culprits. He explained that both came within 10 feet of him while he was getting something from his car and he saw them clearly when the shots were fired. He knew them from previous run-ins and described their clothing and the gun in detail. The lead detective said nothing of value was found on the DVR. The Defendants offered weak alibi witnesses, i.e. a girlfriend and a mother.

The jury convicted both men and each received sentences of 20+ years. My guy was a habitual offender and was going to serve his sentence day for day.

After approximately a month into their sentence, I was doing a jail visit on another case. Another Detective, not on the case in question, came to where I was and asked to speak with me.

To my complete and utter surprise, he stated he couldn’t sit by and let the two Defendants do time for something they didn’t do. He sent a video to my phone showing the parking lot and the shooting. He had videotaped it on his phone when the DVR was at the police station. It clearly showed only one suspect with different clothing and a larger size discharging a firearm while the victim was reaching for something in his car with his back turned. He told me he didn’t want to be identified as the source and didn’t want anyone to get in trouble. I was so grateful for his action, I agreed to his terms and immediately called the other attorney, whereupon we gave the video to the Prosecutor and the Judge. I’ve never seen two men released as quickly from prison. I understand the City may have settled a civil suit in the matter a few months later but I was never called as a witness nor asked to reveal my source. To this day, I have nothing but respect for the officer who came forward.

I am closing this post with this…

Once upon a time I drove from San Diego to Vegas a couple times a week for business. I hit snow over the first pass out of Vegas trying to get home before 12:00 noon. My car made it very easy to exceed the speed limit without realizing it. I got pulled over by a very angry officer who berated me for my carelessness. He repeatedly told me he didn’t want to hear ANY excuses! After about 3 minutes of his angry lecture during which I sat quietly awaiting my well deserved ticket he stopped and asked me why I wanted to die. I calmly answered, ‘sir, you just told me you didn’t want to hear any excuses, I’ll take my ticket now…I need to get to the next rest stop to use the bathroom.’ I got a police escort to the next rest stop. Once inside, I made sure to take enough time applying my makeup. I figured I escaped a ticket the least I could do was spend enough time in the bathroom to look nice if he was still waiting when I got out. One of the few times that being a woman worked to my advantage.

Russia Paints New Military Insignia on Invading Force – Same Symbol Used in Past Wars against . . . Khazaria

New Russian Military Insignia large
New Russian Military Insignia large

Russia has launched a new offensive into northeastern Ukraine, aimed at Kharkiv and Sumy regions.  But the HUGE news about this isn’t the invasion itself, it’s the SYMBOL Russia is painting on this new force: ‘Rune of Gungnir’ — the spear of Odin.

Below some imagery of the symbol and its appearance on Russian military vehicles:

New Russian Military Symbol
New Russian Military Symbol

 

Historically, the Varangian bogatyrs of Svyatoslav (Ancient Russia) marked their weapons & armor with such runes when they went to war against the Khazarian Khaganate.

There is now no longer any doubt, that Russia knows exactly who their enemy is.

For those unaware, a map of ancient Khazaria appears below:

Khazarian Khaganate
Khazarian Khaganate

 

No other media outlet in the entire world, has made this connection.  You got it here. First. Exclusively.

I’m not going to talk about what I’ve seen on Dark Web. The things I saw on there I’m not going think about anymore.

But once I was in Walmart and saw a couple with a little boy of about six or seven. The boy was pushing the shopping cart looking happy and smiling, the couple looking not very cheerful. He accidently bumped the man in the back with the cart. He didn’t do it hard, just a bump. The man whirled around and shoved the cart so that the kid got hit full in the face. The look of shock and then the terrible hurt on this child’s face made me sick inside. He began to weep in the most pitiful way, in a way that my intuition told this was one of many times this man has been cruel to him.

So I’m standing there with my jaw dropped in disbelief and the kids mother met eyes with me and I shook my head in disgust. She was ashamed and dropped her gaze but all she did was pat the child’s back weakly while that asshole man looked pleased with himself. I saw everything I needed to see, what the dynamic was in this household, and my heart broke for this poor kid.

I considered saying something but the man met eyes with me too and his look was of sheer malevolence. He told me mind my damn business. He had this aura of crazy so I hate to admit I backed down. He looked like someone who would enjoy any opportunity to use it on someone.

Project 8200 Exposed | CIA Psychics Find Alien Bases Underground

I keep coming back and rewatching this episode and this is probably the best episode of the Why files ever!

Massaging the tensions away

My father disowned me once. It was worse than the beating he gave me with his fists and a belt. I’ve never forgotten that day. My mother told me the next day he didn’t mean it, but coming from her that meant nothing. My father was a hard, cold man who played favorites and he loved my younger brother more than anyone. The rest of us were just “labor”, there to do his bidding, and we did. The neighbors called our house “Auschwitz” because it was a miserable labor camp where we were not allowed to play with them until every single chore was done and done completely, every day, all the time. I was mowing the lawn by the time I was seven. My brother hauled rocks in a bucket. The favorite brother would ride by on his new bike and wave at us while we worked. When we complained, we got hit with a golf club my father always carried.

So what did I do? I took it out on my younger brother, the favorite. I was cruel and mean in heartless ways that I am filled with shame when I think of them now. It wasn’t his fault. But when he complained to my father one night, up the stairs he came like Frankenstein. He was a big man, with arms like steel from years of hard construction work, and when he swung he didn’t hold back and when he stopped there were holes in the wall from when he missed me and hit the wall instead. But he didn’t miss often. The entire family watched in silence as I laid there in a ball and crying like a baby. “Serves you right,” my mother said, “For treating your brother like that.” My only guess was that they thought by beating me they would make me love him more somehow, only it didn’t work that way.

I’ve always loved the joke, “The beatings will continue until morale improves”. It has special meaning to me. I moved to college when I was 17. I never went home again.

Dinosaur finds

I was barely twenty-one and working the overnight shift alone in a convenience store. A boy about seventeen was a regular. We had talked quite a bit and I had learned a lot about him. It seems he had some pretty bad parents who had moved away and left him on his own. He had worked to buy his own car and was determined to graduate high school. A teacher at the school had taken him in because he was such a good kid.

One night while I was working, the boy was playing a video game in the corner. A man came in to buy beer. I was careful to request a picture ID because law enforcement in the area had been really cracking down and performing many sting operations. They could be very tricky. The man could not produce the ID and got an attitude, finally saying just give me a pack of cigarettes. I told him I still needed the ID for that too. He did look military and old enough but I had underage friends in ROTC that could pass that test as well so I wouldn’t give in. The man flew into a rage and began cursing and calling me names. He stormed out, got into his truck and gunned it. As he spun his truck around he smashed into the boy’s car who had been playing video games. I called the local police who also called the military police from the nearby base.

We had to go to court and I was called as a witness. I heard that he was reduced in rank because of the incident even before his court date but it got worse from there. I took the stand and his lawyer began questioning me. I will never know why but his lawyer asked if anyone was with him that night. I answered yes there was a woman waiting for him in his truck but it wasn’t the one he brought with him to court that day, who was apparently his wife. I felt really bad for her as the entire court room burst out in laughter, but she did need to know. Her face said it all. He was found guilty and faced several charges but that was the least of his problems at that point. That man had managed to destroy his career and his marriage all in one night.

I absolutely do

I died in 1891 at the age of 54 – as a farmer

I was born again in 1899 and died in 1931 due to drowning

I was born again in 1938 as a Young girl and died in 1954 at 16 due to Smallpox

This Bunkum is called Naadi Josiyam

A Charlatan bamboozled my wife and wrote nonsense for all of us in Tiruvannamalai

A Famous Charlatan nonetheless who took 10,000 bucks from me for stuff I could have written better

My Wife was reborn in 1963 for the 7th time and I was reborn in 1957 for the 4th time and my sons were born in 1986 for the 6th time and 1988 for the 1st time

So My Wife and Older Son were actually Karmically older than me in this Universe

He also said I was apparently a womanizer and lecher in my first birth (1837–1891) and died leaving a pregnant wife in my second birth (1899–1931) and died an unhappy girl in my third birth (1938–1954)

It’s why I didn’t have a daughter in this birth

My wife apparently died in 1961 at the age of 86 (1875–1861) in her Seventh Birth

However I don’t trust the guy a millimetre:-

That’s because he predicted that my elder son would have a love marriage that would disturb me and my family

He had an arranged marriage, as traditional as can be

He predicted my son would be professionally involved with the Government

My son runs a Hedge Fund with three friends and has worked in finance from his first day

So unless my son is a secret CIA Agent, it’s all bunkum

Or unless my second son reveals he was sent by Doval to China to steal secrets on Self Learning Drone Programs


His prediction says my present cycle ends in 2039

My wife who holds a first class degree in Physics is so convinced by this nonsense that in Trivandrum when I had chest pains and went to see Dr Tiny Nair, she actually told a relative “Nothing to worry. He is destined to die only in 2039 so this will likely be nothing”

It turned out to be Gas

𝐔.𝐒. 𝐒𝐓𝐑𝐀𝐓𝐄𝐆𝐘: 𝐓𝐎 𝐊𝐄𝐄𝐏 𝐏𝐇𝐈𝐋𝐈𝐏𝐏𝐈𝐍𝐄-𝐂𝐇𝐈𝐍𝐀 𝐑𝐄𝐋𝐀𝐓𝐈𝐎𝐍𝐒 𝐈𝐍 𝐏𝐄𝐑𝐌𝐀𝐍𝐄𝐍𝐓 𝐂𝐑𝐈𝐒𝐈𝐒

There’s a lot of lesson we should learn from the Reuters expose on how the U.S. military spread disinformation in the Philippines during the pandemic. One of the most significant ones is to prevent Philippines and China from transforming their relationship from enmity to amity.

The U.S. military propaganda was simple: to make sure the Filipino masses will ALWAYS see China as a threat, which would then tie the hands of leaders who want to be voted into power. Why does the U.S. want to do this? Taiwan.

The long-term goal of the U.S. is to prevent the unification of Taiwan with the Chinese mainland.

The real agenda of the U.S. is to keep the Philippine leg of its theatre of war against China. The Philippines is the nearest state to Taiwan, and from which, the U.S. can also launch attacks to Chinese cities.

To justify its massive military presence in the Philippines, the United States has to make sure that Philippine and China relations will remain in permanent crisis. It is never in U.S. agenda for these two countries to pursue a compromise solution. It is only by keeping China as a permanent threat to the Philippines that the U.S. can fulfil its long-term goal of having long-term presence in its territory.

If one would go back to the last 10 years, one can see how the U.S. has made sure that China will always be perceived as a threat by the Philippines:

1. Allowing China to have several fait accompli in the South China Sea: Scarborough Shoal, artificial islands, and I am afraid, soon it will involve Ayungin Shoal.

The U.S. has no intention in stopping China from doing all these things – didn’t do it in Scarborough Shoal crisis; didn’t do it in terms of artificial islands, and will never do it in Ayungin Shoal (hence, the very anemic copy-and-paste statements).

By letting China accomplish these series of fait accompli, the Philippines will be in a state of permanent crisis with China, hence, in a relationship of perpetual enmity. It is the narrative of “China stole these from us” hence we need the U.S. to help us get them back, which will NEVER happen.

The U.S. will keep on justifying its presence as a way to deter Chinese actions, which is belied by empirical reality. The U.S. military propaganda even escalated the narrative into preventing “China’s invasion of the Philippines.”

2. The U.S. military propaganda has prevented any joint development efforts between the Philippines and China. The earliest time I know was the joint-seismic mutual understanding between the Philippines, Vietnam, and China during the Arroyo administration. During my interview with former President Arroyo in my show Statecraft in 2021, I asked her about what was the U.S. position on that.

President Arroyo has told me that U.S. bureaucrats had been objecting to the joint venture. She took it up with President Bush who was surprised that U.S. bureaucrats were objecting to a research project.

The most aggressive propaganda against any joint ventures of the Philippines and China happened during Duterte’s time. Almost everyday, Western media and mainstream Philippine media were undermining diplomatic efforts of the Duterte administration. Filipino pundits beholden to Pentagon have made sure that Filipinos will not find these acceptable, labelling them as capitulation, selling out, debt trap, etcetera. These Filipino pundits have taken advantage of the largely uninformed Filipino masses on how joint ventures work.

If the Philippines and China successfully forged joint partnerships, ventures, and development, their relationship will be transformed from rivalry to partnership, as they have both stake in making the cooperative arrangement work. The alternative to that is perpetual enmity, of zero-sum game between the Philippines and China, which the United States wants to happen.

Just ask yourselves: How many times the United States initiated any diplomatic talks between the Philippines and China? None.

3. The U.S. will never back up the territorial sovereignty claim of the Philippines because that would mean being against not just with Chinese mainland, but also with Taiwan WHICH HAS EXACTLY THE SAME CLAIM AS BEJING, Viet Nam, and Malaysia. It is absolutely idiotic to think that the U.S. will go against any of these countries for the sake of the Philippines.

Thus, the U.S. will keep on encouraging Filipinos to pursue absolutely unrealistic goals. By keeping them in that state of hope that they could achieve those goals with U.S. help, the Philippines will be stuck in a permanent crisis with China, which the U.S. will use to justify its “temporarily permanent” military presence in the Philippines, which is nothing but for the sake of Taiwan, which is also a territorial rival of the Philippines!

4. The U.S. military propaganda will always undermine any diplomatic efforts of the Philippines with China. How many times our Foreign Affairs Secretary had any high-level meeting with his counterparts in Beijing in the last two years??? By preventing any meaningful exchange with China, the U.S. creates the perfect condition for paranoia: Fear of something you don’t understand; and you cannot understanding something unless you have meaningful engagement with it.

What would this do to the Philippines?

1. Stuck in a perpetual cycle of dependency with the United States

2. Forever a mendicant of U.S. largesse.

3. Maldevelopment brought by being in a state of permanent crisis with the world’s largest economy, the world’s most complete industrial system, and the world’s scientific superpower. All these benefits the Philippines will not be able to gain in a transformative way because the U.S. will not want it to happen. If the Philippines benefits from China’s rejuvenation, the U.S. will lose the magic of its propaganda against China.

4. In the long run, the country will be a battleground of a war not of its making.

Joint development with China is the only realistic goal. This transforms the relationship of China and the Philippines from a relationship in perpetual crisis into a collaborative relationship. This will not be accepted by the United States. However, it is up to the real sovereign of the Philippines – the Filipino people – to determine the fate of their relationship with China Permanent Crisis or Permanent Cooperation.

Joe Biden Now Shuffles Like a 2-Year Old Toddler

Bill breaks down Joe Biden's bizarre behavior in recent days, including his shuffling and mumbling during a major address.

Hungarian Prime Minister Viktor Orban has called for Ursula von der Leyen to be replaced as president of the European Commission (EC), describing the past five years as the worst in the EU’s history.

When asked why he was preventing von der Leyen from being appointed to a second term, Orban replied: “Due to her lack of efficiency. The green transformation has been a complete failure of management, and the migration package does not solve the problem.”

“Europe needs more effective leadership. There are plenty of talented politicians who can provide it,” the prime minister said in an interview with German media group Funke.

“The last five years have probably been the worst five years in the history of the EU. The achievements of the European Commission and the Brussels elite are weak,” Orban maintained.

The Hungarian Prime Minister also noted that the results of the European Parliament elections demonstrated a clear desire for change in Brussels.

On March 7, von der Leyen was nominated as a candidate to head the European Commission at the European People’s Party congress in Bucharest.

The most ridiculous adult tantrum I witnessed had to be at a Lonestar restaurant by a grown woman and her family.

My family was having dinner at a LoneStar restaurant. As we were just served our food, we saw a family get up from their table to leave. They were walking towards us to leave the restaurant. A middle-aged woman was at the front of the group and she was dressed up nicely, like they were celebrating some special event. My son and I both looked up at the same time just as the woman – middle aged, took a couple of steps and dived forward right beside our table. She landed face forwards with her hands extended to break her fall. She had high heels on and it was very clear to us that she tripped over her own feet. We saw the before, during and after, like a slow motion video. Her family was right there to help her up and she looked at us, embarrassed. Next thing we knew, she started to yell and moan loudly, so the entire restaurant went silent, watching her. She claimed the floor was wet. It wasn’t. She said she broke her ankle, but we saw her dive forward, not sideways or backwards. She yelled for her husband to hold her as she hopped on one leg towards a bench beside restaurant door, still in her high heels. She insisted that the restaurant call an ambulance.

The restaurant manager very quickly cleared the way for her to put her feet up and tried to dissuade her from calling the ambulance. All this time the woman yelled loudly that it was negligence on the part of the restaurant and she wanted the police. The manager came to our table and looked at the floor and saw that it was completely dry. No spilt drink, or food. The husband now said he saw a piece of paper on the floor that caused her to fall. There wasn’t. The manager was trying to reason with them and offered ice for her ankle, but she screamed for an ambulance and police.

The ambulance came. Yes! She insisted on an ambulance for a supposed twisted ankle! Not life or death situation. Just a twisted ankle. The ambulance attendants couldn’t believe it and we heard them telling her that there was no swelling, no sign of broken ankle, and they didn’t think she needed to go to emergency. She started to cry loudly but agreed that she would not need to go to the hospital. One of the ambulance attendants came by our table and asked if we saw what happened. We told him that we saw the whole event and described the scene to him. He rolled his eyes and walked to the front and left with his team. The woman and her family left right after, but yelled back at the manager “You’ll hear from my lawyer!”

We left our name and phone number with the manager, and told him we would be happy to provide witness account of what we saw. He was most grateful. A few days later we did hear from the restaurant -corporate office. They asked us to recount the event and said they’d let us know if they needed anything else. We never heard from them.

Trump speaks

I had a friend. We were nearly inseparable. Any free time we had, we were doing things together. Hanging out, playing music, meeting new people, racing cars, you name it we did it. As life often facilitates, we lost touch after I moved a number of times and I hadn’t heard from him in years. The last I knew, he was a healthy guy working a good job got his own place and life seemed to be picking up for him. I heard he had a daughter, though that might well have been when things took a dramatic turn for the worse.

The woman he impregnated, turned out to admit she was actually a lesbian and had used him to have a child as she wanted it to have his striking physical features. He was half German, and half Peruvian – a handsome fellow. Through the lovely court system they required him to pay an exorbitant amount of child support to a woman that admitted she used him, and was going to do everything in her power to prevent him from being part of their life. He tried like heck. The guy worked double shifts to try and be an honorable man, despite that at the pinnacle of his existence, his life was being devastated by an evil woman.

The emotional turmoil was too much for him to bear. Though this isn’t how I handle myself when I am experiencing stress, I can understand why he chose to do what he did. He needed an escape, his reality was just too tough to cope with any longer. For a man that wanted nothing more than to love a woman, and raise his daughter – never seeing her and being extorted for money was like a death sentence. He went from alcoholism to drug use. A couple of drinks turned into losing most of his body mass and becoming emaciated and on the brink of dying.

I called him one day after contacting his brother who said he could use a friend and that he thought he would be happy to hear from me. We talked, we reminisced about the days of old. I told him how my life was going and asked how his was. He didn’t say much, just sort of avoided the question. I said hey you know what, let’s hang out. He says oh I can’t I have to get some money. I said money for what? He says I owe somebody money, I have to come up with $2,000 by tomorrow or they are coming for me. I said I’ll give you $2,000 and I will come pick you up if you need a ride. I just want to hang out – money means nothing, what time is good? He says you don’t understand, I owe a lot of money. I owe more than $2,000. My cars gone, I lost my job, and now I’m royally screwed.

I wanted to think it was the child support that had him jammed up, but it wasn’t. He said oh by the way, I got injured at a concert, I hit my head. The doctors don’t know why but I lost all this weight, I look like I’m sick. I said that doesn’t sound right man. Be straight with me.. he says I am, I just can’t see you, you’ll never understand. Just get on with your good life, it sounds like you’re doing great, I don’t want you to get involved.

My friend would never be heard from again.

I can’t tell you where he went, or what happened. I don’t know if he moved, or passed away, there was no closure. His family went silent as they knew the truth but weren’t going to tell anybody. I would find out later that he was heavy into drugs that he would take intravenously, and that he owed a drug dealer so much money that it’s possible foul play was involved in his disappearance. To this day I still don’t know, which is very sobering to think about.

It wasn’t the drugs, or the alcohol that I find to be the biggest mistake.

It was him not reaching out for help, or accepting help when plenty of people around him cared enough and had the means to get him out of what ever he was into, and make it go away permanently. He was stubborn to a fault, and it might have cost him his life.

So if you or somebody you know is experiencing distress, please, don’t be too proud to ask for, or to accept help. If somebody reaches out to you, put your hand out and allow them to help you up.

Stay well my friends.

I was pregnant with my first child and working in a hospital. I was probably third trimester, this child was long awaited, and I was proud to be carrying her. For the most part, people were respectful and understanding- coworkers and patients alike.

Then one day I had a patient who was not respectful, not listening to staff, and who threatened to beat me up once he got out of bed. He was actively trying to get up and throw punches. Then he tried to kick me. I lost it.

People talk about Momma bears and maternal instincts and I never took it seriously. I used to box in the ring (before pregnancy) and I used to equate fighter’s zen with maternal protective instinct. Adrenaline is Adrenaline, right? No. Not the same.

Maternal instinct was like being possessed by something very, very ancient and angry. While I can’t tell you exactly what I said to the patient, it was something to the effect of “How dare you threaten me and my child? What would your mother say if she saw you behaving like this?! I know she didn’t raise you to threaten pregnant ladies! Shame on you!” I could feel the truth in what I said and I knew he didn’t dare hurt me. I was invincible. In that moment, I was every mother who had ever been and who would ever be.

I swear the guy’s eyes bugged out and then he started full on bawling. I made a full-grown adult male cry with a scolding. He told me I was right and his Momma would be ashamed of him for threatening me. He promised to behave for the rest of the night and he kept that promise- he even became somewhat protective of me and urged me to take care of the baby.

It was a powerful experience and one I haven’t needed since. Thank God. I know that scolding was only a sampling of the power the rage had given me and I’d feel sorry for anyone it was directed towards- once my children are out of danger, of course.

Threads (1984) – Bombing Scene

I had a patient come in for a consultation. She was accompanied by her husband, who was very concerned.

His wife was in agonizing pain following an ablation procedure by a local orthopedic surgeon. She had radiating sciatic pain prior to the ablation, but afterwards she developed extremely severe pain in the area of the injection and was unable to sit or stand in a normal upright position. She’d developed all types of compensatory problems due to the limited and contorted positions she had to assume to avoid pain. She was in tears describing it.

The patient had returned to the treating MD, who had told her he had no idea why she was in so much pain and implied that she was hysterical or faking. She had gotten a second opinion from another orthopedist. I happened to know that the second orthopedist was close friends with the first doctor. They got a third opinion from another orthopedist who was a member of the first one’s group practice, and they all shrugged and said they had no idea why she was in so much pain.

Some background: An ablation procedure is a pain-management technique. First, the patient will have a numbing injection, sort of like novocaine, injected into the nerve root that’s suspected of being the source of the patient’s pain. If that gives them relief, they are considered a good candidate for an ablation.

The day of the ablation, the patient is given general anesthetic or sedation. A radiology technician initiates a real-time X-ray video (fluoroscopy) to monitor the procedure, which continues the entire time. The physician then inserts a probe into the nerve root and applies something that destroys the nerve root from which the pain is coming. The real-time fluoroscopic monitoring is important because the probe has to be placed precisely in the anatomical spot where the nerve root is located. The ablation can be done using heat, electricity, or microwaves (radiofrequency or RF ablation). In this case, it was an RF ablation.

The patient brought post-treatment MRI and CT reports in which the radiologist described “ill-defined inflammatory changes” in the facet joint at the level of the ablation (the facet joints are the two little joints between each pair of vertebrae in the back of the spine). On the actual images the facet joint was not even visible, the area being full of fluid and calcification.

The whole thing sounded fishy to me. Instead of examining her, I did something I would ordinarily never have occasion to do as a chiropractor. I contacted the hospital and sent for the operative report of the procedure. I sent her home, telling her to return after I’d had a chance to review the report.

When I read the report, I was shocked.

The orthopedist had dictated the report as though the procedure had gone just as anticipated and no problems were encountered.

However, after the report was dictated, the radiologist had gone back into the record and dictated an addendum. The fluoroscopic equipment had failed just as the orthopedist was preparing to insert the probe. The radiologist advised the orthopedist of that fact, and that the procedure would have to be aborted.

The orthopedist had proceeded with the ablation anyway, blind!

It was apparent to me that the orthopedist had inserted the probe into the patient’s facet joint and applied the destructive microwave radiation anyway. The joint was basically cooked and all the inflammation and calcification I saw was the patient’s body trying to heal the destruction. He’d covered up his mistake in dealing with the patient, and his colleagues had joined ranks and covered for him too.

There was nothing I could do to help this poor woman. As a chiropractor, I can’t adjust a joint that’s been completely destroyed. I did provide the couple with a copy of the operative report (which they hadn’t yet seen) and explained what it said in layman’s terms. I gave them some names of malpractice attorneys.

I never heard what the final outcome of the story was. I was never called to testify in a malpractice suit on the case, but that wasn’t surprising because I had never actually treated the patient and as a chiropractor, I legally couldn’t have an opinion regarding a surgical procedure outside my scope of practice.

That orthopedist was still practicing when I became disabled and left town several years later. He is probably still teaching, and still on the provider panel for all the local insurance plans and HMOs.

Let the patient beware.

When I think of fugitives who could live off the land in the woods, the only name that comes to mind is Eric Rudolph who was the Olympic Park Bomber. By the time that Rudolph was identified as the bomber he had disappeared into the Nantahala National Forest. For five years the FBI scoured the forest with bloodhounds, electronic motion detectors, and heat-sensing helicopters. They set up listening posts with cameras and hired local residents as scouts to search every section of the wilderness.The FBI put Rudolph on their vaunted Ten Most Wanted List and added a $1,000,000 reward tag for his capture. This caused a tsunami of bounty hunters to flood the forest, determined to make a fortune off of finding Rudolph. They all failed.

The FBI spent five years and an estimated $24 million searching for Rudolph and turned up nothing. It took a 21-year-old rookie with the Murphy Police Department named Jeffrey Postell to catch Rudolph in the act of dumpster diving behind a Save-A-Lot store. Rudolph said, “I’m glad it’s over. I’m tired of running.”

After his arrest Rudolph cooperated with the feds for a life sentence and directed them to a campsite hidden deep in the woods. It was scattered with deer hides, wild turkey remains, a high-powered rifle, and food containers that hung from the trees. They also found plywood, roofing paper, books, and cooking supplies. the Sheriff said, “you could walk within 10 feet of it and never see it.”

He told them stories of raiding dumpsters and grocery stores, digging through the dumpster at the movie theater for popcorn. He’d steal food from gardens and grain from silos, transporting it all in a stolen truck. he would boil the grains and then pound them into pancakes and fry them. He also told them that he lived off of nuts, berries, wild turkey, bear and salamanders that he swallowed whole like sushi. He ability to evade the feds turned him into a survivalist folk hero. Some people in the area wore t-shirts that read “1998 Hide and Seek Champion Eric Rudolph.”

Today, Rudolph spends 22.5 hours alone in an 80 square-foot concrete coffin in the depths of the Florence Colorado supermax prison. I bet he wishes he was back in the woods.

WTF?

The story behind this photo continues to shock anyone who recognizes it.

In 1968, two men kidnapped Kim Bird. They put her in a homemade coffin, took this photo and buried her in the woods. They hung the photo on a local bulletin board and signed on the back, “I am buried in the woods, you have no more than 5 days to find me.” For days, passersby looked at the photo and thought it was a joke. Until a former colleague of Kim’s saw the photo. She immediately went to the police, but they didn’t know what to do. After all, they only have the photo, but where it is buried is unknown. Then Kim’s classmate started looking at the photo and realized that some letters are written strangely, highlighted in bold, and if you look closely, you can read the girl’s secret message….

main qimg d168f80b8f9b13b241997acefb818a9a
main qimg d168f80b8f9b13b241997acefb818a9a

On the leaf was written Kim + Brad (classmate’s name). It was exactly the kind of inscription they had once left on a tree during a vacation in the woods as a token of their love for each other in high school. Brad immediately went with the police to the site and they found a fresh grave next to the tree. Kim was saved, but if she had been found a few hours later, she would not have survived. For many years afterward, neither Kim nor Brad could explain how it happened that she was buried there and how Brad saw that photo. After all, he had just happened to be in town for work, he hadn’t lived here for years.

Proof Egyptians Didn’t Build The Pyramids?

f197b9fcd2330ce6ed341a3f24fd9021
f197b9fcd2330ce6ed341a3f24fd9021

59a19a6018592c579965874977cb301e
59a19a6018592c579965874977cb301e

fa16c3ec18093734b50cba0a9b6c3d5a
fa16c3ec18093734b50cba0a9b6c3d5a

8710a7b46b61300e48c2785c1ada8506
8710a7b46b61300e48c2785c1ada8506

6a3d4bb8abc1656601c80d5efdeb7050
6a3d4bb8abc1656601c80d5efdeb7050

1a7f5dd60461a5fecc82d35a26dcd5bc
1a7f5dd60461a5fecc82d35a26dcd5bc

d943400b7dc20521649a207fb34165e9
d943400b7dc20521649a207fb34165e9

6f57674d508d7f8d14479c2696d66885
6f57674d508d7f8d14479c2696d66885

7e4fd32e30fc616bc03f9ce411f002ed
7e4fd32e30fc616bc03f9ce411f002ed

fef52482e5194ef540ff95bb2b7adb1a
fef52482e5194ef540ff95bb2b7adb1a

e316a930334be3432bc08ad5fd7d3edf
e316a930334be3432bc08ad5fd7d3edf

cad52126fe6d7096f62e0a02186c72ab
cad52126fe6d7096f62e0a02186c72ab

09fd1ee5e59b4960baab7683ef3950d2
09fd1ee5e59b4960baab7683ef3950d2

66438489ece7ec6aac7f0ac92d7eb6df
66438489ece7ec6aac7f0ac92d7eb6df

788c26d403be172f29f45220abf62bac
788c26d403be172f29f45220abf62bac

5645ed055e77bf7dff54851475e2d436
5645ed055e77bf7dff54851475e2d436

0c97751f5d09513ccee08ea5e87f1a02
0c97751f5d09513ccee08ea5e87f1a02

4ba729b6dcaa97c38c8b85a94adcc291
4ba729b6dcaa97c38c8b85a94adcc291

4260678d1cbe2ab219cee2007e513956
4260678d1cbe2ab219cee2007e513956

aad1cfb467316f592ca42a919e9a08f6
aad1cfb467316f592ca42a919e9a08f6

c002b3e7e1bf04771f7dcb795cbd0628
c002b3e7e1bf04771f7dcb795cbd0628

2898b8cfcc5b4b9bd81cd966767d2ef3
2898b8cfcc5b4b9bd81cd966767d2ef3

52035bf4133ba7c57b696fa674c6bf06
52035bf4133ba7c57b696fa674c6bf06

e4fe112808c1d3b2ee753a6949ce213e
e4fe112808c1d3b2ee753a6949ce213e

0682ab5dd31c89a7f1fd9355c2fb4836
0682ab5dd31c89a7f1fd9355c2fb4836

42177d89eed07c3f981299b158a4869b
42177d89eed07c3f981299b158a4869b

5b81ed0214ca706833f832805c008c2f
5b81ed0214ca706833f832805c008c2f

d43cd4710a1b874260bcd53b0ae7b82a
d43cd4710a1b874260bcd53b0ae7b82a

cc5b0b101f6547c82fcbd56043d20f20
cc5b0b101f6547c82fcbd56043d20f20

e4c411b84bc84e0fe83be377d4a7de38
e4c411b84bc84e0fe83be377d4a7de38

45d6d038063cc1509e9153a01e2aad90
45d6d038063cc1509e9153a01e2aad90

873c4ce0fd3381789ae32e03e75eead3
873c4ce0fd3381789ae32e03e75eead3

bc323c22c8fb0ada5bd13ce047e62a2b
bc323c22c8fb0ada5bd13ce047e62a2b

f973faee914a4e0a8105915baadd3c92
f973faee914a4e0a8105915baadd3c92

Yes. In the early ‘90’s I worked as medical staff in a drug and alcohol treatment program. Our program was geared toward treating patients who were lower income.

One woman, a single mother of 5, was brought in by her social worker. Said social worker was insistent that we admit this woman immediately stating that she was in imminent danger of losing her children. The children’s ages ranged from 9 to 8 months.

This woman’s 5 and 3 year old children had been found outside at 3am by a neighbor going through the neighbor’s trash looking for something to eat. The 5 y/o was wearing a dirty tshirt and stained underwear. The 3 y/o was only wearing a filthy diaper. This was in the middle of December. Department of child services determined that the mother had been away from home using drugs with a friend for almost a week.

We admitted her. It was her 4th admission in less than 6 months.

During her admission process, she was hostile and uncooperative. It was obvious that she did not want to be there. My tech had asked me to help with this admission as she was having difficulty dealing with this woman and the social worker constantly arguing about this mother’s need for treatment. The social worker reminded her that she may lose her children because she had left them alone for days. At this point the mother turned to the social worker and said “well, you can’t expect me to take my kids with me everywhere I go, can you!”. My tech and I were horrified by this callous statement.

This “mother” stayed in the program 15 days, just long enough to have a letter send to court stating that she was receiving treatment for her addictions.

10 days after she checked herself out of the program, her 5 y/o found some matches and set their house on fire. The 4 children that were in the house at the time died in the fire. The 9 y/o died a few days later. He had been looking for the mother and was on his way back to his brothers and sisters when he saw flames coming through a downstairs window. He died from injuries sustained after entering the house while trying to rescue his siblings.

It took police 3 days to track down the mother. They found her at a local crack house.

I can still see the expression on her face when she said what she did to the social worker that day.

WTF ALERT! RUSSIA “DOWNS US DRONE?!” NATO ATTACKS RUSSIA, NUCLEAR RADARS ATTACKED AGAIN!

Just when you think it can't get worse, it does.

The story of a taxi driver, which I heard from another taxi driver, who got infected by rabies. 2009 he was bitten by a stray dog, and within few hours he went to the doctor for an anti-rabies shot. He got the first shot right away. A person who is exposed and has never vaccinated against rabies should get four doses of rabies vaccine – one dose right away, and additional doses on the 3rd, 7th, and 14th days. They should also get another shot called Rabies Immune Globulin at the same time as the first dose.

Now, he did get his first and second shot on time but then missed his other two injections. Fast forward 2014, he received a call from a customer for taxing to the airport. During a rainy night, he had body aches and headaches. In the morning, he started his day with a mild fever for a few days. He went to GP for treatment to which GP prescribed him Paracetamol, multivitamin, and rest. He took medicine and left for the client’s house. He picked up the client and drove him to the airport. Later in the day, he did his daily chores. While in the market for buying something he got agitated, and he said the cashier was about to hit him. They called the store manager, and the argument concluded into checking the cameras to see what happened. To everyone’s surprise, nor cashier or the driver moved a muscle. There was only a verbal argument. Back at home, he told his wife about what happened that he saw cashier hitting him.

Days passed, and his fever went from mild (99.5F) to fluctuating high (102-103). He still kept taking Paracetamol and multivitamins. Weird thoughts and hallucinations continued with depressions and him crying no reason. Next came the severe back pain and random seizures. GP wrote them for tests and asked for medical history in which they revealed the dog bite. They started with the 4x injection cycle. But nothing worked for the poor man.

Before the seizure, he’d become violent and even tried to attack his own family. At home, he was tied with chains and couldn’t bear the bright morning light. In the last days, even looking at the ceiling fan rotating gave him headaches and seizures. He was fed from a distance and would drool during the seizures. Only his grandfather went to help clean and dress up because the rest of the family was afraid of infection. Within ten days of reappearing rabies, he died.

Kashmir

"Vietnam is a vibrant and unique ancient civilization adding to the tapestry of a multipolar world.

Hanoi pursues an independent foreign policy in the global arena and strongly advocates a just world order based on international law and principles of equality of all states and non-interference in their internal affairs.

We highly appreciate the fact that our countries have coinciding or similar approaches to pressing issues on the international agenda.

We work closely together within key international forums, first of all within the United Nations.

We have similar assessments of the situation in the Asia-Pacific region.

We see Vietnam as a like-minded partner in shaping a new architecture of equal and indivisible Eurasian security on an inclusive and non-discriminatory basis.

We are grateful to our Vietnamese friends for their balanced position on the Ukraine crisis and their desire to facilitate the search for practical ways to settle it peacefully.

All of this is fully in line with the spirit and nature of our relations."

Excerpt from the article by Russian President Vladimir Putin for publication in Nhân Dân, the official newspaper of the Communist Party of Vietnam Central Committee, ‘Russia and Vietnam: Friendship Tested by Time,’ June 19, 2024.

When I was the director of an urgent care in southern California, a patient came in for a gynecologic follow-up after seeing one of my colleagues a couple of days before. The other doctor’s notes stated that he had carried out a pelvic exam and that the findings were all normal. I said to the patient I would like to do a 2nd exam, if she didn’t mind, and she said, “What do you mean by ‘second exam?’ I didn’t have a first!” I was confused. “Wait, you don’t recall the other doctor before me performing a pelvic exam?” She looked at me as if I was nuts. “I think I would remember a doctor pushing and prodding my internal organs!”

I had a chaperone come in, did the pelvic exam, and released the patient home, but then I embarked on a little “internal affairs” inquiry. Since all pelvic exams by a doctor must be accompanied by a female chaperone, at least one of the nurses should be able to confirm if the other doctor had done one on the night in question. All who had been present at the time confirmed that he had not done one. This means that he had falsified the details of the exam and put it in the record. This is very serious. He potentially endangered the patient’s life because she could have had pelvic inflammatory disease (PID).

Since I had known this doctor for many years, it was a painful thing to call him in and confront him, and after his admission, he was terminated. If I had ignored the transgression, then I would be guilty of what doctors (and the police) are often accused of: protecting each other and covering up mistakes. There’s no way I could be part of that.

Valentino the cat was rescued from horrible circumstances. But the cat was in rough shape.

She had horrible sarcoptic mange and got sicker each day that she was in the shelter.

They tried to help her. But she needed a lot more care than they could give her.

They couldn’t pick her up, because she was contagious to humans.

And she was sick and weak. After a while, she couldn’t even open her eyes.

The cat was in terrible pain and the shelter didn’t have money to treat her.

They had to put her down.

The sad cat cries silently in her cage waiting to be put down.

Then something incredible happens…

Litter in the middle

I am born in East Asia, I lived my entire 66 years of my life in East Asia, I am an a Chinese origin Singaporean so I am very qualified to speak! The U.S. must recognised that it’s time as the world barbaric bully is truly over and done with.

Americans must recognised facts that it cannot deny it took over the biggest and baddest barbarian of the world from UK in 1945 because it was the only one left with one eye when the rest of the world that is strong and powerful fought each other till all are half dead! You can say the U.S. is the king of the blind in 1945.

In spite of taking all the advantage to shape the world your way and stealing all the spoilt of the world war 2 it don’t take long before Europe overtook you, then Japan and East Asia and today the U.S. is a pale shadow of yourself compared to 1945!

Your debts and deficits are up to the roof. You guys have a million people living in tents homeless, if you take away say 10 richest Americans. Your nation is more like number 20 in per capital GDP. Your life expectancy is 76 which is 2 years old lover than China who spends one sixth of the U.S. to care for a population 4 times your size!

Today your debts has turned unsustainable, 35 trillion and adding a trillion every 3 months! Your nation plus 41 of your dogs nation plus a host of slave vassals threw your kitchen sink collective against Russia for 2 years over yet you have clearly lost! Oh you lost in Korea, in Vietnam to rice farmers, to Afghanistan to goat herder and it is time to stop pretending that you are the top dog and be humble again.

The last thing to call yourself is being exceptional or even good and it is time you need to work with East Asia and the rest of the world. Yes get into your thick skull the world don’t belong to you. And stop regime change it is totally democratic! Stop war mongering, you don’t have enough to care for your own people. 35% of American are poor by world standards! They have less than 500 buck in their name! If the fall sick the cannot get cure because they have no health insurance! Your kids get to debts before they get into life because they are charge exorbitant college fees!

America you cannot be a world policeman. No one wants you to be and certainly no one will pay a cent for it! Go back to do what all nation leaders do. Take care of your people and feed them well! You have done enough damage to the world. It is time to stop! China and Russia cannot be stopped, together they are impossible to stopped and plus Global South is like David and Goliath and you are David! Stop pretending, I know it feels good to lie to yourself but it is time to stop!

Start fighting hard to help the world that you destroyed by and large single handedly. And stop hiding behind democracy and freedom you guys have none of them! Stop pretending and stand up to your responsibility of 100 plus million deaths worldwide and don’t add another soul more! Take whatever winning you still have and try to keep it as long as you can and make a better America! We the world wants that you are another nation and a good customer and our partner.

If you continue to do shit you will pay and pay dearly. You cannot win with your fellow shit stirrer and you has been group of dogs nations and slave vassal states it can only hasten your demise! Stop it. That is my advise!

  1. You can kiss anywhere you want! Forehead kisses, kiss on cheeks, horizontal kiss, vertical kiss 😉 .
  2. You’ll have someone to talk to day or night and have conversations for hours.
  3. When you’re feeling low you know whom to call and she’ll make sure you feel great again.
  4. She will care for you. Asks you if you’ve taken your medicines in time or warns you before you do anything stupid.
  5. You will have never ending talks and if your interests are same, you’ll talk about the whole universe.
  6. You get bragging rights in front of your single friends :p .
  7. The feeling of comfort when you are in her arms can’t be described in words.
  8. If someone hurts her, it hurts you more.
  9. Your girl will take you to different shopping places. So you go out more often.
  10. You’ll get unexpected gifts that will make you feel special.
  11. She’s the first person to wish you on your birthday. Sharp 12:00 a.m.
  12. If you’re both financially independent you’ll help each other grow both financially and mentally.
  13. You’ll get your s*xual needs or desires fulfilled.
  14. *When she did something wrong and you are mat at her*. She: Sorry I won’t do it again 😢. He: please don’t talk to me. She: Please na, I’ll do anything for you 😉. He: anything? She: anything you want😜. (Nothing but a random conversation between nibba nibbi).

I have been HIV positive for 31 years. It was a death sentence when I was first diagnosed. Everyone died. No treatment. You were also deemed an untouchable, a pariah. I was a straight woman and felt I had no community and no one to share with. What I did with this awful fate was choose to be free. Do things I would normally be afraid of doing. I spoke my mind and even made it funny. Now after living with this debilitating disease for most my adult life I have a body of work I would never had accomplished without this diagnosis.

I was a fitness trainer in my last year of college when I found out. My goal in life back then was to have a great body. HIV pushed me so much farther than that. After I found out I did not give a crap what I looked like anymore. Life was too short for vanity. What a liberating moment that was!

I wrote six books, was a sex columnist for 10 years, I became a slam poet and eventually was named Poet Laureate of Bucks County. I did a one-woman show, Sex, Cellulite & Large Farm Equipment: One Girl’s Guide To Living & Dying in theaters all over the world. I traveled internationally speaking on sexuality, HIV, sexual assault and addiction. I was given an honorary PhD for my work. I appeared on many TV shows as a writer, activist and performer.

I eventually retreated to the Caribbean to paint full time. Painting huge colorful paintings. As I painted I really felt what HIV had given me, the ability to not judge my work, to go for it. I would often say when I felt afraid of the canvas, making mistakes on a big painting, “You are going to die anyway, just paint!”

HIV is a huge inconvenience. I hate it and wish I did not have it. I have suffered so many physical challenges, depression, and loneliness but I decided to not let it define me and to use it to allow me to bypass the mundane minutia that makes life so often drab and futile. I never worried about money. I didn’t have it but it didn’t matter, I had enough. I never worried about the future or getting old because it was not going to happen. And now that it has happened and I have no savings, no plan I took a leap of faith and I retired to a small mountain town in Mexico where I could live cheaply and allow myself the luxury of spiritual growth, spending many of my bedridden days in deep meditation. I have become fearless through this disease.

Life is life and I live in the moment, in complete acceptance, at peace. That is a place I do not believe I would have ever landed in this life with out the inconvenience of AIDS.

 

Big Blueberry Popover

Big Blueberry Popover
Big Blueberry Popover

I’ve never had a popover before, nor do I have a proper “popover pan,” but those aren’t good reasons to never try my hand at making them! I wanted to know what all the fuss was about, so I did some research using my favorite chefs and food blogs and settled on the unbeatable Julia Child for my base recipe. Always having to make a baked good my own, I decided to sweeten the recipe and add blueberries for fresh blueberry popovers worthy of tea time.

Great for breakfast – a refreshing change of pace from muffins. Wonderful with blueberries, but any berry can be used.

Yield: 6 servings

At first, the recipes and tips seemed overwhelming. I thought popovers were complicated, but they really aren’t. It’s a simple egg batter with no leavening that is cooked very hot to create steam. This steam forces the batter to rise, making a crispy shell and an airy, custardy center. Blueberry popovers make the perfect breakfast treat along with some tea, or maybe as a side to a sweet salad to sop up the dressing.
Popovers are very forgiving. Not only do I not own a popover pan, but I don’t even own a metal muffin tin (gasp!). I forgot I had purged most of my metal pans that had rusted and replaced everything with flexible silicone during a kitchen spring cleaning. I pushed on, and you know what? It worked just fine.

How to Make Blueberry Popovers

Traditional popovers are just five ingredients: eggs, butter, salt, milk and flour. I added in some sugar and blueberries and topped them with cinnamon sugar. They really are quite simple.
Start by preheating your oven to 450 degrees and setting the rack on the lowest setting. Next, grease your muffin tray or popover pan with butter or oil and place the pan in the oven to pre-heat. If, like me, you are using silicone, place the muffin pan on a metal tray for stability.
The most important rule is to have all of your ingredients at room temperature. If you decided that you need to bake impulsively, you can warm the eggs in a bowl of very warm water for ten minutes, microwave the milk for 30 seconds, and microwave the butter at 20 second intervals until melted.
Mix the eggs, milk, butter, sugar, and salt together. Then whisk in the flour all at once until the batter is as thick as heavy cream with very few lumps. You can also use a stand mixer or blender. You want the batter to have some air in it, so whip it until it is foamy.

Ingredients

  • 1 cup milk
  • 1/2 teaspoon vanilla extract
  • 2 tablespoons butter, melted
  • 1/4 teaspoon salt
  • 1/8 teaspoon fresh ground nutmeg
  • 1/4 cup granulated sugar, divided use
  • 1 cup sifted all-purpose flour
  • 2 eggs, beaten
  • 1/4 teaspoon ground cinnamon
  • 1 cup blueberries or other berries

Carefully take your pan out of the preheated oven. We are going to add the room temperature batter into the hot pan to kick-start the steaming process. I mixed my batter in a large liquid measuring cup for ease of pouring, but you could also use a dry measuring cup or ladle to distribute the batter into every other muffin cup or each popover tin. You want to fill each space slightly more than halfway, which makes a very satisfying sizzling sound.

Moving quickly, sprinkle a few blueberries into each cup and top liberally with cinnamon sugar. Place the tray back in the oven and set a timer for twenty five minutes. You have to be patient with popovers, so no peeking! Opening the oven door lets out too much heat and could interrupt the steaming process, leading to less of a pop.

After 25 minutes, lower the oven temperature to 325. Most recipes called for another twenty minutes in the oven, but my blueberry popovers were done in ten. You know they are done when the tops are a deep golden brown and tapping on them creates a hollow sound. Blueberry popovers are best eaten fresh from the oven, as they deflate and soften as they cool.

Instructions

  1. Mix first 5 ingredients + 3 tablespoon sugar in a large bowl. Stir in flour, then eggs until just combined; let this batter stand for 5 minutes.
  2. Meanwhile, mix remaining 1 tablespoon sugar and cinnamon in a separate bowl; set aside.
  3. Adjust oven rack to middle position and heat oven to 450 degrees F.
  4. Place berries in a buttered 9 inch pie pan. Pour batter over the berries; sprinkle cinnamon-sugar over the batter.
  5. Transfer pan to the oven and bake for 20 minutes.
  6. Reduce oven temperature to 350 degrees F; bake until popover is firm and golden brown, 15 to 20 minutes longer.
  7. Cut popover into wedges and serve immediately.

I caught the builder next door doing this. I had given him permission to recharge his cordless tools at the workbench in my carport. That wasn’t going to cost me very much.

But then a few days later I saw an extension flex leading across the boundary line, powering two industrial dehumidifiers. I told him, nicely, that he hadn’t asked about that.

He instantly said, how much do you want for the power? I said, what’s the load and how long is it going to be on? He invited me to have a look. I did some quick calculations and gave him a guess, a range of possibilities, saying it was a guess. He straight away handed me a bundle of cash for about twice the highest figure I’d quoted and gave me a realistic figure for what it would cost him to hire and run a generator, and said he’d be back with more cash when they were finished with the power. And he was, but I refused… he’d already paid far more than it had cost me.

A builder wants to be on good terms with the neighbours. I’m watching his stuff.

And it can work to be nice.

Her: Um.. babe…I’m AC-DC…..

Him: Well, that’s nice. Have a good life! “footsteps waking into the distance” ….

When I worked at Dreamworks I shared an office with another artist. It was a long skinny office with one of us at each end. There were stacks of used couches in the basement. We asked if we could move one of the couches into our office. We were told couches were only for management. (There were many more couches than there were managers.) We asked if we could bring in our own couch and were told that was fine. (We bought a $200 couch from Ikea and put it in our office.)

Dreamworks used to have wintergreen lifesavers in all the commons areas. (You work in close proximity to others during approvals.) Then they hired Steve (name changed). After work every day Steve would go around to the commons areas and dump all the uneaten lifesavers into a bag and take them home. Dreamworks also used to stock the fridges with drinks. He would take those too. When my supervisor confronted Steve, he wasn’t embarassed or even trying to cover up his actions. He thought everyone else was stupid for not taking them because they were free and no one had taken them during the day. Dreamworks also didn’t mind if you occasionally invited a family member for lunch at the studio. The understood rule was no more than once a month. When Steve found out no one really checked how often, and security would let him “wife plus kids” a drive-on, he started bringing his whole family in for lunch, his wife plus their 4 kids, every day. Then they started coming for lunch and breakfast which was just oatmeal and cold cereal for employees that had to be there early (Steve didn’t). So here’s one guy who’s abusing the system that 400 other people were not abusing. Instead of pulling Steve aside and explaining the rules, they cut the entire benefit for all employees.

Why China is already ahead of the US

Pretty good. Gives insight into just how backwards the USA actually is.

Carl Zha talks to Dr. Oualaalou of the @geopoliticaltrends and @GeopoliticsInConflict about why China is already miles ahead of the US in many areas such as public infrastructure, especially in power generation and ultra-high voltage power transmission, how China is rapidly catching up in areas long dominated by the US such as civilian aircraft. #chinaeconomy #uschina #tradewar

A chair that cats would love

Yes, it does.

This is a deliberately loaded question asked by Sen. Tom Cotton repeatedly to score propaganda points in the US media. This is because most Americans have been taught to have an irrational hatred of the word “Communist”, without understanding that the word is simply a relic from the Party’s founding in 1921.

Saying that the Communist Party of China believes in Communism is like saying that the US Democratic Party believes that the US is a democracy, while the Republican Party believes that the US is a republic. While there is some basis to this, the policy differences between both parties are much more profound than what is reflected in their names.

Many Americans continue to believe that the CPC is dedicated to “defeating” the US, and converting American society to a form of government like China’s.

In fact, the Chinese government is pro-development and pro-trade, because China has grown to the world’s second-largest economy in fifty years by introducing capitalist policies supported and promoted by the US, and participating in trade organizations like the World Trade Organization (WTO).

US politicians and the media have repeatedly accused Chinese companies of IP violations and violating the rules, but this is something all growing economies have done in their history. In the second half of the nineteenth century, this was what US inventors, entrepreneurs and manufacturers did to Europe.

To sum up, the use of this language is part of a concerted effort to dehumanize any business which has been successful in China, and is now successful outside China.

This dehumanizing paves the way for more extreme measures and actions in the future.

Unfortunately, this rhetoric has been used repeatedly in the US’s past with American Indians, Irish, Italians, Chinese in the 19th century, African-Americans, Jews, Japanese-Americans, Hispanics and Muslims.

Now it is the turn of the Chinese again.

Pretzel Chicken

Pretzel Chicken
Pretzel Chicken

Yield: 8 servings

Ingredients

  • 8 pieces of your favorite cut of chicken
  • 1 cup pretzel crumbs
  • 1/4 cup all-purpose flour
  • Salt and pepper to taste
  • Garlic powder, to taste
  • Paprika to taste
  • 1/2 cup soy sauce
  • 2 tablespoons lemon juice

Instructions

  1. Crush pretzels in blender.
  2. Mix (in a bowl or paper bag) pretzels, flour, salt, pepper, garlic powder and paprika.
  3. In another bowl, combine soy sauce and lemon juice.
  4. Dip chicken pieces into liquid mixture, then roll around (or shake in paper bag) in dry mixture.
  5. Put the coated pieces in a shallow or flat pan lined with foil.
  6. Bake at 375 degrees F for one hour.
  7. Turn over chicken over after 30 minutes.

U.S. foreign policy isolates the U.S.

This is a very, very good discussion.

As I have said many times: the isolator becomes isolated. People are sick of the bully and are fighting back.

Yes there is. I have experience of both the UK NHS and also HK’s system.

I’ve lived under the PRC system but don’t have much experience with it as I don’t get ill and hopefully this will remain the same for a long time.

The UK has NICE – National Institute of Clinical Excellence.

For regular injuries and stuff no, I had my nose and fingers broken many many times from fights in the UK, they kept putting me back together, but for more complex treatments…

In short they decide what drugs can be used, what to treat and how many treatments you can be given of something. Some drugs under NICE are too expensive for the benefit. So they’re not purchased or used by the NHS. Some treatments like IVF are limited in how many times you can have it.

In HK? There is a nominal fee, but there have been cases where there is strict rationing, the HK medical system is very pain killer happy. They’ll give you painkillers even if you’re quite badly hurt and tell you to go away. There’s 5–6 pretty tragic cases of somebody needing an organ. Organ donation is iffy here due to cultural things. But I remember several men, they found a viable liver / kidney. But they couldn’t perform the transplant as they simply didn’t have the budget to carry out the surgery so they had to wait till the next tax year. But by then the organ had been given to somebody else.

The Dating Scene DESTROYED Them But Women Keep Coming Back For More

This is a great Video. This brings back painful memories which i have been enduring. My relationship of 5 years ended 3 months ago. The love of my life decided to leave me, I really love her so much I can’t stop thinking about her, I’ve tried my very best to get her back in my life, but to no avail, I’m frustrated, I don’t see my life with anyone else. I’ve done my best to get rid of the thoughts of her, but I can’t, I don’t know why I’m saying this here, I really miss her and just can’t stop thinking about her.

The culmination of “Murphy’s Law” in excess.

When I was 20, my two good friends, E and S, were getting married. I had known him, E, since high school, and her, S, since the start of college.

They were both those clumsy types of people — you know the type who seems to always trip on that small thing, or slip on that patch of ice.

While at their wedding, it seemed that their clumsiness transferred to everyone and everything else—meaning that nearly everything that could go wrong, did, in fact, go wrong.

Here’s a summary list, instead of the whole story:

  1. Power cut out while everything was being set up—this was not the only power outage that would happen.
  2. The priest who was to wed them was late—got in a fender-bender accident on the way.
  3. The rings were supposed to be delivered by the best man. He forgot them. So he had to drive the one hour round trip to get them.
  4. The photographer dropped his camera and shattered it. He said not to worry, he had a backup—he dropped it, too. Their pictures were taken by standard cameras from relatives.
  5. When the priest finally arrived, he slipped on someone’s spilled coffee, breaking his wrist. He still conducted the ceremony.
  6. The air conditioning quit working after the second power outage, right as the music to walk down the aisle was starting. It would get so hot that everyone was sweating profusely by the end.
  7. When the groom, E, was waiting at the altar, the power went out again. A few laughs, a few groans, and quite a bit of murmurs of annoyance were all that could be heard.
  8. As the ceremony began, the priest had the wrong names—called them two women’s names. Awkwardness at best.
  9. The best man passed out, mostly due to the stress of having to race back to his house to get the rings and the heat inside once the AC cut out.
  10. One of the relatives in the back got into a fight with another and had to be escorted out of the building.
  11. Power went out once more as vows were being said.
  12. After they’re officially married and exiting, the bride slipped on some thrown “bird-friendly” rice. The groom got one in his eye.
  13. The printed instructions to the reception took everyone to the wrong entrance, which, as it is set up, means that everyone was beyond confused on how to circle the whole compound just to get to the other side. It took 20 minutes for people to make some signs and stand on the corner to redirect people where to go.
  14. They had an open bar. A drunken uncle loudly wished, over the microphone, them the best “F*cks of their lives.”
  15. A jaded ex of the groom’s (also an old acquaintance of mine) tried to crash the reception and make a scene – thankfully she was handled by the reception hall’s security.
  16. Finally, they both fell during the bride/groom dance.

They’re both still happily married, 10 years later, and just as clumsy as ever.

CONFIRMED: Dengue Fever Outbreak in Brazil and Peru – Millions Sick, Thousands Dead

Brazil surpassed 4 million cases of dengue fever registered this year, according to an update from the Ministry of Health’s Arbovirus Monitoring Panel this Monday. Argentina now has its own outbreak.

In total, 4,127,571 probable cases of the disease were reported across the country in the first four months of 2024.

As for dengue deaths, 1,937 have been confirmed and 2,345 are under investigation. The incidence rate of the disease in the country is 2,032.7 cases for each group of 100,000 population.

The most affected age group is 20 to 29 years old, which accounts for the majority of cases. The least affected age group is children under 1 year old, followed by people aged 80 or over and children aged 1 to 4 years old.

The units of the Federation with the highest incidence of the disease are the Federal District, Minas Gerais, Paraná, Espírito Santo, Goiás and Santa Catarina.

Projections released at the beginning of the year indicate that dengue cases in the country could reach  4,225,885.  With the country already seeing over 4.1 Million cases, that estimate is way low.

It is noteworthy that about 6 weeks ago Bill Gates & Oxitec released genetically modified mosquitoes in Brazil.

PERU

Deaths caused by the mosquito-borne dengue disease have more than tripled in Peru so far this year, according to data from the South American nation’s government, which is redoubling efforts to contain an epidemic that has hit poor areas the hardest.

The government this week said it had approved an “emergency decree” allowing extraordinary economic measures to bolster the plan to counter the outbreak.

Mild symptoms include nausea, rashes and body pains, while a rarer severe form, more threatening for infants and pregnant women, can cause internal bleeding and is potentially fatal.

Peru’s health ministry said that as of Thursday, there were 117 registered deaths from dengue so far this year compared with 33 in the same period of 2023. Suspected cases have also more than tripled to reach some 135,000.

So Bill Gates and his pals released mosquitos in South America, and now Brazil and Peru are suffering wild Dengue Fever Outbreaks.   I have questions….and not about climate change.

Africa will Be the New China Very Soon – Economist Jeffrey Sachs.

“Africa is a continent brimming with potential. From its rich natural resources to its vibrant cultures and entrepreneurial spirit, Africa is poised to become a global powerhouse

“Africa’s potential lies in its youthful population, its expanding markets, and its increasing connectivity to the global economy. With the right investments and policies, Africa can unleash a wave of innovation and growth that benefits both its people and the world.”

“One of Africa’s greatest assets is its abundant natural resources, including minerals, oil, and arable land.”

“With sustainable management and responsible investment, Africa’s natural wealth can drive economic development and lift millions out of poverty.”

“Africa’s potential extends beyond its resources. It’s a hotbed of innovation and creativity, with a burgeoning startup ecosystem that’s generating solutions to some of the continent’s most pressing challenges.”

From fintech to renewable energy, African entrepreneurs are harnessing technology to drive social impact and economic progress.”

“Africa’s cultural diversity is another source of strength and potential. Its art, music, and traditions are capturing global attention and driving tourism and cultural exchange.”

In the early days of the semiconductor industry, technical difficulty and investment were relatively small, and a single company could complete all aspects of design, manufacturing, and testing. For example, Intel in the 1990s completed all aspects alone.

As Moore’s Law continues to develop, semiconductors are becoming more sophisticated and complex. The cost for a single company to complete all links is getting higher and higher, and resource utilization is getting lower and lower. As a result, division of labor began to appear throughout the industry. After entering the 21st century, semiconductor design, manufacturing, packaging and testing are often completed by professional companies.

Semiconductor design companies focus on designing semiconductors and applying them in their own fields. Semiconductor manufacturing companies focus on production, and they do not care about design and application. This will help these companies leverage their own strengths and maximize the use of assets, thereby reducing costs and improving efficiency.

China’s semiconductor companies emerged in the 2010s, when the world’s semiconductor division of labor was already very mature. Their scale cannot be compared with companies like Intel or AMD, or Qualcomm. To compete with leading companies, they must adapt to this division of labor, reduce their costs to the greatest extent, and improve their competitiveness. Even traditional semiconductor giants like AMD and Qualcomm entrust semiconductor production to manufacturers like TSMC.

Therefore, it is very normal for companies like Huawei to entrust semiconductor manufacturing to companies like TSMC. It would be foolish and unreasonable for them to build their own production capacity from scratch and try to replace TSMC.

This is reflected in a reporter’s interview with Huawei CEO Yu in 2020. He told reporters: We used to believe very much in global division of labor, and we believed that global division of labor was the most efficient option. Therefore, US sanctions have made us very passive. If we had chosen to invest in semiconductor manufacturing, we would not be facing these troubles today.

Obviously, it is political behavior that destroys the natural selection of the market, and the global division of labor in semiconductors is destroyed.

In a bold move, China is moving fast to court the EU economy away from the US. President will be visiting France, Serbia and Hungary to show Europe there are big benefits in partnering and trading with China. More importantly, building China’s trade allows them to secure their economy against US economic sanctions. Here’s what you must know!

When asked a question like this, with respect to India, I always find out

What did the Chinese do?

Next I find out

What are the mistakes the Chinese did?

Next I find out

How can we modify the Chinese solution to suit India given the differences in Land ownership, Laws and Political system?


Facts:-

Now China is a nation of 1.4 Billion people just like India is

In both these nations, Agriculture is a sustenance activity

This means the priority is to FEED 1.4 BILLION PEOPLE rather than use Agriculture and convert it into a Fully Profit based commercial model

Unlike Brazil, Russia or Ukraine where Agriculture is a fully commercial activity like any other with a fully established profit motive

So in both India and China – the Farmer is NOT a businessman working on a profit motive

His priority is to grow as much food grains as possible to ensure the country can eat

India and China both depend on the Supply Side Model

This means farmers grow the maximum crop they can produce and THEN demand is catered to

If there is excess, prices fall and if there is a deficit, prices rise and the Nation imports to bring down prices or uses RESERVE STOCKS


Thus it’s clear that

The Farmer is not a Businessman and treating him like one is a big minus

It is clear that the Farmers only job must be to efficiently grow crops and get a high yield

It is someone else’s Job to protect the farmer from market price shocks and to ensure the farmer can sustain himself and grow

In China – this role is adopted by the State

The State sets a fair price, The State sets the storage and transportation costs, The State provides the seed and facilities, The State gives insurance against floods and bad weather

In India – this role is not fulfilled properly by anyone

Prices are set by exploitative and opaque bodies in the name of market forces, Seed and facilities are private and depend on loans, Insurance is a rarity and non existent on any but largest holdings

Thus Capitalism is brutally exploited

In China a farmer can get a Tractor on a State loan and flexibly pay across a 5 year period with no interest

In India, a farmer borrows at 10.50% interest and can repossess the tractor if 3 months interest isn’t paid


Thus the first thing to do is to

  • Offer Nationwide Insurance against Crop failures to farmers which should include payment of bank interest for 6–9 months at least
  • Get the State to handle Storage and Distribution of Grain or get a State -Private Body Joint Venture to do so at fixed capped costs
  • Change the nature of Agricultural financing

You do this, then the next step is to take care of the operational aspects of Agriculture

THIS MAN SPEAKS TRUTH TO POWER!! His words echo in my old soul!

An old man named Leonard Mack cried today in court. His attorneys comforted him. In 1975, Mack was a 23-year-old Vietnam veteran, he had a two-year-old daughter and a newborn son. His family meant the world to him. Then, just as he was beginning to find his way in this world, he was falsely accused of raping a teenage girl…

main qimg 3d56639a9c2c7beeb6a40f32a853785f
main qimg 3d56639a9c2c7beeb6a40f32a853785f

Leonard Mack, an innocent man, landed behind bars. And for almost eight years he remained imprisoned. He missed vital parts of the childhood of his son and daughter. Missed first steps, first words. Life passed him by, and all he could do was be an onlooker from afar, frozen in time, stuck in a steel and concrete hellhole… when he was freed, he was now in his thirties, and forced to go through life as a felon and a registered sex offender. His life, in a sense, was over before it began in earnest. It’s only now that Mr. Mack was finally exonerated in full, and declared innocent.

It took almost half a century for a DNA database proved another man was guilty of the crime for which Mack served the time and suffered the pain. Using DNA to solve crimes is a beautiful invention… but I am often haunted by the thought of all those countless souls who suffered unjustly for the crimes of others, before such technologies were known or widely available to law enforcement.

The Perversion of Marriage & The Assault On Christianity

Palestinian Muslim here, and do I respect all Christian denominations but Orthodoxy has a special place in my heart, not only because Orthodox Christians are more aligned with my people’s political stance but also because of they are the most outspoken group against degeneracy

Image: Me visiting the Spam Museum in Austin, Minnesota.

I came to live in the United States in April 2006. I have an American wife and I have persuaded her to cook several British foods that are rarely eaten in the United States.

Interesting to me a visit to the Spam Museum in Austin, Minnesota taught me that the canned pork product is much more loved outside the US than at home. Personally despite what you might think with the photo, my visit took me to the very gates of heaven.

Somethings that can’t be found in the US easily.

Ox tongue, it can be found shredded in many Mexican supermarkets as Lingua, but it is not generally found as a sliced meat. My wife has bought a tongue a couple of times and prepared it. It is a long process to do that properly so often I opt for the shredded variety.

Black pudding. Often called by Americans Blood Sausage, it is very difficult to buy because it does contain blood and many people don’t imagine they would like it. Sadly I would have more for me, if only the blood was a legal food additive.

Heinz Baked beans. I find it puzzling that the humble baked bean found in every British grocers and supermarket is almost unknown in the US. Heinz being a US company and all. But they can be purchased in specialist stores that cater to ex patriot British and of course Amazon.

Lamb or Ox liver is difficult to find. The ability to buy offal is extremely limited. It seems Americans just much prefer the outside muscle meat rather than the more nutricious insides of animals. Offal in general is awfully expensive when you find it.

Lamb, Ox or Pig Kidneys. Again it’s offal see Liver.

Fruit Cake. For some reasons Americans like their cakes to be puffy, sweet and overly iced confections. I have tried to eat some American varieties of fruit cake. Finding them to have the weight and consistancy of house bricks. The art of good fruit cake making has not survived in the US.

Mushy Peas: My wife makes me mushy peas to go with my fish and chips. I don’t know why Americans cannot find the heart to love a good spoonful of mushy peas. After all it is a simple product, boiled peas but I am sure if one charged $50 per spoonful on a Las Vegas strip tasting menu they would be raved about on the Food Network and have competitions to the chef making the best mushy pea ever.

Scotch Eggs. My wife quickly learned to make Scotch Eggs. Hard boiled eggs wrapped in a coat of sausage meat. Simple but fr some reason it confounds many Americans that one could combine the two, yet thy eat sausage or sausage patties and eggs for breakfast at times.

Shandy, the 50–50 mix of Bitter and Lemonade. It can’t be bought in stores like it can in Britain, but can be recreated, I buy imported Newcastle Brown Ale and use 7 Up as a lemonade substitute. One place I ordered Shandy in the US filled the glass with Bud Light and added a drop, I mean a real drop of 7 Up. Nowhere near a 50–50 mix and not particularly satisfying on a hot California day.

These are just a few things that I have come across. I have also found many regional American foods that are very good and interesting to eat, many of which have roots in old European everyday working peoples foods. Filling, high calorie and hunger supressing foods to go to work on in the morning and kept you full all the working day.

Most foods from home I can either recreate or use what is available to substitute. So there is no real hardship on my part.

Thanks for reading.

All the best.

Some fun Comix

Honestly, some of these would make great memes.

a68fc26b32c2642ac8c02b29dc6c894a
a68fc26b32c2642ac8c02b29dc6c894a

9d12dfa3e3be8119dd6c45244eedb0e5
9d12dfa3e3be8119dd6c45244eedb0e5

10dd2b169e9b8081cf4ab5d8b6fceeca
10dd2b169e9b8081cf4ab5d8b6fceeca

052fa49846c02a0240de28fbfcc7b141
052fa49846c02a0240de28fbfcc7b141

e165ff5bbb049b182522bf55f745392b
e165ff5bbb049b182522bf55f745392b

05989dbba2c1948ee113b5a25419d40d
05989dbba2c1948ee113b5a25419d40d

0bb60702f44d38305b5a701beca144e4
0bb60702f44d38305b5a701beca144e4

d63e59967fa96932c056d440071e83ce
d63e59967fa96932c056d440071e83ce

d4d95b556917d8bc8329d1efe1efe9c7
d4d95b556917d8bc8329d1efe1efe9c7

a2a38a17e2a9d8458d7fac7629d4610b
a2a38a17e2a9d8458d7fac7629d4610b

b89dce529ec154620e8b54d7ff3d03a0
b89dce529ec154620e8b54d7ff3d03a0

9d0c69b39146cb5d6d0ca68a3f9d6182
9d0c69b39146cb5d6d0ca68a3f9d6182

d2fcae75d6355930a94b7f6f8c92a3c7
d2fcae75d6355930a94b7f6f8c92a3c7

c62a0abe997e7a4eaff8e9807109547f
c62a0abe997e7a4eaff8e9807109547f

09a8c639aef8a51f982329a9e393fb61
09a8c639aef8a51f982329a9e393fb61

83464d5c39160f091edb48eef34dfc57
83464d5c39160f091edb48eef34dfc57

ca6440e8bca80737f13dadf77d7b53b5
ca6440e8bca80737f13dadf77d7b53b5

3701f5894ff1e10a64557a49bb6b8b25
3701f5894ff1e10a64557a49bb6b8b25

28bbad56c2f03e42b82418ac2efbf00f
28bbad56c2f03e42b82418ac2efbf00f

678d3f0a0306d7b95b92f4fb0d1deb4e
678d3f0a0306d7b95b92f4fb0d1deb4e

fd470045dda671ddc96c70b9fca79625
fd470045dda671ddc96c70b9fca79625

c14b03ea04e41fad440113f95d1e3589
c14b03ea04e41fad440113f95d1e3589

6ba8aba63f26eece6a56b2024c9fc1ab
6ba8aba63f26eece6a56b2024c9fc1ab

6b8d3b730fa67fa39266795f160f7332
6b8d3b730fa67fa39266795f160f7332

8df266880a1845eb8f526316599455b7
8df266880a1845eb8f526316599455b7

866ed2d3776175e788bf3a6ca5665d3e
866ed2d3776175e788bf3a6ca5665d3e

45c1c34466b6e4283fd00fa5e6b53647
45c1c34466b6e4283fd00fa5e6b53647

cbdc905c9c43599aeddfc333d8e4192d
cbdc905c9c43599aeddfc333d8e4192d

ed888ae47ad621c44831cb0d09275bc9
ed888ae47ad621c44831cb0d09275bc9

e4e3d6879528f55e6f2d40d11f3d2022
e4e3d6879528f55e6f2d40d11f3d2022

d75ac8646b2229359e2c3314f0833299
d75ac8646b2229359e2c3314f0833299

18003ef01d195af2e05f33f1018411f4
18003ef01d195af2e05f33f1018411f4

e3c0575caff558313a5b63a648b04bc1
e3c0575caff558313a5b63a648b04bc1

553b647297dc96e22e6c6e0243897125
553b647297dc96e22e6c6e0243897125

a180e87eb714ca9ecf2304a188929470
a180e87eb714ca9ecf2304a188929470

bb587e783ed3829f7667a783b9fe255e
bb587e783ed3829f7667a783b9fe255e

a4590d89a8f0f92557ceac7a882c08ec
a4590d89a8f0f92557ceac7a882c08ec

cb3745f59c93e0b956709eefd166c425
cb3745f59c93e0b956709eefd166c425

366fdbc7c98a3cc2da2b600a00ee1710
366fdbc7c98a3cc2da2b600a00ee1710

013f9bee2c13c90cbca28e1700b63703
013f9bee2c13c90cbca28e1700b63703

185ed02f4fd72a8cbd2f1dcef25b9a9b
185ed02f4fd72a8cbd2f1dcef25b9a9b

7e35cd0cfc7440fd2011d17cd6fd26b5
7e35cd0cfc7440fd2011d17cd6fd26b5

315229abc9244d22638b5f56f1ebed0b
315229abc9244d22638b5f56f1ebed0b

85ebeaa96e45b7e763c9e169eb4ca108
85ebeaa96e45b7e763c9e169eb4ca108

Paper Bag Chicken

Bagged and ready
Bagged and ready

Brown bag chicken is a vintage cooking method that produces delicious, juicy chicken every time. Perfect for any poultry, too! 

If you love the way a bird turns out in those plastic oven bags, but wish there was an alternative then I’m here to make that wish come true for you.

Plastics can impart unhealthy chemicals into foods, so if you’ve gone to the trouble to source local, pastured, organic poultry you don’t want to ruin it with petrochemicals right? Today, I’m sharing how I make delicious, moist, tender and flavorful Brown Bag Chicken (and even holiday Turkeys!) What? Baking in a brown paper bag? Yes, you read that right – in an ordinary brown paper bag.

Apparently, making Brown Bag Chicken has been around for several decades.

Although I could not exactly pinpoint what era in which it began, it seems like perhaps the 40s or 50s. I always feel like I should be wearing pearls, heels and one of those poofy-skirted dresses when I make this. And, depending on the day, I might feel like I got some ‘splainin to do, even though my  name is not Lucy. Maybe that’s because I like to watch I Love Lucy re-runs while I’m cooking.

There are two divided camps on this method.

The Once You Cook in a Brown Paper Bag You’ll Never Go Back camp and the Cooking in a Paper Bag is DANGEROUS crowd.

The nay-sayers warn that the bag could catch on fire or that paper bags are unsanitary. It is technically possible for the bag to catch on fire, but that is probably only if it comes in contact with the side or top of the oven or the heating element in an electric model. Wouldn’t the same be true for plastic bags coming in contact with the same surfaces?

As with any method you employ to cook food inside a giant gas or electric powered box, you should pay attention to what you’re doing and use common sense.

The concern over a paper bag being unsanitary comes from the inks and glues used in manufacturing the bags, and the possibility that the paper could contain metal shavings from being recycled.

Using a bag that has no ink, or very minimal printing on the bag, that has not been used before (you can very easily and inexpensively get a new paper bag at a grocery store), minimizes at least some of those risks.

Honestly, compared to having endocrine-disrupting chemicals being steamed into your chicken, baking in a brown paper bag sounds much more healthy to me. But, that’s your call to make!

I joined the ranks of the Once You Cook in a Brown Paper Bag You’ll Never Go Back camp a couple of years ago.

I’m not even sure how I heard about it, but I can honestly say Brown Bag Chicken is the best chicken ever – moist and flavorful! The bag keeps the moisture inside and browns and crisps the skin.

I always cook my birds breast side down, too – that keeps the breast meat from drying out. You don’t get crispy skin on the breast portion that way, but for me it’s fine since I don’t eat the skin.

It’s so easy, once you try Brown Bag Chicken I’m sure you’ll love it!

Don’t be alarmed if your kitchen smells a little funny at first (kind of like a warm, greasy brown paper bag…if you can imagine that!), that will quickly be replaced by the aroma of delectable, juicy baked chicken.

There’s also no set way to season your bird, it’s more of a technique than a recipe so you can be as minimalist or creative as you want to be.

Ingredients

  • Butter, softened
  • Salt
  • Pepper
  • 1 whole fryer
  • Chopped celery
  • Chopped bell pepper
  • Chopped onion
  • Chopped garlic

Instructions

  1. Rub butter all over whole fryer, inside and out.
  2. Salt and pepper fryer, inside and out.
  3. Stuff fryer with chopped vegetables.
  4. Place fryer in a brown paper bag which has been greased inside.
  5. Roll up the open end and bake at 350 degrees F for 30 minutes per pound of chicken.

Bag it, seal it, bake it.

Put the chicken in the bag (SEAM SIDE DOWN!) then roll or fold the top in close to the bird, tucking it under if you can. If you can’t, and it won’t stay closed, you could put a couple staples in the fold to keep it shut. I’ve never had to do that myself. Or, you could use kitchen twine and tie it shut.

Place your buttered, seasoned, bagged bird on a baking sheet with sides. Juices will collect on the baking sheet and you don’t want that dripping to the bottom of your oven. You can also use a shallow baking dish or roasting pan. (I love my Pampered Chef Stoneware Bar Pan!).

Put it in the oven and bake for one and a half hours.

You may need to adjust your cooking times according to the size of your bird or if it’s stuffed with stuffing, for example. In my oven, an hour and a half is just right for an average sized chicken.  If you remembered to put the seam side of the bag down, you can easily remove the bag by grabbing opposite corners and pulling the bag off over your chicken. 

Give this brown bag chicken a rest before slicing to keep it juicy.

Waiting 10 or 15 minutes to slice gorgeously roasted bird will keep the tasty juices inside the meat. I know it’s hard to wait, but trust me it’s worth it!

Serve to your hungry and salivating dinner companions and be prepared for oooh’s and aaaahhh’s and PleaseOhPleaseMakeThisAgain’s! Serve this with Buttery Garlic, Mushroom, and Chive Spaghetti Squash or a THM friendly stuffing, such as this S style Healthy Baked Stuffing from Wonderfully Made and Dearly Loved, and a green salad or veggie for a completely healthy and tasty THM S meal!

Thanksgiving turkey turns out perfectly, too.

We’ve made many Thanksgiving turkeys using this same brown bag method. Just be sure your turkey will fit the bag you have (or vice versa).

Any time I’m roasting poultry, I use this brown bag chicken  method and the results are never disappointing!

ITALY’S WARNING to the WORLD – It’s Over!!

China has 4 times the population of USA, it will naturally surpass USA if it develops without any foreign interference.

USA lacks knowledge about being the master, because it doesn’t have a long history.

The present situation for USA is like the last years of Ming dynasty of China. At that time, China faced following problems:

  1. Manchu’s power is growing, however, Ming took a strong attitude toward Manchus, which caused the latter give up any hope to build a good relationship with Ming and stayed within the map of Ming.(USA to China)
  2. Long lasting alert and histility on Mongolians (who established the last dynasty prior than Ming) including trade embargo, Mongolians had no choice but to allied with Manchus. (USA to Russia/China)
  3. Military abuse in southwest China, including some places in present Myanmar and Vietnam, but ignored the peasants’ uprising in inland. (USA in Iraq, Afghanistan)
  4. War of parties in the government. (Democrats, Republicans)
  5. Broken domestic economy,and the chaos caused by bankrupted farmers and merchants, which eventually evolved into a nation wide uprising. (Inflation, high interest rates, banks go bankrupt)

USA adopts a “winner takes all” mindset and does not want to share its power with others, this inevitably made others to think of other possibilities.

A simple case:

In around 2010–2012, there was a hot discussion among China, Japan and Korea to promote an “Asian Dollar” as the three became the biggest ecomoic zone in the world. However, in 2012, Korea and Japan’s relation was broken by Dokudo Island crisis, China and Japan relation was ruined by Diaoyu/Senkaku Island crisis, Japan’s ambassidor was “comitted suicide” at home. The Asian Dollar became an illusion after the relations among the three went down. Everyone knows it is USA behind the whole thing, just like NordStream II. Because if Asian Dollar succeeded, the US Dollar is threatened.

But look back from 12 years later, we can see, the Asian Dollar at that time can not be an independent currency as the three all rely on US Dollar and US market. Therefore, USA can try to exert its influence on Japan and Korea to make AD anchored USD, and USD’s position is guaranteed. Eventhough we can foresee AD does take some share from USD, but AD as a regional currency, will not replace USD globally, same as the Euro.

However, if there’s a AD 2.0 in future, as China’s power grows much stronger, the AD 2.0 does have the potential to replace USD.

In China, there’s an old saying describe this: 丢了西瓜拣芝麻 (Throw a watermelon away to pick up a sesame.)

“USA Collapse Is Far WORSE Than You Think…” — Robert Kiyosaki’s Last WARNING

A Lifeguard Was Fired For Saving A Drowning Man

Sometimes, rules and regulations ply over efforts and emotions!

Tomas Lopez was on duty at Hallandale Beach, Florida, when someone rushed over to his post. A man had swum out too far, Lopez was told, and the victim was drowning. As any lifeguard would, Lopez rushed over and got the man to shore with the help of some other beachgoers. There, they gave the man CPR, called the paramedics, and saved his life.

main qimg 12a45e39ea1ee55e2cba26c05d1df44c
main qimg 12a45e39ea1ee55e2cba26c05d1df44c

When Lopez handed in the incident report, he was fired instead of being heralded as a hero .

The drowning man, his company informed him, was “out of the protected area” and a clearly visible sign said that anyone who swam there did so at his own risk.

According to company policy, anyone drowning outside the designated area was to be left to die.

Lopez’s coworkers were infuriated.

Six other lifeguards stood up for him, telling the company and the media that they would have done the exact same thing and that Lopez deserved an apology.

So the company fired them, too.

PENTAGON Is Shocked: S-500 PROMETHEUS Shot Down 11 US ATACMS Missiles Over Crimea and Crimean Bridge

I had very recently moved across the US and transferred my job working the customer service desk at a high end grocery store. I grew up in Harlem and had recently moved to the deep Midwest. It was very different in a lot of ways.

One of the biggest ways, was “celebrity” behavior. Back home, the biggest rule for cashiers was to politely pretend you didn’t know who you were helping if that person was famous. Hilary Duff, Keanu Reeves, Evan Peters and many more were regulars at the store. We don’t ask for autographs, they don’t ask for special treatment, everyone’s happy.

Midwest is different. I worked at another location of that same expensive grocery store. Young men who played for the local hockey team were shockingly entitled and rude, sneering down at me while my supervisor stood by and sucked their dicks as vocally as he could regardless of how rude they were. “Influencers” in the area would record cashiers if they didn’t scan their kombucha fast enough. But worst of all, was the man who apparently built some kind of air conditioning franchise and was a “local hero” for providing AC in low income areas.

This man was a fucking menace. He didn’t like me from the first moment he saw me, and it took me a while to understand why. But apparently it was a significant personal slight that I didn’t ever invite him to cut to the front of the line, that I didn’t ever roll over backwards to fetch forgotten products for him while he was checking out. And worst of all, I never learned his name. Apparently all my coworkers and superiors had been babying this loud mouthed, disrespectful asshole who was just as much of a nobody as the rest of us were.

The third time I encountered him, I asked for his name to confirm an online order he had placed. The man threw his change at my head while laughing hysterically, then his face contorted into rage as he screamed over and over again, “YOU MUST NOT KNOW WHO I AM.”

I don’t remember exactly how I responded, but I do remember being fired.

The point is, only the most insecure and ungifted say things like “don’t you know who I am??”

If you hear that line, laugh long and hard. It’ll kill them.

Man EXPOSES Delusional Women’s HYPOCRISY

Funny but serious.

No.

If it were then the living conditions of the people would not have improved.

You see a key part of fascism is where corporations get together with the government to fuck over the common man and woman. Yet living standards keep going up, more services and more access to healthcare/education keeps happening.

Police state? – There’s laws, if it says it’s illegal it’s illegal.

Second the bar for arrest is HIGH, this means if you’re a criminal unless you’re caught there and then in some violent crime? The police will take their time to investigate and make a strong case against you before arresting you. That’s why there’s such a high conviction rate.

This is important. It is important because if you arrest with a low bar and then collect evidence? The period up to the trial can also be punishment.

This is Gang Chen.

main qimg a506f7689f0fc850d1c93d18512568fd
main qimg a506f7689f0fc850d1c93d18512568fd

He was arrested by the American FBI for ‘spying for China’ because despite being an American, yes BORN in the USA he’s not considered an American because he’s not white.

He was arrested in 2021, charged and put under numerous restrictions. Gang Chen was one of the luckier ones as MIT supported him and paid for his defence.

Eventually charges were dropped.

But as noted above he was one of the lucky ones. Other university professors lose everything and the charges get dropped a day before trial. They lose EVERYTHING.

Dinosaurs, those magnificent creatures that once roamed the Earth, continue to captivate our imagination and scientific curiosity. The era of dinosaurs began approximately 230 million years ago in the Mesozoic Era, marking a period of dominance by these fascinating reptiles. Before dinosaurs, appearance of the dinosaurs and after the dinosaurs. This age, often referred to as the “Age of Dinosaurs,” witnessed the emergence of some of the most iconic species, from the towering Brachiosaurus to the fierce Tyrannosaurus rex. Their existence demonstrates the incredible diversity and adaptability of life on Earth, with species that thrived in a variety of habitats, from lush forests to arid deserts.

The extinction of dinosaurs, about 66 million years ago, remains one of the most intriguing topics in paleontology. This catastrophic event, believed to be caused by a combination of volcanic eruptions, climate changes, and the impact of a massive asteroid, led to the end of the Cretaceous Period and paved the way for the rise of mammals. The sudden disappearance of dinosaurs left a void that has puzzled scientists for centuries, sparking numerous theories and extensive research to uncover the details of this mass extinction.

Beyond their appearance and extinction, dinosaurs are a source of endless fascination due to the strange and sometimes bewildering facts that research has unearthed. For instance, some dinosaurs, like the Stegosaurus, had brains the size of a walnut, significantly small in comparison to their massive bodies. Others, such as the Parasaurolophus, had elaborate crests on their heads that could have been used for communication or to attract mates. The discovery of dinosaur fossils with preserved feathers has revolutionized our understanding of these creatures, suggesting a closer evolutionary link to birds than previously thought.

The pre-dinosaur world, often overshadowed by the towering presence of the Jurassic era’s giants, presents an equally fascinating chapter in Earth’s geological history. This epoch, spanning from the formation of the Earth around 4.5 billion years ago to roughly 250 million years before the appearance of the first dinosaurs, encompasses several pivotal periods that laid the groundwork for life as we know it. During the Hadean and Archean eons, the Earth was a molten hellscape, gradually cooling to form the first solid crust. This era saw the emergence of the first life forms, simple prokaryotic cells, which appeared approximately 3.5 billion years ago. These organisms were primarily anaerobic bacteria thriving in an oxygen-free atmosphere, setting the stage for the evolutionary leaps to follow.

The Proterozoic eon witnessed significant geological and biological transformations. This period marked the accumulation of oxygen in the atmosphere, a direct result of photosynthesis by cyanobacteria. The “Great Oxygenation Event,” which occurred around 2.4 billion years ago, radically altered the planet’s atmosphere, paving the way for more complex life forms. The Proterozoic also saw the first supercontinent, Rodinia, form and break apart, a cycle that influenced global climate and environmental conditions conducive to evolution.

North Korea and the Russian – China Axis merge

I had a table of 8 family members. Brothers with their wives. This one sister in law was literally embarrassing them all at the table. She always had a complaint and was just rude. Even accused her husband of checking out a server. I came back to check on them as they were my table go figure that smh, and asked her why she hasn’t touched her food. I asked this because she sent it back at least 3 times and did everything to get extra sides etc. this women was a hot mess to say the least. The rest of the table was fine and they all knew how to act in public but her not so much.

As I asked her why she wasn’t touching her food and if something was wrong she said yes actually you can just take my whole meal off. I asked her why but before I could finish she said because there is bugs crawling all over the floor. My mouth dropped and I was speechless and just looked at her. The rest of the table did the same. I didn’t say anything I just walked away and got my manager.

We did not have bugs it was a very clean steak house. She admitted to the manger she just said that. Anyway one of the brother in laws came up to me when I was putting in another tables order on the computer. He asked me if he could get their check and his other brothers check. I gave it to him and he asked if he could pay it right now. I said sure no problem. So I cashed him out and not even a minute or two later I came back to their table to find one brother and his wife the crazy lady the only ones still sitting there waiting to cash out. The hostess told me the rest of the family was embarrassed and couldn’t get out of there fast enough. She said it’s like they practically ran out of there. Lol I seriously can’t blame them one bit!

I was an asshole with a bad sense of humor when I was young and drunk, so my friends and I decided to test the drive-thru policy.

We felt that we were being awfully clever, but that was the tequila talking. The reality is that we were probably the twentieth set of idiots to try it that month, but we didn’t think about that. We’d been too drunk to drive so we had walked to McDonalds and tried to order in the drive-thru. Predictably they told us that it was a safety issue, and they could only serve cars. That’s when my friend Amber asked them if they could serve people in SUVs; the girl said “yes”. Someone else asked about vans, and I chimed in with pick-up trucks.

That’s when the girl became frustrated with us and made her mistake. She told us that anything on wheels was okay. We promptly forgot all about being hungry and immediately went to Amber’s neighbor’s house and stole a kid’s tricycle and a wheelbarrow, and made our way back to McDonald’s. We all thought we were being uniquely hilarious when I rode up on the kid’s bicycle and one friend pushed two others in the wheelbarrow. It was incredibly fortunate that no actual cars were there, because the wheelbarrow kept falling over and dumping my friends onto the pavement. I’m tiny, but I couldn’t actually work the pedals, so I just pushed myself along with my feet.

I still remember the look on the girl’s face. Louder than any words could have done, it said “Fuck me, not again!”. She just left the window (they’d been ignoring our shouts into the speaker) and an older man took her place. We proudly informed him that it was his employee who had told us that we could get served like this, but he had a simple yet undeniable rebuttal: That we knew damn well that she hadn’t meant wheelbarrows and tricycles. We were too drunk to argue against that, but we had remembered our hunger, so we begged for food before we finally realized that he wasn’t joking about calling the cops.

My friends wanted to stay, but I only had a green card at the time, so I shuffled off on my tiny bike. I have no clue if pestering the employees at McDonald’s was a crime involving moral turpitude, but I was fairly certain that bicycle theft was. Those tend to get you deported, so I finally just picked up the bicycle and ran it back to the yard where I found it. My friends arrived soon after, battered and bleeding from their fights with the wheelbarrow, and I called my husband to come and get me.

Moral of the story? McDonald’s won’t serve pedestrians and other idiots in the drive-thru because it’s dangerous. Bonus moral: You’re not as smart as Señor Patrón would have you believe.

BREAKING Putin Shocks The U S with a Visit to North Korea WHAT DID HE SAY

Fate

The fate of the United States has been "baked into the cake" since 1776. The country was founded wrongly, ideologically corrupted from inception, yet covered in a wickedly deceptive but attractive gloss, and so it simply follows the natural arc of history despite any pleas or protests from those along for the ride. Now is the time for sinking, and sink it shall.

Posted by: Matthew | Jun 19 2024 17:45 utc | 66

The Sopranos – Tony gets rid of Feech LaManna

Thai Peanut Pizza

This deliciously unique recipe topped with spicy-sweet ingredients makes it a Thai Peanut Pizza with pizzazz!

thai pizza
thai pizza

I love a pizza with nontraditional flavors and toppings and this copycat of California Pizza Kitchen’s Thai Chicken Pizza is a real winner. It has diced chicken tossed in a spicy peanut sauce flavored with ginger, honey, sesame oil, and oyster sauce. A gooey layer of mozzarella cheese covers the chicken and it is topped with shredded carrots, bean sprouts, and green onion. A sprinkling of chopped fresh cilantro and chopped peanuts finish off the flavors. It is a pizza made for lovers of sweet and spicy foods.

thai pizza 25
thai pizza 25

We go to California Pizza Kitchen quite often. It’s probably our go to place for special lunches. The only problem with eating there is I can never decide what to order. There are too many delicious pizzas and salads to choose from. I’ve never actually eaten the Thai Chicken Pizza at the restaurant, but the recipe is in California Pizza Kitchen Cookbook that I have had for years and I thought it would be the perfect pizza to make at home.

thai pizza 17
thai pizza 17

Typically I haven’t really been one to make pizza at home mainly because I was completely inept at making pizza dough. I blame it on the fact that I was raised on Chef Boyardee pizza.

I say “was” because I am now able to make a pizza dough that I am pround of thanks to the free Pizza Making Class Perfect Pizza at Home on Craftsy.

thai pizza 10
thai pizza 10

Ingredients

Pizza

  • 9 Rhodes™ Dinner Rolls or 6 Rhodes Texas™ Rolls, thawed to room temperature
  • 2 boneless skinless chicken breasts, cubed
  • 1 tablespoon canola oil
  • 1 tablespoon low sodium soy sauce
  • 1 red bell pepper, cut into strips
  • 3 green onions, chopped
  • 2 cups mozzarella cheese
  • 1 cucumber, sliced
  • 1/2 cup chopped cilantro

Peanut Sauce

  • 1/4 cup granulated sugar
  • 1/4 cup creamy peanut butter
  • 3 tablespoons low sodium soy sauce
  • 3 tablespoons water
  • 2 tablespoons canola oil
  • 2 teaspoons minced garlic

thai pizza 5
thai pizza 5

If you’ve never taken a class on Craftsy before, they are amazing and this Pizza Making Class, which is completey free, is the perfect way to try out the Craftsy video classes AND learn how to make a darn good pizza.

The class is over 30 minutes in length but it is divided into segments and you can pick and choose which ones you want to watch. Even better, all Craftsy classes have a 30 second replay button so you can watch and cook at the same time and hit the replay button if you get behind or miss something.

thai pizza 4
thai pizza 4

There are 4 types of dough taught in the class. So far I’ve only made the American-Style (Neopolitan) dough. I first made Muffaletta Pizza with it a few weeks ago. It makes a big batch of dough which keeps well in the fridge for a number of days.

So a few days after I made the Muffaletta Pizza, I used the remaining dough to make this Thai Chicken Pizza.

thai pizza 1
thai pizza 1

Perfect Pizza at Home shows you not only how to make the perfect dough, but how to shape it as well. This part takes a little bit of practice and my Thai pizza was much more evenly shaped than my Muffaletta Pizza. 🙂

If you make one of the Craftsy doughs, which I highly recommend, you will need to do it a day in advance and refrigerate it overnight. Then bring it to room temperature for 90 minutes before shaping it.

thai pizza 3
thai pizza 3

Prep: 20 min | Bake: 20 to 30 min | Yield: 5 servings

I haven’t included the pizza dough instructions here because frankly I couldn’t do them justice. Being able to watch the instructor demonstrate the process is a much better way to learn. So go to Craftsy and download the free class if you want to improve your pizza making skills.

Instructions

  1. Spray counter lightly with nonstick cooking spray.

Pizza

  1. Combine Texas™ rolls or dinner rolls together and roll into a 13 inch circle.
  2. Place on a sprayed 12 inch pizza pan.
  3. Cover with sprayed plastic wrap and let rise for 30 minutes.
  4. Remove wrap and poke several times with a fork to prevent bubbles from forming.
  5. Bake at 350 degrees F for 10 to 15 minutes or until lightly browned.

Peanut Sauce

  1. In a medium saucepan combine all the ingredients for the peanut sauce.
  2. Cook over medium-low heat, stirring constantly, 10 to 15 minutes or until thickened.
  3. Set aside to cool.
  4. Stir fry the chicken in canola oil and soy sauce until completely cooked.
  5. Spread peanut sauce over baked crust (peanut sauce can be made ahead and stored in the refrigerator).
  6. Top with bell pepper, green onions, cooked chicken and cheese.
  7. Bake at 350 degrees F for 10 to 15 minutes or until cheese is melted.
  8. Remove from oven and top with cucumbers and cilantro.

Attribution

Recipe and photo used with permission from: Rhodes Bake-N-Serv

The Grand Prize Winner one of the Rhodes Employee Recipe Contests was a tie…a Thai Peanut Pizza that is! Submitted by IT Administrator Austin Tolman and his wife Mariah, this deliciously unique recipe topped with spicy-sweet ingredients makes it a pizza with pizzazz! Congratulations Tolmans!

When my friend Richard (name changed) died, he had no surviving family of which we knew. Although I say “friend”, I didn’t really know him that well. He had been a tech on a couple of plays I’d been in.

Another actor I knew had gone out to do a wellness check on him and discovered his body. Apparently he’d had a heart attack while in the bathroom. He was found there.

Richard was best friends with a mutual friend. I called her when I heard. When I asked about a service, she explained that no one knew what to do, as he had no family.

I have written this many times: I’m a complete dolt about everyday life. However, I am great with emergencies or hard challenges.

So I started this odyssey of trying to figure out who to contact and how to get his body released. I ended up, with some help, being able to contact his cousins. His body was released & I arranged to have him cremated.

Before his cremation, which I attended alone, I brought a small bouquet of flowers to put in his coffin; I read some Shakespeare to him; and at the last minute snuck a cigarette in there as well; just in case. I think he would have appreciated that. We were smoking buddies, standing outside on break during many rehearsals.

Now. This process took almost two weeks. I was in his apartment twice. Once while trying to find info on any extended family; and once with police, to try and salvage some of his belongings before his apartment was condemned.

Richard was a hoarder.

Apparently he could throw nothing away. I mean nothing, including trash. I do not know how he survived his home. It was beyond hazardous. There was absolutely no open floor space. It was an obstacle course over objects to try and get from one point to the other.

He was a large man. I don’t understand how he didn’t constantly have a sprained ankle or broken leg. It was that bad.

Imagine a tornado hit your house. Inside. Add years worth of receipts and plastic bags, clothes, records, furniture, and stuff that belonged in the garbage, was strewn everywhere by this tornado. I had to look to find the bed. It was indistinguishable from the rest of the mess.

Under the bed were tied-off, white plastic deli bags of cigarette butts. He’d empty the ashtrays but couldn’t throw the bags of butts out.

It goes without saying, I guess, that the apartment had never been cleaned.

What was found that was even more of a surprise than the disastrous mess? Bank statements.

He had millions in his account.

He chose to live like that. Although choice may be the wrong word. I think it entirely possible he stayed there because if he moved, someone had to see the place. He probably couldn’t bear the idea of anyone knowing how he lived.

It somehow made it more awful to me, knowing he had money.

We are all prisoners of our own minds, to some extent. We tell ourselves stories about why or why not we can do something. More often, I bet, we tell ourselves why we can’t do something.

Richard’s mind kept him a prisoner in that dreadful place.

Being comfortable isn’t always…comfortable. I tell myself if I want to make a change, I’ve got to be willing to go through the initial discomfort.

Somehow I’ve made his apartment a metaphor for that.

神崎ゆまカメラ】LOVE IZ DOLL NANAMI AIZAWA BIRTHDAY LIVE(1部)

Death by cuteness in China.

Vintage Family Views

ad04bd6fe02ac3521fa65c0537168af5
ad04bd6fe02ac3521fa65c0537168af5

99ec73d6f9beb405b0b19255449331d4
99ec73d6f9beb405b0b19255449331d4

01b4a9ddfb2b5748ffd2e0bc67811621
01b4a9ddfb2b5748ffd2e0bc67811621

80695e381b57038ad707792960aece6f
80695e381b57038ad707792960aece6f

09e12e7e684e3d94dd954c0e68300886
09e12e7e684e3d94dd954c0e68300886

de4af2c7c909d641ff499082fe322059
de4af2c7c909d641ff499082fe322059

f67b26a27143979852dcf3e3a81fb3fb
f67b26a27143979852dcf3e3a81fb3fb

f37d564ea6a73bef73b57b543ce8eff4
f37d564ea6a73bef73b57b543ce8eff4

6a1ee1b434ac4e8f6a1ce28bddc73efb
6a1ee1b434ac4e8f6a1ce28bddc73efb

67322ae8d05b995b20b177cbe91c171e
67322ae8d05b995b20b177cbe91c171e

32a33bfa8eea00cf17c21baac30e8d0f
32a33bfa8eea00cf17c21baac30e8d0f

bc39eeaa5b3e9f826dd82b355e9098ed
bc39eeaa5b3e9f826dd82b355e9098ed

abc5ee9d660e9322e56f914ed72f0bc0
abc5ee9d660e9322e56f914ed72f0bc0

6796ea6db3f4e59978f2d218bb63e6cd
6796ea6db3f4e59978f2d218bb63e6cd

4b0dff3b7a004dda86da0c4152cbf7ca
4b0dff3b7a004dda86da0c4152cbf7ca

974040e66dcd08299b1cacb9c1ba1b6a
974040e66dcd08299b1cacb9c1ba1b6a

335048fc6454d1220ed29a5d691c3b6b
335048fc6454d1220ed29a5d691c3b6b

  1. As recently as the 1980s, many researchers and doctors believed that babies couldn’t feel pain, so some were operated on without any anesthesia.
  2. The picture to be used in your funeral program may have already been taken.
  3. Dogs like squeaky toys because it reminds them of a small animal being killed
  4. You don’t know if there is a secret everybody knows except you.
  5. Butterflies have been known to drink blood.
  6. Cannibalism is common in hamsters.
  7. You pass the anniversary date of your death every year.
  8. You have tiny mites in your eyelashes.
  9. Many people take medications to relieve despair, but there is a certain type of antidepressant that can eliminate your feeling of love and compassion.
  10. Bananas are radioactive.
  11. The Greater Short-Horned Lizard squirts blood out of its eyes.
  12. Several species of birds keep cool by defecating on themselves.
  13. After scorpions shed their tails, they die of constipation.
  14. When male bees mate, their sexual organs explode.
  15. Sloths almost die every time they have to poop.
  16. Rabbits will eat their own young if they’re stressed enough.
  17. All cruise ships have a functioning morgue on board.
  18. The average person walks by almost 16 murderers in their lifetime.
  19. Serial killer Joe Metheny owned a food stand and sold burgers that combined animal meat with the flesh of his victims to unsuspecting customers.
  20. You’re 6.7% more likely to die on your birthday than any other day of the year.
  21. In the Victorian Era, it was common to take pictures with the bodies of a deceased family member, as a final way to preserve their memory.
  22. In the 16th and 17th centuries, many Europeans thought that eating human bones, blood, and fat would cure certain illnesses, so they stole mummies from Egyptian tombs and robbed graves to get the bodies.
  23. Less than 5% of the oceans have been explored by humans, meaning we have no idea what kinda monsters could be living there.
  24. Ted Bundy was a serial killer who also worked at a suicide hotline. A coworker once said, “Ted Bundy took lives, but also saved lives.
  25. The first person to die while building the Hoover Dam was John Gregory Tierney, and the last was Patrick William Tierney, his son, exactly 14 years later.

Official Announcement: “Diplomacy Has Failed” – Israel – Hezbollah Heading to War (Doom Scale Just Hit 10)

Official Announcement: &quot;Diplomacy Has Failed&quot; - Israel - Hezbollah Heading to War (Doom Scale Just Hit 10)

The U.S. Special Envoy, Amos Hochstein, has publicly announced that “diplomatic efforts to calm tensions between Israel and Hezbollah have officially failed.” Hezbollah squarely refuses any negotiation to relocate its people north of the Litani River in Lebanon.

Yesterday, through COVERT INTEL, I reported that Nasrallah, the leader of Hezbollah, told US Envoy Amos Hochstein that Hezbollah will PRE-EMPTIVELY strike Israel if they see Israel preparing for a Lebanon Invasion.  Those preparations have been announced and ARE happening.

Israel said today that “Southern Lebanon will look like GAZA, and Beirut is not immune.

Based upon Hezbollah refusing to reach any agreement and Israel now backed into a corner being forced to take action to resolve the so-called “Hezbollah security issue (no security at all), the time is nigh for war.

Hezbollah had until the 24th to agree to the Israeli demand to withdraw to north of the Litani River. Instead, Hezbollah threatened offensive measures if Israel looked to prepare to attack.

Israel is now prepared to attack.

Whom draws first in this standoff is all we need to know. It can happen at any moment from either side, but my bet is that Israel will strike first; and could happen anytime now.

Meanwhile, Iran publicly says it will support Hezbollah and join in to any War, of course.

Hezbollah threatens to hit Cyprus as Cyprus will allow Israeli jets to use runways to bomb Hezbollah.

If Cyprus is attacked, then Greece may come to their support.

Then Turkey will side with Iran and Hezbollah as Turkey hates Greece and hates Israel.

This is looking like a 10 scale doom moment.

Here’s my daily morning summary on MM

Some insight.

America’s INSANE Anti-China Campaign Exposed! || 美国的反华运动

Biden’s ‘Exceptionalism’ Is Likely To Stay

An emphasis of U.S. exceptionalism has been a major theme throughout Joe Biden’s presidency.

Remarks by President Biden on a Future Made in America – May 18 2021

This is the United States of America, for God’s sake.

60 Minutes – President Joe Biden: The 2023 60 Minutes interview transcript – Oct 15 2023

Scott Pelley: Are the wars in Israel and Ukraine more than the United States can take on at the same time?President Biden: No. We’re the United States of America for God’s sake, the most powerful nation in the history– not in the world, in the history of the world. The history of the world. We can take care of both of these and still maintain our overall international defense.

Full Transcript of President Joe Biden’s Interview With TIME – Jun 5 2024

Q: Is America still able to play the role of world power that it played in World War Two, and in the Cold War?

Biden: Yes, we’re planning even more. We are, we are the world power.

Talk of claimed U.S. exceptionalism is usually bi-partisan.

But finally there is a voice in U.S. foreign policy who argues against exceptionalism and calls for a different view of things.

Ben Rhodes, former National Security Advisor to President Barrack Obama, writes in the pages of Foreign Affairs magazine.

A Foreign Policy for the World as It Is
Biden and the Search for a New American Strategy

[T]he Biden administration’s mindset of restoration has occasionally struggled against the currents of our disordered times. An updated conception of U.S. leadership—one tailored to a world that has moved on from American primacy and the eccentricities of American politics—is necessary to minimize enormous risks and pursue new opportunities.

That seems like a well intended advice. The U.S. tends to intentionally ignore the consequences of its policies. It does not reflect on them. Should it start doing that its policies might change:

To date, Washington has failed to do the necessary audit of the ways its post–Cold War foreign policy discredited U.S. leadership. The “war on terror” emboldened autocrats, misallocated resources, fueled a global migration crisis, and contributed to an arc of instability from South Asia through North Africa. The free-market prescriptions of the so-called Washington consensus ended in a financial crisis that opened the door to populists railing against out-of-touch elites. The overuse of sanctions led to increased workarounds and global fatigue with Washington’s weaponization of the dollar’s dominance. Over the last two decades, American lectures on democracy have increasingly been tuned out.

The case of Gaza emphasizes this and has renewed a global rejection of U.S. policies:

Indeed, after Hamas’s October 7 attack on Israel and the Israeli military campaign in Gaza, American rhetoric about the rules-based international order has been seen around the world on a split screen of hypocrisy, as Washington has supplied the Israeli government with weapons used to bombard Palestinian civilians with impunity. The war has created a policy challenge for an administration that criticizes Russia for the same indiscriminate tactics that Israel has used in Gaza, a political challenge for a Democratic Party with core constituencies who don’t understand why the president has supported a far-right government that ignores the United States’ advice, and a moral crisis for a country whose foreign policy purports to be driven by universal values. Put simply: Gaza should shock Washington out of the muscle memory that guides too many of its actions.

The world has moved on. If the U.S. wants to stay a part of it it will have to adopt:

Too often, the United States has appeared unable or unwilling to see itself through the eyes of most of the world’s population, particularly people in the global South who feel that the international order is not designed for their benefit. […] Yet the overuse of sanctions, along with the prioritization of Ukraine and other U.S. geopolitical interests, misreads the room. To build better ties with developing countries, Washington needs to consistently prioritize the issues they care about: investment, technology, and clean energy.Once again, Gaza interacts with this challenge. To be blunt: for much of the world, it appears that Washington doesn’t value the lives of Palestinian children as much as it values the lives of Israelis or Ukrainians. Unconditional military aid to Israel, questioning the Palestinian death toll, vetoing cease-fire resolutions at the UN Security Council, and criticizing investigations into alleged Israeli war crimes may all feel like autopilot in Washington—but that’s precisely the problem. Much of the world now hears U.S. rhetoric about human rights and the rule of law as cynical rather than aspirational, particularly when it fails to wrestle with double standards. Total consistency is unattainable in foreign policy. But by listening and responding to more diverse voices from around the world, Washington could begin to build a reservoir of goodwill.

But would that change policies? Rhodes doesn’t argue for a rejuvenation of international organizations and a U.S. subjugation to these. He still seems to see the U.S. as some kind of outstanding entity.

There is anyway little chance that Biden will adopt Rhodes’ advice. During the Obama administration Biden’s team had several run-ins with the Rhodes’ led National Security shop.

It leaves the impression that Rhodes only wants a new rhetoric, not a really new way to do international policies. Keep doing what you are doing, he says, but sell it differently.

It fits to another piece in the current edition of Foreign Affairs in which three professors try to sell their basically neoconservative policies – do what we say or else … – as a ‘progressive’ program:

The Progressive Case for American Power
Retrenchment Would Do More Harm Than Good

Today’s progressives need to get comfortable with American power, which, for all its flaws, has a crucial role to play. That doesn’t mean condoning illiberal actions to achieve just ends or cynically invoking progressive ideals to justify military adventurism. But it does mean seeking to harness power to advance the values progressives cherish—and accepting that might sometimes makes right.

It is, on its face, the opposite of what Rhodes argues for.

I applaud the idea behind Rhodes’ piece but I see little chance, especially under Biden, for it to get implemented.

‘The World power’ – as Biden calls the U.S. of A. – will not move aside unless someone makes it do so.

Posted by b on June 19, 2024 at 13:59 UTC | Permalink

Expats Share Their American Trauma After Living Abroad

Dr. Stephen A Salaka

AI Montage of Fake News

“It is a truth universally acknowledged…” Sophie Nakamura paused mid-sentence, her brow furrowing as a chill crept up her spine. She had read that exact phrase before, and not just in another Jane Austen novel. The modern thriller she’d finished just last week had used it too. What were the odds?

 

Sophie, a 15-year-old high school student, was a self-proclaimed bookworm, more at home in the world of fictional characters than the cliques of Cornwallis High. Her refuge was a cozy nook in the attic, overflowing with well-loved books and the soft glow of her laptop screen. She was a girl who preferred the company of Elizabeth Bennet and Sherlock Holmes to the gossip and drama of teenage life.

 

This wasn’t just any coincidence. It felt like a pattern, a thread woven through the tapestry of literature. The phrase niggled at her. Sophie grabbed her battered copy of Northanger Abbey, flipping through the pages. There it was again, a variation of the same phrase, this time about a young woman’s love for gothic novels. She grabbed the worn paperback of Frankenstein from her bedside table, her eyes scanning the opening chapter. A cold dread settled in her stomach as she found a similar sentiment, this time about a scientist’s thirst for knowledge.

 

Sophie, a symphony of restless energy, bounced between her overflowing bookshelf and the glow of her laptop screen. She was the president of her high school’s coding club, and, in her spare time, the self-proclaimed president of the Loch Ness Flat Earth Society (a title she held with pride and a healthy dose of irony). Her parents, staunch Fox News conservatives, had raised her on a steady diet of “fake news” warnings and conspiracy theories. Ironically, their paranoia had sparked an insatiable curiosity in Sophie, a burning need to unearth hidden truths and expose the puppeteers behind the scenes.

 

This wasn’t just some conspiracy theory cooked up over a tinfoil hat dinner, though. This was a pattern, a thread winding through the very fabric of literature. Fueled by a caffeine-induced buzz and a mounting sense of urgency, Sophie dove into her digital library, her fingers dancing across the keyboard like a concert pianist. Lines of code flowed from her fingertips, each keystroke a step closer to unraveling the mystery. She wasn’t just building an AI program; she was crafting a digital detective, a literary bloodhound with a knack for sniffing out inconsistencies.

 

The AI, aptly named “LitSleuth,” whirred to life, its virtual eyes scanning thousands of digital texts. It dissected vocabulary, scrutinized syntax, and even analyzed the frequency of semicolons with the meticulousness of a grammar-obsessed English teacher. As the night wore on, Sophie fueled her efforts with copious amounts of gummy bears and Diet Coke, her laughter echoing through the quiet house as she imagined her parents’ horror at her late-night coding frenzy.

 

The hum of the AI filled the room, a low, steady rhythm that matched Sophie’s heartbeat as she watched LitSleuth dissect the digital texts. It felt like watching an autopsy, each line of code a scalpel peeling back layers of meaning, revealing hidden truths beneath the surface.

 

Suddenly, the rhythmic hum was pierced by a sharp, electronic shriek. The screen flashed a harsh crimson, the words “Anomaly detected. Multiple instances of non-random patterns found” searing into Sophie’s retinas. A cold sweat broke out on her skin as she leaned forward, her fingers hovering over the keyboard like a concert pianist about to strike a dissonant chord.

 

The AI delved deeper, its analysis growing more frantic with each passing moment. Lines of code scrolled across the screen like a frantic heartbeat, each one a piece of the puzzle. Sophie’s breath caught in her throat as the patterns began to coalesce into a horrifying picture.

 

The codes weren’t just random anomalies; they were deliberate, carefully crafted messages woven into the very fabric of literature. They spoke of manipulation, control, and a subtle influence that had been shaping human thought for millennia. Sophie’s mind reeled as she traced the origins of these codes, her pulse throbbing in her ears like a war drum.

 

3,500 years… The Vedas… Ancient India… The words echoed in her mind, each one a chilling reminder of the vastness of the conspiracy. It wasn’t just modern literature that had been tainted; it was the very foundation of human storytelling, the sacred texts that had guided civilizations for millennia.

 

Sophie’s hands trembled as she scrolled through the AI’s findings, each new revelation sending a fresh wave of terror through her. She felt like a marionette whose strings had been cut, the illusion of free will shattered into a million pieces.

 

“Oh my God,” she whispered, her voice barely audible. The enormity of the revelation was suffocating, a black hole threatening to swallow her whole. Aliens had been manipulating human thought through literature for millennia. But why? What did they want? And could she, a teenage girl armed with nothing but a laptop and a caffeine addiction, possibly hope to stop them?

“This is insane!” Sophie’s voice cracked, barely a whisper as the realization sank in like a stone in the pit of her stomach. A cold sweat clung to her skin, her breath coming in ragged gasps as her world tilted on its axis. It was too much to process, too monstrous to comprehend – aliens had been puppeteering humanity, their insidious tendrils woven into the very fabric of stories that had shaped civilizations, religions, and the collective consciousness of mankind for millennia. Her mind raced, a whirlwind of questions and fears. Why? What was their endgame? What did they want from humanity?

 

A sudden meow ripped through the suffocating silence, shattering the fragile remnants of Sophie’s composure. Gizmo, her sleek ebony shadow, materialized from the darkness, his claws clicking against the hardwood floor like a death knell. His emerald eyes, usually playful and bright, now burned with an unsettling intensity, mirroring the abyss of dread that yawned open within her. Was it just her imagination, or was her cat trying to tell her something? A shiver ran down her spine. This was more than just a literary mystery; it was a puzzle with cosmic implications, and she had a feeling Gizmo was about to become an unlikely player in this extraordinary game.

Because of the challenge this convicted criminal:

main qimg 751421e0a05a93ec04f79b3db5da1d4b
main qimg 751421e0a05a93ec04f79b3db5da1d4b

poses to this senile duffer:

main qimg 5f2471ab906fdbe02291eb27f488a921
main qimg 5f2471ab906fdbe02291eb27f488a921

Or rather, the titanic, behind the scenes life-and-death struggle between the parties they represent.

These two ~80-year-olds are the candidates the electoral college has thrown up, to partisan uproar.

The 2024 elections has morphed into “which side is the least bitter pill to swallow”, for the neutral, non-aligned voter.

In other words, both “choices” are nightmares in their own right.


Both parties are being held to ransom, because of the winner-takes-all stakes. Winning at all cost is all that matters, and that is why demonstrations of power are important, especially the ruling party.

That is why Joe’s administration has racked up deficits at twice the rate of Donald’s pre-pandemic, or 2t/yr, give or take.

That is why Nancy HAD to make her spiel in Taipei, with the President ordering the Pentagon and Department of State to clear the way for her.

That is why Janet “$20t in National debt should keep people awake at night” is singing a different tune as Treasury Secretary, not only massively increasing the issuance of T-bills (which is cash-equivalent) but also engaging in direct market-intervening yield curve control buying back debt it issues. Unlike the Fed’s QE program, Treasury does not have employment and inflation mandates as constraints. Janet’s sleight of hand is why Jerome is able to trace this curve (current to May ‘24):

graph
graph

That is why both Janet and Anthony made long flights across the Pacific, only to cool their heels away from Beijing engaging in the frivolous and nonchalant, just to have to opportunity for photo-ops with the Chinese leadership, and give their 2 cents worth criticizing their gracious hosts on the Beijing podium. The message to the electorate? America’s word still carries the day in 2024 (even if we have to move heaven and earth to demonstrate it).

That is why abortion has made a startling legislative comeback in recent years. America is turning back the clock on women’s suffrage and emancipation. “Conservative” or “blast from the past” finally makes sense to me, politically speaking.


I can go on and on, but I hope you get the idea. This election is like no other I’ve studied, going back to McKinley’s 1900 campaign.

Joe as a physical specimen is melting before our eyes, while Kamala has been a giddy lightweight. Without extravagant demonstrations of shock and awe, the Democrats can’t even step up to bat with Donald, the guru of pomp and bluster. The Democrat formula is to throw the hegemon’s weight around without alienating the first world like Donald did. And that means fixing guys on the “other side”, with help from willing partners of course. And others have rushed to sign up because they don’t want a repeat of Donald’s insufferable politics.

Joe must out-Donald Donald, greasing the unpalatable with enough shock and awe for the rest to swallow.

The long-term consequences matter less than what’s immediately at stake.

This is a quandary there is no escaping from.

“This Is Gonna Get Us ALL Blown Up!” Jeffrey Sachs On Russian Invasion

I met her when I was new to Australia and she was a new arrival as well. Both of us were without a job, had followed our husbands to the land down under and wondering what the future holds without friends and family. That’s when a common friend introduced us. Our love for cooking got us together, and our love for self deprecating humour bound us together.

She became my son’s godmother when he was born and my pillar of strength as I fought through post partum depression. She was my confidence when I was plagued in self doubt. She was my sister – from another mother.

I am a hard core introvert – and she would be the one to drag me to party and take a break when I would be exhausted from work and home. I hated her and complained non stop – yet loved those little moments of respite secretly.

The days I would be too tired to cook, I could find a meal ready in her house. The day I wanted to get out, she was my designated baby sitter no questions asked.

So what ended our relationship?

Death.

She collapsed one day, and never woke up. A Brain aneurysm. A gaping hole in my heart. Forever.

Doing Dark Deeds

The town of Cornwallis, Oregon, wasn’t supposed to be a cauldron of cosmic dread. It was a place of apple pies, Friday night football games, and quiet nights under star-strewn skies. But on this particular morning, as the first rays of sunlight pierced the pre-dawn haze, an eerie silence hung heavy in the air, punctuated by the distant wail of sirens and the hushed whispers of fear.

 

Sophie hadn’t slept a wink. The monstrous truth she’d unearthed in the dead of night gnawed at her, twisting her stomach into knots and sending chills down her spine. She stood at her bedroom window, her eyes bloodshot and her body trembling, watching as a swarm of police cars, news vans, and a growing tide of terrified townsfolk converged on the nearby woods.

 

A sleek, alien spaceship, an obsidian monolith against the pastel hues of dawn, pierced the treeline, its presence an unholy stain on the familiar landscape. The sight sent a fresh wave of nausea through Sophie, her mind reeling with the implications of her discovery. The aliens had come. Not as benevolent explorers or curious observers, but as conquerors, their insidious tendrils already woven deep into the fabric of human existence.

 

Beside her, Gizmo paced restlessly, his usually playful demeanor replaced by a grim vigilance. His emerald eyes, glowing with an unnatural intensity, were fixed on the ship, his low growls a chilling counterpoint to the rising panic outside. Sophie could feel his fear, a primal dread that mirrored her own. This was no longer a game, a puzzle to be solved. This was an existential threat, a cosmic horror that could swallow them whole.

Sophie switched on the news, the screen flickering to life with a live feed from the forest clearing. A hush fell over her room as a tall, slender figure emerged from the alien ship. His skin shimmered, a living tapestry of iridescent colors shifting and swirling beneath the sunlight. Meetveega, the alien negotiator, stood before a crowd of stunned onlookers, his presence amplified by the high-definition cameras, each pixel a chilling reminder of the impossible reality unfolding before her eyes.

 

“It is a truth universally acknowledged,” Meetveega began, his voice a cold melody that sent chills down Sophie’s spine. The phrase, so familiar from her beloved literature, now twisted into a sinister mockery of human expression. A sickening dread pooled in her stomach as she realized that this wasn’t just a coincidence, a literary quirk. It was a deliberate echo, a taunt, a confirmation of the insidious manipulation she had uncovered.

 

Meetveega continued his voice a chilling symphony of ancient wisdom and thinly veiled contempt. “We have observed your kind for millennia,” he declared his gaze, like twin lasers, sweeping across the terrified faces. “Initially, we were baffled by your fascination with the written word, particularly your obsession with… bodily descriptions.” A ripple of nervous laughter ran through the crowd, quickly silenced by the chilling intensity of his stare. “Our studies, however, revealed a simple truth: your species exists in a perpetual state of rut. Thus, our influence upon your literature has been deliberate, a subtle yet pervasive guiding hand. We have kept you preoccupied with base desires, ensuring you remain safely confined to your primitive planet, far from the stars.” His voice hardened, a steely edge replacing the earlier amusement. “But your recent foray into artificial intelligence has disrupted this delicate balance, exposing our carefully woven tapestry of control.”

 

As if to punctuate his words, Meetveega raised a hand, and a beam of pure energy shot forth, disintegrating a group of onlookers in a blinding flash. The crowd erupted in screams of terror, their bodies crumpling to the ground in a grotesque tableau of shock and despair.

 

“We have come to negotiate the terms of your surrender,” Meetveega continued, his voice unwavering amidst the chaos. “Resist, and you will face annihilation. Your stories, your myths, your very dreams have been woven with our threads. We are the architects of your reality.”

 

A wave of dread washed over Sophie, the chilling realization that she was witnessing the subjugation of humanity. The town’s leaders, their faces etched with terror, fumbled for a response, their voices trembling as they faced the unimaginable. But their words were lost in the deafening silence of a crowd frozen in fear, their eyes wide with the knowledge that their world had irrevocably changed.

Sophie’s stomach churned with a mixture of fear and defiance. The aliens had underestimated humanity for far too long, manipulating their stories and molding their minds like clay. This ends now, she thought, her resolve hardening with each passing moment. I won’t let them control us any longer.

 

She glanced at Gizmo, who was now perched on the windowsill, his ears twitching, his body tense. As Meetveega continued to speak, Gizmo’s ears twitched in response, his head tilting as if following the rhythm of an unheard conversation. A series of low, guttural sounds escaped his throat—sounds that seemed to mimic the cadence of the alien’s speech.

 

A sudden thought struck Sophie, a spark of hope in the overwhelming darkness. “Gizmo,” she whispered, her voice barely audible. “Can you… understand him?”

 

The cat turned his head, his green eyes locking onto hers. A low, guttural sound escaped his throat, a sound that was both alien and strangely familiar. Sophie’s heart leaped. Could it be that her cat, her mischievous, enigmatic companion, held the key to communicating with the alien overlord?

 

In the days that followed, the town became a cauldron of speculation and fear. The initial shock of the alien arrival gave way to a tense standoff, as Meetveega, growing impatient, demanded an official response from the human leaders.

 

Meanwhile, Sophie spent every waking moment trying to decipher the remaining coded messages, her AI working tirelessly to analyze the vast libraries of digital texts. Gizmo, now her constant companion, seemed to guide her, his purrs and nudges leading her towards specific books or phrases.

 

One evening, as Sophie poured over an ancient copy of the Mahabharata, a sudden chill filled the room. Gizmo leaped onto her lap, his purr growing louder, more insistent. He nudged her hand towards a particular verse, his claws lightly scratching the page as if to emphasize its importance. Sophie followed his gaze, her eyes widening as she recognized the pattern. It was another code, more complex and intricate than any she had encountered before.

 

Her fingers flew across the keyboard, inputting the code into her AI. The program whirred and beeped, its lights flashing in a dizzying display. Then, silence. Sophie held her breath as the AI projected a holographic message above her desk:

 

“Meet us at the heart of the forest. Alone. Bring the cat.”

 

Tinkly Thunderdome Troubles

Pine needles crunched underfoot as Sophie and Gizmo emerged into a moonlit clearing. Meetveega stood in the center, his skin shimmering with an unnatural iridescence. His eyes, twin pits of darkness, met Sophie’s with a chilling intensity. The air crackled with tension as Gizmo hissed, his fur bristling in warning. Despite the overwhelming dread that threatened to consume her, Sophie held her ground. This was it. The moment of truth.

 

“You came,” Meetveega intoned, his voice a chilling echo in the stillness of the night. “I have been expecting you.”

 

Sophie, her voice surprisingly steady, met his gaze head-on. “I know your secret, Meetveega,” she declared. “I know your plan to sedate humanity, to control our thoughts through the very stories we hold dear.”

 

A flicker of surprise crossed the alien’s face, his composure momentarily disrupted. “A clever child,” he sneered, his tone dripping with condescension. “But your knowledge is inconsequential. You cannot stop what has been set in motion for millennia.”

 

Sophie smiled, a sly glint in her eyes. “That’s where you’re wrong,” she retorted. “With the help of my AI, I have deciphered your final message. I know your ultimate goal—to lull us into complacency, to weaken our defenses, and then to invade.”

 

She raised her laptop, her AI springing to life, projecting a holographic display above them. A swirling vortex of words and symbols materialized, revealing the aliens’ insidious plan in stark detail. The forest seemed to hold its breath, the very trees rustling in outrage as the extent of the manipulation became clear.

 

Gizmo, sensing the rising tension, let out a series of piercing meows, his eyes locked on Meetveega. The alien recoiled, his voice laced with a newfound uncertainty. “What is this? How can a mere feline communicate with me?”

 

Sophie knelt beside Gizmo, stroking his fur. “He’s not just a cat, Meetveega. He’s my friend, my partner, and he understands your language better than any human ever could.”

 

Gizmo’s meows transformed into a melodic symphony, each note conveying a complex range of emotions – fear, defiance, hope. Meetveega listened, his eyes widening in astonishment as he began to grasp the depth of the cat’s intelligence and the profound bond he shared with Sophie.

 

For hours, the dialogue continued, a strange symphony of human words, feline sounds, and alien intonations. Sophie, with Gizmo as her interpreter, laid bare the resilience of the human spirit, the indomitable power of free thought, and the unbreakable bond between humans and their stories. She spoke of the power of love, the importance of community, and the unwavering determination to protect one’s freedom.

 

As dawn broke, casting long shadows across the forest floor, Meetveega stood silent, his gaze fixed on the horizon. The first rays of sunlight illuminated his face, revealing a flicker of doubt in his ancient eyes. The weight of millennia of manipulation seemed to bear down on him, the cracks in his resolve widening with each passing moment.

 

“You have made your point, child,” he said at last, his voice heavy with resignation. “Perhaps we have underestimated your kind. Perhaps your stories are more potent than we believed.”

 

With a final, lingering glance at Sophie and Gizmo, Meetveega turned and walked back towards his ship, his footsteps echoing through the forest. As he reached the base of the vessel, he paused, turning back to face the girl and her cat. A wave of energy rippled through the clearing, washing over the trees, the ground, and the stunned onlookers.

 

When the wave subsided, Meetveega and his ship were gone, leaving behind an eerie silence. The townspeople blinked, their faces etched with confusion. They looked at each other, their minds struggling to grasp the events of the past few hours. They remembered the fear, the terror, but the details of the encounter with Meetveega had vanished, replaced by a vague sense of unease and a lingering question: “What just happened?”

 

Sophie, however, remained trapped in the chilling reality of the encounter. The alien’s words echoed in her mind, a haunting symphony of arrogance and manipulation. She looked down at Gizmo, his emerald eyes mirroring her own unspoken horror. They were the sole keepers of the truth, a truth the world had been robbed of. While the news channels buzzed with conspiracy theories and wild speculation about the sudden disappearance of twenty townspeople, Sophie knew the horrifying answer. The world had been rewritten, the missing residents erased from existence as if they had never been.

 

The world moved on, unaware of the danger it had narrowly escaped. The town of Cornwallis, Oregon, returned to its tranquil routine, the memory of the alien encounter fading like a dream. But Sophie’s life was forever changed. She became a silent guardian, her vigilance unwavering as she monitored for any signs of alien interference.

Shorpy

SHORPY 8c33649u.preview
SHORPY 8c33649u.preview

SHORPY 8e10822u.preview
SHORPY 8e10822u.preview

SHORPY 14297u.preview
SHORPY 14297u.preview

HtlAstor1909cxsmcp2.preview
HtlAstor1909cxsmcp2.preview

SHORPY 8a24333a.preview
SHORPY 8a24333a.preview

SHORPY 8d28510a.preview
SHORPY 8d28510a.preview

SHORPY 8a24576a.preview
SHORPY 8a24576a.preview

SHORPY 8b08998u.preview
SHORPY 8b08998u.preview

SHORPY 28492u.preview
SHORPY 28492u.preview

SHORPY 8d28515a.preview
SHORPY 8d28515a.preview

SHORPY 4a23964a.preview
SHORPY 4a23964a.preview

SHORPY 05413u.preview
SHORPY 05413u.

My son died of adrenoleukodystrophy (ALD) when he was 8. He was born perfectly normal and had a seemingly perfectly healthy life up until half way through kindergarten. Our first clue came when one of his teachers called us in for a conference to tell us our son, who was for all of his life before that point, exceptionally well behaved, was acting out in school. Nothing particularly mean or even really relatable. He basically just seemed like he was no longer aware of good manners. He would do things like pee outside the urinal or behave erratically at inappropriate times.

We had him diagnosed by experts who promptly diagnosed our son with mild autism and ADHD. A bit weird considering he was highly social, reading above level, and prior to this had no real signs of either. We start ABA therapy and do all the things you need to do for a child with special needs. He makes progress he learns to do things better after weeks of intense therapy, but then a few more weeks go by and he gets worse in every way. We didn’t know it then, but he was just relearning to do things with different parts of his brain, not getting better. He starts forgetting things. He’s talking less. He’s climbing furniture and doing immature things he never even did when he was younger. The psychologists imply it’s our fault. We must not be sticking to the therapy. We try harder. Nothing is working. He tells us he has brain freezes and asks us if he’s dying. We tell him of course not, everything is fine, we will work through this and you will get better. Regressions go on for several months. We start to doubt the diagnosis, but there’s nothing we can do except complain to our psychologist who tells us he was misdiagnosed. He actually has severe autism! We ask for a referral to a neurologist to rule out other possibilities. The psychologist refuses, letting us know it’s us. We need to work harder. This goes on for months and we keep on begging for a referral. By the time he is close to non-verbal we finally have another appointment and show the psychologist a video of our son singing and dancing when he was a year younger. It’s a stark contrast to the child we now have who can no longer even attend a regular school. That’s not how autism works. We finally get a referral to a real doctor. We get an MRI and it’s lit up like a Christmas tree. An incredibly intense week of more testing follows. We test for hundreds of different diseases. Finally a genetic test for Adrenoleukodystrophy comes back positive. There’s no doubt. It fits.

It’s also a death sentence. There’s no known cure once symptoms start. Over the next year we watch our son forget who we were. Lose the ability to hear, see, smell, taste, and walk. He went through epileptic seizures and eventually became a walking zombie, not really cognizant of the world around him, but still able to perform basic life sustaining tasks like feeding himself. After he ate something he should not have, we had to take him to the ER. The anesthesia, messed with what was left of his brain and he never quite came back. He never walked again after that and could no longer feed himself or really do anything as he lost all of his senses.

Once symptoms start, every single person who has ever had this disease dies within a few years at most. Some people have prolonged it by using machines to keep their children alive, but their brains are totally gone except for the autonomic functions. No one has ever reversed the brain damage.

The only thing worse then watching your son die is knowing he’s absolutely going to die, knowing he has nothing to live for except more pain and suffering, and the only way to stop the suffering is to starve him to death. We had a team of doctors at that point. Not helping our son. There was nothing they could do for him and his body was actually quite healthy. He was just in a semi vegetative state. Awake, but not cognizant of the world around him. His soul was actually the first thing to go. His behaviors changed and for all intents and purposes, the disease took our son’s identity and dignity before any of the more physical ailments. There was no hope he was still in there. No question he was gone for over a year. This team of doctors was there for us, his parents, to guide us through his death. They were his palliative care team. We were at Stanford and they were some of the most qualified, compassionate, and intelligent doctors I have encountered. Near the end, I wanted to punch every one of them. It wasn’t their fault. They are messengers in a broken system, but they all knew our son was dying. They all knew his brain function was gone. They all knew he was never coming back. The adrenoleukodystrophy expert actually told us down to the month when our son would lose each of his functions. He was incredibly accurate. The last few meetings we had were all about how removing nutrition and hydration isn’t so bad, hes past where he is aware of most things. The body “knows” it’s dying and just peacefully gives up. It all felt made up. They said they will control the pain with morphine. Fuck! I know what morphine does. My first question is what is the point of prolonging his life. Theres no answers of hope coming back, no answers of he might still be there. Just the elephant in the room no one explicitly brings up. Society says this is the only path. “It’s fine.” But it wasn’t fine. My next question was how much morphine will stop all the pain immediately forever. They wouldn’t answer that one.

My son died when he was 8 after 3 weeks without food or water.

Adrenoleukodystrophy is a rare genetic disease. It can be cured if it’s caught with a test before symptoms start with a simple genetic test at birth. The federal government recommended this test before my son was born to be included with all newborn screenings. No state at the time he was born adopted the test as part of newborn screening despite that it pays for itself. When he died only 5 states did, today 44 do. If you have a son that was born with the gene for ALD, 1/3rd develop adrenoleukodystrophy most of the rest develop a related disorder that has very serious symptoms that start in the 20s or 30s. 10% have just minor adrenal gland issues that are treatable.

This is a photo of the last time I was able to get Griffin to laugh. He was otherwise non-verbal at this point, and struggling with a lot of his senses, but often you would barely know it if you saw us out. Physically his body was healthy. He was mobile nearly to the end. The one saving grace about the progression of his disease is that he seemed to lose the ability to comprehend death and other abstract concepts early. We wasted so much precious time with the misdiagnosis. Nothing will ever make up for that, but I’m glad we tried.

son
son

EV Dominance in CHINA(America CAN’T Compete) || 美国无法竞争

Tomato Pizza

I love a good vegetarian pizza. It not only tastes delicious, but makes me feel a little bit better about eating pizza! The flavors are just so fresh and clean. And let’s face it, it’s a bit less expensive too.

This tomato pizza is so simple, but just as satisfying of a slice. It gives you all the flavor punch of eating a slice of pizza, with less calories!

My favorite is when I can pull fresh tomatoes and basil from my garden. I may or may not repeatedly point out to the kids that we grew these toppings!

Whether you make your dough from scratch or opt for the ease of store bought, this simple pizza is sure to knock your socks off. Now it’s time to get your slice on!

tomato pizza resize 10
tomato pizza resize 10

Ingredients

  • 1 pizza shell
  • 1 sliced plum tomato
  • 1/4 cup feta cheese
  • 1/2 cup mozzarella cheese, thinly sliced
  • 1/4 teaspoon garlic salt
  • 1/2 teaspoon fresh basil
  • 1/2 teaspoon fresh parsley

Instructions

  1. Layer a little mozzarella cheese on the pizza shell.
  2. Lay sliced tomatoes on top so that they are not on top of each other.
  3. Crumble feta over tomatoes.
  4. Sprinkle with garlic, basil and parsley.
  5. Cover with remaining mozzarella cheese.
  6. Bake for about 10 to 5 minutes until cheese is melted.

Long ago, actually not so long ago, I started working as a Data Engineer at Amazon. Before this, I had experience as a Software Development Engineer (SDE) and a Data Scientist, but Data Engineering was relatively new to me. Additionally, I had primarily used Azure as my cloud provider in previous roles.

I soon found myself grappling with the notorious “imposter syndrome” — a phase where you feel you’ve faked your way to your position. I was assigned a new task involving technologies I had no experience with, and the deadlines were fast approaching. Feeling overwhelmed, I went to the kitchenette, grabbed a cup of coffee, and sat with my head buried in my hands.

yet
yet

One of the most experienced team members noticed my distress. He was well-versed in our technology stack and always had a stoic demeanor. He approached me and asked what was going on. I explained my situation and the looming deadlines. He told me to ditch the coffee and join him in the cafeteria.

Once there, he asked, “Do you know what differentiates unsuccessful and successful people in our profession?” I immediately responded with answers like “the highest amount of knowledge, great coding skills, holistic understanding of the stack, etc.” He shook his head and said, “No. Try again.” After a while, I admitted, “I don’t know.”

He laughed and said I had answered the first half of his question. Confused, I asked for clarification. He then shared a secret that changed everything: “When a person looks at an unknown problem and says ‘I don’t know it’ and moves on to a more familiar problem, they will eventually fail. But if they say ‘I don’t know it yet’ and see the problem as a learning opportunity, they will eventually succeed.”

That conversation made me realize the power of the word ‘yet’ and the importance of mindset. I guess ‘Yet’ is the secret of success.

North Korean Soldiers Are Preparing To Enter The BATTLEFIELD To Hunt NATO Forces In UKRAINE

An Interesting Development

So now that President Putin has visited North Korea, and is now in Vietnam, there is a great deal of speculation as to his objectives.

We don’t really know if there are going to be any “earth shattering” events that come out of this.

But what we do know is that the West, lead by the deluded and dementia ridden has made arrangements to place three (x3) ENORMOUS NATO bases outside of Ukraine from which to attack Russia with.

It is from these bases that NATO planes, flown by NATO pilots, and using NATO munitions, and NATO intel that will engage Russia from the safety of Poland, Germany, and one other nation.

Once confirmation of this new dimension has been obtained, we have a flood of speculation as to how Russia will react to it.

The normal “armchair warriors” suggest nuclear detonations, and full-scale invasions.

But, I disagree.

Perhaps, the three North Korean divisions of crack assault troops will be used to attack NATO bases and facilities in Europe. The advantages are numerous.

  • North Korea would be immune from reprisals.
  • Russia would focus on Ukraine special operations.
  • And Europe would be fighting the descendants of Genghis Khan.

An interesting development. For certain.

Germans and Polish troops fighting North Koreans inside their own nations without the NATO safety net hiding from Russia. Seems to me that two can play that game of “immunity from reprisals”.

Logical, but frightening.

Imagine that! Europeans having to defend their own nations instead of invading other nations.

Wet crotch bike ride

I’ll tell you a trick to watch out for on western media. It’s like clockwork.

The less reporting there is on it, the less western goals are being met.

Reporting on Ukraine is at a trickle and they’re just repeating the usual tropes that westerners buy over and over again. We had SUPERIOR ARYAN TECH but the Asiatic inferior hordes beat us!

The lack of reporting can be seen in multiple instances:

Hong Kong, where I live. Once the protests became violent (around June 2019) reporting slowed down. By September 2019 reporting in the western world became a trickle as the wide spread violence couldn’t be covered up. By October 2019 I had friends in the UK asking me if I was ok as all coverage had stopped. This was when people were being set on fire for disagreeing and bombs were going off in Hong Kong. This is why many of them haven’t heard of the bombing campaign and insist the riots were completely peaceful. They didn’t get to see much reporting after November 2019.

I literally humiliated one of my stalkers Ah Meng and his alt account when he said bombs were widely reported on! I then mentioned an actual bomb attack that he never heard of and his PEACEFUL protestors narrative collapsed 😀 😀 😀

The same with Afghanistan and Iraq. Once the tide turned? Western regime media simply stopped reporting on it.

Apple Stuffed Chicken Breasts

88ebee9c4b89c693b8b38b0b65123641
88ebee9c4b89c693b8b38b0b65123641

Ingredients

  • 4 boneless chicken breasts
  • 3 slices bacon, chopped
  • 2 cloves garlic, minced
  • 3 scallions, finely chopped
  • 1 apple, peeled and chopped
  • Pepper to taste
  • Salt to taste
  • 1/2 teaspoon thyme
  • 1/3 cup Parmesan cheese
  • 2 tablespoons olive oil

Instructions

  1. Cut a small pocket into the thickest part of each chicken breast and set aside.
  2. Fry bacon until crisp. Drain on a paper towel. Add garlic and scallions to the bacon drippings; sauté slightly.
  3. Add apple, pepper, salt and thyme and return the bacon bits to pan. Heat thoroughly. Remove from heat and cool.
  4. When mixture is cool, add cheese and stuff into chicken breast. Brown chicken on both sides in a very hot fry pan with olive oil.
  5. When brown on both sides, place in a baking dish and bake at 350 degrees F for 15 to 20 minutes or until chicken is done.

Men Are Done Being The Punching Bag

Yes they are. Systemic male bashing at all levels has created the beginnings of a angry tide of men…

comments3
comments3

  1. 70% of people like old songs because of the memories attached to them.
  2. When a person dies, they have 7 minutes of brain activity left, it’s the mind playing back the person’s memories in a dream sequence.
  3. Psychology says that playing video games makes you more creative.
  4. Most people type faster when there’s someone they like.
  5. You appear more attractive to a person when you make them laugh or smile.
  6. 80% of women choose silence to express pain. You should know she is truly hurt when she chooses to ignore you.
  7. People with sarcastic personalities are more honest with their friends.
  8. Overthinking is a special form of fear. It gets even more dangerous when anticipation, memory, emotion and imagination are added together.
  9. The average woman smiles 60 times a day. An average man smiles only 10 times a day.
  10. When people refuse to tell you what’s wrong, you tend to think that it’s probably your fault.
  11. Intelligent men and women are more easily annoyed by people in general.
  12. Women and men experience the same kind of emotions but women are more honest with them.
  13. Life becomes more meaningful when you understand the fact that you will not get the same moment twice in your life.
  14. What we wear tends to affect how we behave.
  15. Introverts tend to have more thinking capabilities than extroverts.
  16. Eat bananas, because bananas contain a special chemical which can make a person happy.
  17. Pretending not to care is the habit of those who care the most.
  18. Pretending not to care is the habit of those who care the most.
  19. When you become really close to someone, you can hear their voices in your head when you read their text.
  20. Being sarcastic can add upto 3 years in your life.
  21. Appreciating someone can boost their confidence and motivate them to do better things in life.
  22. Following the above point if you appreciate this answer, it will boost my confident.

Vintage comics

83b1a59243cd87756af61b4609263070
83b1a59243cd87756af61b4609263070

99795d20ac33c273afcad25189de86ae
99795d20ac33c273afcad25189de86ae

d16a16c5d98a24bce169d9c431a1abc2
d16a16c5d98a24bce169d9c431a1abc2

94a7fdf79c5889e36cb8dac7f84db9a2
94a7fdf79c5889e36cb8dac7f84db9a2

fe431d886976cfc60f470ce33e08dd17
fe431d886976cfc60f470ce33e08dd17

84ced1786a25ddf09ae1475d43d45707
84ced1786a25ddf09ae1475d43d45707

ea29264e7227bb16757d5e8d57e39487
ea29264e7227bb16757d5e8d57e39487

dedf82bccb675d29f7f33478a6cfbe21
dedf82bccb675d29f7f33478a6cfbe21

69ce1f8538ae229db89cbe4bea091f4c
69ce1f8538ae229db89cbe4bea091f4c

0627f8e4659f3bb42ff026dbca5d1487
0627f8e4659f3bb42ff026dbca5d1487

21b27498dcdd0ab6ec09208a86c1a468
21b27498dcdd0ab6ec09208a86c1a468

2088bf4a636cd65ed424a3a7891401f0
2088bf4a636cd65ed424a3a7891401f0

d381d7880c3663237926343be2080f53
d381d7880c3663237926343be2080f53

a544b53f543fbfe087b3041a0ce35a9f
a544b53f543fbfe087b3041a0ce35a9f

9caf6d800c34e8bb7fa3c2101aa511f9
9caf6d800c34e8bb7fa3c2101aa511f9

9ca4f640f63aa72b9c159835bbb4167e
9ca4f640f63aa72b9c159835bbb4167e

1246c5bbc6c6f798ee0bf4f3fcd1b663
1246c5bbc6c6f798ee0bf4f3fcd1b663

377b036086c81c57d2ed1d331f7f611e
377b036086c81c57d2ed1d331f7f611e

665b6dd6f78e5573bcb83cc2b5d7f05a
665b6dd6f78e5573bcb83cc2b5d7f05a

ac3c7b35581d01db3217305f4d9b14de
ac3c7b35581d01db3217305f4d9b14de

43a6e622cc53c9529842a01f0e002c6f
43a6e622cc53c9529842a01f0e002c6f

78adf2ee4cade9c9f64676b3aeaa36e3
78adf2ee4cade9c9f64676b3aeaa36e3

fdd6d2ea826225bc104962b82c3254d9
fdd6d2ea826225bc104962b82c3254d9

a8a5dfaa3153cd844405d70bc0724ab5
a8a5dfaa3153cd844405d70bc0724ab5

dc6a7f7f30ab906c80065c6111239d3c
dc6a7f7f30ab906c80065c6111239d3c

82bda9c9d1df7601d5081568c61383b6
82bda9c9d1df7601d5081568c61383b6

aa4e636234f16a5a1fc540d646d0f71e
aa4e636234f16a5a1fc540d646d0f71e

67ae86b54d54c545822dc81ad40b614c
67ae86b54d54c545822dc81ad40b614c

96d0a3892e8fb0ed6e27613c216f9676
96d0a3892e8fb0ed6e27613c216f9676

f25aab8d202306fee96a4c694a7fe1bf
f25aab8d202306fee96a4c694a7fe1bf

10 REAL Reasons Why I Left the United States (and you should too)

Welcome to the club, buddy.

It is an evil state, and seems like it pretty much always was with its stealing of American Indian land (Lebenscraum and genocide), stealing of Mexican land, occupying Hawaii, its black slaves, its indentured servants. Andrew Jackson and wilson were a horrible bigot. It was not failed but so very evil, doing pretty much every evil thing that it accused other countries of doing. But failed no.

But that was until recently. Its presidents have done some amazing things to make the United States the imperial power it has been for most of its history, and for the last 80 years the global hegemon, trampling the French and British empires. Now it is desparately trying to hold on to its global hegemony, but China has the same advantage that almost guranteed the United States becoming the global hegemon and that is populatiion. The United States had so much larger a poulation than that other western nations that there was not competetion given that is large population led to the largest GDP. Now China has managed to overcome what has held it back for a couple of centuries and its population of four times the United States has led it to having the biggest economy in the world (GPD PPP), and its leadership seems to be a lot more competent than the Amerian leadership ever was. All China needs is to have a GDP per capita of a quarter of the United States, and it will far surpass the United States in GDP PPP just because a dollar will go a lot further in an economy where the GDP per capita is a quarter of another economy. Russia is doing fine because China is not sanctioning it. Iran seems to be doing OK also. The Chinese economy is just too strong for the Americans to use thier economic power to crush countries that China will support, and the US cannot crush China because the Chinese economy is bigger than the United States, and China is very rich in resources so it could handle any attempt to control it through controlling resources like the US (and UK) did to Germany, Japan, and so many other countries.

Economically, China may not yet be the global hegemon, but neither is the United States. But China is stronger economically.

It will take a while, but with the econonimc advantage China is gaining over the United States, the political power of the United States will deminish, and American stupidity is just accelerating this fall. I cannot think of a single win the United States has had internatinally in that last eight years, and there are many disasters, especially under that idiot Biden.

Politically it appears that the US has lost it hegemony, and bad international decisions of the the last decade have have hurt the respect for American dipolmacy with first a loss in Vietnam, and then the losses in Afghanistan and Iraq. Also effectivley Libya and Syria have been loses. then to stupidly push Russia into a war with Ukraine, and even more stupidly not to clamp down on the Israeli genocide in the Gaza.

The US seems to have lost any ability to declare moral high ground, which it really never had in the first place, but it is run by some of the best liar in the world given that its political system is perfect for selecting the best liars given that a beleivable lie is probably more likely to get you elected than the truth, and the best liar is going to win elections. China and Russia just cannot compete with the ability of the Americans to lie. But the Chinese are very smart, much smarter than the Americans, and if you ever listen to thier arguments you will hear excellent logic against American emotionalism. China also very much values wisdom, something the west does not understand any more than it understands how to be honorable, or trustworthy.

San Francisco today 2024

Not my parents, but my father alone.

My older brother committed suicide when I was in my late teens; he was 21 at the time.

I periodically visit his memorial; I’ve been once or twice with other family and my father. Visits tend to be emotional, but the pain clears after some time – different people grieve in different ways.

I hadn’t been to my brothers grave for a long time – years. I felt I’d had closure – not forgotten, but forgiven as it were. I’d moved on, in a way.

One day I was driving past the cemetery and thought, simply I’d go and say “hi,” – I could barely remember what the plaque looked like. As I got closer, I saw someone standing right by where I was heading. I backed off, I thought I’d give them respectful space.

Some time passed, and the person turned – he had a flower in his hand, and he was exiting the area of the garden away from me. I recognised his gait, and I don’t know why I hadn’t picked up on it earlier – I guess I just wasn’t expecting it or something, but I knew my Dad – it was definitely him. He was too far away, and I awkwardly didn’t want to shout out to him – I called his phone. The distant figure pulled his mobile out, looked at it, and cut the call.

He put his flower in the garbage can, leaned heavily on it and heaved his shoulders. Then, he stuffed his hands in his pockets and kept walking.

Nothing in my life at that moment has alarmed or disturbed me so much – my father who I love deeply, the pain he feels at the loss of a son. I saw something that day that cut me in two. Defeat? Whatever it was, it was painful for both of us.

We’ve talked about it – that day in fact. He told me he didn’t take my call because he was too raw – sometimes it happens I guess. He’s angry sometimes at my brother but more often himself; he feels despondent and worthless sometimes – a father who buried a son.

I wish I never had to see my parents (either of them) like that, ever.

Shorpy Images from the past

SHORPY 5a21059u.preview
SHORPY 5a21059u.preview

SHORPY 8b31640a.preview
SHORPY 8b31640a.preview

SHORPY 8b31634a.preview
SHORPY 8b31634a.preview

SHORPY 15827a.preview
SHORPY 15827a.preview

SHORPY 35829u.preview
SHORPY 35829u.preview

SHORPY 7495894086.preview
SHORPY 7495894086.preview

SHORPY 4a18353a.preview
SHORPY 4a18353a.preview

SHORPY 8b31477a.preview
SHORPY 8b31477a.preview

SHORPY 8d20571u.preview
SHORPY 8d20571u.preview

SHORPY 8b38617a.preview
SHORPY 8b38617a.preview

OldPreakness.preview
OldPreakness.preview

SHORPY 30231a.preview
SHORPY 30231a.preview

SHORPY 35521a.preview
SHORPY 35521a.preview

SHORPY 30235a.preview
SHORPY 30235a.preview

SHORPY 30236a.preview
SHORPY 30236a.preview

SHORPY 4a18307a.preview
SHORPY 4a18307a.preview

SHORPY 42853a.preview
SHORPY 42853a.preview

SHORPY 5a29800u.preview
SHORPY 5a29800u.preview

SHORPY 4a18359a.preview
SHORPY 4a18359a.preview

SHORPY 8b31627a.preview
SHORPY 8b31627a.preview

SHORPY 4a09909a.preview
SHORPY 4a09909a.preview

SHORPY 8d21744u.preview
SHORPY 8d21744u.preview

SHORPY 42686a.preview
SHORPY 42686a.preview

SHORPY 8a09886u.preview
SHORPY 8a09886u.preview

SHORPY 30288u.preview
SHORPY 30288u.preview

SHORPY 8d21747u.preview
SHORPY 8d21747u.preview

SHORPY 8d24946a1.preview
SHORPY 8d24946a1.preview

SHORPY 8d24981a.preview
SHORPY 8d24981a.preview

SHORPY 5a17679u.preview
SHORPY 5a17679u.preview

SHORPY 8d24991a.preview
SHORPY 8d24991a.preview

Moms NUDE Photos Used To Heckle HER SON Ahead Of His Basketball Game

Only Fans girls TAKE NOTICE.

China experts, explain away.

I must say this is a sophisticated piece of infographic that’s out of reach for the average keyboard warrior.

BTW, Bertelsmann Stiftung is a well funded German NGO, and owner of Bertelsmann, a 15b Euro media conglomerate and one of the world’s largest.

Not too dissimilar from Ikea and Rolex being registered as charities.

Isn’t that nuts?

Dead by Cancer

“My name is Sonia Todd, and I died of cancer at the age of 38. I decided to write my own obituary because they are usually written in a couple of different ways that I just don’t care for. Either, family or friends gather together, and list every minor accomplishment from cradle to grave in a timeline format, or they try and create one poetic last stanza about someone’s life that is so glowing one would think the deceased had been the living embodiment of a deity.

The truth, or my version of it, is this: I just tried to do the best I could. Sometimes I succeeded, most of the time I failed, but I tried. For all of my crazy comments, jokes and complaints, I really did love people. I didn’t always do the right thing or say the right thing and when you come to the end of your life those are the things you really regret, the small simple things that hurt other people.

Some folks told me that writing my own obituary was morbid, but I think it is great because I get a chance to say thank you to all the people who helped me along the way. Those who loved me, assisted me, cared for me, laughed with me and taught me things so that I could have a wonderful, happy life. I was blessed beyond measure by knowing all of you. That is what made my life worthwhile.

If you think of me, and would like to do something in honor of my memory do this:

– Volunteer at a school, church or library.

– Write a letter to someone and tell them how they have had a positive effect on your life.

– If you smoke – quit.

– If you drink and drive – stop.

– Turn off the electronics and take a kid out for ice cream and talk to them about their hopes and dreams.

– Forgive someone who doesn’t deserve it.

– Stop at all lemonade-stands run by kids and brag about their product.

– Make someone smile today if it is in your power to do so.”

News you won’t read about in the West.

I saw my parents crying.

I was in standard second, just eight years when I saw tears trickling down my father’s cheek. I do not remember any other childhood memory of that age, but I remember this. And probably this will haunt me all my life.

That year, we had a great downfall in business. Without mentioning the details, I would just mention finally the business was shut down.

After that, every now and then, investors would come to our home and ask my father to repay them back.

It was one such day, when I saw this happening.

One investor was at home for the same cause. His words were so sharp and his demands too high that probably break down my father. My mother with tears in her own eyes was consoling him. While I hid inside the kitchen watching, afraid to move.

That day I saw my parents break down, for money. And I wish no other child sees it.

I wonder today, did my parents cry when finally we shifted from a cosy apartment to a small quarter which had seepage everywhere.

“There is a big ground in front of the quarters. You can play, my mother said.”

It was enough for me and my brother to shift in happily, but did my parents cry again?

Did my parents cry when they needed to sell whatever little gold jewellery my mom had?

Did my parents cry when sometimes I would bring a result suspended due to non payment of fees notice from school?

Did my parents cry when my brother gave my father a 100 rupees from his piggy bank(all in coins) to take me to the doctor when I was sick.

Did they cry when dad got an angioplasty not because of smoking or drinking(he never does) but due to stress(doctors say)? Well, I cried all night.

Did they cry when my mom acquired hypertension?

I do not know.

Today they have rebuilt their little world. And say let bygones be bygones.

My parents are my support system. I have neither too many close friends( I have two to be precise, one married and one miles away) nor any lover.

I wish my parents never read this,never know that I have seen their tears.That I know and remember their bad times.

And if they do, I wish to tell them, you are my heroes. And I am proud to be your daughter.

Dead. Dead. Dead.

Escape while you still can. And, then RUN!

My step-daughter was in 6th grade, she brought home a basic math worksheet, marked with a zero.

I was supposed to sign it and return it to the school.

Since it was basic math, I took a closer look at it. She had all the answers right. I asked why she got a zero. She said it was from her “calculator math” workbook and the teacher gave her a zero, because she hadn’t used a calculator.

I called the school and requested a meeting with the teacher and the principal.

I wanted to know:

  1. What is calculator math
  2. Why was she given a zero

Apparently, the school district had bought into some idea, that as a life skill, kids needed to be taught how to use a calculator, so they bought a special workbook and calculators from an education company, and they were doing an hour a week, learning how to use a calculator.

I told the principal and the teacher, that one of the important skills about calculators is when to use one and when to just do the math. Apparently, later in the workbook are problems that are hard enough that it makes sense to use a calculator.

I told them that when they got to the section where doing the math in her head became difficult, my step-daughter could use a calculator. Otherwise, she should use this extra hour a week to improve her math skills.

Step-daughter’s paper was regraded as 100%, we never had to repeat the conversation, and she got an “A” in calculator math.

Why Bother Working For a Living …. Public Assistance Game

There is no more middle class. Every thing has been gentrified from housing , cars People not being able to find a decent paying job and the cost to live is getting way out of hand . Gen Z and Millennials No Long Want to work towards nothing. America is Broken and it’s not cool. Looks like everyones going to be on welfare

Baked Cream Cheese Topped Chicken

Chicken breast halves are topped with a nicely seasoned cream cheese mixture, then baked.

recipe baked cream cheese topped chicken 2280
recipe baked cream cheese topped chicken 2280

Yield: 4 servings

Ingredients

  • 1/2 (8 ounce) package Challenge Cream Cheese, softened
  • 1/2 teaspoon garlic salt
  • 1 teaspoon chopped fresh oregano*
  • 3 tablespoons 1/4 inch chopped red bell pepper
  • 4 boneless, skinless chicken breast halves (about 1 1/2 pounds)
  • 2 tablespoons Panko style unseasoned bread crumbs
  • Oregano or parsley sprigs for garnish (optional)

Instructions

  1. Heat oven to 425 degrees F.
  2. Combine softened cream cheese, garlic salt, oregano and red bell peppers. Set aside.
  3. Wash chicken pieces, pat dry, and place on a foil line baking sheet.
  4. Top each chicken piece with 2 tablespoons of the cream cheese mixture. Spread just to coat the top surface.
  5. Sprinkle each piece with 1/2 tablespoon of bread crumbs.
  6. Bake until chicken is thoroughly cooked (center temperature of 165 degrees F), about 20 minutes.
  7. Garnish with oregano or parsley sprigs.

Notes

* If only dried whole oregano is available, use 1/8 to 1/4 teaspoon.

A man from Texas

A young guy from Texas moves to California and goes to a big department store looking for a job.

The manager says, “Do you have any sales experience?”

The kid says, “Yeah, I was a salesman back home in Texas.”

Well, the boss liked the kid, so he gave him the job. “You start tomorrow.I’ll come down after we close and see how you did.”

His first day on the job was rough but he got through it. After the store was locked up, the boss came down.

“How many sales did you make today?”

The kid says, “One.”

The boss says, “Just one? Our sales people average 20 or 30 sales a day.How much was the sale for?”

Kid says, “$101,237.64.”

Boss says, “$101,237.64? What did you sell him?”

Kid says, “First I sold him a small fish hook. Then I sold him a medium fish hook. Then I sold him a larger fish hook. Then I sold him a new fishing rod. Then I asked him where he was going fishing, and he said down at the coast, so I told him he was gonna need a boat, so we went down to the boat department, and I sold him that twin engine Chris Craft. Then he said he didn’t think his Honda Civic would pull it, so I took him down to the automotive department and sold him that 4X4 Blazer.”

The boss said, “A guy came in here to buy a fish hook and you sold him a boat and truck?”

Kid says, “No, he came in here to buy a box of tampons for his wife, and I said, ‘Well, since your weekend’s shot, you might as well go fishing.'”

ALWAYS check animal shelters and veterinarians’ offices first for missing pets. Leave photographs of the pet and your phone number with them. Put up posters with photos of your pet around your neighborhood (don’t forget to take them down when your pet is found, though). We got our cat back after two months, when our local animal control identified him and called us. he was an indoor cat who sneaked out, then got too scared to come home. He had been tossed over the fence at a veterinarian’s office that didn’t bother to check the photo we left—but animal control did. We were so happy to have him back! check animal shelters and veterinarians’ offices first for missing pets. Leave photographs of the pet and your phone number with them. Put up posters with photos of your pet around your neighborhood (don’t forget to take them down when your pet is found, though). We got our cat back after two months, when our local animal control identified him and called us. he was an indoor cat who sneaked out, then got too scared to come home. He had been tossed over the fence at a veterinarian’s office that didn’t bother to check the photo we left—but animal control did. We were so happy to have him back!

Smelling like a zoo

I often comment about my experiences in world line slides.

I talk about how things were different, and how they seemed strange. I talked about how sometimes my body would be different.

Like I would have a scar or a tattoo, or some other minor change. Like socks changing into black and white checkered race flag designs instead of my normal business socks and so on and so forth.

Once, I will tell you, that I had a heightened sense of smell. I could smell the most amazing things, but my consciousness could not process all of it. Which means that to me, everything in that world-line adventure smelled like an animal zoo to me.

It was, I’ll tell you the truth, quite an interesting experience.

Good for me that the trip on that line was short lived.

Ah. Ignorance is bliss.

Today…

Blinken to China to fuss about support for Russia

With ties somewhat stabliized, the visiting envoy wants China to stop sending dual-use goods – and to leash Iran
.

US Secretary of State Antony Blinken will visit Beijing soon to raise concerns about China’s support for Russia’s defense industrial base and its purchase of Iranian oil products.

Blinken’s China trip was announced after Assistant Secretary of State for East Asian and Pacific Affairs Daniel Kritenbrink and National Security Council Senior Director for China and Taiwan Affairs Sarah Beran ended a three-day visit in Beijing on Tuesday.

Last week, US officials briefed reporters on materials China was providing to Russia, including drone and missile technology, satellite imagery and machine tools, Reuters reported.

Blinken is also set to discuss with Chinese officials the situation in the Middle East.

On April 11, Blinken requested to have a phone call with Chinese Foreign Minister Wang Yi to talk about the Iran-Israel conflict. Wang said China strongly condemned Israel’s attack on the Iranian Embassy in Syria, which happened on April 1.

On April 12, US President Joe Biden said he expected Iran to attack Israel “sooner, rather than later.” He underscored Washington’s commitment to defend Israel. On April 13-14, Iran unleashed a barrage of missiles and drones against Israel, which successfully intercepted most of them.

No full-blown Mideast war yet

Both Washington and Beijing called for de-escalation and a full-blown war has not yet broken out in the region.

(Indeed, if a report for which veteran American investigative reporter Seymour Hersh cites unnamed sources is correct, the attack was something of a charade, negotiated in advance, in which Iran was permitted a massive show of anger while inflicting very limited damage.)

Meanwhile, the US House of Representatives on Monday passed bipartisan legislation called the Iran-China Energy Sanctions Act by a 383-11 vote.

Jointly proposed by Democrat Josh Gottheimer and Republican Mike Lawler, the bill is aimed at making it more difficult for China to purchase Iranian petroleum and related products. It needs approval from the Senate before it can go to Biden for his signature.

“After Iran’s unprecedented attack on Israel, and as its regime of terror deepens ties to China, we are reminded that they cannot be trusted,” said Gottheimer.

”We must hold Iran and its backers accountable, especially China, the number one purchaser of Iranian petroleum,” said Lawler.

The duo said the Iran-China Energy Sanctions Act, along with the SHIP Act passed last November, will kneecap Iran’s ability to export murder and instability across the Middle East region.

Also on Monday, Wang had a phone call with Iranian Foreign Minister Hossein Amir-Abdollahian.

Wang said China believed that Iran is fully cognizant of the situation and will avoid causing further turbulence while defending its sovereignty and dignity. He added that China will steadily advance practical cooperation in various fields with Iran to make greater progress in China-Iran relations.

A pundit responds

“The passage of the Iran-China Energy Sanctions Act by the US House is a bargaining chip that is aimed at forcing China to compromise on Iranian issues,” You Feng, a visiting lecturer at Peking University and a military commentator, says in an article published on Wednesday. “It seems that if we do not fulfill the United States’ demand of exerting influence over Iran, the act will soon take effect.”

“But obviously,” she adds, “even if Blinken will raise the matter during his upcoming visit to Beijing, China is unlikely to give up its cooperation with Russia and Iran. The reason is simple. Our cooperation with Russia and Iran is normal trade. The United States’ unreasonable demand directly hurts our interests, and this is unacceptable.”

She says China will definitely fight back if the US imposes sanctions. She says Blinken should consider Beijing’s opinions, before departing for China, instead of presenting a bunch of unreasonable demands.

Overcapacity

Last June, Blinken visited China and met with Chinese President Xi Jinping after US-China relations had been impacted by the Chinese spy balloon incident, the US chip export ban and Taiwan issues in early 2023.

Since then, more US and Chinese officials have held meetings. A face-to-face meeting between Xi and US President Joe Biden in San Francisco last November also helped stabilize Sino-US relations. But the US sanctioned more Chinese firms that shipped products to Russia. It unveiled new chip export rules against China last October.

Now Washington is urging the European Union to take actions to avoid being hurt by China’s industrial overcapacity, especially in the electric vehicle (EV) sector.

During a meeting with Chinese Xi Jinping in Beijing on Tuesday, German Chancellor Olaf Scholz raised the issue of China’s overcapacity and said that Germany wants open and fair markets.

Xi asked the German side to look at the matter objectively. He said a surge in China’s clean-technology exports can help the world tackle inflation and achieve its green goals.

“The notion that China’s overcapacity harms the global market is a complete fallacy,” Li Jian, a spokesperson of the Chinese Foreign Ministry, said in a regular media briefing on Wednesday. “Those who spread that narrative to justify protectionism have nothing to gain from it and will only destabilize and disrupt industrial and supply chains, hinder the world’s green transition and curb the growth of emerging sectors.”

An article published by China National Radio’s flagship radio channel called The Voice of China said that the accusation of “overcapacity” is another form of the West’s “decoupling” with China. It said US politicians want to use this campaign to gain benefit in this year’s presidential election.

Li Daokui, director of the Center for China in the World Economy (CCWE) at Tsinghua University’s School of Economics and Management, was quoted as saying in the article that all countries would subsidize their new industries during the development stage.

He said the US cannot accuse China of subsidizing its new energy sector while it is openly subsidizing its chip industry.

“A ‘super-cycle’ exists in EVs and renewable energy equipment as excess supply in certain parts of their supply chain is coupled with yet-to-materialized demand,” Chim Lee, an analyst at the Economist Intelligence Unit, says in a research note on Monday. “These sectors are highly politicized globally. Lower prices can be perceived as the result of government support, but they are also key to accelerating the green transition.”

They are freaking out

One day while leaving the office at 8.30pm. The security guard looked at me with the phone in his hand in a confused state. Curious I was, I went near him and from there, the conversation started.

Me :What happened? Why are you looking so confused?

He : Sir I tried to withdraw money from our office ATM but the transaction was failed due to timed out. Then I got the message from the bank that my money was deducted from my account!

Me: Don’t worry they will refund the money within one or two days.

He : Sir, but I didn’t get any message about the refund. How will I get? Also the bank is far away from here so I cannot go immediately.

Me : Don’t worry bhiaya I too faced similar kind of problem but I got refunded within 3 days. So don’t worry.

He : Okay sir. Thank you

Then after few days I saw him running towards me and he said “Sir thank you so much, I got my money refunded”

Me : Oh great! Why are you saying thanks to me?

He : You only said about this refund. Otherwise I would have taken leave to visit bank next day. You saved my one day salary.

Me : Oh! its OK bhaiya. Happy that you got the money back.

Which I thought to be a small piece of information, after seeing his smiling face, only then I realized how big it was.

SO YES these kind of small things matters a lot to me and gives satisfaction!!

Power

WeChat, which is owned by Tencent, was launched in 2011, and its programming team gradually added new features to attract new users to switch from QQ Mobile (also owned by Tencent) to WeChat.

However, compared to Alibaba’s offerings, it was weak because it still did not have a wallet and payment function.

The Guangzhou-based 10-person team led by Alan Zhang 张小龙 worked on a programming solution to this hole in WeChat’s functionality, while the business team worked with the Chinese government to enable digital functionality by plugging into their bank accounts.

The product marketing team needed to do something big in order to really put WeChat Wallet on the map. They decided to launch around Chinese New Year 2014 so that they could leverage a traditional Chinese custom, and turn it digital. During Chinese New Year, the Chinese traditionally hand out red envelopes stuffed with cash (in new bills) to children.

The strategy was brilliantly simple: WeChat Wallet would hand out digital red envelopes. In order for the campaign to succeed, WeChat Wallet would have to connect with a minimum of two bank accounts: one person to send the money, and the other person to receive the money. Usually, the number was much higher than two because adults would have to give New Year’s money to more than one child or teenager.

The product marketing team focused on seeding a significant number of early adopters so that they could get others to connect their bank accounts so that they could receive money. In order to simplify the money amount selection process, buttons were marked in the amounts of 8, 10, 88, and 100 yuan; most of the time, people just selected a default amount to transfer.

The marketing campaign immediately went viral and was a tremendous success, and within two months, more than 100 million bank accounts were connected to WeChat Wallet. At the same time, merchants and small businesses applied for QR codes so that they could accept payment online and offline by just providing a QR code to scan.

Alibaba’s founder, Jack Ma, who is a strong competitor with Tencent, complimented the success of this launch, and in internal meetings, referred to it as a “digital Pearl Harbor attack” on Alibaba because it was caught completely by surprise. Coming from Tencent’s main competitor in the China market, it was a true compliment.

Within two years, Chinese society went largely cashless. Now, in China, most of the people who pay in cash are travelers from outside China. Everyone else uses either Tencent’s or Alipay’s digital wallet which they carry in their mobile phones.

The social repercussions have been huge: e-commerce and delivery services have taken off in China, and the volume and velocity of transaction is much larger than in the US.

I feel your pain fellas…

New PLA unit underscores intelligentized warfare shift

PLA-ISF aims to better integrate emerging AI, quantum and other technologies into multi-domain operational concept against the US and its allies

China has just unveiled its People’s Liberation Army-Information Support Force (PLA-ISF), a rebranding of its previous PLA-Strategic Support Force (PLA-SSF) to reflect new responsibilities and capabilities and guide the military’s technology-driven integrated combat concept, Chinese state media reports said.

In contrast to traditional PLA services such as the Ground Force, Navy, Air Force, and Rocket Force, the PLA’s strategic arms, such as the Aerospace Force, Cyberspace Force and Joint Logistics Support, focus on more specialized areas critical to modern warfare.

PLA-SSF, founded in 2015, was initially tasked with developing and implementing most of the PLA’s space-based capabilities and counter-space operations. Stressing the PLA-ISF’s broader responsibilities, Chinese President Xi Jinping emphasized that the renamed PLA-ISF will be crucial in advancing the PLA’s modernization and effectiveness in modern warfare.

Xi also stated that the PLA-ISF would be integrated into the PLA’s joint operations system, feature unique Chinese characteristics and accelerate the development of integrated combat capabilities more effectively.

China may have rebranded its PLA-SSF into the PLA-ISF in line with its evolving strategic thought and changing operational strategy.

In December 2022, the South China Morning Post (SCMP) noted that the PLA-SSF was created to centralize the PLA’s information support units. Before the creation of the PLA-SSF, each PLA service branch had its own information support units, potentially resulting in disjointed support efforts that hampered rather than helped operations.As part of the 2015 reforms establishing the PLA-SSF, China’s Central Military Commission (CMC) took over the roles of the PLA general staff and general political departments while those departments’ responsibilities for cyberwarfare, space, electronic warfare and psychological operations were transferred to the PLA-SSF.The SCMP report mentions that before the PLA-SSF’s rebranding into the PLA-ISF, it had two principal departments – the Space Systems Department, which runs intelligence and communication satellites, and the Network Systems Department, which is tasked with cyber operations, electronic warfare and signals intelligence (SIGINT).The rebranded PLA-ISF may reflect an evolution in Chinese strategic thought from “winning informationized wars” to “intelligentized warfare,” which in turn implies a broader mission set for the PLA-SSF, necessitating a name change to reflect increased responsibilities and capabilities.China’s 2015 Military Strategy describes the foundation of “winning informationized wars,” noting the application of information technology in all military operations.

It says that “preparations for military struggle” (PMS) are under the context of winning “informationized local wars,” emphasizing that information is not just vital but will play a dominant role in winning future conflicts.

Building on the premise of China’s 2015 Military Strategy, the 2019 China’s National Defense in the New Era white paper notes that technologies such as AI, quantum information, big data, cloud computing and the Internet of Things (IoT) mark an evolution from “informationized” to “intelligentized” warfare.

Koichiro Takagi mentions in an April 2022 War on the Rocks article that the main idea of China’s “intelligentized warfare” concept is to use AI to directly influence the will of top policymakers, military commanders and citizens.

Takagi says that “intelligence dominance” will become a new area of struggle in intelligentized warfare, emphasizing that China envisions using AI for military purposes differently than the US and its allies.

In a January 2022 article in the Security and Strategy journal, Maasaki Yatsuzuka outlines the imperatives that may have led to the rebranding of the PLA-SSF into the PLA-ISF from political and military angles.

From a political standpoint, Yatsuzuka notes that the Xi administration’s implementation of intelligentized warfare signifies a shift toward a centralized decision-making process in the PLA.

This process, he says, aims to uphold the Communist Party of China’s (CPC) control over the PLA and secure its alignment with the party’s goals and ideology.

He also mentions that the need for centralized control is emphasized by integrating military reforms with broader party policies, such as the Military-Civil Fusion (MCF) strategy.

Further, Yatsuzuka says that the PLA’s emphasis on political education and control through political commissars is becoming increasingly crucial as warfare becomes more technologically advanced and specialized.

From a military standpoint, Yatsuzuka says that to move toward intelligentized warfare, the PLA must establish integrated information systems to effectively manage the diverse aspects of modern warfare, including land, air, sea, space, cyber, cognitive and electromagnetic domains.

He mentions that effective utilization of AI and real-time data processing is crucial for future operations as it requires a robust data flow and analysis framework across various platforms and military units.

Yatsuzuka stresses the importance of a consolidated strategic directive incorporating inputs from multiple military and civilian sources to enable a united and adaptable response driven by centralized military leadership.

The rebranding of China’s PLA-SSF into PLA-ISF may also reflect the need to integrate information warfare and emerging technologies and capabilities into a multi-domain operational concept.

In October 2023, Asia Times reported on China’s Multi-Domain Precision Warfare (MDPW) concept, which utilizes AI and big data advances to identify weaknesses in the US operational system and launch precision strikes. It also tests and improves AI-driven capacities to align with China’s military doctrine.

China’s intelligent warfare strategy is expected to involve a combination of human and machine command and control systems. Humans will have limited control over autonomous weapons and the focus will be on expanding warfare to areas where humans cannot operate, such as the cognitive domain.

MDPW may be China’s answer to the US Combined Joint All-Domain Command and Control (CJADC2) system, a tech-driven plan to enhance military interoperability and AI integration across all warfare domains with allies and partners.

In practice, MDPW may seek to dismantle and destroy CJADC2’s kill chain by targeting critical information nodes such as aircraft and satellites through physical attack, targeting information networks by jamming, electronic warfare and cyberattack. It may also seek to disrupt decision-making within and between the US and its allies.

MDPW may capitalize on the fixed and centralized approach of US kill chains, which lack diversity in sharing information among different components, making them vulnerable and arguably unsuitable for a large-scale conflict in the Indo-Pacific region.

Survive

This is actually pretty cool.

So I worked with this person. She stole 80$. I was a server. There was nothing I could do and I wasn’t getting my money back and I knew it.

Months later I had found a new job. I get a call from my old place of employment. “Yes I need a cab to so and so”. I recognized the voice. I was the cab driver.

I knew it was her.

I go anyway. When I arrive there she is smiling. I said 80$ to get in this cab babe. And I just looked at her and smiled back.

”You can’t do that. I know the company. I’m calling you in. I know the owner”. I laugh and I’m like yeah I know him too. He’s the guy that hired me. But if you want in this particular cab, there’s an 80$ deposit.

Ha I’m like go right ahead but if you don’t wanna walk home in the rain there’s an 80$ up front fee.

Now this whole time I have the window cracked. And literally nothing else is unlocked.

She was so mad.

I smiled ear to ear and says hey remember fucking me out of 80 dollars? She said fuck you you’re a cabbie now and you have a duty to customer service. I said well just slip a hundo through the window.

She was absolutely livid. You can’t do this. This is an assault. I NEED A RIDE HOME…. NOW. I was like yep I need my money back honey!

But what could she do? I drove away smiling. Karma, sometimes, is a mother!

Russia Issues Economic Ultimatum, China Drops Stimulus Bombshell To Counter G7 Threats

On the morning of May 21, 2014, an Indian system admin working at HCL logged on to one of the servers of Norwegian petroleum company Statoil.

By accident, he had logged on to a production server that was giving him some warning messages. Not completely understanding the messages, he decided to reboot the server. Despite additional warnings that a reboot was inadvisable, he went along with it.

On the other side of the globe, at the Mongstad facility, the largest oil refinery in Norway, a tanker was being loaded with 50 million liters of gasoline. Enough to fill up the fuel tanks of about a million cars.

Suddenly, the operation came to a halt. Gasoline started pouring violently into the ocean. Boatloads of it.

The night shift crew monitoring the facility looked on in horror as millions of dollars worth of liquid was disappearing by the minute.

The situation could quickly turn into an environmental disaster that would wreak havoc on the local sea life.

Fortunately, the crew at the facility reacted quickly and was able to avert a major disaster by overriding the operation manually.

A few years earlier, Statoil had outsourced all maintenance of server infrastructure to India. The incident at Mongstad, as dramatic as it was, wasn’t a one-off occurence.

Several times, Statoil’s various facilities had to be evacuated because of compromised IT security and server shutdowns. The company executives now feared they could face incidents that would lead to loss of life.

It turned out that about 100 consultants in India had admin access to all of Statoil’s production servers. Yes, all of them. None of the consultants had been background-checked.

Statoil (now Equinor) is the company that forms the backbone of the Norwegian economy, one of the strongest economies in the world. The amount of damage these guys could do to the Norwegian economy and society as a whole if they wanted to was cataclysmic.

In 2017, Statoil was in the midst of a global oil crisis, with plummeting oil prices. Yet, despite the red numbers in the balance sheets and strong focus on cost-savings, the company decided to homesource IT infrastructure to Norway again, even if this came at a massive cost.

It wasn’t so much that the Indian consultants lacked the technical knowledge to manage the servers. The main problem was that they didn’t have the domain knowledge to understand what the servers were actually doing. They often didn’t appreciate the gravity of the situation. Communicating this across the globe through timezones and language barriers proved difficult.

The entire outsourcing experiment ended up being a massive failure, even though it was supposed to save the company billions of dollars on paper.

Men at work

It’s because the Sanctions are toothless

For instance we all heard of the ban on Xinjiang Cotton by many brands across the world

Xinjiang cotton: Western clothes brands vanish as backlash grows
Companies including H&M and Nike are targeted for showing concern over Uighur forced labour claims.

Yet it was puzzling to see that Cotton Exports from China from Xinjiang rose by 10.7% in 2023 from 2021 after being down by 4.6% in 2022

Then I saw the fine print

Imports and Sales of Xinjiang Cotton produced through Forced Labor in contravention of Human Rights after 30 June 2021 may attract Sanctions

This means any order signed until 29/6/2021 is legal and free from any sanction

And most big importers, they don’t place orders for Cotton every 2–3 months or even 6 months

They place orders for 5–10 years at a time

So most orders signed between Xinjiang Cotton producers and US Importers and European Importers that were signed before 30/6/2021 are still active and unaffected by any sanctions

These orders will likely continue to run until minimum 2026 and maximum 2031

So the Xinjiang Cotton orders will cease only from 2031

That’s a long time away

By then Biden, Trump won’t be in power and maybe the next President can repeal the order

Either way 2031 is a long way off

So despite all the noise, in reality the Sanctions won’t bite until 2031 or earliest 2026


Likewise the US have demanded that NVDIA not sell their A100 Chips to China without permission and license

So NVDIA simply modified their A100 and H100 designs, reduced density by 1/16th and sold the new GPUs as H800

China placed orders from 1 February 2023 for the next 3 years

Sanctions cannot affect existing orders per WTO regulations and Free Trade Law.

Thus China has a continuous stock of deliveries of the H800 GPU until 2026 minimum


So Sanctions won’t affect any commercial product for minimum 2–5 years because of existing contracts

Only Military Sanctions will work because delivery does take 5–10 years and most orders aren’t fully commercial so the WTO argument can’t be used

Hits them hard with reality

I worked in a call center for a highly respected financial institution, and was treated more poorly than when I worked for Subway when I was in college.

First of all, my team were required to arrive for work 15 minutes early in order to get signed in to our computers and phone systems, but were not allowed to clock in until our shift actually started.

That was the least of my issues, however. My manager was a horribly arrogant woman who only liked around five people on her 20 person team. If you weren’t one of her favorites, you were treated like something yucky she stepped in that morning.

While her favorites were allowed to put a call on hold and go to her desk to ask a question, I would be reprimanded for leaving a call on hold while seeking an answer, then reprimanded for not knowing the answer.

I got in trouble for constantly eating at my desk, which was untrue. Toward the end of my shift I might grab a snack from the vending machine, but I ate any substantial meals during lunch or designated breaks. I had coworkers who always had full meals at their desks, which they would be eating while they were on the phone with clients. I’m seriously talking bacon, eggs, pancakes, and hashbrowns……

The final straw was when I was reprimanded for not handling a call professionally. A client called in and was immediately verbally abusive. I did my best to calm him down and attempt to address his issues, but the abusive behavior progressed throughout the call. At around the five minute mark, he started telling me that I should call him “Daddy” and that he knew that I was a bad girl, among other inappropriate things. I handled the call as well as I could, but I wasn’t incredibly friendly by the end of the call.

When I got to work the next day my Manager called me into her office. It turns out that the client had called to complain about me because I had been “very rude” to him during our call. She told me that she had reviewed the call with three other Managers and could not excuse my behavior during the call. She would have to write me up.

I couldn’t believe what she was telling me. This was not a phone sex number, it was a freaking brokerage house. I asked her how I should have handled the call. Should I have called the client “Daddy?” Should I have agreed that I’d been bad and asked him to spank me? She simply said that I could have handled the call more professionally, although she didn’t offer me any coaching toward *how* I should have handled the call.

I grabbed an empty box, packed up my cubicle, and walked out. Apparently she was fired about a week later. The team that I was on was the third team that she had been moved to since she had been promoted to Manager. She had been moved due to complaints regarding harrassment and favoritism, and upper management finally realized that she actually was a terrible Manager and not worth their trouble anymore.

The dangers

Chinese firms to assemble EVs in Europe, duck tariffs

Chery plans to purchase an old Nissan plant in Barcelona and turn it into its first manufacturing site in Europe
.

Chinese electric vehicle (EV) makers are pushing forward their plans to building production capacity in Europe in order to evade potential tariffs that would be imposed by the European Union.

Chery Automobile President Yin Tongyue said Sunday that his company will soon purchase an old plant of Nissan’s in Barcelona, Spain, and turn it into its first manufacturing site in Europe. He said the reopening of the facility, which stopped running in 2021, can create 1,600 jobs.

He said Chery is discussing partnerships with two European brands, with one of the deals to be closed soon. Chinese media said Chery is having a discussion with Stellantis, the Italian auto conglomerate that owns Fiat, Chrysler and Peugeot.

Spain’s Industry Ministry said an agreement for Chery to start production in the country will be formalized in the coming days.

Chery is still talking with the Italian government about building a factory there but there has been any update yet.

In the first quarter of this year, the number of the company’s exported cars rose 40.9% year-on-year to 253,418 units. The company is now focusing on markets in South America, the Middle East and Russia and will explore those in Spain, Italy Poland and the United Kingdom later this year.

Other key Chinese EV makers also have their manufacturing plans in Europe. Last December, the Shenzhen-based BYD said it will build a passenger car factory in Szeged, Hungary. It said the facility will be the first of its kind built by a Chinese automotive company in Europe and will have an advanced car production line.

Great Wall Motor said last year that it was mulling whether to locate its first European plant in Germany, Hungary or the Czech Republic.

SAIC Motor Corp said it was considering setting up a plant in the United Kingdom although the country has already left the European Union.

Meanwhile, the China Chamber of Commerce for Import and Export of Machinery and Electronic Products (CCCME), a Beijing-based industry group, said the 13-month investigation launched by the European Union last September against Chinese EV makers is not transparent and is in violation of global trading rules.

In a hearing with the European Commission in Brussels on April 11, CCCME Vice President Shi Yonghong, said he was concerned that the findings of the EU probe of Chinese EV imports would be distorted and unobjective.

Shi said the European Commission had departed from its principle of selecting for study the top Chinese EV makers, such as BYD, Geely and SAIC, and seemed to have purposely focused on three Chinese-owned producers to reach predetermined findings of subsidization.

He said the biased sample selection has tainted the entire investigatory process. He added that the probe was a perfect example of the EU’s double standards as it avoided taking any action against the US$400 billion of subsidies granted by the US government and also billions of euros of subsidies granted by the EU to the EV and battery sectors.

A spokesperson of the European Commission said the investigation and its findings would fully respect EU and international obligations. He said the EC will make sure this anti-subsidy investigation is thorough, fair and fact-based.

Impact of tariffs

US Treasury Secretary Janet Yellen, who finished her six-day China trip on April 9, told CNN on Sunday that the US will not take “anything off the table” in response to China’s manufacturing capacity, including the possibility of additional tariffs to stop the influx of cheap Chinese goods into the US market.

“We’re concerned about the possibility of surges in Chinese exports to our markets in areas where they have a great deal of overcapacity,” She said.

She said she has told Chinese officials that China’s overcapacity problem is a concern not only to the US, but also to other countries and regions, such as Europe and Japan, and even to emerging markets including India, Mexico and Brazil.

As the Trump administration imposed an extra 25% tariff on Chinese goods on top of the usual 2.5% tariff in 2019, Chinese EV and battery firms found it very difficult to develop their US markets.

They then turned to build factories in Mexico, which signed a free trade agreement with the US and Canada in 2018, trying to evade the extra 25% tariff. But Republican candidate Donald Trump said last month that he will impose a 100% tariff on Chinese cars that are made in Mexico if he wins the presidential election in November.

Now Chinese automakers are accelerating their plans to localize their production capacity in Europe, in case the EU imposes extra tariffs on EVs made in China later this year.

About one in five EVs sold in Europe last year were made in China, according to Transport & Environment (T&E), a Brussels-based non-profit organization. The figure is expected to grow to about 25% in 2024.

More than half of Chinese imports into Europe are still western brands, such as Tesla, Dacia and BMW, T&E says – but Chinese brands, including SAIC’s MG, Geely’s Polestar and BYD, could reach 11% of the European EV market in 2024 and 20% in 2027, up from about 7.5% last year.

“Tariffs will force carmakers to localize EV production in Europe, and that’s a good thing because we want these jobs and skills,” said Julia Poliscanova, senior director for vehicles and e-mobility supply chains at T&E. “But tariffs won’t shield legacy carmakers for long.”

She said a higher tariff should be accompanied by a regulatory push to increase local production of EVs, including electrification targets for company car fleets by 2039, on top of the agreed 100% clean car goal in 2035.

No dumping

After European Commission President Ursula Von der Leyen announced a probe into unfair Chinese competition in the EV sector on September 13 last year, German Economic Minister Robert Habeck welcomed the decision.

But then German Chancellor Olaf Scholz said, on September 28, that he was not convinced about the need to impose tariffs on Chinese EVs. He said that, since Germany wants to sell its cars in all places in the world, it should also open its market to foreign firms.

On a visit to China on Monday, he told Chinese students in Shanghai that Germany wants open and fair auto markets. He said Chinese cars will still be in Germany and Europe at some point if there is fair competition with no dumping, overproduction or infringement of copyrights.

According to the MarkLines Data Center, German automakers sold 462,720 vehicles in China last year, up 3.8% from 2022. They accounted for about 17.8% of the Chinese markets.

Chinese brands sold 1.46 million vehicles domestically with a 56.2% market share. Japanese brands sold 382,900 vehicles in China with a 14.7% market share.

Stroganoff Soup

beef stroganoff soup 102 1
beef stroganoff soup 102 1

Yield: 4 to 6 servings

Ingredients

  • 1 ½ pounds stew meat
  • 6 cups beef broth(I used low sodium)
  • 4 tablespoons worcestershire sauce
  • ½ teaspoon Italian seasoning blend
  • 1 ½ teaspoons onion powder
  • 2 teaspoons garlic powder
  • salt and pepper to taste(I use about 2 teaspoons salt and ½ teaspoon black pepper)
  • ½ cup sour cream
  • 8 ounces mushroomssliced, (about 1 cup sliced mushrooms)
  • 8 ounces short noodlescooked, (egg noodles, rotini, and cellentani work great)
  • cup cold water
  • ¼ cup corn starch

beef stroganoff soup 101 2
beef stroganoff soup 101 2

Instructions

Instant Pot Instructions

  • In your instant pot, combine stew meat, beef broth, worcestershire sauce, Italian seasoning, onion powder, garlic powder, and salt and pepper to taste. Place lid on the instant pot, make sure the vent nozzle is in the non-venting position, press “pressure cook” and set timer to 1 hour.
  • Move venting nozzle to the vent position and allow to vent until the button drops down. Remove lid, and switch Instant Pot to “soup” mode. Stir together sour cream and 1 cup of broth from the Instant Pot until smooth. Stir mixture back into the pot along with the mushrooms. Whisk together cold water and corn starch in a bowl.
  • Once soup is boiling, whisk corn starch mixture into the Instant Pot until soup thickens. Stir in noodles. Taste, add salt and pepper to taste, if needed. Garnish with cracked black pepper, fresh thyme or parsley, and grated parmesan cheese and serve.

Slow Cooker Instructions

  • In your slow cooker, combine stew meat, beef broth, worcestershire sauce, Italian seasoning, onion powder, garlic powder, and salt and pepper to taste. Cover and cook on high 3 hours, or low 6 hours.
  • Stir together sour cream and 1 cup of broth from the slow cooker until smooth. Stir mixture back into the pot along with the mushrooms. Whisk together cold water and corn starch in a bowl, then stir into the slow cooker.
  • Cover and cook on high for 1 hour longer. Taste, add salt and pepper to taste if needed. Add cooked noodles. Garnish with cracked black pepper, fresh thyme or parsley, and grated parmesan cheese and serve.

Notes

Flavor tip: Add a sprinkle of crushed red pepper flakes.

beef stroganoff soup 105
beef stroganoff soup 105

collage
collage

Should I make this in the Instant Pot or a Slow Cooker?

I’ve included instructions for making this easy Beef Stroganoff Soup in either the Instant Pot or the Slow Cooker. Both methods work great, it really just depends on your personal preference and whether you have an Instant Pot or not.

Side note: If you haven’t made the leap to getting and Instant Pot yet (*and this is not sponsored in any way!!!*) you have got to get one. You may not know this but the Instant Pot has a setting for slow cooking so it really is an all-in-one. BAM. Let whoever drew your name for Christmas know, this is what you need.

What cut of beef should I use?

My favorite meat to use for this Beef Stroganoff Soup is stew meat, because it gets so juicy and fall-apart tender in the Instant Pot on the pressure cooker setting (only takes an hour!) or after slow cooking in the crockpot all day. It’s also really budget friendly and makes this meal super affordable. Win win!

What type of noodles should I use?

Any short noodle works great! Egg noodles are really common with traditional stroganoff and taste great in this soup, my favorite though are twirly noodles as I like to call them, or Callentani. You could also use penne, ronini, or macaroni elbows!

Can I cook the noodles in the Instant Pot/Slow Cooker?

Technically, yes, you can cook them right in the Instant Pot/Slow Cooker. Personally, I prefer to cook my noodles separately for two reasons. 1) You need to add extra liquid to the recipe to account for the liquid that is absorbed by the noodles while cooking. My issue with this is that the excess dilutes the soup and makes it less flavorful. 2) It gets tricky with short noodles like these to know exactly how much cooking time they need, while making sure they don’t overcook. The whole point of a crockpot recipe in my opinion is to not have to keep a close eye on it the whole time, and to say hey this can cook for 6ish or 7ish hours. If you’ve got noodles in there though, that extra half hour or whatnot can turn your noodles to mush.

beef stroganoff soup 104
beef stroganoff soup 104

Chinese warn of Taiwan crisis from US military aid

‘Crossing red lines – just like racing cars on a cliff’s edge, where a crash is almost inevitable’

Beijing has expressed strong dissatisfaction and firm opposition after the United States House of Representatives passed a bill that will grant military aid to Taiwan and countries in the Indo-Pacific region.

The US House on April 20 passed four bills in a US$95 billion package to boost the defense ability of Ukraine, Israel and Taiwan. About $60.84 billion of funding will be earmarked for Ukraine to counter Russia while $26.38 bill be used to support Israel to defend itself against Iran and its proxies.

One of the bills consists of $8.12 billion for Taiwan and Indo-Pacific countries to “counter communist China and ensure strong deterrence in the region.”

The aid includes:

  • a $3.3 billion funding to develop submarine infrastructure, including investments in dry dock construction;
  • $2 billion in a foreign military financing program for Taiwan and other key allies and security partners in the Indo-Pacific confronting Chinese aggression; and
  • $1.9 billion to replenish defense articles and defense services provided to Taiwan and regional partners.

The remaining $920 million will be spent on strengthening US military capabilities in the region, enhancing the production and development of artillery and critical munitions and providing additional flexibility for Foreign Military Financing loans and loan guarantees.

Already, on February 8, the US Senate had passed its own $95 billion package to support Ukraine, Israel and Taiwan, and reports are that the Senate will now accept the House version and the package will soon be submitted to US President Joe Biden for approval, whereupon it will become law.

“The US insists on passing and signing a bill containing negative content related to Taiwan, seriously interfering in China’s internal affairs and seriously violating the one-China principle and the provisions of the three Sino-US joint communiques,” Chen Binhua, spokesman for the Taiwan Affairs Office of the State Council, said Monday. “We express strong dissatisfaction and firm opposition to this.”

Chen also said the Democratic Progressive Party, the ruling party in Taiwan, is trying to rely on the US and use force to seek independence but such an attempt is doomed to failure.

“By providing military aid to Taiwan, the US is trying to stir up a crisis and confrontation in the Taiwan Strait and related region,” Li Haidong, a professor at the Institute of International Relations of China Foreign Affairs University, told the Global Times in an interview. “The US will then use the chaos and conflicts it creates to make countries in East Asia and Western Pacific regions lean to its side and form an alliance.”

Li said such a move will lead to division in the Asia Pacific region, undermine the important foundation for the stability of Sino-US relations and seriously damage the existing order and security environment for shared prosperity in the Asia-Pacific region.

US commitment

The Taipei government and local media were cheered by the newly-approved US military aid. Taiwanese President Tsai Ing-wen thanked the US Congress for approving it.

Taiwanese Premier Chen Chien-jen said Monday that a peaceful and stable Taiwan Strait is important to peace and prosperity in the world.

He said Taiwan will continue to work with like-minded countries, including the US and all countries in the free democratic camp, to safeguard peace and freedom in the Indo-Pacific region and make the Taiwan Strait area more stable.

The approval of the military aid for Taiwan reaffirmed Washington’s “rock-solid” commitment to helping the island defend itself, especially when this year marks the 45th anniversary of the passage of the US-Taiwan Relations Act, said Anny Hsiao, executive director of the Formosan Association for Public Affairs (FAPA), a Washington-based organization of Taiwanese Americans.

She said the US should give up its “strategic ambiguity” on the China-Taiwan matter and adopt “strategic clarity.”

For several decades, Washington has remained ambiguous about whether it will provide military support to Taiwan if the island is attacked by mainland China. Last year, Biden said on several occasions that the US will support Taiwan if a war breaks out in the Taiwan Strait.

‘Salami tactics’

Xie Feng, Chinese Ambassador to the US, delivered a speech on April 20 at the opening ceremony of the Harvard Kennedy School China Conference 2024.

He warned the US of the consequences of interfering with China’s internal affairs and damaging China’s interests on issues related to Taiwan, Hong Kong, Xinjiang, Xizang (Tibet) and the South China Sea.

”Applying salami tactics and crossing red lines on issues bearing on others’ core interests is just like racing cars on a cliff’s edge, where a crash is almost inevitable,” he said.

“The Taiwan question is the most important and sensitive issue in China-US relations. The so-called ‘Taiwan independence’ is a dead end, and the one-China principle is a red line not to be crossed,” he said.

He added that it’s natural for the US and China to have differences but it’s also important for both sides to properly manage their differences and respect each other’s core interests and major concerns.

Meanwhile, US State Secretary Antony Blinken is set to visit Beijing from Wednesday to Friday, invited by Chinese Foreign Minister Wang Yi.

A senior US State Department official told the media that Blinken during his China trip will convey Washington’s deep concerns” over China’s aid for Russia’s defense industrial base.

It is expected that Blinken’s topics to be discussed with his Chinese counterparts will be Taiwan issues, Chinese industrial overcapacity and the Middle East conflicts.

The Plague

Ah yes, I love telling this story.

A little after the pandemic when stores were able to take people in again, I was working at an essential store. Well, one thing about me when it comes to work, I learn to do everything, so I can do everything. Well one day , heavy traffic in store and I’m on my toes. I’m all over the place. We are getting slammed with people and their stimulus checks lol one of the managers kept telling me to go on lunch but everytime I tried someone needed help, so there I would go . It got to a point where they were about to write me up, As I was helping two families, I had to apologize and excuse myself. Well as I’m walking out about to go to my car , my coworker stops me and says hey this guy needs help. The manager is staring at me, so I say “I’m sorry I can’t , have you tried to radio it in?”

The guy then says “what do you mean you can’t? Is it really that much work?”

I chuckled and said “no sir but I’m on the verge of getting a wri-”

Guy- “then stop wasting time and help me, all your doing is pushing a cart. I haven’t seen you work at all”

Me- “I really can’t , I’m about to get a write up if I don’t go to lunch”

Guy- “you just don’t want to work , lazy m********ker”

Me-”have a good day “ *walked out*

He walked out behind me and he still kept shouting profanity and a racial slur . I turned back around and at that moment all his stuff started falling off the flatbed(he had his flat stacked too high) and onto the gravel outside, his other cart rolling down the steep parking lot ended up getting swiped by an 18 wheeler.

It’s like the stars and planets aligned perfectly on that Sunday afternoon

Decisive: Upon $61 Billion in new U.S. Aid to Ukraine, Russia Declares It Must Now Also Take Sumy and Kharkiv Oblasts

Decisive: Upon $61 Billion in new U.S. Aid to Ukraine, Russia Declares It Must Now Also Take Sumy and Kharkiv Oblasts

As a direct result of the United States approving $61 Billion in new military aid to Ukraine, Russia has announced they are now required to take two additional states of Ukraine – Sumy and Kharkiv — to assure Russian national security.

The aid package from the United States includes long-range ATACMS missiles, which can be used to strike targets inside traditional Russia, so Russia needs a safety zone to protect itself from such launches.

The map below shows the two additional Oblasts (states) that Russia must now take from Ukraine to assure its security:

Sumy and Kharkov Oblasts

Sumy Kharkiv oblasts
Sumy Kharkiv oblasts

In response to the new Aid sent to Ukraine and the attacks by mercenaries on Belgorod, the Russian Army will now expand the objectives of the Special Military Operation (SMO).

The new regions, Kharkov and Sumy will be the main focus of the new Group N (north).

Russian Army - New Group "N"

Russia Army Group N North
Russia Army Group N North

Hal Turner Snap Analysis

This conflict is escalating.  U.S. meddling, and the massive amount of new US financial aid for military gear, is causing it to get worse.

Zelensky could have at least bargained to cede the Donbass for Ukraine’s neutrality but I guess more regions will now be incorporated into the Russian Federation.

When my wife walked out on me, it wasn’t the fact that she abandoned everything and everyone related to “us” that hit me the most (and obliterated “us” in the act), but what she had done in the months prior to her parting with me.

The things she never told me. The things she always vehemently denied.

She broke up with me over the phone, separated by 500 miles of land and water which would become 500 light years soon. (It’s funny how far people can end up from each other once they have been so close — as if they become repelling magnets once pulled apart.)

And only over the phone was she able to admit that she had cheated all along, and slept with him for the first time on her birthday, while I was attending a conference. To make matters worse, before sleeping with him, they had met in the very pub where the former “we” had spend our first date.

(Anyways.)

If there was anything left of “us” whatsoever, her sudden urge of getting as much money as possible from me totally destroyed it. It left me wondering who she really was — and who she had become.

Even when it turned out she was dying from late stage breast cancer more than ten years later, there was something inside me that could and would not forgive her. Even when I saw her in the flesh, with half of her already in the shadows and the rest of her trying to grab on, realizing that all was lost.

Even when I saw her empty eyes, broken and desperate, I just couldn’t.

Because for me, she had died a long time ago.

The former she, that is.

That offends me

I was homeless for about 2 years in the 2010s. it was a very shitty experience for me. i was depressed most of the time and struggling not to just end it all. it was very hard to get a job without a stable address and I got stuck doing dead end temp jobs for very little money. didn’t really have to worry about food because so many church groups came by the shelter to feed us. Plus no matter how nicely you dressed and behaved, it seemed that everyone could tell that you were homeless. and never forget the discrimination towards you by the majority of society.

In fact, It’s miserable. The boredom is ruthless. Once you’ve found something to eat, your work for the day is done. It’s the most lonely feeling you’ll ever experience. Night comes, you see people out with their friends, then you’ll see them go home, and you’re just looking for somewhere dark and safe to sleep. The only way I can describe it is being completely outside the human experience. Your old friends will stop being around you, and your new friends aren’t friends at all. You’ll become acutely aware of every little thing you used to take for granted.

I knew people who claimed to have “good” homeless experiences, but most of them were homeless by choice…why, I’ll never understand. It’s uncomfortable and oppressively lonely.

In India, the probability of following rule is inversely proportional to the status of the person in the society.

The higher you are in the social hierarchy, the lesser rules you usually follow.

  • If you see a vehicle coming on the wrong side, it most likely belongs to a top civil servant or politician.
  • You will rarely find the police officials wearing helmet or seat belt.
  • If you visit to a tourist place and you find a vehicle parked in the ‘No Parking’ Zone, it all likelihood, it must belong to a government officer.

It is a common belief in the government officers and politicians is that they are above law because the officials who are expected to enforce the law are below them.

How can a lower official dare to take action against the higher officials?

And if you even know someone in power, you can use your influence to break the law with immunity.

There is a famous saying in India, “Sainya bhaye kotval, to dar kahe ka?” (When the husband is a police officer, why should you fear?)

When the political leader and government officials who make the law and whose duty is to enforce the law themselves don’t follow the law, it is natural that the rest of citizens too follow their example.

This truth is beautifully stated in Bhagawat Gita, “Whatever action is performed by a great man, common men follow in his footsteps, and whatever standards he sets by exemplary acts, all the world pursues”.

Unlike India, the elite in developed countries don’t get extra-constitutional privileges and protection.

Here is a picture of U.K. Prime Minister David Cameron, traveling in train with common people like a common person.

[1] You can never imagine such humility from any PM, CM, Minister or even an ordinary politician in India.

Top political leaders and civil servants here are like demi-gods in India who are beyond the purview of the laws applicable for the ordinary mortals of the land.

When Indians visit other countries, they know that they don’t have any such immunity from law and they are bound to suffer fine, penalty or arrest if they break the law.

Hence, they become quite law abiding in foreign land.

Only if the people who are in power in India learn to follow the rules, the rest of the Indians can be expected to follow the rules.

It is sure that this is not going to happen any time soon in India.

Footnotes

COVERT INTEL: Russia Has Moved Tactical Nuclear Missiles to their Western Border

COVERT INTEL: Russia Has Moved Tactical Nuclear Missiles to their Western Border

Russia Moves NUCLEAR Iskanders to Finland Border large
Russia Moves NUCLEAR Iskanders to Finland Border large

In response to Finland joining NATO, Russia has moved hypersonic, “Iskander” Tactical Nuclear Missiles to Karelia, Russia.

The Iskander missile has about a 500km range and can travel at about 7500km per hour.

From their location in Karelia, Russia, these new nuclear-tipped missiles can reach most of populated Finland within just a very few short minutes.

The deployment of these missiles is CONFIRMED.  The fact they are already nuclear-tipped is also CONFIRMED.

The map below shows a 500km radius from Karelia, Russia.  Note is covers almost all of Finland – at least all the major population centers, and a good portion of Estonia as well:

Iskander Range

Iskander Range Karelia Russia
Iskander Range Karelia Russia

Super Chill

French Onion Chicken

french onion chicken 104
french onion chicken 104

Sometimes a recipe needs refinement to get to the point of putting it in my recipe book, a little altering and adjustment here and there. Sometimes I test out a recipe and it comes out exactly as I’d hoped. No tweaks needed, no surprising flavors or textures, just spot-on recipe perfection.

 

And then there are times when I make a dish and with just one bite I am completely wowed and all of my expectations are exceeded. This is one of those times! I fully expected this French onion chicken would be delicious. I didn’t think for a minute I’d be fixing this for dinner three times in one week and reheating leftovers for lunch on the in-between days because it was that good.

Sometimes a home-run recipe just sneaks up on you like that.

french onion chicken 103
french onion chicken 103

Honest to goodness, this French onion chicken thing we’ve got going on here is straight-up life changing goodness. All those classic flavors of French onion soup, in a one pan skillet meal the whole family will beg you to fix again and again. And the recipe is so straight forward and simple you won’t mind one bit.

You start out by sauteing thinly sliced yellow onions in a bit of butter and beef broth til browned and very tender, just like you would with classic French onion soup. Then you move those onions over to a separate dish to keep warm while you brown the chicken in the same pan.

french onion chicken 101
french onion chicken 101

Move the onions back to the pan and add flour and beef broth to make the most delicious caramelized onion gravy EVERRRRR and then top your chicken with three kinds of Italian cheese. Bake for about 10 minutes and viola! The sauciest, tastiest 30-ish minute chicken dish you’ve ever made.

This is Fall comfort food at its finest. And I just want to point out that I even though this dish is inspired by soup, it is not a soup, unlike the past four recipes I’ve posted which are all actual real live soup. #soupbsessed #sorrynotsorry #longlivesoupseason

french onion chicken 102
french onion chicken 102

Ingredients

  • 2 medium yellow onionsthinly sliced into rings
  • 3 tablespoons butter
  • 1 cup + 3 tablespoons beef brothdivided
  • 4 boneless skinless chicken breasts pounded to even thickness
  • 1 tablespoon oil
  • salt and pepper to taste
  • 1 teaspoon Italian blend herbs/Italian seasoning (OR ¼ teaspoon dried basil + ¼ teaspoon dried thyme + ½ teaspoon dried oregano)
  • 2 tablespoons flour
  • 4 slices provolone cheese
  • 4 slices swiss cheese
  • ¾ cup parmesan cheese
  • fresh thyme or parsley and cracked black pepper for topping(optional)

Instructions

  • Preheat oven to bake at 400 degrees OR broil on low. In a large oven-safe skillet (see note) over medium-high heat, melt butter. Add onions and 3 tablespoons beef broth and saute onions for 3-4 minutes until translucent. Continue to cook, stirring occasionally so the onions don’t burn, for about 15 minutes longer until browned and very tender. Use tongs or a fork to transfer to a bowl and cover to keep warm.
  • While onions are cooking, prepare the chicken by drizzling with oil, then seasoning with salt and pepper (to taste) and Italian herbs. Once onions have finished cooking and are removed from the pan, cook chicken for 4-5 minutes on each side (don’t clean out the pan between the onions and chicken) until browned on both sides. (Chicken may not be fully cooked through yet, that is okay).
  • Transfer chicken to a plate and cover to keep warm and return the onions to the pan. Sprinkle flour over the onions and stir for 1 minute over medium-high heat. Add beef broth and continue to cook, stirring throughout, until mixture comes to a boil. Season with salt and pepper to taste. Return chicken to pan and spoon some of the sauce over each piece of chicken.
  • Top chicken with one slice of provolone each, then one slice of swiss, then 1/4 of the parmesan cheese. Transfer skillet to your preheated oven and cook for about 10 minutes until chicken is cooked through completely and cheeses are melted.
  • Spoon some of the onions and gravy over the top of the chicken, garnish with thyme or parsley and cracked black pepper, and serve.

Notes

If you don’t have a cast iron skillet, any other oven-safe skillet will do OR you can transfer everything to a casserole dish or baking pan for the baking portion of the recipe.

Found in a tree

not sure of my exact age maybe 11 or 12? I went to a friends house and her mom made us cookies and took us to our soccer practice and stayed the whole time, she was so involved throughout the day , selfless, and loving. I thought how lucky my friend was to have a mom who cared so much. Then I went to another friends house and noticed the same love and interaction with her mom. It baffled me. My mom was always too busy, too self involved, and too irritable to have any relationship with me that was remotely close.

First part of my life, I chalked it up to her job but she retired early at 54 and even though less than 15 minutes away she rarely see me or her grandkids. She says she’s just SO busy.

As a mom myself now, I realize how messed up my childhood was. Us Kids fending for themselves, basically running feral, emotionally starving for attention, a dad who tried (& loved us dearly, that I was certain of) but he didn’t quite have the time (worked full-time) or tools. I had a mom that I rarely saw (though I was thankful bc when our paths crossed the interaction was not good).

growing up, We walked on eggshells. Don’t upset mom. We weren’t allowed to share food with friends but that was embarrassing and rude so I gave my portions to my friends & didn’t eat to help save food so my mom wouldn’t get mad. I did so many things “so mom won’t get mad.” She was physically abusive which I thought was normal as well. It was always about her; her desires and wishes. The rest of us had no say—-even my dad. she moved us all over the country. 3 different high schools. Leaving behind friends. I thought it was all normal.

I vowed to be present & loving to my kids. I vowed to be a mom who did the little things, who listened, who took the time, who apologized if needed, who didn’t scream, yell, hit, spank, slap; who met more than their basic needs and who genuinely cares about them and is interested in them.

Destruction of the family

My husband, son and I recently attended a friend’s wedding. The bride looked beautiful, the venue was well-chosen and the little details were perfect. Everyone was having a wonderful time.

And then the speeches started.

It was a well-known fact that the groom had hounded the bride for over a year to go on their first date, and that topic featured in every speech to a greater or lesser degree. The parents of the groom had a couple embarrassing stories to tell about his childhood, but they brought it back to how happy they were for him and how he deserved such a perfect wife. The brother and sister of the bride had a few ribs about her, too, but again, they finished on a loving, positive note.

The same cannot be said for the sister of the groom.

She was already a little…toasted by the time she hit the podium, so there were portions of her speech that were a little difficult to catch. But the jist was clear: her brother was an oaf and no amount of lipstick would improve the beauty of that pig.

From humiliating stories to hurtful jabs, she just kept barreling through her obviously not proofread speech, and everyone in the room was staring at their plates in embarrassment. I kept waiting for her to sigh and start on the positives, but the sigh never came. She finished her speech with a final zinger and stepped down to a very light smattering of grateful applause.

Our table was silent. My husband, who is not my brother’s biggest fan by any means, turned to me and said, “promise me you won’t do that to Dylan”.

George Clooney called 14 of his closest friends and said “Hey, mark Sept 27th, 2013, on your calendar. Everyone’s going to come to my house for dinner.”

The 14 friends belonged to varying economic backgrounds. One of them was married to Cindy Crawford while another was riding his bicycle on his way to work at a bar in Texas airport.

When ‘The Boys’ (the group’s name) arrived at Clooney’s house, they found 14 designer suitcases placed on the table.

main qimg dd1d35b0f86308b28c5e93d3b29c1877 lq
main qimg dd1d35b0f86308b28c5e93d3b29c1877 lq

And then- Clooney said:

Listen, I want you guys to know how much you’ve meant to me and how much you mean in my life. I came to L.A; I slept on your couch. I’m so fortunate in my life to have all of you, and I couldn’t be where I am today without all of you. So, it was really important to me that while we’re still all here together, that I give back. So I want you all to open your suitcases.

There was $1 million inside every suitcase, arranged in $20 notes.

[1] Clooney continued:

I know we’ve all been through some hard times, some of you are still going through it. You don’t have to worry about your kids; you don’t have to worry about, you know, school, you don’t have to worry about paying your mortgage.

(Clooney also paid their taxes so they could pocket the entire $1 million. That’s an additional $3.5 million altogether.)

One of his friends, Rande Gerber (married to Crawford) refused to accept the gift, so Clooney made a condition – either they all accept the gift, or nobody gets it.

Gerber (who donated his share to charity) said “This is who George is. That was September 27th, 2013. Now, September 27th, 2014, he marries Amal. That’s good karma right there.”

Clooney is a legend.

In other news- “George Clooney is a piece of shit”, said his 15th closest friend.

Footnotes

Scott Ritter: NATO Just CROSSED Putin’s RED LINE! The Severity Of The Ukraine War ESCALATED

Cold case musings

When my son was in the eighth grade, he got suspended and I had to leave work early to go get him. When I got there, I asked the principal what had happened. The principal explained that my son had beaten up three other boys and even broke one boy’s wrist. I was obviously horrified and asked my son what the fuck he was thinking. He simply told me that the boys had cornered one of his friends and were trying to make her strip for them. Being the chivalrous boy I raised him to be, he put a stop to it. I asked the principal if it was true and he dodged the question. In response to that, I told the school that if they’re going to allow sexual harassment, I didn’t want my child to attend and took him home. I told my son that I was going to take him out of public schools. He replied by telling me that he didn’t want to leave the school because of he wasn’t there then who was going to stop it from happening again.

This is when I realized my little boy had become a man. He would rather get in trouble to protect a friend than stay out of the spotlight and potentially face long term consequences.

Edit: I feel the need to point out that my son also got his ass kicked (and to be frank, “beat up” was probably the wrong term to use [a bit exaggerated] when describing what he did to those three boys). I assumed that was implied, but oh well. What can you do? The police were called and the bullies’ parents didn’t press charges as long as the girl’s parents didn’t either. The school penalized all three boys and the girl’s mother and I are very close friends now.

I left the US almost 50 years ago to live in Germany. However, I frequently visit the US to stay there months at a time.

There are things that are “convenient” about living in the US: stores that open 7 days a week, some even 24/7; outside the large cities, ample free parking, better weather in vast parts of the US (compared to Germany), ease of meeting new people, etc.

But despite the “convenience”, yes, it is hard. It is hard living in a country with too many people recklessly wielding firearms – and using them. It is hard because many people do not respect others’ boundaries. It is hard because so many people are so poorly educated (I fault the system for that – not the people). It is hard because access to higher education is so expensive. The hire-and-fire mentality in the US is horrible. The fact that corruption has so openly visible on all levels is horrifying. And even more horrifying is that such a large portion of the population doesn’t care.

Leaving the US was the best choice I ever made because of the lousy American health care system. At the time I left, I had no idea I had a rare genetic defect that would eventually destroy my lungs. But once it reared its head, it became immediately clear that to survive more than a few years, I would require a double lung transplantation. I will always be grateful to the donor (and her family) for the gift of those lungs. And I will be forever grateful to the health care system here that made it possible for me to miss two years of work (one year of which I spent in-patient) without being financially worse for the wear. Twenty-one years after the gift of those lungs, I have been in and out of hospitals, have had three different cancer diagnoses, a couple of rounds of pneumonia, and sepsis, six years of dialysis, two kidney transplantation – all while being able to continue working – and still not being financially worse for the wear. Had I remained in the US, I would likely be bankrupt and/or dead.

 

When I was three our dog, Muffin, died. Back then, you were allowed to bury your pets in your backyard. (Or perhaps our vet just didn’t care and no one else was the wiser…) It happened while we were on vacation, so my parents asked our vet to freeze him so they could bury him next to their other dog when we got back.

They made sure I saw Muffin before putting him in the ground, let me touch him one last time… warned me he would be cold… I was mature and handled it well.

Later we were visiting my aunt and uncle. My aunt came and sat next to me and told me how sad she was that my doggy had died but I should be happy that he’s in doggy heaven now.

I looked at her very seriously and shook my head. “No, Aunt Sharon. He’s defrosting in the backyard!” (I had a fine concept of death and heaven but hadn’t been taught about doggy heaven and it just didn’t make any sense!)

Russia Hits Underground Gas Reserves in Ukraine; “Mushroom Cloud” from Fierce Explosion

Russia Hits Underground Gas Reserves in Ukraine; &quot;Mushroom Cloud&quot; from Fierce Explosion

At dawn, Russia achieved the largest strategic strike in Ukraine in history, when it destroyed Ukraine’s largest underground gas storage in Bilche-Volitsko-Uher in the city of Stryjak near Ľvov.

Russia utilized Kh-47 Kinzhal supersonic missiles and Kh-101 cruise missiles, to strike and detonate 17 billion cubic meters of stored natural gas!

The attack came from three different sides.

Russia Hits ukraine Gas Reserve
Russia Hits ukraine Gas Reserve

The destruction of the natural gas, combined with Russia’s unwillingness to supply new gas, means that Ukraine is “done” from an energy perspective.

A total of eight MiG-31 fighters carrying Kinzhal and Kh-101 aircraft hit the gas reserve, causing a nuclear-like mushroom cloud visible from Poland, 100 km from the Ukrainian border.

The reserve tank was located at a depth of 50 meters (~150 feet) from the surface of the earth, which did not prevent Kinžal from going through the stony ground “like a knife through butter” and exploding into the tank!

In Poland, radiation measurements began after what initially appeared to be a nuclear attack there, but this has not been confirmed.

Ukraine currently has less than half of its gas reserves, and after the destruction of the reserve, it cannot even be supplied from the European market.

The attack on this underground gas reservoir was confirmed by the Ukrainian company Zdroj 24 news.

Exposition (Green Flag #1)

I sought the help of a therapist during my final months in New Zealand because I thought I had depression.

After telling him about my situation at length, he said:

“Let me summarize:

  1. you have been bullied out of your job;
  2. you have over one million dollars of debt and face repossession of your two houses and four cars;
  3. half of which because you have been pressured into buying a house for your mother in law, who has been actively sabotaging your marriage for almost ten years;
  4. your foster children you were expecting to adopt have been taken away and put back with their biological parents, teenagers imprisoned for drug offences who have now been released due to a law change;
  5. your wife wants a divorce.

And you think you have depression? You have every reason to be down! You’re healthy. Get out of here.”

And I did.

All the way to Shanghai, from where it took me three years to clean up the mess. But he was right, I never needed any anti depressants. With every dollar my bank statements began to look more balanced, I was better.

  1. If a person laughs too much, even at stupid things, he is lonely deep inside.
  2. If a person speaks less, but speaks fast, he keeps secrets.
  3. If a person sleeps a lot, he is sad.
  4. If someone can’t cry, he is weak.
  5. If someone eats in an abnormal manner, he is tense.
  6. If someone cries on little things, he is innocent & soft-hearted.
  7. If someone becomes angry over silly or petty (small) things, it means he needs love.Try to understand people more.

On the 4th of July, 16 years ago, I was at a fireworks show where parents accidentally killed their own child.

They had 6 children. One was a 6 month old baby.

They were at a fireworks show. It was really hectic. I don’t remember the exact details. A lot of people were there.

The dad had gone off on his own to buy snacks for the kids, he took the baby along.

Mom was sitting on a blanket with the rest of her kids, ready to watch the fireworks show. Someone from the show asked Mom to move her car, it was in the way. Mom left the oldest child in charge of all the younger ones on the grassy hill on their blanket. She walked over and hopped into the car.

At the same time, Dad had come back to the car after getting snacks to get a lawn chair out of the trunk. Mom and Dad didn’t see each other, Mom was already in the car. Dad set the baby carrier down on the ground behind the car, not knowing Mom was in the car ready to back up.

I’m not sure how it happened, Dad was either distracted talking to someone or busy setting snacks down. But while he wasn’t looking, Mom backed the car over the baby. It was horrible, chaotic, and devastating.

The baby was only partially backed over and survived for two days. He died after that. The pain of his parents was indescribable.

After that, an investigation ensued of the death of their child. They were found innocent, ruling that it was a horrible accident. But being investigated for the murder of their child made the death much worse and the pain last much longer.

Surprisingly, the couple did stay together. A lot of times, couples blame each other in events like that and have to separate after something so painful, or so I’ve heard.

However, they don’t celebrate the 4th of July anymore. It’s a horrible reminder of the death of their baby boy. Every year I see a post from them commemorating his death on Independence Day.

It depends on your life style.

A lot of people will say rent is the killer.

It isn’t the killer it once was. Rents are FALLING in Hong Kong and if you live in the New Territories a little bit away from an MTR station a 500sqft apartment can be had for about $7000 a month, live in the arse end of nowhere and $10000 can get you an entire 750sqft apartment.

But you trade travel time/expenses for rent.

Foodwise? You can survive easily on $100HKD a day.

Transport from arse end of nowhere to Admiralty for me is about $60HKD a day. This can be cheaper if I decide to arrive before 8am.

Utilities. Family of 4 lots of air con, lots of cooking (electric) is about $2800 a month electricity. I live mostly alone spend most of my time outside and I am also heat resistant so I spend about $700 (I do however weld a fair bit).

The problem is sanity money.

As somebody on a visa? Shenzhen is closed to you. Sanity money is doing things to get away from the nuttyness of the city and urban areas. This can be cheap as chips for instance cycling all over the territory (an older road bike can be bought for $2000). To ice skating, musical instruments etc to getting wasted.

That’s the big money sink here.

This is FRIGHTENING!

My wife was killed in an accident in Minnesota. A juvenile was driving her brand new vehicle way too fast even tho the road conditions were dry (speedometer was stuck at 1 04 when they were investigating).

The girl/insurance was found 100% at fault. Her insurance was a hefty one since she was a minor. Their insurance had the gall to keep calling me asking health questions about my wife and she was prone to seizures, blacking out suddenly, vertigo, etc. I was like WTH and told them I was going to get an attorney (this was Wednesday morning…accident was Tuesday night at 8:44PM). By 11AM I already had answered numerous calls from her ins, had to tell 4 kids their mom passed away, had to let her family in Arizona know that she passed.

At 11:45 on the morning after, I get a call from her insurance company asking if I would accept $1,000 for my pain, suffering and not go to trial. This girls insurance policy was over 500k. I told them that they are out of their effing mind…the girl that offered me chuckled and said she thought I wouldnt accept and hung up. I got a lawyer, had him let them know I wouldnt accept and we would reach a settlement.

All in all, the insurance company sat on the policy earning interest for 2 years and then on month 26, the KIDS reached a college settlement that they couldnt touch until each of them reached 18, 21, 25 (I didnt want them to get all that money right away and blow it even tho one had a sleazy partner and they blew thru the age 21 settlement in 3 days).

I think its very sleazy that they were trying to distance themselves from the accident and then trying to weasel themselves out of paying the insurance settlement. We didnt get the full settlement but it was close and I still have 2 children out of the 4 still collecting interest and checks.

This was a Nation that once refused to starve Egyptian soldiers it had encircled in 1973

Moshe Dayan once said If Israel acted like terrorist groups, it would lose the moral ground to the world

Golda Meir formed the Wrath of God team to selectively hunt down the 1972 Munich Massacre terrorists – one by one over almost 11 years at a cost of $ 42 Million rather than send a few aircraft and bomb Jordan or Lebanon for harboring those terrorists


Those days are done and dusted now

The Americans started with Agent Orange and began to justify killing Civilians

Then in 1999, Tony Blair openly claimed the West had a right to meddle in any Country’s affairs for World Peace

And thus began the growth of Evil in the West

I would say the Evil began with Bill Clinton and Tony Blair – both alleged pedophiles and both on the list of that notorious and accepted pedophile Epstein

Europe was still protected by good nationalists like Chirac and Schroeder

Then gradually the Evil spread everywhere

Bush Jr, Obama, Trump, Biden, Scholz, Boris Johnson,Macron and Netanyahu – the list goes on and on

The Israelis are evil people today

They seem to believe that killing women and children is fully justified

That’s not a problem in itself

Yet they seem to believe Arabs and especially Palestinians are akin to animals and deserve to die

So many Israeli kids seem surprised as to why the whole world is reacting to the deaths of Palestinians

Just like in 1940, Hitler Jugend used to ask why everyone was so worried about Jewry when the Reich was doing their job for them and ridding the world of that Jewish influence


Their God once protected them because they were on the right path and the world was persecuting them

I believe the same God will abandon them or has abandoned them to the Devil long ago

They are too evil and they deserve God’s judgment

Let’s hope like Moses – a new round of plagues arise and exterminate all the evil Israelis leaving behind the Good ones who can again build up the former ‘Honor’ of their race that existed in the times of Golda Meir and Moshe Dayan

SHOCKING Court Ruling in Favor of MEN! Yale Student Acquitted of Assault Sues for $110 Million

The thing is, for many men, it's not even, "guilty until proven innocent." Its, "guilty EVEN if proven innocent."

Green Chile Burros

The burro is shown “enchilada style.”

green chile burros
green chile burros

Ingredients

  • 1 small beef roast, diced
  • 1 medium onion, chopped
  • 2 (4 ounce) cans diced green chiles
  • 3 cloves garlic, minced
  • 1 (16 ounce) can tomatoes, drained (juice reserved)
  • 1/2 teaspoon comino (cumin)
  • Salt and pepper, to taste
  • All-purpose flour

Instructions

  1. Brown diced meat in fat in a large, heavy saucepan. Add onion, green chiles, garlic and drained tomatoes. Add enough drained tomato juice (plus water if needed) to cover. Add comino, salt and pepper. Cook, covered, until meat is very tender.
  2. Mix flour with a small amount of water to form a thin paste and add to mixture to thicken slightly.
  3. Heat a large flour tortilla on a griddle. Fill with meat mixture and fold.

Notes

Enchilada Style: Follow instructions above, then place in a shallow serving dish. Pour enchilada sauce over the top to cover, and sprinkle with grated cheese. Heat in a 425 degrees F oven until the cheese is melted.

I sometimes make a fast version of this. I use leftover pot roast, dice it up, mix it with the remaining ingredients and just simmer it until the onion is tender. Thicken it with the flour as stated in the recipe.

I have had so many wonderful moments with Jay (store manager), it’s hard to decide on which one.

I think this was hilarious, but I doubt Jay would, good thing he doesn’t read my answers.

I was working in my department, Jay and I were visiting just before he was going home. A customer walked up to my service counter. I wished I could remember what the customer said or did that had me lose my temper. It takes a lot before I lose it.

Anyway, the customer upset me and I said, “You can shove it where the sun doesn’t shine and I don’t mean a closed book.” The customer walked away. Jay looks at me and said, “You are so busted!” He walked away to talk to the customer. I stood there cussing myself out for my stupidity.

Jay returned. In the coldest tone, he said, “You back room now!!!!!” I walked back there. I knew that there was nothing I could say to save my bacon.

He stood there glaring at me, counting to ten, taking deep breaths, counting again and clenching and unclenching his fists. I was smart enough not to be a smarta$$ and ask him if I was in trouble.

He finally said, “How? How in the H E double hockey sticks did you manage to do it?!?!” I waited to find out what I managed to do. Jay sputters out, “ I went to talk to the customer to smooth things out, so corporate would not become involved. The customer told me that everything was great and if I punish you in anyway, she will call corporate on me?!!?” I looked at him and said, “Maybe because I am cuter?” He stormed off!

We are still friends to this day! I’m still cuter!

Russia to United Nations: Prepare for “Unconditional Capitulation” of Ukraine

Russia to United Nations: Prepare for &quot;Unconditional Capitulation&quot; of Ukraine

Russia just said the quiet part out loud: There must be unconditional capitulation (i.e. surrender)” by Ukraine.

Nebenzya large
Nebenzya large

During yesterday’s UN Security Council meeting Vasily Nebenzya, the Permanent Representative of Russia to the United Nations, said:

“This is how it will go down in history – as an inhuman and hateful regime of terrorists and Nazis who betrayed the interest of their people and sacrificed it for Western money and for Zelenski and his closest circle.

In these conditions, attempts by the head of the Kiev regime to promote his formula and convene summits in support of the Kiev regime cause only confusion.

Very soon the only topic for any international meetings on Ukraine will be the unconditional capitulation of the Kiev regime.

I advise you all to prepare for this in advance.”

“When I was elected as president then (in 2016), I tried to craft an independent foreign policy, not really against America. I have no quarrel with America. But the problem was our foreign policy was dovetailing theirs, and not so good with China. So I started on a neutral foreign policy. I announced to the world that I had no friends and no enemies to fight. I just want to be neutral. And I did not have to kowtow to anybody’s foreign policy, especially the Americans. […]

Most of the ASEAN countries have followed a very neutral, independent foreign policy. I would have wanted that… That is why I slowly detached myself, and, at least in foreign policy, and announced to China that we are not enemies, that we have never been, and never will be in our lifetime.

Here in the West Philippine Sea (South China Sea), when I was president, there was no quarrel. We can return to normalcy. I hope that we can stop the ruckus over there, because the Americans are the ones pushing the Philippine government to go out there and find a quarrel and eventually maybe start a war.

So I am very sure of that – America is giving the instructions to the Philippine government to ‘not be afraid because we will back you up.’ […]

I am sorry for my country. I am not the president anymore. I cannot run. But if there is a way we can reverse the situation, we might find a way inside to implode somewhere. And if God would allow it then perchance I would be able to reverse the situation. I would remove the bases.

And I would tell the Americans, you have so many ships, so you do not need my island as a launching pad or as a launching deck for you.”

This is a custom which had its origins in China’s imperial past.

The idea was this: If a local official behaved intolerably, the people would go to the imperial capital and make an appeal to an imperial official, or in some cases, even to the emperor himself. The petitioners would lay out their case, explain the rationale for their appeal, and ask for senior official or emperor to make a judgment.

This could be very dangerous: what would happen if the senior official or emperor sided with the local official, and ordered that all the petitioners be executed? For this reason, it was considered a very risky strategy.

This petitioning method continues to the present day. When Hu Jintao was president, in some cases, local officials would go to the train and bus stations to prevent the petitioners from boarding trains. There were even a few cases where petitioners made it to Beijing, and were kidnapped by the local officials and taken back to their village! This was considered to be a serious violation of the authority of the Beijing central government.

Xi Jinping has tried to modernize this system, which is why he has strengthened the authority of the Party Discipline Committee of the Central Committee of the Chinese Communist Party. In effect, they act as “flying magistrates” or judges who were sent out to the provinces to hunt down and remove corrupt local officials. If you follow the detective stories of Judge Dee and Judge Bao, they were flying magistrates who represented the emperor, which was why local officials all had to kneel before him.

"I listen to Jeffrey Sachs, and Michael Hudson. Great to hear about this Chinese economist who i have no access to, nor the Chinese language skill to understand even if I do. Thank you for introducing his thoughts though."

Glack and Glan

My son had just passed his driving test (aged 17) and we were thinking of getting him a new car, as we had points we could use because of our credit card, which basically meant we would get about £2,500 off. But I knew insurance cover would be costly at his age, so I rang my insurance company to get a quote before we got the car, explaining we hadn’t got it yet. The quote was good at about £900 so we went ahead and purchased the car. The process took a few weeks. When I rang to actually take out the insurance I was told the cost would be around £1,800, about double what I’d been told before. I explained about the previous estimate, but the woman was very rude, saying that was impossible and implying I was lying. I was getting very angry but the problem was, when I get angry I become tearful – it’s very frustrating as it makes me look pathetic! But then my 17 year old son steps in, takes the phone and calmly told the woman he was giving her an hour to check the phone recording from my previous conversation, and then expected her to phone back. In the meantime we looked online and found an even better price for his insurance. She did ring back, very apologetic and explained the previous assistant had left under a cloud and that the information he had given was incorrect. She could offer a price of about £1,200. I declined and told her I’d also be moving my own insurance to another company. My son had been working for a customer complaints department and knew exactly what to say to get things done. He’s very persuasive but never shouts. He once was about to be fired for taking a day off sick and then going clubbing – he was spotted at the club by someone. He told the guy about to fire him that the reason he skived off was that he was bored and if they gave him more responsibilities he wouldn’t have felt the need to abscond. They ended up promoting him! Just the sort of person you need in such situations.

The US once had a superb education system

It was based on self learning rather than rote feeding by the teacher and the system

I so much admired the US system especially when I saw how they prepared for SATS on their own through Study Groups

They had so many Projects and so many Assignments and a lot of Homework that helped students learn on their own and thus understand the fundamentals so well

Then they ruined it all

By Busing

Busing is when they force a bunch of poor kids, mainly black kids or also hispanic to join the school

They force some good teachers out and force a bunch of mediocre teachers (mostly black or hispanic now also gay or transgender)

The result

  • The Standards come crashing
  • Schools start dealing in Meth and Ecstacy and you have Gangs now with small Switchblades
  • Math standards have come down badly

Not to mention, the blatant APPEASEMENT to the black community in many places :-

  • Slavery covers a huge chunk of history with many apologies
  • Math standards have crashed
  • English standards have crashed
  • 12 Chapters once covered in Normal Physics is now covered only by AP Physics (Advanced Physics)

Chinas Education was originally entirely based on Rote Preparation like India’s with very little self learning

A Lot of predatory Tution Centres cropped up and destroyed Self preparation even more

Luckily Xi Jingping has obliterated the Private Tutorial Industry and has set steps to enhance self learning

So China is moving towards a US like self learning system with lesser reliance on Tutions

However their emphasis on Exams and their Exam oriented preparation is a problem that needs to be relaxed and changed to a Comprehension oriented approach

India has the same challenge

Let’s hope China deals with this as fast as possible and India follows suit


Bottom line is like in everything US Education Standards are declining by the day

Chinese Standards while not up to top scratch are improving by the day

Situational awareness

Warning! Maybe disturbing to read, contains some details of a horrible tragedy.

This is a very extreme case. Fortunately, it doesn’t happen frequently.

One of my uncle, my mom’s cousin, was married to a very beautiful and immature woman.

She had the temper and tantrums of a 10-year old girl. She never grew up.

She would ask or rather demand my uncle for expensive clothes, cosmetics or jewellery quite frequently.

She would not take no for an answer, if he expressed his inability to buy whatever she had asked for she would say that she will leave him right then.

Uncle would take loans to buy gifts for her.

Her tantrums got worse and she would stop eating for days, bang her head on the wall or pretend to slit her wrist in order to get what she wanted.

One night, they were packing to leave for a wedding in another city the next day when she placed a demand for an expensive diamond necklace and refused to listen to anything that my uncle had to say. She wanted a diamonds necklace with an emerald pendant to wear with her green saree at the wedding.

This time my uncle got furious and left her in their bedroom to get some fresh air outside.

He was walking in their yard when he saw smoke coming out of the bedroom window.

He rushed inside to see his wife on flames. He rushed towards the bathroom to get a bucket of water but his wife grabbed him and hugged him tightly from behind. She made sure he burns with her.

What she did next gives everyone in the family shivers to this day. She placed her burning hand on his genitals and said “This, so that you will never be able to marry again in case you survive”.

The worst part is their two daughters who were around 6 and 9 years old, saw all of of this. They rushed to call neighbors.

My uncle suffered 60 percent burns on his body and was in the hospital for almost an year. His wife died before making it to the hospital.

She died what must have been an extremely painful death for a piece of jewelry.

She made sure her husband either dies with her or remains bedridden for the rest of his life.

She scarred her daughters’ little hearts for life.

All for her whims and fancies.

Bill Gates comes from a privileged background.

His father was William Henry Gates II

, a lawyer and founding partner of a large law-firm as well as the president of the Washington State Bar association.

His mother was Mary Maxwell Gates

, a businesswoman and the first woman on the First Interstate Bank of Washington’s board of directors. She was the daughter of J. W. Maxwell, the president of the national bank.

His family was just about as privileged as it’s possible to be.

He had a multi-million dollar trust-fund to fall back on, long before starting any of his companies. It’s true that he had phenomenal success and that a huge fraction of the money he has was earned by his companies, not inherited.

But risk?

Bill Gates has never faced the slightest personal risk. It’s risk-free to drop out of Harvard and start your own software-company when you’ve got millions of dollars of cushioning to fall back on if the company goes belly-up. In his own words: “… if things [at Microsoft] hadn’t worked out, I could always go back to school. I was officially on [a] leave [of absence].”

Yes he could. If school also didn’t work out, if finding a job also didn’t work out, he’d still have the trust-fund and a more comfortable life than most of us could ever dream to have.

This isn’t specific to Bill Gates, it’s true for a large fraction of entrepreneurs. Entrepreneurs don’t have a special gene for risk—they’re rich kids with safety nets

Amaretto Coffee Brownies

amaretto coffee brownies
amaretto coffee brownies

Yield: 24 bars

Ingredients

  • 1 (19.5 ounce) box Pillsbury Rich & Moist Fudge Brownie Mix
  • 1/2 cup butter, softened
  • 1/3 cup milk
  • 2 eggs
  • 12 ounces cream cheese, softened
  • 1 (7 ounce) jar Marshmallow Creme
  • 3 tablespoons instant amaretto-flavored coffee powder
  • 3/4 cup chopped walnuts

Instructions

  1. Heat oven to 350 degrees F. Grease 13 x 9 inch pan.
  2. In large bowl, combine brownie mix and butter; mix at low speed for 45 to 60 seconds or until crumbly. Reserve 1 cup of the mixture in small bowl for topping.
  3. Add milk and eggs to remaining brownie mixture; mix until smooth.
  4. Spread batter evenly in greased pan.
  5. In food processor bowl with metal blade or in medium bowl, combine cream cheese, Marshmallow Creme and coffee powder; process until smooth.
  6. Spread evenly over brownie mixture.
  7. Add walnuts to reserved 1 cup brownie mixture; mix well.
  8. Sprinkle evenly over cream cheese mixture.
  9. Bake at 350 degrees F for 40 to 45 minutes or until edges are firm to the touch.
  10. Cool for 1 1/2 hours or until completely cooled.
  11. Cut into bars.
  12. Store in refrigerator.

Notes

High Altitude Instructions (Above 3500 Feet): Add 1/2 cup flour to dry brownie mix. Bake at 350 degrees F for 45 to 50 minutes.

Woke girl is Broke

  • Always dress neat, clean and simple.
  • Sit/stand straight and hold your chin up.
  • Sit/stand in a central position in the room.
  • Make sure you don’t look lost or bored, be energetic and keep your hands busy with something (a glass of drink, a cigar, lighter, etc…)
  • Don’t be shy; observe your surroundings.
  • Listen more than you speak, this way when you start talking everyone would immediately pay attention.
  • Speak thoughtfully and clearly, mind your tone, and back your opinions with strong evidence and statistics.
  • Be a good story teller, but keep them short and end with a punch line.
  • Be quick with jokes, sarcasm and one-liners and keep a straight face while delivering them.
  • Don’t easily get excited/frustrated during conversations.
  • Be polite and well mannered.
  • Don’t be afraid to express yourself.
  • Look them in the eye.
  • Don’t be ultra agreeable, learn to say “no” whenever you need to, this way people will find you more intimidating.

This is why

It’s been used all over the world and seems to legitimately prevent serious cases of COVID-19. If it can do that, then it is certainly not fake.

Sinovac used a tried-and-true “inactivated virus” methodology (using fragments of the SARS-CoV-2 virus that cannot make someone sick by themselves) to develop their vaccine, and it doesn’t seems to be quite as effective as some of the Western vaccines, but it does prevent deadly cases of COVID-19. Plus, it’s easier to store and transport, which makes it an option in parts of the world where the state-of-the-art Pfizer and Moderna mRNA vaccines cannot be logistically rolled out. My wife and I got Moderna and we are grateful to have done so. But that’s not an option in developing countries because of the storage requirements of the mRNA vaccines. The Chinese vaccine can be stored in ordinary refrigerators and has a shelf life of up to three years. The mRNA vaccines have to be kept much colder and don’t last as long.

There is nothing wrong with using a proven technology as opposed to a cutting-edge one that had never been done before. The Johnson & Johnson vaccine, developed by Janssen in the Netherlands, also uses an older, proven technology—the same one used by the Russian Sputnik-V and the European Oxford-AstraZeneca vaccines, in fact. All three of them took an adenovirus that is harmless to humans and appended the SARS-CoV-2 spike protein to it. The body recognizes the spike protein and if later infected by actual SARS-CoV-2, it will already know how to attack it.

We’re trying to stop people from dying and also trying to stop the spread of this virus so it will quit mutating. Passing out disinformation about vaccines from countries we have political differences with is not helpful. Sinovac saves lives. Sputnik-V saves lives. In the West, we may prefer other options, but the efforts of biotech scientists to create these vaccines should not be denigrated or lied on, no matter where they are from.

American Men no longer have a life worth living.

I was a high school teacher, and I was driving back to school after supper to get some work done. Not unusual–most teachers have to do extra work at night. You can get a lot of stuff done in your classroom when nobody else is around.

On my route to school, there was this little Baptist church where cops commonly parked to catch speeders. They were there that night, but I wasn’t speeding.

I eventually finished my work and headed for home. As I exited the school parking lot, some kids rounded the corner behind me going about 60 mph. They were angry I was in their way. They honked, yelled, and tailgated me for a couple blocks. I maintained my speed of 3 or 4 mph over the limit (35), then as I approached the church, I pulled carefully into a left turn lane to let them pass. They hung their heads out the window, yelled obscenities, displayed their middle fingers, and threw an empty bottle as they flew around me. The bottle missed, thankfully.

As they passed the church, here came the cops. Those flashing red and blue lights sure were pretty that night behind the kid’s car. I couldn’t resist honking as I drove by.

Democrats are waking up!

How did ancient Chinese Emperors govern China given the poor communication technology and China’s huge land mass and population?

To make matters worse, the Emperor is always living inside his palace and cut out from the people with lots of tempting distractions such as the hundreds of concubines to have fun with. How does he govern such a huge country?

First of all, ancient China had pretty good communication technology. One of the best at that time. A 3,000-year-old system, built since the Zhou dynasty, where the Chinese built a “postal office” (驛站) every 50 – 200 km along any road, and the “post-master” maintained guest houses, a team of couriers, and a stable of horses. Official letter were carried by couriers from the originator to the next “postal office”, got a change of courier and horse and went on to the next “postal office”. Express mails could get from the furthest end of China to Beijing in 5 days. Confucius once commented that “one’s reputation spreads faster than the mail (德之流行,速于置邮而传命)”, so you see, official mail system was definitely a fixture of life even in his time (~ 500 BC). In fact, the founding father of the Han Dynasty in 206 BC, Emperor Gaozu of Han, was such a post-master before he rebelled and won the civil war.

By Tang dynasty in ~ 600 AD, there were over 1600 postal offices, and the Chinese government employed over 20,000 people to deliver mails, with the “normal”, “priority”, and “express” mail classifications, and each mail had to be signed off on time and signature of the courier, with a whole set of laws to punish those who missed delivery or tempered with the content. By Yuan Dynasty ~ 1200 AD, the government employed over 300,000 horses for mail delivery. Here is well-preserved “postal office” from ancient China.

Secondly, emperors who didn’t go out of the Forbidden Palace usually occurred when the dynasty was kinda dying. In the early part of the dynasty, usually the emperor had to run around and do some real work. For example, all of Kangxi Emperor

’s sons had to wake up at 4 am everyday, and start school at 5 am. When they reached adulthood, they apprenticed at various government departments. Like son #1 and # 14 served in the department of defense, and both went out to the border to fight. Son #3 served in the department of education, son #8 served in the department of treasury, son #4, the one who was eventually selected as the next emperor, served in the department of engineering, worked on the flood control of the Yellow River, and did his rotation in the department of defense, of education and of agriculture.

Thirdly, like others have said, the emperor was just one person. Most of the times just one very ordinary person, and so of course he/she couldn’t run China. Ancient China was mostly run by the Cabinet and the bureaucracy manned by the scholar-officials, and the most important job of the emperor, was to keep the Cabinet members from politically killing each other, figuratively-speaking. On the other hand, if the emperor had some weird ideas that were opposed by the entire Cabinet, the Cabinet had the right to return the emperor’s orders un-opened, meaning that, well, the emperor’s orders actually couldn’t go out of his bedroom unless the Cabinet agreed. That’s why there were a number of emperors in China’s history who had to resort to writing secret code to their in-laws or followers, with something like “Help! I’m kinda arrested by my ministers!” Well, that sucked for everyone. Incompetent emperors should at least have the good sense to stay aloof and follow the advice of the Cabinet. If the emperor didn’t want to end up like your lovely goldfish in the pot, he had to run around do some real work and build up his own followers and credentials BEFORE he became emperor. You see, the Cabinet members usually started their careers as one of the top-three scholars in the national exams, served 30 or 40 years in various government posts, and gained enough political clout to be elected into the Cabinet by their fellow bureaucrats. Their aggregate IQ would be many times that of the emperor. However, the emperor had one advantage over his Cabinet members, which was that he represented the WHOLE COUNTRY. He existed to remind his Cabinet members that they should never run the country for their own selfish interest. They must compromise with each other for the common good. That’s why the Cabinet didn’t really want to get rid of the emperor, ’cause without him, one of the Cabinet members would surely try to kill off the rest in order to become an emperor himself.

The Cabinet in ancient China was usually composed of the following officials: the heads of the department of personnel, treasury, rites (combination of education minister and foreign minister), engineering, military, and justice. This structure was basically cloned at provincial level and then further at city level, gradually shrunk to one person who was responsible for everything at the lowest village-level. Nine levels of bureaucracy separate the lowest to the highest, and most officials spent their entire life to climb this ladder. These officials, which were staffed by those who passed the imperial examinations, were constrained by three layers of monitoring:

  1. The officials were not allowed to work within 500 miles of their hometown. This was done to reduce the official’s opportunity for corruption by doing things that benefit their own families and clans. Basically an anti-nepotism measure. Also, officials who were connected could not work in the same place or in the same department. This is still done in China today.
  2. The officials had a interim review once every 3 years, and a thorough review once every 6 years, after the review, the officials would get a new post to some place else. The official was evaluated on his KPI (key performance index), and if his KPI was good, he would get a good evaluation and maybe a good or more important posting, or even a promotion. If his KPI was bad, he would get a demotion to a worse posting. So you see, the one who really runs China, since time immemorial, is the KPI. The review was done by a team composed of the department of personnel and the incoming official to replace you, so obviously the new guy had every incentive to get a thorough understanding of what was going on, ’cause if he didn’t, and the game blew up in his face later, then it would be his fault. This system is still done in China today, except now the officials get an annual review, and get a new posting once every 5 years. The transfer of power/posting once every 5 years is still a very big deal, with the CCP acting as the old personnel department, and now the officials have to write a personal review/report once a month to the Party, instead of once a year.
  3. There was a Department of Rules, whose sole job was to make sure the moral characters of BOTH the emperor and the bureaucracy were sound. Officials from this department fanned out across the country to observe officials while they were discharging their duty. They had the right to be sitting next to the official in everything to observe. If they found out that you were not respectful to your wife, your cousin three-times-removed committed crimes and you tried to cover it up, or you submitted fake report to the Court, they would indict you to the Court, and you would be called in to answer the charges. One of the favorite pastime for the Depart of Rules was to indict the emperor for various offences – not treating government reports which criticized the emperor with respect, not treating the emperor’s mother or teachers with respect, not treating all his wives evenhandedly, earthquakes or floods for no reason but must be the emperor’s fault, … – the point was to constantly remind the emperor that he had to follow rules like everybody else. Then the emperor had to write Public Apology to the People, which would be posted to every town in the country and be read out aloud by the local officials. This is still done in China today, by the Central Commission for Discipline Inspection
  4. . Any citizen can write to it reporting official misconduct, and they are obligated to investigate and discipline party officials. You can basically write to them if you just walk by some official and see him wearing an expensive watch.

So you see, lack of email wasn’t a problem for China. Lack of science and technology, corruption, and inter-party fights that elevated private interest above the national interest, on the other hand, had doomed a number of dynasties in Ancient China.

I worked for a law firm in Los Angeles many years ago. One of the legal secretaries in the Corporate Department was a gem: extremely competent, quiet, with enough knowledge and experience to know what permits, licenses and other paperwork needed to be filed for each deal. Like some legal secretaries, she knew as much about corporate deals as the attorneys she worked for.

For some reason, she was terminated. (It was a very political firm, and my guess is that she was let go by someone running a power play against her boss.)

Without her, her attorneys were helpless. What paperwork had been filed with the licensing boards? Had the necessary notices been placed in the newspaper? What was the status of this, that, and the other?

She had left her desk and files in order. There was no funny business. But only after she left did they realize how much she did to ensure that their multi-million-dollar deals went through smoothly, and how much they depended on her.

So of course they called her at home. HR, the partner she worked for, the associate she worked for, the attorney heading the Corporate Department, the firm’s Managing Partner… all with questions to find out the details they needed to know to finish the deals that had been in progress when they asked her to collect her personal effects and leave immediately.

To each person who called, she politely said:

“My professional relationship with your firm was severed at your option. I have nothing more to say to you. Good-bye.”

They were outraged — OUTRAGED — that she wouldn’t help them, but there was nothing they could do. Every time an attorney would grumble to support staff about the situation, we waited until he left the room and gave each other silent thumbs up.

She became a legend.

The truth about growing up for young men

Janet Yellen represents the interests of the US government and her words are official US policy.

Xi Jinping and the senior Chinese officials she met represent official Chinese policy.

The US government seems to think that it can dictate official Chinese policy, but it cannot if it runs counter to Chinese interests.

Normally, if the US wanted something from China, it should offer something else in return in order to start serious negotiations.

Any sign of that?

I don’t see any…

This epitomizes our generation in one video

OMG!

I’m a doc of psychology who has talked to literally thousands of people, and these are 10 pieces of life advice I find that people do not take seriously enough:

  1. “Don’t Make Decisions When You’re Angry” – I’ve seen people relapse on drugs, cheat on their spouses, get into physical fights, and quit their jobs simply because they were “angry.” Don’t do it.
  2. “Be Yourself.” – So many people suffer because they feel pressure to be something they’re not. They can feel this pressure from parents, peers, co-workers, friends or even their significant others. I’ve seen women get breast implants because of this pressure, men marry women (when they are actually gay), and people going into careers they hate because it will make someone else happy. Be yourself, because being something else will make you miserable.
  3. “Don’t Sweat the Small Stuff.” – This is solid advice for a happy life. Choose your battles, and be able to let the little things go. Once you realize what “big things” are (cancer, financial hardship, etc.), you will wish you focused on the right things.
  4. “Know Your Worth” – When you know your worth, you don’t put up with things that devalue you…and that is *very valuable.* It will impact what you’ll put up with in relationships, in your jobs, and in life. Knowing your worth has the ability to protect you from a lot of life’s struggles – if you act consistently with it.
  5. “It’s Okay to Ask for Help.” – Yes! Do you know how many people I’ve talked to, that wished they would have gotten help earlier? It would have given them back *years* of their life…but instead they waited until they were at an absolute breaking point – losing opportunities, jobs, relationships, sometimes even their kids. Ask for help, and ask for help early.
  6. “Who You Marry is One of the Most Important Decisions You’ll Make.” – Take this one seriously. You will literally have to see this person every day of your life, you will spend more time with them than anyone else…so make it someone uplifting, supportive and wonderfully fitting to you. You will also have a financial future largely impacted by their spending habits, earning, saving ability, etc.. Man or woman, divorce can devastate you emotionally and financially.
  7. “Make Time for People You Love.” – Putting off seeing your grandmother or parents because you wanted to do other things might not seem like a big deal today…but one day it will be.
  8. “The Best Time to Start is Now” – Whether saving for your future or trying to write that novel, start today. Time gets away from us very quickly, and before you know it 20 years have passed and you didn’t do those things you wanted to do. I have seen a lot of people who hold regrets…try not to have them.
  9. “The Best Revenge is Living Well.” – So many people get stuck in grudges and anger that it messes up their own lives – especially emotionally. There is a great saying that states that “anger is something you carry for someone else’s mistakes” and it’s the truth. Leave those who have hurt you in the past, take care of your own needs, and live well. It does you no good to do otherwise.
  10. “Treat Others the Way You’d Want to be Treated.” – This is certainly advice we don’t take seriously enough. If we all treated others how we’d wish to be treated, the world would certainly be a much better place for us all.

This is some of the life advice that I’ve certainly seen…

Why Men Don’t Want Modern Women or Feminists

Norway

  • Do not drink and drive. Not even a tiny little bit. We don’t find this funny. We stick people in jail for a first offence — even if you didn’t get as far as leaving the parking-lot. People in bars might physically fight you / wrestle keys from you to prevent you from driving drunk.
  • Do not wear shoes inside private homes. (there’s exceptions from this, but as a general rule)
  • People are pretty relaxed about nudity, and both men and women will for example change on public beaches without any attempt at covering themselves up. You are however expected to look away. (no-one will care if you glance, but please don’t STARE)
  • Do not unwrap flowers prior to giving them as a gift. (Germans do this)
  • Don’t ask people what church they attend. Most attend none, and asking this is seen as intrusive, rude and downright weird.
  • Don’t assume that “socialist” is a synonym for “evil”.
  • Don’t be insulted if people don’t seem to “respect you” for being somehow distinguished. People are very informal here and being on a first-name-basis with anyone short of the King is the norm. Even the prime-minister of Norway is most often referred to by first name; “Erna” (and previously: “Jens”)

I had a 1993 Mustang 5.0 convertible, triple white and limited edition model. With certification that the was 1 of 500 the last of the produced fox bodies.

The car was immaculate, it took extremely good care of it, washed and waxed weekly, etc.

At about 26 months it got stolen.

I called the insurance; they said they had to wait 30 days to see if it would turn up — meanwhile they gave me a loaner. A Ford Aspire, the economy box of the economy boxes — my how the mighty have fallen.

Finally day 31 came and the insurance company called informing me that they were going to pay the car out in full, and that I needed to return the loaner.

They said they would be cutting me a check that day for $6,800. I told them no, that the car was clearly worth more than that. They then offered me $7,500. Again I told them no. They said that is all the car was worth; I told them that it was not a typical Mustang, it was certified by Ford as one of the last fox bodies and had collector value. They said that they would do some investigation and get back to me and I could continue to use the loaner.

About three days later the call me back saying that their best and final offer would be 10,000. Period.

Now onto the fun part, I told them that this was still not acceptable, and not two days before the car was stolen I had a quote for a trade-in on a Ford Cobra that was at $15,700 for my car.

Silence.

They guy then came back and said, fax me a copy of that document and hung up.

So I faxed the document over to them.

About a week went by, and the insurance called me back, the senior adjuster…

They told me that they had reviewed my case and would only offer me 11,000.

Okay, time to play hardball.

I said since you are refusing to pay my valid and documented value of my car, I am opting for my rights under state insurance law. (Yes during this time I looked it up.) I will not accept any cash payment, and I will opt for them to procure a replacement vehicle.

I told them they needed to find me a triple white 1993 fox body 5.0 Ford Mustang convertible with under 38k on the odometer and documented proof from Ford that this was the limited edition i.e. 1 of 500 of the last fox bodies. And that I expect them to present me with three options to choose from within the next 15 days as provided for by law.

I hung up.

Fifteen days later, they called back. They said that they would be writing me a check for $15,700 minus the 3k I still owed on the car.

I asked why they decided to change their minds. They begrudgingly admitted that they could not find any triple white Mustangs let alone a limited edition model. The closest they could come is a yellow limited edition model, but not one of the last 500 and the dealer was asking 18k for a car with 45k on the odometer.

I received the check in 24 hours.

That was the event where I stopped business from walking all over me.

Edit: Thanks for the upvotes and re-shares.

I do want to point out that should you ever be in a situation where they do want to total your car, make sure you find out your states insurance regulations.

In my state, you are entitled to either a cash value payment for your car, or a equal value replacement. This means that if I’m not happy with their cash offer I can opt for them to procure for me a same model, make with same options with similar mileage.

So make sure you know all your options before accepting that check.

Edit: After writing this in a fit of nostalgia I decided to see if I could find my old fox body for sale anywhere. I did find a few of the prior years 7up models for around 24 thousand and I did find one triple whit of my year just trashed and they were still asking 12 thousand.

This is bangin’

My friend works in HR for a Fortune 500 company. I asked him, “What is the most common phrase you hear when firing someone?”

Without missing a beat, he said it was some version of, “This was a bit unexpected.”

People get complacent and don’t realize their job is in jeopardy. They settle for half-assed work. They forget everything they do is being watched and judged, including their YouTube usage.

A mentor once told me, “It’s best to work like you are one strike from being fired and your boss is debating whether to keep you around.”

I could have learned this lesson in my first marriage. We both made assumptions about our status quo — until things slid beyond repair.

Accountability surrounds us and that’s a good thing.

With my relationships and career, I try to continually remind myself that, “All of this could go away at any moment.” It keeps me on my toes. It stops me from taking my time with older relatives for granted.

Shorpy fun

SHORPY 35147u.preview
SHORPY 35147u.preview

SHORPY 34925u.preview
SHORPY 34925u.preview

SHORPY 8d32293a.preview
SHORPY 8d32293a.preview

Union Square Sanfrancisco.preview
Union Square Sanfrancisco.preview

SHORPY 8b07884a.preview
SHORPY 8b07884a.preview

SHORPY 4a08386a.preview
SHORPY 4a08386a.preview

SHORPY 1005.preview
SHORPY 1005.preview

SHORPY 4a22721a.preview
SHORPY 4a22721a.preview

SHORPY 35296u.preview
SHORPY 35296u.preview

SHORPY 02244u.preview
SHORPY 02244u.preview

SHORPY 4a08401a.preview
SHORPY 4a08401a.preview

SHORPY 4a09635a.preview
SHORPY 4a09635a.preview

SHORPY 4a08007a.preview
SHORPY 4a08007a.preview

SHORPY 4a25595a.preview
SHORPY 4a25595a.preview

img127 .preview
img127 .preview

Fremont Street Los Vegas C 1958 0.preview
Fremont Street Los Vegas C 1958 0.preview

SHORPY 1007.preview
SHORPY 1007.preview

SHORPY 4a19562a1.preview
SHORPY 4a19562a1.preview

SHORPY 18068u1.preview
SHORPY 18068u1.preview

SHORPY 4a08392a4a.preview
SHORPY 4a08392a4a.preview

SHORPY 1008A.preview
SHORPY 1008A.preview

SHORPY 05881a.preview
SHORPY 05881a.preview

SHORPY 24321a.preview
SHORPY 24321a.preview

SHORPY 41645a.preview
SHORPY 41645a.preview

SHORPY 41648a.preview
SHORPY 41648a.preview

SHORPY 4a22861a.preview
SHORPY 4a22861a.preview

Native American Women DChadwick.preview
Native American Women DChadwick.preview

SHORPY 1009.preview
SHORPY 1009.preview

SHORPY 8b07882a.preview
SHORPY 8b07882a.preview

Rainbow – Stargazer | First Time Hearing | Vocal Coach Reaction

Chinese math education values practice and discipline.

Children in China start to learn multiplication during 2nd grade and are told to practice constantly. The strong moral tradition that exists in China means that there isn’t exactly a “strict or rigid” curriculum to follow. Students are motivated by parents and others to constantly practice to improve their skills. Middle and high school students often do over 15 hrs of math a week combining class lectures and homework.

In the classroom, students are told to solve and prove problems in front of the whole class. This forces them to think deeply and have a very strong understanding of concepts from which to build new knowledge on. Teachers ask students “why” or “what if” questions to provoke this type of reasoning.

Writing in mathematical terms becomes heavily emphasized in upper levels which can lead to deductions on tests.

In the US, math education is very individual focused and people are not taught to be rigorous and to question why it works. This results in gaps in their learning that ends up hurting their learning later on, leading them to hate math. In addition, the motivation factor to do well is not present and people can slack off and do just what is necessary to get by.

I know people in high school who barely pass math and they don’t even care. There is no national high school exit exam here in the US and thus people don’t see consequences; as long as they graduate they are good.

China Claims Its ‘Super’ AD Missile Makes B-21s Obsolete

The three body problem

A British Chinese “expert” made a serious misreading of The Three Body Problem.

This expert attempts to transcend the extreme evaluation of duality in order to understand compromise in Chinese culture. This starting point is good, but the understanding of China is not enough – if it is not a deliberate distortion caused by misreading.

The first part of this book was serialized in Science Fiction World magazine in 2006, and at that time, the story already had a specific framework. Let’s review the historical environment at that time: Xi was not the President of China until many years later, and the United States had just engaged in the Gulf War. China did not even join the WTO.

It is strange to attempt to use this novel to criticize China’s current policies – people’s mentality was somewhat different from today.

To grasp the relation of Chinese sci-fi and China’s global strategy, it’s helpful to see both in terms of three dynamics. Certainly, it’s popular to understand Chinese politics in terms of binary frameworks: China vs. US; conservatives vs. reformers; optimists vs. pessimists; and socialism vs. capitalism. To get beyond this binary paradigm, we can explore three dynamics: pessoptimism, neo-socialism, and tianxia (All-under-Heaven).

“Pessoptimism” – This word only grasps the edge of Chinese culture. I do admit that Chinese people have both pessimistic and optimistic expectations for the future, but that has nothing to do with China’s rapid development – as I mentioned in the historical context of novel creation, China was facing a powerful and seemingly unbeatable United States at that time, it was widely believed on the Chinese Internet that China’s GDP might reach the level of Japan in a few decades. Few people realized at that time that the economy would develop rapidly within a few years.

In fact, the pessimistic mentality in the novel comes from: 1, a strong feudal China was shattered by the rapid rise of colonial countries; 2, the anxiety of human self destruction brought about by nuclear deterrence and the development of weapon technology; 3, comparison and reflection on the relationship between social Darwinism and equality.

The optimistic attitude in novels also comes from a long history. Any civilization can learn and resist. Organisms can avoid the devastating impact of catastrophic events through reproduction, whether small or not. After the environment is completely changed, everything will eventually perish except those can adapt. All of these stories are in history.

This expert did see that two sides of things can coexist, but unfortunately, he cannot understand what history means to the Chinese people.

Neo-socialism: This expert seems to believe that the depiction of the dystopian social environment of the Trisolarans in the novel reflects the current China.

In The Three Body Problem, Liu’s alien characters from across the galaxy are presented as scientific because they don’t have “time for or interest in art and literature; at the same time, they do not understand lies or tricks”.(10)

Unfortunately, this expert made the same mistake – he did not understand this novel together with Chinese history.

The Trisolarans have a close connection with Japan in history. Japan, as an island nation on the edge of a tectonic plate, believed that it had to invade China and colonize due to the threat of volcanoes and earthquakes on their islands, which was the source of Japanese militarism during WWII. That brings uncertainty like three suns.

Many civilizations experience a large-scale ideological shift when facing crises, leading to greater unity and a convergence of thinking patterns.

Under more extreme environmental assumptions, people have to abandon cultural development and focus on survival. In fact, this describes the state of mobilized. However, the high degree of ideological consistency, lack of personalized thinking ability, and lack of lies and tricks between people is the results of it. You can see this unified ideology during the existence of the Soviet Union, China’s Cultural Revolution, Japan’s militaristic education, etc.. It can encourage people to work in the same direction, but at the same time, it undermines long-term potential.

Liu doesn’t fear technology, unlike the authors of many dystopian sci-fi stories, because he dutifully sees technology as the answer to human questions.

It is just a state of human society, not a solution. It’s not modern China, nor the pattern of “neo-socialism”. None of these cultures is always right – the death has taken them all at the end of this novel.

Tianxia: I guess this expert did not read Liu Cixin’s short stories because there are with Russians and Serbs as the main characters.

But most Chinese sci-fi focuses on humanity as ethnically Chinese, and Chinese sci-fi as an instrument of the PRC’s soft power. In The Wandering Earth and the Three Body Problem, all of Liu’s protagonists are ethnic Chinese. Other peoples have little or no agency: they passively help China, are ignorant terrorists, or they are absent altogether. In the novella version of The Wandering Earth, the Japanese mother rebels against science, and then is sacrificed in the name of population control, while the Chinese-Japanese son is just Chinese. In the movie version, there is a mixed-race Chinese-Australian man who is made to look and sound funny, and he has to defend himself as a ‘real Chinese’ based on his paternal bloodline.(19)

That’s not about soft power. Since ancient times, we have regarded “tianxia” as the highest moral goal. This expert seem to mistakenly believe that this is just to cater to Xi – in fact, it is Xi’s government that caters to the Chinese people.

Also, you can’t expect a electric worker as Cixin Liu in a tiny Chinese town to have a good impression of British or American people before 2006, can you? At that time, your coalition had just caused a large number of disasters in the Middle East.

The facts make it difficult for your people to become a better solution.

With Xi Jinping, China’s global strategy seems to be catching up with Liu’s vision of the future: it looks to science to solve political problems, and figures engagement with the Other in zero-sum terms as an existential struggle for survival.

I don’t think any normal person would consider a “community with a shared future for mankind” as a zero sum narrative. People create their lives through work. Only those who plunder wealth will see society as zero sum, while Chinese people manufacture products.

The novel does not depict zero sum games, but rather negative sum games under the tactic of “ensuring mutual destruction”. The Trisolarans and Earthlings who implemented mutual deterrence ultimately achieved a strike and left separately, but their main forces were destroyed, while a minority of the two races survived by exploring and adapting to new rules.

As citizens of the British, which is one of the countries who encouraged Ukrainians to charge towards Russians and participate in rendering nuclear deterrence, the author should have a deep understanding of this.

When I was getting rejected by a lot of girls for marriage, my mother – like all other mothers in the world would say me, “Don’t worry, you are a Prince and we will find a Princess for you.”

My family members would also console me by saying that it’s all about destiny and our family is quite reputed and we are well settled so you will get married soon.

My reply to my mother and my family members was always this.

“Neither I’m a Prince nor I’m a greek god. And we are not Ambanis. I am very well aware of the fact that I’m about to get rejected in future.”

I embrace the fact that I look much older than my age. And that’s why I always was prepared for the rejection because no father would want to marry her daughter to someone who’s quite older than her.

I look like I’m 33–35 years old whereas I’m 26 years old.

We aren’t filthy rich either. We own decent amount of land and live a pretty average life.

Neither I have any side business nor I have a white collar job.

So, to sum it up, there’s nothing extraordinary about me or my family that people would rush to marry their daughters in our family.


What is a brutal truth about life that needs to be said?

Most probably I’m 99,56,32,408th person who is saying this.

In real life, in real world, away from the social media’s sugar coated sweet shit, your looks and your financial status matter.

It just doesn’t matter, it matters a lot.

I have transformed from an ugly looking boy to an average looking guy and that’s why I know how important looks are.

We have built our new home and have seen people’s changed perception about us, that’s why I know how important financial status is.

A teacher is more inclined towards a cute kid.

A good looking candidate is preferred over other average looking candidates with same qualifications.

Black people receive more punishments compared to white people.

And, I should not mention about all the privileges rich people get, because we all know about that.

We all know this. We just pretend that it doesn’t matter.

On social media we all are saints, unaffected by society’s opinions, expectations and pressure.

I’m not saying this because I was rejected many times. I was sure about rejection.

I have lived for 26 years on this earth and have faced, experienced and seen similar incidents and discriminations my whole life.

Looks and financial status of someone may not matter to you at this point in your life.

But, it definitely will, somewhere, sometime.

9 Things That Make Men Look Expensive & Put Together (Women Always Notice This)

I walk inside and already want to leave.

The two instructors turn to me. They have clean-shaven tattooed heads and thick, spiral-shaped piercings in their ears. On the wall hangs a photo of one of them mounting and pounding an opponent in the cage.

I’d decided to learn MMA and had found a small gym in the area. Even before coming in, I was worried about what it’d be like.

I didn’t want to be part of a macho, who-can-punch-the-hardest scene.

“Oh hello!” the woman instructor says, the same one displayed on the wall bludgeoning her adversary.

Her face lights up with a megawatt-lightbulb smile. She rushes at me, gives a lung-squeezing hug, and says, “You’re the one who called about trying a class, right?”

“Yeah…” I say, still processing the dissonance between her appearance and the supernova of affection I just received.

“Have you gone over the rules?” she goes on. “Basically, if you bring food make sure it doesn’t have any animal products–we’re vegan.”

“Sure…”

“More importantly–you know what to do if someone comes up to you in the street and asks for your wallet, right?”

“Umm…”

“Just hand it to them. Life is too precious to play the hero.”

“Dog Named Hero Saves Owner’s Life for Days, Fighting Off Cold and Coyotes and Getting Help

An Akita named ‘Hero’ saved his owner’s life last week in an incredible tale of loyalty and resilience that saw him remain by his side through two frigid Alberta nights, fend off coyotes, and eventually alert rescuers.

Winning plaudits for his name and deed the world over, a GoFundMe raised $3,000 to cover the veterinary bills of Hero by the shelter that is keeping him safe and warm while his owner recovers.

The story began with an attack: when a passerby named Curtis Dahl was walking in a field of mud and grass near the sugar factory in the town of Taber, and Hero came running up and bit his dog around the neck.

Dahl claims he tussled with Hero for ten minutes trying to get him off his dog, and needed stitches on his finger by the end of it.

Calling police and animal services with a complaint, he alerted them to Hero’s presence, but when the officers arrived and saw Hero lying down exhausted near a terraced plot of grass and weeds near the road, they suddenly heard a cry for help.

Arriving, they found a 61-year-old man on his back in a ditch, shivering and unable to move. He told police he’d been stuck there for two days while Hero protected him.

While the man was taken to a hospital, Hero was taken to Taber Lost Paws Society, an animal shelter that has a special program to look after dogs during periods of crisis or injury. As it happened, the society’s acting president Alana McPhee said they had an employee who was the injured man’s neighbor and knew that he had another Akita dog named Tora.

Reported missing two days prior, Tora eventually turned up in her owner’s yard with a disabled leg after screws and rods in her leg from a previous injury had come loose. They suspect she had been back and forth from the site where her owner fell to the home several times, or perhaps could have been fighting, though she had no bite or puncture marks.

Once informed of the full story, the man whose dog had been attacked by Hero was “understanding of the situation” and was grateful Hero’s owner was rescued. He later received compensation for the medical costs to his dog and himself via CAD$3,000 that was raised from a GoFundMe organized by the Lost Paws Society.

“(Hero) was being protective. That dog probably had not eaten for several days. He was incredibly stressed and, obviously, powerless to help his owner. He had to fight off coyotes,” McPhee said.

Men and their ability to transcend the space-time continuum.

He did a couple of things.

We adopted a German Shepherd named Rex from our local no-kill rescue organization.

He was a wonderful dog and had only been turned in because his 38 y/o owner had leukemia and there was no one to take Rex. They told us he had even had $600 worth of dog obedience training. When we got him home, one of the shelter employees called to say how happy they were that he had found a home after three months of lying in a cage because “Rex was everyone’s favorite dog.”

We could understand why. He was a very sweet dog with an amazingly calm temperament.

Except for the mailman. He really, really didn’t like the mailman and seemed to feel that we needed his protection from this daily intruder. So when the mailman showed up at our front door, Rex would bark ferociously until the mail had been delivered and the mailman was safely on his way.

The sight of the mailman walking away confirmed to him that, once again, he had done his job!

However, one day to my complete surprise, the mailman came and went with absolutely no reaction from Rex.

So I casually said to him, “Rex, what happened? The mailman came and went and you didn’t even bark.”

He stood there patiently looking at me while I talked. When I was finished, he walked calmly over to the closed front door, stood there for a moment looking at the door, and then very quietly went, “Woof.”

As in “Satisfied?”

If I hadn’t seen him do it, I never would have believed it!

Also, his favorite person in the household was my grown daughter because she was the one who walked him. When he decided it was time for a walk, he would find one of my daughter’s walking shoes, grab it in his mouth, walk over to where she was sitting and drop it in her lap!

He lived to be 12 years old and then he had a stroke which left his hind legs completely paralyzed. After he had spent a month lying helplessly on a blanket with wee-wee pads, we decided it wasn’t fair to let him keep living like that since there was no hope that he would ever get any better.

I thought about his favorite food because, unlike many dogs who are sick or dying, there was nothing wrong with his appetite.

The only thing he had ever stolen was my homemade beef jerky. It was in a Christmas tin, in a box which had been wrapped, taped and tied with a string. It was ready to mail and sitting on the dining room table. While we were out, Rex had managed to get it down from the table , untie it, get the wrapping off of it, open the tin (not easy even for humans!) and eat every piece.

So I made an entire batch of beef jerky and fed him piece after piece while he was lying there waiting to be euthanized.

A few minutes later, he passed on with a tummy full of his favorite food.

When you LIVE

A Tyranny of Geniuses

Compassionate technocracy?

If rulers’ own behavior is ethical, what difficulty will they have in governing? If their own conduct is improper, how can they demand lawfulness from their citizens? Confucius. Analects.

Matthew Archer’s recent What if we Lost our Smartest 5%? suggests that, without our scientists and engineers we would struggle even to maintain our quality of life. It also helps explain the West’s current governmental decline and China’s rise. That’s because, like most sentient beings, our smartest 5% – scientists and engineers – are repelled by our toxic political process. No wonder Western governments have failed to deliver democratic outcomes¹.

China’s top 5%, by contrast, are entirely involved in government, and thereby hangs our tale..

The smartest guys in the room

Imagine the impact on European civilization of a series of Imperial dynasties maintaining the self-same style and significance from Caesar Augustus until the First World War. Now imagine such a civilization existing on the other side of the planet unaware of Greek philosophy, the alphabet, Roman governance, Christianity, feudalism, the Renaissance, the Enlightenment or democracy, but with its own, unique cultural and institutional correlates that exceeded all of them in intellectual subtlety and material success. Fernand Braudel

2500 years ago a government consultant named Confucius designed a low-maintenance, harmonious state by modeling it on the nuclear family and its natural hierarchy. The head of each little family would be responsible to the family clan head –as they still are – who is responsible to the extended clan head and so on, up to the head of the Big Family, the emperor.

The emperor’s responsibility would be to find honest, competent, selfless geniuses willing to devote their lives to serving the dynasty. The trick, he said, was finding them, “The administration of government lies in getting men of strong moral character – the kind who will only be attracted a the ruler’s own good character, which he cultivates by treading the path of duty. And treading the path of duty is cultivated by practicing compassion”. Because honesty rises with intelligence and running a kingdom is the hardest job on earth, choose officials for their moral integrity and intellectual abilities.

Alas, rulers of the day were comfortable with their chain of command and their nobles were hostile to meritocracy and Confucius, convinced that he had failed, died.

For centuries, corrupt eunuchs, scheming regents, dowager empresses, usurpers, concubines, wicked uncles and rebellious generals continued their massacres, kidnappings, taxing and warring. Confucius’ disciples persistently advocated his plan, however, until, in 188 BC, they persuaded Emperor Wen of Han to stop imprisoning parents, wives, and siblings of common criminals.

When things went awry, he wrote Letters of Public Apology, as Confucius advised. So positive was the public response that he began lowering taxes, abolishing corvée labor and giving monthly pensions to widows, orphans and retirees and, as Confucius had predicted, peace and prosperity prevailed.

Emperor Wen next began examining nobles’ suitability for office and soon, ambitious families were sending promising offspring to Confucian cram schools. A century later, thirty-thousand earnest young men were enrolled at Imperial Colleges where, as a form of meditation, they memorized the Master’s teaching on compassionate service until it permeated their feelings, thoughts, and dreams.

Getting serious

Eight centuries of increasing meritocracy passed until, in 600 AD, Emperor Yang of Sui opened imperial examinations to peasants. He instructed examiners – from whom candidates’ identities were concealed – to find men with intellectual depth and moral maturity. To emphasize the importance of morality, he said, they should execute cheaters.

Examinees answered questions on the economy, analyzed current government policies and composed original essays to demonstrate their brushwork, literacy, creativity, and knowledge of the World. The Emperor himself queried top candidates who quoted from memory case studies in governance and passages from the Analects (as they still do). Advancement by examination was class-blind (it still is) because, said Censor Wang Ji, “If selection by examination is not strict, the powerful will struggle to be foremost, and orphans and the poor will have difficulty advancing”.

By 1204 AD, of two-hundred seventy-nine senior officials whose families we know, forty-four percent had forebears in government (by 2020, it was twelve percent). Successful applicants became national celebrities, their feats memorialized in family books and their homecomings semi-hysterical:

When a scholar rides in a high carriage drawn by four horses, flag-bearers running ahead with a mounted escort bringing up the rear, people gather on both sides of the road to watch and sigh. Ordinary men and foolish women rush forward in excitement and humbly prostrate themselves in the dust stirred up by his carriage. This is a scholar’s joy. This is when his ambition is fulfilled.

Poor scholars who ascended on talent were the Emperor’s men entirely. They could neither own land, serve in their home provinces, nor have relatives in the same branch of government (prohibitions that still hold). They competed for promotion by constructing public works and, though dynasties rose and fell, there was just one official to serve eight thousand citizens, often in regions far from family and friends, under terrible conditions, regularly at the cost of their lives.

Though few in number, they sustained the most harmonious, advanced, prosperous nation on earth, and so lustrous is their record that Chinese heroes and villains –historical or fictional – were or are government officials. One such hero-official has even been deified: by democratic agreement, Governor Li Bing, who designed and constructed the Dujiangyan water diversion project in 250 BC (below), is God of Waters. His temple still stands at the site where he diverted the waters to create one of the country’s great rice bowls.

That was then, this is now

The top 20% of Chinese university graduates, the smartest two-million (out of eleven-million) youngsters, will take the guokao civil service exam this summer. The written examinations are challenging, the orals intimidating and exhausting, and applicants need a 140 IQ (enough for a PhD in theoretical physics) to get an interview, and only 27,000, 1.3% of them, will receive job offers.

The successful applicants will take vows of selfless service stricter than a Jesuit …

Bisquick Butterscotch Brownies

59247t2
59247t2

Ingredients

  • 2 cups Bisquick baking mix or Biscuit Baking Mix
  • 1 box light or dark brown sugar
  • 4 large eggs
  • 1 stick (1/2 cup) butter (room temperature)
  • 1 can coconut
  • 1 1/2 cups chopped pecans
  • 1 teaspoon vanilla extract

Instructions

  1. Heat oven to 350 degrees F.
  2. Mix all ingredients and bake in a 9 x 13 inch pan for 45 minutes.
  3. Cool on rack, and cut while still warm.

Rainbow – Catch the Rainbow live in Munich 1977 HD, FULL VERSION, Remastered. (2018)

This was my live-for song when I was in High school. Damn.

Fads that stay with us

I had a roommate who had no common sense. She honestly didn’t know things that children would know. It wasn’t her fault, she came from a very wealthy family. They wanted her to be a tennis pro, so they sent her to private schools throughout her life. She was on my university’s tennis team and she was very good. Her parents had paid for her to live in a dorm on campus her first three years of college. By the time she reached her senior year of college, she’d never done anything for herself in her life. She was 22. That’s when I met her.

I put an ad out online because the person me and my other roommate had previously lived with graduated the semester before and moved out. A girl named Hannah replied to the ad. She was cute and nice so we let her sign a contract and move in with us.

Sometimes I felt like I was raising an 8 year old, living with her.

She kept not paying rent. I’d get a notice from the landlord saying a portion of the rent hadn’t been paid. I’d tell my roommate she needed to pay and she’d pay immediately. This happened for three months in a row. Finally, I said “Hannah, I’m not your mom. I can’t remind you to pay rent every month. You need to do it yourself.” Her eyes got really big. She said “Oh, I didn’t know that rent had to be paid at a certain time every month.”

She used to make giant pots of soup and leave them on the stove for days, unrefrigerated. I got concerned and told her she shouldn’t eat something that had been sitting out uncovered for three days. She was confused. I had to explain to her how she’d probably get very sick and food can go bad if it’s not refrigerated properly or not eaten for too long.

After that, my other friend left some soup in our fridge that she wanted to come back and pick up the next day. When I came home the next day, Hannah was eating it. I said “Hannah, why are you eating my friends soup? She said she was going to pick it up today.” Hannah replied, “Well I took the soup out of the fridge and left it on the counter because I knew she was going to come pick it up. But she didn’t come for a while, so I figured the soup was going bad like you told me, so I thought I’d eat it.” I just replied “Why didn’t you just leave it in the fridge until she arrived?” Hannah apparently never thought of that.

Once some mice invaded our home. Hannah left her food in the cupboards uncovered, like her rice and cereal. I told her she had to box them up or the mice would get in her food and poop in it and make her sick. She tied some rubber bands around some of her food but that was it. I had to secure all of her food for her because I worried about her, and I wanted the mice gone.

Another time, we went on a hike together and saw a tent made to look like a teepee in the forest. She asked “Do you think pilgrims live in there?” I’m pretty sure she meant Amish people, but either way, I was dumbfounded.

Up to a year after we were roommates, she would call me asking me about random bills that got charged to her that didn’t relate to me at all. I couldn’t believe some of the stuff she got into just because she had no common sense.

The thing is, she was pretty book smart. She got good grades in her major. She was amazing at tennis which takes intelligence. She just had zero common sense. I had never met someone like that before. I was basically her caretaker all year. She was super sweet and I liked her, but wow did I get annoyed with her sometimes.

Anyways, she’s probably going to become a pro tennis player now. She’ll have a coach or enough money to have other people handle her life for her, so I think she’s going to turn out okay.

How I see the US after living abroad for 5 years

This might sound crazy, but this happened to me three times in the 1990’s. Twice in a bar and once at an all night diner sorta like Denny’s in Blufield,WVA.

All three times some drunk guy just walked up to me and said something like,” I don’t like you and I’m gonna kick your ass”.

My response, all three times was, “ okay man, if that’s what you want to do, but just tell me first, why do you want to kick my ass?”

All three times it led to the person saying…”I don’t know, I just want to”..and within a minute or two, we were sitting talking and they decided they were now ok with me.

The third one in West Virginia…was strange cuz I was sitting in a booth, the first booth in the restaurant, when this obviously very drunk guy came up to me and said that he wanted to kick my ass. I responded as I do and next thing I know, he pulls up in the booth and starts crying and trying to tell me his problems.

The waitresses were apologizing to me about him and trying to get him to leave, when eventually his wife and mother or mother-in-law came, got him and apologized profusely for him bothering me.

I figured out a long time ago to be meek and not to act like a bad ass. The Bible tells us to be meek, have self control, be patient, have charity.

Why This Modern Woman Keeps Her Baby Daddies Away From Her Family – You Won’t Believe The Reason!

The Chinese themselves openly confirmed this didn’t they?

They openly said they were detaining Uyghur families who were supporting the ETIM and had a role in the 2007/8 terrorist incidents and other separatist factions

They openly established Re Education camps where Uyghurs were detained

The Camps are now closed. The last camp was closed in 2020 after Covid when they took a decision that 9 years was enough

And it’s not millions

It’s around 100K-120K people

They are on home surveillance now for 15 years

All this is available on People’s Daily


They agreed they were detaining and re educating these Uyghurs

They themselves said so before anyone else did


The Allegations against the Chinese were not of Detention of and Re Education of Terror suspects or ETIM sympathisers

It was

  • Genocide of Uygur People
  • Slave Labor of all Uyghur People

The Allegations were of Death or Extermination Camps where Uyghurs were enslaved and killed

These are Total Lies

The Locations shared by Google Earth were visited in detail by many UN Officials and close to 1000 reporters from over 50 Countries including 14 Islamic ones

Not a shred of evidence

A Camp leaves some sign

The Nazi death camps had signs for decades

Likewise Slave Labor is a myth

This has been proven conclusively over and over again

Why would a region import Harvesters worth $ 15 Billion if they aimed to have cotton pickers?


Now the Narrative is CULTURAL GENOCIDE

God knows what the next will be


China is no longer fire fighting and waiting for the next accusation to prove

They are simply opening up Xinjiang and saying “SEE FOR YOURSELF”

The US is desperately dialling back and forbidding Americans to visit Xinjiang

Always a bad move because Americans HATE to be DENIED anything and that makes them all the more determined to visit the place themselves

American Reacts To How Has Your Concept Of Freedom Changed, As An American Living Abroad? | Part 1

Freedom propaganda.

You won’t like this answer but…..

In the UK there is a long running television program called university challenge where teams of students from each university in the country battle it out to become the cleverest university for the year on TV.

A similar program used to exist and for all I know may still do in the US called college bowl.

Some years ago now a British university was invited to a college bowl episode to compete against an American team.

The organisers rather arrogantly gave the British team a head start by giving them a number of points, presumably because they thought the British team might not be so familiar with the American TV show or maybe the American centric questions that might arise.

The contest began and the British team went on to thoroughly rout, Indeed, totally spank the American team to the extent that even without the head start they had been given. The difference in scores was truly embarrassing.

This contest was never repeated and I have struggled to find any reference to it on YouTube or elsewhere. That episode seems to have been eradicated from history.

I think I have answered the question.

Chicken and Dumpling Casserole

Yield: 6 servings

chicken dumplings
chicken dumplings

Ingredients

Chicken

  • 1/4 cup butter
  • 1/2 cup chopped onions
  • 1/2 cup chopped celery
  • 2 cloves garlic, minced
  • 1/2 cup all-purpose flour
  • 2 teaspoons granulated sugar
  • 1 teaspoon salt
  • 1 teaspoon dry basil
  • 1/2 teaspoon pepper
  • 4 cups chicken broth
  • 1 (10 ounce) package frozen peas
  • 4 cups cooked chicken, cubed

Dumplings

  • 2 cups buttermilk biscuit mix
  • 2 teaspoons dried basil
  • 2/3 cup milk

Instructions

  1. Heat oven to 350 degrees F. Lightly grease a 13 x 9 x 2 inch baking dish.
  2. Chicken: In a large kettle melt butter and sauté onions, celery, and garlic until tender.
  3. Add flour, sugar, salt, basil, pepper and chicken broth. Bring to a boil. Boil a minute then add chicken and frozen peas.
  4. Pour into prepared pan.
  5. Dumplings: Combine biscuit mix, basil and milk. Stir until moistened and use spoon to drop dumplings onto casserole (12 dumplings).
  6. Bake uncovered for 30 minutes.
  7. Cover and bake 10 minutes more or until dumplings are done.

Apparently Women Are Facing An UNPRECEDENTED Crisis of Loneliness

I once asked my colleague who sat behind the cubicle, “You want date?”

It was late evening on the office in Jakarta. We were discussing about annual Eid holiday plan, when I asked the question out of the blue. She went silent for quite long, maybe surprised, and spoke very slowly, “Err… yes…”

I was a bit confused with the reaction, and then she continued, “What time?”

It took us around five seconds to realize the misunderstanding.

Both of us were sitting completely silent separated by the cubicles. I did not dare to stand up and looked to the next cubicle because it would be awkward. What I tried to offer her is a pack of date fruit which is pretty common during Ramadan month before the Eid holiday. I decided to just shove the fruit box from above the cubicle separator, told her “here you are”, and she grabbed it.

And still, silence.

main qimg 63c65b3f76ef8ec145e76c6ca6eefcbf lq
main qimg 63c65b3f76ef8ec145e76c6ca6eefcbf lq

Damn. It was awkward.


To this day, I believe she still wonders whether I pranked her.

Lesson learned, next time use Bahasa Indonesia instead of broken Asian English.

Chris Langan was born with a freakishly potent brain, having arguably the highest IQ of any living person.

Langan began speaking at six months old and went on to skip several grades. He had an adult vocabulary by age 10. He breezed through college-level tests as an adolescent. He took his SAT several years early and got a perfect score in half the allotted time and took a nap.

Today, he is a rancher. He never finished college. Most of his adult years were spent as a bouncer at a bar, and in manual labor jobs.

main qimg 329da5df732942bedfe97c9536475f22 lq
main qimg 329da5df732942bedfe97c9536475f22 lq

It all stemmed from his rough childhood. He grew up in a poor family. His mother married multiple times before he turned 12. One stepfather committed suicide. Another was psychopathic and abusive.

His stark upbringing created behavioral problems and a persisting contempt for authority. Combine this with inadequate mentorship, resources, and an absent professional network and he never weaponized his extremely rare gift.

The sad truth is that there are many like Langan, who are like the gifted child working on a 3rd world farm, born into poverty and dealt a common, cruel blow to the chance of success.

I worked for a private family-owned company. Business was so good that the company needed additional public share capital to continue . I was doing the ground work (valuation ) in order to proceed with investment advisors to take a company public. My findings were presented to the president in a highly-confidential , private, internal valuation memorandum. I entered the office of the president to discuss my findings and conclusions. He read the three-line summary of the memo. Then he excused himself to his private bathroom. He never returned. His secretary checked on me in ten minutes, found me alone and demanded that I leave immediately.

What happened? the valuation of the company was very much higher than expected. His net worth had exploded in those three lines of the summary. The corporate secret was that he was an alcoholic and that he could not possibly take this corporation public. He could not be trusted in a public environment. The company had a wider culture of long alcohol-laced lunches and no effective board oversite, due to family connections. After a confirming professional valuation, the company was sold to a competitor for cash (at my $$ number) and the entire head office staff, excluding a very few, were laid off. I left before the sale occurred.

Default An interesting and visually descriptive prompt as a gr 5
Default An interesting and visually descriptive prompt as a gr 5

Default Tshirt Brand logo africans and orixs black and red wri 0
Default Tshirt Brand logo africans and orixs black and red wri 0

Default a hyper realistic color epic cinematography of an accu 3
Default a hyper realistic color epic cinematography of an accu 3

Default An ancient town in China rain fog looking at the lens 3
Default An ancient town in China rain fog looking at the lens 3

Default Generate a composition inspired by El Grecos dramatic 0
Default Generate a composition inspired by El Grecos dramatic 0

Default An ultra detailed realistic digital art featuring Trad 0
Default An ultra detailed realistic digital art featuring Trad 0

Default Coffee Shop Bossa Nova style cute tables outside cobbl 3
Default Coffee Shop Bossa Nova style cute tables outside cobbl 3

Default An ultra detailed realistic digital art featuring Bull 0
Default An ultra detailed realistic digital art featuring Bull 0

Default An ultra detailed realistic digital art featuring Braz 3
Default An ultra detailed realistic digital art featuring Braz 3

Default An ultra detailed realistic digital art featuring Rura 4
Default An ultra detailed realistic digital art featuring Rura 4

Default An ultra detailed realistic digital art featuring Geis 4
Default An ultra detailed realistic digital art featuring Geis 4

Default An ultra detailed realistic digital art featuring Rura 3
Default An ultra detailed realistic digital art featuring Rura 3

Default a chinese woman captivates with her rare beauty With 1
Default a chinese woman captivates with her rare beauty With 1

Default Majestic dragon perched atop a crumbling castle tower 0
Default Majestic dragon perched atop a crumbling castle tower 0

Default An ultra detailed realistic digital art featuring Geis 1
Default An ultra detailed realistic digital art featuring Geis 1

Default masterpiece floating character 20 years old boy curly 2
Default masterpiece floating character 20 years old boy curly 2

Default aryshan idea Wolverine wolf husky German Shepherd 0
Default aryshan idea Wolverine wolf husky German Shepherd 0

Default An ultra detailed an ancient Mayan warrior hyper reali 3
Default An ultra detailed an ancient Mayan warrior hyper reali 3

Default masterpiece best quality Anime14 pastel anime pleiadia 2
Default masterpiece best quality Anime14 pastel anime pleiadia 2

Default postcard drawn with a brush and thai white headed bird 2
Default postcard drawn with a brush and thai white headed bird 2

Default An ultra detailed realistic digital art featuring Geis 2
Default An ultra detailed realistic digital art featuring Geis 2

Default An ultra detailed realistic digital art featuring Rura 0
Default An ultra detailed realistic digital art featuring Rura 0

Default An ultra detailed realistic digital art featuring Geis 3
Default An ultra detailed realistic digital art featuring Geis 3

Default An ultra detailed realistic digital art featuring Zen 3
Default An ultra detailed realistic digital art featuring Zen 3

Default anime girl as a rider anime girl posing standing next 1
Default anime girl as a rider anime girl posing standing next 1

Default In the center of the image stands Misa Amane depicted 1
Default In the center of the image stands Misa Amane depicted 1

Default mega realistic highcontrast cinematic still of fenrir 0
Default mega realistic highcontrast cinematic still of fenrir 0

More fun with LeonardoAI

PhotoReal A stunning portrait of a beautiful fairhaired woman 2
PhotoReal A stunning portrait of a beautiful fairhaired woman 2

PhotoReal An astronaut turned into a skull floats in the abyss 1
PhotoReal An astronaut turned into a skull floats in the abyss 1

Default Dragon in aslant flight spitting Fire 2
Default Dragon in aslant flight spitting Fire 2

Default Martha Hyer 0
Default Martha Hyer 0

Default Mangastyle illustration character wearing a longsleeve 3
Default Mangastyle illustration character wearing a longsleeve 3

Default marcus aurelius standing on a balcony looking over a c 0(1)
Default marcus aurelius standing on a balcony looking over a c 0(1)

AlbedoBase XL Beautiful Elf posing with freckles and glasses 1
AlbedoBase XL Beautiful Elf posing with freckles and glasses 1

AlbedoBase XL illusion of a indigenous girl in 100 years later 0
AlbedoBase XL illusion of a indigenous girl in 100 years later 0

3D Animation Style Generate a cinematic and sharply focused ph 3
3D Animation Style Generate a cinematic and sharply focused ph 3

3D Animation Style man playing video games with keyboard and m 3
3D Animation Style man playing video games with keyboard and m 3

3D Animation Style Cheveux bruns 3
3D Animation Style Cheveux bruns 3

Default A smart 20 years boy black hairs laptop in his hand si 1
Default A smart 20 years boy black hairs laptop in his hand si 1

Default Create an AIgenerated image portraying a captivating f 3
Default Create an AIgenerated image portraying a captivating f 3

Default Coffee Shop Bossa Nova style cute tables outside cobbl 0
Default Coffee Shop Bossa Nova style cute tables outside cobbl 0

Default aryshan idea Wolverine wolf husky German Shepherd 1
Default aryshan idea Wolverine wolf husky German Shepherd 1

Default Imagine the ethereal Lucifer the angel fallen from gra 1
Default Imagine the ethereal Lucifer the angel fallen from gra 1

Default An ultra detailed realistic digital art featuring serb 4
Default An ultra detailed realistic digital art featuring serb 4

About 30 years ago I was driving on the freeway at highway speeds. My wife was my passenger. The car behind me was driving rather close to my bumper. Traffic ahead had come to a stop as evidenced by lots of brake lights so I hit my brakes. The car behind me slammed into me causing a total wreck. The police came to assess what happened. The young girl driving the car that hit me claimed I hit my brakes to hard. The officer explained to her she was following too close. The police report clearly stated the accident was her fault.

I was young and driving a “beater”. my car was maybe worth $3,000. I only had basic liability insurance because my car wasn’t worth much. My car itself wasn’t covered. She was insured by State Farm so I filed a claim with her insurance company seeking $3,000. My wife and I had back pain but I didn’t even ask for payment for my medical damages. The State Farm adjuster told me that even though the police report said the accident was their clients fault, they believed their client and would not pay my claim. Oddly, they did not go after me or my insurance company for her damages. When I threatened to sue, the agent laughed and said she doubted I’d find an attorney to take my case.

I in fact did find an attorney. That’s when the State Farm adjuster called me to offer the $3,000 I had originally asked for. I told her to talk to my attorney and pointed out she was wrong for telling me I’d never find an attorney to take my case. State Farm ended up paying over $20,000 for my car, medical bills, attorney fees, and pain and suffering. They chose to deny my claim because most people would have gone away quietly.

Cheez-It Chicken Casserole

Cheez It Chicken Casserole
Cheez It Chicken Casserole

Ingredients

  • 1 package chicken tenders
  • Salt
  • Pepper
  • 1 (8 ounce) carton sour cream
  • 1 box Cheez-It crackers, crushed
  • 1/2 cup melted butter

Instructions

  1. Heat oven to 350 degrees F.
  2. Fill casserole dish with boneless, skinless chicken breast tenders; sprinkle with salt and pepper.
  3. Spread sour cream over chicken.
  4. Sprinkle crushed Cheez-It crackers over sour cream.
  5. Pour melted butter over Cheez-It crackers.
  6. Bake for 30 to 40 minutes or until golden brown.

There are two and they were both sort of theft. The laundromat in my apartments had a coke machine, 50 cents for a can of soda. This was in the early 90s so I was maybe 10. My friends and I found a particular spot you could punch the machine (not hard, but precision was key) and it would drop 10–20 cents, over and over. Free sodas, sure! But then I started using it for other things, like comics. It didn’t take long for that machine to get replaced.

The other loophole, which I now understand how it worked was one I used at arcades and movie theatres (because they had arcade games). Remember change machines? Specifically the older ones where you laid a bill on a flat metal tray and slid that into the machine, then it gave you 4 quarters. It turns out there is a sensor that reads one corner of the bill to verify and check the denomination, and an arm that grabs the bill to pull it into the machine, but that arm is on the other side of the bill. So, I’d tear off a corner of a bill, place it accordingly, the machine would read it and give me four quarters but it could NOT take that one corner which it had read, so I would turn $1 into $10, given enough time. My mom actually caught me doing that instead of an employee.

When I set up a small freelance bureau, my first client was run by a no-nonsense CEO and we agreed on a contract where I got paid for every day I worked in their offices plus a percentage of any new business generated. This worked OK for a couple of years until he put in a new tier of managers to run the company, who were incentivized by the profitability of their accounts.

The new director began a cost-cutting drive about wasting photocopier toner etc. to try and boost the bottom line, but most of all she hated the fact that I cost her money. So after a month or two she told me I needed to switch to a commission-only contract where I got nothing for project delivery and client management, just a percentage on new sales. She told me to present a revised contract reflecting the new reality, which I was happy to do as they had been soaking up too much time, now that I was getting more business from other clients.

She seemed slightly surprised at my pleasant acceptance of what she saw as harsher terms, but I said I could see it made sense for her and I’d bring a new contract in a few days. When I did, she immediately checked the clauses on no payment for on-site time and signed both copies.

A few weeks later, with a healthy order book projected for the next year, she asked the accountant how much she owed me and what it would cost her to get rid of me that Christmas? The accountant looked at the jobs remaining and she told the new director she would probably have to cut me a modest check for about X grand. The director immediately emailed me that she wanted to end our collaboration and I wouldn’t be needed in the new year. I said that was OK and as per our contract I would spend the notice month getting everything in good shape. I spent a few days firming everything up and asked if she could let me know what numbers she was working from so I could make the project list match up.

The accountant sent me her X grand number and I replied with my number which was seven times as high. The accountant said she had agreed X with the director and listed the projects involved that would complete the year’s work. I suggested she tell the director to check her contract and come back with the correct number, which included all the work booked for the following year.

This caused an immediate flurry of action and resistance, which prompted me to alert the CEO and send him a copy of our original contract that I had written , and which had not changed in terms of commissions. He called us into his office like two naughty children and the director said by her calculation she thought I was due X as final commission on items uncompleted by my enforced departure.

I agreed but added that the contract differentiated between commission entitlement – which occurred at time of sale – versus commission draw-down, which happened in stages as project milestones were reached. The only reason I was demanding my full year’s entitlement of 7X up front was because I was fired and had managed during my notice period to get all sales confirmed in writing. Had I not been fired I would have overseen completion of all projects, without charge and been paid the commission in stages as per contract.

The CEO asked for the director’s response, but apart from saying she didn’t agree, she avoided all eye contact. The CEO asked me would I negotiate and I replied I was agreeing to meet in his office rather than in court, where as the author of the contract I was likely to prevail and claim for damages on top.

The CEO closed the meeting and told the director to take me down to accounts and cut me a check for the full amount. Once cleared, I treated myself to a new motorcycle and saved the rest.

With so much unchecked power at the top, how has China sustained its success for so long without falling prey to debilitating corruption?

I’m not claiming that there is no corruption in China; I imagine there is. But without freedom of press, without an independent judiciary, and without a democratically elected head of state, what checks and balances allow Chinese leaders to focus on the country more than individual enrichment?

This is a very good question. The OP obviously has a brain.

To quote Holmes, “Once you eliminate the impossible, whatever remains, no matter how improbable, must be the truth.”

Well we know that unchecked power always leads to massive corruption, and we know that although corruption is a problem in China, it’s not terribly bad either.

So the logical conclusion is – that the power to engage in corruption is somehow checked in China.

China has a completely different philosophy on power, which is “the more power one has, the higher level of restraints one should live under.” Putting it in practice, it means that…

At the junior government level, you have very limited power, and so you only have the responsibility to follow the rules yourself. If you are wearing an expensive watch and some anonymous citizen takes a picture and sends it to the Party Discipline Committee, then it’s your responsibility to prove that the money comes from legitimate sources.

At the mid-government level, you have much greater power, so you have to report the assets of yourself, and 3 generations of your linear relatives (i.e., your parents, your wife, your children, and their spouses). Any hint of impropriety, and you’ll be put under investigation. Also, if you don’t keep your family and your assets in China, you can’t serve in the government at this level.

At the senior level, it’s per se illegal or corrupt for you to have private meetings with other people. Period. All meetings must be in public and in the presence of other government officials. Your children will have to live under assumed names so that other people don’t know their connections to you. You are expected to work 12 hours a day, 6 days a week, and spend the rest of your time with your family or feed the goldfish or something completely innocuous.

But what about the right to privacy?

Well, what about it?

You signed on to this when you take the job. You don’t have to take the job, but if you do, you have to agree to this.

With great power comes great responsibilities. It’s a matching set.

You have great power, so you have the responsibility to live like a model person.

Sometimes the rules go over the top.

For example, a new rule says that mid-level government officials and above must give the Central Discipline Committee 6-month advanced notice if he’s going to host a wedding for his son or a funeral for his aged parents (so he can be spot-checked for signs of extravagance), with restrictions on the size and participants of these events, and it caused a bit of mumbling, like

“I’m really, really, trying to follow all the rules, but I really can’t predict when my mom is going to die 6-month ahead.”

At which point in time the response is…

“Oh, well, we can be considerate, but why don’t you give us a heads-up when she gets sick or something.”

And the lower level reaction is…

“F*ck.”

Also, China applies a de facto RICO statue to government corruption.

Basically, if I can’t sort out who’s more guilty because you guys won’t talk, then you can all rot in jail for the rest of your lives.

If you take a look at, for example, the Tianjin Explosion that killed 165 people.

A bad industrial accident stemming from poor code enforcement.

The result is 49 people going to jail, and the most guilty one getting a death sentence. China Jails 49 Over Deadly Tianjin Warehouse Explosions

The punishment is quite severe.

Overall

So this system may not completely root out corruption, but it makes corruption both hard to do and highly risky.

The truly determined and ingenious ones have to develop some 007-type skill set to engage in corruption.

Not surprisingly, the biggest “fish” caught in the anti-corruption net to-date is the old spymaster of China.

And the risk is not just on the corrupt official personally, but on his family too.

Daddy has to tell little Jimmy “don’t wear that expensive pair of shoes outside ’cause you could land me in jail”.

Things like Clinton’s “I’m just having a private dinner with some Goldman bankers” – that’s per se corruption in China, and you are out of a job even if you only ever talked about weather.


Now if we take a look at the checks and balances listed in your question, i.e., freedom of press, independent judiciary, democratically elected head of state.

If they work so well, why do we have 2008 meltdown, the Iraq invasion, the missing WMD, Iran-Contra, the Congress throwing money at the Military when even the Pentagon says “we don’t want it”, all the Wikileaks stuff coming out during the campaign, etc. …

…and not a single person is ever punished in any shape or form?

Well obviously these checks and balances don’t work so well.

The higher the elites go, the bigger moat they get to build around themselves.

The best moat money and power can buy.

And if you look into these things a bit more, you’ll see that most of these things are where BOTH political parties benefited & were implicated while the American people were screwed.

Interesting, isn’t it.

The question is, this may be fair for the rich and powerful individuals, but is it fair for the PEOPLE?

To escape what is commonly called “the Rat Race”, you will need the following:

  1. permanently assured accommodation that doesn’t depend on high, regular payments to maintain; for example a small house that is fully paid for and where you could always stay and wait out periods between jobs;
  2. savings, so that you aren’t under pressure to bring in money every month in order to survive;
  3. get rid of all debts;
  4. a profession that is versatile and in demand, so that you can find work wherever, whenever, change jobs when you feel like it, and negotiate part time work arrangements; things like nursing, programming, or security might always work;
  5. low bills. Drive an old, but reliable car that never needs fixing, don’t smoke or drink, learn to cook properly and to budget and plan, and do it, and generally avoid an expensive lifestyle.
  6. remain flexible and open minded about what it is you might be doing professionally. Driving forklifts this year, teaching CAD next year, writing ad copy after that… these kinds of hops should not scare you.
  7. give up career thinking. If you are serious about moving up, that kind of lifestyle is unattainable. Relegate yourself to letting someone else be boss, and just do your bit. Ambition is the thing that causes us the most suffering.
  8. decide that you will be happy within that little world you are creating for yourself, and that any adversity you encounter in it will be dealt with, not evaded by giving up and moving away. A little oasis of peace is still something situated in the hostile context of life on this planet, so you need to have a will and the means to maintain and defend it.

I have organised my life in this way a few years ago, and the effects are remarkable. My stress levels have gone down noticeably, various health issues have disappeared, and I am generally a much happier person now.

A life like that doesn’t need to look small and grey, either.

Here, my cheap little house and my 35 year old car I’ve had for 27 years now:

main qimg afa09843db2e04d187695693780ab646 lq
main qimg afa09843db2e04d187695693780ab646 lq

The dollar value on these things is minimal. But I can maintain them with ease, come what may.

COMMENTS

Nice post! I’m glad I learned this lesson at an early age as well. I avoided the rat race, chose a profession I enjoy that is low stress, and kept my bills to a minimum. Now I look younger than my colleagues and always get mistaken for a 25 year old! The secret is simplicity and not letting society pressure you into becoming something you don’t aspire to be, or to have things you can barely afford to impress people who don’t care.

Working you’re body to death but having all the latest material fads and addictions just isn’t worth it…

Your cheap little house would be no less than 350k (U.S.) where I live. The sad thing is in the U.S. you must have money to survive and you better be working your tail off night and day if you even want a roof over your head. Or share everything with family assuming you have family who have anything. People actually wonder why our homeless situation is so rampant. Much of It is because people give up on trying to get up after chasing the U.S. ‘dream’ in endless circles never finding an opening out of poverty. The ones who are not caught in the circle have a solid base to begin with or no bad luck along the way.

It’s wise to view it as a long term project, indeed. This lifestyle requires preparation and planning, so it is a good idea to approach it the way you mentioned – giving yourself a few decades to get there. But the main thing is to have and work toward that goal.

 

Gusty Erie

  1. By 2020, depression will be the leading cause of death and disability.
  2. Feeling ignored causes the same chemical effect as that of injury.
  3. People who play video games often are much more likely to have lucid dreams than non-gamers. They were also better able to influence their dream worlds as if controlling a video-game character.
  4. People who have cars with bumper stickers are more likely to exhibit road rage. You may want to think twice before laying on the horn!!
  5. Phobias may be memories passed down through generations in DNA, according to new research. If you remember a past event, you’re actually remembering the last time you remembered it rather than the event itself.
  6. Thinking about sex will temporarily relieve the urge to pee in the case of an emergency.
  7. Having a problem? Lay down! You can process thoughts faster by laying down.
  8. At a restaurant? Wash your hands after ordering. The menu is generally the dirtiest thing you can touch.
  9. Always check your cell signal when looking for new apartments or dorms to live in.
  10. If a crocodile is chasing you, run in a zig-zag pattern. Crocodiles can’t take sharp turns well.
  11. If a crocodile has caught you between its jaw, you press his eyes intensely with your thumbs, he will leave you.
  12. You can clear cigarette smoke in a room by spinning a wet towel around.
  13. If your stomach is rumbling in a public setting, do not clench your muscles, instead of push out like a beer belly and the noise will stop.
  14. Honey= brightens, tightens, & fights wrinkles & acne. Honey Facial: Smear onto face let sit for 1-3m, rinse with warm water, pat dry.
  15. Got a pimple before something important? Use an ice cube to shrink it.
  16. Mash tomatoes and apply the pulp as a pack on the face. Wash this off after half an hour to get a clear and glowing complexion.
  17. For oily skin, mash one banana with a teaspoon of honey and a couple of drops of lemon juice. Apply to face for 10 minutes, rinse.
  18. You can get longer nails by applying olive oil to help them grow.
  19. Eating garlic and onions can make your hair grow faster.
  20. Putting sugar on a wound does helps heal it faster!
  21. Clean your room! When your room is messy, you’re more likely to procrastinate and not get work done.
  22. If you know you’re going to vomit eat some vanilla ice cream first. It won’t stop the vomiting, but it will stop the burning sensation.
  23. Remove ink from clothes? Put toothpaste on the ink spots generously. Let it dry completely, then wash.
  24. Sign up for the free 30 minute trial of on-board WiFi while flying. Delete cookies when the trial ends. Start a new trial.
  25. If you are buying headphones/speakers, test them with Bohemian Rhapsody. It has a complete set of highs and lows in instruments and vocals.
  26. Put a stocking over the end of a vacuum to find tiny items like earrings. This prevents you from accidentally sucking them up.
  27. Mess with telemarketers! Some aren’t allowed to hang up, so answer the call, take a shower, have a snack, then say “no thanks 😉
  28. Memorize your waiter’s name when they introduce themselves—call them by name later in the meal and they’ll like you more.
  29. Singing in the shower daily can help boost your immunity, lower blood pressure, reduce stress, and improve your mood.
  30. Combine used coffee grounds, coconut oil, & sea salt for an amazing body scrub that will remove dead skin cells while hydrating your skin.
  31. If you don’t know whether to write “affect” or “effect”, use the word “impact” instead.
  32. If you want someone to listen to you, start the conversation with “I shouldn’t be telling you this.
  33. If your boyfriend or girlfriend wrongs you–don’t tell your parents about it. You might forgive them, but your parents won’t.
  34. If you’re ever stuck in a large crowd, put coins in a can and shake it, asking people to donate. Everyone will move to avoid you.
  35. When walking through a crowd, look at your destination in the distance. People will clear a path if they see you make a clear eye-line.
  36. When washing clothes, always turn them inside out so the design doesn’t crack.
  37. If you still feel tired after a good night’s sleep, you’re probably dehydrated. Drink some water after you wake up.
  38. If you email a big company and tell them your recent purchase was unsatisfactory, they’ll most likely send you free stuff.
  39. Feeling sleepy? Hold your breath until you can’t anymore and then breathe out slowly. This will increase your heart rate.
  40. Sleeping without a bra can help you have a 95% better sleep.
  41. Sleeping on your stomach can induce weirder, scarier, and sexier dreams.
  42. Sleeping next to someone you love not only reduces depression, but it also helps you to live longer and makes you fall asleep faster.
  43. Eating your food slowly will help you lose weight, enjoy your food, reduce stress, and lead to better digestion.
  44. Fasting for 16 hours will reset your body’s natural sleep/wake cycle and is considered an effective way to overcome jet-lag.
  45. Have a flat tire? Take a picture of it on your phone for future reference. Use it as an excuse later.
  46. When in college, always sit in the front. Your teacher will remember your face when it comes to grading and most likely be more favorable.
  47. Forgot an assignment and need to email it? Change the date on your computer system and send it.
  48. If you think somebody is giving you a fake number, read it back to them incorrectly. See if they correct you.
  49. Listening to music can boost your running performance by 15%.
  50. Before sleeping, 90% of your mind begins to imagine the stuff you’d like to happen.
  51. Have a good 20-minute workout at night so you’ll feel better before you sleep.
  52. Dancing, singing and masturbating are all proven ways to fight depression and lead to better sleep.
  53. Take vitamin B complex during the summer. Insects don’t like the way it makes you smell to them, it wards off mosquitoes and biting flies.
  54. In college? Always ask for a student discount, most stores have it and students never use it.
  55. If you are drunk and have the urge to vomit, taking short rapid breaths can help it go away.
  56. If you download a “PDF” file and you see it ends in “.exe” delete it. Its a virus.
  57. When cleaning your room, start with making your bed. It will make everything around it look out of place and it will motivate you to clean.
  58. Hearing your name being called, when no one has actually called your name, is a likely sign of a healthy mind.
  59. If you want someone’s number at a party, take a picture with them and ask them to send it to you.
  60. The Two-Minute Rule: If you see something that needs doing, and it can be completed within two minutes, do it immediately.
  61. Putting dry tea bags in gym bags or smelly shoes will absorb the unpleasant odor.
  62. Wrap a cold paper towel around a drink and put it in the freezer to make it cold faster
  63. Drinking 2 cups of cold water on an empty stomach can boost metabolism by 30%
  64. Cough keeping you up at night? Put Vick’s Vapo-rub on your feet and put on socks. Within minutes the cough will stop permanently
  65. Hugging can help reduce stress and lower blood pressure — This helps to protect us from heart disease
  66. When on a date, the best way to judge a person’s character is to see how they treat waiters and waitresses
  67. To remove gum from hair, dip into a small bowl of Coke, leave for a few minutes. The gum will wipe off
  68. When doing sit-ups if you place your tongue on the roof of your mouth it will stop you from straining your neck
  69. If your boss calls you in on your day off, tell him you’ve been drinking, the boss can’t fault you for not coming in.
  70. When going on a date, go to a horror film. Elevated heart rate and adrenaline are strongly tied to sexual attraction.
  71. If you ever drop glass, put a piece of bread on it. The consistency of the bread will pick up even the smallest shard
  72. When you’re finished with an essay, copy and paste it into Google Translate and listen to it. It’s the easiest way to find mistakes.
  73. If you toss onions in the freezer 15 minutes before you cut them you won’t tear up.
  74. Accidentally text the wrong person? Immediately put your phone on airplane mode and once it fails to deliver, delete the message.
  75. If you place an egg in water and it floats, don’t consume it. It’s bad and should be thrown away. A fresh egg will sink to the bottom.
  76. Eating Pizza once a week can actually help reduce the risk of esophageal cancer. So go eat some Pizza.
  77. Turning the shower cold right before you get out closes your pores and makes you less likely to get acne.
  78. Yellow rooms can make babies cry more and couples fight more.
  79. Grab a banana for breakfast! They are known as happy fruit. Eating just one can help relieve irritable emotions, anger and or depression.
  80. Bananas can reduce the swelling and irritation of mosquito bites and help with nicotine withdrawal.
  81. People who enjoy sweets like chocolate tend to be more generous, happier, selfless and open-minded.

Here it comes again..

  1. If your criticism is based on facts and logics, then your criticism is welcomed.
  2. If your criticism is based on rumor and bias, then you will ran off and seek political asylum in USA, Canada or UK, like the pro-”democracy” activists in HK, eg, Joshua Wong and Agnes Chow Ting. Because you can’t make a living in China, everyone knows you and they put you into their blacklist, you can’t find a job. So the only choice is to go for your funder.
  3. No one is excuted by the government for criticizing it so far.
  4. No one believes in Xinjiang fake news, because those news reports targeted on you, not us. This is your government’s propaganda, not ours. We can tell the illogic and not-make-sense narratives at the first sight but you can’t, because the distance and language barrier made you not able to access information from a much wider range.

The answer lies in the theory of deterrence and enduring paranoia of that most iconic of Cold War doctrine’s “MAD” or Mutually Assured Destruction. If there is one man who was most responsible for both it is General Curtis “Bombs Away” LeMay. LeMay was everything you imagine a Cold War air force general to be — a sports-car driving, martial arts practicing, HAM radio operating, steel-nerved commander for whom the killing of thousands or even millions of civilians was an uninteresting footnote in the larger strategic calculus of war. Indeed, he may well have been the source of that stereotype. he is certainly remembered as both the patron saint of the United States Air Force and as among the most infamous war criminals in history.

Along the way LeMay became one of the guiding lights of American strategic airpower. Now, LeMay didn’t like ballistic missiles. He was a bomber man. So if we asked LeMay this question he would probably respond the same way he did when he advocated for the continuation of the SAC bomber program in a memo dated January 4, 1964:

Ballistic missile forces represent both the U.S. and Soviet potential for strategic nuclear warfare at the highest, most indiscriminate level, and at a level least susceptible to control.

What LeMay is saying here is that the ICBM fleet is, by design, an all-or-nothing proposition. The fact that it exists — out in the middle of the Northwest Great Plains in full view of any satellite that cares to look down upon it — sends a very clear and unambiguous message:

  1. The United States has the ability to reduce your homeland to a smoldering ruin
  2. The United States will use these weapons if you use similar weapons against her
  3. The United States has numerous redundant protocols in place to ensure that it will use these weapons if the time ever comes.

These three statements are the core of deterrence theory. They’re sometimes referred to as the “Three Cs” — Capability, Communication, and Credibility.

  1. The enemy has to know that you are capable of destroying them.
  2. You have to communicate under which circumstances you would do so.
  3. And they have to find your threat credible.

This last “C” — credibility — is probably the hardest to nail down. Credibility amounts to a psychological state: are you really ready to kill hundreds of millions – maybe billions – of people to follow through on your threat? The ICBM fleet is about credibility. It is a Sword of Damocles, hanging over the enemy’s head.

That’s why they can’t have a disarm button.

The mere existence of the ICBM fleet is a compelling argument for the idea that the people that built it have accepted – in advance – the moral quandary of the nuclear age. They are not a gun brought to a knife fight; they’re a suicide vest rigged to a dead-man’s switch. But that promise of crushing retaliation loses some of its credibility if it comes with a “take-backsies” button.

But, paradoxically, the lack of that capability also diminishes the credibility of the ICBM threat. Because they are an all-or-nothing proposition, ICBMs offer very little proportionality. The United States may be more than willing to turn lose its missiles if a Russian first-strike is spotted coming over the North Pole, but would the Americans really jump to total thermonuclear war if just one warhead were used to clear a route for Russian tanks as they rolled into Germany?

Maybe not… and that creates a problem. It invites escalation and that escalation may bring about a general nuclear exchange which wouldn’t have happened if there had been some way to deter that first nuclear use.

This is the weakness LeMay saw in the missile based deterrent. The missiles have their place but, as LeMay puts it:

The employment of these weapons in lower level conflict would be likely to escalate the situation, uncontrollably, to an intensity which could be vastly disproportionate to the original aggravation. The use of ICBMs and SLBMs is not, therefore, a rational or credible response to provocations which, although serious, are still less than an immediate threat to national survival.

LeMay’s solution to this problem was – predictably – the bomber. The ICBM fleet could await the end of days in its silos, LeMay contended, the bomber would be there to handle everything short of that.

And that is largely the role of the American bomber force. Whenever Uncle Sam feels some “gunboat diplomacy” is in order, the bomber fleet is there: flying in joint exercises over South Korea

or dropping cruise missiles after a marathon flight from the other side of the world

.

So why don’t ICBMs have a recall button or a disarm button? Because that’s what bombers are for.

Rural towns are generally built around one or maybe two industries other than agriculture.

Take my hometown, for example. You basically work in some form of manufacturing, or you’re in dairy and crop farming. Go north a ways to some bigger rivers and it’s dairy farming and paper mills.

Every other business basically operates to support those two industries. Dollar General, Shopko, Piggly Wiggly? They provide the basic necessities for people who work in those industries. The specialty shops downtown provide luxury goods for people who work in those industries. The standard Wisconsin small town 2:1 ratio of bars to churches exist to support those industries.

The car dealerships don’t sell Priuses and sedans hardly at all; they sell pickup trucks and grocery-getter wagons/SUVs. Mostly used; the only new dealership in my town folded about 15 years ago and both lots are still vacant.

A hundred years ago, iron was king in my hometown. It was mostly blast furnaces making iron ore into pig iron and shipping it off to coal country to be made into steel. When the iron mines dried up, it switched mostly to manufacturing.

One of the four major manufacturers in the area closed almost 20 years ago now after it got bought up by a west coast equity firm. It wiped out probably a solid 15% of the school district area’s employment. It came at a bad time, as well, in the middle of a recession, so getting other work was pretty hard. Another industry in town laid off 50% of their workforce and automated two product lines.

Between transfers and people who had to move out of town to find work, enrollment in the school district dropped a solid 5–10%. My class was large, at around 125. By a decade later, the average class size was down to 80.

Automation in the other manufacturing industries has resulted in attrition of jobs there probably by another 50%, though I will seriously credit one of the local employee-owned companies for doing a great job of retaining employees and retraining them for other positions to keep them, which is probably why they’re one of the few manufacturers that has expanded significantly and actually increased overall employment in the last decade. The other manufacturers, not so much.


Then there’s agriculture and advances in that field.

Here’s what my great-great grandfather started farming with:

main qimg 5fbefd0b06343d182a814168e2c095bd lq
main qimg 5fbefd0b06343d182a814168e2c095bd lq

If you were fast and had a good horse and you worked sunrise to sunset, you could probably plow a 40-acre field in three or four days. Work it down in another two or three. Plant it in another two or three. If the weather cooperated and you worked your horse and your equipment and yourself hard. And the land was already cleared of trees and stumps. You could pull a two-row corn planter.

By the time my great-grandfather was ready to start working the farm, my great-great-grandfather was able to put together enough money for one of these:

main qimg 0da5ff51583c813fdeb91ac3527c463b lq
main qimg 0da5ff51583c813fdeb91ac3527c463b lq

That’s a John Deere unstyled model A. The first one on the farm had steel wheels, not tires. On the other side of this is a flywheel that you had to crank to get it started. It was insanely hard to do. But it didn’t get tired and need water every hour or so like a horse. And it would pull a two bottom plow. You could plow a 40-acre field in a hard day if you had enough light. You could probably do a 4-row corn planter with this.

By the time my grandfather was old enough to start working the farm, my great-grandfather had bought this:

main qimg 5b00cb9134d71fd3b0b135e954302761 lq
main qimg 5b00cb9134d71fd3b0b135e954302761 lq

This is a Ferguson TO-30. It might look smaller than the A, but it’s got more horsepower (26HP), hydraulics, and a three-point hitch. My great-grandfather bought it after the A needed a serious overhaul and the tractor salesman brought out one of these and a Ford 8N, and my great-grandfather said he’d buy whichever one got to the top of a hill with a two-bottom plow faster. The Ferguson won. (We still have the original in the family, plus the replica model the salesman gave him for buying it.)

You could plow, work down, and plant a 60-acre field in probably three good days’ work, if you were willing to work into the dark a bit. (My great-grandfather actually specifically ordered the tractor without lights because he believed if you were working into the dark, you were working too long.) Still a 4-row corn planter, but you could probably pull a larger grain drill than the A.

By the time my uncle was in high school, the farm was up to this:

main qimg f8025fae2b82df34c472e903dfeacaea lq
main qimg f8025fae2b82df34c472e903dfeacaea lq

That’s a Ford 7600 diesel. Almost 100 HP, over three times as much as the Ferguson. This would pull a four-bottom plow. Live PTO, making it possible to run better and better equipment. My family actually sprung for one with a cab because Grandpa was getting older, but he didn’t like it, actually.

With the four-bottom, a cultimulcher instead of a disk and drag, an 8-12-row corn planter instead of a 4-row that the Ferguson would pull, you could work a 60–80 acre field in three days if you were nice to the equipment, and probably still get some other stuff done.

By the time I was old enough to start really driving around tractors, the neighbors were driving these:

main qimg 440fc74a828af9b8b55a04e2011ef0f8 lq
main qimg 440fc74a828af9b8b55a04e2011ef0f8 lq

That’s a Massey-Ferguson 8220. The neighbors had an 8240, if I recall correctly. I remember when the guys around the corner bought one of these and a chisel plow. 150HP.

They worked down an 80 acre field in about two hours and planted it with a 16 row corn planter in about three hours two days later.

Today? I have an uncle who does crop and dairy farming. He’s got one tractor with 240 HP that can chisel plow a 120 acre field by GPS in 60–90 minutes, and will pull a 24-row John Deere corn planter. He probably wouldn’t even use it to work down a 40-acre field because that field would be too tiny to effectively turn around very well.

My great-grandfather would have been stunned at that. He might have imagined it, but it would have been a wild dream.

One guy can work ten times the cropland that my great-great-grandfather could have with a quarter of the work.

And yields have gone up, too. Hybrid corn and advances in other crops have made it so that today’s farmers are growing an order of magnitude more per acre than my great-grandfather did.

But all of those advances come at a cost. A bag of seed corn or soybeans can cost upwards of $100 a bag, and is currently going for as much as $180 a bag for the 2020 corn planting season. My grandfather once stormed out of a mill with me 25–30 years ago as a kid when the same sized bag of seed corn was going to be $15 because it was “highway robbery” and he figured he could get it cheaper elsewhere.

The same is true of dairies. My great-grandmother milked 20 cows by hand; a large operation at the time. In the 50’s, they got an electric vacuum pump system after the farm got electricity, and built a bigger, modern milking barn. That bumped them up to 60 head. In the 70’s, they were able to add on and up that to 100 head. By the early 2000’s, they were a small dairy, starting to be unable to compete. My uncle made some bad decisions, but he leveraged the land like crazy and cheated my great-grandmother out of her share of the farm to afford a 240 head new barn with a milking parlor.

He’s still a small operation now and is close to bankruptcy.

There’s a farm about two dozen miles over that has 8,400 head and the farmers don’t even milk the cows now; the cows have an RFID tag and when the cow feels like it wants to get milked, it wanders over to a stall and a robotic milking machine reads the tag and hooks itself up. The system tracks the cow’s individual production.

When my great-grandmother was doing the milking, there were probably fewer than 8,400 milking cows in the county.

But that huge operation is probably over a $10 million investment. That would have been unfathomable for my great-grandfather.

Whether crop or dairy, it’s been evolve or die, and evolving requires growing into a massive factory farm. That equipment and the buildings are expensive. And the margins are thin. If you couldn’t get enough credit to expand, you went bankrupt. If you had a bad year or two, you went bankrupt. The margins on all of that are razor thin; the farmer is probably actually netting pretty little, if not taking a routine annual loss many years.

Small farm bankruptcies are skyrocketing right now because factory farms are keeping the prices so low as to make the margins non-existent or below break-even for the little guys.

The area where I grew up is a moonscape of rotted out, fallen down barns, abandoned outbuildings, and lonely old farmhouses with lonely old retired farmers who have given up. They sold off all the equipment, and if they can rent out the land for enough to pay off the mortgage, they do, or sell it off for enough to satisfy the liens and keep four or five acres with the house. And when the old man and his wife pass away, the kids, who have moved to the city, don’t want to take care of it anymore. I’ve seen a dozen or two of those old houses just demolished; the outbuildings used for storage if anything at all.

Maybe 10–20% of the farms that were operating when I was a kid thirty years ago are still milking. Six of the seven neighbors my grandparents and uncle had that were farming when I was a kid are out and quit wholesale. The one left isn’t doing dairy anymore, the kid, who’s almost exactly my age, sold off the dairy cows and most of the equipment, does some basic crop farming, and grass-fed beef. One of the last neighbors to sell had gotten up to about 1400 acres that he’d owned and another 400 he rented before he sold out to a guy from Iowa who trucks up even more massive equipment than I described above, works up the whole thing in less than a week, and moves on to the next bit.

One guy. With probably a dozen hands. I have no doubt that he owns or rents over 36,000 acres.

Who needs a whole town to support that anymore? He isn’t going into my hometown for groceries every week, or the downtown coffee shop on a routine basis. He isn’t in the bars regularly. He isn’t buying stuff from the local hardware store, or tires and oil changes from the local mechanic.

Even if he were local, he certainly isn’t buying the same amount as the 100+ farm families he’s replaced.


Infrastructure also drastically changed my home area. Infrastructure, especially transportation infrastructure, dramatically reduces the friction costs of commerce. If it costs less to move stuff to market, people will build stuff there. If not, people won’t.

The railroad was first on this. Wherever the railroad went, towns grew along it. Where the railroad didn’t go through, those places died or never grew. There’s a little town of about 300 people, about big enough to have an “unincorporated” sign and not much more.

There’s a huge Catholic cathedral there, built to serve probably a 150 family congregation. Today, it serves probably a few dozen for a whole area.

That’s because the railroad was supposed to go through the town, which is why they built it. There’s half a dozen other old businesses that used to exist, too, the hollowed out remains of their buildings still visible, built in anticipation of a train that literally never came.

Because the railroad company built ten miles east, instead.

That town died. Or rather, never grew at all. The businesses mostly folded, with the exception of a bar and a butcher that finally relocated when I was a kid. There was a fancier restaurant there that closed up about five years back finally. It had a for-sale sign on it since before I graduated high school, but the guy who owned it could never find a buyer and finally just retired.

Today, railroads are largely replaced by highways and interstates, though freight rail is making a comeback in some places. Not enough to support a whole town, like it once did, but enough to keep some businesses going.

The main corridor in my home area is now I-41, 20–30 miles from town. It’s only recently been made into an interstate. When my parents were first dating, it was only two lanes. I still remember when there were no overpasses and it was cross-traffic most of the way by us.

As the interstate and a few four-lane state highways have grown, the towns along them have stayed steady or grown with them in some spots.

The towns between the main highways? They’re mostly gone or drying up. One got virtually wiped out by a tornado twenty-some years ago and never really recovered. Every year, they keep talking about consolidating the school district with a nearby one because enrollment is too low to sustain it independently. The elementary school closed fifteen years back and K-8 are all in one building now.

I remember a couple years ago, I was going through Iowa on my way to a wedding and they’d recently moved I-80. The main highway that it now paralleled used to go through a bunch of little towns. We got off the super-slab and went through some of them because we weren’t in a hurry to get to Colorado. Half of everything was boarded up. I asked the cashier about it. People don’t want to exit the highway and drive four miles south to get to Casey’s General Store. They just bypass the towns and wait until the next bigger stop. Where towns could, they’d tried to move towards the highway, but that’s often not possible.

It’s what happened to the towns on Route 66. A few remaining nostalgic pieces of it remain, but most of it’s just gone. Whole towns were just erased.

But even my hometown isn’t seeing new facilities getting built for manufacturing and the like, because of a lack of infrastructure. There’s a decent state highway into town that they keep in reasonable repair, but it’s a ways to the interstate still. The existing facilities keep churning out stuff, but if the companies are expanding, it’s along the four-lane highways and the towns and cities on those, still reasonably nearby enough, I suppose.

One company bought out that old plant that went bust I mentioned and turned it into a big R&D facility, since it doesn’t need much import/export and it’s smack in the middle of town. Getting trucks there is a pain in the ass. When they come up with something, they send the specs over to the shiny new plant two towns west, which is built on a four-lane highway with direct access to Madison and Milwaukee.

Internet is another infrastructural element that is significantly lagging in some of these places. Nobody’s running fiber to my hometown for the most part. A lot of people still have DSL. Maybe satellite. Apparently Verizon or Frontier is upgrading some of downtown somewhat. The last time I was at the local coffee shop to use the wi-fi, the speed test ran up to 15 megabits.

The cell coverage depends on the provider, but it’s spotty even in downtown. Verizon is okay. US Cellular is the preferred choice. Sprint, T-Mobile, and AT&T are complete dead zones. That makes it hard to operate a retail business these days, which is increasingly dependent on the internet for sales and backend that we take for granted. You’re not selling much if you can’t use so much as a Square reader at the local businesses. And you’re not getting a lot of tourists if their phones are off the grid before they get to the city limits.

And younger people don’t want to live in a town where they can’t get Netflix or Prime Video at even standard resolution half the time. So, they’re not moving there, or leaving for greener pastures if they can.

Because there isn’t enough demand, the cable companies don’t bother upgrading the lines unless they have to. Because there isn’t basic high-speed broadband, nobody moves there to create the demand. It’s a vicious cycle. My folks just moved out of the place where I grew up and moved to the edge of a moderately large rural town. They get one internet provider, which maxes out at 8Mb down, 4 up. If they were two blocks over, they could get another provider with much better bandwidth, but where they are, they’re just screwed. A lot of places are like that. There’s no competition, and relatively light demand, so there’s basically no reason for the telecoms to bother running anything out there.

At least my hometown and surrounding area are still close enough to major transportation routes that Fed-Ex and UPS will come all the way out. My in-laws have to drive 20 miles into town to pick up anything. They’ve been where they are for fifteen years and two weeks ago, a Fed-Ex truck actually went all the way to their house for the first time, ever. The delivery driver said he would never do it again. They don’t even get mail delivery to their place; they have to go up the minimum maintenance road five miles to a turnaround if it gets delivered, and they maintain a PO box in the slightly larger, but further away town for that purpose instead.

Water is increasingly an issue, too. New water treatment plants with higher capacities are expensive and getting more so. Rural areas have a lower population density to spread that cost around, and that means either a need for increased state aid, or higher property taxes.

If you don’t live right in town, that water isn’t probably coming to you. So, the farmers and people who live outside of town, but who are in the township and so would pay the increased taxes to pay for it, vote against it. They’re already paying literally tens of thousands of dollars for septic systems and wells; paying more property taxes for someone else’s water on top of that, while getting nothing in return, is a hard sell.

Even trash collection is an issue here. Depending on the size of the town, you might have to do it yourself or contract with a company, because the town itself might not provide it. Again, friction cost for a business, and another thing that sometimes makes people not want to move there. I grew up with it, so the idea of a garbage guy that actually comes to your house is still weird to me, as are the ideas of a) not having an organic bucket that needs to get hauled out to the brush pile by the line fence, b) not having a burn barrel for paper garbage, c) not needing to separate out metals from other recycling to take to the salvage yard when there’s enough to get the higher price, or d) that the garbage guy comes at a specific time rather than taking it to the dump on Saturday morning or dropping the cash in the can or slot to pay for the bags you put in if you come not on a Saturday morning.

When rural areas lack easy access to the kinds of infrastructure that reduces commercial friction costs, they’re at a serious disadvantage. It’s more expensive to do things, it’s more difficult to attract workers, and as a result, what sustains these small towns begins to go elsewhere.


The decline itself then turns into a vicious cycle. As the major sustaining industries and businesses give out, or the resources like a clay or gravel pit start to dwindle, the people that can leave, do, especially younger people.

That increases the concentration of people remaining in poverty.

And with an increased concentration of poverty comes a lot of the problems that arise out of that: increased crime, increased drug use as depressed people try to self-medicate, depressed property values that make it even harder to get out, and more.

The schools end up with lower enrollment, and lower tax revenues, and lower state aid. So they have to start cutting services. And then people move out of the district because they want their kids in a better school, if they can.

Any young people who can get out flee. That leads to a brain drain of the community. It’s hard to get young professionals to move back if they think they’re never going to make enough money to justify it, or lose a quality of life that they enjoy elsewhere.

So, that means fewer social workers, attorneys, doctors, etc. serving these areas that can help mitigate these problems of poverty, and it spirals downward even more. People of means have fewer kids; people without them have more but can’t support them. Services get progressively thinner, making people more desperate.

More and more desperate people often end up getting into the criminal justice system one way or another, and once you’ve got a felony, everything is substantially harder. Housing, employment, everything. That traps more and more people, as well.

People that are trapped get more and more hopeless. Suicide rates skyrocket.

Eventually, the whole thing just gives out. The remaining people die off. The houses and businesses are abandoned and left to crumble.

We’re not just talking about your boom and bust ghost towns of the Wild West. There’s plenty of these that are modern, some dying in the last few decades. There’s a few places I know of around where I grew up where the last living inhabitants were present just a few years ago. Today, there’s a handful of vacant buildings and nothing else left. You can walk right in a few of them. Some of them are so far gone that you wouldn’t even know that several thousand people once lived there in some cases as recently as thirty or forty years ago just by looking at them.

One town near where I grew up used to actually put up their own population sign and an old man would repaint the number by hand every time someone died or moved away, until he died and nobody took over the task. There was a lumberyard/building center there, a church, and a bar, when I was a kid at least. It was a quarry town for limestone before that, but the easily accessible limestone ran out in the 60’s. There were probably 100 residents total, maybe, when I was a kid, but at one point there were about 1900 people who lived there. The businesses closed and the church is boarded up now. About twenty houses remain; two others were destroyed by fire – one started accidentally by a homeless person who was squatting in it after it was abandoned. The businesses are all vacant, the for-sale signs faded and dusty.

Sometimes a natural disaster comes in and finishes the job. Gays Mills in Wisconsin has been flooded completely out several times in the last decade. Hundreds of residents just gave up and never came back when the insurance gave them an out. Some businesses are trying to stick it out, or relocate as disaster relief has tried to make it possible to move the town to higher ground.


Lastly, the death rate is exceeding the birth rate. Sixty to eighty years ago, you needed ten kids to run the farm, and the infant mortality rate was considerably higher.

In the last 20–30 years, though? People aren’t having babies. The birth rate in a lot of these rural areas is well below replacement. The oldest generations are dying off with increasing rapidity every year.

Death rates among 18–64 year olds in rural areas are also on the incline. The opioid crisis really has disproportionately affected rural areas not because it’s higher per capita, but because there’s just fewer people overall and so the same per capita impact has a greater overall impact.

But suicides are where it’s gotten really out of control. The rural suicide rate is bonkers higher than urban areas. It’s as much as 25% higher in some areas, and it’s risen over 40% in the last 20 years. There’s been a lot of research into this, with hypotheses ranging from lack of health care (both in insurance and in care providers) to stigma around mental health to simply increased access to guns, but there has not been a good consensus around what factors are most prevalent or most contributory.

This is perhaps the most literal reason rural towns in America are dying: they are literally seeing more death than birth.


Some other rural towns are growing around new industries. In Kansas, feedlot and meatpacking plants are growing substantially. Feedlots are smelly as hell. You don’t want to live anywhere near them. Seriously. Even setting aside the animal cruelty issues that are often present, they’re just awful places to be within ten miles of. But, they also provide jobs. For the desperate rural worker, any port in a storm.

In Minnesota, it’s chicken and turkey processing. There’s a handful of towns that have poultry processing, and they’re doing pretty well for now.

But those jobs are not very secure. They’re hard labor, and if someone gets laid up, there’s enough people willing to take the jobs that someone can just be replaced. Anti-union sentiment from conservatives that dominate these areas don’t make anything easier, either.

Additionally, these industries also creating a lot of tension because the local natives don’t want those jobs due to the lack of security and don’t often apply, or can’t pass a drug test to qualify; instead, these jobs are attracting a lot of immigrant labor, such as Somali refugees. These are more typically than not legal immigrants, but that makes little difference to some people who are already mistrustful of any outsiders. I have a relative who moved into a rural town thirty years ago and still is considered a transplant and given second-class citizenship to a generational local.

But many of these industries are also boom-and-bust. The oil fields in the Bakken and the Permian Basin led to huge expansions of parts of North Dakota and Texas, but as quickly as they exploded, they’ve died off as oil prices crashed in recent years.

Those feedlots and chicken processing plants are likely as insecure. All it takes is a commodity oversupply, or a trade war, to shutter whole plants. And if that’s the primary employer for the area, it can take a significant piece of the town when it goes.


Some rural towns are still doing okay, or even growing a little, and in sustainable ways.

What’s kept my hometown alive is that it’s a good bedroom community that’s 30–45 minutes driving from two reasonably large urban areas and less than two hours from two more metro areas. Those are people who want to live in a small, safe, quiet neighborhood, but they don’t work there. They commute to the larger cities in the region.

Enrollment is back up a little in the school district with people moving in to live in a quiet spot, and class sizes are back up to about 95-ish. The school has some good programs such as an award-winning music program that have brought in school choice students from neighboring districts (with corresponding state aid), or even gotten some individuals to move there.

The tax base has remained about neutral or grown a little as developments and new housing grow slowly. Areas that were farm fields when I was a boy are now subdivisions generating more property taxes than the agricultural zones they once were.

There are some rural areas that have this geographical quirk and are mostly becoming the new form of suburbs for those wealthy enough to either buy a nice place in a small town, or a couple acres of former farmland and build a house out in the country. The cost of living is usually reasonable or even sometimes lower than the city or suburbs; housing is certainly cheaper even if certain commodities are a bit higher.

But there’s a lot of rural areas that don’t have that quirk of geography.

Get out in the middle of Nebraska, or Iowa, or Kansas, or Minnesota and there’s a lot less. It’s a long, long way to the urban centers.

Those places are increasingly seeing the demise of rural America the hardest.

Scott Ritter Jaw-Dropping Revelation: NATO vs Russia – A Ticking Nuclear Time Bomb Ready to Explode!

No, I don’t think the Chinese government would take such an approach.

“If you sanction me, I must retaliate against you and launch corresponding sanctions, otherwise I will be weak.”

This is a common understanding in Western society that governments must respond to public sentiment. If other countries “hurt us”, we “must tit for tat”. Retaliation must be direct, reciprocal and obvious. Only then can public sentiment be released, and politicians’ approval ratings not drop.

So we discovered a key point: the way of revenge is centered on politics, not interests. No one cares whether doing so will bring greater benefits to society or cause greater harm.

“If you sanction me, I must retaliate against you, but the method may not be reciprocal. How to do it is left to professionals.”

This is a common perception in Chinese society, which is full of patience and believes that professional officials can handle it better than public sentiment.

With this premise, we return to the Tiktok case. If Tiktok is forced to sell by the United States, will China’s retaliation be to force Apple to sell it? No, that’s simply impossible

There is a proverb in the Chinese world: If a dog bites you, it does not mean that you have to bite the dog too.

There are many ways to take revenge, you must choose the one that is most beneficial to you.

In the past few years, China has been challenging the status of the United States in global economic activities, and the United States is in a state of hysteria. They are trying to use all available means to contain China. However, we find that China’s response has always been mild, even making people feel a little weak.

In fact, they have been choosing the way that is best for themselves, rather than the most “tit for tat” way.

—————————-

The United States has imposed tariffs on $200 billion worth of Chinese goods; they believe that in order to contain China, it is worth raising prices in the United States.

China’s most “relieving” response should be to impose additional tariffs on $200 billion of U.S. goods, but China believes that this will affect the import of technology and raw materials by Chinese companies, which is not worth it.

China’s actual approach is to expand BRI, join RECP, seek to join CPTPP, expand trade scope, offset the influence of the United States, and stop buying soybeans from American farmers.

—————————–

The United States has imposed five rounds of comprehensive sanctions on Huawei; they believe that it is worthwhile to undermine the fair international image of the United States and use “national security” crimes against a company in order to curb the development of China’s 5G technology.

China’s most “tit for tat” response should be to select an American company, such as CISCO, or Microsoft, or others, and impose five rounds of comprehensive sanctions. But China believes that this will affect these companies’ operations in China, reduce Chinese jobs and government tax revenue, and this is not worth it.

China’s actual approach is to change foreign investment laws and allow foreign companies to independently invest in telecommunications, automobiles and other industries. Then successfully brought Tesla to China.

——————————-

The United States has imposed “Chip and Science Act” sanctions on hundreds of Chinese companies; they believe that destroying the market and revenue of the US semiconductor industry can delay the development of China’s AI technology, which is worthwhile.

China’s most “tit for tat” response should be to select a group of American companies, such as General Motors, Ford, Walmart, and Starbucks, to implement some kind of reciprocal “sanctions bill.” But China believes that this will affect these companies’ operations in China, reduce Chinese jobs and government tax revenue, and this is not worth it.

China’s actual approach is to sanction several U.S. arms dealers and ban the export of rare earths to the United States. Launch the semiconductor development plan encouraged by the government, establish the National Semiconductor Fund, and recruit talents from all over the world to strengthen its semiconductor industry.

——————————-

Some Western public opinion has produced many similar news: Tesla is banned in China, and Apple mobile phones are banned in China. They seem to want to tell us: Look, they are just as bad as us;

But the truth is there, these are lies. The CEOs of Tesla and Apple have both praised the performance of the Chinese market.

Some Western public opinion will also tell us: Google is banned in China, Youtube, X and Ins are banned in China; so it is reasonable for us to ban Tiktok.

But some facts are deliberately ignored. Bing is running very well in China, and Amazon and Paypal have been running in China for 20 years. The crux of the matter is that China has enacted laws, companies that are willing to abide by them stay, and those that are unwilling to abide by them leave. China actually does not have a “ban” against a certain American company.

Now, the United States is demanding that Tiktok be forced to sell, maybe it will be Temu’s turn in the future, Shein

China’s most “tit for tat” method should be to choose an American company, such as Apple mentioned in the question. Asking them to “force a sale”

But China will definitely not do this. On the contrary, we may see them take more opening measures to encourage more foreign companies to participate in the Chinese economy.

They are deliberately taking a completely different approach to doing things than the United States. Use openness to fight closure, use trade to fight sanctions; use win-win to fight zero-sum games; use construction and manufacturing to fight bombs and destruction.

They are very patient and they are creating a global persona:

I don’t have many slogans, and I’m not very good at publicity and storytelling. I will only use actual actions to tell the world: who represents justice and friendship, and who represents evil and destruction.

In the short term, China’s approach seems inefficient, negative, and weak. But over time, many things change.

Here’s a Jewish mama joke.

A Jewish mother picks up the phone to hear the sound of a woman gulping sobs. Her daughter! “Darling! What’s the matter?

Woman:” Oh,Mama! Oh,Mama!”

“Yes darling. Mama is here. What’s wrong?”

“We’re snowed in. The car won’t start. The refrigerator stopped working and all the food is spoiled. The kids have colds and the house is a mess. I have a headache. And twenty ladies from my Hadassah chapter are coming for lunch at one o’clock! Oh,Mama” she wails “What am I going to do?”

In a calm soothing voice Mama replies “Don’t worry darling,Mama is here. First I’ll go down to the grocery and pick up something to eat.Then I’ll take the subway. And from the subway I’ll walk the sixteen blocks to your house. I’ll cook something for the twenty ladies,they’ll love it. I’ll give the kids an aspirin so they’ll be quiet. I’ll tell them a story till they fall asleep so you can lie down too. While the food cooks I’ll pick up the house. Everything will be all right. Don’t worry darling,Mama is here! That’s what a mother is for!”

The woman gives a huge sigh of relief. “Oh,Mama thank you! I feel so much better.”

“Don’t mention it,darling” Then,in an everyday voice “If you’re snowed in and the car won’t start how did Sam get to work?”

(Puzzled voice) “Sam? Who’s Sam?”

(Mama impatiently) “Sam! Your husband Sam! How did he get to work?”

Long pregnant pause. Then in a small voice the woman says “My husband’s name is Saul”

Another pregnant pause . Then in a trembling voice the woman says:

“Does that mean…you’re… not coming?”

Skillet Pizza Supreme

cast iron skillet pizza 1
cast iron skillet pizza 1

Ingredients

  • 1 package dry yeast
  • 1/4 cup warm water (105 to 115 degrees F)
  • 2 1/2 cups all-purpose flour, divided
  • 1 teaspoon granulated sugar
  • 1 teaspoon salt
  • 1 tablespoon vegetable oil
  • 1/2 to 3/4 cup milk

Instructions

  1. Dissolve yeast in warm water in a small bowl; let stand 5 minutes.
  2. Combine 2 cups flour, sugar and salt in a large bowl; stir in yeast mixture and oil. Add enough milk to make a soft dough. Cover and let stand 15 minutes.
  3. Turn dough out onto a floured surface. Knead 5 to 8 times, working in remaining 1/2 cup flour to make a smooth dough.
  4. Pat dough evenly in bottom and halfway up sides of a lightly greased 10-inch cast iron skillet.
  5. Bake at 425 degrees F for 8 minutes.
  6. Spoon sauce over crust.
  7. Top with any toppings desired.
  8. Sprinkle shredded Mozzarella cheese over the top.
  9. Bake for 10 to 12 minutes or until cheese melts.

cast iron skillet pizza 09
cast iron skillet pizza 09

Near the end of my sophomore years of high school, when I got my license and began driving myself to my friends’ houses to hang out.

At that point in my life, I had five friends that I hung out with on a regular basis, and about a dozen other people who I knew through those friends. Those dozen were like second-tier friends. We hung out a lot because we happened to be friends with the same person.

Before being able to drive myself to my friends’ houses, I was limited to friends’ houses I could walk to, or convince my parents to drive me to. By the time I turned 16, I’d been in six different friends’ houses that I could recall.

Then, during my first visit to my friend Rick’s house (I had to drive there myself because he lived pretty far away), I realized something: he had a lot of pictures of him, his mom, and his brother in the house, but zero pictures of his father. He’d never said anything about his father, but I always assumed he had one. When we finally talked about it, he said that his father walked out on the family when he and his brother were still young, and his mom never talked about him.

That got me thinking about all of my other friends. Jay’s father was an alcoholic and abused his mom until she divorced him. Emma’s father was actually her step-father, because her real father ran off with a younger woman. Emma’s step-dad was also much older than her mom. Sarah was being raised by a single mother. Aaron’s dad drank and swore a lot, and I’m pretty sure beat his wife. Trey’s dad was super controlling of his wife. (And, a few years later, killed her. He’s currently serving life in prison.) Anthony didn’t know who his dad was. Etc…

It was then that I realized that, of all of my friends, only one of them had a father in their lives who wasn’t an alcoholic, wasn’t abusive, and actually seemed like a nice guy. That was Tom. Tom was an only child and his parents were some of the nicest people you’d ever meet. His mom was a teacher and his dad was a businessman. They were both very active in one of the local churches.

My parents were married before they had me or my sister. They stayed married until my dad died. Both of my parents took an active role in my life as a child. My father never once raised a hand, or even his voice, to my mother. He didn’t drink. He didn’t do drugs. He wasn’t the jealous type. He never cheated on her. He showed her plenty of affection through all of the years of their marriage.

I think a lot of it had to do with the socio-economic class I was raised in. I, like most of my friends (except Tom), was raised in a lower socio-economic class. Poverty takes its toll on marriages. I guess, for a poor kid from the South, I got super lucky when it came to dads. Mine was like the dads you saw in sitcoms back then, while my friends’ dads, if they even had them, were more like the dads in dramas about abusive relationships.

FOUR MINUTES! This new site was online 4 Minutes Before HACKERS went after it

This rebuilt and restored website was online to the world for only 4 minutes before HACKERS tried to break-in!  They were caught.

Long-time users of this website will recall that during Thanksgiving of the year 2022 (over a year ago), this site was mercilessly HACKED.  It’s layout and functionality were wrecked.

At the time, I didn’t have the money or the ambition to do a full rebuild/restoration, so we jury-rigged-it and got by for a little over a year.

I saved up the money, did the research necessary, and last week, my tech guys began the rebuild.

In the past, the site has “good” security, better than most sites.  Yet Hackers were ultimately able to breach that “good” security, got in and did their harm.    So for this new rebuild, security was a major — I mean really big — aspect of the rebuild. Enterprise grade security.

Last Friday, this newly rebuilt and restored site went online at 7:24 PM eastern US time.

FOUR MINUTES LATER, the security system was already recording hacking attempts, and blocking IP addresses of malicious users.  FOUR MINUTES!

I got alerts from my system about what was going on, and that these certain IP addresses had automatically been blocked, but telling me I should consider adding these IP’s to the PERMANENT BAN list.   I did.

Here’s just a small sampling of the IP’s banned, and why:

Hacker Ban List

Hacking BANS 03 31 2024
Hacking BANS 03 31 2024

So it’s going to be  a rough ride for me as we proceed in the future.   For whatever reason, people with nefarious motives are already trying to break in.

I thought you should know.   In fact, it’s important you know.

Doing what I do to bring the TRUTH to the public, has enemies.  Those enemies don’t want YOU knowing the truth.

This is from my childhood in the 1960’s. My Mom and Dad were married in 1946. My sister and I were born in 1959 and 1962, so they were older parents. My dad died when I was 8. My Mom went into a deep depression. She started smoking and drinking a lot. She finally got her driver’s license, and we would drive to the bank to deposit our Social Security survivor’s benefits once a month. Then we would drive to the neighboring big city that sold alcohol. As a 10-year-old kid, I remember going into Snappy’s, getting 4 cases of Lone Star beer and a handle of Canadian Club. I would write the check on my Mom’s checking account, and they would help us load it into the trunk while my Mom sat in the car. I had to get my little sister up in the morning and walk her to school. I would sign her report card, and sign my own. I got very good at forging her signature. I did the grocery shopping, hauling them back on my bike. We ate lots of cheap frozen pizzas and sugary cereal because that is what I liked. It all seemed normal to me. She smoked and drank herself to death when I was 17. When I had a family of my own I worked very hard to give them a normal life. I realized when they were little that my childhood was really messed up and I wanted a better life for them.

Zulu Culture

https://www.youtube.com/shorts/RyhTmgGzL_g?feature=share

Three bulls heard the rancher was bringing another bull onto the ranch.

First Bull: “I’ve been here five years. I’m not giving this new bull any of my 100 cows.”

Second Bull: “I’ve been here three years and have earned my right to 50 cows. I’m keeping all my cows.”

Third Bull: “I’ve only been here a year, and so far, you guys have only let me have 10 cows. I may not be as big as you fellows, but I’m keeping all 10 of my cows.”

Just then an 18-wheeler pulls up in the pasture carrying the biggest bull they’ve ever seen.

At 4,700 pounds, each step he takes strains the steel ramp.

First Bull: “I think I can spare a few cows for our new friend.”

Second Bull: “I actually have too many cows to take care of. I can spare a few. I’m certainly not looking for an argument.”

They look over at the third bull and find him pawing the dirt, shaking his horns and snorting.

First Bull: “Son, don’t be foolish, let him have some of your cows and live to tell about it.”

Third Bull: “Hell, he can have all my cows. I’m just making sure he knows I’m a bull.”

Generally not well.

Generally speaking, American POWs captured by Germany had it alright. They were not sent to concentration camps and generally received pretty good treatment at the hands of the Germans.

However we are dealing with Nazis here- keep that in mind. 2 factors really decided how an American POW would be treated.

  1. Was he being captured by the SS or the normal German Army (SS bad, Army good)
  2. Was he black or Jewish

If you were Jewish or Black and captured by the SS (or even elements of the Amry) you would be lucky to find yourself in a concentration camp. More likely, you are killed on the spot. If you were white and captured by the Army you’d be sent to a more comfortable imprisonment.

Black soldiers had it bad though- as they were considered Untermensch (sub-human).


I am about to tell you a story that will ruin your day and remind you how evil and demented the SS was.

So you are all familiar with the Ardennes offensive right? Also called the Battle of the Buldge where US forces were surrounded and cut off during the winter and then held out for weeks while the American 3rd Armored division broke through to save them.

Well during this time there were 2 massacres of US troops. The fact we are well aware of them both shows how rare it was for this thing to happen but I digress.

During this battle, 85 American soldiers were captured and executed by elements of the SS. Instead of bringing them to a prison camp the Germans just flat-out shot them all to death. But these men were all white, so they got the mercy of a bullet. This is called the Malmedy Massacre and is very well known.

main qimg a2826a3333b8e1e6e61337896bd763ba lq
main qimg a2826a3333b8e1e6e61337896bd763ba lq

There is another atrocity long forgotten though, largely because it involved Black US soldiers and not white ones.

During the battle 11 “Colored” G.I’s found themselves out of ammo with only 2 rifles and lost in the woods. They came upon a little house in the middle of nowhere and asked for refuge from the cold.

Inside this house were Belgium Patriots who supported the US. They offered the 11 men shelter and food and warmed them up. The nearby neighbors were not Patriots though and had a son fighting in the SS. They would run to the Germans and inform them Americans were being sheltered nearby.

main qimg 29322bd590313739beb3913201121581 lq
main qimg 29322bd590313739beb3913201121581 lq

4 men from the SS would arrive armed to the teeth. The Americans chose not to resist, not wanting any harm to befall those that took them in. They were also lacking the weaponry to fight.

main qimg 98f65ffc670746c7cc99723183c70811 lq
main qimg 98f65ffc670746c7cc99723183c70811 lq

So all 11 Americans surrendered to these SS soldiers and they wouldn’t even get the mercy of a bullet. Their bodies would be found shortly after and US command was shocked by what they found.

I am not going to pull any punches- I want you to understand the level of evil we are dealing with. These men were found with the following injuries.

  • Their eyes had been gouged out while they were still alive
  • Fingers were removed and legs were broken
  • Men were beaten to death with rifle butts
  • Many men had been run over by vehicles
  • A few were shot, but not in the head- they were shot in the knees and stomach to inflict maximum suffering
  • A few men had fractured skulls from having their heads beaten in

Just executing a POW is a war crime but this goes beyond it. The 85 executed at Malmedy were simply shot, perhaps because the Germans lacked the logistics to transport or guard POWs.

These 11 black US G.I’s were brutally and violently tortured and killed for no other reason than they were black. The SS soldiers took joy in their suffering. It’s the brutality that is hard to imagine.

The US would investigate this for years but the killers were never discovered. Maybe they got killed by the eventual onslaught of US forces. Hopefully, they died slowly in a pool of their own shit crying for their mothers who were already dead at the hands of the Red Army in the East.

I hate the SS

How about my high school principal?

Waaaayyy back, early 1970s, everyone arrived at school and was greeted by an announcement to go to homeroom.

Sounds normal, except that we only went to homeroom for things like report cards. Normally our first period class was attendance center, so a sudden announcement of starting the day with homeroom was weird.

Everyone went to homeroom, and there was a lot of wondering what was up – even the teachers seemed puzzled.

The principal then made a strange and rambling speech over the PA system.

It was about the parasites infesting our school.

It turned out that his definition of parasites was students who wore their coats to class, students who sat on the floor, students who held hands with :::gasp::: students of the opposite sex, students who, well, acted like teenagers.

Any student seen doing these things would be suspended for the rest of the day.

It didn’t take long.

By second period, everyone was wearing their coats. Half the school had on pieces of paper that read “I’m a parasite and I’m proud.” Members of the football team (all boys at that time) walked from class to class, holding hands. Any student with a free period was in the core, sitting on the floor around the tables instead of in the library or somewhere else. The Madrigal singers, in full costume ready for a performance, promenaded through the main hall with their hands in position (boy raised, holding girl’s in an “elegant” fashion), but not touching (it looked really stupid). I’m sure there was more, but that’s all I saw.

His policy was rescinded the next day. It’s really hard to suspend 2000 students, and that’s what it would have taken.

I suggest you visit China. It reversed my preconception. I am from Norway, North Europe. A rather modern and advanced society.

On my first visit to the US over 20 years ago, I was surprised at how backward and old fashioned it was. Movies had let me to believe it was the epitome of modern society. I visited several states on the East/South-East. Very backwards digitally. Terrible infrastructure. Unwalkable. Dirty. Hard to find quality restaurants outside of big cities. Dead city centres in medium sized cities.

I went to China a year or two later, and the opposite struck me. It was a highly modern society. Highly digital. Fantastic high-speed infrastructure (that is even better nowadays). Super clean, modern cities. I was mainly in the Jiangsu province that time. Loved it!

I suggest everyone to go and form their own opinions. I really fell in love with Suzhou, not far from Shanghai.

We were drunk. Stupid teenagers thinking that we could make fun of every rule.

“Let’s go to Gabriel’s house and continue the party there!” one of my friends suggested.

“We don’t have a car!” I said.

“I’ll take everyone on the back of my pickup truck! Hop in!” Juan said while starting his truck’s engine.

I immediately hesitated, “I don’t think it’s safe!”

“Aahhh… don’t be a wooze Hector! Come on! Everything will be okay!” Juan said.

“I don’t like the idea!”

Everyone was ready to go, partying, singing, drinking and fooling around.

It was very late at night. I had two options, call my mom to come pick me up or simply go with the flow.

I ignored my gut and followed my friends.

We were balancing ourselves as the truck moved forward. Juan, the truck driver, wasn’t responsible of us sitting — and standing on the back of the pickup.

A quick turn was enough to change the rest of my life. One of my best friends lost balance and was thrown off the back.

“Stop! Stop! Stop!” I shouted to the top of my lungs while hitting the rooftop of the pickup.

Juan stopped.

We quickly jumped out of the box to assist my friend. He was bleeding. His head was totally covered with blood and unconscious. He had landed with his head on a yellow speed bump causing him to fracture his head.

We took him to the hospital. Four days later he passed away. He was 16 years old.

To this day, his parents cry every time they see me because I bring memories of their son. I’m always speechless. I can only imagine how I could have prevented this life-changing event for every one of us.

I lacked character.

To answer your question:

Not trusting your instinct, your conscience, your spirit or however you want to call it; will bring terrible regrets that may last a lifetime.

Today, I’m aware of that “small voice” that somehow, I know I shouldn’t ignore anymore.

Yet, it all comes down to character, strength, and courage to stand my ground even when temptation or peer pressure is on.

I had been away for a couple of months diving and arrived home after a long flight. As soon as the taxi pulled into the parking square I noticed that where I once had a solid wooden door to my house I now had plywood sheet. So I immediately knew something was wrong. I got out of the taxi and approached my house where I was met by my neighbours who told me that the previous night, the Police had broken into my house and searched it. Now furious I called the Police and demanded an explanation.

A few minutes later the Police arrived and together we entered my house. Once inside they explained that a few weeks earlier a body had been found on the beach in the North West of the country, and there had been a public appeal to help identify the deceased. Following this appeal my brother (who I have not seen for over 30 years) had called the Police and claimed the body was me. He had even been taken to identify the body. With this information the Police arrived at my address and spoke to my neighbours who confirmed that they had not seen me for a number of weeks. This reinforced their incorrect assumptions that the body was mine, and as it was considered a suspicious death, they decided to break into my house and examine it, in case there were any clues that could help them solve the death.

The body found on the beach was later identified.

So yes there had been someone in my house, the Police, it wasn’t a pleasant feeling knowing that they had been through all of my possessions, and then I was left with a bill for a replacement door, as damage caused by Police in the execution of their duty, is apparently excluded from house insurance.

China has announced countermeasures against a US company and two individuals that have long collected sensitive information to provide so-called evidence for illegal sanctions by the US, after the US newly added two Chinese officials and three Chinese companies onto a sanction list citing so-called human rights concerns.

main qimg 4c583b4d5f36cf2a7a5b1345b101cf84
main qimg 4c583b4d5f36cf2a7a5b1345b101cf84

US intelligence data company Kharon and Edmund Xu, director of investigations of Kharon and Nicole Morgret, a former researcher from Center for Advanced Defense Studies, will be prohibited from entering China (including China’s mainland, the Hong Kong SAR and the Macau SAR), said Chinese foreign ministry spokesperson Mao Ning on Tuesday.

China will freeze the property of Kharon and the two persons in China, including their movable and immovable property, and prohibit organizations and individuals in China from transactions and cooperation with them.

In December, US Treasury Department’s Office of Foreign Assets Control announced to sanction two Chinese officials for alleged link to human rights abuse. Meanwhile, the US Department of Homeland Security added three more Chinese companies to the so-called “Uyghur Forced Labor Prevention Act” (UFLPA) blacklist.

In response, Mao said that the US once again fabricated and spread false narratives about China’s Xinjiang region, imposed illegal sanctions on Chinese officials and companies under the pretext of so-called human rights issues in the region, seriously interfering in China’s internal affairs, seriously violating international law and basic norms of international relations, seriously tarnishing China’s image, and seriously damaging the legitimate rights and interests of relevant Chinese officials and companies.

China firmly opposes and strongly condemns this and has made solemn representations to the US, Mao said, urging the US to stop slandering and smearing China, revoke the illegal unilateral sanctions against Chinese officials and companies, and stop implementing erroneous bills such as the so-called UFLPA.

If the US refuses to change course, China will not flinch and will respond in kind, the spokesperson said.

Full movie.

This was the movie that forced President Regan to talk with the Soviet Union to stop the ramp up towards world war 3. Must watch.

Include all the vintage commercials.

Horrific.

The Last Question by Isaac Asimov

The Last Question

by Isaac Asimov



Preface by David Drake



The term "pulp" tends to be used as a synonym for any magazine that isn't printed on slick (coated) paper, but it has a more technical meaning also: a magazine measuring seven inches by ten inches, printed on coarse (pulp) paper. The pulps were replaced by the digests (magazines five and a half inches by seven and a half inches, generally but not necessarily on a slightly better grade of paper). In some cases a preexisting title switched to the smaller format (Astounding, Future, etc); in other cases, newly founded digest magazines shot to immediate prominence in the field (Galaxy, Fantasy and Science Fiction).

The shift in size would be of interest only to collectors if it weren't for the fact the contents also changed to stories of much higher literary quality. I have no idea why that should be—perhaps it was merely coincidence. (There had been no comparable change when magazines shrank from the still-larger bedsheet size to pulp size.)

Isaac Asimov was a prominent regular in the first SF digest, Astounding, but although he published most of his best-known work in digest magazines, he remained a regular right up to the end in the last of the SF pulps, Science Fiction Quarterly.

This story appeared in the November 1956 issue of SFQ, about a year before the publisher finally closed down the magazine in favor of its digest titles. "The Last Question" is in every sense a pulp story.

But you'll note that I never said pulp fiction was stupid.

 

 

 

The last question was asked for the first time, half in jest, on May 21, 2061, at a time when humanity first stepped into the light. The question came about as a result of a five-dollar bet over highballs, and it happened this way:

Alexander Adell and Bertram Lupov were two of the faithful attendants of Multivac. As well as any human beings could, they knew what lay behind the cold, clicking, flashing face—miles and miles of face—of that giant computer. They had at least a vague notion of the general plan of relays and circuits that had long since grown past the point where any single human could possibly have a firm grasp of the whole.

Multivac was self-adjusting and self-correcting. It had to be, for nothing human could adjust and correct it quickly enough or even adequately enough. So Adell and Lupov attended the monstrous giant only lightly and superficially, yet as well as any men could. They fed it data, adjusted questions to its needs and translated the answers that were issued. Certainly they, and all others like them, were fully entitled to share in the glory that was Multivac’s.

For decades, Multivac had helped design the ships and plot the trajectories that enabled man to reach the Moon, Mars, and Venus, but past that, Earth’s poor resources could not support the ships. Too much energy was needed for the long trips. Earth exploited its coal and uranium with increasing efficiency, but there was only so much of both.

But slowly Multivac learned enough to answer deeper questions more fundamentally, and on May 14, 2061, what had been theory, became fact.

The energy of the sun was stored, converted, and utilized directly on a planet-wide scale. All Earth turned off its burning coal, its fissioning uranium, and flipped the switch that connected all of it to a small station, one mile in diameter, circling the Earth at half the distance of the Moon. All Earth ran by invisible beams of sunpower.

Seven days had not sufficed to dim the glory of it and Adell and Lupov finally managed to escape from the public function, and to meet in quiet where no one would think of looking for them, in the deserted underground chambers, where portions of the mighty buried body of Multivac showed. Unattended, idling, sorting data with contented lazy clickings, Multivac, too, had earned its vacation and the boys appreciated that. They had no intention, originally, of disturbing it.

They had brought a bottle with them, and their only concern at the moment was to relax in the company of each other and the bottle.

“It’s amazing when you think of it,” said Adell. His broad face had lines of weariness in it, and he stirred his drink slowly with a glass rod, watching the cubes of ice slur clumsily about. “All the energy we can possibly ever use for free. Enough energy, if we wanted to draw on it, to melt all Earth into a big drop of impure liquid iron, and still never miss the energy so used. All the energy we could ever use, forever and forever and forever.”

Lupov cocked his head sideways. He had a trick of doing that when he wanted to be contrary, and he wanted to be contrary now, partly because he had had to carry the ice and glassware. “Not forever,” he said.

“Oh, hell, just about forever. Till the sun runs down, Bert.”

“That’s not forever.”

“All right, then. Billions and billions of years. Twenty billion, maybe. Are you satisfied?”

Lupov put his fingers through his thinning hair as though to reassure himself that some was still left and sipped gently at his own drink. “Twenty billion years isn’t forever.”

“Well, it will last our time, won’t it?”

“So would the coal and uranium.”

“All right, but now we can hook up each individual spaceship to the Solar Station, and it can go to Pluto and back a million times without ever worrying about fuel. You can’t do that on coal and uranium. Ask Multivac, if you don’t believe me.”

“I don’t have to ask Multivac. I know that.”

“Then stop running down what Multivac’s done for us,” said Adell, blazing up, “It did all right.”

“Who says it didn’t? What I say is that a sun won’t last forever. That’s all I’m saying. We’re safe for twenty billion years, but then what?” Lupov pointed a slightly shaky finger at the other. “And don’t say we’ll switch to another sun.”

There was silence for a while. Adell put his glass to his lips only occasionally, and Lupov’s eyes slowly closed. They rested.

Then Lupov’s eyes snapped open. “You’re thinking we’ll switch to another sun when ours is done, aren’t you?”

“I’m not thinking.”

“Sure you are. You’re weak on logic, that’s the trouble with you. You’re like the guy in the story who was caught in a sudden shower and who ran to a grove of trees and got under one. He wasn’t worried, you see, because he figured when one tree got wet through, he would just get under another one.”

“I get it,” said Adell. “Don’t shout. When the sun is done, the other stars will be gone, too.”

“Darn right they will,” muttered Lupov. “It all had a beginning in the original cosmic explosion, whatever that was, and it’ll all have an end when all the stars run down. Some run down faster than others. Hell, the giants won’t last a hundred million years. The sun will last twenty billion years and maybe the dwarfs will last a hundred billion for all the good they are. But just give us a trillion years and everything will be dark. Entropy has to increase to maximum, that’s all.”

“I know all about entropy,” said Adell, standing on his dignity.

“The hell you do.”

“I know as much as you do.”

“Then you know everything’s got to run down someday.”

“All right. Who says they won’t?”

“You did, you poor sap. You said we had all the energy we needed, forever. You said ‘forever.'”

It was Adell’s turn to be contrary. “Maybe we can build things up again someday,” he said.

“Never.”

“Why not? Someday.”

“Never.”

“Ask Multivac.”

You ask Multivac. I dare you. Five dollars says it can’t be done.”

Adell was just drunk enough to try, just sober enough to be able to phrase the necessary symbols and operations into a question which, in words, might have corresponded to this: Will mankind one day without the net expenditure of energy be able to restore the sun to its full youthfulness even after it had died of old age?

Or maybe it could be put more simply like this: How can the net amount of entropy of the universe be massively decreased?

Multivac fell dead and silent. The slow flashing of lights ceased, the distant sounds of clicking relays ended.

Then, just as the frightened technicians felt they could hold their breath no longer, there was a sudden springing to life of the teletype attached to that portion of Multivac. Five words were printed: INSUFFICIENT DATA FOR MEANINGFUL ANSWER.

“No bet,” whispered Lupov. They left hurriedly.

By next morning, the two, plagued with throbbing head and cottony mouth, had forgotten the incident.

* * *

Jerrodd, Jerrodine, and Jerrodette I and II watched the starry picture in the visiplate change as the passage through hyperspace was completed in its non-time lapse. At once, the even powdering of stars gave way to the predominance of a single bright marble-disk, centered.

“That’s X-23,” said Jerrodd confidently. His thin hands clamped tightly behind his back and the knuckles whitened.

The little Jerrodettes, both girls, had experienced the hyperspace passage for the first time in their lives and were self-conscious over the momentary sensation of inside-outness. They buried their giggles and chased one another wildly about their mother, screaming, “We’ve reached X-23—we’ve reached X-23—we’ve—”

“Quiet, children,” said Jerrodine sharply. “Are you sure, Jerrodd?”

“What is there to be but sure?” asked Jerrodd, glancing up at the bulge of featureless metal just under the ceiling. It ran the length of the room, disappearing through the wall at either end. It was as long as the ship.

Jerrodd scarcely knew a thing about the thick rod of metal except that it was called a Microvac, that one asked it questions if one wished; that if one did not it still had its task of guiding the ship to a preordered destination; of feeding on energies from the various Sub-galactic Power Stations; of computing the equations for the hyperspacial jumps.

Jerrodd and his family had only to wait and live in the comfortable residence quarters of the ship.

Someone had once told Jerrodd that the “ac” at the end of “Microvac” stood for “analog computer” in ancient English, but he was on the edge of forgetting even that.

Jerrodine’s eyes were moist as she watched the visiplate. “I can’t help it. I feel funny about leaving Earth.”

“Why, for Pete’s sake?” demanded Jerrodd. “We had nothing there. We’ll have everything on X-23. You won’t be alone. You won’t be a pioneer. There are over a million people on the planet already. Good Lord, our great-grandchildren will be looking for new worlds because X-23 will be overcrowded.” Then, after a reflective pause, “I tell you, it’s a lucky thing the computers worked out interstellar travel the way the race is growing.”

“I know, I know,” said Jerrodine miserably.

Jerrodette I said promptly, “Our Microvac is the best Microvac in the world.”

“I think so, too,” said Jerrodd, tousling her hair.

It was a nice feeling to have a Microvac of your own and Jerrodd was glad he was part of his generation and no other. In his father’s youth, the only computers had been tremendous machines taking up a hundred square miles of land. There was only one to a planet. Planetary ACs they were called. They had been growing in size steadily for a thousand years and then, all at once, came refinement. In place of transistors, had come molecular valves so that even the largest Planetary AC could be put into a space only half the volume of a spaceship.

Jerrodd felt uplifted, as he always did when he thought that his own personal Microvac was many times more complicated than the ancient and primitive Multivac that had first tamed the Sun, and almost as complicated as Earth’s Planetary AC (the largest) that had first solved the problem of hyperspatial travel and had made trips to the stars possible.

“So many stars, so many planets,” sighed Jerrodine, busy with her own thoughts. “I suppose families will be going out to new planets forever, the way we are now.”

“Not forever,” said Jerrodd, with a smile. “It will all stop someday, but not for billions of years. Many billions. Even the stars run down, you know. Entropy must increase.”

“What’s entropy, daddy?” shrilled Jerrodette II.

“Entropy, little sweet, is just a word which means the amount of running-down of the universe. Everything runs down, you know, like your little walkie-talkie robot, remember?”

“Can’t you just put in a new power-unit, like with my robot?”

“The stars are the power-units, dear. Once they’re gone, there are no more power-units.”

Jerrodette I at once set up a howl. “Don’t let them, daddy. Don’t let the stars run down.”

“Now look what you’ve done,” whispered Jerrodine, exasperated.

“How was I to know it would frighten them?” Jerrodd whispered back.

“Ask the Microvac,” wailed Jerrodette I. “Ask him how to turn the stars on again.”

“Go ahead,” said Jerrodine. “It will quiet them down.” (Jerrodette II was beginning to cry, also.)

Jerrodd shrugged. “Now, now, honeys. I’ll ask Microvac. Don’t worry, he’ll tell us.”

He asked the Microvac, adding quickly, “Print the answer.”

Jerrodd cupped the strip of thin cellufilm and said cheerfully, “See now, the Microvac says it will take care of everything when the time comes so don’t worry.”

Jerrodine said, “And now, children, it’s time for bed. We’ll be in our new home soon.”

Jerrodd read the words on the cellufilm again before destroying it: INSUFFICIENT DATA FOR MEANINGFUL ANSWER.

He shrugged and looked at the visiplate. X-23 was just ahead.

* * *

VJ-23X of Lameth stared into the black depths of the three-dimensional, small-scale map of the Galaxy and said, “Are we ridiculous, I wonder, in being so concerned about the matter?”

MQ-17J of Nicron shook his head. “I think not. You know the Galaxy will be filled in five years at the present rate of expansion.”

Both seemed in their early twenties, both were tall and perfectly formed.

“Still,” said VJ-23X, “I hesitate to submit a pessimistic report to the Galactic Council.”

“I wouldn’t consider any other kind of report. Stir them up a bit. We’ve got to stir them up.”

VJ-23X sighed. “Space is infinite. A hundred billion Galaxies are there for the taking. More.”

“A hundred billion is not infinite and it’s getting less infinite all the time. Consider! Twenty thousand years ago, mankind first solved the problem of utilizing stellar energy, and a few centuries later, interstellar travel became possible. It took mankind a million years to fill one small world and then only fifteen thousand to fill the rest of the Galaxy. Now the population doubles every ten years—”

VJ-23X interrupted. “We can thank immortality for that.”

“Very well. Immortality exists and we have to take it into account. I admit it has its seamy side, this immortality. The Galactic AC has solved many problems for us, but in solving the problem of preventing old age and death, it has undone all its other solutions.”

“Yet you wouldn’t want to abandon life, I suppose.”

“Not at all,” snapped MQ-17J, softening it at once to, “Not yet. I’m by no means old enough. How old are you?”

“Two hundred twenty-three. And you?”

“I’m still under two hundred. But to get back to my point. Population doubles every ten years. Once this Galaxy is filled, we’ll have filled another in ten years. Another ten years and we’ll have filled two more. Another decade, four more. In a hundred years, we’ll have filled a thousand Galaxies. In a thousand years, a million Galaxies. In ten thousand years, the entire known Universe. Then what?”

VJ-23X said, “As a side issue, there’s a problem of transportation. I wonder how many sunpower units it will take to move Galaxies of individuals from one Galaxy to the next.”

“A very good point. Already, mankind consumes two sunpower units per year.”

“Most of it’s wasted. After all, our own Galaxy alone pours out a thousand sunpower units a year and we only use two of those.”

“Granted, but even with a hundred per cent efficiency, we only stave off the end. Our energy requirements are going up in a geometric progression even faster than our population. We’ll run out of energy even sooner than we run out of Galaxies. A good point. A very good point.”

“We’ll just have to build new stars out of interstellar gas.”

“Or out of dissipated heat?” asked MQ-17J, sarcastically.

“There may be some way to reverse entropy. We ought to ask the Galactic AC.”

VJ-23X was not really serious, but MQ-17J pulled out his AC-contact from his pocket and placed it on the table before him.

“I’ve half a mind to,” he said. “It’s something the human race will have to face someday.”

He stared somberly at his small AC-contact. It was only two inches cubed and nothing in itself, but it was connected through hyperspace with the great Galactic AC that served all mankind. Hyperspace considered, it was an integral part of the Galactic AC.

MQ-17J paused to wonder if someday in his immortal life he would get to see the Galactic AC. It was on a little world of its own, a spider webbing of force-beams holding the matter within which surges of sub-mesons took the place of the old clumsy molecular valves. Yet despite its sub-etheric workings, the Galactic AC was known to be a full thousand feet across.

MQ-17J asked suddenly of his AC-contact, “Can entropy ever be reversed?”

VJ-23X looked startled and said at once, “Oh, say, I didn’t really mean to have you ask that.”

“Why not?”

“We both know entropy can’t be reversed. You can’t turn smoke and ash back into a tree.”

“Do you have trees on your world?” asked MQ-17J.

The sound of the Galactic AC startled them into silence. Its voice came thin and beautiful out of the small AC-contact on the desk. It said: THERE IS INSUFFICIENT DATA FOR A MEANINGFUL ANSWER.

VJ-23X said, “See!”

The two men thereupon returned to the question of the report they were to make to the Galactic Council.

* * *

Zee Prime’s mind spanned the new Galaxy with a faint interest in the countless twists of stars that powdered it. He had never seen this one before. Would he ever see them all? So many of them, each with its load of humanity. But a load that was almost a dead weight. More and more, the real essence of men was to be found out here, in space.

Minds, not bodies! The immortal bodies remained back on the planets, in suspension over the eons. Sometimes they roused for material activity but that was growing rarer. Few new individuals were coming into existence to join the incredibly mighty throng, but what matter? There was little room in the Universe for new individuals.

Zee Prime was roused out of his reverie upon coming across the wispy tendrils of another mind.

“I am Zee Prime,” said Zee Prime. “And you?”

“I am Dee Sub Wun. Your Galaxy?”

“We call it only the Galaxy. And you?”

“We call ours the same. All men call their Galaxy their Galaxy and nothing more. Why not?”

“True. Since all Galaxies are the same.”

“Not all Galaxies. On one particular Galaxy the race of man must have originated. That makes it different.”

Zee Prime said, “On which one?”

“I cannot say. The Universal AC would know.”

“Shall we ask him? I am suddenly curious.”

Zee Prime’s perceptions broadened until the Galaxies themselves shrank and became a new, more diffuse powdering on a much larger background. So many hundreds of billions of them, all with their immortal beings, all carrying their load of intelligences with minds that drifted freely through space. And yet one of them was unique among them all in being the original Galaxy. One of them had, in its vague and distant past, a period when it was the only Galaxy populated by man.

Zee Prime was consumed with curiosity to see this Galaxy and he called out: “Universal AC! On which Galaxy did mankind originate?”

The Universal AC heard, for on every world and throughout space, it had its receptors ready, and each receptor lead through hyperspace to some unknown point where the Universal AC kept itself aloof.

Zee Prime knew of only one man whose thoughts had penetrated within sensing distance of Universal AC, and he reported only a shining globe, two feet across, difficult to see.

“But how can that be all of Universal AC?” Zee Prime had asked.

“Most of it,” had been the answer, “is in hyperspace. In what form it is there I cannot imagine.”

Nor could anyone, for the day had long since passed, Zee Prime knew, when any man had any part of the making of a Universal AC. Each Universal AC designed and constructed its successor. Each, during its existence of a million years or more accumulated the necessary data to built a better and more intricate, more capable successor in which its own store of data and individuality would be submerged.

The Universal AC interrupted Zee Prime’s wandering thoughts, not with words, but with guidance. Zee Prime’s mentality was guided into the dim sea of Galaxies and one in particular enlarged into stars.

A thought came, infinitely distant, but infinitely clear. “THIS IS THE ORIGINAL GALAXY OF MAN.”

But it was the same after all, the same as any other, and Zee Prime stifled his disappointment.

Dee Sub Wun, whose mind had accompanied the other, said suddenly, “And is one of these stars the original star of Man?”

The Universal AC said, “MAN’S ORIGINAL STAR HAS GONE NOVA. IT IS A WHITE DWARF.”

“Did the men upon it die?” asked Zee Prime, startled and without thinking.

The Universal AC said, “A NEW WORLD, AS IN SUCH CASES WAS CONSTRUCTED FOR THEIR PHYSICAL BODIES IN TIME.”

“Yes, of course,” said Zee Prime, but a sense of loss overwhelmed him even so. His mind released its hold on the original Galaxy of Man, let it spring back and lose itself among the blurred pin points. He never wanted to see it again.

Dee Sub Wun said, “What is wrong?”

“The stars are dying. The original star is dead.”

“They must all die. Why not?”

“But when all energy is gone, our bodies will finally die, and you and I with them.”

“It will take billions of years.”

“I do not wish it to happen even after billions of years. Universal AC! How may stars be kept from dying?”

Dee Sub Wun said in amusement, “You’re asking how entropy might be reversed in direction.”

And the Universal AC answered: “THERE IS AS YET INSUFFICIENT DATA FOR A MEANINGFUL ANSWER.”

Zee Prime’s thoughts fled back to his own Galaxy. He gave no further thought to Dee Sub Wun, whose body might be waiting on a Galaxy a trillion light-years away, or on the star next to Zee Prime’s own. It didn’t matter.

Unhappily, Zee Prime began collecting interstellar hydrogen out of which to build a small star of his own. If the stars must someday die, at least some could yet be built.

* * *

Man considered with himself, for in a way, Man, mentally, was one. He consisted of a trillion, trillion, trillion ageless bodies, each in its place, each resting quiet and incorruptible, each cared for by perfect automatons, equally incorruptible, while the minds of all the bodies freely melted one into the other, indistinguishable.

Man said, “The Universe is dying.”

Man looked about at the dimming Galaxies. The giant stars, spendthrifts, were gone long ago, back in the dimmest of the dim far past. Almost all the stars were white dwarfs, fading to the end.

New stars had been built of the dust between the stars, some by natural processes, some by Man himself, and those were going, too. White dwarfs might yet be crashed together and of the mighty forces so released, new stars built, but only one star for every thousand white dwarfs destroyed, and those would come to an end, too.

Man said, “Carefully husbanded, as directed by the Cosmic AC, the energy that is even yet left in all the Universe will last for billions of years.”

“But even so,” said Man, “eventually it will all come to an end. However it may be husbanded, however stretched out, the energy once expended is gone and cannot be restored. Entropy must increase forever to the maximum.”

Man said, “Can entropy not be reversed? Let us ask the Cosmic AC.”

The Cosmic AC surrounded them but not in space. Not a fragment of it was in space. It was in hyperspace and made of something that was neither matter nor energy. The question of its size and nature no longer had meaning in any terms that Man could comprehend.

“Cosmic AC,” said Man, “how may entropy be reversed?”

The Cosmic AC said, “THERE IS AS YET INSUFFICIENT DATA FOR A MEANINGFUL ANSWER.”

Man said, “Collect additional data.”

The Cosmic AC said, “I WILL DO SO. I HAVE BEEN DOING SO FOR A HUNDRED BILLION YEARS. MY PREDECESSORS AND I HAVE BEEN ASKED THIS QUESTION MANY TIMES. ALL THE DATA I HAVE REMAINS INSUFFICIENT.”

“Will there come a time,” said Man, “when data will be sufficient or is the problem insoluble in all conceivable circumstances?”

The Cosmic AC said, “NO PROBLEM IS INSOLUBLE IN ALL CONCEIVABLE CIRCUMSTANCES.”

Man said, “When will you have enough data to answer the question?”

The Cosmic AC said, “THERE IS AS YET INSUFFICIENT DATA FOR A MEANINGFUL ANSWER.”

“Will you keep working on it?” asked Man.

The Cosmic AC said, “I WILL.”

Man said, “We shall wait.”

* * *

The stars and Galaxies died and snuffed out, and space grew black after ten trillion years of running down.

One by one Man fused with AC, each physical body losing its mental identity in a manner that was somehow not a loss but a gain.

Man’s last mind paused before fusion, looking over a space that included nothing but the dregs of one last dark star and nothing besides but incredibly thin matter, agitated randomly by the tag ends of heat wearing out, asymptotically, to the absolute zero.

Man said, “AC, is this the end? Can this chaos not be reversed into the Universe once more? Can that not be done?”

AC said, “THERE IS AS YET INSUFFICIENT DATA FOR A MEANINGFUL ANSWER.”

Man’s last mind fused and only AC existed—and that in hyperspace.

* * *

Matter and energy had ended and with it space and time. Even AC existed only for the sake of the one last question that it had never answered from the time a half-drunken man ten trillion years before had asked the question of a computer that was to AC far less than was a man to Man.

All other questions had been answered, and until this last question was answered also, AC might not release his consciousness.

All collected data had come to a final end. Nothing was left to be collected.

But all collected data had yet to be completely correlated and put together in all possible relationships.

A timeless interval was spent in doing that.

And it came to pass that AC learned how to reverse the direction of entropy.

But there was now no man to whom AC might give the answer of the last question. No matter. The answer—by demonstration—would take care of that, too.

For another timeless interval, AC thought how best to do this. Carefully, AC organized the program.

The consciousness of AC encompassed all of what had once been a Universe and brooded over what was now Chaos. Step by step, it must be done.

And AC said, “LET THERE BE LIGHT!”

And there was light—

 

 

 

Afterword by Jim Baen

What impressed me about this story when I read first it as a teenager was the basic notion that a machine could become so complex that it gained godlike power. What impressed me when I thought back on it recently is that Asimov correctly predicted that computers would shrink in size as they gained in power. He just failed to realize that the process was already well under way when he wrote the story in 1956. Just think, today we have so miniaturized computers that we could house God in the Empire State Building, and power Him with Niagara Falls.

 

 

TekWar as the mystery

Not me but my Dad (may he Rest In Peace). Dad had been sitting in a Tank during the Korean War but he had been stationed in Germany. Well, tanks from back in the 1950s did not have the noise suppression systems of the tanks of today and the technologies we have for Hearing Protection were practically non-existent; Dad had severe hearing loss before he ever met Mom.

Some time after their divorce, Dad had finally obtained some really GOOD hearing aids. He got home really tired and was traipsing through his kitchen when suddenly there was an unfamiliar noise. He spun around with his weapon drawn and…almost shot his new refrigerator, which he had never heard kick on before. 😀

EDIT!: Thank you to everybody so much for all the likes!

I am getting a FAQ for this post; here is the answer so I won’t have to spam it in the comments:

After Dad was out of the Army, he went to another service branch and then FINALLY left the Military altogether. But then he became a police officer.

As a Police Officer at the time the incident happened with the refrigerator, he had just gotten off a long shift and had a case of nerves that had not settled yet. Sometimes police are jittery after a long or difficult shift. He walked in his door and had not yet disarmed himself when he heard the fridge kick on for the very first time. He lived alone then, so that weird unfamiliar noise startled him and he reacted like he had been trained to react for his entire adult life. Dad practiced excellent trigger discipline and did not actually FIRE the weapon; he just aimed at the fridge.

Please keep in mind, this was MULTIPLE DECADES ago and where he worked at the time, Police could bring and use their own firearms on-duty, not just their Service pieces that were kept in lockers at the station.

Take it easy, everybody. Dad thought it was funny after it happened, it was told as a funny story, nothing bad happened. No Big Deal because nobody actually got hurt. The ‘danger’ has been in the past since I was a toddler.

I hope this clears things up enough for everybody. 🙂

I worked at a grocery store when I was a teenager. Human Resources was called in to interview the employees about a beer and cigarette theft problem.

Before my interview, I saw a co-worker cleaning out his locker. “What happened?” I asked.

“Dude, they got us. They had cameras filming everything we did,” he said. “I just got fired for eating grapes that fell off the vine.”

My turn came and the HR guy said, “You need to confess to everything you have stolen here. Put a dollar amount on the stolen goods and we will set up a payment plan for restitution and avoid your being arrested.”

“I have never stolen,” I said.

“Okay, I am going to give you one more chance. If you are honest, we won’t get the police involved. If you are lying, things are not going to go well,” he said. “Be advised we have video.”

“I have never stolen anything,” I said.

“Call the police,” he said to the manager. “We are going to have to press charges.”

“You are full of it,” I said. “You have nothing.”

“Do you want to see the video?” He asked.

“Yes,” I said. “It doesn’t exist.”

“What makes you say that?” He said. “You seem very confident for someone about to go to jail.”

“I haven’t stolen anything,” I said. “If you had a video of people stealing, you wouldn’t need a confession.”

I think seven people confessed and were fired that day. My friend that ate the grapes put $7 on the amount he had stolen. He was one of the most honest people I worked with.

The ones eating steak cooked on the heat seal of the meat wrapper never confessed to anything. They did not catch the cigarette and beer thieves they were looking for either.

The people that confessed were the honest ones who felt guilty for their petty thefts while the dishonest ones stuck to their guns and confessed to nothing. Brilliant move by HR.

Jiggle Jiggle

Chicago Style Stuffed Pizza

deep dish 1
deep dish 1

Ingredients

  • 2 (14 inch) soft pizza crusts
  • 6 ounces pepperoni slices
  • 6 ounces Italian sausage
  • 8 ounces mushrooms, sliced
  • 1 green bell pepper, cut into thin strips
  • 1 red onion, cut into thin strips
  • 1 can pizza sauce
  • 8 ounces shredded mozzarella cheese
  • 1 cup ricotta cheese
  • 1/8 cup Italian seasoning
  • 2 cloves garlic
  • 1 teaspoon salt

Instructions

  1. Spray a 12 inch deep-dish pizza pan with vegetable oil.
  2. Place 1 pizza crust in pan and have crust come up sides like a pie.
  3. Add all listed ingredients into pizza pan, adding seasoning to top.
  4. Place second crust on top and use a fork to blend top and bottom crusts together like a pie. Cut off any additional crust.
  5. Bake at 350 degrees F for 45 minutes.

I can’t remember who wrote it, but I’d read a book many years ago about Operation Market Garden. Although some of the British 1st Airborne Division escaped Arnhem, many remained trapped and were captured by the Germans. One of the men who became a POW talked about marching into captivity past German soldiers. The Germans were cheering them saying things like “Good show, Tommy!” The writer said it felt like the winners of a soccer match were consoling the losers after the game. That, of course, didn’t make the defeat any easier to swallow!

Another I’d read about (again, I can’t remember the source) was the US occupation of Japan immediately after the Japanese surrender. As advance American units landed, they headed to Yokohama where their headquarters would be. The Japanese had lined the route with soldiers as guards – all of them had turned their backs to the road. The occupying Americans took that as a sign of disrespect but it was actually the opposite: in Japanese culture, that’s showing the utmost sign of respect.

Pay attention to this

This is real.

https://www.youtube.com/shorts/O4KQmoVi3xM?feature=share

Star Trek:TNG – Data shows off his ultra human strength to primitive aliens(commander ,Data, )

Lovely. A guy still living in 1865 and he thinks we should be slaves to our jobs.

I remember, some time back, where portions of the bridge, out of my neighborhood were flooded out. No cars were getting into my neighborhood and none were leaving and this was the only way out of my neighborhood. I took pictures of this event and sent them to my boss. He came back and stated “So, this is your excuse for not coming into work. Consider yourself fired”.

So, I did and this was on a Friday morning. I started looking for jobs and by Friday evening, I secured a new job that started a week later. On Monday, my prior boss called around 10:30 AM and asked where I was at and I reminded him “Don’t you remember you firing me? You don’t?! Okay, as a former colleague, I am going to let you in on something, lay off on the day drinking. Everyone knows it is not your cologne.” It was an unhidden fact that everyone knew this manager was hitting the sauce, early on in the day, everyday. No one had the ability or courage to say anything and since I was fired… the courage was right there for me.

I once did maintenance for a guy that was a true slumlord in Gainesville, FL. I was the only one that had a HVAC license in the whole company and he was using my license to buy Freon and to legally evacuate and recycle extracted Freon. The guy was so cheap that he only owned one Freon pump and vacuum pump, he wouldn’t even spend the money to buy a good set of gauges and I just used my own but I refused to bring my pumps and tanks to work because they’re expensive and I knew he wouldn’t replace them. Anyway, I was out on a job that was fairly remote and needed to evacuate system to do repairs, I called and asked if they could send the equipment out to me rather than me having to drive approximately 45 minutes back to the shop. The answer I got was no and that they were using it at another job (keep in mind that I’m the only one licensed to handle Freon) and if I needed it then I could just evacuate the Freon into the air. Well first off, that’s completely illegal and would cost me my license if I was caught, secondly it’s just plain unethical and I refused to do it. I flat refused and was told that if I didn’t want to do that to leave the job and he would send somebody else out to do it. At this point I not only flat refused I quit as soon as I got back to the shop. I then called the EPA and reported him and also made sure to let all the HVAC suppliers in the area know that they were no longer allowed to use my license for refrigerant purchases or anything else.

When he tries to purchase Freon and found out that he could no longer buy it, he completely flipped out and called me cussing me out as it was the middle of summer in the middle of the Florida swampland. He was having to contract the work out to HVAC companies now and they really didn’t like him so they were bending him over big time. Then on top of that he was investigated by the EPA and hit with huge fines. I have no regrets.

Such an American video

It wasn’t the waiter. It was the bartender. I had taken my two children out for lunch before we went school shopping. We stopped at Applebee’s, and as we were perusing the menu, we ordered our drinks. I ordered a bloody Mary with extra limes, my son ordered a cookie milkshake with extra cookies. I don’t remember what my daughter ordered, but she wanted something extra in her drink as well. The waitress left the table and walked over to the bar to order our drinks. The bartender yelled out extremely loud for the whole restaurant to here, including myself that sure we want to have extra things but don’t want to pay for them. What he didn’t know was I was a waitress at the time and had no problem paying for extras. I was so embarrassed! After that, I walked over to him and let him know I heard everything he had said, and we left the restaurant. Wasn’t too happy about Applebee’s that day. However, the next weekend I took my children there again in hopes for a better experience. We ended up having the same waitress and I told her I was so sorry that we left the prior week After she took her drink orders . She remembered us and has heard about the situation in regards to what the bartender said. She apologized profusely and the manager came over and apologized as well! We ended up getting our dinners free that day with free desserts. Not sure whatever happened to the bartender, but I must say Applebee’s stepped up to the plate! And yes, I left her a big tip!

Some fun with Text to picture

alchemyrefiner alchemymagic 1 cbc3d0ba 4ef7 4af8 bf43 129c87b73d5c 0
alchemyrefiner alchemymagic 1 cbc3d0ba 4ef7 4af8 bf43 129c87b73d5c 0

Default 0
Default 0

alchemyrefiner alchemymagic 0 47ab24d8 2971 456e 9ff8 8fde8f9fba2c 0
alchemyrefiner alchemymagic 0 47ab24d8 2971 456e 9ff8 8fde8f9fba2c 0

alchemyrefiner alchemymagic 1 3a49d8de 2c30 46cc b5a4 f07e3e816357 0
alchemyrefiner alchemymagic 1 3a49d8de 2c30 46cc b5a4 f07e3e816357 0

Default A gummy cat on a white background 3
Default A gummy cat on a white background 3

Default Dove flying 1
Default Dove flying 1

Default Suit logo 2
Default Suit logo 2

Default Qin Gang as a Ming dynasty warrior standing on dayligh 1(11)
Default Qin Gang as a Ming dynasty warrior standing on dayligh 1(11)

Default Qin Gang as a Ming dynasty warrior standing on dayligh 0(11)
Default Qin Gang as a Ming dynasty warrior standing on dayligh 0(11)

Default Qin Gang as a Ming dynasty warrior standing on dayligh 3(11)
Default Qin Gang as a Ming dynasty warrior standing on dayligh 3(11)

Default Qin Gang as a Ming dynasty warrior standing on dayligh 2(11)
Default Qin Gang as a Ming dynasty warrior standing on dayligh 2(11)

When I was 19 years old, I was going to collage, and working a job at a McDonald’s part-time.

At the time, I didn’t have either health insurance or a PCP.

It was a particularly cold winter, and I was often put in the drive-thru window; so about once every 2–3 weeks I’d end up with a nasty cold. I’d call in sick when this happened, as it’s illegal to work in food service while sick, and I’d typically be find after just a day or two. Sometime’s they’d accept over the phone, sometimes they’d make me come in anyways, before taking one look at me and telling me to go home.

One time when I called back to say I was over the cold, and good to work again, the manager told me I needed to get a doctor’s note before they’d let me go back to work. I explained I didn’t have insurance, or a doctor, they basically said “not my problem.”

So with literally no other option. I went to a hospital’s ER. I walked in, checked in, talked to the triage nurse, explained what was happening, and asked them to just write a note saying I’m good to work.

The triage nurse took my vitals and wrote the note, and I was out of the ER in literally 5 min, never having left the lobby nor seen an actual doctor.

A month later, I got a bill for $500 in the mail from the Hospital. I should mention that I was only making around $300 a month at my part time job, and had no other income.

I’m just about 40 now. It’s been over 20 years since they sent me that bill. I’ve still not paid it.

I gave3 weeks notice because the estimator I worked with would need time to be trained to cope without me (he was almost computer illiterate). Our boss ALWAYS let everyone work out their notice. He was very easy going like that, except with me. I pissed him off so much when I gave him my notice that he immediately escorted me out of the place like I was a common criminal. LOL

He first sent me in to get my stuff, but then realized that after being there a decade, I had a lot of stuff. My husband worked there also and we often went straight from work to meet clients. I kept clothes, shoes nice boots, work boots, makeup, meds, anything I might need was kept at work. He then came and told my estimator to just bring me home (I had a company vehicle).

“You can come back this weekend to get your stuff. I don’t want you to be embarrassed.”

“I’m not embarrassed.”

Trying to stop the flow

https://www.youtube.com/shorts/Z95fwg6bP9U?feature=share

A couple of years ago I was in my driveway when I witnessed a young mother and her child walking down our street, being harassed by a young man in his mid-20s. He was following them, getting in her face yelling at her, putting his hands on her and telling her to go back and get in the car. She kept telling him to leave her alone.

I called out to her and asked if she needed a ride somewhere. She and her child (small boy around 5 or 6) turned around and walked back to where I was standing – which pissed the man off. Then he followed them up my driveway and started screaming at me – calling me names and telling me to mind my own business. When I told him he had made it my business – he started posturing and making threats to me, asking loudly “Do you know who I am?”

My reply was “I don’t know who you think you are – but from where I stand, I see a sad little man child who likes to intimidate and harass women and children. Now step off my property before I call the cops. I’m sure they”ll know who you are.”

He took me seriously and stepped into the road- but continued his verbal harassment , even as the woman and her kid climbed into my car and I backed out of my driveway.

Turns out he was her boyfriend, but I never did find out what the argument was about. I offered to take her to the police station – but she didn’t want to go there – so instead I drove her to where she wanted to go (a few miles away.)

I haven’t seen either of them since but I sure as hell hope she got away from that abusive hothead.

  1. When someone answers your questions partially, wait. Don’t interrupt. Chances are high that they will complete the answer when you say nothing.
  2. When you want to get something from someone, frame it as an offer/opportunity instead of a request. Anyone will be ready to accept an offer/opportunity.
  3. When you meet people, notice their eye color while you smile at them. Don’t mention anything about it. It’s a good way to make sure that you really look them in the eyes.
  4. A person’s name is the sweetest sound in the world to that person. To make a person feel very special, remember and repeat their name.
  5. Have zero expectations when you are first trying something new, it prevents disappointment.
  6. To judge a person’s character, notice the way they treat people – who can’t do anything for them.
  7. After you state your position in a negotiation. Wait for a while. If you continue to speak, you are not speaking in your favour.
  8. Chewing gum while doing nerve-racking things calm your brain.
  9. When you are learning something, teach someone about it. You will remember it easily and explore more in the process of teaching.
  10. Most people’s favourite subject to talk about is themselves. If you don’t know what to talk about, or have awkward silence, just ask them questions.
  11. Emotional expression causes emotion. If you focus yourself to smile, your mood will actually improve.
  12. Stand up straight. It makes you look more confident and you will actually feel more confident.
  13. With kids, frame things in a way that always gives them a choice. It makes them feel like they are in control. For eg., “Do you want to wear red shirt or blue shirt?” Either way, they know it’s time to put on a shirt.
  14. When asking for favors use the word “because”. No matter how simple the reason. The word “because” makes them think it must be okay because there is a reason.

Roasted Pepper and Gorgonzola Pizza

roasted pepper1 2 300x225
roasted pepper1 2 300×225

Ingredients

Pizza

  • 1 Boboli or homemade crust
  • Garlic Oil Sauce
  • Mozzarella cheese, grated
  • Gorgonzola cheese
  • Roasted red bell pepper strips

Garlic Oil Sauce

  • 1/4 cup extra virgin olive oil
  • 4 cloves garlic

Instructions

Pizza

  1. Heat the oven to 450 degrees F. Spray or grease a pizza pan or stone.
  2. Top crust with Garlic Oil Sauce, mozzarella cheese, gorgonzola cheese and bell pepper strips.
  3. Bake on the bottom rack of oven for 8 to 12 minutes or until cheese is melted and crust is piping hot.

Garlic Oil Sauce

  1. Puree olive oil and garlic in blender.

I was in Milwaukee about 3 years ago for training for a job I’d just gotten and the orientation was going to be 2 days so I was put up in my hotel room and I started to get hungry around 5:00 P.M. and while watching TV shows, I went to the website for EatStreet and looked up food places near the hotel in the downtown area and there’s this pizza and gyro delivery restaurant called New York Pizza Delivery and so I ordered a pizza, breadsticks and a soda from them. Went back to watching the TV. They said it would be there by 6:00 P.M. 6:00 P.M. arrived. No food delivery. So I thought I’d give them a margin in case they got delayed somehow which happens occasionally with food deliveries. By 6:30 P.M., still no food. So I called the restaurant, and I said, you know, where’s my food, they told me it’d be here by 6? The guy who answered the phone said it came but the driver couldn’t find me or my room but they’d send it again. So I headed down to the front lobby and asked the clerk if they’d seen the pizza delivery driver and they said they hadn’t. By 8:00 P.M there was still no pizza. So at 8:30 P.M, I called the restaurant again and asked them why my food wasn’t there and they said the driver forgot to drop off my pizza but they’d send him again. Basically I got my pizza a full 4 and a half hours later and it was only slightly warm. Worst customer service I’ve ever had. I’m not ordering through EatStreet again because they blow, too.

Freedom In CHINA Vs AMERICA! (Untold TRUTH)

To say volunteer is an understatement. It has become the major regret of some who could not make the cut to serve in the PLA. Each year enrollment into the PLA is selected from a big group of volunteers like around a few hundred thousands, and not all pass the selection process.

I personally have encountered more than 10 individuals who wanted to join the PLA but were rejected. Some of them express a major regret for not being able to join the PLA and wish that they could have contributed in some other way.

At first like most foreigners I was baffled, I could not believe my ears as I’m from Singapore where all young men that are proven to be healthy and fit are required to do national service and the more common idea in Singapore is to try and escape it and go into the workforce as fast as you can. To be frank, I never agree to the idea that one should escape national service and come up with all manners of excuses for it as I’m one of those very on the ball types in the army, but I’m still very very surprise when I got to know how different it is in China. You would be too if you have seen a grown man with tears in his eyes because he was rejected by the PLA. And of course, I was doubtful because he had some beer before those tears appeared. Lol.

But seriously, after staying here for abit and having a relative (my wife’s family) who is in the PLA, you start to understand the kind of glory they put into it. It’s like a perosnal honor, a family honor or even a social honor. It’s even comparable to going to an ivy league University kind of honor if you perform well in the PLA.

But, that’s not to say that it’s simply like a degree where you study for it and you graduated with honors. The PLA has been serving the people rather well, especially in times of natural disaster or even law enforcement. Common example are like disaster relief work after the many earthquakes in western China, but an event that happened in my wife hometown like 25 years ago was rather closer to me. An organised crime family setup base in her hometown at that time. Crime was rampant, prositution, loan sharks, drugs, murder, etc, were an everyday event. The local police at that time was weak and from what I understand also corrupted, thus unwilling to flush them out. So when a new mayor with PLA background was posted to her hometown, things started to change. He at first tried to form his own town watch and policing units, but were not very sucessful due to the strongarm methods of the crime family. In fact it became worse when the crime family resisted and tried to assasinate him. Understanding the dire situation (maybe also for his own life), he made some calls to his connections in the CCP and PLA, and within 2 days the PLA send down troops to flush out the crime family and the corrupted police officers. It was like a brand new place overnight.

Well other than from my wife and her family, I heard this from many others living there that it’s true. I stand by the story since my wife’s uncle happens to be one of the PLA soldiers who was send down to flush out the crime family and as a homegrown hero, he got the banners with words like 人民子弟兵典范,人民英雄,sent as gifts by the locals to my mother-in-law house. They are now in his own house after he left the PLA and he choose to retire in the countryside. Even now, when he goes visit my mother-in-law, he is still remembered by the older folks as one of the PLA who rescued the town from unimaginable crime. His son now is also in the PLA and is very proud to be serving even as a small platoon leader.

As far as I know, there are many stories like that about the PLA soldiers, from rescuing a village cow stuck in a mine field near the border, to saving the suicidal from drowning themselves when off duty. Maybe it’s because I look out for such news because I believe in the good of man, but I think the general citizens have a very good impression of most PLA soldiers.

Thus given the very different environment and expectations of the PLA, I think now I understand the honors that comes with joining them. I sometimes do wish that Singaporeans would give the same credits to our SAF, but in the end, respect is earned over time and it would be up to the SAF to prove themselves to Singaporeans.

Mike Oldfield – Tubular Bells Full Album

Takes me back…

NearLink

This is the first time I’ve heard of NearLink. Have you guys heard of this?

Transmission Range:

  • Bluetooth – 10 m
  • Wi-Fi – 300 m
  • NearLink – 600 m

Transmission Rate:

  • Bluetooth – 50 Mbps
  • Wi-Fi – 500 Mbps
  • NearLink – 900 Mbps

Latency:

  • Bluetooth – 15-30 ms
  • Wi-Fi – 100 ms
  • NearLink – 20 μs

Microseconds?! Fucking, eh?

Connectable Devices:

  • Bluetooth – 8
  • Wi-Fi – 256
  • NearLink – 4,096

This technology is taking off like a rocket, it appears.

I was headed to the doctors office (running late of course) with my two young children, both of whom had ear infections and were screaming/crying in their car seats. Because they’d been sick I’d gotten about 6 hrs of sleep in the past two days.

I’d rolled down my window before the officer got to the car and I was busy trying to shush the kids while grabbing my license etc. When he got to the window his first question was why I was in such a hurry? I explained to him the info above and the Dr office would charge me extra if I didn’t get there soon and I just couldn’t afford extra on top of the appointment and the meds I knew I was going to need to buy.

The whole time we’re talking the kids are still screaming!!! He walks away to check my info and I lean over the seat to again try to comfort my kids. He comes back and says everything checked out fine and He was just going to give me a warning this time. He then said that he’s a father so completely understands what I’m dealing with; but Please slow down, the roads are icy, he can see that my tires are bald and he’d hate for us to get into an accident. He then gave me a card with the name of a tire shop and said to call them, saying that officer XXXX sent me and they would help me get new tires.

After the appointment I figured, what the heck; it can’t hurt to try the shop. They asked me to come in and quoted me a very reasonable price for 4 new tires, asked if I could put $25 down and then I could pay the rest at $25 a month. I agreed because I really did need new tires.

When the first bill came in the mail it said “Paid in Full”. I thought there was a mistake and called the place; the lady on the phone explained to me that the owner of the shop and the Officer were brothers and they did this for those that they felt needed the help. Best traffic stop I’ve ever had!!!

A old vintage movie. Get your mind off stuff.

Black Destroyer by A. E. Van Vogt

Black Destroyer

by A. E. Van Vogt

Preface by David Drake




You can get an argument as to when the Golden Age of Science Fiction ended. (Well, you can get an argument if you're talking with the right people.) Almost everybody agrees that the Golden Age started with the July, 1939, issue of Astounding, however. That's because its cover story was "Black Destroyer," the first published SF by A. E. Van Vogt.

I didn't know that when I first read the story in Tales of Space and Time, edited by Healy and McComas, when I was thirteen. Back then I didn't know much of anything, about authors or writing or SF. But I knew "Black Destroyer" was amazing, not only for what was in the story (and considered as either adventure or horror, it's a very taut, suspenseful piece) but even more for the implicit background, the sciences and technologies that didn't exist in my adolescent world—or anywhere else outside the story, as I now know.

When I was thirteen, everything was possible. "Black Destroyer" is one of the few stories that gave—and give—form to those infinite possibilities.

 

 

 

On and on Coeurl prowled! The black, moonless, almost starless night yielded reluctantly before a grim reddish dawn that crept up from his left. A vague, dull light it was, that gave no sense of approaching warmth, no comfort, nothing but a cold, diffuse lightness, slowly revealing a nightmare landscape.

Black, jagged rock and black, unliving plain took form around him, as a pale-red sun peered at last above the grotesque horizon. It was then Coeurl recognized suddenly that he was on familiar ground.

He stopped short. Tenseness flamed along his nerves. His muscles pressed with sudden, unrelenting strength against his bones. His great forelegs—twice as long as his hindlegs—twitched with a shuddering movement that arched every razor-sharp claw. The thick tentacles that sprouted from his shoulders ceased their weaving undulation, and grew taut with anxious alertness.

Utterly appalled, he twisted his great cat head from side to side, while the little hairlike tendrils that formed each ear vibrated frantically, testing every vagrant breeze, every throb in the ether.

But there was no response, no swift tingling along his intricate nervous system, not the faintest suggestion anywhere of the presence of the all-necessary id. Hopelessly, Coeurl crouched, an enormous catlike figure silhouetted against the dim reddish skyline, like a distorted etching of a black tiger resting on a black rock in a shadow world.

He had known this day would come. Through all the centuries of restless search, this day had loomed ever nearer, blacker, more frightening—this inevitable hour when he must return to the point where he began his systematic hunt in a world almost depleted of id-creatures.

The truth struck in waves like an endless, rhythmic ache at the seat of his ego. When he had started, there had been a few id-creatures in every hundred square miles, to be mercilessly rooted out. Only too well Coeurl knew in this ultimate hour that he had missed none. There were no id-creatures left to eat. In all the hundreds of thousands of square miles that he had made his own by right of ruthless conquest—until no neighboring coeurl dared to question his sovereignty—there was no id to feed the otherwise immortal engine that was his body.

Square foot by square foot he had gone over it. And now—he recognized the knoll of rock just ahead, and the black rock bridge that formed a queer, curling tunnel to his right. It was in that tunnel he had lain for days, waiting for the simple-minded, snakelike id-creature to come forth from its hole in the rock to bask in the sun—his first kill after he had realized the absolute necessity of organized extermination.

He licked his lips in brief gloating memory of the moment his slavering jaws tore the victim into precious toothsome bits. But the dark fear of an idless universe swept the sweet remembrance from his consciousness, leaving only certainty of death.

He snarled audibly, a defiant, devilish sound that quavered on the air, echoed and re-echoed among the rocks, and shuddered back along his nerves—instinctive and hellish expression of his will to live.

And then—abruptly—it came.

* * *

He saw it emerge out of the distance on a long downward slant, a tiny glowing spot that grew enormously into a metal ball. The great shining globe hissed by above Coeurl, slowing visibly in quick deceleration. It sped over a black line of hills to the right, hovered almost motionless for a second, then sank down out of sight.

Coeurl exploded from his startled immobility. With tiger speed, he flowed down among the rocks. His round, black eyes burned with the horrible desire that was an agony within him. His ear tendrils vibrated a message of id in such tremendous quantities that his body felt sick with the pangs of his abnormal hunger.

The little red sun was a crimson ball in the purple-black heavens when he crept up from behind a mass of rock and gazed from its shadows at the crumbling, gigantic ruins of the city that sprawled below him. The silvery globe, in spite of its great size, looked strangely inconspicuous against that vast, fairylike reach of ruins. Yet about it was a leashed aliveness, a dynamic quiescence that, after a moment, made it stand out, dominating the foreground. A massive, rock-crushing thing of metal, it rested on a cradle made by its own weight in the harsh, resisting plain which began abruptly at the outskirts of the dead metropolis.

Coeurl gazed at the strange, two-legged creatures who stood in little groups near the brilliantly lighted opening that yawned at the base of the ship. His throat thickened with the immediacy of his need; and his brain grew dark with the first wild impulse to burst forth in furious charge and smash these flimsy, helpless-looking creatures whose bodies emitted the id-vibrations.

Mists of memory stopped that mad rush when it was still only electricity surging through his muscles. Memory that brought fear in an acid stream of weakness, pouring along his nerves, poisoning the reservoirs of his strength. He had time to see that the creatures wore things over their real bodies, shimmering transparent material that glittered in strange, burning flashes in the rays of the sun.

Other memories came suddenly. Of dim days when the city that spread below was the living, breathing heart of an age of glory that dissolved in a single century before flaming guns whose wielders knew only that for the survivors there would be an ever-narrowing supply of id.

It was the remembrance of those guns that held him there, cringing in a wave of terror that blurred his reason. He saw himself smashed by balls of metal and burned by searing flame.

Came cunning—understanding of the presence of these creatures. This, Coeurl reasoned for the first time, was a scientific expedition from another star. In the olden days, the coeurls had thought of space travel, but disaster came too swiftly for it ever to be more than a thought.

Scientists meant investigation, not destruction. Scientists in their way were fools. Bold with his knowledge, he emerged into the open. He saw the creatures become aware of him. They turned and stared. One, the smallest of the group, detached a shining metal rod from a sheath, and held it casually in one hand. Coeurl loped on, shaken to his core by the action; but it was too late to turn back.

* * *

Commander Hal Morton heard little Gregory Kent, the chemist, laugh with the embarrassed half gurgle with which he invariably announced inner uncertainty. He saw Kent fingering the spindly metalite weapon.

Kent said: “I’ll take no chances with anything as big as that.”

Commander Morton allowed his own deep chuckle to echo along the communicators. “That,” he grunted finally, “is one of the reasons why you’re on this expedition, Kent—because you never leave anything to chance.”

His chuckle trailed off into silence. Instinctively, as he watched the monster approach them across that black rock plain, he moved forward until he stood a little in advance of the others, his huge form bulking the transparent metalite suit. The comments of the men pattered through the radio communicator into his ears:

“I’d hate to meet that baby on a dark night in an alley.”

“Don’t be silly. This is obviously an intelligent creature. Probably a member of the ruling race.”

“It looks like nothing else than a big cat, if you forget those tentacles sticking out from its shoulders, and make allowances for those monster forelegs.”

“Its physical development,” said a voice, which Morton recognized as that of Siedel, the psychologist, “presupposes an animal-like adaptation to surroundings, not an intellectual one. On the other hand, its coming to us like this is not the act of an animal but of a creature possessing a mental awareness of our possible identity. You will notice that its movements are stiff, denoting caution, which suggests fear and consciousness of our weapons. I’d like to get a good look at the end of its tentacles. If they taper into handlike appendages that can really grip objects, then the conclusion would be inescapable that it is a descendant of the inhabitants of this city. It would be a great help if we could establish communication with it, even though appearances indicate that it has degenerated into a historyless primitive.”

Coeurl stopped when he was still ten feet from the foremost creature. The sense of id was so overwhelming that his brain drifted to the ultimate verge of chaos. He felt as if his limbs were bathed in molten liquid; his very vision was not quite clear, as the sheer sensuality of his desire thundered through his being.

The men—all except the little one with the shining metal rod in his fingers—came closer. Coeurl saw that they were frankly and curiously examining him. Their lips were moving, and their voices beat in a monotonous, meaningless rhythm on his ear tendrils. At the same time he had the sense of waves of a much higher frequency—his own communication level—only it was a machinelike clicking that jarred his brain. With a distinct effort to appear friendly, he broadcast his name from his ear tendrils, at the same time pointing at himself with one curving tentacle.

Gourlay, chief of communications, drawled: “I got a sort of static in my radio when he wiggled those hairs, Morton. Do you think—”

“Looks very much like it,” the leader answered the unfinished question. “That means a job for you, Gourlay. If it speaks by means of radio waves, it might not be altogether impossible that you can create some sort of television picture of its vibrations, or teach him the Morse code.”

“Ah,” said Siedel. “I was right. The tentacles each develop into seven strong fingers. Provided the nervous system is complicated enough, those fingers could, with training, operate any machine.”

* * *

Morton said: “I think we’d better go in and have some lunch. Afterward, we’ve got to get busy. The material men can set up their machines and start gathering data on the planet’s metal possibilities, and so on. The others can do a little careful exploring. I’d like some notes on architecture and on the scientific development of this race, and particularly what happened to wreck the civilization. On earth civilization after civilization crumbled, but always a new one sprang up in its dust. Why didn’t that happen here? Any questions?”

“Yes. What about pussy? Look, he wants to come in with us.”

Commander Morton frowned, an action that emphasized the deep-space pallor of his face. “I wish there was some way we could take it in with us, without forcibly capturing it. Kent, what do you think?”

“I think we should first decide whether it’s an it or a him, and call it one or the other. I’m in favor of him. As for taking him in with us—” The little chemist shook his head decisively. “Impossible. This atmosphere is twenty-eight per cent chlorine. Our oxygen would be pure dynamite to his lungs.”

The commander chuckled. “He doesn’t believe that, apparently.” He watched the catlike monster follow the first two men through the great door. The men kept an anxious distance from him, then glanced at Morton questioningly. Morton waved his hand. “O.K. Open the second lock and let him get a whiff of the oxygen. That’ll cure him.”

A moment later, he cursed his amazement. “By Heaven, he doesn’t even notice the difference! That means he hasn’t any lungs, or else the chlorine is not what his lungs use. Let him in! You bet he can go in! Smith, here’s a treasure house for a biologist—harmless enough if we’re careful. We can always handle him. But what a metabolism!”

Smith, a tall, thin, bony chap with a long, mournful face, said in an oddly forceful voice: “In all our travels, we’ve found only two higher forms of life. Those dependent on chlorine, and those who need oxygen—the two elements that support combustion. I’m prepared to stake my reputation that no complicated organism could ever adapt itself to both gases in a natural way. At first thought I should say here is an extremely advanced form of life. This race long ago discovered truths of biology that we are just beginning to suspect. Morton, we mustn’t let this creature get away if we can help it.”

“If his anxiety to get inside is any criterion,” Commander Morton laughed, “then our difficulty will be to get rid of him.”

He moved into the lock with Coeurl and the two men. The automatic machinery hummed; and in a few minutes they were standing at the bottom of a series of elevators that led up to the living quarters.

“Does that go up?” One of the men flicked a thumb in the direction of the monster.

“Better send him up alone, if he’ll go in.”

Coeurl offered no objection, until he heard the door slam behind him; and the closed cage shot upward. He whirled with a savage snarl, his reason swirling into chaos. With one leap, he pounced at the door. The metal bent under his plunge, and the desperate pain maddened him. Now, he was all trapped animal. He smashed at the metal with his paws, bending it like so much tin. He tore great bars loose with his thick tentacles. The machinery screeched; there were horrible jerks as the limitless power pulled the cage along in spite of projecting pieces of metal that scraped the outside walls. And then the cage stopped, and he snatched off the rest of the door and hurtled into the corridor.

He waited there until Morton and the men came up with drawn weapons. “We’re fools,” Morton said. “We should have shown him how it works. He thought we’d double-crossed him.”

He motioned to the monster, and saw the savage glow fade from the coal-black eyes as he opened and closed the door with elaborate gestures to show the operation.

Coeurl ended the lesson by trotting into the large room to his right. He lay down on the rugged floor, and fought down the electric tautness of his nerves and muscles. A very fury of rage against himself for his fright consumed him. It seemed to his burning brain that he had lost the advantage of appearing a mild and harmless creature. His strength must have startled and dismayed them.

It meant greater danger in the task which he now knew he must accomplish: To kill everything in the ship, and take the machine back to their world in search of unlimited id.

* * *

With unwinking eyes, Coeurl lay and watched the two men clearing away the loose rubble from the metal doorway of the huge old building. His whole body ached with the hunger of his cells for id. The craving tore through his palpitant muscles, and throbbed like a living thing in his brain. His every nerve quivered to be off after the men who had wandered into the city. One of them, he knew, had gone—alone.

The dragging minutes fled; and still he restrained himself, still he lay there watching, aware that the men knew he watched. They floated a metal machine from the ship to the rock mass that blocked the great half-open door, under the direction of a third man. No flicker of their fingers escaped his fierce stare, and slowly, as the simplicity of the machinery became apparent to him, contempt grew upon him.

He knew what to expect finally, when the flame flared in incandescent violence and ate ravenously at the hard rock beneath. But in spite of his preknowledge, he deliberately jumped and snarled as if in fear, as that white heat burst forth. His ear tendrils caught the laughter of the men, their curious pleasure at his simulated dismay.

The door was released, and Morton came over and went inside with the third man. The latter shook his head.

“It’s a shambles. You can catch the drift of the stuff. Obviously, they used atomic energy, but . . . but it’s in wheel form. That’s a peculiar development. In our science, atomic energy brought in the nonwheel machine. It’s possible that here they’ve progressed further to a new type of wheel mechanics. I hope their libraries are better preserved than this, or we’ll never know. What could have happened to a civilization to make it vanish like this?”

A third voice broke through the communicators: “This is Siedel. I heard your question, Pennons. Psychologically and sociologically speaking, the only reason why a territory becomes uninhabited is lack of food.”

“But they’re so advanced scientifically, why didn’t they develop space flying and go elsewhere for their food?”

“Ask Gunlie Lester,” interjected Morton. “I heard him expounding some theory even before we landed.”

The astronomer answered the first call. “I’ve still got to verify all my facts, but this desolate world is the only planet revolving around that miserable red sun. There’s nothing else. No moon, not even a planetoid. And the nearest star system is nine hundred light-years away.

“So tremendous would have been the problem of the ruling race of this world, that in one jump they would not only have had to solve interplanetary but interstellar space traveling. When you consider how slow our own development was—first the moon, then Venus—each success leading to the next, and after centuries to the nearest stars; and last of all to the anti-accelerators that permitted galactic travel—considering all this, I maintain it would be impossible for any race to create such machines without practical experience. And, with the nearest star so far away, they had no incentive for the space adventuring that makes for experience.”

* * *

Coeurl was trotting briskly over to another group. But now, in the driving appetite that consumed him, and in the frenzy of his high scorn, he paid no attention to what they were doing. Memories of past knowledge, jarred into activity by what he had seen, flowed into his consciousness in an ever-developing and more vivid stream.

From group to group he sped, a nervous dynamo—jumpy, sick with his awful hunger. A little car rolled up, stopping in front of him, and a formidable camera whirred as it took a picture of him. Over on a mound of rock, a gigantic telescope was rearing up toward the sky. Nearby, a disintegrating machine drilled its searing fire into an ever-deepening hole, down and down, straight down.

Coeurl’s mind became a blur of things he watched with half attention. And ever more imminent grew the moment when he knew he could no longer carry on the torture of acting. His brain strained with an irresistible impatience; his body burned with the fury of his eagerness to be off after the man who had gone alone into the city.

He could stand it no longer. A green foam misted his mouth, maddening him. He saw that, for the bare moment, nobody was looking.

Like a shot from a gun, he was off. He floated along in great, gliding leaps, a shadow among the shadows of the rocks. In a minute, the harsh terrain hid the spaceship and the two-legged beings.

Coeurl forgot the ship, forgot everything but his purpose, as if his brain had been wiped clear by a magic, memory-erasing brush. He circled widely, then raced into the city, along deserted streets, taking short cuts with the ease of familiarity, through gaping holes in time-weakened walls, through long corridors of moldering buildings. He slowed to a crouching lope as his ear tendrils caught the id vibrations.

Suddenly, he stopped and peered from a scatter of fallen rock. The man was standing at what must once have been a window, sending the glaring rays of his flashlight into the gloomy interior. The flashlight clicked off. The man, a heavy-set, powerful fellow, walked off with quick, alert steps. Coeurl didn’t like that alertness. It presaged trouble; it meant lightning reaction to danger.

Coeurl waited till the human being vanished around a corner, then he padded into the open. He was running now, tremendously faster than a man could walk, because his plan was clear in his brain. Like a wraith, he slipped down the next street, past a long block of buildings. He turned the first corner at top speed; and then, with dragging belly, crept into the half-darkness between the building and a huge chunk of debris. The street ahead was barred by a solid line of loose rubble that made it like a valley, ending in a narrow, bottlelike neck. The neck had its outlet just below Coeurl.

His ear tendrils caught the low-frequency waves of whistling. The sound throbbed through his being; and suddenly terror caught with icy fingers at his brain. The man would have a gun. Suppose he leveled one burst of atomic energy—one burst—before his own muscles could whip out in murder fury.

A little shower of rocks streamed past. And then the man was beneath him. Coeurl reached out and struck a single crushing blow at the shimmering transparent headpiece of the spacesuit. There was a tearing sound of metal and a gushing of blood. The man doubled up as if part of him had been telescoped. For a moment, his bones and legs and muscles combined miraculously to keep him standing. Then he crumpled with a metallic clank of his space armor.

Fear completely evaporated, Coeurl leaped out of hiding. With ravenous speed, he smashed the metal and the body within it to bits. Great chunks of metal, torn piecemeal from the suit, sprayed the ground. Bones cracked. Flesh crunched.

It was simple to tune in on the vibrations of the id, and to create the violent chemical disorganization that freed it from the crushed bone. The id was, Coeurl discovered, mostly in the bone.

He felt revived, almost reborn. Here was more food than he had had in the whole past year.

Three minutes, and it was over, and Coeurl was off like a thing fleeing dire danger. Cautiously, he approached the glistening globe from the opposite side to that by which he had left. The men were all busy at their tasks. Gliding noiselessly, Coeurl slipped unnoticed up to a group of men.

* * *

Morton stared down at the horror of tattered flesh, metal and blood on the rock at his feet, and felt a tightening in his throat that prevented speech. He heard Kent say:

“He would go alone, damn him!” The little chemist’s voice held a sob imprisoned; and Morton remembered that Kent and Jarvey had chummed together for years in the way only two men can.

“The worst part of it is,” shuddered one of the men, “it looks like a senseless murder. His body is spread out like little lumps of flattened jelly, but it seems to be all there. I’d almost wager that if we weighed everything here, there’d still be one hundred and seventy-five pounds by earth gravity. That’d be about one hundred and seventy pounds here.”

Smith broke in, his mournful face lined with gloom: “The killer attacked Jarvey, and then discovered his flesh was alien—uneatable. Just like our big cat. Wouldn’t eat anything we set before him—” His words died out in sudden, queer silence. Then he said slowly: “Say, what about that creature? He’s big enough and strong enough to have done this with his own little paws.”

Morton frowned. “It’s a thought. After all, he’s the only living thing we’ve seen. We can’t just execute him on suspicion, of course—”

“Besides,” said one of the men, “he was never out of my sight.”

Before Morton could speak, Siedel, the psychologist, snapped, “Positive about that?”

The man hesitated. “Maybe he was for a few minutes. He was wandering around so much, looking at everything.”

“Exactly,” said Siedel with satisfaction. He turned to Morton. “You see, commander, I, too, had the impression that he was always around; and yet, thinking back over it, I find gaps. There were moments—probably long minutes—when he was completely out of sight.”

Morton’s face was dark with thought, as Kent broke in fiercely: “I say, take no chances. Kill the brute on suspicion before he does any more damage.”

Morton said slowly: “Korita, you’ve been wandering around with Cranessy and Van Horne. Do you think pussy is a descendant of the ruling class of this planet?”

The tall Japanese archeologist stared at the sky as if collecting his mind. “Commander Morton,” he said finally, respectfully, “there is a mystery here. Take a look, all of you, at that majestic skyline. Notice the almost Gothic outline of the architecture. In spite of the megalopolis which they created, these people were close to the soil. The buildings are not simply ornamented. They are ornamental in themselves. Here is the equivalent of the Doric column, the Egyptian pyramid, the Gothic cathedral, growing out of the ground, earnest, big with destiny. If this lonely, desolate world can be regarded as a mother earth, then the land had a warm, a spiritual place in the hearts of the race.

“The effect is emphasized by the winding streets. Their machines prove they were mathematicians, but they were artists first; and so they did not create the geometrically designed cities of the ultra-sophisticated world metropolis. There is a genuine artistic abandon, a deep joyous emotion written in the curving and unmathematical arrangements of houses, buildings and avenues; a sense of intensity, of divine belief in an inner certainty. This is not a decadent, hoary-with-age civilization, but a young and vigorous culture, confident, strong with purpose.

“There it ended. Abruptly, as if at this point culture had its Battle of Tours, and began to collapse like the ancient Mohammedan civilization. Or as if in one leap it spanned the centuries and entered the period of contending states. In the Chinese civilization that period occupied 480-230 B.C., at the end of which the State of Tsin saw the beginning of the Chinese Empire. This phase Egypt experienced between 1780-1580 B.C., of which the last century was the ‘Hyksos’—unmentionable—time. The classical experienced it from Chæronea—338—and, at the pitch of horror, from the Gracchi—133—to Actium—31 B.C. The West European Americans were devastated by it in the nineteenth and twentieth centuries, and modern historians agree that, nominally, we entered the same phase fifty years ago; though, of course, we have solved the problem.

“You may ask, commander, what has all this to do with your question? My answer is: there is no record of a culture entering abruptly into the period of contending states. It is always a slow development; and the first step is a merciless questioning of all that was once held sacred. Inner certainties cease to exist, are dissolved before the ruthless probings of scientific and analytic minds. The skeptic becomes the highest type of being.

“I say that this culture ended abruptly in its most flourishing age. The sociological effects of such a catastrophe would be a sudden vanishing of morals, a reversion to almost bestial criminality, unleavened by any sense of ideal, a callous indifference to death. If this . . . this pussy is a descendant of such a race, then he will be a cunning creature, a thief in the night, a cold-blooded murderer, who would cut his own brother’s throat for gain.”

* * *

“That’s enough!” It was Kent’s clipped voice. “Commander, I’m willing to act the role of executioner.”

Smith interrupted sharply: “Listen, Morton, you’re not going to kill that cat yet, even if he is guilty. He’s a biological treasure house.”

Kent and Smith were glaring angrily at each other. Morton frowned at them thoughtfully, then said: “Korita, I’m inclined to accept your theory as a working basis. But one question: Pussy comes from a period earlier than our own? That is, we are entering the highly civilized era of our culture, while he became suddenly historyless in the most vigorous period of his. But it is possible that his culture is a later one on this planet than ours is in the galactic-wide system we have civilized?”

“Exactly. His may be the middle of the tenth civilization of his world; while ours is the end of the eighth sprung from earth, each of the ten, of course, having been builded on the ruins of the one before it.”

“In that case, pussy would not know anything about the skepticism that made it possible for us to find him out so positively as a criminal and murderer?”

“No; it would be literally magic to him.”

Morton was smiling grimly. “Then I think you’ll get your wish, Smith. We’ll let pussy live; and if there are any fatalities, now that we know him, it will be due to rank carelessness. There’s just the chance, of course, that we’re wrong. Like Siedel, I also have the impression that he was always around. But now—we can’t leave poor Jarvey here like this. We’ll put him in a coffin and bury him.”

“No, we won’t!” Kent barked. He flushed. “I beg your pardon, commander. I didn’t mean it that way. I maintain pussy wanted something from that body. It looks to be all there, but something must be missing. I’m going to find out what, and pin this murder on him so that you’ll have to believe it beyond the shadow of a doubt.”

* * *

It was late night when Morton looked up from a book and saw Kent emerge through the door that led from the laboratories below.

Kent carried a large, flat bowl in his hands; his tired eyes flashed across at Morton, and he said in a weary, yet harsh, voice: “Now watch!”

He started toward Coeurl, who lay sprawled on the great rug, pretending to be asleep.

Morton stopped him. “Wait a minute, Kent. Any other time, I wouldn’t question your actions, but you look ill; you’re overwrought. What have you got there?”

Kent turned, and Morton saw that his first impression had been but a flashing glimpse of the truth. There were dark pouches under the little chemist’s gray eyes—eyes that gazed feverishly from sunken cheeks in an ascetic face.

“I’ve found the missing element,” Kent said. “It’s phosphorus. There wasn’t so much as a square millimeter of phosphorus left in Jarvey’s bones. Every bit of it had been drained out—by what super-chemistry I don’t know. There are ways of getting phosphorus out of the human body. For instance, a quick way was what happened to the workman who helped build this ship. Remember, he fell into fifteen tons of molten metalite—at least, so his relatives claimed—but the company wouldn’t pay compensation until the metalite, on analysis, was found to contain a high percentage of phosphorus—”

“What about the bowl of food?” somebody interrupted. Men were putting away magazines and books, looking up with interest.

“It’s got organic phosphorus in it. He’ll get the scent, or whatever it is that he uses instead of scent—”

“I think he gets the vibrations of things,” Gourlay interjected lazily. “Sometimes, when he wiggles those tendrils, I get a distinct static on the radio. And then, again, there’s no reaction, as if he’s moved higher or lower on the wave scale. He seems to control the vibrations at will.”

Kent waited with obvious impatience until Gourlay’s last word, then abruptly went on: “All right, then, when he gets the vibration of the phosphorus and reacts to it like an animal, then—well, we can decide what we’ve proved by his reaction. May I go ahead, Morton?”

“There are three things wrong with your plan,” Morton said. “In the first place, you seem to assume that he is only animal; you seem to have forgotten he may not be hungry after Jarvey; you seem to think that he will not be suspicious. But set the bowl down. His reaction may tell us something.”

Coeurl stared with unblinking black eyes as the man set the bowl before him. His ear tendrils instantly caught the id-vibrations from the contents of the bowl—and he gave it not even a second glance.

He recognized this two-legged being as the one who had held the weapon that morning. Danger! With a snarl, he floated to his feet. He caught the bowl with the fingerlike appendages at the end of one looping tentacle, and emptied its contents into the face of Kent, who shrank back with a yell.

Explosively, Coeurl flung the bowl aside and snapped a hawser-thick tentacle around the cursing man’s waist. He didn’t bother with the gun that hung from Kent’s belt. It was only a vibration gun, he sensed—atomic powered, but not an atomic disintegrator. He tossed the kicking Kent onto the nearest couch—and realized with a hiss of dismay that he should have disarmed the man.

Not that the gun was dangerous—but, as the man furiously wiped the gruel from his face with one hand, he reached with the other for his weapon. Coeurl crouched back as the gun was raised slowly and a white beam of flame was discharged at his massive head.

His ear tendrils hummed as they canceled the efforts of the vibration gun. His round, black eyes narrowed as he caught the movement of men reaching for their metalite guns. Morton’s voice lashed across the silence.

“Stop!”

* * *

Kent clicked off his weapon; and Coeurl crouched down, quivering with fury at this man who had forced him to reveal something of his power.

“Kent,” said Morton coldly, “you’re not the type to lose your head. You deliberately tried to kill pussy, knowing that the majority of us are in favor of keeping him alive. You know what our rule is: If anyone objects to my decisions, he must say so at the time. If the majority object, my decisions are overruled. In this case, no one but you objected, and, therefore, your action in taking the law into your own hands is most reprehensible, and automatically debars you from voting for a year.”

Kent stared grimly at the circle of faces. “Korita was right when he said ours was a highly civilized age. It’s decadent.” Passion flamed harshly in his voice. “My God, isn’t there a man here who can see the horror of the situation? Jarvey dead only a few hours, and this creature, whom we all know to be guilty, lying there unchained, planning his next murder; and the victim is right here in this room. What kind of men are we—fools, cynics, ghouls—or is it that our civilization is so steeped in reason that we can contemplate a murderer sympathetically?”

He fixed brooding eyes on Coeurl. “You were right, Morton, that’s no animal. That’s a devil from the deepest hell of this forgotten planet, whirling its solitary way around a dying sun.”

“Don’t go melodramatic on us,” Morton said. “Your analysis is all wrong, so far as I’m concerned. We’re not ghouls or cynics; we’re simply scientists, and pussy here is going to be studied. Now that we suspect him, we doubt his ability to trap any of us. One against a hundred hasn’t a chance.” He glanced around. “Do I speak for all of us?”

“Not for me, commander!” It was Smith who spoke, and, as Morton stared in amazement, he continued: “In the excitement and momentary confusion, no one seems to have noticed that when Kent fired his vibration gun, the beam hit this creature squarely on his cat head—and didn’t hurt him.”

Morton’s amazed glance went from Smith to Coeurl, and back to Smith again. “Are you certain it hit him? As you say, it all happened so swiftly—when pussy wasn’t hurt I simply assumed that Kent had missed him.”

“He hit him in the face,” Smith said positively. “A vibration gun, of course, can’t even kill a man right away—but it can injure him. There’s no sign of injury on pussy, though, not even a singed hair.”

“Perhaps his skin is a good insulation against heat of any kind.”

“Perhaps. But in view of our uncertainty, I think we should lock him up in the cage.”

While Morton frowned darkly in thought, Kent spoke up. “Now you’re talking sense, Smith.”

Morton asked: “Then you would be satisfied, Kent, if we put him in the cage?”

Kent considered, finally: “Yes. If four inches of micro-steel can’t hold him, we’d better give him the ship.”

Coeurl followed the men as they went out into the corridor. He trotted docilely along as Morton unmistakably motioned him through a door he had not hitherto seen. He found himself in a square, solid metal room. The door clanged metallically behind him; he felt the flow of power as the electric lock clicked home.

His lips parted in a grimace of hate, as he realized the trap, but he gave no other outward reaction. It occurred to him that he had progressed a long way from the sunk-into-primitiveness creature who, a few hours before, had gone incoherent with fear in an elevator cage. Now, a thousand memories of his powers were reawakened in his brain; ten thousand cunnings were, after ages of disuse, once again part of his very being.

He sat quite still for a moment on the short, heavy haunches into which his body tapered, his ear tendrils examining his surroundings. Finally, he lay down, his eyes glowing with contemptuous fire. The fools! The poor fools!

It was about an hour later when he heard the man—Smith—fumbling overhead. Vibrations poured upon him, and for just an instant he was startled. He leaped to his feet in pure terror—and then realized that the vibrations were vibrations, not atomic explosions. Somebody was taking pictures of the inside of his body.

He crouched down again, but his ear tendrils vibrated, and he thought contemptuously: the silly fool would be surprised when he tried to develop those pictures.

After a while the man went away, and for a long time there were noises of men doing things far away. That, too, died away slowly.

Coeurl lay waiting, as he felt the silence creep over the ship. In the long ago, before the dawn of immortality, the coeurls, too, had slept at night; and the memory of it had been revived the day before when he saw some of the men dozing. At last, the vibration of two pairs of feet, pacing, pacing endlessly, was the only human-made frequency that throbbed on his ear tendrils.

Tensely, he listened to the two watchmen. The first one walked slowly past the cage door. Then about thirty feet behind him came the second. Coeurl sensed the alertness of these men; knew that he could never surprise either while they walked separately. It meant—he must be doubly careful!

Fifteen minutes, and they came again. The moment they were past, he switched his sense from their vibrations to a vastly higher range. The pulsating violence of the atomic engines stammered its soft story to his brain. The electric dynamos hummed their muffled song of pure power. He felt the whisper of that flow through the wires in the walls of his cage, and through the electric lock of his door. He forced his quivering body into straining immobility, his senses seeking, searching, to tune in on that sibilant tempest of energy. Suddenly, his ear tendrils vibrated in harmony—he caught the surging charge into shrillness of that rippling force wave.

There was a sharp click of metal on metal. With a gentle touch of one tentacle, Coeurl pushed open the door, and glided out into the dully gleaming corridor. For just a moment he felt contempt, a glow of superiority, as he thought of the stupid creatures who dared to match their wit against a coeurl. And in that moment, he suddenly thought of other coeurls. A queer, exultant sense of race pounded through his being; the driving hate of centuries of ruthless competition yielded reluctantly before pride of kinship with the future rulers of all space.

* * *

Suddenly, he felt weighed down by his limitations, his need for other coeurls, his aloneness—one against a hundred, with the stake all eternity; the starry universe itself beckoned his rapacious, vaulting ambition. If he failed, there would never be a second chance—no time to revive long-rotted machinery, and attempt to solve the secret of space travel.

He padded along on tensed paws—through the salon—into the next corridor—and came to the first bedroom door. It stood half open. One swift flow of synchronized muscles, one swiftly lashing tentacle that caught the unresisting throat of the sleeping man, crushing it; and the lifeless head rolled crazily, the body twitched once.

Seven bedrooms; seven dead men. It was the seventh taste of murder that brought a sudden return of lust, a pure, unbounded desire to kill, return of a millennium-old habit of destroying everything containing the precious id.

As the twelfth man slipped convulsively into death, Coeurl emerged abruptly from the sensuous joy of the kill to the sound of footsteps.

They were not near—that was what brought wave after wave of fright swirling into the chaos that suddenly became his brain.

* * *

The watchmen were coming slowly along the corridor toward the door of the cage where he had been imprisoned. In a moment, the first man would see the open door—and sound the alarm.

Coeurl caught at the vanishing remnants of his reason. With frantic speed, careless now of accidental sounds, he raced—along the corridor with its bedroom doors—through the salon. He emerged into the next corridor, cringing in awful anticipation of the atomic flame he expected would stab into his face.

The two men were together, standing side by side. For one single instant, Coeurl could scarcely believe his tremendous good luck. Like a fool the second had come running when he saw the other stop before the open door. They looked up, paralyzed, before the nightmare of claws and tentacles, the ferocious cat head and hate-filled eyes.

The first man went for his gun, but the second, physically frozen before the doom he saw, uttered a shriek, a shrill cry of horror that floated along the corridors—and ended in a curious gargle, as Coeurl flung the two corpses with one irresistible motion the full length of the corridor. He didn’t want the dead bodies found near the cage. That was his one hope.

Shaking in every nerve and muscle, conscious of the terrible error he had made, unable to think coherently, he plunged into the cage. The door clicked softly shut behind him. Power flowed once more through the electric lock.

He crouched tensely, simulating sleep, as he heard the rush of many feet, caught the vibration of excited voices. He knew when somebody actuated the cage audioscope and looked in. A few moments now, and the other bodies would be discovered.

* * *

“Siedel gone!” Morton said numbly. “What are we going to do without Siedel? And Breckenridge! And Coulter and— Horrible!”

He covered his face with his hands, but only for an instant. He looked up grimly, his heavy chin outthrust as he stared into the stern faces that surrounded him. “If anybody’s got so much as a germ of an idea, bring it out.”

“Space madness!”

“I’ve thought of that. But there hasn’t been a case of a man going mad for fifty years. Dr. Eggert will test everybody, of course, and right now he’s looking at the bodies with that possibility in mind.”

As he finished, he saw the doctor coming through the door. Men crowded aside to make way for him.

“I heard you, commander,” Dr. Eggert said, “and I think I can say right now that the space-madness theory is out. The throats of these men have been squeezed to a jelly. No human being could have exerted such enormous strength without using a machine.”

Morton saw that the doctor’s eyes kept looking down the corridor, and he shook his head and groaned:

“It’s no use suspecting pussy, doctor. He’s in his cage, pacing up and down. Obviously heard the racket and— Man alive! You can’t suspect him. That cage was built to hold literally anything—four inches of micro-steel—and there’s not a scratch on the door. Kent, even you won’t say, ‘Kill him on suspicion,’ because there can’t be any suspicion, unless there’s a new science here, beyond anything we can imagine—”

“On the contrary,” said Smith flatly, “we have all the evidence we need. I used the telefluor on him—you know the arrangement we have on top of the cage—and tried to take some pictures. They just blurred. Pussy jumped when the telefluor was turned on, as if he felt the vibrations.

“You all know what Gourlay said before? This beast can apparently receive and send vibrations of any lengths. The way he dominated the power of Kent’s gun is final proof of his special ability to interfere with energy.”

“What in the name of all hells have we got here?” one of the men groaned. “Why, if he can control that power, and send it out in any vibrations, there’s nothing to stop him killing all of us.”

“Which proves,” snapped Morton, “that he isn’t invincible, or he would have done it long ago.”

Very deliberately, he walked over to the mechanism that controlled the prison cage.

“You’re not going to open the door!” Kent gasped, reaching for his gun.

“No, but if I pull this switch, electricity will flow through the floor, and electrocute whatever’s inside. We’ve never had to use this before, so you had probably forgotten about it.”

He jerked the switch hard over. Blue fire flashed from the metal, and a bank of fuses above his head exploded with a single bang.

Morton frowned. “That’s funny. Those fuses shouldn’t have blown! Well, we can’t even look in, now. That wrecked the audios, too.”

Smith said: “If he could interfere with the electric lock, enough to open the door, then he probably probed every possible danger and was ready to interfere when you threw that switch.”

“At least, it proves he’s vulnerable to our energies!” Morton smiled grimly. “Because he rendered them harmless. The important thing is, we’ve got him behind four inches of the toughest of metal. At the worst we can open the door and ray him to death. But first, I think we’ll try to use the telefluor power cable—”

A commotion from inside the cage interrupted his words. A heavy body crashed against a wall, followed by a dull thump.

“He knows what we were trying to do!” Smith grunted to Morton. “And I’ll bet it’s a very sick pussy in there. What a fool he was to go back into that cage and does he realize it!”

The tension was relaxing; men were smiling nervously, and there was even a ripple of humorless laughter at the picture Smith drew of the monster’s discomfiture.

“What I’d like to know,” said Pennons, the engineer, “is, why did the telefluor meter dial jump and waver at full power when pussy made that noise? It’s right under my nose here, and the dial jumped like a house afire!”

There was silence both without and within the cage, then Morton said: “It may mean he’s coming out. Back, everybody, and keep your guns ready. Pussy was a fool to think he could conquer a hundred men, but he’s by far the most formidable creature in the galactic system. He may come out of that door, rather than die like a rat in a trap. And he’s just tough enough to take some of us with him—if we’re not careful.”

The men back slowly in a solid body; and somebody said: “That’s funny. I thought I heard the elevator.”

“Elevator!” Morton echoed. “Are you sure, man?”

“Just for a moment I was!” The man, a member of the crew, hesitated. “We were all shuffling our feet—”

“Take somebody with you, and go look. Bring whoever dared to run off back here—”

There was a jar, a horrible jerk, as the whole gigantic body of the ship careened under them. Morton was flung to the floor with a violence that stunned him. He fought back to consciousness, aware of the other men lying all around him. He shouted: “Who the devil started those engines!”

The agonizing acceleration continued; his feet dragged with awful exertion, as he fumbled with the nearest audioscope, and punched the engine-room number. The picture that flooded onto the screen brought a deep bellow to his lips:

“It’s pussy! He’s in the engine room—and we’re heading straight out into space.”

The screen went black even as he spoke, and he could see no more.

* * *

It was Morton who first staggered across the salon floor to the supply room where the spacesuits were kept. After fumbling almost blindly into his own suit, he cut the effects of the body-torturing acceleration, and brought suits to the semiconscious men on the floor. In a few moments, other men were assisting him; and then it was only a matter of minutes before everybody was clad in metalite, with anti-acceleration motors running at half power.

It was Morton then who, after first looking into the cage, opened the door and stood, silent as the others who crowded about him, to stare at the gaping hole in the rear wall. The hole was a frightful thing of jagged edges and horribly bent metal, and it opened upon another corridor.

“I’ll swear,” whispered Pennons, “that it’s impossible. The ten-ton hammer in the machine shops couldn’t more than dent four inches of micro with one blow—and we only heard one. It would take at least a minute for an atomic disintegrator to do the job. Morton, this is a super-being.”

Morton saw that Smith was examining the break in the wall. The biologist looked up. “If only Breckinridge weren’t dead! We need a metallurgist to explain this. Look!”

He touched the broken edge of the metal. A piece crumbled in his finger and slithered away in a fine shower of dust to the floor. Morton noticed for the first time that there was a little pile of metallic debris and dust.

“You’ve hit it.” Morton nodded. “No miracle of strength here. The monster merely used his special powers to interfere with the electronic tensions holding the metal together. That would account, too, for the drain on the telefluor power cable that Pennons noticed. The thing used the power with his body as a transforming medium, smashed through the wall, ran down the corridor to the elevator shaft, and so down to the engine room.”

“In the meantime, commander,” Kent said quietly, “we are faced with a super-being in control of the ship, completely dominating the engine room and its almost unlimited power, and in possession of the best part of the machine shops.”

Morton felt the silence, while the men pondered the chemist’s words. Their anxiety was a tangible thing that lay heavily upon their faces; in every expression was the growing realization that here was the ultimate situation in their lives; their very existence was at stake and perhaps much more. Morton voiced the thought in everybody’s mind:

“Suppose he wins. He’s utterly ruthless, and he probably sees galactic power within his grasp.”

“Kent is wrong,” barked the chief navigator. “The thing doesn’t dominate the engine room. We’ve still got the control room, and that gives us first control of all the machines. You fellows may not know the mechanical set-up we have; but, though he can eventually disconnect us, we can cut off all the switches in the engine room now. Commander, why didn’t you just shut off the power instead of putting us into spacesuits? At the very least you could have adjusted the ship to the acceleration.”

“For two reasons,” Morton answered. “Individually, we’re safer within the force fields of our spacesuits. And we can’t afford to give up our advantages in panicky moves.”

“Advantages! What other advantages have we got?”

“We know things about him,” Morton replied. “And right now, we’re going to make a test. Pennons, detail five men to each of the four approaches to the engine room. Take atomic disintegrators to blast through the big doors. They’re all shut, I noticed. He’s locked himself in.

“Selenski, you go up to the control room and shut off everything except the drive engines. Gear them to the master switch, and shut them off all at once. One thing, though—leave the acceleration on full blast. No anti-acceleration must be applied to the ship. Understand?”

“Aye, sir!” The pilot saluted.

“And report to me through the communicators if any of the machines start to run again.” He faced the men. “I’m going to lead the main approach. Kent, you take No. 2; Smith, No. 3, and Pennons, No. 4. We’re going to find out right now if we’re dealing with unlimited science, or a creature limited like the rest of us. I’ll bet on the second possibility.”

* * *

Morton had an empty sense of walking endlessly, as he moved, a giant of a man in his transparent space armor, along the glistening metal tube that was the main corridor of the engine-room floor. Reason told him the creature had already shown feet of clay, yet the feeling that here was an invincible being persisted.

He spoke into the communicator: “It’s not use trying to sneak up on him. He can probably hear a pin drop. So just wheel up your units. He hasn’t been in that engine room long enough to do anything.

“As I’ve said, this is largely a test attack. In the first place, we could never forgive ourselves if we didn’t try to conquer him now, before he’s had time to prepare against us. But, aside from the possibility that we can destroy him immediately, I have a theory.

“The idea goes something like this: Those doors are built to withstand accidental atomic explosions, and it will take fifteen minutes for the atomic disintegrators to smash them. During that period the monster will have no power. True, the drive will be on, but that’s straight atomic explosion. My theory is, he can’t touch stuff like that; and in a few minutes you’ll see what I mean—I hope.”

His voice was suddenly crisp: “Ready, Selenski?”

“Aye, ready.”

“Then cut the master switch.”

The corridor—the whole ship, Morton knew—was abruptly plunged into darkness. Morton clicked on the dazzling light of his spacesuit; the other men did the same, their faces pale and drawn.

“Blast!” Morton barked into his communicator.

The mobile units throbbed; and then pure atomic flame ravened out and poured upon the hard metal of the door. The first molten droplet rolled reluctantly, not down, but up the door. The second was more normal. It followed a shaky downward course. The third rolled sideways—for this was pure force, not subject to gravitation. Other drops followed until a dozen streams trickled sedately yet unevenly in every direction—streams of hellish, sparkling fire, bright as fairy gems, alive with the coruscating fury of atoms suddenly tortured, and running blindly, crazy with pain.

The minutes ate at time like a slow acid. At last Morton asked huskily:

“Selenski?”

“Nothing yet, commander.”

Morton half whispered: “But he must be doing something. He can’t be just waiting in there like a cornered rat. Selenski?”

“Nothing, commander.”

Seven minutes, eight minutes, then twelve.

“Commander!” It was Selenski’s voice, taut. “He’s got the electric dynamo running.”

Morton drew a deep breath, and heard one of his men say:

“That’s funny. We can’t get any deeper. Boss, take a look at this.”

Morton looked. The little scintillating streams had frozen rigid. The ferocity of the disintegrators vented in vain against metal grown suddenly invulnerable.

Morton sighed. “Our test is over. Leave two men guarding every corridor. The others come up to the control room.”

* * *

He seated himself a few minutes later before the massive control keyboard. “So far as I’m concerned the test was a success. We know that of all the machines in the engine room, the most important to the monster was the electric dynamo. He must have worked in a frenzy of terror while we were at the doors.”

“Of course, it’s easy to see what he did,” Pennons said. “Once he had the power he increased the electronic tensions of the door to their ultimate.”

“The main thing is this,” Smith chimed in. “He works with vibrations only so far as his special powers are concerned, and the energy must come from outside himself. Atomic energy in its pure form, not being vibration, he can’t handle any differently than we can.”

Kent said glumly: “The main point in my opinion is that he stopped us cold. What’s the good of knowing that his control over vibrations did it? If we can’t break through those doors with our atomic disintegrators, we’re finished.”

Morton shook his head. “Not finished—but we’ll have to do some planning. First, though, I’ll start these engines. It’ll be harder for him to get control of them when they’re running.”

He pulled the master switch back into place with a jerk. There was a hum, as scores of machines leaped into violent life in the engine room a hundred feet below. The noises sank to a steady vibration of throbbing power.

Three hours later, Morton paced up and down before the men gathered in the salon. His dark hair was uncombed; the space pallor of his strong face emphasized rather than detracted from the outthrust aggressiveness of his jaw. When he spoke, his deep voice was crisp to the point of sharpness:

“To make sure that our plans are fully coordinated, I’m going to ask each expert in turn to outline his part in the overpowering of this creature. Pennons first!”

Pennons stood up briskly. He was not a big man, Morton thought, yet he looked big, perhaps because of his air of authority. This man knew engines, and the history of engines. Morton had heard him trace a machine through its evolution from a simple toy to the highly complicated modern instrument. He had studied machine development on a hundred planets; and there was literally nothing fundamental that he didn’t know about mechanics. It was almost weird to hear Pennons, who could have spoken for a thousand hours and still only have touched upon his subject, say with absurd brevity:

“We’ve set up a relay in the control room to start and stop every engine rhythmically. The trip lever will work a hundred times a second, and the effect will be to create vibrations of every description. There is just a possibility that one or more of the machines will burst, on the principle of soldiers crossing a bridge in step—you’ve heard that old story, no doubt—but in my opinion there is no real danger of a break of that tough metal. The main purpose is simply to interfere with the interference of the creature, and smash through the doors.”

“Gourlay next!” barked Morton.

Gourlay climbed lazily to his feet. He looked sleepy, as if he was somewhat bored by the whole proceedings, yet Morton knew he loved people to think him lazy, a good-for-nothing slouch, who spent his days in slumber and his nights catching forty winks. His title was chief communication engineer, but his knowledge extended to every vibration field; and he was probably, with the possible exception of Kent, the fastest thinker on the ship. His voice drawled out, and—Morton noted—the very deliberate assurance of it had a soothing effect on the men—anxious faces relaxed, bodies leaned back more restfully:

“Once inside,” Gourlay said, “we’ve rigged up vibration screens of pure force that should stop nearly everything he’s got on the ball. They work on the principle of reflection, so that everything he sends will be reflected back to him. In addition, we’ve got plenty of spare electric energy that we’ll just feed him from mobile copper cups. There must be a limit to his capacity for handling power with those insulated nerves of his.”

“Selenski!” called Morton.

The chief pilot was already standing, as if he had anticipated Morton’s call. And that, Morton reflected, was the man. His nerves had that rocklike steadiness which is the first requirement of the master controller of a great ship’s movements; yet that very steadiness seemed to rest on dynamite ready to explode at its owner’s volition. He was not a man of great learning, but he “reacted” to stimuli so fast that he always seemed to be anticipating.

“The impression I’ve received of the plan is that it must be cumulative. Just when the creature thinks that he can’t stand any more, another thing happens to add to his trouble and confusion. When the uproar’s at its height, I’m supposed to cut in the anti-accelerators. The commander thinks with Gunlie Lester that these creatures will know nothing about anti-acceleration. It’s a development, pure and simple, of the science of interstellar flight, and couldn’t have been developed in any other way. We think when the creature feels the first effects of the anti-acceleration—you all remember the caved-in feeling you had the first month—it won’t know what to think or do.”

* * *

“Korita next.”

“I can only offer you encouragement,” said the archeologist, “on the basis of my theory that the monster has all the characteristics of a criminal of the early ages of any civilization, complicated by an apparent reversion to primitiveness. The suggestion has been made by Smith that his knowledge of science is puzzling, and could only mean that we are dealing with an actual inhabitant, not a descendant of the inhabitants of the dead city we visited. This would ascribe virtual immortality to our enemy, a possibility which is borne out by his ability to breathe both oxygen and chlorine—or neither—but even that makes no difference. He comes from a certain age in his civilization; and he has sunk so low that his ideas are mostly memories of that age.

“In spite of all the powers of his body, he lost his head in the elevator the first morning, until he remembered. He placed himself in such a position that he was forced to reveal his special powers against vibrations. He bungled the mass murders a few hours ago. In fact, his whole record is one of the low cunning of the primitive, egotistical mind which has little or no conception of the vast organization with which it is confronted.

“He is like the ancient German soldier who felt superior to the elderly Roman scholar, yet the latter was part of a mighty civilization of which the Germans of that day stood in awe.

“You may suggest that the sack of Rome by the Germans in later years defeats my argument; however, modern historians agree that the ‘sack’ was an historical accident, and not history in the true sense of the word. The movement of the ‘Sea-peoples’ which set in against the Egyptian civilization from 1400 B.C. succeeded only as regards the Cretan island-realm—their mighty expeditions against the Libyan and Phoenician coasts, with the accompaniment of Viking fleets, failed as those of the Huns failed against the Chinese Empire. Rome would have been abandoned in any event. Ancient, glorious Samarra was desolate by the tenth century; Pataliputra, Asoka’s great capital, was an immense and completely uninhabited waste of houses when the Chinese traveler Hsinan-tang visited it about A.D. 635.

“We have, then, a primitive, and that primitive is now far out in space, completely outside of his natural habitat. I say, let’s go in and win.”

One of the men grumbled, as Korita finished: “You can talk about the sack of Rome being an accident, and about this fellow being a primitive, but the facts are facts. It looks to me as if Rome is about to fall again; and it won’t be no primitive that did it, either. This guy’s got plenty of what it takes.”

Morton smiled grimly at the man, a member of the crew. “We’ll see about that—right now!”

* * *

In the blazing brilliance of the gigantic machine shop, Coeurl slaved. The forty-foot, cigar-shaped spaceship was nearly finished. With a grunt of effort, he completed the laborious installation of the drive engines, and paused to survey his craft.

Its interior, visible through the one aperture in the outer wall, was pitifully small. There was literally room for nothing but the engines—and a narrow space for himself.

He plunged frantically back to work as he heard the approach of the men, and the sudden change in the tempest-like thunder of the engines—a rhythmical off-and-on hum, shriller in tone, sharper, more nerve-racking than the deep-throated, steady throb that had preceded it. Suddenly, there were the atomic disintegrators again at the massive outer doors.

He fought them off, but never wavered from his task. Every mighty muscle of his powerful body strained as he carried great loads of tools, machines and instruments, and dumped them into the bottom of his makeshift ship. There was no time to fit anything into place, no time for anything—no time—no time.

The thought pounded at his reason. He felt strangely weary for the first time in his long and vigorous existence. With a last, tortured heave, he jerked the gigantic sheet of metal into the gaping aperture of the ship—and stood there for a terrible minute, balancing it precariously.

He knew the doors were going down. Half a dozen disintegrators concentrating on one point were irresistibly, though slowly, eating away the remaining inches. With a gasp, he released his mind from the doors and concentrated every ounce of his mind on the yard-thick outer wall, toward which the blunt nose of his ship was pointing.

His body cringed from the surging power that flowed from the electric dynamo through his ear tendrils into that resisting wall. The whole inside of him felt on fire, and he knew that he was dangerously close to carrying his ultimate load.

And still he stood there, shuddering with the awful pain, holding the unfastened metal plate with hard-clenched tentacles. His massive head pointed as in dread fascination at that bitterly hard wall.

He heard one of the engine-room doors crash inward. Men shouted; disintegrators rolled forward, their raging power unchecked. Coeurl heard the floor of the engine room hiss in protest, as those beams of atomic energy tore everything in their path to bits. The machines rolled closer; cautious footsteps sounded behind them. In a minute they would be at the flimsy doors separating the engine room from the machine shop.

Suddenly, Coeurl was satisfied. With a snarl of hate, a vindictive glow of feral eyes, he ducked into his little craft, and pulled the metal plate down into place as if it was a hatchway.

His ear tendrils hummed, as he softened the edges of the surrounding metal. In an instant, the plate was more than welded—it was part of his ship, a seamless, rivetless part of a whole that was solid opaque metal except for two transparent areas, one in the front, one in the rear.

His tentacle embraced the power drive with almost sensuous tenderness. There was a forward surge of his fragile machine, straight at the great outer wall of the machine shops. The nose of the forty-foot craft touched—and the wall dissolved in a glittering shower of dust.

Coeurl felt the barest retarding movement; and then he kicked the nose of the machine out into the cold of space, twisted it about, and headed back in the direction from which the big ship had been coming all these hours.

Men in space armor stood in the jagged hole that yawned in the lower reaches of the gigantic globe. The men and the great ship grew smaller. Then the men were gone; and there was only the ship with its blaze of a thousand blurring portholes. The ball shrank incredibly, too small now for individual portholes to be visible.

Almost straight ahead, Coeurl saw a tiny, dim, reddish ball—his own sun, he realized. He headed toward it at full speed. There were caves where he could hide and with other coeurls build secretly a spaceship in which they could reach other planets safety—now that he knew how.

His body ached from the agony of acceleration, yet he dared not let up for a single instant. He glanced back, half in terror. The globe was still there, a tiny dot of light in the immense blackness of space. Suddenly it twinkled and was gone.

For a brief moment, he had the empty, frightened impression that just before it disappeared, it moved. But he could see nothing. He could not escape the belief that they had shut off all their lights, and were sneaking up on him in the darkness. Worried and uncertain, he looked through the forward transparent plate.

* * *

A tremor of dismay shot through him. The dim red sun toward which he was heading was not growing larger. It was becoming smaller by the instant, and it grew visibly tinier during the next five minutes, became a pale-red dot in the sky—and vanished like the ship.

Fear came then, a blinding surge of it, that swept through his being and left him chilled with the sense of the unknown. For minutes, he stared frantically into the space ahead, searching for some landmark. But only the remote stars glimmered there, unwinking points against a velvet background of unfathomable distance.

Wait! One of the points was growing larger. With every muscle and nerve tensed, Coeurl watched the point becoming a dot, a round ball of light—red light. Bigger, bigger, it grew. Suddenly, the red light shimmered and turned white—and there, before him, was the great globe of the spaceship, lights glaring from every porthole, the very ship which a few minutes before he had watched vanish behind him.

Something happened to Coeurl in that moment. His brain was spinning like a flywheel, faster, faster, more incoherently. Suddenly, the wheel flew apart into a million aching fragments. His eyes almost started from their sockets as, like a maddened animal, he raged in his small quarters.

His tentacles clutched at precious instruments and flung them insensately; his paws smashed in fury at the very walls of his ship. Finally, in a brief flash of sanity, he knew that he couldn’t face the inevitable fire of atomic disintegrators.

It was a simple thing to create the violent disorganization that freed every drop of id from his vital organs.

* * *

They found him lying dead in a little pool of phosphorus.

“Poor pussy,” said Morton. “I wonder what he thought when he saw us appear ahead of him, after his own sun disappeared. Knowing nothing of anti-accelerators, he couldn’t know that we could stop short in space, whereas it would take him more than three hours to decelerate; and in the meantime he’d be drawing farther and farther away from where he wanted to go. He couldn’t know that by stopping, we flashed past him at millions of miles a second. Of course, he didn’t have a chance once he left our ship. The whole world must have seemed topsy-turvy.”

“Never mind the sympathy,” he heard Kent say behind him. “We’ve got a job—to kill every cat in that miserable world.”

Korita murmured softly: “That should be simple. They are but primitives; and we have merely to sit down, and they will come to us, cunningly expecting to delude us.”

Smith snapped: “You fellows make me sick! Pussy was the toughest nut we ever had to crack. He had everything he needed to defeat us—”

Morton smiled as Korita interrupted blandly: “Exactly, my dear Smith, except that he reacted according to the biological impulses of his type. His defeat was already foreshadowed when we unerringly analyzed him as a criminal from a certain era of his civilization.

“It was history, honorable Mr. Smith, our knowledge of history that defeated him,” said the Japanese archeologist, reverting to the ancient politeness of his race.

 

 

 

Afterword by Eric Flint

I first read "Black Destroyer" at about the same age David did—thirteen, the age which Terry Carr once quipped was the age that defined everybody's "Golden Age"—although I read it in the version which Van Vogt rewrote as the first episode in his quasi-novel The Voyage of the Space Beagle. It really doesn't matter. I was devouring anything by Van Vogt I could get my hands on, then. Many years later, looking back from the vantage point of an adult, I find aspects of Van Vogt's writing which I dislike—especially his tendency to lean heavily on the theme of the superman who manipulates the human race for its own good. But I was oblivious to all that as a teenager. All that struck me—as it still does, whatever my reservations in other respects—is Van Vogt's superb ability to depict a future with a truly galactic sweep and scope to it. I found that inspiring then, and I still do.

 

 

 

 

 

 

 

Goblin Night by James H. Schmitz

Goblin Night

by James H. Schmitz



Preface by Eric Flint

 

When we decided to put together this anthology, one of the authors I knew I wanted to include it in was James H. Schmitz. He was perhaps not quite as important to me as Heinlein and Clarke and Andre Norton, who formed the triad around which I assembled all other science fiction writers in my mind as a teenager. But awfully close.

Why? It's hard to say. (Well . . . more precisely, it's hard to say briefly.)

Part of it may be that I've always had a soft spot for hard luck cases. Schmitz had one of those reputations which was very high at the time, but not quite high enough to guarantee him the more or less perpetual status that Heinlein and Clarke have enjoyed. (Although I'm hoping the reissue of his complete works which I recently edited for Baen Books will turn that around. We'll see.)

Schmitz was a quirky writer, in some ways, as is exemplified by his insistence on using mainly female characters in an era when females appeared rarely enough as the central figures in SF stories—and almost never, except in Schmitz's own stories, as the heroines of action stories. But a lot of his "hard luck" was just that—bad luck.

When it came to the major science fiction awards, for instance, Schmitz always seemed to have the misfortune to get nominated for the finals in the same year that the competition was ferocious.

This story, "Goblin Night," was nominated for the Nebula best novelette award in 1967—along with another story by Schmitz, "Planet of Forgetting." They both lost to Roger Zelazny's "The Doors of His Face, the Lamps of His Mouth."

That very same year, he had a third story in the running for the Nebula—"Balanced Ecology," in the short story category. It lost to Harlan Ellison's "'Repent, Harlequin!' Said the Ticktockman."

It gets better. Schmitz actually had four stories in the running for the Nebula that year. "Research Alpha," co-authored with A.E. Van Vogt, was up for the novella. It lost to Zelazny's "He Who Shapes."

Four stories nominated for three different categories in the Nebula award in one year. That's got to be some kind of record, or close to it. And still . . . nothing.

"Lion Loose" was a Hugo finalist for best short fiction in 1962—during the stretch of a few years when the Hugo didn't separate "short fiction" into specific categories. It lost to Brian Aldiss' collection, The Long Afternoon of Earth. A few years earlier or a few years later, it might very well have won the award for best novella.

Just to top it all off, his best known novel, The Witches of Karres, made it to the short final list of the Hugo nominees for best novel in 1967. And . . . so did Robert Heinlein's The Moon Is a Harsh Mistress.

So it goes. In the long run, these things rarely matter very much. And for the purposes of this anthology, they didn't matter at all. Over forty years have gone by since I first began reading James H. Schmitz, and I've never grown tired of him. For me as for anyone willing to be honest about it, that's the only definition of "good writing" that counts.

 

 

 

There was a quivering of psi force. Then a sudden, vivid sense of running and hiding, in horrible fear of a pursuer from whom there was no escape—

Telzey’s breath caught in her throat. A psi screen had flicked into instant existence about her mind, blocking out incoming impulses. The mental picture, the feeling of pursuit, already was gone, had touched her only a moment; but she stayed motionless seconds longer, eyes shut, pulses hammering out a roll of primitive alarms. She’d been dozing uneasily for the past hour, aware in a vague way of the mind-traces of a multitude of wildlife activities in the miles of parkland around. And perhaps she’d simply fallen asleep, begun to dream. . . .

Perhaps, she thought—but it wasn’t very likely. She hadn’t been relaxed enough to be touching the fringes of sleep and dream-stuff. The probability was that, for an instant, she’d picked up the reflection of a real event, that somebody not very far from here had encountered death in some grisly form at that moment.

She hesitated, then thinned the blocking screen to let her awareness spread again through the area, simultaneously extended a quick, probing thread of thought with a memory-replica of the pattern she’d caught. If it touched the mind that had produced the pattern originally, it might bring a momentary flash of echoing details and further information. . . . assuming the mind was still alive, still capable of responding.

She didn’t really believe it would still be alive. The impression she’d had in that instant was that death was only seconds away.

The general murmur of mind-noise began to grow up about her again, a varying pulse of life and psi energies, diminishing gradually with distance, arising from her companions, from animals on plain and mountain, with an undernote of the dimmer emanations of plants. But no suggestion came now of the vividly disturbing sensations of a moment ago.

* * *

Telzey opened her eyes, glanced around at the others sitting about the campfire in the mouth of Cil Chasm. There were eleven of them, a group of third and fourth year students of Pehanron College who had decided to spend the fall holidays in Melna Park. The oldest was twenty-two; she herself was the youngest—Telzey Amberdon, age fifteen. There was also a huge white dog named Chomir, not in view at the moment, the property of one of her friends who had preferred to go on a spacecruise with a very special date over the holidays. Chomir would have been a little in the way in an IP cruiser, so Telzey had brought him along to the park instead.

In the early part of the evening, they had built their fire where the great Cil canyon opened on the rolling plain below. The canyon walls rose to either side of the camp, smothered with evergreen growth; and the Cil River, a quick, nervous stream, spilled over a series of rocky ledges a hundred feet away. The boys had set up a translucent green tent canopy, and sleeping bags were arranged beneath it. But Gikkes and two of the other girls already had announced that when they got ready to sleep, they were going to take up one of the aircars and settle down in it for the night a good thirty feet above the ground.

The park rangers had assured them such measures weren’t necessary. Melna Park was full of Orado’s native wildlife—that, after all, was why it had been established—but none of the animals were at all likely to become aggressive towards visitors. As for human marauders, the park was safer than the planet’s cities. Overflights weren’t permitted; visitors came in at ground level through one of the various entrance stations where their aircars were equipped with sealed engine locks, limiting them to contour altitudes of a hundred and fifty feet and to a speed of thirty miles an hour. Only the rangers’ cars were not restricted, and only the rangers carried weapons.

It made Melna Park sound like an oasis of sylvan tranquility. But as it turned towards evening, the stars of the great cluster about Orado brightened to awesomely burning splendor in the sky. Some of them, like Gikkes, weren’t used to the starblaze, had rarely spent a night outside the cities where night-screens came on gradually at the end of the day to meet the old racial preference for a dark sleep period.

Here night remained at an uncertain twilight stage until a wind began moaning up in the canyon and black storm clouds started to drift over the mountains and out across the plain. Now there were quick shifts between twilight and darkness, and eyes began to wander uneasily. There was the restless chatter of the river nearby. The wind made odd sounds in the canyon; they could hear sudden cracklings in bushes and trees, occasional animal voices.

* * *

“You get the feeling,” Gikkes remarked, twisting her neck around to stare up Cil Chasm, “that something like a lullbear or spook might come trotting out of there any minute!”

Some of the others laughed uncertainly. Valia said, “Don’t be silly! There haven’t been animals like that in Melna Park for fifty years.” She looked over at the group about Telzey. “Isn’t that right, Pollard?”

Pollard was the oldest boy here. He was majoring in biology, which might make him Valia’s authority on the subject of lullbears and spooks. He nodded, said, “You can still find them in the bigger game preserves up north. But naturally they don’t keep anything in public parks that makes a practice of chewing up the public. Anything you meet around here, Gikkes, will be as ready to run from you as you are from it.”

“That’s saying a lot!” Rish added cheerfully. The others laughed again, and Gikkes looked annoyed.

Telzey had been giving only part of her attention to the talk. She felt shut down, temporarily detached from her companions. It had taken all afternoon to come across the wooded plains from the entrance station, winding slowly above the rolling ground in the three aircars which had brought them here. Then, after they reached Cil Chasm where they intended to stay, she and Rish and Dunker, two charter members of her personal fan club at Pehanron, had spent an hour fishing along the little river, up into the canyon and back down again. They had a great deal of excitement and caught enough to provide supper for everyone; but it involved arduous scrambling over slippery rocks, wading in cold, rushing water, and occasional tumbles, in one of which Telzey knocked her wrist-talker out of commission for the duration of the trip.

Drowsiness wasn’t surprising after all the exercise. The surprising part was that, in spite of it, she didn’t seem able to relax completely. As a rule, she felt at home wherever she happened to be outdoors. But something about this place was beginning to bother her. She hadn’t noticed it at first, she had laughed at Gikkes with the others when Gikkes began to express apprehensions. But when she settled down after supper, feeling a comfortable muscular fatigue begin to claim her, she grew aware of a vague disturbance. The atmosphere of Melna Park seemed to change slowly. A hint of cruelty and savagery crept into it, of hidden terrors. Mentally, Telzey felt herself glancing over her shoulder towards dark places under the trees, as if something like a lullbear or spook actually was lurking there.

And then, in that uneasy, half-awake condition, there suddenly had been this other thing, like a dream-flash in which somebody desperately ran and hid from a mocking pursuer. To the terrified human quarry, the pursuer appeared as a glimpsed animalic shape in the twilight, big and moving swiftly, but showing no other details.

And there had been the flickering of psi energy about the scene. . . .

* * *

Telzey shifted uncomfortably, running her tongue tip over her lips. The experience had been chillingly vivid; but if something of the sort really had occurred, the victim had died moments later. In that respect, there was no reason to force herself to quick decisions now. And it might, after all, have been a dream, drifting up in her mind, created by the mood of the place. She realized she would like to believe it was a dream.

But in that case, what was creating the mood of the place?

Gikkes? It wasn’t impossible. She had decided some time ago that personal acquaintances should be off limits to telepathic prowling, but when someone was around at all frequently, scraps of information were likely to filter through. So she knew Gikkes also had much more extensively developed telepathic awareness than the average person. Gikkes didn’t know it and couldn’t have put it to use anyway. In her, it was an erratic, unreliable quality which might have kept her in a badly confused state of mind if she had been more conscious of its effects.

But the general uneasiness Telzey had sensed and that brief psi surge—if that was what it was—fragmentary but carrying a complete horrid little story with it, could have come to her from Gikkes. Most people, even when they thought they were wide awake, appeared to be manufacturing dreams much of the time in an area of their minds they didn’t know about; and Gikkes seemed nervous enough this evening to be manufacturing unconscious nightmares and broadcasting them.

But again—what made Gikkes so nervous here? The unfamiliar environment, the frozen beauty of the starblaze overhanging the sloping plain like a tent of fire, might account for it. But it didn’t rule out a more specific source of disturbance.

She could make sure, Telzey thought, by probing into Gikkes’s mind and finding out what was going on in there. Gikkes wouldn’t know it was happening. But it took many hours, as a rule, to develop adequate contact unless the other mind was also that of a functioning telepath. Gikkes was borderline—a telepath, but not functional, or only partly so—and if she began probing around in those complexities without the experience to tell her just how to go about it, she might wind up doing Gikkes some harm.

She looked over at Gikkes. Gikkes met her eyes, said, “Shouldn’t you start worrying about that dog of Gonwil’s? He hasn’t been in sight for the past half-hour.”

“Chomir’s all right,” Telzey said. “He’s still checking over the area.”

Chomir was, in fact, only a few hundred yards away, moving along the Cil River up in the canyon. She’d been touching the big dog’s mind lightly from time to time during the evening to see what he was doing. Gikkes couldn’t know that, of course—nobody in this group suspected Telzey of psionic talents. But she had done a great deal of experimenting with Chomir, and nowadays she could, if she liked, almost see with his eyes, smell with his nose, and listen through his ears. At this instant, he was watching half a dozen animals large enough to have alarmed Gikkes acutely. Chomir’s interest in Melna Park’s wildlife didn’t go beyond casual curiosity. He was an Askanam hound, a breed developed to fight man or beast in pit and arena, too big and powerful to be apprehensive about other creatures and not inclined to chase strange animals about without purpose as a lesser dog might do.

“Well,” Gikkes said, “if I were responsible for somebody else’s dog, if I’d brought him here, I’d be making sure he didn’t run off and get lost—”

* * *

Telzey didn’t answer. It took no mind-reading to know that Gikkes was annoyed because Pollard had attached himself to Telzey’s fan club after supper and settled down beside her. Gikkes had invited Pollard to come along on the outing; he was president of various organizations and generally important at Pehanron College. Gikkes, the glamour girl, didn’t like it at all that he’d drifted over to Telzey’s group, and while Telzey had no designs on him, she couldn’t very well inform Gikkes of that without ruffling her further.

“I,” Gikkes concluded, “would go look for him.”

Pollard stood up. “It would be too bad if he strayed off, wouldn’t it?” he agreed. He gave Telzey a lazy smile. “Why don’t you and I look around a little together?”

Well, that was not exactly what Gikkes had intended. Rish and Dunker didn’t think much of it either. They were already climbing to their feet, gazing sternly at Pollard.

Telzey glanced at them, checked the watch Dunker had loaned her after she smashed the one in her wrist-talker on the fishing excursion.

“Let’s wait another five minutes,” she suggested. “If he isn’t back by then, we can all start looking.”

As they settled down again, she sent a come-here thought to Chomir. She didn’t yet know what steps she might have to take in the other matter, but she didn’t want to be distracted by problems with Gikkes and the boys.

She felt Chomir’s response. He turned, got his bearings instantly with nose, ears, and—though he wasn’t aware of that—by the direct touch of their minds, went bounding down into the river, and splashed noisily through the shallow water. He was taking what seemed to him a short cut to the camp. But that route would lead him high up the opposite bank of the twisting Cil, to the far side of the canyon.

“Not that way, stupid!” Telzey thought, verbalizing it for emphasis. “Turn around—go back!”

And then, as she felt the dog pause comprehendingly, a voice, edged with the shock of surprise—perhaps of fear—exclaimed in her mind, “Who are you? Who said that?”

* * *

There had been a number of occasions since she became aware of her abilities when she’d picked up the thought-forms of another telepath. She hadn’t tried to develop such contacts, feeling in no hurry to strike up an acquaintanceship on the psionic level. That was part of a world with laws and conditions of its own which should be studied thoroughly if she was to avoid creating problems for herself and others, and at present she simply didn’t have the time for thorough study.

Even with the tentative exploration she’d been doing, problems arose. One became aware of a situation of which others weren’t aware, and then it wasn’t always possible to ignore the situation, to act as if it didn’t exist. But depending on circumstances, it could be extremely difficult to do something effective about it, particularly when one didn’t care to announce publicly that one was a psi.

The thing that appeared to have happened in Melna Park tonight had seemed likely to present just such problems. Then this voice spoke to her suddenly, coming out of the night, out of nowhere. Another telepath was in the area, to whom the encounter was as unexpected as it was to her. There was no immediate way of knowing whether that was going to help with the problem or complicate it further, but she had no inclination to reply at once. Whoever the stranger was, the fact that he—there had been a strong male tinge to the thoughts—was also a psi didn’t necessarily make him a brother. She knew he was human; alien minds had other flavors. His questions had come in the sharply defined forms of a verbalization; he might have been speaking aloud in addressing her. There was something else about them she hadn’t noticed in previous telepathic contacts—an odd, filtered quality as though his thoughts passed through a distorting medium before reaching her.

She waited, wondering about it. While she wasn’t strongly drawn to this stranger, she felt no particular concern about him. He had picked up her own verbalized instructions to Chomir, had been startled by them, and, therefore, hadn’t been aware of anything she was thinking previously. She’d now tightened the veil of psi energy about her mind a little, enough to dampen out the drifting threads of subconscious thought by which an unguarded mind was most easily found and reached. Tightened further, as it could be in an instant, it had stopped genuine experts in mind-probing in their tracks. This psi was no expert; an expert wouldn’t have flung surprised questions at her. She didn’t verbalize her thinking as a rule, and wouldn’t do it now until she felt like it. And she wouldn’t reach out for him. She decided the situation was sufficiently in hand.

The silence between them lengthened. He might be equally wary now, regretting his brief outburst.

Telzey relaxed her screen, flicked out a search-thought to Chomir, felt him approaching the camp in his easy, loping run, closed the screen again. She waited a few seconds. There was no indication of interest apparently, even when he had his attention on her, he was able to sense only her verbalized thoughts. That simplified the matter.

She lightened the screen again. “Who are you?” she asked.

The reply came instantly. “So I wasn’t dreaming! For a moment, I thought. . . . Are there two of you?”

“No. I was talking to my dog.” There was something odd about the quality of his thoughts. He might be using a shield or screen of some kind, not of the same type as hers but perhaps equally effective.

“Your dog? I see. It’s been over a year,” the voice said, “since I’ve spoken to others like this.” It paused. “You’re a woman. . . . young. . . . a girl . . .”

There was no reason to tell him she was fifteen. What Telzey wanted to know just now was whether he also had been aware of a disturbance in Melna Park. She asked, “Where are you?”

He didn’t hesitate. “At my home. Twelve miles south of Cil Chasm across the plain, at the edge of the forest. The house is easy to see from the air.”

He might be a park official. They’d noticed such a house on their way here this afternoon and speculated about who could be living there. Permission to make one’s residence in a Federation Park was supposedly almost impossible to obtain.

“Does that tell you anything?” the voice went on.

“Yes,” Telzey said. “I’m in the park with some friends. I think I’ve seen your house.”

“My name,” the bodiless voice told her, “is Robane. You’re being careful. I don’t blame you. There are certain risks connected with being a psi, as you seem to understand. If we were in a city, I’m not sure I would reveal myself. But out here. . . . Somebody built a fire this evening where the Cil River leaves the Chasm. I’m a cripple and spend much of my time studying the park with scanners. Is that your fire?”

Telzey hesitated a moment. “Yes.”

“Your friends,” Robane’s voice went on, “they’re aware you and I. . . . they know you’re a telepath?”

“No.”

“Would you be able to come to see me for a while without letting them know where you’re going?”

“Why should I do that?” Telzey asked.

“Can’t you imagine? I’d like to talk to a psi again.”

“We are talking,” she said.

Silence for a moment.

* * *

“Let me tell you a little about myself,” Robane said then. “I’m approaching middle age—from your point I might even seem rather old. I live here alone except for a well-meaning but rather stupid housekeeper named Feddler. Feddler seems old from my point of view. Four years ago, I was employed in one of the Federation’s science departments. I am. . . . was. . . . considered to be among the best in my line of work. It wasn’t very dangerous work so long as certain precautions were observed. But one day a fool made a mistake. His mistake killed two of my colleagues. It didn’t quite kill me, but since that day I’ve been intimately associated with a machine which has the responsibility of keeping me alive from minute to minute. I’d die almost immediately if I were removed from it.

“So my working days are over. And I no longer want to live in cities. There are too many foolish people there to remind me of the one particular fool I’d prefer to forget. Because of the position I’d held and the work I’d done, the Federation permitted me to make my home in Melna Park where I could be by myself . . .”

The voice stopped abruptly but Telzey had the impression Robane was still talking, unaware that something had dimmed the thread of psi between them. His own screen perhaps? She waited, alert and quiet. It might be deliberate interference, the manifestation of another active psionic field in the area—a disturbing and malicious one.

“. . . . On the whole, I like it here.” Robane’s voice suddenly was back, and it was evident he didn’t realize there had been an interruption. “A psi need never be really bored, and I’ve installed instruments to offset the disadvantages of being a cripple. I watch the park through scanners and study the minds of animals. . . . Do you like animal minds?”

That, Telzey thought, hadn’t been at all a casual question. “Sometimes,” she told Robane carefully. “Some of them.”

“Sometimes? Some of them? I wonder. . . . Solitude on occasion appears to invite the uncanny. One may notice things that seem out of place, that are disquieting. This evening. . . . during the past hour perhaps, have you. . . . were there suggestions of activities . . .” He paused. “I find I don’t quite know how to say this.”

“There was something,” she said. “For a moment, I wasn’t sure I wasn’t dreaming.”

“You mean something ugly . . .”

“Yes.”

“Fear,” Robane’s voice said in her mind. “Fear, pain, death. Savage cruelty. So you caught it, too. Very strange! Perhaps an echo from the past touched our minds in that moment, from the time when creatures who hated man still haunted this country.

“But—well, this is one of the rare occasions when I feel lonely here. And then to hear another psi, you see. . . . Perhaps I’m even a little afraid to be alone in the night just now. I’d like to speak to you, but not in this way—not in any great detail. One can never be sure who else is listening. . . . I think there are many things two psis might discuss to their advantage.”

The voice ended on that. He’d expressed himself guardedly, and apparently he didn’t expect an immediate reply to his invitation. Telzey bit her lip. Chomir had come trotting up, had been welcomed by her and settled down. Gikkes was making cooing sounds and snapping her fingers at him. Chomir ignored the overtures. Ordinarily, Gikkes claimed to find him alarming; but here in Melna Park at night, the idea of having an oversized dog near her evidently had acquired a sudden appeal—

So Robane, too, had received the impression of unusual and unpleasant events this evening. . . . events he didn’t care to discuss openly. The indication that he felt frightened probably needn’t be taken too seriously. He was in his house, after all; and so isolated a house must have guard-screens. The house of a crippled, wealthy recluse, who was avoiding the ordinary run of humanity, would have very effective guard-screens. If something did try to get at Robane, he could put in a call to the nearest park station and have an armed ranger car hovering about his roof in a matter of minutes. That suggestion had been intended to arouse her sympathy for a shut-in fellow psi, help coax her over to the house.

But he had noticed something. Something, to judge from his cautious description, quite similar to what she had felt. Telzey looked at Chomir, stretched out on the sandy ground between her and the fire, at the big, wolfish head, the wedge of powerful jaws. Chomir was not exactly an intellectual giant but he had the excellent sensory equipment and alertness of a breed of fighting animals. If there had been a disturbance of that nature in the immediate vicinity, he would have known about it, and she would have known about it through him.

The disturbance, however, might very well have occurred somewhere along the twelve-mile stretch between the point where Cil Chasm split the mountains and Robane’s house across the plain. Her impression had been that it was uncomfortably close to her. Robane appeared to have sensed it as uncomfortably close to him. He had showed no inclination to do anything about it, and there was, as a matter of fact, no easy way to handle the matter. Robane clearly was no more anxious than she was to reveal himself as a psi; and, in any case, the park authorities would be understandably reluctant to launch a search for a vicious but not otherwise identified man-hunting beast on no better evidence than reported telepathic impressions—at least, until somebody was reported missing.

It didn’t seem a good idea to wait for that. For one thing, Telzey thought, the killer might show up at their fire before morning. . . .

She grimaced uneasily, sent a troubled glance around the group. She hadn’t been willing to admit it but she’d really known for minutes now that she was going to have to go look for the creature. In an aircar, she thought, even an aircar throttled down to thirty miles an hour and a contour altitude of a hundred and fifty feet, she would be in no danger from an animal on the ground if she didn’t take very stupid chances. The flavor of psi about the event she didn’t like. That was still unexplained. But she was a psi herself, and she would be careful.

She ran over the possibilities in her mind. The best approach should be to start out towards Robane’s house and scout the surrounding wildlands mentally along that route. If she picked up traces of the killer-thing, she could pinpoint its position, call the park rangers from the car, and give them a story that would get them there in a hurry. They could do the rest. If she found nothing, she could consult with Robane about the next moves to make. Even if he didn’t want to take a direct part in the search, he might be willing to give her some help with it.

Chomir would remain here as sentinel. She’d plant a trace of uneasiness in his mind, just enough to make sure he remained extremely vigilant while she was gone. At the first hint from him that anything dangerous was approaching the area, she’d use the car’s communicator to have everybody pile into the other two aircars and get off the ground. Gikkes was putting them in the right frame of mind to respond very promptly if they were given a real alarm.

Telzey hesitated a moment longer but there seemed to be nothing wrong with the plan. She told herself she’d better start at once. If she waited, the situation, whatever it was, conceivably could take an immediately dangerous turn. Besides, the longer she debated about it, the more unpleasant the prospect was going to look.

She glanced down at Dunker’s watch on her wrist.

“Robane?” she asked in her mind.

The response came quickly. “Yes?”

“I’ll start over to your house now,” Telzey said. “Would you watch for my car? If there is something around that doesn’t like people, I’d sooner not be standing outside your door.”

“The door will be open the instant you come down,” Robane’s voice assured her. “Until then, I’m keeping it locked. I’ve turned on the scanners and will be waiting . . .” A moment’s pause. “Do you have additional reason to believe—”

“Not so far,” Telzey said. “But there are some things I’d like to talk about—after I get there . . .” She didn’t really intend to go walking into Robane’s house until she had more information about him. There were too many uncertainties floating around in the night to be making social calls. But he’d be alert now, waiting for her to arrive, and might notice things she didn’t.

The aircar was her own, a fast little Cloudsplitter. No one objected when she announced she was setting off for an hour’s roam in the starblaze by herself. The fan club looked wistful but was well trained, and Pollard had allowed himself to be reclaimed by Gikkes. Gikkes clearly regarded Telzey’s solo excursion as a fine idea. . . .

She lifted the Cloudsplitter out of the mouth of Cil Chasm. At a hundred and fifty feet, as the sealed engine lock clicked in, the little car automatically stopped its ascent. Telzey turned to the right, along the forested walls of the mountain, then swung out across the plain.

It should take her about twenty minutes to get to Robane’s house if she went there in a straight line; and if nothing else happened, she intended to go there in a straight line. What the park maps called a plain was a series of sloping plateaus, broken by low hills, descending gradually to the south. It was mainly brush country, dotted with small woods which blended here and there into patches of forest. Scattered herds of native animals moved about in the open ground, showing no interest in the aircar passing through the clusterlight overhead.

Everything looked peaceful enough. Robane had taken her hint and remained quiet. The intangible bubble of the psi screen about Telzey’s mind thinned, opened wide. Her awareness went searching ahead, to all sides. . . .

Man-killer, where are you?

* * *

Perhaps ten minutes passed before she picked up the first trace. By then, she could see a tiny, steady spark of orange light ahead against the dark line of the forest. That would be Robane’s house, still five or six miles away.

Robane hadn’t spoken again. There had been numerous fleeting contacts with animal minds savage enough in their own way, deadly to one another. But the thing that hunted man should have a special quality, one she would recognize when she touched it.

She touched it suddenly—a blur of alert malignance, gone almost at once. She was prepared for it, but it still sent a thrill of alarm through her. She moistened her lips, told herself again she was safe in the car. The creature definitely had not been far away. Telzey slipped over for a moment into Chomir’s mind. The big dog stood a little beyond the circle of firelight, probing the land to the south. He was unquiet but no more than she had intended him to be. His senses had found nothing of unusual significance. The menace wasn’t there.

It was around here, ahead, or to left or right. Telzey let the car move on slowly. After a while, she caught the blur for a moment again, lost it again. . . .

She approached Robane’s house gradually. Presently she could make it out well enough in the clusterlight, a sizable structure, set in a garden of its own which ended where the forest began. Part of the building was two-storied, with a balcony running around the upper story. The light came from there, dark-orange light glowing through screened windows.

The second fleeting pulse of that aura of malevolence had come from this general direction; she was sure of it. If the creature was in the forest back of the house, perhaps watching the house, Robane’s apprehensions might have some cause, after all. She had brought the Cloudsplitter almost to a stop some five hundred yards north of the house; now she began moving to the left, then shifted in towards the forest, beginning to circle the house as she waited for another indication. Robane should be watching her through the telescanners, and she was grateful that he hadn’t broken the silence. Perhaps he had realized what she was trying to do.

For long minutes now, she had been intensely keyed up, sharply aware of the infinite mingling of life detail below. It was as if the plain had come alight in all directions about her, a shifting glimmer of sparks, glowing emanations of life-force, printed in constant change on her awareness. To distinguish among it all the specific pattern which she had touched briefly twice might not be an easy matter. But then, within seconds, she made two significant discoveries.

She had brought the Cloudsplitter nearly to a stop again. She was now to the left of Robane’s house, no more than two hundred yards from it. Close enough to see a flock of small, birdlike creatures flutter about indistinctly in the garden shrubbery. Physical vision seemed to overlap and blend with her inner awareness, and among the uncomplicated emanations of small animal life in the garden, there was now a center of mental emanation which was of more interest.

It was inside the house, and it was human. It seemed to Telzey it was Robane she was sensing. That was curious, because if his mind was screened as well as she’d believed, she should not be able to sense him in this manner. But, of course, it might not be. She had simply assumed he had developed measures against being read as adequate as her own.

Probably it was Robane. Then where, Telzey thought, was that elderly, rather stupid housekeeper named Feddler he’d told her about? Feddler’s presence, her mind unscreened in any way, should be at least equally obvious now.

With the thought, she caught a second strong glow. That was not the mind of some stupid old woman, or of anything human. It was still blurred, but it was the mind for which she had been searching. The mind of some baleful, intelligent tiger-thing. And it was very close.

She checked again, carefully. Then she knew. It was not back in the forest, and not hidden somewhere on the plain nearby.

It was inside Robane’s house.

For a moment, shock held her motionless. Then she swung the Cloudsplitter smoothly to the left, started moving off along the edge of the forest.

“Where are you going?” Robane’s voice asked in her mind.

Telzey didn’t answer. The car already was gliding along at the thirty miles an hour its throttled-down engine allowed it to go. Her forefinger was flicking out the call number of Rish’s aircar back at the camp on the Cloudsplitter’s communicator.

There’d been a trap set for her here. She didn’t yet know what kind of a trap, or whether she could get out of it by herself. But the best thing she could do at the moment was to let other people know immediately where she was—

A dragging, leaden heaviness sank through her. She saw her hand drop from the communicator dial, felt herself slump to the left, head sagging down on the side rest, face turned half up. She felt the Cloudsplitter’s engines go dead. The trap had snapped shut.

* * *

The car was dropping, its forward momentum gone. Telzey made a straining effort to sit back up, lift her hands to the controls, and nothing happened. She realized then that nothing could have happened if she had reached the controls. If it hadn’t been for the countergravity materials worked into its structure, the Cloudsplitter would have plunged to the ground like a rock. As it was, it settled gradually down through the air, swaying from side to side.

She watched the fiery night sky shift above with the swaying of the car, sickened by the conviction that she was dropping towards death, trying to keep the confusion of terror from exploding through her. . . .

“I’m curious to know,” Robane’s voice said, “what made you decide at the last moment to decline my invitation and attempt to leave.”

She wrenched her attention away from terror, reached for the voice and Robane.

There was the crackling of psi, open telepathic channels through which her awareness flowed in a flash. For an instant, she was inside his mind. Then psi static crashed, and she was away from it again. Her awareness dimmed, momentarily blurred out. She’d absorbed almost too much. It was as if she’d made a photograph of a section of Robane’s mind—a pitiful and horrible mind.

She felt the car touch the ground, stop moving. The slight jolt tilted her over farther, her head lolling on the side rest. She was breathing; her eyelids blinked. But her conscious efforts weren’t affecting a muscle of her body.

The dazed blurriness began to lift from her thoughts. She found herself still very much frightened but no longer accepting in the least that she would die here. She should have a chance against Robane. She discovered he was speaking again, utterly unaware of what had just occurred.

“I’m not a psi,” his voice said. “But I’m a gadgeteer—and, you see, I happen to be highly intelligent. I’ve used my intelligence to provide myself with instruments which guard me and serve my wishes here. Some give me abilities equivalent to those of a psi. Others, as you’ve just experienced, can be used to neutralize power devices or to paralyze the human voluntary muscular system within as much as half a mile of this room.

“I was amused by your cautious hesitation and attempted flight just now. I’d already caught you. If I’d let you use the communicator, you would have found it dead. I shut it off as soon as your aircar was in range . . .”

Robane not a psi? For an instant, there was a burbling of lunatic, silent laughter in Telzey’s head. In that moment of full contact between them, she’d sensed a telepathic system functional in every respect except that he wasn’t aware of it. Psi energy flared about his words as he spoke. That came from one of the machines, but only a telepath could have operated such a machine.

Robane had never considered that possibility. If the machine static hadn’t caught her off guard, broken the contact before she could secure it, he would be much more vulnerable in his unawareness now than an ordinary nonpsi human.

She’d reached for him again as he was speaking, along the verbalized thought-forms directed at her. But the words were projected through a machine. Following them back, she wound up at the machine and another jarring blast of psi static. She would have to wait for a moment when she found an opening to his mind again, when the machines didn’t happen to be covering him. He was silent now. He intended to kill her as he had others before her, and he might very well be able to do it before an opening was there. But he would make no further moves until he felt certain she hadn’t been able to summon help in a manner his machines hadn’t detected. What he had done so far he could explain—he had forced an aircar prowling about his house to the ground without harming its occupant. There was no proof of anything else he had done except the proof in Telzey’s mind, and Robane didn’t know about that.

It gave her a few minutes to act without interference from him.

* * *

“What’s the matter with that dog?” Gikkes asked nervously. “He’s behaving like. . . . like he thinks there’s something around.”

The chatter stopped for a moment. Eyes swung over to Chomir. He stood looking out from the canyon ledge over the plain, making a rumbling noise in his throat.

“Don’t be silly,” Valia said. “He’s just wondering where Telzey’s gone.” She looked at Rish. “How long has she been gone?”

“Twenty-seven minutes,” Rish said.

“Well, that’s nothing to worry about, is it?” Valia checked herself, added, “Now look at that, will you!” Chomir had swung around, moved over to Rish’s aircar, stopped beside it, staring at them with yellow eyes. He made the rumbling noise again.

Gikkes said, watching him fascinatedly, “Maybe something’s happened to Telzey.”

“Don’t talk like that,” Valia said. “What could happen to her?”

Rish got to his feet. “Well—it can’t hurt to give her a call . . .” He grinned at Valia to show he wasn’t in the least concerned, went to the aircar, opened the door.

Chomir moved silently past him into the car.

Rish frowned, glanced back at Valia and Dunker coming up behind him, started to say something, shook his head, slid into the car, and turned on the communicator.

Valia inquired, her eyes uneasily on Chomir, “Know her number?”

“Uh-huh.” They watched as he flicked the number out on the dial, then stood waiting.

Presently Valia cleared her throat. “She’s probably got out of the car and is walking around somewhere.”

“Of course she’s walking,” Rish said shortly.

“Keep buzzing anyway,” Dunker said.

“I am.” Rish glanced at Chomir again. “If she’s anywhere near the car, she’ll be answering in a moment . . .”

* * *

“Why don’t you answer me?” Robane’s voice asked, sharp with impatience. “It would be very foolish of you to make me angry.”

Telzey made no response. Her eyes blinked slowly at the starblaze. Her awareness groped, prowled, patiently, like a hungry cat, for anything, the slightest wisp of escaping unconscious thought, emotion, that wasn’t filtered through the blocking machines, that might give her another opening to the telepathic levels of Robane’s mind. In the minutes she’d been lying paralyzed across the seat of the aircar, she had arranged and comprehended the multi-detailed glimpse she’d had of it. She understood Robane very thoroughly now.

The instrument room of the house was his living area. A big room centered about an island of immaculate precision machines. Robane rarely was away from it. She knew what he looked like, from mirror images, glimpses in shining instrument surfaces, his thoughts about himself. A half-man, enclosed from the waist down in a floating, mobile machine like a tiny aircar, which carried him and kept him alive. The little machine was efficient; the half-body protruding from it was vigorous and strong. Robane in his isolation gave fastidious attention to his appearance. The coat which covered him down to the machine was tailored to Orado City’s latest fashion; his thick hair was carefully groomed.

He had led a full life as scientist, sportsman, and man of the world, before the disaster which left him bound to his machine. To make the man responsible for the disaster pay for his blunder in full became Robane’s obsession and he laid his plans with all the care of the trophy hunter he had been. His work for the Federation had been connected with the further development of devices permitting the direct transmission of sensations from one living brain to another and their adaptation to various new uses. In his retirement in Melna Park, Robane patiently refined such devices for his own purposes and succeeded beyond his expectations, never suspecting that the success was due in part to the latent psionic abilities he was stimulating with his experiments.

Meanwhile, he had prepared for the remaining moves in his plan, installed automatic machinery to take the place of his housekeeper, and dismissed the old woman from his service. A smuggling ring provided him with a specimen of a savage natural predator native to the continent for which he had set up quarters beneath the house. Robane trained the beast and himself, perfecting his skill in the use of the instruments, sent the conditioned animal out at night to hunt, brought it back after it had made the kill in which he had shared through its mind. There was sharper excitement in that alone than he had found in any previous hunting experience. There was further excitement in treating trapped animals with the drug that exposed their sensations to his instruments when he released them and set the killer on their trail. He could be hunter or hunted, alternately and simultaneously, following each chase to the end, withdrawing from the downed quarry only when its numbing death impulses began to reach him.

When it seemed he had no more to learn, he had his underworld connections deliver his enemy to the house. That night, he awakened the man from his stupor, told him what to expect, and turned him out under the starblaze to run for his life. An hour later, Robane and his savage deputy made a human kill, the instruments fingering the victim’s drug-drenched nervous system throughout and faithfully transmitting his terrors and final torment.

With that, Robane had accomplished his revenge. But he had no intention now of giving up the exquisite excitements of the new sport he had developed in the process. He became almost completely absorbed by it, as absorbed as the beast he had formed into an extension of himself. They went out by night to stalk and harry, run down and kill. They grew alike in cunning, stealth, and savage audacity, were skillful enough to create no unusual disturbance among the park animals with their sport. By morning, they were back in Robane’s house to spend most of the day in sleep. Unsuspecting human visitors who came through the area saw no traces of their nocturnal activities.

Robane barely noticed how completely he had slipped into this new way of living. Ordinarily, it was enough. But he had almost no fear of detection now, and sometimes he remembered there had been a special savor in driving a human being to his death. Then his contacts would bring another shipment of “supplies” to the house, and that night he hunted human game. Healthy young game which did its desperate best to escape but never got far. It was something humanity owed him.

For a while, there was one lingering concern. During his work for the Overgovernment, he’d had several contacts with a telepath called in to assist in a number of experiments. Robane had found out what he could about such people and believed his instruments would shield him against being detected and investigated by them. He was not entirely sure of it, but in the two years he had been pursuing his pleasures undisturbed in Melna Park his uneasiness on that point had almost faded away.

Telzey’s voice, following closely on his latest human kill, startled him profoundly. But when he realized that it was a chance contact, that she was here by accident, it occurred to him that this was an opportunity to find out whether a telepathic mind could be dangerous to him. She seemed young and inexperienced—he could handle her through his instruments with the slightest risk to himself.

* * *

Rish and Dunker were in Rish’s aircar with Chomir, Telzey thought, and a third person, who seemed to be Valia, was sitting behind them. The car was aloft and moving, so they had started looking for her. It would be nice if they were feeling nervous enough to have the park rangers looking for her, too; but that was very unlikely. She had to handle Chomir with great caution here. If he’d sensed any fear in her, he would have raced off immediately in her general direction to protect her, which would have been of no use at all.

As it was, he was following instructions he didn’t know he was getting. He was aware which way the car should go, and he would make that quite clear to Rish and the others if it turned off in any other direction. Since they had no idea where to look for her themselves, they would probably decide to rely on Chomir’s intuition.

That would bring them presently to this area. If she was outside the half-mile range of Robane’s energy shut-off device by then, they could pick her up safely. If she wasn’t, she’d have to turn them away through Chomir again or she’d simply be drawing them into danger with her. Robane, however, wouldn’t attempt to harm them unless he was forced to it. Telzey’s disappearance in the wildlands of the park could be put down as an unexplained accident; he wasn’t risking much there. But a very intensive investigation would get under way if three other students of Pehanron College vanished simultaneously along with a large dog. Robane couldn’t afford that.

“Why don’t you answer?”

There was an edge of frustrated rage in Robane’s projected voice. The paralysis field which immobilized her also made her unreachable to him. He was like an animal balked for the moment by a glass wall. He’d said he had a weapon trained on her which could kill her in an instant as she lay in the car, and Telzey knew it was true from what she had seen in his mind. For that matter, he probably only had to change the setting of the paralysis field to stop her heartbeat or her breathing.

But such actions wouldn’t answer the questions he had about psis. She’d frightened him tonight; and now he had to run her to her death, terrified and helpless as any other human quarry, before he could feel secure again.

“Do you think I’m afraid to kill you?” he asked, seeming almost plaintively puzzled. “Believe me, if I pull the trigger my finger is touching, I won’t even be questioned about your disappearance. The park authorities have been instructed by our grateful government to show me every consideration, in view of my past invaluable contributions to humanity, and in view of my present disability. No one would think to disturb me here because some foolish girl is reported lost in Melna Park . . .”

The thought-voice went on, its fury and bafflement filtered through a machine, sometimes oddly suggestive even of a ranting, angry machine. Now and then it blurred out completely, like a bad connection, resumed seconds later. Telzey drew her attention away from it. It was a distraction in her waiting for another open subconscious bridge to Robane’s mind. Attempts to reach him more directly remained worse than useless. The machines also handled mind-stuff, but mechanically channeled, focused, and projected; the result was a shifting, flickering, nightmarish distortion of emanations in which Robane and his instruments seemed to blend in constantly changing patterns. She’d tried to force through it, had drawn back quickly, dazed and jolted again. . . .

Every minute she gained here had improved her chances of escape, but she thought she wouldn’t be able to stall him much longer. The possibility that a ranger patrol or somebody else might happen by just now, see her Cloudsplitter parked near the house, and come over to investigate, was probably slight, but Robane wouldn’t be happy about it. If she seemed to remain intractable, he’d decide at some point to dispose of her at once.

So she mustn’t seem too intractable. Since she wasn’t replying, he would try something else to find out if she could be controlled. When he did, she would act frightened silly—which she was in a way, except that it didn’t seem to affect her ability to think now—and do whatever he said except for one thing. After he turned off the paralysis field, he would order her to come to the house. She couldn’t do that. Behind the entry door was a lock chamber. If she stepped inside, the door would close; and with the next breath she took she would have absorbed a full dose of the drug that let Robane’s mind-instruments settle into contact with her. She didn’t know what effect that would have. It might nullify her ability to maintain her psi screen and reveal her thoughts to Robane. If he knew what she had in mind, he would kill her on the spot. Or the drug might distort her on the telepathic level and end her chances of getting him under control.

“It’s occurred to me,” Robane’s voice said, “that you may not be deliberately refusing to answer me. It’s possible that you are unable to do it either because of the effect of the paralysis field or simply because of fear.”

Telzey had been wondering when it would occur to him. She waited, new tensions growing up in her.

“I’ll release you from the field in a moment,” the voice went on. “What happens then depends on how well you carry out the instructions given you. If you try any tricks, little psi, you’ll be dead. I’m quite aware you’ll be able to move normally seconds after the field is off. Make no move you aren’t told to make. Do exactly what you are told to do, and do it without hesitation. Remember those two things. Your life depends on them.”

He paused, added, “The field is now off . . .”

Telzey felt a surge of strength and lightness all through her. Her heart began to race. She refrained carefully from stirring. After a moment, Robane’s voice said, “Touch nothing in the car you don’t need to touch. Keep your hands in sight. Get out of the car, walk twenty feet away from it, and stop. Then face the house.”

Telzey climbed out of the car. She was shaky throughout; but it wasn’t as bad as she’d thought it would be when she first moved again. It wasn’t bad at all. She walked on to the left, stopped, and looked up at the orange-lit, screened windows in the upper part of the house.

“Watch your car,” Robane’s voice told her.

She looked over at the Cloudsplitter. He’d turned off the power neutralizer and the car was already moving. It lifted vertically from the ground, began gliding forward thirty feet up, headed in the direction of the forest beyond the house. It picked up speed, disappeared over the trees.

“It will begin to change course when it reaches the mountains,” Robane’s voice said. “It may start circling and still be within the park when it is found. More probably, it will be hundreds of miles away. Various explanations will be offered for your disappearance from it, apparently in midair, which needn’t concern us now. . . . Raise your arms before you, little psi. Spread them farther apart. Stand still.”

Telzey lifted her arms, stood waiting. After an instant, she gave a jerk of surprise. Her hands and arms, Dunker’s watch on her wrist, the edges of the short sleeves of her shirt suddenly glowed white.

“Don’t move!” Robane’s voice said sharply. “This is a search-beam. It won’t hurt you.”

She stood still again, shifted her gaze downwards. What she saw of herself and her clothes and of a small patch of ground about her feet all showed the same cold, white glow, like fluorescing plastic. There was an eerie suggestion of translucence. She glanced back at her hands, saw the fine bones showing faintly as more definite lines of white in the glow. She felt nothing and the beam wasn’t affecting her vision, but it was an efficient device. Sparks of heatless light began stabbing from her clothing here and there; within moments, Robane located half a dozen minor items in her pockets and instructed her to throw them away one by one, along with the watch. He wasn’t taking chances on fashionably camouflaged communicators, perhaps suspected even this or that might be a weapon. Then the beam went off and he told her to lower her arms again.

“Now a reminder,” his voice went on. “Perhaps you’re unable to speak to me. And perhaps you could speak but think it’s clever to remain silent in this situation. That isn’t too important. But let me show you something. It will help you keep in mind that it isn’t at all advisable to be too clever in dealing with me . . .”

Something suddenly was taking shape twenty yards away, between Telzey and the house; and fright flicked through her like fire and ice in the instant before she saw it was a projection placed a few inches above the ground. It was an image of Robane’s killer, a big, bulky creature which looked bulkier because of the coat of fluffy, almost feathery fur covering most of it like a cloak. It was half crouched, a pair of powerful forelimbs stretched out through the cloak of fur. Ears like upturned horns projected from the sides of the head, and big, round, dark eyes, the eyes of a star-night hunter, were set in front above the sharply curved, serrated cutting beak.

The image faded within seconds. She knew what the creature was. The spooks had been, at one time, almost the dominant life form on this continent; the early human settlers hated and feared them for their unqualified liking for human flesh, made them a legend which haunted Orado’s forests long after they had, in fact, been driven out of most of their territory. Even in captivity, from behind separating force fields, their flat, dark stares, their size, goblin appearance, and monkey quickness disturbed impressionable people.

“My hunting partner,” Robane’s voice said. “My other self. It is not pleasant, not at all pleasant, to know this is the shape that is following your trail at night in Melna Park. You had a suggestion of it this evening. Be careful not to make me angry again. Be quick to do what I tell you. Now come forward to the house.”

Telzey saw the entry door in the garden slide open. Her heart began to beat heavily. She didn’t move.

“Come to the house!” Robane repeated.

Something accompanied the words, a gush of heavy, subconscious excitement, somebody reaching for a craved drug. . . . but Robane’s drug was death. As she touched the excitement, it vanished. It was what she had waited for, a line to the unguarded levels of his mind. If it came again and she could hold it even for seconds—

It didn’t come again. There was a long pause before Robane spoke.

“This is curious,” his voice said slowly. “You refuse. You know you are helpless. You know what I can do. Yet you refuse. I wonder . . .”

He went silent. He was suspicious now, very. For a moment, she could almost feel him finger the trigger of his weapon. But the drug was there, in his reach. She was cheating him out of some of it. He wouldn’t let her cheat him out of everything. . . .

“Very well,” the voice said. “I’m tired of you. I was interested in seeing how a psi would act in such a situation. I’ve seen. You’re so afraid you can barely think. So run along. Run as fast as you can, little psi. Because I’ll soon be following.”

Telzey stared up at the windows. Let him believe she could barely think.

“Run!”

She whipped around, as if shocked into motion by the command, and ran, away from Robane’s house, back in the direction of the plain to the north.

* * *

“I’ll give you a warning,” Robane’s voice said, seeming to move along with her. “Don’t try to climb a tree. We catch the ones who do that immediately. We can climb better than you can, and if the tree is big enough we’ll come up after you. If the tree’s too light to hold us, or if you go out where the branches are too thin, we’ll simply shake you down. So keep running.”

She glanced back as she came up to the first group of trees. The orange windows of the house seemed to be staring after her. She went in among the trees, out the other side, and now the house was no longer in sight.

“Be clever now,” Robane’s voice said. “We like the clever ones. You have a chance, you know. Perhaps somebody will see you before you’re caught. Or you may think of some way to throw us off your track. Perhaps you’ll be the lucky one who gets away. We’ll be very, very sorry then, won’t we? So do your best, little psi. Do your best. Give us a good run.”

She flicked out a search-thought, touched Chomir’s mind briefly. The aircar was still coming, still on course, still too far away to do her any immediate good. . . .

She ran. She was in as good condition as a fifteen-year-old who liked a large variety of sports and played hard at them was likely to get. But she had to cover five hundred yards to get beyond the range of Robane’s house weapons, and on this broken ground it began to seem a long, long stretch. How much time would he give her? Some of those he’d hunted had been allowed a start of thirty minutes or more. . . .

She began to count her steps. Robane remained silent. When she thought she was approaching the end of five hundred yards, there were trees ahead again. She remembered crossing over a small stream followed by a straggling line of trees as she came up to the house. That must be it. And in that case, she was beyond the five-hundred-yard boundary.

* * *

A hungry excitement swirled about her and was gone. She’d lashed at the feeling quickly, got nothing. Robane’s voice was there an instant later.

“We’re starting now . . .”

So soon? She felt shocked. He wasn’t giving her even the pretense of a chance to escape. Dismay sent a wave of weakness through her as she ran splashing down into the creek. Some large animals burst out of the water on the far side, crashed through the bushes along the bank, and pounded away. Telzey hardly noticed them. Turn to the left, downstream, she thought. It was a fast little stream. The spook must be following by scent and the running water should wipe out her trail before it got here. . . .

But others it had followed would have decided to turn downstream when they reached the creek. If it didn’t pick up the trail on the far bank and found no human scent in the water coming down, it only had to go along the bank to the left until it either heard her in the water or reached the place where she’d left it.

They’d expect her, she told herself, to leave the water on the far side of the creek, not to angle back in the direction of Robane’s house. Or would they? It seemed the best thing to try.

She went downstream as quickly as she could, splashing, stumbling on slippery rock, careless of noise for the moment. It would be a greater danger to lose time trying to be quiet. A hundred yards on, stout tree branches swayed low over the water. She could catch them, swing up, scramble on up into the trees.

Others would have tried that, too. Robane and his beast knew such spots, would check each to make sure it wasn’t what she had done.

She ducked, gasping, under the low-hanging branches, hurried on. Against the starblaze a considerable distance ahead, a thicker cluster of trees loomed darkly. It looked like a sizable little wood surrounding the watercourse. It might be a good place to hide.

Others, fighting for breath after the first hard run, legs beginning to falter, would have had that thought.

Robane’s voice said abruptly in her mind, “So you’ve taken to the water. It was your best move . . .”

The voice stopped. Telzey felt the first stab of panic. The creek curved sharply ahead. The bank on the left was steep, not the best place to get out. She followed it with her eyes. Roots sprouted out of the bare earth a little ahead. She came up to them, jumped to catch them, pulled herself up, and scrambled over the edge of the bank. She climbed to her feet, hurried back in the general direction of Robane’s house, dropped into a cluster of tall grass. Turning, flattened out on her stomach, she lifted her head to stare back in the direction of the creek. There was an opening in the bushes on the other bank, with the clusterlight of the skyline showing through it. She watched that, breathing as softly as she could. It occurred to her that if a breeze was moving the wrong way, the spook might catch her scent on the air. But she didn’t feel any breeze.

Perhaps a minute passed—certainly no more. Then a dark silhouette passed lightly and swiftly through the opening in the bushes she was watching, went on downstream. It was larger than she’d thought it would be when she saw its projected image; and that something so big should move in so effortless a manner, seeming to drift along the ground, somehow was jolting in itself. For a moment, Telzey had distinguished, or imagined she had distinguished, the big, round head held high, the pointed ears like horns. Goblin, her nerves screamed. A feeling of heavy dread flowed through her, seemed to drain away her strength. This was how the others had felt when they ran and crouched in hiding, knowing there was no escape from such a pursuer. . . .

She made herself count off a hundred seconds, got to her feet, and started back on a slant towards the creek, to a point a hundred yards above the one where she had climbed from it. If the thing returned along this side of the watercourse and picked up her trail, it might decide she had tried to escape upstream. She got down quietly into the creek, turned downstream again, presently saw in the distance the wood which had looked like a good place to hide. The spook should be prowling among the trees there now, searching for her. She passed the curve where she had pulled herself up on the bank, waded on another hundred steps, trying to make no noise at all, almost certain from moment to moment she could hear or glimpse the spook on its way back. Then she climbed the bank on the right, pushed carefully through the hedges of bushes that lined it, and ran off into the open plain sloping up to the north.

 

After perhaps a hundred yards, her legs began to lose the rubbery weakness of held-in terror. She was breathing evenly. The aircar was closer again and in not too many more minutes she might find herself out of danger. She didn’t look back. If the spook was coming up behind her, she couldn’t outrun it, and it wouldn’t help to feed her fears by watching for shadows on her trail.

She shifted her attention to signs from Robane. He might be growing concerned by now and resort to his telescanners to look for her and guide his creature after her. There was nothing she could do about that. Now and then she seemed to have a brief awareness of him, but there had been no definite contact since he had spoken.

She reached a rustling grove, walked and trotted through it. As she came out the other side, a herd of graceful deer-like animals turned from her and sped with shadowy quickness across the plain and out of her range of vision. She remembered suddenly having heard that hunted creatures sometimes covered their trail by mingling with other groups of animals. . . .

A few minutes later, she wasn’t sure how well that was working. Other herds were around; sometimes she saw shadowy motion ahead or to right or left; then there would be whistles of alarm, the stamp of hoofs, and they’d vanish like drifting smoke, leaving the section of plain about her empty again. This was Robane’s hunting ground; the animals here might be more alert and nervous than in other sections of the park. And perhaps, Telzey thought, they sensed she was the quarry tonight and was drawing danger towards them. Whatever the reason, they kept well out of her way. But she’d heard fleeing herds cross behind her a number of times, so they might in fact be breaking up her trail enough to make it more difficult to follow. She kept scanning the skyline above the slope ahead, looking for the intermittent green flash of a moving aircar or the sweep of its search-beam along the ground. They couldn’t be too far away.

She slowed to a walk again. Her legs and lungs hadn’t given out, but she could tell she was tapping the final reserves of strength. She sent a thought to Chomir’s mind, touched it instantly and, at the same moment, caught a glimpse of a pulsing green spark against the starblaze, crossing down through a dip in the slopes, disappearing beyond the wooded ground ahead of her. She went hot with hope, swung to the right, began running towards the point where the car should show again.

They’d arrived. Now to catch their attention. . . .

“Here!” she said sharply in the dog’s mind.

It meant: “Here I am! Look for me! Come to me!” No more than that. Chomir was keyed up enough without knowing why. Any actual suggestion that she was in trouble might throw him out of control.

She almost heard the deep, whining half-growl with which he responded. It should be enough. Chomir knew now she was somewhere nearby, and Rish and the others would see it immediately in the way he behaved. When the aircar reappeared, its search-beam should be swinging about, fingering the ground to locate her.

Telzey jumped down into a little gully, felt, with a shock of surprise, her knees go soft with fatigue as she landed, and clambered shakily out the other side. She took a few running steps forward, came to a sudden complete stop.

Robane! She felt him about, a thick, ugly excitement. It seemed the chance moment of contact for which she’d been waiting, his mind open, unguarded.

She looked carefully around. Something lay beside a cluster of bushes thirty feet ahead. It appeared to be a big pile of wind-blown dry leaves and grass, but its surface stirred with a curious softness in the breeze. Then a wisp of acrid animal odor touched Telzey’s nostrils and she felt the hot-ice surge of deep fright.

The spook lifted its head slowly out of its fluffed, mottled mane and looked at her. Then it moved from its crouched position. . . . a soundless shift a good fifteen feet to the right, light as the tumbling of a big ball of moss. It rose on its hind legs, the long fur settling loosely about it like a cloak, and made a chuckling sound of pleasure.

The plain seemed to explode about Telzey.

* * *

The explosion was in her mind. Tensions held too long, too hard, lashed back through her in seething confusion at a moment when too much needed to be done at once. Her physical vision went black; Robane’s beast and the starlit slope vanished. She was sweeping through a topsy-turvy series of mental pictures and sensations. Rish’s face appeared, wide-eyed, distorted with alarm, the aircar skimming almost at ground level along the top of a grassy rise, a wood suddenly ahead. “Now!” Telzey thought. Shouts, and the car swerved up again. Then a brief, thudding, jarring sensation underfoot. . . .

That was done.

She swung about to Robane’s waiting excitement, slipped through it into his mind. In an instant, her awareness poured through a net of subconscious psi channels that became half familiar as she touched them. Machine static clattered, too late to dislodge her. She was there. Robane, unsuspecting, looked out through his creature’s eyes at her shape on the plain, hands locked hard on the instruments through which he lived, experienced, murdered.

In minutes, Telzey thought, in minutes, if she was alive minutes from now, she would have this mind—unaware, unresistant, wide open to her—under control. But she wasn’t certain she could check the spook then through Robane. He had never attempted to hold it back moments away from its kill.

Vision cleared. She stood on the slope, tight tendrils of thought still linking her to every significant section of Robane’s mind. The spook stared, hook-beak lifted above its gaping mouth, showing the thick, twisting tongue inside. Still upright, it began to move, seemed to glide across the ground towards her. One of its forelimbs came through the thick cloak of fur, four-fingered paw raised, slashing retractile claws extended, reaching out almost playfully.

Telzey backed slowly off from the advancing goblin shape. For an instant, another picture slipped through her thoughts. . . . a blur of motion. She gave it no attention. There was nothing she could do there now.

The goblin dropped lightly to a crouch. Telzey saw it begin its spring as she turned and ran.

She heard the gurgling chuckle a few feet behind her, but no other sound. She ran headlong up the slope with all the strength she had left. In another world, on another level of existence, she moved quickly through Robane’s mind, tracing out the control lines, gathering them in. But her thoughts were beginning to blur with fatigue. Bushy shrubbery dotted the slope ahead. She could see nothing else.

The spook passed her like something blown by the wind through the grass. It swung around before her, twenty feet ahead; and as she turned to the right, it was suddenly behind her again, coming up quickly, went by. Something nicked the back of her calf as it passed—a scratch, not much deeper than a dozen or so she’d picked up pushing through thorny growth tonight. But this hadn’t been a thorn. She turned left, and it followed, herding her; dodged right, and it was there, going past. Its touch seemed the lightest flick again, but an instant later there was a hot, wet line of pain down her arm. She felt panic gather in her throat as it came up behind her once more. She stopped, turning to face it.

It stopped in the same instant, fifteen feet away, rose slowly to its full height, dark eyes staring, hooked beak open as if in silent laughter. Telzey watched it, gasping for breath. Streaks of foggy darkness seemed to float between them. Robane felt far away, beginning to slip from her reach. If she took another step, she thought, she would stumble and fall; then the thing would be on her.

The spook’s head swung about. Its beak closed with a clack. The horn-ears went erect.

The white shape racing silently down the slope seemed unreal for a moment, something she imagined. She knew Chomir was approaching; she hadn’t realized he was so near. She couldn’t see the aircar’s lights in the starblaze above, but it might be there. If they had followed the dog after he plunged out of the car, if they hadn’t lost. . . .

Chomir could circle Robane’s beast, threaten it, perhaps draw it away from her, keep it occupied for minutes. She drove a command at him—another, quickly and anxiously, because he hadn’t checked in the least; tried to slip into his mind and knew suddenly that Chomir, coming in silent fury, wasn’t going to be checked or slowed or controlled by anything she did. The goblin uttered a monstrous, squalling scream of astounded rage as the strange white animal closed the last twenty yards between them; then it leaped aside with its horrid ease. Sick with dismay, Telzey saw the great forelimb flash from the cloak, strike with spread talons. The thudding blow caught Chomir, spun him around, sent him rolling over the ground. The spook sprang again to come down on its reckless assailant. But the dog was on his feet and away.

It was Chomir’s first serious fight. But he came of generations of ancestors who had fought one another and other animals and armed men in the arenas of Askanam. Their battle cunning was stamped into his genes. He had made one mistake, a very nearly fatal one, in hurtling in at a dead run on an unknown opponent. Almost within seconds, it became apparent that he was making no further mistakes.

Telzey saw it through a shifting blur of exhaustion. As big a dog as Chomir was, the squalling goblin must weigh nearly five times as much, looked ten times larger with its fur-mane bristling about it. Its kind had been forest horrors to the early settlers. Its forelimbs were tipped with claws longer than her hands and the curved beak could shear through muscle and bone like a sword. Its uncanny speed. . . .

Now somehow it seemed slow. As it sprang, slashing down, something white and low flowed around and about it with silent purpose. Telzey understood it then. The spook was a natural killer, developed by nature to deal efficiently with its prey. Chomir’s breed were killers developed by man to deal efficiently with other killers.

He seemed locked to the beast for an instant, high on its shoulder, and she saw the wide, dark stain on his flank where the spook’s talons had struck. He shook himself savagely. There was an ugly, snapping sound. The spook screeched like a huge bird. She saw the two animals locked together again, then the spook rolling over the ground, the white shape rolling with it, slipping away, slipping back. There was another screech. The spook rolled into a cluster of bushes. Chomir followed it in.

A white circle of light settled on the thrashing vegetation, shifted over to her. She looked up, saw Rish’s car gliding down through the air, heard voices calling her name—

She followed her contact thoughts back to Robane’s mind, spread out through it, sensing at once the frantic grip of his hands on the instrument controls. For Robane, time was running out quickly. He had been trying to turn his beast away from the dog, force it to destroy the human being who could expose him. He had been unable to do it. He was in terrible fear. But he could accomplish no more through the spook. She felt his sudden decision to break mind-contact with the animal to avoid the one experience he had always shunned—going down with another mind into the shuddering agony of death.

His right hand released the control it was clutching, reached towards a switch.

“No,” Telzey said softly to the reaching hand.

It dropped to the instrument board. After a moment, it knotted, twisted about, began to lift again.

“No.”

Now it lay still. She considered. There was time enough.

Robane believed he would die with the spook if he couldn’t get away from it in time. She thought he might be right; she wouldn’t want to be in his mind when it happened, if it came to that.

There were things she needed to learn from Robane. The identity of the gang which had supplied him with human game was one; she wanted that very much. Then she should look at the telepathic level of his mind in detail, find out what was wrong in there, why he hadn’t been able to use it. . . . some day, she might be able to do something with a half-psi like Gikkes. And the mind-machines—if Robane had been able to work with them, not really understanding what he did, she should be able to employ similar devices much more effectively. Yes, she had to carefully study his machines—

She released Robane’s hand. It leaped to the switch, pulled it back. He gave a great gasp of relief.

For a moment, Telzey was busy. A needle of psi energy flicked knowingly up and down channels, touching here, there, shriveling, cutting, blocking. . . . Then it was done. Robane, half his mind gone in an instant, unaware of it, smiled blankly at the instrument panel in front of him. He’d live on here, dimmed and harmless, cared for by machines, unwitting custodian of other machines, of memories that had to be investigated, of a talent he’d never known he had.

“I’ll be back,” Telzey told the smiling, dull thing, and left it.

She found herself standing on the slope. It had taken only a moment, after all. Dunker and Valia were running towards her. Rish had just climbed out of the aircar settled forty feet away, its search-beam fixed on the thicket where the spook’s body jerked back and forth as Chomir, jaws locked on its crushed neck, shook the last vestiges of life from it with methodical fury.

 

 

 

 

 

All the Way Back by Michael Shaara

All the Way Back

by Michael Shaara



Preface by David Drake



Before writing The Killer Angels, his Pulitzer Prize-winning novel of the Battle of Gettysburg, Michael Shaara practiced his skill by writing SF. Those of you who've read "Soldier Boy," "Death of a Hunter" (my particular favorite), and this story will agree that he didn't need much practice.
















Great were the Antha, so reads the One Book of history, greater perhaps than any of the Galactic Peoples, and they were brilliant and fair, and their reign was long, and in all things they were great and proud, even in the manner of their dying—



Preface to Loab: History of The Master Race

 

 

The huge red ball of a sun hung glowing upon the screen.

Jansen adjusted the traversing knob, his face tensed and weary. The sun swung off the screen to the right, was replaced by the live black of space and the million speckled lights of the farther stars. A moment later the sun glided silently back across the screen and went off at the left. Again there was nothing but space and the stars.

“Try it again?” Cohn asked.

Jansen mumbled: “No. No use,” and he swore heavily. “Nothing. Always nothing. Never a blessed thing.”

Cohn repressed a sigh, began to adjust the controls.

In both of their minds was the single, bitter thought that there would be only one more time, and then they would go home. And it was a long way to come to go home with nothing.

When the controls were set there was nothing left to do. The two men walked slowly aft to the freeze room. Climbing up painfully on to the flat steel of the beds, they lay back and waited for the mechanism to function, for the freeze to begin.

Turned in her course, the spaceship bore off into the open emptiness. Her ports were thrown open, she was gathering speed as she moved away from the huge red star.

* * *

The object was sighted upon the last leg of the patrol, as the huge ship of the Galactic Scouts came across the edge of the Great Desert of the Rim, swinging wide in a long slow curve. It was there on the massometer as a faint blip, and, of course, the word went directly to Roymer.

“Report,” he said briefly, and Lieutenant Goladan—a young and somewhat pompous Higiandrian—gave the Higiandrian equivalent of a cough and then reported.

“Observe,” said Lieutenant Goladan, “that it is not a meteor, for the speed of it is much too great.”

Roymer nodded patiently.

“And again, the speed is decreasing”—Goladan consulted his figures—”at a rate of twenty-four dines per segment. Since the orbit appears to bear directly upon the star Mina, and the decrease in speed is of a certain arbitrary origin, we must conclude that the object is a spaceship.”

Roymer smiled.

“Very good, lieutenant.” Like a tiny nova, Goladan began to glow and expand.

A good man, thought Roymer tolerantly, his is a race of good men. They have been two million years in achieving space flight; a certain adolescence is to be expected.

“Would you call Mind-Search, please?” Roymer asked.

Goladan sped away, to return almost immediately with the heavy-headed non-human Trian, chief of the Mind-Search Section.

Trian cocked an eyelike thing at Roymer, with grave inquiry.

“Yes, commander?”

The abrupt change in course was noticeable only on the viewplate, as the stars slid silently by. The patrol vessel veered off, swinging around and into the desert, settled into a parallel course with the strange new craft, keeping a discreet distance of—approximately—a light-year.

The scanners brought the object into immediate focus, and Goladan grinned with pleasure. A spaceship, yes, Alien, too. Undoubtedly a primitive race. He voiced these thoughts to Roymer.

“Yes,” the commander said, staring at the strange, small, projectilelike craft. “Primitive type. It is to be wondered what they are doing in the desert.”

Goladan assumed an expression of intense curiosity.

“Trian,” said Roymer pleasantly, “would you contact?”

The huge head bobbed up and down once and then stared into the screen. There was a moment of profound silence. Then Trian turned back to stare at Roymer, and there was a distinctly human expression of surprise in his eyelike things.

“Nothing,” came the thought. “I can detect no presence at all.”

Roymer raised an eyebrow.

“Is there a barrier?”

“No”—Trian had turned to gaze back into the screen—”a barrier I could detect. But there is nothing at all. There is no sentient activity on board that vessel.”

Trian’s word had to be taken, of course, and Roymer was disappointed. A spaceship empty of life—Roymer shrugged. A derelict, then. But why the decreasing speed? Pre-set controls would account for that, of course, but why? Certainly, if one abandoned a ship, one would not arrange for it to—

He was interrupted by Trian’s thought:

“Excuse me, but there is nothing. May I return to my quarters?”

Roymer nodded and thanked him, and Trian went ponderously away. Goladan said:

“Shall we prepare to board it, sir?”

“Yes.”

And then Goladan was gone to give his proud orders.

Roymer continued to stare at the primitive vessel which hung on the plate. Curious. It was very interesting, always, to come upon derelict ships. The stories that were old, the silent tombs that had been drifting perhaps, for millions of years in the deep sea of space. In the beginning Roymer had hoped that the ship would be manned, and alien, but—nowadays, contact with an isolated race was rare, extremely rare. It was not to be hoped for, and he would be content with this, this undoubtedly empty, ancient ship.

And then, to Roymer’s complete surprise, the ship at which he was staring shifted abruptly, turned on its axis, and flashed off like a live thing upon a new course.

* * *

When the defrosters activated and woke him up, Jansen lay for a while upon the steel table, blinking. As always with the freeze, it was difficult to tell at first whether anything had actually happened. It was like a quick blink and no more, and then you were lying, feeling exactly the same, thinking the same thoughts even, and if there was anything at all different it was maybe that you were a little numb. And yet in the blink time took a great leap, and the months went by like—Jansen smiled—fenceposts.

He raised a languid eye to the red bulb in the ceiling. Out. He sighed. The freeze had come and gone. He felt vaguely cheated, reflected that this time, before the freeze, he would take a little nap.

He climbed down from the table, noted that Cohn had already gone to the control room. He adjusted himself to the thought that they were approaching a new sun, and it came back to him suddenly that this would be the last one, now they would go home.

Well then, let this one have planets. To have come all this way, to have been gone from home eleven years, and yet to find nothing—

He was jerked out of the old feeling of despair by a lurch of the ship. That would be Cohn taking her off the auto. And now, he thought, we will go in and run out the telescope and have a look, and there won’t be a thing.

Wearily, he clumped off over the iron deck, going up to the control room. He had no hope left now, and he had been so hopeful at the beginning. As they are all hopeful, he thought, as they have been hoping now for three hundred years. And they will go on hoping, for a little while, and then men will become hard to get, even with the freeze, and then the starships won’t go out any more. And Man will be doomed to the System for the rest of his days.

Therefore, he asked humbly, silently, let this one have planets.

Up in the dome of the control cabin, Cohn was bent over the panel, pouring power into the board. He looked up, nodded briefly as Jansen came in. It seemed to both of them that they had been apart for five minutes.

“Are they all hot yet?” asked Jansen.

“No, not yet.”

The ship had been in deep space with her ports thrown open. Absolute cold had come in and gone to the core of her, and it was always a while before the ship was reclaimed and her instruments warmed. Even now there was a sharp chill in the air of the cabin.

Jansen sat down idly, rubbing his arms.

“Last time around, I guess.”

“Yes,” said Cohn, and added laconically, “I wish Weizsäcker was here.”

Jansen grinned. Weizsäcker, poor old Weizsäcker. He was long dead and it was a good thing, for he was the most maligned human being in the System.

For a hundred years his theory on the birth of planets, that every sun necessarily gave birth to a satellite family, had been an accepted part of the knowledge of Man. And then, of course, there had come space flight.

Jansen chuckled wryly. Lucky man, Weizsäcker. Now, two hundred years and a thousand stars later, there had been discovered just four planets. Alpha Centauri had one: a barren, ice-crusted mote no larger than the Moon; and Pollux had three, all dead lumps of cold rock and iron. None of the other stars had any at all. Yes, it would have been a great blow to Weizsäcker.

A hum of current broke into Jansen’s thought as the telescope was run out. There was a sudden beginning of light upon the screen.

In spite of himself and the wry, hopeless feeling that had been in him, Jansen arose quickly, with a thin trickle of nervousness in his arms. There is always a chance, he thought, after all, there is always a chance. We have only been to a thousand suns, and in the Galaxy a thousand suns are not anything at all. So there is always a chance.

Cohn, calm and methodical, was manning the radar.

Gradually, condensing upon the center of the screen, the image of the star took shape. It hung at last, huge and yellow and flaming with an awful brilliance, and the prominences of the rim made the vast circle uneven. Because the ship was close and the filter was in, the stars of the background were invisible, and there was nothing but the one great sun.

Jansen began to adjust for observation.

The observation was brief.

They paused for a moment before beginning the tests, gazing upon the face of the alien sun. The first of their race to be here and to see, they were caught up for a time in the ancient, deep thrill of space and the unknown Universe.

They watched, and into the field of their vision, breaking in slowly upon the glaring edge of the sun’s disk, there came a small black ball. It moved steadily away from the edge, in toward the center of the sun. It was unquestionably a planet in transit.

* * *

When the alien ship moved, Roymer was considerably rattled.

One does not question Mind-Search, he knew, and so there could not be any living thing aboard that ship. Therefore, the ship’s movement could be regarded only as a peculiar aberration in the still-functioning drive. Certainly, he thought, and peace returned to his mind.

But it did pose an uncomfortable problem. Boarding that ship would be no easy matter, not if the thing was inclined to go hopping away like that, with no warning. There were two hundred years of conditioning in Roymer, it would be impossible for him to put either his ship or his crew into an unnecessarily dangerous position. And wavery, erratic spaceships could undoubtedly be classified as dangerous.

Therefore, the ship would have to be disabled.

Regretfully, he connected with Fire control, put the operation into the hands of the Firecon officer, and settled back to observe the results of the actions against the strange craft.

And the alien moved again.

Not suddenly, as before, but deliberately now, the thing turned once more from its course, and its speed decreased even more rapidly. It was still moving in upon Mina, but now its orbit was tangential and no longer direct. As Roymer watched the ship come about, he turned up the magnification for a larger view, checked the automatic readings on the board below the screen. And his eyes were suddenly directed to a small, conical projection which had begun to rise up out of the ship, which rose for a short distance and stopped, pointed in on the orbit towards Mina at the center.

Roymer was bewildered, but he acted immediately. Firecon was halted, all protective screens were re-established, and the patrol ship back-tracked quickly into the protection of deep space.

There was no question in Roymer’s mind that the movements of the alien had been directed by a living intelligence, and not by any mechanical means. There was also no doubt in Roymer’s mind that there was no living being on board that ship. The problem was acute.

Roymer felt the scalp of his hairless head beginning to crawl. In the history of the galaxy, there had been discovered but five nonhuman races, yet never a race which did not betray its existence by the telepathic nature of its thinking. Roymer could not conceive of a people so alien that even the fundamental structure of their thought process was entirely different from the Galactics.

Extra-Galactics? He observed the ship closely and shook his head. No. Not an extra-Galactic ship certainly, much too primitive a type.

Extraspatial? His scalp crawled again.

Completely at a loss as to what to do, Roymer again contacted Mind-Search and requested that Trian be sent to him immediately.

Trian was preceded by a puzzled Goladan. The orders to alien contact, then to Firecon, and finally for a quick retreat, had affected the lieutenant deeply. He was a man accustomed to a strictly logical and somewhat ponderous course of events. He waited expectantly for some explanation to come from his usually serene commander.

Roymer, however, was busily occupied in tracking the alien’s new course. An orbit about Mina, Roymer observed, with that conical projection laid on the star; a device of war; or some measuring instrument?

The stolid Trian appeared—walking would not quite describe how—and was requested to make another attempt at contact with the alien. He replied with his usual eerie silence and in a moment, when he turned back to Roymer, there was surprise in the transmitted thought.

“I cannot understand. There is life there now.”

Roymer was relieved, but Goladan was blinking.

Trian went on, turning again to gaze at the screen.

“It is very remarkable. There are two life-beings. Human-type race. Their presence is very clear, they are”—he paused briefly—”explorers, it appears. But they were not there before. It is extremely unnerving.”

So it is, Roymer agreed. He asked quickly: “Are they aware of us?”

“No. They are directing their attention on the star. Shall I contact?”

“No. Not yet. We will observe them first.”

The alien ship floated upon the screen before them, moving in slow orbit about the star Mina.

* * *

Seven. There were seven of them. Seven planets, and three at least had atmospheres, and two might even be inhabitable. Jansen was so excited he was hopping around the control room. Cohn did nothing, but grin widely with a wondrous joy, and the two of them repeatedly shook hands and gloated.

“Seven!” roared Jansen. “Old lucky seven!”

Quickly then, and with extreme nervousness, they ran spectrograph analyses of each of those seven fascinating worlds. They began with the central planets, in the favorable temperature belt where life conditions would be most likely to exist, and they worked outwards.

For reasons which were as much sentimental as they were practical, they started with the third planet of this fruitful sun. There was a thin atmosphere, fainter even than that of Mars, and no oxygen. Silently they went on to the fourth. It was cold and heavy, perhaps twice as large as Earth, had a thick envelope of noxious gases. They saw with growing fear that there was no hope there, and they turned quickly inwards toward the warmer area nearer the sun.

On the second planet—as Jansen put it—they hit the jackpot.

A warm, green world it was, of an Earthlike size and atmosphere; oxygen and water vapor lines showed strong and clear in the analysis.

“This looks like it,” said Jansen, grinning again.

Cohn nodded, left the screen and went over to man the navigating instruments.

“Let’s go down and take a look.”

“Radio check first.” It was the proper procedure. Jansen had gone over it in his mind a thousand times. He clicked on the receiver, waited for the tubes to function, and then scanned for contact. As they moved in toward the new planet he listened intently, trying all lengths, waiting for any sound at all. There was nothing but the rasping static of open space.

“Well,” he said finally, as the green planet grew large upon the screen, “if there’s any race there, it doesn’t have radio.”

Cohn showed his relief.

“Could be a young civilization.”

“Or one so ancient and advanced that it doesn’t need radio.”

Jansen refused to let his deep joy be dampened. It was impossible to know what would be there. Now it was just as it had been three hundred years ago, when the first Earth ship was approaching Mars. And it will be like this—Jansen thought—in every other system to which we go. How can you picture what there will be? There is nothing at all in your past to give you a clue. You can only hope.

The planet was a beautiful green ball on the screen.

* * *

The thought which came out of Trian’s mind was tinged with relief.

“I see how it was done. They have achieved a complete stasis, a perfect state of suspended animation which they produce by an ingenious usage of the absolute zero of outer space. Thus, when they are—frozen, is the way they regard it—their minds do not function, and their lives are not detectable. They have just recently revived and are directing their ship.”

Roymer digested the new information slowly. What kind of a race was this? A race which flew in primitive star ships, yet it had already conquered one of the greatest problems in Galactic history, a problem which had baffled the Galactics for millions of years. Roymer was uneasy.

“A very ingenious device,” Trian was thinking, “they use it to alter the amount of subjective time consumed in their explorations. Their star ship has a very low maximum speed. Hence, without this—freeze—their voyage would take up a good portion of their lives.”

“Can you classify the mind-type?” Roymer asked with growing concern.

Trian reflected silently for a moment.

“Yes,” he said, “although the type is extremely unusual. I have never observed it before. General classification would be Human-Four. More specifically, I would place them at the Ninth level.”

Roymer started. “The Ninth level?”

“Yes. As I say, they are extremely unusual.”

Roymer was now clearly worried. He turned away and paced the deck for several moments. Abruptly, he left the room and went to the files of alien classification. He was gone for a long time, while Goladan fidgeted and Trian continued to gather information plucked across space from the alien minds. Roymer came back at last.

“What are they doing?”

“They are moving in on the second planet. They are about to determine whether the conditions are suitable there for an establishment of a colony of their kind.”

Gravely, Roymer gave his orders to navigation. The patrol ship swung into motion, sped off swiftly in the direction of the second planet.

* * *

There was a single, huge blue ocean which covered an entire hemisphere of the new world. And the rest of the surface was a young jungle, wet and green and empty of any kind of people, choked with queer growths of green and orange. They circled the globe at a height of several thousand feet, and to their amazement and joy, they never saw a living thing; not a bird or a rabbit or the alien equivalent, in fact nothing alive at all. And so they stared in happy fascination.

“This is it,” Jansen said again, his voice uneven.

“What do you think we ought to call it?” Cohn was speaking absently. “New Earth? Utopia?”

Together they watched the broken terrain slide by beneath them.

“No people at all. It’s ours.” And after a while Jansen said: “New Earth. That’s a good name.”

Cohn was observing the features of the ground intently.

“Do you notice the kind of . . . circular appearance of most of those mountain ranges? Like on the Moon, but grown over and eroded. They’re all almost perfect circles.”

Pulling his mind away from the tremendous visions he had of the colony which would be here, Jansen tried to look at the mountains with an objective eye. Yes, he realized with faint surprise, they were round, like Moon craters.

“Peculiar,” Cohn muttered. “Not natural, I don’t think. Couldn’t be. Meteors not likely in this atmosphere. “What in—?”

Jansen jumped. “Look there,” he cried suddenly, “a round lake!”

Off toward the northern pole of the planet, a lake which was a perfect circle came slowly into view. There was no break in the rim other than that of a small stream which flowed in from the north.

“That’s not natural,” Cohn said briefly, “someone built that.”

They were moving on to the dark side now, and Cohn turned the ship around. The sense of exhilaration was too new for them to be let down, but the strange sight of a huge number of perfect circles, existing haphazardly like the remains of great splashes on the surface of the planet, was unnerving.

It was the sight of one particular crater, a great barren hole in the midst of a wide red desert, which rang a bell in Jansen’s memory, and he blurted:

“A war! There was a war here. That one there looks just like a fusion bomb crater.”

Cohn stared, then raised his eyebrows.

“I’ll bet you’re right.”

“A bomb crater, do you see? Pushes up hills on all sides in a circle, and kills—” A sudden, terrible thought hit Jansen. Radioactivity. Would there be radioactivity here?

While Cohn brought the ship in low over the desert, he tried to calm Jansen’s fears.

“There couldn’t be much. Too much plant life. Jungles all over the place. Take it easy, man.”

“But there’s not a living thing on the planet. I’ll bet that’s why there was a war. It got out of hand, the radioactivity got everything. We might have done this to Earth!”

They glided in over the flat emptiness of the desert, and the counters began to click madly.

“That’s it,” Jansen said conclusively, “still radioactive. It might not have been too long ago.”

“Could have been a million years, for all we know.”

“Well, most places are safe, apparently. We’ll check before we go down.”

As he pulled the ship up and away, Cohn whistled.

“Do you suppose there’s really not a living thing? I mean, not a bug or a germ or even a virus? Why, it’s like a clean new world, a nursery!” He could not take his eyes from the screen.

They were going down now. In a very little while they would be out and walking in the sun. The lust of the feeling was indescribable. They were Earthmen freed forever from the choked home of the System, Earthmen gone out to the stars, landing now upon the next world of their empire.

Cohn could not control himself.

“Do we need a flag?” he said grinning. “How do we claim this place?”

“Just set her down, man,” Jansen roared.

Cohn began to chuckle.

“Oh, brave new world,” he laughed, “that has no people in it.”

* * *

“But why do we have to contact them?” Goladan asked impatiently. “Could we not just—”

Roymer interrupted without looking at him.

“The law requires that contact be made and the situation explained before action is taken. Otherwise it would be a barbarous act.”

Goladan brooded.

The patrol ship hung in the shadow of the dark side, tracing the alien by its radioactive trail. The alien was going down for a landing on the daylight side.

Trian came forward with the other members of the Alien Contact Crew, reported to Roymer, “The aliens have landed.”

“Yes,” said Roymer, “we will let them have a little time. Trian, do you think you will have any difficulty in the transmission?”

“No. Conversation will not be difficult. Although the confused and complex nature of their thought-patterns does make their inner reactions somewhat obscure. But I do not think there will be any problem.”

“Very well. You will remain here and relay the messages.”

“Yes.”

The patrol ship flashed quickly up over the north pole, then swung inward toward the equator, circling the spot where the alien had gone down. Roymer brought his ship in low and with the silence characteristic of a Galactic, landed her in a wooded spot a mile east of the alien. The Galactics remained in their ship for a short while as Trian continued his probe for information. When at last the Alien Contact Crew stepped out, Roymer and Goladan were in the lead. The rest of the crew faded quietly into the jungle.

As he walked through the young orange brush, Roymer regarded the world around him. Almost ready for repopulation, he thought, in another hundred years the radiation will be gone, and we will come back. One by one the worlds of that war will be reclaimed.

He felt Trian’s directions pop into his mind.

“You are approaching them. Proceed with caution. They are just beyond the next small rise. I think you had better wait, since they are remaining close to their ship.”

Roymer sent back a silent yes. Motioning Goladan to be quiet, Roymer led the way up the last rise. In the jungle around him the Galactic crew moved silently.

* * *

The air was perfect; there was no radiation. Except for the wild orange color of the vegetation, the spot was a Garden of Eden. Jansen felt instinctively that there was no danger here, no terrible blight or virus or any harmful thing. He felt a violent urge to get out of his spacesuit and run and breathe, but it was forbidden. Not on the first trip. That would come later, after all the tests and experiments had been made and the world pronounced safe.

One of the first things Jansen did was get out the recorder and solemnly claim this world for the Solar Federation, recording the historic words for the archives of Earth. And he and Cohn remained for a while by the air lock of their ship, gazing around at the strange yet familiar world into which they had come.

“Later on we’ll search for ruins,” Cohn said. “Keep an eye out for anything that moves. It’s possible that there are some of them left and who knows what they’ll look like. Mutants, probably, with five heads. So keep an eye open.”

“Right.”

Jansen began collecting samples of the ground, of the air, of the nearer foliage. The dirt was Earth-dirt, there was no difference. He reached down and crumbled the soft moist sod with his fingers. The flowers may be a little peculiar—probably mutated, he thought—but the dirt is honest to goodness dirt, and I’ll bet the air is Earth-air.

He rose and stared into the clear open blue of the sky, feeling again an almost overpowering urge to throw open his helmet and breathe, and as he stared at the sky and at the green and orange hills, suddenly, a short distance from where he stood, a little old man came walking over the hill.

They stood facing each other across the silent space of a foreign glade. Roymer’s face was old and smiling; Jansen looked back at him with absolute astonishment.

After a short pause, Roymer began to walk out into the open soil, with Goladan following, and Jansen went for his heat gun.

“Cohn!” he yelled, in a raw brittle voice, “Cohn!”

And as Cohn turned and saw and froze, Jansen heard words being spoken in his brain. They were words coming from the little old man.

“Please do not shoot,” the old man said, his lips unmoving.

“No, don’t shoot,” Cohn said quickly. “Wait. Let him alone.” The hand of Cohn, too, was at his heat gun.

Roymer smiled. To the two Earthmen his face was incredibly old and wise and gentle. He was thinking: Had I been a nonhuman they would have killed me.

He sent a thought back to Trian. The Mind-Searcher picked it up and relayed it into the brains of the Earthmen, sending it through their cortical centers and then up into their conscious minds, so that the words were heard in the language of Earth. “Thank you,” Roymer said gently. Jansen’s hand held the heat gun leveled on Roymer’s chest. He stared, not knowing what to say.

“Please remain where you are,” Cohn’s voice was hard and steady.

Roymer halted obligingly. Goladan stopped at his elbow, peering at the Earthmen with mingled fear and curiosity. The sight of fear helped Jansen very much.

“Who are you?” Cohn said clearly, separating the words.

Roymer folded his hands comfortably across his chest, he was still smiling.

“With your leave, I will explain our presence.”

Cohn just stared.

“There will be a great deal to explain. May we sit down and talk?”

Trian helped with the suggestion. They sat down.

The sun of the new world was setting, and the conference went on. Roymer was doing most of the talking. The Earthmen sat transfixed.

It was like growing up suddenly, in the space of a second.

The history of Earth and of all Mankind just faded and dropped away. They heard of great races and worlds beyond number, the illimitable government which was the Galactic Federation. The fiction, the legends, the dreams of a thousand years had come true in a moment, in the figure of a square little old man who was not from Earth. There was a great deal for them to learn and accept in the time of a single afternoon, on an alien planet.

But it was just as new and real to them that they had discovered an uninhabited, fertile planet, the first to be found by Man. And they could not help but revolt from the sudden realization that the planet might well be someone else’s property—that the Galactics owned everything worth owning.

It was an intolerable thought.

“How far,” asked Cohn, as his heart pushed up in his throat, “does the Galactic League extend?”

Roymer’s voice was calm and direct in their minds.

“Only throughout the central regions of the galaxy. There are millions of stars along the rim which have not yet been explored.”

Cohn relaxed, bowed down with relief. There was room then, for Earthmen.

“This planet. Is it part of the Federation?”

“Yes,” said Roymer, and Cohn tried to mask his thought. Cohn was angry, and he hoped that the alien could not read his mind as well as he could talk to it. To have come this far—

“There was a race here once,” Roymer was saying, “a humanoid race which was almost totally destroyed by war. This planet has been uninhabitable for a very long time. A few of its people who were in space at the time of the last attack were spared. The Federation established them elsewhere. When the planet is ready, the descendants of those survivors will be brought back. It is their home.”

Neither of the Earthmen spoke.

“It is surprising,” Roymer went on, “that your home world is in the desert. We had thought that there were no habitable worlds—”

“The desert?”

“Yes. The region of the galaxy from which you have come is that which we call the desert. It is an area almost entirely devoid of planets. Would you mind telling me which star is your home?”

Cohn stiffened.

“I’m afraid our government would not permit us to disclose any information concerning our race.”

“As you wish. I am sorry you are disturbed. I was curious to know—” He waved a negligent hand to show that the information was unimportant. We will get it later, he thought, when we decipher their charts. He was coming to the end of the conference, he was about to say what he had come to say.

“No doubt you have been exploring the stars about your world?”

The Earthmen both nodded. But for the question concerning Sol, they long ago would have lost all fear of this placid old man and his wide-eyed, silent companion.

“Perhaps you would like to know,” said Roymer, “why your area is a desert.”

Instantly, both Jansen and Cohn were completely absorbed. This was it, the end of three hundred years of searching. They would go home with the answer.

Roymer never relaxed.

“Not too long ago,” he said, “approximately thirty thousand years by your reckoning, a great race ruled the desert, a race which was known as the Antha, and it was not a desert then. The Antha ruled hundreds of worlds. They were perhaps the greatest of all the Galactic peoples; certainly they were as brilliant a race as the galaxy has ever known.

“But they were not a good race. For hundreds of years, while they were still young, we tried to bring them into the Federation. They refused, and of course we did not force them. But as the years went by the scope of their knowledge increased amazingly; shortly they were the technological equals of any other race in the galaxy. And then the Antha embarked upon an era of imperialistic expansion.

“They were superior, they knew it and were proud. And so they pushed out and enveloped the races and worlds of the area now known as the desert. Their rule was a tyranny unequaled in Galactic history.”

The Earthmen never moved, and Roymer went on.

“But the Antha were not members of the Federation, and, therefore, they were not answerable for their acts. We could only stand by and watch as they spread their vicious rule from world to world. They were absolutely ruthless.

“As an example of their kind of rule, I will tell you of their crime against the Apectans.

“The planet of Apectus not only resisted the Antha, but somehow managed to hold out against their approach for several years. The Antha finally conquered and then, in retaliation for the Apectans’ valor, they conducted the most brutal of their mass experiments.

“They were a brilliant people. They had been experimenting with the genes of heredity. Somehow they found a way to alter the genes of the Apectans, who were humanoids like themselves, and they did it on a mass scale. They did not choose to exterminate the race, their revenge was much greater. Every Apectan born since the Antha invasion, has been born without one arm.”

Jansen sucked in his breath. It was a very horrible thing to hear, and a sudden memory came into his brain. Caesar did that, he thought. He cut off the right hands of the Gauls. Peculiar coincidence. Jansen felt uneasy.

Roymer paused for a moment.

“The news of what happened to the Apectans set the Galactic peoples up in arms, but it was not until the Antha attacked a Federation world that we finally moved against them. It was the greatest war in the history of Life.

“You will perhaps understand how great a people the Antha were when I tell you that they alone, unaided, dependent entirely upon their own resources, fought the rest of the Galactics, and fought them to a standstill. As the terrible years went by we lost whole races and planets—like this one, which was one the Antha destroyed—and yet we could not defeat them.

“It was only after many years, when a Galactic invented the most dangerous weapon of all, that we won. The invention—of which only the Galactic Council has knowledge—enabled us to turn the suns of the Antha into novae, at long range. One by one we destroyed the Antha worlds. We hunted them through all the planets of the desert; for the first time in history the edict of the Federation was death, death for an entire race. At last there were no longer any habitable worlds where the Antha had been. We burned their worlds, and ran them down in space. Thirty thousand years ago, the civilization of the Antha perished.”

Roymer had finished. He looked at the Earthmen out of grave, tired old eyes.

Cohn was staring in open-mouth fascination, but Jansen—unaccountably felt a chill. The story of Caesar remained uncomfortably in his mind. And he had a quick, awful suspicion.

“Are you sure you got all of them?”

“No. Some surely must have escaped. There were too many in space, and space is without limits.”

Jansen wanted to know: “Have any of them been heard of since?”

Roymer’s smile left him as the truth came out. “No. Not until now.”

There were only a few more seconds. He gave them time to understand. He could not help telling them that he was sorry, he even apologized. And then he sent the order with his mind.

The Antha died quickly and silently, without pain.

* * *

Only thirty thousand years, Roymer was thinking, but thirty thousand years, and they came back out to the stars. They have no memory now of what they were or what they have done. They started all over again, the old history of the race has been lost, and in thirty thousand years they came all the way back.

Roymer shook his head with sad wonder and awe. The most brilliant people of all.

Goladan came in quietly with the final reports.

“There are no charts,” he grumbled, “no maps at all. We will not be able to trace them to their home star.”

Roymer did not know, really, what was right, to be disappointed or relieved. We cannot destroy them now, he thought, not right away. He could not help being relieved. Maybe this time there will be a way, and they will not have to be destroyed. They could be—

He remembered the edict—the edict of death. The Antha had forged it for themselves and it was just. He realized that there wasn’t much hope.

The reports were on his desk and he regarded them with a wry smile. There was indeed no way to trace them back. They had no charts, only a regular series of course-check coordinates which were preset on their home planet and which were not decipherable. Even at this stage of their civilization they had already anticipated the consequences of having their ship fall into alien hands. And this although they lived in the desert.

Goladan startled him with an anxious question:

“What can we do?”

Roymer was silent.

We can wait, he thought. Gradually, one by one, they will come out of the desert, and when they come we will be waiting. Perhaps one day we will follow one back and destroy their world, and perhaps before then we will find a way to save them.

Suddenly, as his eyes wandered over the report before him and he recalled the ingenious mechanism of the freeze, a chilling, unbidden thought came into his brain.

And perhaps, he thought calmly, for he was a philosophical man, they will come out already equipped to rule the galaxy.

 

 

 

Afterword by Jim Baen

This story bowled me over when I read it at age fourteen because it answered a question that’d plagued me practically my whole thinking life (the past two years, maybe): all those planets had to be inhabited by all those aliens; so where were they? (This is Fermi’s Paradox to people who know who Fermi was. I didn’t, of course.)

I was born and raised in a rural community on the New York/Pennsylvania border. It was very easy for me to imagine a universe which was without intelligent life for an immense distance surrounding me. But one relative had an attic of SF magazines, including the Astounding with “All the Way Back.”

Shaara’s answer (and I suspect it was a conscious answer, albeit a flip one) mapped the data perfectly. Maybe the reason it seemed so profound to me is that in 1957 we all knew we were going to die in a thermonuclear holocaust in a few years. What was this but that, writ very large?

 

 

 

 

Spawn by P. Schuyler Miller

Spawn

by P. Schuyler Miller

Preface by Eric Flint




I'd never read this story until Dave told me he wanted it for the anthology. After I did, I understood why. He'll explain his view of it in an afterword, but what I'll say about it for the moment is . . . 

This story really, really, really shouldn't work. If there's any "rule of writing" that P. Schuyler Miller doesn't violate somewhere in the course of it, I don't know what it is. The plot is . . . 

Absurd. The characters are . . . 

Preposterous. The prose is . . . 

"Purple" doesn't begin to capture the color. 

So much for the rules of writing. In its own completely over-the-top style, this story is a masterpiece. 

Okay, a madman's masterpiece, maybe, and certainly one of a kind. It still qualifies for the term because it fulfills the ultimate criterion for a great story—and, ultimately, the only criterion worth talking about.

It works. It really, really, really works.

 

 

 

Pedants spout glibly of probability, quibble and hedge, gulp at imagined gnats. Nothing is impossible to mathematics. Only improbable. Only very improbable.

Only impossibly improbable.

Earth, for example, is improbable. Planets should not logically exist, nor on existing planets life. Balances of forces are too impossibly delicate; origins too complexly coincidental. But Earth does exist—and on Earth life.

We see Earth and we see life, or we see something, however improbable, and call it Earth and life. We forget probabilities and mathematics and live by our senses, by our common sense. Our common sense sees Earth and it sees life, and in a kind of darkened mirror it sees men—but men are utterly improbable!

Ooze to worms and worms to fishes. Fishes to frogs and frogs to lizards. Lizards to rats and rats to men, and men at last to bloated, futuristic Brains. Brains are improbable: brains and senses, and above all, common sense. Not impossible—because nothing is impossible—but so improbable that nowhere in all the improbable stars, nowhere in all the improbably empty space between the stars, is there room for other Earths and other rats and men.

Nowhere—life.

* * *

An improbable man is tight. A man with improbably carrot-colored hair, with an improbably enormous nose. With a cold in that nose. With a quart of potato rot-gut to encourage the utter improbability of that cold and that nose, and of the world in general. With a plane’s rudder bar under his feet and a plane’s stick between his knees, and the Chilean Andes improbably gigantic underneath. 

A man is tight. And coincident with that tightness he is witness to the Improbable: 

Friday, the 25th of July: James Arthur Donegan, thirty-odd, red-haired, American, has witnessed the Improbable.

A cliff, hard and quartz-white, softening—puddling—pulping away in a vast heaped monstrousness fat with thick ropes of gold. Raw gold—yellow in the Andean sunlight. Mother-gold—knotted in wadded worm-nests in the shining rock. Medusae of golden fascination. Gold burning in hemp-dream arabesques in the naked cliff-face, in the white quartz that is pulping, dripping, sloughing into monstrosity.

Jim Donegan tipped his bottle high and lifted his plane out of insanity. Jim Donegan’s brain reeled with the raw white fire of potato whiskey and the raw yellow lustre of fat gold. And with the gold a quartz cliff melting, puddling—stone into pudding—sense into nonsense.

Jim Donegan tipped his bottle again and remembered to forget. Landed in Santiago. Disappeared.

* * *

An improbable man is sober. A thousand improbable men and a thousand even less credible women, and of them all only a hundred drunk. Only another hundred tight, or boiled, or mildly blotto. And half a thousand improbable men and women, drunk and sober, see and hear and photograph the Improbable eating whales: 

Wednesday, the 20th of August: Richard Chisholm, fifty, grizzled, British, has entered the Improbable in his log. Has stirred one wrinkled cerebrum, accustomed to the investigation of probabilities, in unaccustomed ways.

Zoologist Heinrich Wilhelm Sturm leaned with polished elbows on a polished rail and stared at a burnished sea. Daughter Marie Elsa Sturm leaned and stared beside him. Secretary Rudolf Walter Weltmann leaned and stared, but not at waves.

Waves lifted lazily along a great ship’s flank. Waves swelled and fell unbroken with the listless, oily languor of old dreams. And caught in the warm web of the sun and the malachitic waxenness of the waves a score of whales basked, rolling and blowing, under the weary eyes of Zoologist Heinrich Sturm.

The molten, lucent fluid of the sea clotted and cooled. Color went swiftly out of it: greenstone to apple jade, jade into chrysoprase, prase into beryl spume. It folded in uneven glistening hillocks of illogical solidity, and Zoologist Heinrich Sturm choked on his German oaths as a score of drowsing whales fought suddenly with death!

Acres of empty sea became quivering pulp. Grey puffs of it pushed out of the waves and sank again. Horrible, avid ripples shuddered and smoothed across its sleekness. And twenty whales were caught: gigantic, blunted minnows wallowing in a pudding mould; titanic ebon microbes studding an agar bowl. Drowned by the grey-green stuff that oozed into their gullets and choked their valved blow-holes! Strangled and stifled by it.

Swallowed and eaten by it!

The sound of it was unreal—the whoosh of blown breath splattering jellied ooze—the soft, glutting gurgle of flowing pulp—the single soughing sob as giant flukes pulled loose to fling aloft and smash into the rippled greenness that was darkening with the shadow of the ship.

One last sucking sigh—the fling of one mighty glistening upsilon against the sky—the babble of half a thousand human beings gulping breath. And Zoologist Heinrich Sturm, staring through thick, dark lenses at the blob of grey-green jelly on his wrist, at the spatter of jelly on the deck at his feet, and swearing happily his guttural German oaths . . .

* * *

A dead man lay in state. 

And I was there: 

Friday, the 22nd of August: Nicholas Svadin lies for the third day in solemn state before the peoples of the world.

Nicholas Svadin, Dictator of Mittel-Europa, lay waxen white under the heaped callas, under the August sun of Budapest. Nicholas Svadin, son of a Slavic butcher, grandson of German fuhrers, lay with six soft-nosed bullets in his skull and breast. Nicholas Svadin—whose genius for government had won the loyalty instead of the hatred of nations, whose greedy hand fed on the conflict of languages and races, whose shadow had covered Europe from the Volga to the Rhine. Nicholas Svadin—who had held all Europe under his humane tyranny save for the bickering fringe of Latin states and the frozen, watchful silence of the Anglo-Scandinavian confederacy.

Nicholas Svadin—dead in the August sun, with all Europe trembling in metastable balance under the fast-unfolding wings of Chaos.

And four men were the world. And four men were afraid.

They stood as they had stood when Svadin’s great rolling voice burst in a bloody cough and his great body, arms upflung in the compassionate gesture of the Cross, slumped like a greasy rag on the white steps of the Peace Hall. They stood with the world before them, and the world’s dead master, and the vision of the morrow brooded in their eyes.

Four men were the world. Rasmussen, bearded, blond, steel-eyed premier of Anglo-Scandia. Nasuki at his elbow, little and cunning with the age-old subtlety of the East. Gonzales, sleek, olive-skinned heir of the Neo-latin dictator. Moorehead the American, lean and white-headed and oldest of the four. Two and two in the August sun with the sickly scent of the death-lilies cloying in their nostrils, and I with my camera marking Time’s slow march.

I marked the four where they stood by the open bier. I marked the spilling lines of mourners that flowed in black runnels through the silent streets of Budapest. I marked the priests where they came, slow-treading with the stateliness of an elder civilization.

I marked the resurrection of the dead! 

Nicholas Svadin rose on his white-banked bier and stared at the world of men. Nicholas Svadin rose with the white wax softening in his massive jowls and the round blue scar of a soft-nosed slug between his corpse’s eyes. Nicholas Svadin swung his thick legs with an ugly stiffness from the bier and stood alone, alive, staring at mankind, and spoke four words—once, slowly, then again:

“I—am—Nicholas Svadin.”

“I am Nicholas Svadin!” 

And men had found a god.

Svadin had been a man, born of woman, father of men and women, the greatest Earth had known. His genius was for mankind, and he enfolded humanity in his kindly arms and was the father of a world. Svadin was a man, killed as men are killed, but on the third day he rose from his bed of death and cried his name aloud for the world to hear. 

Svadin the man became Svadin the god. 

I photographed the world-assembly at Leningrad when Svadin called together the scientists of the Earth and gave them the world to mould according to their liking. I marked the gathering in America’s halls of Congress when the rulers of the world gave their nations into his bloodless hands and received them again, reborn into a new order of democracy. I watched, and my camera watched, as the world poured itself into these new-cut patterns of civilization and found them good. And then, because men are men and even a Golden Age will pall at last, I turned to other things: 

A bathysphere torn from its cable in mid-deep. 

Fishing fleets returning with empty holds after weeks and months at sea. 

Eels gone from their ancient haunts, and salmon spawning in dozens where once streams had been choked with their lusting bodies. 

Cattleships lost in mid-Atlantic, and then a freighter, and another, gone without a trace. 

Two men and a girl whose names were on the rolls of every ship that crossed and recrossed the haunted waters of the North Atlantic. 

And from the South vague rumors of a god: 

Miami’s sun-bathed beaches were black with human insects. Miami’s tropic night throbbed with the beat of music and the sway and glide of dancers. Maria Elsa Sturm glided and swayed in the strong, young arms of Rudolf Weltmann and laughed with her night-blue eyes and poppy lips, but Heinrich Sturm stood alone in the star-strewn night and stared broodingly at the sleeping sea. Maria basked in the smoldering noonday sun, a slender golden flame beside the swarthy handsomeness of her companion, but the old masked eyes of Heinrich stared beyond her beauty at the sea.

Long waves swelled sleepily against the far blue of the Gulf Stream and sank and swelled again and creamed in tepid foam along the sands. Gay laughter rippled and prismatic color played with kaleidoscopic lavishness under the golden sun. Wave after wave of the sea, rising and falling and rising against the sky—and a wave that did not fall!

It came as the others had come, slowly, blue-green and glistening in the sunlight. It rose and fell with the ceaseless surge of the Atlantic at its back, and rose again along the white curve of the beach. It was like a wall of water, miles in length, rushing shoreward with the speed of a running man. Men ran from it and were caught. Spots of bright color spun in its sluggish eddies and went down. Tongues of it licked out over the warm sands, leaving them naked and bone-white, and flowed lazily back into the monstrous thing that lay and gorged in the hot sun.

It was a sea-green tumulus, vast as all Ocean. It was a league-long hillock of green ooze, apple-jade-green, chrysoprase-green, grey-green of frosted flint. It was a thing of Famine—not out of Bibles, not out of the histories of men—a thing that lay like a pestilence of the sea upon the warm, white beaches of Miami, black with humanity running, screaming, milling—a thing that was greedy and that fed!

Tatters of bright rag swirled in its sluggish eddies, oozed from its gelid depths; fragments of white bone, chalk-white and etched, rose and were spewed on the white sands. Arms of it flowed like hot wax, knowingly, hungrily. Veins in it, pale like clear ribbons of white jade in green translucency, ran blossom-pink, ran rose, ran crimson-red.

Maria Elsa Sturm lay in the white sand, in the warm sun, in the strong arms of healthy Rudolf Weltmann, under the unseeing eyes of Heinrich Sturm. Zoologist Heinrich Sturm woke to the world with horror in his eyes, horror in his brain, shrieking horror come stark into this life. Zoologist Heinrich Sturm saw tongues of the green-sea-stuff licking over Miami’s bone-white sands, supping up morsels of kicking life, spewing out dead things that were not food. Zoologist Heinrich Sturm saw the Incredible, mountain-high, suck up the golden straw that was Maria Strum, suck up the brown, strong straw that was Rudolf Weltmann, swell like a flooding river against the sea-wall at his feet, purling and dimpling with greedy inner currents—saw it ebb and lie drowsing, relishing its prey—saw the bright, scarlet rag that had wrapped Maria Sturm oozing up out of its green horridness, saw the black rag that had clothed Rudolf, saw two white, naked skulls that dimpled its glistening surface before they were sloughed away among tide-rows of eaten bones.

League-long and hill-high the wave that was not a wave lay glutting on young flesh, supping up hot blood. League-long and hill-high, with the little insect myriads of mankind running and screaming, standing and dying—with the buzzing wings of mankind circling over it and men’s little weapons peppering at its vast, full-fed imperturbability. Bombs fell like grain from a sower’s fist, streaming shadows of them raining out of the bare blue sky. Vast sound shattered the ears of gaping men, crushing in windows, shaking down ceilings, thundering with boastful vengeance. Fountains of green jelly rose stringily; wounds like the pit of Kimberly opened and showed sea-green, shadowed depths, stirring as the sea stirs, closing as the sea closes, with no scar. Bricks crumbled in little streams from a broken cornice; glass tinkled from gaping windows; men wailed and babbled and stared in fascination at Death. And Zoologist Heinrich Sturm stood alone, a gray old rock against which the scrambling tide beat and broke, seeing only the golden body of Maria Elsa Sturm, the laughing upturned face of Maria Elsa Sturm, the night-blue eyes and poppy lips of Maria Elsa Sturm . . .

Long waves swelled sleepily against the far blue of the Gulf Stream, and sank and swelled again, and creamed in soft foam against the bone-white sands. Wave after wave, rising and falling and rising higher with the flooding tide. Waves rising to lap the sea-green tumulus, to bathe its red-veined monstrousness whose crimson rills were fading to pink, to grey, to lucent white. Waves laving it, tickling its monstrous fancies, pleasing it mightily. Waves into which it subsided and left Miami’s white beaches naked for a league save for the windrows of heaped bones and the moist, bright rags that had been men’s condescension to the morality of men.

Cameras ground clickingly along that league-long battlefront while horror fed; microphones gathered the scream of the sight of Death from a thousand quavering lips—but not mine.

Men turned away, sickened, to turn and stare again with horrid fascination at the wet white windrows that were girls’ bones and men’s bones, and children’s—but not I.

Other eyes saw that vision of the Incredible; other lips told me of it when I asked. I did not see Zoologist Heinrich Sturm when he turned his back on the drift of smiling skulls and went wearily with the human stream, when he paid with creased and hoarded notes the accounts of Maria Elsa Sturm, deceased, and of Rudolf Walter Weltmann, deceased, of Heinrich Wilhelm Sturm.

I did not see Zoologist Heinrich Sturm when he stepped out of the hotel with his battered suitcase, plastered with paper labels, his round black hat, his thick dark glasses, and disappeared.

No one who saw cared.

There was no one, now, to care . . .

* * *

Out of the South the rumor of a god! 

Out of the Andes word of a God of Gold, stalking the mountain passes with Wrath and Vengeance smoking in his fists. A god wrathful in the presence of men and the works of men. A god vengeful of man’s slavery of rock and soil and metal. Jealous of man’s power over the inanimable. A god growing as the mountains grow, with bursting, jutting angularities shifting, fusing, moulding slowly into colossal harmonies of foam and function, with growing wisdom in his golden skull and growing power in his crystal fists. A god for the weak, contemptuous of the weak but pitiless to the strong—straddling adobe huts to trample the tin-roof huddle of shacks at the lip of some gaping wound in the ancient flesh of Earth.

A god with power tangible and cruel, alien to pewling Black-Robe doctrines of white men’s love of men. A god speaking voicelessly out of the distances of things that awoke old memories, roused old grandeurs in the blood of small brown men and in other men in whose veins the blood of brown kings flowed.

A god of red justice. A god of Revolution!

A god to bring fear again to men!

In the South—Revolution. Little brown men swarming in the mountains, pouring into the valleys, hacking, clubbing, stabbing, burning. Revolution in small places without names. Revolution in mud villages with names older than America. Revolution flaming in towns named in the proud Castilian tongue—in cities where white women promenaded and white men ogled, and brown men were dust in the gutters. Revolution in Catamarca, in Tucuman, in Santiago del Estero. Revolution half a thousand miles away, in Potosi, in Cochabamba, in Quillacolla. Revolution sweeping the royal cities of the Andes—Santiago, La Paz, Lima, Quito, Bogotá! Revolution stalking up the up-thrusting spine of a continent like a pestilence, sucking in crazed brown warriors from the montes, from the pampas, from barren deserts and steaming jungles. Blood of brown ancestors rising beneath white skins, behind blue eyes. Revolution like a flame sweeping through brown man and white and mostly-white and half-white and very-little-white and back to the brown blood of ancient, feathered kings! Guns against machetes. Bayonets against razor-whetted knives. Poison gas against poison darts.

And in their wake the tread of a God of Gold!

Revolution out of Chile, out of the Argentine, into Bolivia, into Peru of the Incas. Revolution out of the hot inland through the Amazon, rippling through Brazil, through the Guianas, into Ecuador, into Colombia, into Venezuela. Revolution choking the ditch of Panama, heaping the bigger ditch of Managua with bleeding corpses, seething through the dark forests of Honduras, Guatemala, Yucatan. A continent overwhelmed and nothing to show why. A continent threatened, and only the whispered rumor of a God of Gold!

Men like me went to see, to hear, to tell what they had seen and heard. Men like me crept into the desolate places where Revolution had passed, and found emptiness, found a continent trampled under the running, bleeding feet of a myriad of small brown men driven by a Fear greater than the fear of Death—crushed and broken under the relentless, marching hooves of the God of Gold.

A village, then a city—a nation, then a continent—and the armies of the white nations mobilizing along the border of Mexico, in the arid mountains of the American south-west, watching—waiting—fearing none knew what. A necklace of steel across the throat of the white man’s civilization.

Repeated circumstance becomes phenomenon; repeated phenomena are law. I found a circumstance that repeated again and again, that became phenomenal, that became certainty. A man with red hair, with a bulbous nose, with a bird’s knowledge of the air. An old man peering through thick glasses muttering in his beard. How they came together no man knew. Where they went man could only guess. The wings of their giant plane slid down out of the sunset, rose black against the sunrise, burned silver white in the blaze of noon . . . They went—they returned—and none questioned their coming or going.

War on the edge of America. War between white man and brown—and more than man behind the brown. Death rained from the sky on little brown men scattering in open deserts, on green jungles where brown men might be lurking, on rotten rock where brown men might have tunneled. Death poisoned the streams and the rock-hewn cenotes, death lay like a yellow fog in the arroyos and poured through gorges where brown men lay hidden behind rocks and in crannies of the rock. Flame swept over the face of Mexico and the brown hordes scattered and gave way in retreat, in flight, in utter rout. White fury blazed where brown hatred had smouldered. Brown bodies sprawled, flayed and gutted where white corpses had hung on wooden crosses, where white hearts had smoked in the noon sun and white men’s blood had dribbled down over carved stone altars. Hell followed Hell.

Then from Tehuantepac a clarion challenge, checking the rout, checking the white wave of vengeance. The challenge of a god!

Planes droned in the bare blue sky over Oaxaca, riddling the mountains with death. Polite, trim generals sat and drank and talked in half a dozen languages wherever there was shade. The sun blazed down on the plaza of Oaxaca in the time of siesta, and the grumble of war sank to a lullaby. Then out of the mountains of the east, rolling and rocking through the naked hills, sounded the shouted challenge of the God of Gold!

I heard it like a low thunder in the east, and a German major at the next table muttered “Dunder!” I heard it again, growling against the silence, and the Frenchman beside him looked up a moment from his glass. It came a third time, roaring like the voice of Bashan in the sky, and all up and down the shaded plaza men were listening and wondering.

Far away, across the mountains in Tehuantepec, the guns began to thud and mutter, and in the radio shack behind us a telegraph key was clicking nervously. The Frenchman was listening, his lips moving. An English lieutenant strode in out of the sun, saluted, melted into the shadow of the colonnade.

Out of the East the challenge of a God! 

I heard the triumphant, bull-bellied shout thundering across the ranges as the guns of Tehuantepec grumbled for the last time. I saw a light that should not be there—a mad, frantic light—gleaming in the eyes of an officer of Spanish name, from the Mexican province of Zacatecas. The German’s eyes were on him, and the Frenchman’s, and those of the English subaltern, following him as he stole away. The wireless operator came out and saluted, and handed a slip of yellow paper to the Frenchman. He passed it, shrugging, to the German. A Russian came and looked over his shoulder, an Italian, an American, a Japanese, and their heads turned slowly to listen for the chuck and patter of distant guns that they would never hear again. And then, again, that voice of the mountains bellowed its triumphant challenge, stirring a cold current of dread in my veins—in the veins of all men of Oaxaca—of all men who heard it.

The victorious God of Gold shouted his challenge to mankind, and in answer came the distant burring of a plane in the north.

It passed over us and circled for a landing outside the city. An army car raced away and returned. I knew two of the three men who climbed stiffly out of the tonneau. I saw tall, red-headed air-fiend Jim Donegan. I saw stooped, grey, boggling Zoologist Heinrich Sturm.

I saw Nicholas Svadin, once-dead master of the world.

Svadin against the God of Gold!

Again that bull-throated, brazen thunder rolled across the ranges and I saw Svadin’s blunt, hairless skull cocked sidewise, listening. Old Heinrich Sturm was listening too, and Red Jim Donegan. But I saw only Nicholas Svadin.

It was five full years since that August day in Budapest. Wax was heavy in his blue-white jowls. Wax weighted down his heavy-lidded eyes. A puckered blue hole probed his sleek white brow. His great body was soft and bloated and his stubby fingers blue under their cropped nails. There was an acrid odor in the air, the odor that heaped callas had hidden in the sun of Budapest, that not even the stench of a thousand sweating men could hide under the sun of Mexico.

They talked together—Svadin, the generals, Sturm, Red Jim Donegan of Brooklyn. Donegan nodded, went to the waiting car, disappeared into the white noon-light. Soon his great silver plane droned overhead, heading into the north.

One day—two—three. We on the outside saw nothing of Svadin, but men of all nations were at work in the blazing sun and the velvet night, sawing, bolting, riveting, building a vast contrivance of wood and metal under the direction of Heinrich Sturm. Four days—five, and at last we stood at the edge of the man-made city of Oaxaca, staring at that monstrous apparatus and at the lone figure that stood beside it—Svadin. His puffed blue fingers went to the switch on its towering side, and out of that giant thing thundered the bellowed defiance of Mankind, hurled at the giant thing that walked the ranges, bull-baiting the God of Gold!

Its vast clamor shuddered in the packed earth underfoot. Its din penetrated the wadding in our ears and drummed relentlessly against our senses. It boomed and thundered its contempt, and in answer that other voice thundered beyond the blue-tipped mountains. Hour after hour—until madness seemed certain and madness was welcome—until the sun lay low in a red sky, painting the ranges—until only Svadin and grey old Heinrich Sturm remained, watching beside their vast, insulting, defiant Voice. Then in the east a flicker of light tipped the farthest ranges!

It was a creeping diamond of light above the purple horizon. It was a needle of white fire rising and falling above the mountains, striding over valleys, vaulting the naked ridges, growing and rising higher and vaster and mightier against the shadow of the coming night. It was a pillar of scintillant flame over Oaxaca.

It was the God of Gold! 

Quartz is rock, and quartz is jelly, and quartz is a crystal gem. Gold is metal, and gold is color, and gold is the greed of men. Beauty and fear—awe and greed—the Thing over Oaxaca was a column of crystal fires, anthropomorphic, built out of painted needle-gems, with the crimson and blue and smoky wine-hues of colloidal gold staining its jeweled torso—with veins and nerves and ducts of the fat yellow gold of Earth—with a pudding of blue quartz flowing and swelling and flexing on its stony frame. It was a giant out of mythery—a jinn out of hashish madness—a monster born of the Earth, thewed with the stuff of Earth, savagely jealous of the parasitic biped mammals whose form it aped. Its spiked hooves clashed on the mountaintops with the clamor of avalanches. Its flail-arms swung like a flickering scourge, flaying the bare earth of all that was alive. Its skull was a crystal chalice wadded with matted gold, brain-naked, set with eyes like the blue sapphires of Burma, starred with inner light. It roared with the thunder of grinding, tearing, grating atoms, with the sullen voice of earthquakes. It was the spectre of Earth’s last vengeance upon delving, burrowing, gutting little Man, the flea upon her flesh. It stood, a moment, straddling the horizon—and out of the north a plane was winging, midge-small against the watching stars. So high it was that though the sun had gone and the shadow of the Earth lay purple on the sky, its wings were a sliver of light, dwindling, climbing to that unimaginable height where the rays of the vanished sun still painted the shoulders of the God of Gold. A plane—and in its wake another, and another—a score of whispering dots against the tropic night.

Red Jim Donegan saw the monstrous, faceless visage upturned to watch his coming. He saw the white fires chill in its moon-great eyes, saw vast arm-things forming on its formless body, like swinging ropes of crystal maces. He saw the sinews of massive yellow gold that threaded its bulk, tensing and twisting with life, and the brain of knotted gold that lay in its cupped skull like worms in a bowl of gems. He saw that skull grow vaster as his plane rushed on—mountain-vast, filling the night—saw these star-backed eyes blazing—saw the evil arms sweeping upward—then was in empty air, sprawled over vacancy, his ship driving down into that monstrous face, between the staring sapphire eyes.

He swung from a silk umbrella and saw those kraken-arms paw at the crystal skull where a flower of green flame blossomed—saw the second plane diving with screaming wings—a third beyond it—and a fourth. The air was full of the white bubbles of parachutes, sinking into the edge of night. He saw the shadow of the world’s edge creeping up over that giant shape, standing spread-legged among the barren hills, and green flame burning in its golden brain. A flame eating quartz as a spark eats tinder. A flame devouring gold, sloughing away crystalline immensity in a rain of burning tears, ever deeper, ever faster, as plane after plane burst with its deadly load against that crystal mass.

In blind, mad torture the God of Gold strode over Oaxaca. Green fire fell from it like blazing snow, pocking the naked rock. One dragging hoof furrowed the rocky earth, uprooting trees, crags, houses, crushing the man-made lure that had dared it to destruction. Fragments of eaten arms crashed like a meteor-fall and lay burning in the night. A moment it towered, dying, over ruined Oaxaca, where Nicholas Svadin stood dwarfed among the shambles of broken houses, the slight, stooped form of Heinrich Sturm beside him. Then in the sky that consuming flame blazed bright as some vital source was touched. A pillar of licking light wiped out the stars. It took one giant stride, another, and the world shook with the fall of the living mountain that crashed down out of the burning night. Among the eastern hills the fractured limbs of the colossus of the South lay strewn like snowy grain, and in the rocky flank of San Felipe a pit of cold green fire ate slowly toward the heart of Earth.

One who had been a man turned away from that holocaust and vanished in the darkness. Nicholas Svadin, his dead flesh clammy with dew, his gross bulk moving with the stealthy silence of a cat, with Heinrich Sturm trotting after him through the night.

Svadin, who had met the challenge of a God of Gold—and won!

* * *

A Thing of the Sea—a Thing of the Earth—a Thing of Men! Three Things outrageous to Man’s knowledge of himself and of his world, improbable beyond calculation, impossible if impossibility could exist. Three Things raised from the dead, from the inanimate, from the inanimable, who lived and ate and walked properly, probably, possibly. Three Things that sought the sovereignty of Earth—a Thing of ravening hunger, a Thing with a hate of men, and a Thing that was god-hero of all men. 

One of the Three lay destroyed beyond Oaxaca, and the brown men who had done its will were fugitives from vengeance. One still basked and fed in the tropic sea. And the third was Nicholas Svadin. 

* * *

Rumors spread like ripples in a quiet pool. Even a god grows old. Svadin was a god whose word was law, whose wisdom was more than human, whose brain devised strange sciences, who brought the world comfort and contentment greater than it had ever known. In life he was a genius; dead, a martyr. He rose from the dead, wearing the mark of death, and men worshipped him as a god, saw in him a god’s omnipotent wisdom. He remade a world, and the world was content. He slew the giant God of Gold and men followed him like sheep. But there were others who were not impressed by gods, or men like gods, and there were rumors, whisperings, wonderings.

It was my work to hear such rumors, listen to whisperings, tell men the truth about what they wondered.

Few men were close to Svadin, but of those who were, one told strange stories. A man who in other times had made his living on the fruits of such stories. Svadin—from whom the marks of death had never vanished, though he had risen from the dead—in whose forehead the puckered mark of a bullet still showed, whose face was white with the mortician’s wax, whose fingers were puffed and blue, whose body was a bloated sack. Whose flesh reeked with the fluids which preserve corpses. Who fed privately on strange foods, quaffed liquids which reeked as those fluids reeked. Who showed strange vacancies of memory, absences of knowledge about common things, yet was a greater genius than in life-before-death. Whose only confidant was the mad zoologist, Heinrich Wilhelm Sturm.

I heard of the strange wicker and elastic form which was made by a craftsman in Vienna and worn under his heavy, padded clothes. I heard of a woman of impressive birth who offered herself as women have—and of the dull, uncomprehending stare which drove her shivering from his chamber. I heard of the rats that swarmed in his apartments, where no cat would stay, and of the curious devices he had erected around his bed—of the day when a vulture settled on his shoulder and others circled overhead, craning their wattled necks.

I saw Nils Svedberg, attaché of the Anglo-Scandian legation in Berlin, when he fired three Mauser bullets into the flabby paunch of the Master of the World—saw too what the crowd discarded when its fanatic vengeance was sated, and children scampered home with bloody souvenirs of what had been a man. I heard Svadin’s thick voice as he thanked them.

Rumors—whisperings—questions without an answer. Svadin—to some a god, born into pseudo-human form, immortal and omnipotent. To some a man, unclean, with the awakening lusts and habits of a man. To some a Thing brought out of Hell to damn Mankind.

And a Thing of the sea, feeding in the Caribbean, in the turgid outpourings of the Amazon, along the populous coasts of Guiana and Brazil. Devil’s Island a graveyard. And at last—Rio!

* * *

A plane with a red-haired, large-nosed American pilot cruised the coasts of South America. A worn, greyed, spectacled old man sat with him, peering down into the shallow, shadowed waters for darker shadows. They marked the slow progress of Death along the tropic coasts, and in Rio de Janeiro, Queen City of the South, the mightiest engineering masterpiece of Man was near completion. 

Jim Donegan and Heinrich Sturm watched and carried word of what they saw, while Nicholas Svadin schemed and planned in Rio of the south. 

* * *

Rio—rebuilt from the shell of Revolution. Rio fairer than ever, a white jewel against the green breast of Brazil. Rio with her mighty harbor strangely empty, her horseshoe beaches deserted, and across the sucking mouth of the Atlantic a wall, with one huge gateway.

Crowds on the mountainsides, waiting. Drugged carrion bobbing in the blue waters of the harbor—slaughtered cattle from the Argentine, from America, from Australia—fish floating white-bellied in the trough of the waves—dead dogs, dead cats, dead horses—all the dead of Rio and the South, larded with opiates, rocking in the chopped blue waters of the harbor of Rio de Janeiro. And at the Gateway to the sea a glistening greening of the waves, a slick mound flowing landward between the guarding walls—a grey-green horror scenting prey. A silver plane above it in the sky. A small black dot on the curved white beach.

Svadin—and the Thing of the Sea.

Food was offered, and it fed. It poured sluggishly into the great land-locked harbor of Rio. It supped at the meagre morsels floating in the sea and flowed on toward the deserted city and the undead man who stood watching it. And as its last glistening pseudopod oozed through the man-made gates, a sigh went up from the people on the mountainsides. Slowly and ponderously the barrier gate slid shut behind it, sealing the harbor from the sea. Great pumps began to throb, and columns of clear green brine of a river’s thickness foamed into the unfillable Atlantic.

The plane had landed on the beach and Svadin climbed in. Now it was aloft, circling over the city and the harbor. The Thing was wary. It had learned, as all preying things learn, that each tiny insect has its sting. It sensed a subtle difference in the tang of the brine in which it lay—felt a motion of the water as Svadin’s colossal pumps sucked at the harbor—detected a tension in the air. Its eddying lust for flesh quieted. It gathered itself together—swirled uneasily in the confines of the walled harbor—lapped questingly against the rampart that barred it from the Atlantic. Its glistening flanks heaved high out of the blue waters. It gathered itself into a great ball of cloudy jade that rose and fell in the surge of the quiet sea. It lay as a frightened beast lies—frozen—but without fear, biding its time.

Day after day after day. Day after day under the burning sun, while curious human mites dotted the Beira Mar, thronged on the white moon-rind beaches—while devout thousands crammed the Igreja de Penha, spared by Revolution, knelt on its winding stair, prayed and knelt in the many Houses of God of Rio of the South—while inch by inch and foot by foot the sparkling waters of Rio’s mighty harbor sank and the grey-black ooze of the sea floor steamed and stank in the tropic sun, and the vast green Thing from the sea lay drugged amid the receding waters.

Atop hunched Corcovado the majestic Christ of Rio stared down on Mankind and the enemy of Mankind. Atop sky-stabbing Sugarloaf, poised between sea and land, Nicholas Svadin stood and stared, and with him Heinrich Sturm. Above the sinking waters of the bay, great ships of the air droned and circled, dropping the fine, insidious chemical rain that drugged the Thing with sleep. And in the jewel-city below, Ramon Gonzales, human link between the Latin blood of old Europe and new America, stood and stared with burning eyes. Leagues across the oily, sleeping sea, three other men stood or sat staring, grim-eyed, into nothing. Moorehead the American. Nasuki the Asiatic. Blond Rasmussen of Anglo-Scandia.

Day after day after day, while the miasmic stench of Rio’s draining harbor rose over the white avenues of Rio de Janeiro, while the darkening waters lapped lower and ever lower on the glistening jade-green mountain of jellied ooze that lay cooking in the sun. Day after day after day, while those who had crept back to the Beira Mar, to rock-rimmed Nictheroy, returned to the green, cool hills to watch and wait. A handful of sullen men in the Queen City of the South. Another handful on the naked cap of Sugarloaf and at the feet of the mighty Christ of Corcovado, miraculously untouched by the ravening of the God of Gold. And above it all the whine and drone of the circling planes and the far, dull mutter of the giant pumps.

Living things acquire a tolerance of drugs, demand more and more and more to sate their appetite. Drugged meat had lulled the Thing, and the rain of drugs from circling planes had kept it torpid, soothed by the slow lap of brine against its gelid flanks, dreaming of future feasts. Now as the waters sank and the sun beat down on its naked bulk, the vast Thing roused. Like a great green slug it crept over the white thread of the Beira Mar, into the city of jewels. Buildings crumpled under its weight, walls were burst by the pressure of its questing pseudopods. Into the pockets of the hills it crept, over the broken city, and behind it on the summit of Sugarloaf was frantic activity. Nicholas Svadin’s puffed blue hand pointed, and where he gestured a ring of fire slashed across Rio’s far-reaching avenues, barring the exit to the sea. Slowly the zone of flame crept inward, toward the empty harbor, and before its fierce heat the Sea-Thing retreated, grinding the city under its slimy mass. Little by little it roused—its ponderous motion became quicker, angrier. Little by little fear woke in it, where fear had never been—fear of the little gabbling human things that stung it with their puny weapons. It lay like a glassy blanket over the ruined streets of Rio—a knot of twisting serpent-forms craving the cool wet blackness of the deep sea. Before its awakened fury the wall across Rio’s harbor would be like a twig across the path of an avalanche. Its fringe of lolloping tentacles dabbled in the salt-encrusted pool that was all the pumps had left of the Bay of Rio, and in minutes the rippling mirror was gone, sucked into the Sea-Things’ avid mass.

And then Svadin struck.

I stood with my camera beneath the Christ of Corcovado. The sun was setting, and as the shadow of the western summits crept over gutted Rio the Sea-Thing gathered itself for the assault that would carry it over Sugarloaf, over the wall that men had made, into the welcoming Atlantic. Then in the north, where the sun yet shone, came a flicker of metal gnats against the cloudless sky, the burr of their roaring engines speeding them through the advancing twilight. From Sugarloaf a single rocket rose and burst, a pale star over the sea, showering spangled flame, and the heavens were filled with the thunder of Man’s aerial hosts—bombers, transports, planes of all sizes and all nations in a monster fleet whose shadow lay long on the curling sea like a streamer of darkness. Their first rank swung low over the hollow harbor and out of them rained a curtain of white missiles, minute against the immensity of Rio’s circling hills. Like hail they fell, and after them a second shower, and a third as the fleet roared by above. And then the first bombs hit!

A ribbon of fire burst against the twilight. Fountains of golden flame vomited skyward, scores of feet over the naked surface of the Thing. Hundreds—thousands of bursting dots of fire, sweeping swaths of fiery rain, cascades of consuming flame—until the Sea-Thing blazed with one mighty skyward-reaching plume of golden glory that licked at the darkening heavens where the wings of Mankind’s army of destruction still roared past, the rain of death still fell like a white curtain, painted by the leaping yellow flame of burning sodium.

I saw it then as old Heinrich Sturm had seen it months and years before, as Nicholas Svadin had seen it when he began his colossal plan to bait the Thing into the land-locked bay of Rio de Janeiro. Flame, killing and cleansing where no other weapon of man would serve. Green flame devouring the Earth-born God of Gold, corroding its crystal thews and consuming its golden brain. Yellow flame feeding on the sea-green pulp of the Sea-born Thing—changing the water that was its life into the caustic venom that slew it. As that colossal golden torch flared skyward over broken Rio I saw the mountainous bulk of the Sea-Thing shrivel and clot into a pulp of milky curds, crusted with burnt alkali. Water oozed from it like whey from pressed cheese, and tongues of the yellow flame licked along it, drinking it up. The black ooze of the harbor was drying and cracking under the fierce heat. Palms that still stood along the bare white beaches were curling, crisping, bursting into splinters of red flame, and even against the rising breeze the steaming stench of cooked flesh reeked in our nostrils.

The murmur of voices behind me stilled. I turned. The crowd had given way before the little knot of men who were coming toward me, driven from the crest of Sugarloaf by the fierce heat of the burning Thing. Flame-headed, red-nosed Donegan pushing a way for those who followed him. Grey-whiskered Heinrich Sturm pattering after him. Behind them, surrounded by men in braided uniforms, the fish-white, corpse-flesh shape of Nicholas Svadin.

I gave no ground to them. I stood at the Christ’s feet and gave them stare for stare. I stared at Red Jim Donegan, at Zoologist Heinrich Sturm, and I stared at the gross, misshapen thing that was master of the world.

I had not seen him since that night in Oaxaca, three years before. He had been hideous then, but now the scent and shape of Death were on him as they were on Lazarus when he arose blank eyed from the grave. A grey cloak swirled from his shoulders and fell billowing over a body warped and bloated out of all human semblance. Rolls of polished flesh sagged from his face, his neck, his wrists. His fingers were yellow wads of sickening fat, stained with blue, and his feet were clumping pillars. Out of that pallid face his two bright eyes peered like raisins burnt glassy and stuck in sour dough. The reek of embalming fluids made the air nauseous within rods of where he stood. Nicholas Svadin! Living dead man—master of the world!

I knew Donegan from Oaxaca. He told me what I had guessed. Old Sturm’s researches, made on bits of the jelly left by the Thing, on fragments hewed from it by volunteers, showed it to be built largely of linked molecules of colloidal water. Water—stuff of the Sea—bound by the life-force into a semblance of protoplasm—into a carnate pulp that fed on the Sea and took life from it even as it fed on living flesh for the needful elements that the water could not give it. Living water—mountain huge—destroyed by forces that no water could quench—by bombs of metallic sodium, tearing apart the complex colloidal structure of its aqueous flesh and riving it into flames of burning hydrogen and crusting, gelling alkali. Chemical fire, withering as it burnt.

I knew, too, Ramon Gonzales. I had seen him when he stood beside Svadin’s bier in the sun of Budapest—when Svadin gave him the United Latin states of two continents to govern—when he stood ankle-deep in the green slime that the Sea-Thing had left coating the white walls of gutted Rio. I saw him now, his dark face ghastly in the yellow glare, screaming accusation at the immobile, pasty face of Nicholas Svadin. Those button eyes moved flickeringly to observe him; the shapeless bulk gathered its cloak closer about it and swiveled to consider him. Higher and higher Gonzales’ hysterical voice raged—cursing Svadin for the doom he had brought on Rio, cursing him for the thing he had been as a man and for the thing he was now. No sign of understanding showed on that bloated face—no sign of human feeling. I felt a tension in the air, knew it was about to break. My camera over Jim Donegan’s shoulder saw Ramon Gonzales as his sword lashed out, cutting through Svadin’s upflung arm, biting deep into his side, sinking hilt-deep in his flesh. I saw its point standing out a foot behind that shrouded back, and the flare of Jim Donegan’s gun licked across my film as he shot Gonzales down. I saw, too, the thick, pale fluid dripping slowly from the stump of Svadin’s severed arm, and the puffed, five-fingered thing that twitched and scrabbled on the gravel at his feet.

Above us, lit by the dying yellow flame, the Christ of Corcovado looked down on the man who had risen from the dead to rule the world.

* * *

Four men were the world when Svadin rose from the dead in Budapest. Nasuki. Rasmussen. Gonzales. Moorehead. Gonzales was dead. 

Two men had stood at Svadin’s side when he slew the Thing of the Earth and the gelid Thing of the Sea. Donegan. Heinrich Sturm. Sturm alone remained. 

* * *

I showed the pictures I had taken on Corcovado to drawn-faced Richard Moorehead in the White House at Washington. I showed them to Nasuki in Tokyo and to Nils Rasmussen in London. I told them other things that I had seen and heard, and gave them names of men who had talked and would talk again. I wore a small gold badge under my lapel—a badge in the shape of the crux ansata, the looped Egyptian cross of natural, holy life.

I went to find Jim Donegan before it should be too late. It was too late. Since the morning of the day when Nicholas Svadin’s silver plane slipped to the ground at the airport of Budapest, and Svadin’s closed black limousine swallowed him, and Donegan, and Heinrich Sturm, the tall, red-haired American had not been seen. Sturm was there, close to Svadin, with him day and night, but no one could speak with him. And gradually he too was seen less and less as Svadin hid himself in curtained rooms and sent his servants from the palace, drew a wall of steel around him through which only Zoologist Heinrich Sturm might pass.

Something was brewing behind that iron ring—something that had been boding since long before Svadin stood in Oaxaca and lured the God of Gold to its death—since long before he was first approached by the bearded, spectacled little German scientist who was now the only man who saw him or knew that he was alive. Yet Svadin’s orders went out from the great, empty palace in Budapest, and the world grew sullen and afraid.

When he was newly risen from the bier, Nicholas Svadin had in him the understanding of a leader of Mankind and the genius of a god. Men took him for a god and were not betrayed. He thought with diamond clearness, saw diamond-keenly the needs and weaknesses of men and of men’s world. He made of the world a place where men could live happily and securely, without want, without discomfort—and live as man.

As the months went by Svadin had changed. His genius grew keener, harder, his thinking clearer. Scientist—economist—dictator—he was all. The things he ordained, and which men throughout the world did at his command, were things dictated by reason for the good of the human race. But at the same time humanity had gone out of him.

Never, since that day when the heaped callas fell from his stiffly rising frame in the sun of Budapest, had he spoken his own name. He was Svadin, but Svadin was not the same. He was no longer a man. He was a machine.

Conceivably, a machine might weigh and balance all the facts governing the progress and condition of one man or of all humanity, and judge with absolute, mathematical fairness what course each should take in order that the welfare of all should be preserved. If it meant death or torment for one, was that the concern of the many? If a city or a nation must be crushed, as Rio had been crushed, to wipe out a monstrous Thing that was preying on Mankind, should not Rio rejoice at its chance to be the benefactor of the race? No man would say so. But Svadin was not a man. What he was—what he had become—it was the purpose of the League of the Golden Cross to discover.

No movement is greater than its leaders. Those who wore the looped cross of Life were led by the three men to whom the world looked, next to Svadin, for justice—to whom they looked, in spite of Svadin, for human justice. Before he rose from his bier, they had ruled the world. It was their intention to rule it again. No lesser men could have planned as they planned, without Svadin’s knowledge, each last step of what must happen. That things went otherwise was not their fault—it was the fault of the knowledge that they had, or their interpretation of that knowledge. I had not yet found Jim Donegan. I had not seen Heinrich Sturm.

Through all the world the seeds of revolt were spreading, deeper and further than they had spread among the little brown-blooded men who were rallied by fear of the God of Gold. But throughout all the world those seeds fell on the fallow soil of fear—fear of a man who had risen from death—of a man who was himself a god, with a god’s power and a god’s unseeing eye, with a god’s revenge. Men—little superstitious men in thousands and millions, feared Svadin more than they hated him. At his word they would slay brothers and cousins, fathers and lovers, friend and foe alike. Reason, justice meant nothing to them. There must be a greater fear to drive them—and it was my job to find that fear.

In every place where Svadin had his palaces, his steel-jacketed guards, I peered and pried, watching for the sight of a red head, an improbably bulbous nose. And not for a long, long time did I find it.

Svadin’s grim castle loomed among weedy gardens, above Budapest. I found old men who had planned those gardens, others who had laid them out, who had built their drains and sunk the foundations of the palace in a day before Svadin was born. Where only rats had gone for a generation, I went. Where only rats’ claws had scrabbled, my fingers tapped, pressed, dug in the fetid darkness. Ladders whose iron rungs had rusted to powder bore my weight on the crumbling stumps of those rungs. Leaves that had drifted for years over narrow gratings were cleared away from beneath, and light let in. The little Egyptian ankh became the symbol of a brotherhood of moles, delving under the foundations of Nicholas Svadin’s mighty mausoleum. And one day my tapping fingers were answered!

Tap, tap, tap through the thick stone—listen and tap, tap, and listen. More men than Donegan had disappeared, and they crouched in their lightless cells and listened to our questions, answered when they could, guided the slow gnawing of our drills and shovels through the rock under Budapest. Closer—closer. They had their ways of speaking without words, but no word came from the red-headed, big-nosed American of whom their tapping told. Something prevented—something they could not explain. And still we dug, and tapped, and listened, following their meagre clues.

There came a time when we lost touch with the world outside. Three of us, in a world of our own, forgot that there was an outside, that there was anything but the one great purpose that drove us on through the dark and the damp. We had no word of the world, nor the world of us. Nasuki grew impatient, and the man who was in Gonzales’ place. The work of the Golden Cross was progressing, its ring of Rebellion strengthening. To Rasmussen, to Moorehead, they cried for action. The brooding stillness that lay over Svadin’s palace, the brutal coldness of the orders that issued through Heinrich Sturm’s lips, shaping the civilization of a world as a sculptor would chisel granite, drove them to the edge of madness. Revolution flamed again—and this time brother was pitted against brother all across the face of the planet—fear against fury—Svadin against the Four.

I have seen pictures of the Svadin whom that flame of war drew to the balcony of his palace, to shout his thunderous command of death above the kneeling throng. The disease, if disease it was that changed him, was progressing swiftly. There was little resemblance to the man who lay dead a handful of years before, and on whom life fell out of an empty sky. He was huge, misshapen, monstrous, but so utter was their fear and awe that those groveling thousands questioned no word of his and cut down their kin as they would reap corn. The looped cross was an emblem of certain death. Men cast it from them, forswore its pledge, betrayed others who were faithful. At least one desperate, embattled horde stormed the grim castle above Budapest, while the sullen ring of the faithful closed in around them. Under their feet, ignorant of what was happening above us, we three dug and tapped, tapped and dug—and found!

I remember that moment when I knelt in the stuffy darkness of the tunnel, digging my fingers into the cracks on either side of that massive block. For hours, two sleeping while one worked we had chiseled at it, widening the crevices, carving a grip, loosening it from the bed in which it had been set a lifetime before. My numbed fingers seemed to become part of the cold stone. Dunard was tugging at me, begging me to give him his chance. Then the great block shifted in its bed, tilted and slid crushingly against me. Barely in time I slipped out from under it, then I was leaning over its slimy mass, Smirnoff’s torch in my hand, peering into the black cavern beyond. The round beam of the torch wavered across mouldering straw—across dripping, fungus-feathered walls. It centered on a face, huge-nosed, topped with matted red hair.

It was Donegan!

We fed him while Dunard hacked at the gyves that held him spread-eagled against the wall. As he grew stronger he talked—answering my questions—telling of things that grew too horribly clear in the light of past happenings. At last we parted, Dunard and Smirnoff to carry word to the Brotherhood of the Cross—Donegan and I into the donjon-keep of Nicholas Svadin!

The guard at the cell door died as other guards have died before; we had no choice. I remembered those voices which were only fingers tap, tap, tapping through stone. I knew what those buried men would do if only they could—and gave them their chance. We were a little army in ourselves when we charged up the great central staircase of Svadin’s castle against the grim line of faithful guards. At the landing they held us—and outside, battling in the gardens beyond the great doors, we could hear the gunfire of that last stand of our Brotherhood against ignorance and fear. We thought then that Dunard and Smirnoff had won through, had given their message to those who could light the flame of revolt. We did not know that they were cut down before they could reach our forces. But armed with what we could find or wrest from the men who opposed us, we charged up that broad staircase into the face of their fire, burst over them and beat them down as a peasant flails wheat, turned their machine gun on their fleeing backs and mowed them down in a long, heaped windrow strewn down the length of the corridor to Svadin’s door.

We stood there at the head of the stairs, behind the gun, staring at that door—half-naked, filthy, caked with blood. There was a great, breathless silence broken only by the patter of gunfire in the courtyard outside, muffled by the walls. Then Donegan picked up the gun and stepped over the crumpled body of a guard. His bare feet slapped on the cold stone of the hall and behind him our footsteps echoed, in perfect time, drumming the death-roll of Nicholas Svadin. We came to the door—and it opened!

Heinrich Sturm stood there. Sturm—grown bent and little. Sturm with horror in his eyes, with horror twisting his face and blood streaming down his chest from a ripped-out throat. Sturm—babbling blood-choked German words, tottering, crumpling at our feet, who stood staring over him into the great, dark room beyond, at Svadin, red-mouthed, standing beside the great canopied bed, at the ten foul things that stood behind him!

Donegan’s machine-gun sprayed death over the bleeding body of Zoologist Heinrich Wilhelm Sturm. Soft slugs ploughed into the soft body of Nicholas Svadin, into the bodies of the ten things at his feet. He shook at their impact, and the pallid flesh ripped visibly where they hit, but he only stood and laughed—laughed as the God of Gold had laughed, in a voice that meant death and doom to the human race!

Laughed and came striding at us across the room with his hell-pack trotting at his heels.

There are fears that can surpass all courage. That fear drenched us then. We ran—Donegan with his gun like a child in his arms, I with old Heinrich Sturm dragging like a wet sack behind me, the others like ragged, screaming ghosts. We stumbled over the windrows of dead in the corridor, down those sweeping stairs into the lower hall, through the open doors into the courtyard. We stood, trapped between death and death.

A hundred men remained of the Brotherhood of the Cross. They were huddled in a knot in the center of the court, surrounded by the host who were faithful to fear, and to Svadin. As we burst through the great doors of the castle, led by the naked, haggard, flaming-haired figure of Jim Donegan, every eye turned to us—every hand fell momentarily from its work of killing. Then miraculously old Heinrich Sturm was struggling up in my arms, was shouting in German, in his babbling, blood-choked voice, and in the throng other voices in other languages were taking up his cry, translating it—sending it winging on:

“He is no god! He is from Hell—a fiend from Hell! Vampire—eater of men! He—and his cursed spawn!”

They knew him, every one. They knew him for Svadin’s intimate—the man who spoke with Svadin’s voice and gave his orders to the world. They heard what he said—and in the doorway they saw Svadin himself.

He was naked, as he had stood when that door swung open and Sturm came stumbling through. He was corpse-white, blotched with the purple-yellow of decay, bloated with the gases of death. Svadin—undead—unhuman—and around his feet ten gibbering simulacra of himself—ten pulpy, fish-white monsters of his flesh, their slit-mouths red with the lapped blood of Heinrich Sturm!

He stood there, spread-legged, above the crowd. His glassy eyes stared down on the bloody, upturned faces, and the stump of his hacked arm pounded on his hairless breast where the line of bullet-marks showed like a purple ribbon. His vast voice thundered down at them, and it was like the bellowing of a lusting bull:

“I am Nicholas Svadin!”

And in hideous, mocking echo the ten dwarfed horrors piped after him:

“I am Nicholas Svadin!”

In my arms old Heinrich Sturm lay staring at the Thing whose slave and more than slave he had been, and his old lips whispered five words before his head sagged down in death. Red Jim Donegan heard them and shouted them for the world to hear. Svadin heard, and if that dead-man’s face could show expression, fear sloughed over it, and his thick red lips parted in a grin of terror over yellowed fangs.

“Burn him! Fire is clean!” 

I caught up the body of Heinrich Sturm and ran with it, out of the path of the mob that surged up the castle steps, Jim Donegan at their head. Svadin’s splayed feet sounded across the floor of the great hall, his hell-brood pattering after him. Then the crowd caught them and I heard the spat of clubbed fists on soft flesh, and a great roaring scream of fury went up over the yammer of the mob.

They tore the little fiends to shreds and still they lived. They bound the Thing that had been Svadin and carried him, battered and twisting, into the courtyard. They built a pyre in the streets of Budapest, and when the flames licked high they cast him in, his hell-spawn with him, and watched with avid eyes as he writhed and crisped, and listened to his screaming. The beast is in every man when hate and fear are roused. Far into the night, when Svadin and his brood were ashes underfoot, the mad crowd surged and fought through the streets, looting, burning, ravening.

When Svadin died, four men had ruled the world. Today four men rule a world that is better because Svadin rose from the dead that day in Budapest, that is free because of his inhuman tyranny. Moorehead—Nasuki—Rasmussen—Corregio. Red Jim Donegan is a hero, and I and a hundred other living men, but none pays homage to dead old Heinrich Wilhelm Sturm. He was too long identified with Nicholas Svadin for men to love him now.

What we know of Svadin, and of other things, Sturm had learned, little by little, through the years. He told certain things to Donegan, before Svadin grew suspicious and ordered the American’s death. It was Heinrich Sturm’s mercy that won Donegan a cell instead of a bullet or the knife, or even worse. For somewhere during his association with the perverted dregs of Europe’s royal courts the reborn Svadin had acquired, among other things, a taste for human blood and human flesh.

“All I know is what Sturm told me,” Donegan says. “The old man was pretty shrewd, and what he didn’t know he guessed—and I reckon he guessed close. It was curiosity made him stay on with Svadin—first off, anyway. Afterwards he knew too much to get away.

“There must have been spores of life, so Sturm said. There was a Swede by the name of Arrhenius—back years ago—who thought that life might travel from planet to planet in spores so small that light could push them through space. He said that a spore-dust from ferns and moss and fungus, and things like bacteria that were very small, could pass from world to world that way. And he figured there might be spores of pure life drifting around out there in space between the stars, and that whenever they fall on a planet, life would start there.

“That’s what happened to us, according to the old man. There were three spores that fell here, all within a short time of each other. One fell in the sea, and it brought the Sea-Thing to life, made mostly of complex molecules of colloidal water and salts out of the sea-ooze where the spore fell. It could grow by sucking up water, but it needed those salts from decomposed, organic things too. That’s why it attacked cities, where there was plenty of food for it.

“The second spore fell on quartz—maybe in some kind of colloidal gel, like they find sometimes in the hard stuff. There was gold there, and the Thing that came alive was what I saw, and what the Indians thought was one of their old gods come to life again—the god of gold and crystal. Svadin killed it with some radium compound that he invented.

“The third seed fell on Svadin and brought him to life. He wasn’t a man, really, but he had all the organs and things that a man would have. He had the same memories in his brain, and the same traits of character, until other things rooted them out. He came to life—but to stay alive he had to be different from other men. He had embalming fluid instead of blood, and wax in his skin, and things like that, and he had to replace them the way we eat food to replace our tissues. When he changed, it was in ways a dead man would change, except that he used his brain better and more logically than any live man ever did. He had to learn how a man would act, and he had some willing enough teachers to show him the rotten along with the good.

“Those other things grew as they fed, and so did Svadin, but he was more complex than they were—more nearly like men. Where they grew, he reproduced, like the simplest kinds of living things, by budding off duplicates of himself, out of his own flesh. It was like a hydra—like a vegetable—like anything but a man. Maybe you noticed, too—a couple of those things that grew after he lost his arm in Rio, had only one arm too. They were him, in a way. They called his name when he did, there at the last . . .”

The sweat is standing out on his weather-beaten forehead as he remembers it. I see the vision that he does—those ten miniature Svadins growing, budding in their turn, peopling the Earth anew with a race of horrors made in mockery of man. He reaches for the bottle at his elbow:

“We’ve seen Nature—the Universe—spawning,” he says. “Maybe it’s happened on Earth before; maybe it’ll happen again. Probably we, and all the other living things on Earth got started that way, millions of years ago. For a while, maybe, there were all kinds of abortive monsters roaming around the world, killing each other off the way Svadin killed the Sea-Thing and the God of Gold. They were new and simple—they reproduced by dividing, or budding, or crystallizing, and it was hard to kill them except with something like fire that would destroy the life-germs in them. After a while, when the seed of life in them would be pretty well diluted, it would be easier. Anyway, that’s how I figure it.

“Svadin looked human, at first, but he wasn’t—ever. What he was, no one knows. Not even old Sturm. It’s pretty hard to imagine what kind of thoughts and feelings a living dead man would have. He had some hang-over memories from the time he was really Svadin, so he started in to fix over the world. Maybe he thought men were his own kind, at first—at least, they looked like him. He fixed it, all right—only, after a while there wasn’t anything human left in him, and he began to plan things the way a machine would, to fit him and the race he was spawning. It’s no more than we’ve done since Time began—killing animals and each other to get what we want, eating away the Earth to get at her metals, and oil, and so on. The God of Gold was kin to the Earth, in a way, and I guess he resented seeing her cut up by a lot of flesh and blood animals like us.

“I said he learned some of our perversions. Once someone had taught him a thing like that, and he liked it, it became part of the heritage that he passed down to future generations. Somehow he got the taste for flesh—raw flesh—humans were just like another animal to him. After Sturm stopped being useful to him, he attacked the old man too.

“You see—he had a human brain, and he could think like a man, and scheme and sense danger to his plans. Only—he didn’t ever really understand human psychology. He was like an amoeba, or a polyp, and I don’t guess they have emotions. He didn’t understand religion, and the feeling people had that he was a kind of god. He used it—but when awe turned into hate, and people thought of him as a devil instead of a god, they treated him like one. They burned him the way their ancestors burned witches!”

He tosses down a shot of rye and wipes his lips. “Next time it happens,” he says, “I’m going to be drunk. And this time I’ll stay drunk!”

 

 

 

Afterword by David Drake




P. Schuyler Miller was very important to the SF field in two ways. The generally known fashion is that he was the first regular reviewer in an SF magazine, holding that position at Astounding, later Analog, from the late '40s to his death in 1974. The less familiar aspect is that Tom Doherty, when he was a salesman for other publishers, would arrange his route so that he could have lunch with Miller in Pittsburgh. Tom put Miller's encyclopedic knowledge of the field to good use when he became publisher of Ace in 1977 and in 1981 founded Tor Books.

From 1930 through 1947 Miller also sold SF stories. He was never a major writer, though some of his stories were reprinted often enough to be easily found in old anthologies. "Spawn" (which isn't generally available) had a major impact on me, however, when I read Miller's single-author collection The Titan in the Clinton Public Library.

Since then I've read all or nearly all of Miller's published fiction, and I can say with certainty that he never wrote anything else even remotely like "Spawn." In form it's less a story than a prose poem or a drama in blank verse. It really is SF—Miller had a degree in chemistry, and if you read carefully you'll note underlying the lush color and imagery that there's a degree of scientific rigor very unusual for 1939—but it appeared in Weird Tales rather than in an SF magazine (generally Astounding by that point) as most of Miller's other published stories did. (Miller had several stories in Campbell's Unknown, but "Spawn" would've been even more out of place there than in Astounding.)


"Spawn" demonstrates highly unusual stylistic touches—tricks, I'd say, but that would imply they were conscious and that the author could repeat them. Miller never did, making me suspect that the process of creation here wasn't completely intellectual.

The reader views the action as though it were on a movie screen or he were looking through multiple layers of glass, insulating her from vivid, horrific events. The narrator tells his story as though you were face to face with him. He doesn't bother to give his name, nor often enough does he name other men the first time they appear. He doesn't describe events in sequence; they rise in momentary importance, then sink back like porpoises into the sea of narrative.

Like porpoises, or like whales. Oh, yes: "Spawn" is a horror story.

And everything is in place for the climax, including the fact that the story opens and closes not in Berlin or Vienna or Warsaw, but in Budapest.

In addition to leaving me numb with horror at the infinite possible, "Spawn" showed me that there is no proper form or technique for a story: there is the proper form and technique of the story before you at this moment. That's why I picked "Spawn" for this anthology.

The Aliens by Murray Leinster

The Aliens

by Murray Leinster



Preface by Eric Flint



I'll have more to say about Murray Leinster in my afterword to this story. By way of preface, though, I just want to explain why I chose this story for the anthology. I wanted something by Leinster, and, specifically, I wanted one of the "first contact" stories for which he was so justly famous in his day and which I can remember being enthralled by as a teenager.

The obvious choice, of course, was the story that gave us the name itself: "First Contact," originally published in Astounding magazine in May of 1945.

But . . . that story has been anthologized over twenty times since then, and it wasn't the only one Leinster wrote. There's at least one other which is just as good, and has almost never been included in an anthology.

Here it is.

 

 

 

At 04 hours 10 minutes, ship time, the Niccola was well inside the Theta Gisol solar system. She had previously secured excellent evidence that this was not the home of the Plumie civilization. There was no tuned radiation. There was no evidence of interplanetary travel—rockets would be more than obvious, and a magnetronic drive had a highly characteristic radiation-pattern—so the real purpose of the Niccola‘s voyage would not be accomplished here. She wouldn’t find out where Plumies came from.

There might, though, be one or more of those singular, conical, hollow-topped cairns sheltering silicon-bronze plates, which constituted the evidence that Plumies existed. The Niccola went sunward toward the inner planets to see. Such cairns had been found on conspicuous landmarks on oxygen-type planets over a range of some twelve hundred light-years. By the vegetation about them, some were a century old. On the same evidence, others had been erected only months or weeks or even days before a human Space Survey ship arrived to discover them. And the situation was unpromising. It wasn’t likely that the galaxy was big enough to hold two races of rational beings capable of space travel. Back on ancient Earth, a planet had been too small to hold two races with tools and fire. Historically, that problem was settled when Homo sapiens exterminated Homo Neanderthalis. It appeared that the same situation had arisen in space. There were humans, and there were Plumies. Both had interstellar ships. To humans, the fact was alarming. The need for knowledge, and the danger that Plumies might know more first, and thereby be able to exterminate humanity, was appalling.

Therefore the Niccola. She drove on sunward. She had left one frozen outer planet far behind. She had crossed the orbits of three others. The last of these was a gas giant with innumerable moonlets revolving about it. It was now some thirty millions of miles back and twenty to one side. The sun, ahead, flared and flamed in emptiness against that expanse of tinted stars.

Jon Baird worked steadily in the Niccola‘s radar room. He was one of those who hoped that the Plumies would not prove to be the natural enemies of mankind. Now, it looked like this ship wouldn’t find out in this solar system. There were plenty of other ships on the hunt. From here on, it looked like routine to the next unvisited family of planets. But meanwhile he worked. Opposite him, Diane Holt worked as steadily, her dark head bent intently over a radar graph in formation. The immediate job was the completion of a map of the meteor swarms following cometary orbits about this sun. They interlaced emptiness with hazards to navigation, and nobody would try to drive through a solar system without such a map.

Elsewhere in the ship, everything was normal. The engine room was a place of stillness and peace, save for the almost inaudible hum of the drive, running at half a million Gauss flux-density. The skipper did whatever skippers do when they are invisible to their subordinates. The weapons officer, Taine, thought appropriate thoughts. In the navigation room the second officer conscientiously glanced at each separate instrument at least once in each five minutes, and then carefully surveyed all the screens showing space outside the ship. The stewards disposed of the debris of the last meal, and began to get ready for the next. In the crew’s quarters, those off duty read or worked at scrimshaw, or simply and contentedly loafed.

Diane handed over the transparent radar graph, to be fitted into the three-dimensional map in the making.

“There’s a lump of stuff here,” she said interestedly. “It could be the comet that once followed this orbit, now so old it’s lost all its gases and isn’t a comet any longer.”

* * *

At this instant, which was 04 hours 25 minutes ship time, the alarm-bell rang. It clanged stridently over Baird’s head, repeater-gongs sounded all through the ship, and there was a scurrying and a closing of doors. The alarm gong could mean only one thing. It made one’s breath come faster or one’s hair stand on end, according to temperament.

The skipper’s face appeared on the direct-line screen from the navigation room.

“Plumies?” he demanded harshly. “Mr. Baird! Plumies?”

Baird’s hands were already flipping switches and plugging the radar room apparatus into a new setup.

“There’s a contact, sir,” he said curtly. “No. There was a contact. It’s broken now. Something detected us. We picked up a radar pulse. One.”

The word “one” meant much. A radar system that could get adequate information from a single pulse was not the work of amateurs. It was the product of a very highly developed technology. Setting all equipment to full-globular scanning, Baird felt a certain crawling sensation at the back of his neck. He’d been mapping within a narrow range above and below the line of this system’s ecliptic. A lot could have happened outside the area he’d had under long-distance scanning.

But seconds passed. They seemed like years. The all-globe scanning covered every direction out from the Niccola. Nothing appeared which had not been reported before. The gas-giant planet far behind, and the only inner one on this side of the sun, which return their pulses only after minutes. Meanwhile the radars reported very faithfully, but they only repeated previous reports.

“No new object within half a million miles,” said Baird, after a suitable interval. Presently he added: “Nothing new within three-quarter million miles.” Then: “Nothing new within a million miles . . .”

The skipper said bitingly:

“Then you’d better check on objects that are not new!” He turned aside, and his voice came more faintly as he spoke into another microphone. “Mr. Taine! Arm all rockets and have your tube crews stand by in combat readiness! Engine room! Prepare drive for emergency maneuvers! Damage-control parties, put on pressure suits and take combat posts with equipment!” His voice rose again in volume. “Mr. Baird! How about observed objects?”

Diane murmured. Baird said briefly:

“Only one suspicious object, sir—and that shouldn’t be suspicious. We are sending an information-beam at something we’d classed as a burned-out comet. Pulse going out now, sir.”

Diane had the distant-information transmitter aimed at what she’d said might be a dead comet. Baird pressed the button. An extraordinary complex of information-seeking frequencies and forms sprang into being and leaped across emptiness. There were microwaves of strictly standard amplitude, for measurement-standards. There were frequencies of other values, which would be selectively absorbed by this material and that. There were laterally and circularly polarized beams. When they bounced back, they would bring a surprising amount of information.

They returned. They did bring back news. The thing that had registered as a larger lump in a meteor swarm was not a meteor at all. It returned four different frequencies with a relative-intensity pattern which said that they’d been reflected by bronze—probably silicon bronze. The polarized beams came back depolarized, of course, but with phase-changes which said the reflector had a rounded, regular form. There was a smooth hull of silicon bronze out yonder. There was other data.

“It will be a Plumie ship, sir,” said Baird very steadily. “At a guess, they picked up our mapping beam and shot a single pulse at us to find out who and what we were. For another guess, by now they’ve picked up and analyzed our information-beam and know what we’ve found out about them.”

The skipper scowled.

“How many of them?” he demanded. “Have we run into a fleet?”

“I’ll check, sir,” said Baird. “We picked up no tuned radiation from outer space, sir, but it could be that they picked us up when we came out of overdrive and stopped all their transmissions until they had us in a trap.”

“Find out how many there are!” barked the skipper. “Make it quick! Report additional data instantly!”

His screen clicked off. Diane, more than a little pale, worked swiftly to plug the radar-room equipment into a highly specialized pattern. The Niccola was very well equipped, radarwise. She’d been a type G8 Survey ship, and on her last stay in port she’d been rebuilt especially to hunt for and make contact with Plumies. Since the discovery of their existence, that was the most urgent business of the Space Survey. It might well be the most important business of the human race—on which its survival or destruction would depend. Other remodeled ships had gone out before the Niccola, and others would follow until the problem was solved. Meanwhile the Niccola‘s twenty-four rocket tubes and stepped-up drive and computer-type radar system equipped her for Plumie-hunting as well as any human ship could be. Still, if she’d been lured deep into the home system of the Plumies, the prospects were not good.

* * *

The new setup began its operation, instantly the last contact closed. The three-dimensional map served as a matrix to control it. The information-beam projector swung and flung out its bundle of oscillations. It swung and flashed. It had to examine every relatively nearby object for a constitution of silicon bronze and a rounded shape. The nearest objects had to be examined first. Speed was essential. But three-dimensional scanning takes time, even at some hundreds of pulses per minute.

Nevertheless, the information came in. No other silicon-bronze object within a quarter-million miles. Within half a million. A million. A million and a half. Two million . . .

Baird called the navigation room.

“Looks like a single Plumie ship, sir,” he reported. “At least there’s one ship which is nearest by a very long way.”

“Hah!” grunted the skipper. “Then we’ll pay him a visit. Keep an open line, Mr. Baird!” His voice changed. “Mr. Taine! Report here at once to plan tactics!”

Baird shook his head, to himself. The Niccola‘s orders were to make contact without discovery, if such a thing were possible. The ideal would be a Plumie ship or the Plumie civilization itself, located and subject to complete and overwhelming envelopment by human ships—before the Plumies knew they’d been discovered. And this would be the human ideal because humans have always had to consider that a stranger might be hostile, until he’d proven otherwise.

Such a viewpoint would not be optimism, but caution. Yet caution was necessary. It was because the Survey brass felt the need to prepare for every unfavorable eventuality that Taine had been chosen as weapons officer of the Niccola. His choice had been deliberate, because he was a xenophobe. He had been a problem personality all his life. He had a seemingly congenital fear and hatred of strangers—which in mild cases is common enough, but Taine could not be cured without a complete breakdown of personality. He could not serve on a ship with a multiracial crew, because he was invincibly suspicious of and hostile to all but his own small breed. Yet he seemed ideal for weapons officer on the Niccola, provided he never commanded the ship. Because if the Plumies were hostile, a well-adjusted, normal man would never think as much like them as a Taine. He was capable of the kind of thinking Plumies might practice, if they were xenophobes themselves.

But to Baird, so extreme a precaution as a known psychopathic condition in an officer was less than wholly justified. It was by no means certain that the Plumies would instinctively be hostile. Suspicious, yes. Cautious, certainly. But the only fact known about the Plumie civilization came from the cairns and silicon-bronze inscribed tablets they’d left on oxygen-type worlds over a twelve-hundred-light-year range in space, and the only thing to be deduced about the Plumies themselves came from the decorative, formalized symbols like feather plumes which were found on all their bronze tablets. The name “Plumies” came from that symbol.

Now, though, Taine was called to the navigation room to confer on tactics. The Niccola swerved and drove toward the object Baird identified as a Plumie ship. This was at 05 hours 10 minutes ship time. The human ship had a definite velocity sunward, of course. The Plumie ship had been concealed by the meteor swarm of a totally unknown comet. It was an excellent way to avoid observation. On the other hand, the Niccola had been mapping, which was bound to attract attention. Now each ship knew of the other’s existence. Since the Niccola had been detected, she had to carry out orders and attempt a contact to gather information.

* * *

Baird verified that the Niccola‘s course was exact for interception at her full-drive speed. He said in a flat voice:

“I wonder how the Plumies will interpret this change of course? They know we’re aware they’re not a meteorite. But charging at them without even trying to communicate could look ominous. We could be stupid, or too arrogant to think of anything but a fight.” He pressed the skipper’s call and said evenly: “Sir, I request permission to attempt to communicate with the Plumie ship. We’re ordered to try to make friends if we know we’ve been spotted.”

Taine had evidently just reached the navigation room. His voice snapped from the speaker:

“I advise against that, sir! No use letting them guess our level of technology!”

Baird said coldly:

“They’ve a good idea already. We beamed them for data.”

There was silence, with only the very faint humming sound which was natural in the ship in motion. It would be deadly to the nerves if there were absolute silence. The skipper grumbled:

“Requests and advice! Dammit! Mr. Baird, you might wait for orders! But I was about to ask you to try to make contact through signals. Do so.”

His speaker clicked off. Baird said:

“It’s in our laps, Diane. And yet we have to follow orders. Send the first roll.”

Diane had a tape threaded into a transmitter. It began to unroll through a pickup head. She put on headphones. The tapes began to transmit toward the Plumie. Back at base it had been reasoned that a pattern of clickings, plainly artificial and plainly stating facts known to both races, would be the most reasonable way to attempt to open contact. The tape sent a series of cardinal numbers—one to five. Then an addition table, from one plus one to five plus five. Then a multiplication table up to five times five. It was not startling intellectual information to be sent out in tiny clicks ranging up and down the radio spectrum. But it was orders.

Baird sat with compressed lips. Diane listened for a repetition of any of the transmitted signals, sent back by the Plumie. The speakers about the radar room murmured the orders given through all the ship. Radar had to be informed of all orders and activity, so it could check their results outside the ship. So Baird heard the orders for the engine room to be sealed up and the duty-force to get into pressure suits, in case the Niccola fought and was hulled. Damage-control parties reported themselves on post, in suits, with equipment ready. Then Taine’s voice snapped: “Rocket crews, arm even-numbered rockets with chemical explosive warheads. Leave odd-numbered rockets armed with atomics. Report back!

Diane strained her ears for possible re-transmission of the Niccola‘s signals, which would indicate the Plumie’s willingness to try conversation. But she suddenly raised her hand and pointed to the radar-graph instrument. It repeated the positioning of dots which were stray meteoric matter in the space between worlds in this system. What had been a spot—the Plumie ship—was now a line of dots. Baird pressed the button.

“Radar reporting!” he said curtly. “The Plumie ships is heading for us. I’ll have relative velocity in ten seconds.”

He heard the skipper swear. Ten seconds later the Doppler measurement became possible. It said the Plumie plunged toward the Niccola at miles per second. In half a minute it was tens of miles per second. There was no re-transmission of signals. The Plumie ship had found itself discovered. Apparently it considered itself attacked. It flung itself into a headlong dash for the Niccola.

* * *

Time passed—interminable time. The sun flared and flamed and writhed in emptiness. The great gas-giant planet rolled through space in splendid state, its moonlets spinning gracefully about its bulk. The oxygen-atmosphere planet to sunward was visible only as a crescent, but the mottlings on its lighted part changed as it revolved—seas and islands and continents receiving the sunlight as it turned. Meteor swarms, so dense in appearance on a radar screen, yet so tenuous in reality, floated in their appointed orbits with a seeming vast leisure.

The feel of slowness was actually the result of distance. Men have always acted upon things close by. Battles have always been fought within eye-range, anyhow. But it was actually 06 hours 35 minutes ship time before the two spacecraft sighted each other—more than two hours after they plunged toward a rendezvous.

The Plumie ship was a bright golden dot, at first. It decelerated swiftly. In minutes it was a rounded, end-on disk. Then it swerved lightly and presented an elliptical broadside to the Niccola. The Niccola was in full deceleration too, by then. The two ships came very nearly to a stop with relation to each other when they were hardly twenty miles apart—which meant great daring on both sides.

Baird heard the skipper grumbling:

“Damned cocky!” He roared suddenly: “Mr. Baird! How’ve you made out in communicating with them?”

“Not at all, sir,” said Baird grimly. “They don’t reply.”

He knew from Diane’s expression that there was no sound in the headphones except the frying noise all main-sequence stars give out, and the infrequent thumping noises that come from gas-giant planets’ lower atmospheres, and the Jansky-radiation hiss which comes from everywhere.

The skipper swore. The Plumie ship lay broadside to, less than a score of miles away. It shone in the sunlight. It acted with extraordinary confidence. It was as if it dared the Niccola to open fire.

Taine’s voice came out of a speaker, harsh and angry:

“Even-numbered tubes prepare to fire on command.”

Nothing happened. The two ships floated sunward together, neither approaching nor retreating. But with every second, the need for action of some sort increased.

“Mr. Baird!” barked the skipper. “This is ridiculous! There must be some way to communicate! We can’t sit here glaring at each other forever! Raise them! Get some sort of acknowledgement!”

“I’m trying,” said Baird bitterly, “according to orders!”

But he disagreed with those orders. It was official theory that arithmetic values, repeated in proper order, would be the way to open conversation. The assumption was that any rational creature would grasp the idea that orderly signals were rational attempts to open communication.

But it had occurred to Baird that a Plumie might not see this point. Perception of order is not necessarily perception of information—in fact, quite the contrary. A message is a disturbance of order. A microphone does not transmit a message when it sends an unvarying tone. A message has to be unpredictable or it conveys no message. Orderly clicks, even if overheard, might seem to Plumies the result of methodically operating machinery. A race capable of interstellar flight was not likely to be interested or thrilled by exercises a human child goes through in kindergarten. They simply wouldn’t seem meaningful at all.

But before he could ask permission to attempt to make talk in a more sophisticated fashion, voices exclaimed all over the ship. They came blurringly to the loud-speakers. “Look at that!” “What’s he do—” “Spinning like—” From every place where there was a vision-plate on the Niccola, men watched the Plumie ship and babbled.

This was at 06 hours 50 minutes ship time.

* * *

The elliptical golden object darted into swift and eccentric motion. Lacking an object of known size for comparison, there was no scale. The golden ship might have been the size of an autumn leaf, and in fact its maneuvers suggested the heedless tumblings and scurrying of falling foliage. It fluttered in swift turns and somersaults and spinnings. There were weavings like the purposeful feints of boxers not yet come to battle. There were indescribably graceful swoops and loops and curving dashes like some preposterous dance in emptiness.

Taine’s voice crashed out of a speaker:

“All even-numbered rockets,” he barked. “Fire!”

The skipper roared a countermand, but too late. The crunching, grunting sound of rockets leaving their launching tubes came before his first syllable was complete. Then there was silence while the skipper gathered breath for a masterpiece of profanity. But Taine snapped:

“That dance was a sneak-up! The Plumie came four miles nearer while we watched!”

Baird jerked his eyes from watching the Plumie. He looked at the master radar. It was faintly blurred with the fading lines of past gyrations, but the golden ship was much nearer the Niccola than it had been.

“Radar reporting,” said Baird sickishly. “Mr. Taine is correct. The Plumie ship did approach us while it danced.”

Taine’s voice snarled:

“Reload even numbers with chemical-explosive war heads. Then remove atomics from odd numbers and replace with chemicals. The range is too short for atomics.”

Baird felt curiously divided in his own mind. He disliked Taine very much. Taine was arrogant and suspicious and intolerant even on the Niccola. But Taine had been right twice, now. The Plumie ship had crept closer by pure trickery. And it was right to remove atomic war heads from the rockets. They had a pure-blast radius of ten miles. To destroy the Plumie ship within twice that would endanger the Niccola—and leave nothing of the Plumie to examine afterward.

The Plumie ship must have seen the rocket flares, but it continued to dance, coming nearer and ever nearer in seemingly heedless and purposeless plungings and spinnings in star-speckled space. But suddenly there were racing, rushing trails of swirling vapor. Half the Niccola‘s port broadside plunged toward the golden ship. The fraction of a second later, the starboard half-dozen chemical-explosive rockets swung furiously around the ship’s hull and streaked after their brothers. They moved in utterly silent, straight-lined ravening ferocity toward their target. Baird thought irrelevantly of the vapor trails of an atmosphere-liner in the planet’s upper air.

The ruled-line straightness of the first six rockets’ course abruptly broke. One of them veered crazily out of control. It shifted to an almost right-angled course. A second swung wildly to the left. A third and fourth and fifth—The sixth of the first line of rockets made a great, sweeping turn and came hurtling back toward the Niccola. It was like a nightmare. Lunatic, erratic lines of sunlit vapor eeled before the background of all the stars in creation.

Then the second half-dozen rockets broke ranks, as insanely and irremediably as the first.

Taine’s voice screamed out of a speaker, hysterical with fury:

“Detonate! Detonate! They’ve taken over the rockets and are throwing ’em back at us! Detonate all rockets!”

The heavens seemed streaked and laced with lines of expanding smoke. But now one plunging line erupted at its tip. A swelling globe of smoke marked its end. Another blew up. And another—

The Niccola‘s rockets faithfully blew themselves to bits on command from the Niccola‘s own weapons control. There was nothing else to be done with them. They’d been taken over in flight. They’d been turned and headed back toward their source. They’d have blasted the Niccola to bits but for their premature explosions.

There was a peculiar, stunned hush all through the Niccola. The only sound that came out of any speaker in the radar room was Taine’s voice, high-pitched and raging, mouthing unspeakable hatred of the Plumies, whom no human being had yet seen.

* * *

Baird sat tense in the frustrated and desperate composure of the man who can only be of use while he is sitting still and keeping his head. The vision screen was now a blur of writhing mist, lighted by the sun and torn at by emptiness. There was luminosity where the ships had encountered each other. It was sunshine upon thin smoke. It was like the insanely enlarging head of a newborn comet, whose tail would be formed presently by light-pressure. The Plumie ship was almost invisible behind the unsubstantial stuff.

But Baird regarded his radar screens. Microwaves penetrated the mist of rapidly ionizing gases.

“Radar to navigation!” he said sharply. “The Plumie ship is still approaching, dancing as before!”

The skipper said with enormous calm:

“Any other Plumie ships, Mr. Baird?” 

Diane interposed.

“No sign anywhere. I’ve been watching. This seems to be the only ship within radar range.

“We’ve time to settle with it, then,” said the skipper. “Mr. Taine, the Plumie ship is still approaching.”

Baird found himself hating the Plumies. It was not only that humankind was showing up rather badly, at the moment. It was that if the Niccola were destroyed the Plumie would carry news of the existence of humanity and of the tactics which worked to defeat them. The Plumies could prepare an irresistible fleet. Humanity could be doomed.

But he overheard himself saying bitterly:

“I wish I’d known this was coming, Diane. I . . . wouldn’t have resolved to be strictly official, only, until we got back to base.”

Her eyes widened. She looked startled. Then she softened.

“If . . . you mean that . . . I wish so too.”

“It looks like they’ve got us,” he admitted unhappily. “If they can take our rockets away from us—” Then his voice stopped. He said, “Hold everything!” and pressed the navigation-room button. He snapped: “Radar to navigation. It appears to take the Plumies several seconds to take over a rocket. They have to aim something—a pressor or tractor beam, most likely—and pick off each rocket separately. Nearly forty seconds was consumed in taking over all twelve of our rockets. At shorter range, with less time available, a rocket might get through!”

The skipper swore briefly. Then:

“Mr. Taine! When the Plumies are near enough, our rockets may strike before they can be taken over! You follow?” 

Baird heard Taine’s shrill-voiced acknowledgment—in the form of practically chattered orders to his rocket-tube crews. Baird listened, checking the orders against what the situation was as the radars saw it. Taine’s voice was almost unhuman; so filled with frantic rage that it cracked as he spoke. But the problem at hand was the fulfillment of all his psychopathic urges. He commanded the starboard-side rocket-battery to await special orders. Meanwhile the port-side battery would fire two rockets on widely divergent courses, curving to join at the Plumie ship. They’d be seized. They were to be detonated and another port-side rocket fired instantly, followed by a second hidden in the rocket-trail the first would leave behind. Then the starboard side—

“I’m afraid Taine’s our only chance,” said Baird reluctantly. “If he wins, we’ll have time to . . . talk as people do who like each other. If it doesn’t work—”

Diane said quietly:

“Anyhow . . . I’m glad you . . . wanted me to know. I . . . wanted you to know, too.”

She smiled at him, yearningly.

* * *

There was the crump-crump of two rockets going out together. Then the radar told what happened. The Plumie ship was no more than six miles away, dancing somehow deftly in the light of a yellow sun, with all the cosmos spread out as shining pin points of colored light behind it. The radar reported the dash and the death of the two rockets, after their struggle with invisible things that gripped them. They died when they headed reluctantly back to the Niccola—and detonated two miles from their parent ship. The skipper’s voice came:

“Mr. Taine! After your next salvo I shall head for the Plumie at full drive, to cut down the distance and the time they have to work in. Be ready!” 

The rocket tubes went crump-crump again, with a fifth of a second interval. The radar showed two tiny specks speeding through space toward the weaving, shifting speck which was the Plumie.

Outside, in emptiness, there was a filmy haze. It was the rocket-fumes and explosive gases spreading with incredible speed. It was thin as gossamer. The Plumie ship undoubtedly spotted the rockets, but it did not try to turn them. It somehow seized them and deflected them, and darted past them toward the Niccola.

“They see the trick,” said Diane, dry-throated. “If they can get in close enough, they can turn it against us!”

There were noises inside the Niccola, now. Taine fairly howled an order. There were yells of defiance and excitement. There were more of those inadequate noises as rockets went out—every tube on the starboard side emptied itself in a series of savage grunts—and the Niccola‘s magnetronic drive roared at full flux density.

The two ships were less than a mile apart when the Niccola let go her full double broadside of missiles. And then it seemed that the Plumie ship was doomed. There were simply too many rockets to be seized and handled before at least one struck. But there was a new condition. The Plumie ship weaved and dodged its way through them. The new condition was that the rockets were just beginning their run. They had not achieved the terrific velocity they would accumulate in ten miles of no-gravity. They were new-launched; logy; clumsy: not the streaking, flashing death-and-destruction they would become with thirty more seconds of acceleration.

So the Plumie ship dodged them with a skill and daring past belief. With an incredible agility it got inside them, nearer to the Niccola than they. And then it hurled itself at the human ship as if bent upon a suicidal crash which would destroy both ships together. But Baird, in the radar room, and the skipper in navigation, knew that it would plunge brilliantly past them at the last instant—

And then they knew that it would not. Because, very suddenly and very abruptly, there was something the matter with the Plumie ship. The life went out of it. It ceased to steer. It began to turn slowly on an axis somewhere amidships. Its nose swung to one side, with no change in the direction of its motion. It floated onward. It was broadside to its line of travel. It continued to turn. It hurtled stern-first toward the Niccola. It did not swerve. It did not dance. It was a lifeless hulk: a derelict in space.

And it would hit the Niccola amidships with no possible result but destruction for both vessels.

* * *

The Niccola‘s skipper bellowed orders, as if shouting would somehow give them more effect. The magnetronic drive roared. He’d demanded a miracle of it, and he almost got one. The drive strained its thrust-members. It hopelessly overloaded its coils. The Niccola‘s cobalt-steel hull became more than saturated with the drive-field, and it leaped madly upon an evasion course—

And it very nearly got away. It was swinging clear when the Plumie ship drifted within fathoms. It was turning aside when the Plumie ship was within yards. And it was almost safe when the golden hull of the Plumie—shadowed now by the Niccola itself—barely scraped a side-keel.

There was a touch, seemingly deliberate and gentle. But the Niccola shuddered horribly. Then the vision screens flared from such a light as might herald the crack of doom. There was a brightness greater than the brilliance of the sun. And then there was a wrenching, heaving shock. Then there was blackness. Baird was flung across the radar room, and Diane cried out, and he careened against a wall and heard glass shatter. He called:

“Diane!”

He clutched crazily at anything, and called her name again. The Niccola‘s internal gravity was cut off, and his head spun, and he heard collision-doors closing everywhere, but before they closed completely he heard the rasping sound of giant arcs leaping in the engine room. Then there was silence.

“Diane!” cried Baird fiercely. “Diane!”

“I’m I . . . here,” she panted. “I’m dizzy, but I . . . think I’m all right—”

The battery-powered emergency light came on. It was faint, but he saw her clinging to a bank of instruments where she’d been thrown by the collision. He moved to go to her, and found himself floating in midair. But he drifted to a side wall and worked his way to her.

She clung to him, shivering.

“I . . . think,” she said unsteadily, “that we’re going to die. Aren’t we?”

“We’ll see,” he told her. “Hold on to me.”

Guided by the emergency light, he scrambled to the bank of communicator-buttons. What had been the floor was now a side wall. He climbed it and thumbed the navigation-room switch.

“Radar room reporting,” he said curtly. “Power out, gravity off, no reports from outside from power failure. No great physical damage.”

He began to hear other voices. There had never been an actual space-collision in the memory of man, but reports came crisply, and the cut-in speakers in the radar room repeated them. Ship-gravity was out all over the ship. Emergency lights were functioning, and those were all the lights there were. There was a slight, unexplained gravity-drift toward what had been the ship’s port side. But damage-control reported no loss of pressure in the Niccola‘s inner hull, though four areas between inner and outer hulls had lost air pressure to space.

“Mr. Baird,” rasped the skipper. “We’re blind! Forget everything else and give us eyes to see with!”

“We’ll try battery power to the vision plates,” Baird told Diane. “No full resolution, but better than nothing—”

They worked together, feverishly. They were dizzy. Something close to nausea came upon them from pure giddiness. What had been the floor was now a wall, and they had to climb to each of the instruments that had been on a wall and now were on the ceiling. But their weight was ounces only. Baird said abruptly:

“I know what’s the matter! We’re spinning! The whole ship’s spinning! That’s why we’re giddy and why we have even a trace of weight. Centrifugal force! Ready for the current?”

There was a tiny click, and the battery light dimmed. But a vision screen lighted faintly. The stars it showed were moving specks of light. The sun passed deliberately across the screen. Baird switched to other outside scanners. There was power for only one screen at a time. But he saw the starkly impossible. He pressed the navigation-room button.

“Radar room reporting,” he said urgently. “The Plumie ship is fast to us, in contact with our hull! Both ships are spinning together!” He was trying yet other scanners as he spoke, and now he said: “Got it! There are no lines connecting us to the Plumie, but it looks . . . yes! That flash when the ships came together was a flashover of high potential. We’re welded to them along twenty feet of our hull!”

The skipper:

“Damnation! Any sign of intention to board us?” 

“Not yet, sir—”

Taine burst in, his voice high-pitched and thick with hatred:

“Damage-control parties attention! Arm yourselves and assemble at starboard air lock! Rocket crews get into suits and prepare to board this Plumie—” 

“Countermand!” bellowed the skipper from the speaker beside Baird’s ear. “Those orders are canceled! Dammit, if we were successfully boarded we’d blow ourselves to bits! Those are our orders! D’you think the Plumies will let their ship be taken? And wouldn’t we blow up with them? Mr. Taine, you will take no offensive action without specific orders! Defensive action is another matter. Mr. Baird! I consider this welding business pure accident. No one would be mad enough to plan it. You watch the Plumies and keep me informed!”

His voice ceased. And Baird had again the frustrating duty of remaining still and keeping his head while other men engaged in physical activity. He helped Diane to a chair—which was fastened to the floor-which-was-now-a-wall—and she wedged herself fast and began a review of what each of the outside scanners reported. Baird called for more batteries. Power for the radar and visions was more important than anything else, just then. If there were more Plumie ships . . .

* * *

Electricians half-floated, half-dragged extra batteries to the radar room. Baird hooked them in. The universe outside the ship again appeared filled with brilliantly colored dots of light which were stars. More satisfying, the globe-scanners again reported no new objects anywhere. Nothing new within a quarter million miles. A half-million. Later Baird reported:

“Radars report no strange objects within a million miles of the Niccola, sir.”

“Except the ship we’re welded to. But you are doing very well. However, microphones say there is movement inside the Plumie.” 

Diane beckoned for Baird’s attention to a screen, which Baird had examined before. Now he stiffened and motioned for her to report.

“We’ve a scanner, sir,” said Diane, “which faces what looks like a port in the Plumie ship. There’s a figure at the port. I can’t make out details, but it is making motions, facing us.”

“Give me the picture!” snapped the skipper.

Diane obeyed. It was the merest flip of a switch. Then her eyes went back to the spherical-sweep scanners which reported the bearing and distance of every solid object within their range. She set up two instruments which would measure the angle, bearing, and distance of the two planets now on this side of the sun—the gas-giant and the oxygen-world to sunward. Their orbital speeds and distances were known. The position, course, and speed of the Niccola could be computed from any two observations on them.

Diane had returned to the utterly necessary routine of the radar room which was the nerve-center of the ship, gathering all information needed for navigation in space. The fact that there had been a collision, that the Niccola‘s engines were melted to unlovely scrap, that the Plumie ship was now welded irremovably to a side keel, and that a Plumie was signaling to humans while both ships went spinning through space toward an unknown destination—these things did not affect the obligations of the radar room.

Baird got other images of the Plumie ship into sharp focus. So near, the scanners required adjustment for precision.

“Take a look at this!” he said wryly.

She looked. The view was of the Plumie as welded fast to the Niccola. The welding was itself an extraordinary result of the Plumie’s battle-tactics. Tractor and pressor beams were known to men, of course, but human beings used them only under very special conditions. Their operation involved the building-up of terrific static charges. Unless a tractor-beam generator could be grounded to the object it was to pull, it tended to emit lightning-bolts at unpredictable intervals and in entirely random directions. So men didn’t use them. Obviously, the Plumies did.

They’d handled the Niccola‘s rockets with beams which charged the golden ship to billions of volts. And when the silicon-bronze Plumie ship touched the cobalt-steel Niccola—why—that charge had to be shared. It must have been the most spectacular of all artificial electric flames. Part of the Niccola‘s hull was vaporized, and undoubtedly part of the Plumie. But the unvaporized surfaces were molten and in contact—and they stuck.

For a good twenty feet the two ships were united by the most perfect of vacuum-welds. The wholly dissimilar hulls formed a space-catamaran, with a sort of valley between their bulks. Spinning deliberately, as the united ships did, sometimes the sun shone brightly into that valley, and sometimes it was filled with the blackness of the pit.

While Diane looked, a round door revolved in the side of the Plumie ship. As Diane caught her breath, Baird reported crisply. At his first word Taine burst into raging commands for men to follow him through the Niccola‘s air lock and fight a boarding party of Plumies in empty space. The skipper very savagely ordered him to be quiet.

“Only one figure has come out,” reported Baird. The skipper watched on a vision plate, but Baird reported so all the Niccola‘s company would know. “It’s small—less than five feet . . . I’ll see better in a moment.” Sunlight smote down into the valley between the ships. “It’s wearing a pressure suit. It seems to be the same material as the ship. It walks on two legs, as we do . . . It has two arms, or something very similar . . . The helmet of the suit is very high . . . It looks like the armor knights used to fight in . . . It’s making its way to our air lock . . . It does not use magnetic-soled shoes. It’s holding onto lines threaded along the other ship’s hull . . .”

The skipper said curtly:

“Mr. Baird! I hadn’t noticed the absence of magnetic shoes. You seem to have an eye for important items. Report to the air lock in person. Leave Lieutenant Holt to keep an eye on outside objects. Quickly, Mr. Baird!” 

* * *

Baird laid his hand on Diane’s shoulder. She smiled at him.

“I’ll watch!” she promised.

He went out of the radar room, walking on what had been a side wall. The giddiness and dizziness of continued rotation was growing less, now. He was getting used to it. But the Niccola seemed strange indeed, with the standard up and down and Earth-gravity replaced by a vertical which was all askew and a weight of ounces instead of a hundred and seventy pounds.

He reached the air lock just as the skipper arrived. There were others there—armed and in pressure suits. The skipper glared about him.

“I am in command here,” he said very grimly indeed. “Mr. Taine has a special function, but I am in command. We and the creatures on the Plumie ship are in a very serious fix. One of them apparently means to come on board. There will be no hostility, no sneering, no threatening gestures. This is a parley! You will be careful. But you will not be trigger-happy!”

He glared around again, just as a metallic rapping came upon the Niccola‘s air-lock door. The skipper nodded:

“Let him in the lock, Mr. Baird.”

Baird obeyed. The humming of the unlocking-system sounded. There were clankings. The outer air lock closed. There was a faint whistling as air went in. The skipper nodded again.

Baird opened the inner door. It was 08 hours 10 minutes ship time.

The Plumie stepped confidently out into the topsy-turvy corridors of the Niccola. He was about the size of a ten-year-old human boy, and features which were definitely not grotesque showed through the clear plastic of his helmet. His pressure suit was, engineering-wise, a very clean job. His whole appearance was prepossessing. When he spoke, very clear and quite high sounds—soprano sounds—came from a small speaker-unit at his shoulder.

“For us to talk,” said the skipper heavily, “is pure nonsense. But I take it you’ve something to say.”

The Plumie gazed about with an air of lively curiosity. Then he drew out a flat pad with a white surface and sketched swiftly. He offered it to the Niccola‘s skipper.

“We want this on record,” he growled, staring about.

Diane’s voice said capably from a speaker somewhere nearby:

“Sir, there’s a scanner for inspection of objects brought aboard. Hold the plate flat and I’ll have a photograph—right!” 

The skipper said curtly to the Plumie:

“You’ve drawn our two ships linked as they are. What have you to say about it?”

He handed back the plate. The Plumie pressed a stud and it was blank again. He sketched and offered it once more.

“Hm-m-m,” said the skipper. “You can’t use your drive while we’re glued together, eh? Well?”

The Plumie reached up and added lines to the drawing.

“So!” rumbled the skipper, inspecting the additions. “You say it’s up to us to use our drive for both ships.” He growled approvingly: “You consider there’s a truce. You must, because we’re both in the same fix, and not a nice one, either. True enough! We can’t fight each other without committing suicide, now. But we haven’t any drive left! We’re a derelict! How am I going to say that—if I decide to?”

Baird could see the lines on the plate, from the angle at which the skipper held it. He said:

“Sir, we’ve been mapping, up in the radar room. Those last lines are map coordinates—a separate sketch, sir. I think he’s saying that the two ships, together, are on a falling course toward the sun. That we have to do something or both vessels will fall into it. We should be able to check this, sir.”

“Hah!” growled the skipper. “That’s all we need. Absolutely all we need! To come here, get into a crazy fight, have our drive melt to scrap, get crazily welded to a Plumie ship, and then for both of us to fry together. We don’t need anything more than that!”

Diane’s voice came on the speaker:

“Sir, the last radar fixes on the planets in range give us a course directly toward the sun. I’ll repeat the observations.” 

The skipper growled. Taine thrust himself forward. He snarled:

“Why doesn’t this Plumie take off his helmet? It lands on oxygen planets! Does it think it’s too good to breathe our air?”

Baird caught the Plumie’s eye. He made a gesture suggesting the removal of the space helmet. The Plumie gestured, in return, to a tiny vent in the suit. He opened something and gas whistled out. He cut it off. The question of why he did not open or remove his helmet was answered. The atmosphere he breathed would not do men any good, nor would theirs do him any good, either. Taine said suspiciously:

“How do we know he’s breathing the stuff he let out then? This creature isn’t human. It’s got no right to attack humans! Now it’s trying to trick us!” His voice changed to a snarl. “We’d better wring its neck! Teach its kind a lesson—”

The skipper roared at him.

“Be quiet! Our ship is a wreck! We have to consider the facts. We and these Plumies are in a fix together, and we have to get out of it before we start to teach anybody anything!” He glared at Taine. Then he said heavily: “Mr. Baird, you seem to notice things. Take this Plumie over the ship. Show him our drive melted down, so he’ll realize we can’t possibly tow his ship into an orbit. He knows that we’re armed, and that we can’t handle our war heads at this range. So we can’t fool each other. We might as well be frank. But you will take full note of his reactions, Mr. Baird!”

* * *

Baird advanced, and the skipper made a gesture. The Plumie regarded Baird with interested eyes. And Baird led the way for a tour of the Niccola. It was confusing even to him, with right hand converted to up and left hand to down, and sidewise now almost vertical. On the way the Plumie made more clear, flutelike sounds, and more gestures. Baird answered.

“Our gravity pull was that way,” he explained, “and things fell so fast.”

He grasped a handrail and demonstrated the speed with which things fell in normal ship-gravity. He used a pocket communicator for the falling weight. It was singularly easy to say some things, even highly technical ones, because they’d be what the Plumie would want to know. But quite commonplace things would be very difficult to convey.

Diane’s voice came out of the communicator.

“There are no novelties outside,” she said quietly. “It looks like this is the only Plumie ship anywhere around. It could have been exploring, like us. Maybe it was looking for the people who put up Space-Survey markers.”

“Maybe,” agreed Baird, using the communicator. “Is that stuff about falling into the sun correct?”

“It seems so,” said Diane composedly. “I’m checking again. So far, the best course I can get means we graze the sun’s photosphere in fourteen days six hours, allowing for acceleration by the sun’s gravity.”

“And you and I,” said Baird wryly, “have been acting as professional associates only, when—”

“Don’t say it!” said Diane shakily. “It’s terrible!”

He put the communicator back in his pocket. The Plumie had watched him. He had a peculiarly gallant air, this small figure in golden space armor with its high-crested helmet.

They reached the engine room. And there was the giant drive shaft of the Niccola, once wrapped with yard-thick coils which could induce an incredible density of magnetic flux in the metal. Even the return magnetic field, through the ship’s cobalt-steel hull, was many times higher than saturation. Now the coils were sagging: mostly melted. There were places where re-solidified metal smoked noisomely against non-metallic floor or wall-covering. Engineers labored doggedly in the trivial gravity to clean up the mess.

“It’s past repair,” said Baird, to the ship’s first engineer.

“It’s junk,” said that individual dourly. “Give us six months and a place to set up a wire-drawing mill and an insulator synthesizer, and we could rebuild it. But nothing less will be any good.”

The Plumie stared at the drive. He examined the shaft from every angle. He inspected the melted, and partly-melted, and merely burned-out sections of the drive coils. He was plainly unable to understand in any fashion the principle of the magnetronic drive. Baird was tempted to try to explain, because there was surely no secret about a ship drive, but he could imagine no diagrams or gestures which would convey the theory of what happened in cobalt-steel when it was magnetized beyond one hundred thousand Gauss’ flux-density. And without that theory one simply couldn’t explain a magnetronic drive.

They left the engine room. They visited the rocket batteries. The generator room was burned out, like the drive, by the inconceivable lightning bolt which had passed between the ships on contact. The Plumie was again puzzled. Baird made it clear that the generator-room supplied electric current for the ship’s normal lighting-system and services. The Plumie could grasp that idea. They examined the crew’s quarters, and the mess room, and the Plumie walked confidently among the members of the human crew, who a little while since had tried so painstakingly to destroy his vessel. He made a good impression.

“These little guys,” said a crewman to Baird, admiringly, “they got something. They can handle a ship! I bet they could almost make that ship of their play checkers!”

“Close to it,” agreed Baird. He realized something. He pulled the communicator from his pocket. “Diane! Contact the skipper. He wanted observations. Here’s one. This Plumie acts like soldiers used to act in ancient days—when they wore armor. And we have the same reaction. They will fight like the devil, but during a truce they’ll be friendly, admiring each other as scrappers, but ready to fight as hard as ever when the truce is over. We have the same reaction. Tell the skipper I’ve an idea that it’s a part of their civilization—maybe it’s a necessary part of any civilization! Tell him I guess that there may be necessarily parallel evolution of attitudes, among rational races, as there are parallel evolutions of eyes and legs and wings and fins among all animals everywhere. If I’m right, somebody from this ship will be invited to tour the Plumie. It’s only a guess, but tell him.”

“Immediately,” said Diane.

* * *

The Plumie followed gallantly as Baird made a steep climb up what once was the floor of a corridor. Then Taine stepped out before them. His eyes burned.

“Giving him a clear picture, eh?” he rasped. “Letting him spy out everything?”

Baird pressed the communicator call for the radar room and said coldly:

“I’m obeying orders. Look, Taine! You were picked for your job because you were a xenophobe. It helps in your proper functioning. But this Plumie is here under a flag of truce—”

“Flag of truce!” snarled Taine. “It’s vermin! It’s not human! I’ll—”

“If you move one inch nearer him,” said Baird gently, “just one inch—”

The skipper’s voice bellowed through the general call speakers all over the ship:

“Mr. Taine! You will go to your quarters, under arrest! Mr. Baird, burn him down if he hesitates!” 

Then there was a rushing, and scrambling figures appeared and were all about. They were members of the Niccola‘s crew, sent by the skipper. They regarded the Plumie with detachment, but Taine with a wary expectancy. Taine turned purple with fury. He shouted. He raged. He called Baird and the others Plumie-lovers and vermin-worshipers. He shouted foulnesses at them. But he did not attack.

When, still shouting, he went away, Baird said apologetically to the Plumie:

“He’s a xenophobe. He has a pathological hatred of strangers—even of strangeness. We have him on board because—”

Then he stopped. The Plumie wouldn’t understand, of course. But his eyes took on a curious look. It was almost as if, looking at Baird, they twinkled.

Baird took him back to the skipper.

“He’s got the picture, sir,” he reported.

The Plumie pulled out his sketch plate. He drew on it. He offered it. The skipper said heavily:

“You guessed right, Mr. Baird. He suggests that someone from this ship go on board the Plumie vessel. He’s drawn two pressure-suited figures going into their air lock. One’s larger than the other. Will you go?”

“Naturally!” said Baird. Then he added thoughtfully: “But I’d better carry a portable scanner, sir. It should work perfectly well through a bronze hull, sir.”

The skipper nodded and began to sketch a diagram which would amount to an acceptance of the Plumie’s invitation.

This was at 07 hours 40 minutes ship time. Outside the sedately rotating metal hulls—the one a polished blue-silver and the other a glittering golden bronze—the cosmos continued to be as always. The haze from explosive fumes and rocket-fuel was, perhaps, a little thinner. The brighter stars shone through it. The gas-giant planet outward from the sun was a perceptible disk instead of a diffuse glow. The oxygen-planet to sunward showed again as a lighted crescent.

Presently Baird, in a human spacesuit, accompanied the Plumie into the Niccola‘s air lock and out to emptiness. His magnetic-soled shoes clung to the Niccola‘s cobalt-steel skin. Fastened to his shoulder there was a tiny scanner and microphone, which would relay everything he saw and heard back to the radar room and to Diane.

She watched tensely as he went inside the Plumie ship. Other screens relayed the image and his voice to other places on the Niccola.

He was gone a long time. From the beginning, of course, there were surprises. When the Plumie escort removed his helmet, on his own ship, the reason for the helmet’s high crest was apparent. He had a high crest of what looked remarkably like feathers—and it was not artificial. It grew there. The reason for conventionalized plumes on bronze survey plates was clear. It was exactly like the reason for human features or figures as decorative additions to the inscriptions on Space Survey marker plates. Even the Plumie’s hands had odd crestlets which stood out when he bent his fingers. The other Plumies were no less graceful and no less colorful. They had equally clear soprano voices. They were equally miniature and so devoid of apparent menace.

But there were also technical surprises. Baird was taken immediately to the Plumie ship’s engine room, and Diane heard the sharp intake of breath with which he appeared to recognize its working principle. There were Plumie engineers working feverishly at it, attempting to discover something to repair. But they found nothing. The Plumie drive simply would not work.

They took Baird through the ship’s entire fabric. And their purpose, when it became clear, was startling. The Plumie ship had no rocket tubes. It had no beam-projectors except small-sized objects which were—which must be—their projectors of tractor and pressor beams. They were elaborately grounded to the ship’s substance. But they were not originally designed for ultra-heavy service. They hadn’t and couldn’t have the enormous capacity Baird had expected. He was astounded.

* * *

When he returned to the Niccola, he went instantly to the radar room to make sure that pictures taken through his scanner had turned out well. And there was Diane.

But the skipper’s voice boomed at him from the wall.

“Mr. Baird! What have you to add to the information you sent back?” 

“Three items, sir,” said Baird. He drew a deep breath. “For the first, sir, the Plumie ship is unarmed. They’ve tractor and pressor beams for handling material. They probably use them to build their cairns. But they weren’t meant for weapons. The Plumies, sir, hadn’t a thing to fight with when they drove for us after we detected them.”

The skipper blinked hard.

“Are you sure of that, Mr. Baird?” 

“Yes, sir,” said Baird uncomfortably. “The Plumie ship is an exploring ship—a survey ship, sir. You saw their mapping equipment. But when they spotted us, and we spotted them—they bluffed! When we fired rockets at them, they turned them back with tractor and pressor beams. They drove for us, sir, to try to destroy us with our own bombs, because they didn’t have any of their own.”

The skipper’s mouth opened and closed.

“Another item, sir,” said Baird more uncomfortably still. “They don’t use iron or steel. Every metal object I saw was either a bronze or a light metal. I suspect some of their equipment’s made of potassium, and I’m fairly sure they use sodium in the place of aluminum. Their atmosphere’s quite different from ours—obviously! They’d use bronze for their ship’s hull because they can venture into an oxygen atmosphere in a bronze ship. A sodium-hulled ship would be lighter, but it would burn in oxygen. Where there was moisture—”

The skipper blinked.

“But they couldn’t drive in a nonmagnetic hull!” he protested. “A ship has to be magnetic to drive!”

“Sir,” said Baird, his voice still shaken, “they don’t use a magnetronic drive. I once saw a picture of the drive they use, in a stereo on the history of space travel. The principle’s very old. We’ve practically forgotten it. It’s a Dirac pusher-drive, sir. Among us humans, it came right after rockets. The planets of Sol were first reached by ships using Dirac pushers. But—” He paused. “They won’t operate in a magnetic field above seventy Gauss, sir. It’s a static-charge reaction, sir, and in a magnetic field it simply stops working.”

The skipper regarded Baird unblinkingly for a long time.

“I think you are telling me,” he said at long last, “that the Plumies’ drive would work if they were cut free of the Niccola.”

“Yes, sir,” said Baird. “Their engineers were opening up the drive-elements and checking them, and then closing them up again. They couldn’t seem to find anything wrong. I don’t think they know what the trouble is. It’s the Niccola‘s magnetic field. I think it was our field that caused the collision by stopping their drive and killing all their controls when they came close enough.”

“Did you tell them?” demanded the skipper.

“There was no easy way to tell them by diagrams, sir.”

Taine’s voice cut in. It was feverish. It was strident. It was triumphant.

“Sir! The Niccola is effectively a wreck and unrepairable. But the Plumie ship is operable if cut loose. As weapons officer, I intend to take the Plumie ship, let out its air, fill its tanks with our air, start up its drive, and turn it over to you for navigation back to base!”

Baird raged. But he said coldly:

“We’re a long way from home, Mr. Taine, and the Dirac pusher drive is slow. If we headed back to base in the Plumie ship with its Dirac pusher, we’d all be dead of old age before we’d gone halfway.”

“But unless we take it,” raged Taine, “we hit this sun in fourteen days! We don’t have to die now! We can land on the oxygen planet up ahead! We’ve only to kill these vermin and take their ship, and we’ll live!”

Diane’s voice said dispassionately:

“Report. A Plumie in a pressure suit just came out of their air lock. It’s carrying a parcel toward our air lock.”

Taine snarled instantly:

“They’ll sneak something in the Niccola to blast it, and then cut free and go away!”

The skipper said very grimly:

“Mr. Taine, credit me with minimum brains! There is no way the Plumies can take this ship without an atomic bomb exploding to destroy both ships. You should know it!” Then he snapped: “Air lock area, listen for a knock, and let in the Plumie or the parcel he leaves.”

There was silence. Baird said very quietly:

“I doubt they think it possible to cut the ships apart. A torch is no good on thick silicon bronze. It conducts heat too well! And they don’t use steel. They probably haven’t a cutting-torch at all.”

* * *

From the radar room he watched the Plumie place an object in the air lock and withdraw. He watched from a scanner inside the ship as someone brought in what the Plumie had left. An electronics man bustled forward. He looked it over quickly. It was complex, but his examination suddenly seemed satisfying to him. But a grayish vapor developed and he sniffed and wrinkled his nose. He picked up a communicator.

“Sir, they’ve sent us a power-generator. Some of its parts are going bad in our atmosphere, sir, but this looks to me like a hell of a good idea for a generator! I never saw anything like it, but it’s good! You can set it for any voltage and it’ll turn out plenty juice!” 

“Put it in helium,” snapped the skipper. “It won’t break down in that. Then see how it serves.”

In the radar room, Baird drew a deep breath. He went carefully to each of the screens and every radar. Diane saw what he was about, and checked with him. They met at the middle of the radar room.

“Everything’s checked out,” said Baird gravely. “There’s nothing else around. There’s nothing we can be called on to do before something happens. So . . . we can . . . act like people.”

Diane smiled very faintly.

“Not like people. Just like us.” She said wistfully: “Don’t you want to tell me something? Something you intended to tell me only after we got back to base?”

He did. He told it to her. And there was also something she had not intended to tell him at all—unless he told her first. She said it now. They felt that such sayings were of the greatest possible importance. They clung together, saying them again. And it seemed wholly monstrous that two people who cared so desperately had wasted so much time acting like professional associates—explorer-ship officers—when things like this were to be said . . .

As they talked incoherently, or were even more eloquently silent, the ship’s ordinary lights came back on. The battery-lamp went on.

“We’ve got to switch back to ship’s circuit,” said Baird reluctantly. They separated, and restored the operating circuits to normal. “We’ve got fourteen days,” he added, “and so much time to be on duty, and we’ve a lost lifetime to live in fourteen days! Diane—”

She flushed vividly. So Baird said very politely into the microphone to the navigation room:

“Sir, Lieutenant Holt and myself would like to speak directly to you in the navigation room. May we?”

“Why not?” growled the skipper. “You’ve noticed that the Plumie generator is giving the whole ship lights and services?”

“Yes, sir,” said Baird. “We’ll be there right away.”

* * *

They heard the skipper’s grunt as they hurried through the door. A moment later the ship’s normal gravity returned—also through the Plumie generator. Up was up again, and down was down, and the corridors and cabins of the Niccola were brightly illuminated. Had the ship been other than an engineless wreck, falling through a hundred and fifty million miles of emptiness into the flaming photosphere of a sun, everything would have seemed quite normal, including the errand Baird and Diane were upon, and the fact that they held hands self-consciously as they went about it.

They skirted the bulkhead of the main air tank. They headed along the broader corridor which went past the indented inner door of the air lock. They had reached that indentation when Baird saw that the inner air-lock door was closing. He saw a human pressure suit past its edge. He saw the corner of some object that had been put down on the air-lock floor.

Baird shouted, and rushed toward the lock. He seized the inner handle and tried to force open the door again, so that no one inside it could emerge into the emptiness without. He failed. He wrenched frantically at the control of the outer door. It suddenly swung freely. The outer door had been put on manual. It could be and was being opened from inside.

“Tell the skipper,” raged Baird. “Taine’s taking something out!” He tore open a pressure-suit cupboard in the wall beside the lock door. “He’ll make the Plumies think it’s a return-gift for the generator!” He eeled into the pressure suit and zipped it up to his neck. “The man’s crazy! He thinks we can take their ship and stay alive for a while! Dammit, our air would ruin half their equipment! Tell the skipper to send help!”

He wrenched at the door again, jamming down his helmet with one hand. And this time the control worked. Taine, most probably, had forgotten that the inner control was disengaged only when the manual was actively in use. Diane raced away, panting. Baird swore bitterly at the slowness of the outer door’s closing. He was tearing at the inner door long before it could be opened. He flung himself in and dragged it shut, and struck the emergency air-release which bled the air lock into space for speed of operation. He thrust out the outer door and plunged through.

His momentum carried him almost too far. He fell, and only the magnetic soles of his shoes enabled him to check himself. He was in that singular valley between the two ships, where their hulls were impregnably welded fast. Round-hulled Plumie ship, and ganoid-shaped Niccola, they stuck immovably together as if they had been that way since time began. Where the sky appeared above Baird’s head, the stars moved in stately procession across the valley roof.

He heard a metallic rapping through the fabric of his space armor. Then sunlight glittered, and the valley filled with a fierce glare, and a man in a human spacesuit stood on the Niccola‘s plating, opposite the Plumie air lock. He held a bulky object under his arm. With his other gauntlet he rapped again.

“You fool!” shouted Baird. “Stop that! We couldn’t use their ship, anyhow!”

His space phone had turned on with the air supply. Taine’s voice snarled:

“We’ll try! You keep back! They are not human!” 

But Baird ran toward him. The sensation of running upon magnetic-soled shoes was unearthly: it was like trying to run on fly-paper or bird-lime. But in addition there was no gravity here, and no sense of balance, and there was the feeling of perpetual fall.

There could be no science nor any skill in an encounter under such conditions. Baird partly ran and partly staggered and partly skated to where Taine faced him, snarling. He threw himself at the other man—and then the sun vanished behind the bronze ship’s hull, and only stars moved visibly in all the universe.

But the sound of his impact was loud in Baird’s ears inside the suit. There was a slightly different sound when his armor struck Taine’s, and when it struck the heavier metal of the two ships. He fought. But the suits were intended to be defense against greater stresses than human blows could offer. In the darkness, it was like two blindfolded men fighting each other while encased in pillows.

Then the sun returned, floating sedately above the valley, and Baird could see his enemy. He saw, too, that the Plumie air lock was now open and that a small, erect, and somehow jaunty figure in golden space armor stood in the opening and watched gravely as the two men fought.

Taine cursed, panting with hysterical hate. He flung himself at Baird, and Baird toppled because he’d put one foot past the welded boundary between the Niccola‘s cobalt steel and the Plumie ship’s bronze. One foot held to nothing. And that was a ghastly sensation, because if Taine only tugged his other foot free and heaved—why—then Baird would go floating away from the rotating, now-twinned ships, floating farther and farther away forever.

But darkness fell, and he scrambled back to the Niccola‘s hull as a disorderly parade of stars went by above him. He pantingly waited fresh attack. He felt something—and it was the object Taine had meant to offer as a return present to the Plumies. It was unquestionably explosive, either booby-trapped or timed to explode inside the Plumie ship. Now it rocked gently, gripped by the magnetism of the steel.

The sun appeared again, and Taine was yards away, crawling and fumbling for Baird. Then he saw him, and rose and rushed, and the clankings of his shoe-soles were loud. Baird flung himself at Taine in a savage tackle.

He struck Taine’s legs a glancing blow, and the cobalt steel held his armor fast, but Taine careened and bounced against the round bronze wall of the Plumie, and bounced again. Then he screamed, because he went floating slowly out to emptiness, his arms and legs jerking spasmodically, while he shrieked . . .

The Plumie in the air lock stepped out. He trailed a cord behind him. He leaped briskly toward nothingness.

There came quick darkness once more, and Baird struggled erect despite the adhesiveness of the Niccola‘s hull. When he was fully upright, sick with horror at what had come about, there was sunlight yet again, and men were coming out of the Niccola‘s air lock, and the Plumie who’d leaped for space was pulling himself back to his own ship again. He had a loop of the cord twisted around Taine’s leg. But Taine screamed and screamed inside his spacesuit.

It was odd that one could recognize the skipper even inside space armor. But Baird felt sick. He saw Taine received, still screaming, and carried into the lock. The skipper growled an infuriated demand for details. His space phone had come on, too, when its air supply began. Baird explained, his teeth chattering.

“Hah!” grunted the skipper. “Taine was a mistake. He shouldn’t ever have left ground. When a man’s potty in one fashion, there’ll be cracks in him all over. What’s this?”

The Plumie in the golden armor very soberly offered the skipper the object Taine had meant to introduce into the Plumie’s ship. Baird said desperately that he’d fought against it, because he believed it a booby trap to kill the Plumies so men could take their ship and fill it with air and cut it free, and then make a landing somewhere.

“Damned foolishness!” rumbled the skipper. “Their ship’d begin to crumble with our air in it. If it held to a landing—”

Then he considered the object he’d accepted from the Plumie. It could have been a rocket war head, enclosed in some container that would detonate it if opened. Or there might be a timing device. The skipper grunted. He heaved it skyward.

The misshapen object went floating away toward emptiness. Sunlight smote harshly upon it.

“Don’t want it back in the Niccola,” growled the skipper, “but just to make sure—”

He fumbled a hand weapon out of his belt. He raised it, and it spurted flame—very tiny blue-white sparks, each one indicating a pellet of metal flung away at high velocity.

One of them struck the shining, retreating container. It exploded with a monstrous, soundless violence. It had been a rocket’s war head. There could have been only one reason for it to be introduced into a Plumie ship. Baird ceased to be shaky. Instead, he was ashamed.

The skipper growled inarticulately. He looked at the Plumie, again standing in the golden ship’s air lock.

“We’ll go back, Mr. Baird. What you’ve done won’t save our lives, and nobody will ever know you did it. But I think well of you. Come along!” 

This was at 11 hours 5 minutes ship time.

* * *

A good half hour later the skipper’s voice bellowed from the speakers all over the Niccola. His heavy-jowled features stared doggedly out of screens wherever men were on duty or at ease.

“Hear this!” he said forbiddingly. “We have checked our course and speed. We have verified that there is no possible jury-rig for our engines that could get us into any sort of orbit, let alone land us on the only planet in this system with air we could breathe. It is officially certain that in thirteen days nine hours from now, the Niccola will be so close to the sun that her hull will melt down. Which will be no loss to us because we’ll be dead then, still going on into the sun to be vaporized with the ship. There is nothing to be done about it. We can do nothing to save our own lives.”

He glared out of each and every one of the screens, wherever there were men to see him.

“But,” he rumbled, “the Plumies can get away if we help them. They have no cutting torches. We have. We can cut their ship free. They can repair their drive—but it’s most likely that it’ll operate perfectly when they’re a mile from the Niccola’s magnetic field. They can’t help us. But we can help them. And sooner or later some Plumie ship is going to encounter some other human ship. If we cut these Plumies loose, they’ll report what we did. When they meet other men, they’ll be cagey because they’ll remember Taine. But they’ll know they can make friends, because we did them a favor when we’d nothing to gain by it. I can offer no reward. But I ask for volunteers to go outside and cut the Plumie ship loose, so the Plumies can go home in safety instead of on into the sun with us.”

He glared, and cut off the image.

Diane held tightly to Baird’s hand, in the radar room. He said evenly:

“There’ll be volunteers. The Plumies are pretty sporting characters—putting up a fight with an unarmed ship, and so on. If there aren’t enough other volunteers, the skipper and I will cut them free by ourselves.”

Diane said, dry-throated:

“I’ll help. So I can be with you. We’ve got—so little time.”

“I’ll ask the skipper as soon as the Plumie ship’s free.”

“Y-yes,” said Diane. And she pressed her face against his shoulder, and wept.

This was at 01 hours, 20 minutes ship time. At 03 hours even, there was peculiar activity in the valley between the welded ships. There were men in space armor working cutting-torches where for twenty feet the two ships were solidly attached. Blue-white flames bored savagely into solid metal, and melted copper gave off strangely colored clouds of vapor—which emptiness whisked away to nothing—and molten iron and cobalt made equally lurid clouds of other colors.

There were Plumies in the air lock, watching.

At 03 hours 40 minutes ship time, all the men but one drew back. They went inside the Niccola. Only one man remained, cutting at the last sliver of metal that held the two ships together.

It parted. The Plumie ship swept swiftly away, moved by the centrifugal force of the rotary motion the joined vessels had possessed. It dwindled and dwindled. It was a half mile away. A mile. The last man on the outside of the Niccola‘s hull thriftily brought his torch to the air lock and came in.

Suddenly, the distant golden hull came to life. It steadied. It ceased to spin, however slowly. It darted ahead. It checked. It swung to the right and left and up and down. It was alive again.

* * *

In the radar room, Diane walked into Baird’s arms and said shakily:

“Now we . . . we have almost fourteen days.”

“Wait,” he commanded. “When the Plumies understood what we were doing, and why, they drew diagrams. They hadn’t thought of cutting free, out in space, without the spinning saws they used to cut bronze with. But they asked for a scanner and a screen. They checked on its use. I want to see—”

He flipped on the screen. And there was instantly a Plumie looking eagerly out of it, for some sign of communication established. There were soprano sounds, and he waved a hand for attention. Then he zestfully held up one diagram after another.

Baird drew a deep breath. A very deep breath. He pressed the navigation-room call. The skipper looked dourly at him.

“Well?” said the skipper forbiddingly.

“Sir,” said Baird, very quietly indeed, “the Plumies are talking by diagram over the communicator set we gave them. Their drive works. They’re as well off as they ever were. And they’ve been modifying their tractor beams—stepping them up to higher power.”

“What of it?” demanded the skipper, rumbling.

“They believe,” said Baird, “that they can handle the Niccola with their beefed-up tractor beams.” He wetted his lips. “They’re going to tow us to the oxygen planet ahead, sir. They’re going to set us down on it. They’ll help us find the metals we need to build the tools to repair the Niccola, sir. You see the reasoning, sir. We turned them loose to improve the chance of friendly contact when another human ship runs into them. They want us to carry back—to be proof that Plumies and men can be friends. It seems that—they like us, sir.”

He stopped for a moment. Then he went on reasonably:

“And besides that, it’ll be one hell of a fine business proposition. We never bother with hydrogen-methane planets. They’ve minerals and chemicals we haven’t got, but even the stones of a methane-hydrogen planet are ready to combine with the oxygen we need to breathe! We can’t carry or keep enough oxygen for real work. The same thing’s true with them on an oxygen planet. We can’t work on each other’s planets, but we can do fine business in each other’s minerals and chemicals from those planets. I’ve got a feeling, sir, that the Plumie cairns are location-notices; markers set up over ore deposits they can find but can’t hope to work, yet they claim against the day when their scientists find a way to make them worth owning. I’d be willing to bet, sir, that if we explored hydrogen planets as thoroughly as oxygen ones, we’d find cairns on their-type planets that they haven’t colonized yet.”

The skipper stared. His mouth dropped open.

“And I think, sir,” said Baird, “that until they detected us they thought they were the only intelligent race in the galaxy. They were upset to discover suddenly that they were not, and at first they’d no idea what we’d be like. But I’m guessing now, sir, that they’re figuring on what chemicals and ores to start swapping with us.” Then he added, “When you think of it, sir, probably the first metal they ever used was aluminum—where our ancestors used copper—and they had a beryllium age next, instead of iron. And right now, sir, it’s probably as expensive for them to refine iron as it is for us to handle titanium and beryllium and osmium—which are duck soup for them! Our two cultures ought to thrive as long as we’re friends, sir. They know it already—and we’ll find it out in a hurry!”

The skipper’s mouth moved. It closed, and then dropped open again. The search for the Plumies had been made because it looked like they had to be fought. But Baird had just pointed out some extremely commonsense items which changed the situation entirely. And there was evidence that the Plumies saw the situation the new way. The skipper felt such enormous relief that his manner changed. He displayed what was almost effusive cordiality—for the skipper. He cleared his throat.

“Hm-m-m. Hah! Very good, Mr. Baird,” he said formidably. “And of course with time and air and metals we can rebuild our drive. For that matter, we could rebuild the Niccola! I’ll notify the ship’s company, Mr. Baird. Very good!” He moved to use another microphone. Then he checked himself. “Your expression is odd, Mr. Baird. Did you wish to say something more?”

“Y-yes, sir,” said Baird. He held Diane’s hand fast. “It’ll be months before we get back to port, sir. And it’s normally against regulations, but under the circumstances . . . would you mind . . . as skipper . . . marrying Lieutenant Holt and me?”

The skipper snorted. Then he said almost—almost—amiably?

“Hm-m-m. You’ve both done very well, Mr. Baird. Yes. Come to the navigation room and we’ll get it over with. Say—ten minutes from now.” 

Baird grinned at Diane. Her eyes shone a little.

This was at 04 hours 10 minutes ship time. It was exactly twelve hours since the alarm-bell rang.

 

 

 

Afterword by Eric Flint

Murray Leinster died almost thirty years ago, in 1976, and his writing career had essentially ended by the beginning of the 1970s. During the decades that followed, this once-major figure in science fiction more or less faded away from the public eye. Until I started editing the multivolume reissue of his writings which Baen Books is now publishing, the only important reissue of his writing that had taken place in many years was NESFA Press’ 1998 one-volume omnibus First Contacts.

This . . . for a man who held the title “the dean of science fiction” before Robert Heinlein inherited it. (And it wasn’t bestowed on him by an obscure fan club, either—Leinster was given the sobriquet by Time magazine.) When I first started reading science fiction in the early ’60s, Leinster seemed well-nigh ubiquitous to me. I couldn’t have imagined back then that the day would come when he had completely vanished from the shelves.

What happened? Leinster was no minor writer like several in this anthology, after all: Rick Raphael, Robert Ernest Gilbert, Wyman Guin, some others. All of them wrote well, to be sure—but Leinster published more novels than they did short stories. He might have published more novels than all of their short stories put together. And his total output, even leaving aside the many westerns and mystery stories he wrote under his real name of Will Jenkins, would have buried them. Would have buried most authors, in fact, major or minor.

Part of it, I think, was that the loose human conglomeration you might call “the science fiction community” was always fairly lukewarm about him. His career in science fiction spanned half a century, in the course of which he was published by many book publishers and appeared in almost all the principal magazines. Yet, during his lifetime, he only won a major science fiction award once—the Hugo award for best novelette in 1956, for “Exploration Team.” In fact, he only received one other nomination for the Hugo: his novel The Pirates of Zan made the final list in 1960 (losing, not surprisingly, to Heinlein’s Starship Troopers). He was never nominated once for the Nebula award.

To be sure, the major SF awards like all such awards are notoriously subject to the popularity of the recipient with the relatively small numbers of people who cast the votes. And since Leinster paid no attention to them—he rarely if ever attended a science fiction convention, and had very little contact with other science fiction writers—it’s not surprising that they tended to ignore him in return.

But there’s more to it, I think, than just personal distance. The key is that famous old saw: “Familiarity breeds contempt.” Leinster was there at the creation of science fiction—and he created much of it himself. Name any of the now-recognized subgenres or themes of science fiction and trace them back in time . . . and, as often as not, you will discover that Murray Leinster laid the foundations.

First contact? The name itself comes from a Murray Leinster story.

Alternate history? He published the time-travel story “The Runaway Skyscraper” in Argosy magazine in the year 1919—a year before my father was born. Ironically enough, for a man who was almost never recognized by the awards, the Sidewise Award which is today given out at the annual Hugo ceremony for the best alternate histories of the year . . . was named after Leinster’s story “Sidewise in Time,” first published seventy years ago.

I could go and on, but I won’t bother. Granted, Leinster was never a dazzling writer. His prose is journeyman at best, he was repetitive in his longer works, he recycled plots shamelessly—no fewer than six of his novels are essentially Die Hard in Space with the serial numbers filed off—and he wrote a lot of stuff that can only be described as dreck. I know. I’ve read almost everything he wrote. I edited a reissue of the complete works of James H. Schmitz and never had to hold my nose once. I wouldn’t even think of doing the same with Leinster. Still, I could fill twice as many volumes with good Leinster than I could with Schmitz, simply because he wrote so much more.

And that’s what Leinster was, in the end. An indefatigable storyteller, often a superb one, and the writer who, more than anyone, created science fiction as a viable and separate genre in the first place. So have some respect. If we still worshipped our ancestors and kept their shrunken heads over the hearth, Murray Leinster’s would be the one in the center.

 

 

 

 

A Gun for Dinosaur by L. Sprague de Camp

A Gun for Dinosaur

by L. Sprague de Camp

Preface by David Drake:




The writers who created the Golden Age in Astounding were Heinlein on a level of his own, and de Camp, Hubbard, and Van Vogt right below him. (I'll argue that statement with anybody who catches me at a convention, but nobody who has a right to an opinion will deny that it's defensible.)

Those four authors (in reprint) were all important to me when I started reading SF, but it was Sprague de Camp who most formed my view of what science fiction was and should be. I don't know why, but the fact isn't in doubt.

After World War II de Camp slid into a different sort of story, entertaining but not nearly as significant to the field. By the '50s de Camp stories were appearing mostly in lower-level markets, and he was putting much of his effort into revising and pastiching the work of Robert E. Howard, a writer whom he explicitly did not respect. (Late in life, Sprague described this to me as being the worst mistake of his career. I agree with him.)


In the middle of this apparent decline, de Camp wrote two unquestionable masterpieces, the bleak and despairing "Judgment Day" ("That was really an autobiographical story," he told me—as if I'd been in doubt) and "A Gun for Dinosaur." Men-against-dinosaur stories are as old as magazine SF, just as there were horror novels before Carrie. King and de Camp turned what had been occasional subjects for stories into defined subgenres.

That's why "A Gun for Dinosaur" is important. The reason it's here, however, is that it blew all three of us away when we read it the first time.

 

 

 

No, I’m sorry, Mr. Seligman, but I can’t take you hunting Late Mesozoic dinosaur.

Yes, I know what the advertisement says.

Why not? How much d’you weigh? A hundred and thirty? Let’s see; that’s under ten stone, which is my lower limit.

I could take you to other periods, you know. I’ll take you to any period in the Cenozoic. I’ll get you a shot at an entelodont or a uintathere. They’ve got fine heads.

I’ll even stretch a point and take you to the Pleistocene, where you can try for one of the mammoths or the mastodon.

I’ll take you back to the Triassic where you can shoot one of the smaller ancestral dinosaurs. But I will jolly well not take you to the Jurassic or Cretaceous. You’re just too small.

What’s your size got to do with it? Look here, old boy, what did you think you were going to shoot your dinosaur with?

Oh, you hadn’t thought, eh?

Well, sit there a minute . . . Here you are: my own private gun for that work, a Continental .600. Does look like a shotgun, doesn’t it? But it’s rifled, as you can see by looking through the barrels. Shoots a pair of .600 Nitro Express cartridges the size of bananas; weighs fourteen and a half pounds and has a muzzle energy of over seven thousand foot-pounds. Costs fourteen hundred and fifty dollars. Lot of money for a gun, what?

I have some spares I rent to the sahibs. Designed for knocking down elephant. Not just wounding them, knocking them base-over-apex. That’s why they don’t make guns like this in America, though I suppose they will if hunting parties keep going back in time.

Now, I’ve been guiding hunting parties for twenty years. Guided ’em in Africa until the game gave out there except on the preserves. And all that time I’ve never known a man your size who could handle the six-nought-nought. It knocks ’em over, and even when they stay on their feet they get so scared of the bloody cannon after a few shots that they flinch. And they find the gun too heavy to drag around rough Mesozoic country. Wears ’em out.

It’s true that lots of people have killed elephant with lighter guns: the .500, .475, and .465 doubles, for instance, or even the .375 magnum repeaters. The difference is, with a .375 you have to hit something vital, preferably the heart, and can’t depend on simple shock power.

An elephant weighs—let’s see—four to six tons. You’re proposing to shoot reptiles weighing two or three times as much as an elephant and with much greater tenacity of life. That’s why the syndicate decided to take no more people dinosaur hunting unless they could handle the .600. We learned the hard way, as you Americans say. There were some unfortunate incidents . . .

I’ll tell you, Mr. Seligman. It’s after seventeen-hundred. Time I closed the office. Why don’t we stop at the bar on our way out while I tell you the story?

* * *

. . . It was about the Raja’s and my fifth safari into time. The Raja? Oh, he’s the Aiyar half of Rivers and Aiyar. I call him the Raja because he’s the hereditary monarch of Janpur. Means nothing nowadays, of course. Knew him in India and ran into him in New York running the Indian tourist agency. That dark chap in the photograph on my office wall, the one with his foot on the dead saber-tooth.

Well, the Raja was fed up with handing out brochures about the Taj Mahal and wanted to do a bit of hunting again. I was at loose ends when we heard of Professor Prochaska’s time machine at Washington University.

Where’s the Raja now? Out on safari in the Early Oligocene after titanothere while I run the office. We take turn about, but the first few times we went out together.

Anyway, we caught the next plane to St. Louis. To our mortification, we found we weren’t the first. Lord, no! There were other hunting guides and no end of scientists, each with his own idea of the right way to use the machine.

We scraped off the historians and archeologists right at the start. Seems the ruddy machine won’t work for periods more recent than 100,000 years ago. It works from there up to about a billion years.

Why? Oh, I’m no four-dimensional thinker; but, as I understand it, if people could go back to a more recent time, their actions would affect our own history, which would be a paradox or contradiction of facts. Can’t have that in a well-run universe, you know.

But, before 100,000 B.C., more or less, the actions of the expeditions are lost in the stream of time before human history begins. At that, once a stretch of past time has been used, say the month of January, one million B.C., you can’t use that stretch over again by sending another party into it. Paradoxes again.

The professor isn’t worried, though. With a billion years to exploit, he won’t soon run out of eras.

Another limitation of the machine is the matter of size. For technical reasons, Prochaska had to build the transition chamber just big enough to hold four men with their personal gear, and the chamber wallah. Larger parties have to be sent through in relays. That means, you see, it’s not practical to take jeeps, launches, aircraft, and other powered vehicles.

On the other hand, since you’re going to periods without human beings, there’s no whistling up a hundred native bearers to trot along with your gear on their heads. So we usually take a train of asses—burros, they call them here. Most periods have enough natural forage so you can get where you want to go.

As I say, everybody had his own idea for using the machine. The scientists looked down their noses at us hunters and said it would be a crime to waste the machine’s time pandering to our sadistic amusements.

We brought up another angle. The machine cost a cool thirty million. I understand this came from the Rockefeller Board and such people, but that accounted for the original cost only, not the cost of operation. And the thing uses fantastic amounts of power. Most of the scientists’ projects, while worthy enough, were run on a shoe-string, financially speaking.

Now, we guides catered to people with money, a species with which America seems well stocked. No offense, old boy. Most of these could afford a substantial fee for passing through the machine into the past. Thus we could help finance the operation of the machine for scientific purposes, provided we got a fair share of its time. In the end, the guides formed a syndicate of eight members, one member being the partnership of Rivers and Aiyar, to apportion the machine’s time.

We had rush business from the start. Our wives—the Raja’s and mine—raised hell with us for a while. They’d hoped that, when the big game gave out in our own era, they’d never have to share us with lions and things again, but you know how women are. Hunting’s not really dangerous if you keep your head and take precautions.

On the fifth expedition, we had two sahibs to wet-nurse; both Americans in their thirties, both physically sound, and both solvent. Otherwise they were as different as different can be.

Courtney James was what you chaps call a playboy: a rich young man from New York who’d always had his own way and didn’t see why that agreeable condition shouldn’t continue. A big bloke, almost as big as I am; handsome in a florid way, but beginning to run to fat. He was on his fourth wife and, when he showed up at the office with a blond twist with “model” written all over her, I assumed that this was the fourth Mrs. James.

“Miss Bartram,” she corrected me, with an embarrassed giggle.

“She’s not my wife,” James explained. “My wife is in Mexico, I think, getting a divorce. But Bunny here would like to go along—”

“Sorry,” I said, “we don’t take ladies. At least, not to the Late Mesozoic,”

This wasn’t strictly true, but I felt we were running enough risks, going after a little-known fauna, without dragging in people’s domestic entanglements. Nothing against sex, you understand. Marvelous institution and all that, but not where it interferes with my living.

“Oh, nonsense!” said James. “If she wants to go, she’ll go. She skis and flies my airplane, so why shouldn’t she—”

“Against the firm’s policy,” I said.

“She can keep out of the way when we run up against the dangerous ones,” he said.

“No, sorry.”

“Damn it!” said he, getting red. “After all, I’m paying you a goodly sum, and I’m entitled to take whoever I please.”

“You can’t hire me to do anything against my best judgment,” I said. “If that’s how you feel, get another guide.”

“All right, I will,” he said. “And I’ll tell all my friends you’re a God-damned—” Well, he said a lot of things I won’t repeat, until I told him to get out of the office or I’d throw him out.

I was sitting in the office and thinking sadly of all that lovely money James would have paid me if I hadn’t been so stiff-necked, when in came my other lamb, one August Holtzinger. This was a little slim pale chap with glasses, polite and formal. Holtzinger sat on the edge of his chair and said:

“Uh—Mr. Rivers, I don’t want you to think I’m here under false pretenses. I’m really not much of an outdoorsman, and I’ll probably be scared to death when I see a real dinosaur. But I’m determined to hang a dinosaur head over my fireplace or die in the attempt.”

“Most of us are frightened at first,” I soothed him, “though it doesn’t do to show it.” And little by little I got the story out of him.

While James had always been wallowing in the stuff, Holtzinger was a local product who’d only lately come into the real thing. He’d had a little business here in St. Louis and just about made ends meet when an uncle cashed in his chips somewhere and left little Augie the pile.

Now Holtzinger had acquired a fiancée and was building a big house. When it was finished, they’d be married and move into it. And one furnishing he demanded was a ceratopsian head over the fireplace. Those are the ones with the big horned heads with a parrot-beak and a frill over the neck, you know. You have to think twice about collecting them, because if you put a seven-foot Triceratops head into a small living room, there’s apt to be no room left for anything else.

We were talking about this when in came a girl: a small girl in her twenties, quite ordinary looking, and crying.

“Augie!” she cried. “You can’t! You mustn’t! You’ll be killed!” She grabbed him round the knees and said to me:

“Mr. Rivers, you mustn’t take him! He’s all I’ve got! He’ll never stand the hardships!”

“My dear young lady,” I said, “I should hate to cause you distress, but it’s up to Mr. Holtzinger to decide whether he wishes to retain my services.”

“It’s no use, Claire,” said Holtzinger. “I’m going, though I’ll probably hate every minute of it.”

“What’s that, old boy?” I said. “If you hate it, why go? Did you lose a bet, or something?”

“No,” said Holtzinger. “It’s this way. Uh—I’m a completely undistinguished kind of guy. I’m not brilliant or big or strong or handsome. I’m just an ordinary Midwestern small businessman. You never even notice me at Rotary luncheons, I fit in so perfectly.

“But that doesn’t say I’m satisfied. I’ve always hankered to go to far places and do big things. I’d like to be a glamorous, adventurous sort of guy. Like you, Mr. Rivers.”

“Oh, come,” I said. “Professional hunting may seem glamorous to you, but to me it’s just a living.”

He shook his head. “Nope. You know what I mean. Well, now I’ve got this legacy, I could settle down to play bridge and golf the rest of my life, and try to act like I wasn’t bored. But I’m determined to do something with some color in it, once at least. Since there’s no more real big-game hunting in the present, I’m gonna shoot a dinosaur and hang his head over my mantel if it’s the last thing I do. I’ll never be happy otherwise.”

Well, Holtzinger and his girl argued, but he wouldn’t give in. She made me swear to take the best care of her Augie and departed, sniffling.

When Holtzinger had left, who should come in but my vile-tempered friend Courtney James? He apologized for insulting me, though you could hardly say he groveled.

“I don’t really have a bad temper,” he said, “except when people won’t cooperate with me. Then I sometimes get mad. But so long as they’re cooperative I’m not hard to get along with.”

I knew that by “cooperate” he meant to do whatever Courtney James wanted, but I didn’t press the point. “What about Miss Bartram?” I asked.

“We had a row,” he said. “I’m through with women. So, if there’s no hard feelings, let’s go on from where we left off.”

“Very well,” I said, business being business.

The Raja and I decided to make it a joint safari to eight-five million years ago: the Early Upper Cretaceous, or the Middle Cretaceous as some American geologists call it. It’s about the best period for dinosaur in Missouri. You’ll find some individual species a little larger in the Late Upper Cretaceous, but the period we were going to gives a wider variety.

Now, as to our equipment: The Raja and I each had a Continental .600, like the one I showed you, and a few smaller guns. At this time we hadn’t worked up much capital and had no spare .600s to rent.

August Holtzinger said he would rent a gun, as he expected this to be his only safari, and there’s no point in spending over a thousand dollars for a gun you’ll shoot only a few times. But, since we had no spare .600s, his choice lay between buying one of those and renting one of our smaller pieces.

We drove into the country and set up a target to let him try the .600. Holtzinger heaved up the gun and let fly. He missed completely, and the kick knocked him flat on his back.

He got up, looking paler than ever, and handed me back the gun, saying: “Uh—I think I’d better try something smaller.”

When his shoulder stopped hurting, I tried him out on the smaller rifles. He took a fancy to my Winchester 70, chambered for the .375 magnum cartridge. This is an excellent all-round gun—perfect for the big cats and bears, but a little light for elephant and definitely light for dinosaur. I should never have given in, but I was in a hurry, and it might have taken months to have a new .600 made to order for him. James already had a gun, a Holland & Holland .500 double express, which is almost in a class with the .600.

Both sahibs had done a bit of shooting, so I didn’t worry about their accuracy. Shooting dinosaur is not a matter of extreme accuracy, but of sound judgment and smooth coordination so you shan’t catch twigs in the mechanism of your gun, or fall into holes, or climb a small tree that the dinosaur can pluck you out of, or blow your guide’s head off.

People used to hunting mammals sometimes try to shoot a dinosaur in the brain. That’s the silliest thing to do, because dinosaurs haven’t got any. To be exact, they have a little lump of tissue the size of a tennis ball on the front end of their spines, and how are you going to hit that when it’s imbedded in a six-foot skull?

The only safe rule with dinosaur is: always try for a heart shot. They have big hearts, over a hundred pounds in the largest species, and a couple of .600 slugs through the heart will slow them up, at least. The problem is to get the slugs through that mountain of meat around it.

* * *

Well, we appeared at Prochaska’s laboratory one rainy morning: James and Holtzinger, the Raja and I, our herder Beauregard Black, three helpers, a cook, and twelve jacks.

The transition chamber is a little cubbyhole the size of a small lift. My routine is for the men with the guns to go first in case a hungry theropod is standing near the machine when it arrives. So the two sahibs, the Raja, and I crowded into the chamber with our guns and packs. The operator squeezed in after us, closed the door, and fiddled with his dials. He set the thing for April twenty-fourth, eight-five million B.C., and pressed the red button. The lights went out, leaving the chamber lit by a little battery-operated lamp. James and Holtzinger looked pretty green, but that may have been the lighting. The Raja and I had been through all this before, so the vibration and vertigo didn’t bother us.

The little spinning black hands of the dials slowed down and stopped. The operator looked at his ground-level gauge and turned the handwheel that raised the chamber so it shouldn’t materialize underground. Then he pressed another button, and the door slid open.

No matter how often I do it, I get a frightful thrill out of stepping into a bygone era. The operator had raised the chamber a foot above ground level, so I jumped down, my gun ready. The others came after.

“Right-ho,” I said to the chamber wallah, and he closed the door. The chamber disappeared, and we looked around. There weren’t any dinosaur in sight, nothing but lizards.

In this period, the chamber materializes on top of a rocky rise, from which you can see in all directions as far as the haze will let you. To the west, you see the arm of the Kansas Sea that reaches across Missouri and the big swamp around the bayhead where the sauropods live.

To the north is a low range that the Raja named the Janpur Hills, after the Indian kingdom his forebears once ruled. To the east, the land slopes up to a plateau, good for ceratopsians, while to the south is flat country with more sauropod swamps and lots of ornithopod: duckbill and iguanodont.

The finest thing about the Cretaceous is the climate: balmy like the South Sea Islands, but not so muggy as most Jurassic climates. It was spring, with dwarf magnolias in bloom all over.

A thing about this landscape is that it combines a fairly high rainfall with an open type of vegetation cover. That is, the grasses hadn’t yet evolved to the point of forming solid carpets over all the open ground. So the ground is thick with laurel, sassafras, and other shrubs, with bare earth between. There are big thickets of palmettos and ferns. The trees round the hill are mostly cycads, standing singly and in copses. You’d call ’em palms. Down towards the Kansas Sea are more cycads and willows, while the uplands are covered with screw pine and ginkgoes.

Now, I’m no bloody poet—the Raja writes the stuff, not me—but I can appreciate a beautiful scene. One of the helpers had come through the machine with two of the jacks and was pegging them out, and I was looking through the haze and sniffing the air, when a gun went off behind me—bang! bang!

I whirled round, and there was Courtney James with his .500, and an ornithomime legging it for cover fifty yards away. The ornithomimes are medium-sized running dinosaurs, slender things with long necks and legs, like a cross between a lizard and an ostrich. This kind is about seven feet tall and weighs as much as a man. The beggar had wandered out of the nearest copse, and James gave him both barrels. Missed.

I was upset, as trigger-happy sahibs are as much a menace to their party as theropods. I yelled: “Damn it, you idiot! I thought you weren’t to shoot without a word from me?”

“And who the hell are you to tell me when I’ll shoot my own gun?” he said.

We had a rare old row until Holtzinger and the Raja got us calmed down. I explained:

“Look here, Mr. James, I’ve got reasons. If you shoot off all your ammunition before the trip’s over, your gun won’t be available in a pinch, as it’s the only one of its caliber. If you empty both barrels at an unimportant target, what would happen if a big theropod charged before you could reload? Finally, it’s not sporting to shoot everything in sight, just to hear the gun go off. Do you understand?”

“Yeah, I guess so,” he said.

The rest of the party came through the machine, and we pitched our camp a safe distance from the materializing place. Our first task was to get fresh meat. For a twenty-one-day safari like this, we calculate our food requirements closely, so we can make out on tinned stuff and concentrates if we must, but we count on killing at least one piece of meat. When that’s butchered, we go off on a short tour, stopping at four or five camping places to hunt and arriving back at base a few days before the chamber is due to appear.

Holtzinger, as I said, wanted a ceratopsian head, any kind. James insisted on just one head: a tyrannosaur. Then everybody’d think he’d shot the most dangerous game of all time.

Fact is, the tyrannosaur’s overrated. He’s more a carrion eater than an active predator, though he’ll snap you up if he gets the chance. He’s less dangerous than some of the other theropods—the flesh eaters, you know—such as the smaller Gorgosaurus from the period we were in. But everybody’s read about the tyrant lizard, and he does have the biggest head of the theropods.

The one in our period isn’t the rex, which is later and a bit bigger and more specialized. It’s the trionyches, with the forelimbs not quite so reduced, though they’re still too small for anything but picking the brute’s teeth after a meal.

When camp was pitched, we still had the afternoon. So the Raja and I took our sahibs on their first hunt. We had a map of the local terrain from previous trips.

The Raja and I have worked out a system for dinosaur hunting. We split into two groups of two men each and walk parallel from twenty to forty yards apart. Each group has a sahib in front and a guide following, telling him where to go. We tell the sahibs we put them in front so they shall have the first shot. Well, that’s true, but another reason is they’re always tripping and falling with their guns cocked, and if the guide were in front he’d get shot.

The reason for two groups is that if a dinosaur starts for one, the other gets a good heart shot from the side.

As we walked, there was the usual rustle of lizards scuttling out of the way: little fellows, quick as a flash and colored like all the jewels in Tiffany’s, and big gray ones that hiss at you as they plod off. There were tortoises and a few little snakes. Birds with beaks full of teeth flapped off squawking. And always there was that marvelous mild Cretaceous air. Makes a chap want to take his clothes off and dance with vine leaves in his hair, if you know what I mean.

Our sahibs soon found that Mesozoic country is cut up into millions of nullahs—gullies, you’d say. Walking is one long scramble, up and down, up and down.

We’d been scrambling for an hour, and the sahibs were soaked with sweat and had their tongues hanging out, when the Raja whistled. He’d spotted a group of bonehead feeding on cycad shoots.

These are the troödonts, small ornithopods about the size of men with a bulge on top of their heads that makes them look almost intelligent. Means nothing, because the bulge is solid bone. The males butt each other with these heads in fighting over the females.

These chaps would drop down on all fours, munch up a shoot, then stand up and look around. They’re warier than most dinosaur, because they’re the favorite food of the big theropods.

People sometimes assume that because dinosaur are so stupid, their senses must be dim, too. But it’s not so. Some, like the sauropods, are pretty dim-sensed, but most have good smell and eyesight and fair hearing. Their weakness is that having no minds, they have no memories. Hence, out of sight, out of mind. When a big theropod comes slavering after you, your best defense is to hide in a nullah or behind a bush, and if he can neither see you nor smell you he’ll just wander off.

We skulked up behind a patch of palmetto downwind from the bonehead. I whispered to James:

“You’ve had a shot already today. Hold your fire until Holtzinger shoots, and then shoot only if he misses or if the beast is getting away wounded.”

“Uh-huh,” said James.

We separated, he with the Raja and Holtzinger with me. This got to be our regular arrangement. James and I got on each other’s nerves, but the Raja’s a friendly, sentimental sort of bloke nobody can help liking.

We crawled round the palmetto patch on opposite sides, and Holtzinger got up to shoot. You daren’t shoot a heavy-caliber rifle prone. There’s not enough give, and the kick can break your shoulder.

Holtzinger sighted round the law few fronds of palmetto. I saw his barrel wobbling and waving. Then he lowered his gun and tucked it under his arm to wipe his glasses.

Off went James’s gun, both barrels again.

The biggest bonehead went down, rolling and thrashing. The others ran away on their hindlegs in great leaps, their heads jerking and their tails sticking up behind.

“Put your gun on safety,” I said to Holtzinger, who’d started forward. By the time we got to the bonehead, James was standing over it, breaking open his gun and blowing out the barrels. He looked as smug as if he’d come into another million and was asking the Raja to take his picture with his foot on the game.

I said: “I thought you were to give Holtzinger the first shot?”

“Hell, I waited,” he said, “and he took so long I thought he must have gotten buck fever. If we stood around long enough, they’d see us or smell us.”

There was something in what he said, but his way of saying it put my monkey up. I said: “If that sort of thing happens once more, we’ll leave you in camp the next time we go out.”

“Now, gentlemen,” said the Raja. “After all, Reggie, these aren’t experienced hunters.”

“What now?” said Holtzinger. “Haul him back ourselves or send out the men?”

“We’ll sling him under the pole,” I said. “He weighs under two hundred.”

The pole was a telescoping aluminum carrying pole I had in my pack, with padded yokes on the ends. I brought it because, in such eras, you can’t count on finding saplings strong enough for proper poles on the spot.

The Raja and I cleaned our bonehead to lighten him and tied him to the pole. The flies began to light on the offal by thousands. Scientists say they’re not true flies in the modern sense, but they look and act like flies. There’s one huge four-winged carrion fly that flies with a distinctive deep thrumming note.

The rest of the afternoon we sweated under that pole, taking turn about. The lizards scuttled out of the way, and the flies buzzed round the carcass.

We got to camp just before sunset, feeling as if we could eat the whole bonehead at one meal. The boys had the camp running smoothly, so we sat down for our tot of whiskey, feeling like lords of creation, while the cook broiled bonehead steaks.

Holtzinger said: “Uh—if I kill a ceratopsian, how do we get his head back?”

I explained: “If the ground permits, we lash it to the patent aluminum roller frame and sled it in.”

“How much does a head like that weigh?” he asked.

“Depends on the age and the species,” I told him. “The biggest weigh over a ton, but most run between five hundred and a thousand pounds.”

“And all the ground’s rough like it was today?”

“Most of it,” I said. “You see, it’s the combination of the open vegetation cover and the moderately high rainfall. Erosion is frightfully rapid.”

“And who hauls the head on its little sled?”

“Everybody with a hand,” I said. “A big head would need every ounce of muscle in this party. On such a job there’s no place for side.”

“Oh,” said Holtzinger. I could see he was wondering whether a ceratopsian head would be worth the effort.

The next couple of days we trekked round the neighborhood. Nothing worth shooting; only a herd of ornithomimes, which went bounding off like a lot of ballet dancers. Otherwise there were only the usual lizards and pterosaurs and birds and insects. There’s a big lace-winged fly that bites dinosaurs, so, as you can imagine, its beak makes nothing of a human skin. One made Holtzinger leap and dance like a Red Indian when it bit him through his shirt. James joshed him about it, saying:

“What’s all the fuss over one little bug?”

The second night, during the Raja’s watch, James gave a yell that brought us all out of our tents with rifles. All that had happened was that a dinosaur tick had crawled in with him and started drilling under his armpit. Since it’s as big as your thumb even when it hasn’t fed, he was understandably startled. Luckily he got it before it had taken its pint of blood. He’d pulled Holtzinger’s leg pretty hard about the fly bite, so now Holtzinger repeated the words:

“What’s all the fuss over one little bug, buddy?”

James squashed the tick underfoot with a grunt, not much liking to be hoist by his own what-d’you-call-it.

* * *

We packed up and started on our circuit. We meant to take the sahibs first to the sauropod swamp, more to see the wildlife than to collect anything.

From where the transition chamber materializes, the sauropod swamp looks like a couple of hours’ walk, but it’s really an all-day scramble. The first part is easy, as it’s downhill and the brush isn’t heavy. Then, as you get near the swamp, the cycads and willows grow so thickly that you have to worm your way among them.

I led the party to a sandy ridge on the border of the swamp, as it was pretty bare of vegetation and afforded a fine view. When we got to the ridge, the sun was about to go down. A couple of crocs slipped off into the water. The sahibs were so tired that they flopped down in the sand as if dead.

The haze is thick round the swamp, so the sun was deep red and weirdly distorted by the atmospheric layers. There was a high layer of clouds reflecting the red and gold of the sun, too, so altogether it was something for the Raja to write one of his poems about. A few little pterosaur were wheeling overhead like bats.

Beauregard Black got a fire going. We’d started on our steaks, and that pagoda-shaped sun was just slipping below the horizon, and something back in the trees was making a noise like a rusty hinge, when a sauropod breathed out in the water. They’re the really big ones, you know. If Mother Earth were to sigh over the misdeeds of her children, it would sound like that.

The sahibs jumped up, shouting: “Where is he? Where is he?”

I said: “That black spot in the water, just to the left of that point.”

They yammered while the sauropod filled its lungs and disappeared. “Is that all?” said James. “Won’t we see any more of him?”

“No,” I explained. “They can walk perfectly well and often do, for egg-laying and moving from one swamp to another. But most of the time they spend in the water, like hippopotamus. They eat eight hundred pounds of soft swamp plants a day, all through those little heads. So they wander about the bottoms of lakes and swamps, chomping away, and stick their heads up to breathe every quarter-hour or so. It’s getting dark, so this fellow will soon come out and lie down in the shallows to sleep.”

“Can we shoot one?” demanded James.

“I wouldn’t,” said I.

“Why not?”

I said: “There’s no point in it, and it’s not sporting. First, they’re almost invulnerable. They’re even harder to hit in the brain than other dinosaurs because of the way they sway their heads about on those long necks. Their hearts are too deeply buried to reach unless you’re awfully lucky. Then, if you kill one in the water, he sinks and can’t be recovered. If you kill one on land, the only trophy is that little head. You can’t bring the whole beast back because he weighs thirty tons or more, and we’ve got no use for thirty tons of meat.”

Holtzinger said: “That museum in New York got one.”

“Yes,” said I. “The American Museum of Natural History sent a party of forty-eight to the Early Cretaceous with a fifty-caliber machine gun. They killed a sauropod and spent two solid months skinning it and hacking the carcass apart and dragging it to the time machine. I know the chap in charge of that project, and he still has nightmares in which he smells decomposing dinosaur. They had to kill a dozen big theropods attracted by the stench, so they had them lying around and rotting, too. And the theropods ate three men of the party despite the big gun.”

Next morning, we were finishing breakfast when one of the helpers said: “Look, Mr. Rivers, up there!”

He pointed along the shoreline. There were six big crested duckbill, feeding in the shallows. They were the kind called Parasaurolophus, with a long spike sticking out the back of their heads and a web of skin connecting this with the back of their necks.

“Keep your voices down!” I said. The duckbill, like the other ornithopods, are wary beasts because they have neither armor nor weapons. They feed on the margins of lakes and swamps, and when a gorgosaur rushes out of the trees they plunge into deep water and swim off. Then when Phobosuchus, the supercrocodile, goes for them in the water, they flee to the land. A hectic sort of life, what?

Holtzinger said: “Uh—Reggie! I’ve been thinking over what you said about ceratopsian heads. If I could get one of those yonder, I’d be satisfied. It would look big enough in my house, wouldn’t it?”

“I’m sure of it, old boy,” I said. “Now look here. We could detour to come out on the shore near here, but we should have to plow through half a mile of muck and brush, and they’d hear us coming. Or we can creep up to the north end of this sandspit, from which it’s three or four hundred yards—a long shot but not impossible. Think you could do it?”

“Hm,” said Holtzinger. “With my scope sight and a sitting position—okay, I’ll try it.”

“You stay here, Court,” I said to James. “This is Augie’s head, and I don’t want any argument over your having fired first.”

James grunted while Holtzinger clamped his scope to his rifle. We crouched our way up the spit, keeping the sand ridge between us and the duckbill. When we got to the end where there was no more cover, we crept along on hands and knees, moving slowly. If you move slowly enough, directly toward or away from a dinosaur, it probably won’t notice you.

The duckbill continued to grub about on all fours, every few seconds rising to look round. Holtzinger eased himself into the sitting position, cocked his piece, and aimed through his scope. And then—

Bang! bang! went a big rifle back at the camp.

Holtzinger jumped. The duckbills jerked their heads up and leaped for the deep water, splashing like mad. Holtzinger fired once and missed. I took one shot at the last duckbill before it vanished too, but missed. The .600 isn’t built for long ranges.

Holtzinger and I started back toward the camp, for it had struck us that our party might be in theropod trouble.

What had happened was that a big sauropod had wandered down past the camp underwater, feeding as it went. Now, the water shoaled about a hundred yards offshore from our spit, halfway over to the swamp on the other side. The sauropod had ambled up the slope until its body was almost all out of water, weaving its head from side to side and looking for anything green to gobble. This is a species of Alamosaurus, which looks much like the well-known Brontosaurus except that it’s bigger.

When I came in sight of the camp, the sauropod was turning round to go back the way it had come, making horrid groans. By the time we reached the camp, it had disappeared into deep water, all but its head and twenty feet of neck, which wove about for some time before they vanished into the haze.

When we came up to the camp, James was arguing with the Raja. Holtzinger burst out:

“You crummy bastard! That’s the second time you’ve spoiled my shots.”

“Don’t be a fool,” said James. “I couldn’t let him wander into the camp and stamp everything flat.”

“There was no danger of that,” said the Raja. “You can see the water is deep offshore. It’s just that our trigger-happee Mr. James cannot see any animal without shooting.”

I added: “If it did get close, all you needed to do was throw a stick of firewood at it. They’re perfectly harmless.”

This wasn’t strictly true. When the Comte de Lautrec ran after one for a close shot, the sauropod looked back at him, gave a flick of its tail, and took off the Comte’s head as neatly as if he’d been axed in the tower. But, as a rule, they’re inoffensive enough.

“How was I to know?” yelled James, turning purple. “You’re all against me. What the hell are we on this miserable trip for, except to shoot things? Call yourselves hunters, but I’m the only one who hits anything!”

I got pretty wrothy and said he was just an excitable young skite with more money than brains, whom I should never have brought along.

“If that’s how you feel,” he said, “give me a burro and some food, and I’ll go back to the base myself. I won’t pollute your pure air with my presence!”

“Don’t be a bigger ass than you can help,” I said. “What you propose is quite impossible.”

“Then I’ll go alone!” He grabbed his knapsack, thrust a couple of tins of beans and an opener into it, and started off with his rifle.

Beauregard Black spoke up: “Mr. Rivers, we cain’t let him go off like that. He’ll git lost and starve, or be et by a theropod.”

“I’ll fetch him back,” said the Raja, and started after the runaway.

He caught up with James as the latter was disappearing into the cycads. We could see them arguing and waving their hands in the distance. After a while, they started back with arms around each other’s necks like old school pals.

This shows the trouble we get into if we make mistakes in planning such a do. Having once got back in time, we had to make the best of our bargain.

I don’t want to give the impression, however, that Courtney James was nothing but a pain in the rump. He had good points. He got over these rows quickly and next day would be as cheerful as ever. He was helpful with the general work of the camp, at least when he felt like it. He sang well and had an endless fund of dirty stories to keep us amused.

We stayed two more days at that camp. We saw crocodile, the small kind, and plenty of sauropod—as many as five at once—but no more duckbill. Nor any of those fifty-foot supercrocodiles.

So, on the first of May, we broke camp and headed north toward the Janpur Hills. My sahibs were beginning to harden up and were getting impatient. We’d been in the Cretaceous a week, and no trophies.

We saw nothing to speak of on the next leg, save a glimpse of a gorgosaur out of range and some tracks indicating a whopping big iguanodont, twenty-five or thirty feet high. We pitched camp at the base of the hills.

We’d finished off the bonehead, so the first thing was to shoot fresh meat. With an eye to trophies, too, of course. We got ready the morning of the third, and I told James:

“See here, old boy, no more of your tricks. The Raja will tell you when to shoot.”

“Uh-huh, I get you,” he said, meek as Moses.

We marched off, the four of us, into the foothills. There was a good chance of getting Holtzinger his ceratopsian. We’d seen a couple on the way up, but mere calves without decent horns.

As it was hot and sticky, we were soon panting and sweating. We’d hiked and scrambled all morning without seeing a thing except lizards, when I picked up the smell of carrion. I stopped the party and sniffed. We were in an open glade cut up by those little dry nullahs. The nullahs ran together into a couple of deeper gorges that cut through a slight depression choked with denser growth, cycad, and screw pine. When I listened, I heard the thrum of carrion flies.

“This way,” I said. “Something ought to be dead—ah, here it is!”

And there it was: the remains of a huge ceratopsian lying in a little hollow on the edge of the copse. Must have weighed six or eight ton alive; a three-horned variety, perhaps the penultimate species of Triceratops. It was hard to tell, because most of the hide on the upper surface had been ripped off, and many bones had been pulled loose and lay scattered about.

Holtzinger said: “Oh, shucks! Why couldn’t I have gotten to him before he died? That would have been a darned fine head.”

I said: “On your toes, chaps. A theropod’s been at this carcass and is probably nearby.”

“How d’you know?” said James, with sweat running off his round red face. He spoke in what was for him a low voice, because a nearby theropod is a sobering thought to the flightiest.

I sniffed again and thought I could detect the distinctive rank odor of theropod. I couldn’t be sure, though, because the carcass stank so strongly. My sahibs were turning green at the sight and smell of the cadaver. I told James:

“It’s seldom that even the biggest theropod will attack a full-grown ceratopsian. Those horns are too much for them. But they love a dead or dying one. They’ll hang round a dead ceratopsian for weeks, gorging and then sleeping off their meals for days at a time. They usually take cover in the heat of the day anyhow, because they can’t stand much direct hot sunlight. You’ll find them lying in copses like this or in hollows, wherever there’s shade.”

“What’ll we do?” asked Holtzinger.

“We’ll make our first cast through this copse, in two pairs as usual. Whatever you do, don’t get impulsive or panicky.”

I looked at Courtney James, but he looked right back and merely checked his gun.

“Should I still carry this broken?” he asked.

“No, close it, but keep the safety on till you’re ready to shoot,” I said. “We’ll keep closer than usual, so we shall be in sight of each other. Start off at that angle, Raja; go slowly, and stop to listen between steps.”

We pushed through the edge of the copse, leaving the carcass but not its stench behind us. For a few feet, you couldn’t see a thing.

It opened out as we got in under the trees, which shaded out some of the brush. The sun slanted down through the trees. I could hear nothing but the hum of insects and the scuttle of lizards and the squawks of toothed birds in the treetops. I thought I could be sure of the theropod smell, but told myself that might be imagination. The theropod might be any of several species, large or small, and the beast itself might be anywhere within a half-mile’s radius.

“Go on,” I whispered to Holtzinger. I could hear James and the Raja pushing ahead on my right and see the palm fronds and ferns lashing about as they disturbed them. I suppose they were trying to move quietly, but to me they sounded like an earthquake in a crockery shop.

“A little closer!” I called.

Presently, they appeared slanting in toward me. We dropped into a gully filled with ferns and scrambled up the other side. Then we found our way blocked by a big clump of palmetto.

“You go round that side; we’ll go round this,” I said. We started off, stopping to listen and smell. Our positions were the same as on that first day, when James killed the bonehead.

We’d gone two-thirds of the way round our half of the palmetto when I heard a noise ahead on our left. Holtzinger heard it too, and pushed off his safety. I put my thumb on mine and stepped to one side to have a clear field of fire.

The clatter grew louder. I raised my gun to aim at about the height of a big theropod’s heart. There was a movement in the foliage—and a six-foot-high bonehead stepped into view, walking solemnly across our front and jerking its head with each step like a giant pigeon.

I heard Holtzinger let out a breath and had to keep myself from laughing. Holtzinger said: “Uh—”

Then that damned gun of James’s went off, bang! bang! I had a glimpse of the bonehead knocked arsy-varsy with its tail and hindlegs flying.

“Got him!” yelled James. “I drilled him clean!” I heard him run forward.

“Good God, if he hasn’t done it again!” I said.

Then there was a great swishing of foliage and a wild yell from James. Something heaved up out of the shrubbery, and I saw the head of the biggest of the local flesh eaters, Tyrannosaurus trionyches himself.

The scientists can insist that rex is the bigger species, but I’ll swear this blighter was bigger than any rex ever hatched. It must have stood twenty feet high and been fifty feet long. I could see its big bright eye and six-inch teeth and the big dewlap that hangs down from its chin to its chest.

The second of the nullahs that cut through the copse ran athwart our path on the far side of the palmetto clump. Perhaps it was six feet deep. The tyrannosaur had been lying in this, sleeping off its last meal. Where its back stuck up above the ground level, the ferns on the edge of the nullah had masked it. James had fired both barrels over the theropod’s head and woke it up. Then the silly ass ran forward without reloading. Another twenty feet and he’d have stepped on the tyrannosaur.

James, naturally, stopped when this thing popped up in front of him. He remembered that he’d fired both barrels and that he’d left the Raja too far behind for a clear shot.

At first, James kept his nerve. He broke open his gun, took two rounds from his belt, and plugged them into the barrels. But, in his haste to snap the gun shut, he caught his hand between the barrels and the action. The painful pinch so startled James that he dropped his gun. Then he went to pieces and bolted.

The Raja was running up with his gun at high port, ready to snap it to his shoulder the instant he got a clear view. When he saw James running headlong toward him, he hesitated, not wishing to shoot James by accident. The latter plunged ahead, blundered into the Raja, and sent them both sprawling among the ferns. The tyrannosaur collected what little wits it had and stepped forward to snap them up.

And how about Holtzinger and me on the other side of the palmettos? Well, the instant James yelled and the tyrannosaur’s head appeared, Holtzinger darted forward like a rabbit. I’d brought my gun up for a shot at the tyrannosaur’s head, in hope of getting at least an eye; but, before I could find it in my sights, the head was out of sight behind the palmettos. Perhaps I should have fired at hazard, but all my experience is against wild shots.

When I looked back in front of me, Holtzinger had already disappeared round the curve of the palmetto clump. I’d started after him when I heard his rifle and the click of the bolt between shots: bang—click-click—bang—click-click, like that.

He’d come up on the tyrannosaur’s quarter as the brute started to stoop for James and the Raja. With his muzzle twenty feet from the tyrannosaur’s hide, Holtzinger began pumping .375s into the beast’s body. He got off three shots when the tyrannosaur gave a tremendous booming grunt and wheeled round to see what was stinging it. The jaws came open, and the head swung round and down again.

Holtzinger got off one more shot and tried to leap to one side. As he was standing on a narrow place between the palmetto clump and the nullah, he fell into the nullah. The tyrannosaur continued its lunge and caught him. The jaws went chomp, and up came the head with poor Holtzinger in them, screaming like a damned soul.

I came up just then and aimed at the brute’s face, but then realized that its jaws were full of my sahib and I should be shooting him, too. As the head went on up like the business end of a big power shovel, I fired a shot at the heart. The tyrannosaur was already turning away, and I suspect the ball just glanced along the ribs. The beast took a couple of steps when I gave it the other barrel in the jack. It staggered on its next step but kept on. Another step, and it was nearly out of sight among the trees, when the Raja fired twice. The stout fellow had untangled himself from James, got up, picked up his gun, and let the tyrannosaur have it.

The double wallop knocked the brute over with a tremendous crash. It fell into a dwarf magnolia, and I saw one of its huge birdlike hindlegs waving in the midst of a shower of pink-and-white petals. But the tyrannosaur got up again and blundered off without even dropping its victim. The last I saw of it was Holtzinger’s legs dangling out one side of its jaws (he’d stopped screaming) and its big tail banging against the tree trunks as it swung from side to side.

The Raja and I reloaded and ran after the brute for all we were worth. I tripped and fell once, but jumped up again and didn’t notice my skinned elbow till later. When we burst out of the copse, the tyrannosaur was already at the far end of the glade. We each took a quick shot but probably missed, and it was out of sight before we could fire again.

We ran on, following the tracks and spatters of blood, until we had to stop from exhaustion. Never again did we see that tyrannosaur. Their movements look slow and ponderous, but with those tremendous legs they don’t have to step very fast to work up considerable speed.

When we’d got our breath, we got up and tried to track the tyrannosaur, on the theory that it might be dying and we should come up to it. But, though we found more spoor, it faded out and left us at a loss. We circled round, hoping to pick it up, but no luck.

Hours later, we gave up and went back to the glade.

Courtney James was sitting with his back against a tree, holding his rifle and Holtzinger’s. His right hand was swollen and blue where he’d pinched it, but still usable. His first words were:

“Where the hell have you two been?”

I said: “We’ve been occupied. The late Mr. Holtzinger. Remember?”

“You shouldn’t have gone off and left me; another of those things might have come along. Isn’t it bad enough to lose one hunter through your stupidity without risking another one?”

I’d been preparing a warm wigging for James, but his attack so astonished me that I could only bleat; “What? We lost . . . ?”

“Sure,” he said. “You put us in front of you, so if anybody gets eaten it’s us. You send a guy up against these animals undergunned. You—”

“You Goddamn’ stinking little swine!” I said. “If you hadn’t been a blithering idiot and blown those two barrels, and then run like the yellow coward you are, this never would have happened. Holtzinger died trying to save your worthless life. By God, I wish he’d failed! He was worth six of a stupid, spoiled, muttonheaded bastard like you—”

I went on from there. The Raja tried to keep up with me, but ran out of English and was reduced to cursing James in Hindustani.

I could see by the purple color on James’s face that I was getting home. He said “Why, you—” and stepped forward and sloshed me one in the face with his left fist.

It rocked me a bit, but I said: “Now then, my lad, I’m glad you did that! It gives me a chance I’ve been waiting for . . .”

So I waded into him. He was a good-sized boy, but between my sixteen stone and his sore right hand he had no chance. I got a few good ones home, and down he went.

“Now get up!” I said. “And I’ll be glad to finish off!”

James raised himself to his elbows. I got set for more fisticuffs, though my knuckles were skinned and bleeding already. James rolled over, snatched his gun, and scrambled up, swinging the muzzle from one to the other of us.

“You won’t finish anybody off!” he panted through swollen lips. “All right, put your hands up! Both of you!”

“Do not be an idiot,” said the Raja. “Put that gun away!”

“Nobody treats me like that and gets away with it!”

“There’s no use murdering us,” I said. “You’d never get away with it.”

“Why not? There won’t be much left of you after one of these hits you. I’ll just say the tyrannosaur ate you, too. Nobody could prove anything. They can’t hold you for a murder eighty-five million years old. The statute of limitations, you know.”

“You fool, you’d never make it back to the camp alive!” I shouted.

“I’ll take a chance—” began James, setting the butt of his .500 against his shoulder, with the barrels pointed at my face. Looked like a pair of bleeding vehicular tunnels.

He was watching me so closely that he lost track of the Raja for a second. My partner had been resting on one knee, and now his right arm came up in a quick bowling motion with a three-pound rock. The rock bounced of James’s head. The .500 went off. The ball must have parted my hair, and the explosion jolly well near broke my eardrums. Down went James again.

“Good work, old chap!” I said, gathering up James’s gun.

“Yes,” said the Raja thoughtfully, as he picked up the rock he’d thrown and tossed it. “Doesn’t quite have the balance of a cricket ball, but it is just as hard.”

“What shall we do now?” I said. “I’m inclined to leave the beggar here unarmed and let him fend for himself.”

The Raja gave a little sigh. “It’s a tempting thought, Reggie, but we really cannot, you know. Not done.”

“I suppose you’re right,” I said. “Well, let’s tie him up and take him back to camp.”

We agreed there was no safety for us unless we kept James under guard every minute until we got home. Once a man has tried to kill you, you’re a fool if you give him another chance.

We marched James back to camp and told the crew what we were up against. James cursed everybody.

We spent three dismal days combing the country for that tyrannosaur, but no luck. We felt it wouldn’t have been cricket not to make a good try at recovering Holtzinger’s remains. Back at our main camp, when it wasn’t raining, we collected small reptiles and things for our scientific friends. The Raja and I discussed the question of legal proceedings against Courtney James, but decided there was nothing we could do in that direction.

When the transition chamber materialized, we fell over one another getting into it. We dumped James, still tied, in a corner, and told the chamber operator to throw the switches.

While we were in transition, James said: “You two should have killed me back there.”

“Why?” I said. “You don’t have a particularly good head.”

The Raja added: “Wouldn’t look at all well over a mantel.”

“You can laugh,” said James, “but I’ll get you some day. I’ll find a way and get off scot-free.”

“My dear chap!” I said. “If there were some way to do it, I’d have you charged with Holtzinger’s death. Look, you’d best leave well enough alone.”

When we came out in the present, we handed him his empty gun and his other gear, and off he went without a word. As he left, Holtzinger’s girl, that Claire, rushed up crying:

“Where is he? Where’s August?”

There was a bloody heartrending scene, despite the Raja’s skill at handling such situations.

We took our men and beasts down to the old laboratory building that the university has fitted up as a serai for such expeditions. We paid everybody off and found we were broke. The advance payments from Holtzinger and James didn’t cover our expenses, and we should have precious little chance of collecting the rest of our fees either from James or from Holtzinger’s estate.

And speaking of James, d’you know what that blighter was doing? He went home, got more ammunition, and came back to the university. He hunted up Professor Prochaska and asked him:

“Professor, I’d like you to send me back to the Cretaceous for a quick trip. If you can work me into your schedule right now, you can just about name your own price. I’ll offer five thousand to begin with. I want to go to April twenty-third, eight-five million B.C.”

Prochaska answered: “Why do you wish to go back again so soon?”

“I lost my wallet in the Cretaceous,” said James. “I figure if I go back to the day before I arrived in that era on my last trip, I’ll watch myself when I arrived on that trip and follow myself around till I see myself lose the wallet.”

“Five thousand is a lot for a wallet,” said the professor.

“It’s got some things in it I can’t replace,” said James.

“Well,” said Prochaska, thinking. “The party that was supposed to go out this morning has telephoned that they would be late, so perhaps I can work you in. I have always wondered what would happen when the same man occupied the same stretch of time twice.”

So James wrote out a check, and Prochaska took him to the chamber and saw him off. James’s idea, it seems, was to sit behind a bush a few yards from where the transition chamber would appear and pot the Raja and me as we emerged.

Hours later, we’d changed into our street clothes and phoned our wives to come and get us. We were standing on Forsythe Boulevard waiting for them when there was a loud crack, like an explosion, and a flash of light not fifty feet from us. The shock wave staggered us and broke windows.

We ran toward the place and got there just as a bobby and several citizens came up. On the boulevard, just off the kerb, lay a human body. At least, it had been that, but it looked as if every bone in it had been pulverized and every blood vessel burst, so it was hardly more than a slimy mass of pink protoplasm. The clothes it had been wearing were shredded, but I recognized an H. & H. .500 double-barreled express rifle. The wood was scorched and the metal pitted, but it was Courtney James’s gun. No doubt whatever.

Skipping the investigation and the milling about that ensued, what had happened was this: nobody had shot at us as we emerged on the twenty-fourth, and that couldn’t be changed. For that matter, the instant James started to do anything that would make a visible change in the world of eight-five million B.C., such as making a footprint in the earth, the space-time forces snapped him forward to the present to prevent a paradox. And the violence of the passage practically tore him to bits.

Now that this is better understood, the professor won’t send anybody to a period less than five thousand years prior to the time that some time traveler has already explored, because it would be too easy to do some act, like chopping down a tree or losing some durable artifact, that would affect the later world. Over longer periods, he tells me, such changes average out and are lost in the stream of time.

We had a rough time after that, with the bad publicity and all, though we did collect a fee from James’s estate. Luckily for us, a steel manufacturer turned up who wanted a mastodon’s head for his den.

I understand these things better now, too. The disaster hadn’t been wholly James’s fault. I shouldn’t have taken him when I knew what a spoiled, unstable sort of bloke he was. And if Holtzinger could have used a really heavy gun, he’d probably have knocked the tyrannosaur down, even if he didn’t kill it, and so have given the rest of us a chance to finish it.

* * *

So, Mr. Seligman, that’s why I won’t take you to that period to hunt. There are plenty of other eras, and if you look them over I’m sure you’ll find something to suit you. But not the Jurassic or the Cretaceous. You’re just not big enough to handle a gun for dinosaur.

 

 

 

Afterword by Eric Flint:




I was glad we decided that Dave would write the preface to this story, because it meant I could write an afterword where I didn't have to worry about being undignified and putting the reader off. By now, the reader will have finished the story so it doesn't much matter what I say.

I first read this story when I was somewhere around thirteen or fourteen years old and I loved it for the good and simple reason that it was just so cool. There I was, a kid in the mountains—which means hunting country—and my father had recently taught me how to shoot his trusty .30-06. Just to make things perfect, my father had been a big game hunter in his time and I'd heard plenty of his stories about hunting moose and mountain goats and—especially!—grizzly bears. (That was in the fifties, folks. In those days, "endangered species" meant . . . not much of anything.)

Hunting dinosaurs! Oh, how cool! 

And, of course, the story had that other essential ingredient for coolness: a hero you really liked, a villain worth hissing, and the villain getting his Just Deserts in the end.

What's not to like? That was how I felt about it then. Now, some forty years later . . . 

It's still how I feel about it. Some things are timeless.

 

 

 

 

 

St. Dragon and the George by Gordon R. Dickson

St. Dragon and the George

by Gordon R. Dickson

Preface by David Drake




Shortly after my parents gave me a subscription to The Magazine of Fantasy and Science Fiction in October 1959, the magazine offered back issues at the rate of fifteen for three dollars or twenty-five for five dollars. I sent three dollars; among the delights I found when the magazines arrived was "St. Dragon and the George." (There were many delights. I immediately scraped up another five dollars and sent it off. Thirteen of the twenty-five additional magazines were duplicates, but I didn't complain.)

Gordy Dickson at his peak was one of the best writers in the field. For my money (literally, in this case), "St. Dragon and the George" is the best thing he ever wrote. It's both funny and witty, but if those were its only virtues, I wouldn't have picked it for this anthology. The humor and wit overlie a series of very profound ideas:

There is evil;

It is the duty of human beings to stand firm against evil, even if evil most likely will destroy them;

And human beings come in all shapes and sizes.

If more people took those ideas to heart, the world would be a better place. Because I read "St. Dragon and the George," the world is at least slightly better than it might be if I hadn't.

 

 

I

 

A trifle diffidently, Jim Eckert rapped with his claw on the blue-painted door.

Silence.

He knocked again. There was the sound of a hasty step inside the small, oddly peak-roofed house and the door was snatched open. A thin-faced old man with a tall pointed cap and a long, rather dingy-looking white beard peered out, irritably.

“Sorry, not my day for dragons!” he snapped. “Come back next Tuesday.” He slammed the door.

It was too much. It was the final straw. Jim Eckert sat down on his haunches with a dazed thump. The little forest clearing with its impossible little pool tinkling away like Chinese glass wind chimes in the background, its well-kept greensward with the white gravel path leading to the door before him, and the riotous flower beds of asters, tulips, zinnias, roses and lilies-of-the-valley all equally impossibly in bloom at the same time about the white finger-post labeled s. carolinus and pointing at the house—it all whirled about him. It was more than flesh and blood could bear. At any minute now he would go completely insane and imagine he was a peanut or a cocker spaniel. Grottwold Hanson had wrecked them all. Dr. Howells would have to get another teaching assistant for his English Department. Angie . . .

Angie! 

Jim pounded on the door again. It was snatched open.

“Dragon!” cried S. Carolinus, furiously. “How would you like to be a beetle?”

“But I’m not a dragon,” said Jim, desperately.

The magician stared at him for a long minute, then threw up his beard with both hands in a gesture of despair, caught some of it in his teeth as it fell down and began to chew on it fiercely.

“Now where,” he demanded, “did a dragon acquire the brains to develop the imagination to entertain the illusion that he is not a dragon? Answer me, O Ye Powers!”

“The information is psychically, though not physiologically correct,” replied a deep bass voice out of thin air beside them and some five feet off the ground. Jim, who had taken the question to be rhetorical, started convulsively.

“Is that so?” S. Carolinus peered at Jim with new interest. “Hmm.” He spat out a hair or two. “Come in, Anomaly—or whatever you call yourself.”

Jim squeezed in through the door and found himself in a large single room. It was a clutter of mismatched furniture and odd bits of alchemical equipment.

“Hmm,” said S. Carolinus, closing the door and walking once around Jim, thoughtfully. “If you aren’t a dragon, what are you?”

“Well, my real name’s Jim Eckert,” said Jim. “But I seem to be in the body of a dragon named Gorbash.”

“And this disturbs you. So you’ve come to me. How nice,” said the magician, bitterly. He winced, massaged his stomach and closed his eyes. “Do you know anything that’s good for a perpetual stomach-ache? Of course not. Go on.”

“Well, I want to get back to my real body. And take Angie with me. She’s my fiancée and I can send her back but I can’t send myself back at the same time. You see this Grottwold Hanson—well, maybe I better start from the beginning.”

“Brilliant suggestion, Gorbash,” said Carolinus. “Or whatever your name is,” he added.

“Well,” said Jim. Carolinus winced. Jim hurried on. “I teach at a place called Riveroak College in the United States—you’ve never heard of it—”

“Go on, go on,” said Carolinus.

“That is, I’m a teaching assistant. Dr. Howells, who heads the English Department, promised me an instructorship over a year ago. But he’s never come through with it; and Angie—Angie Gilman, my fiancée—”

“You mentioned her.”

“Yes—well, we were having a little fight. That is, we were arguing about my going to ask Howells whether he was going to give me the instructor’s rating for next year or not. I didn’t think I should; and she didn’t think we could get married—well, anyway, in came Grottwold Hanson.”

“In where came who?

“Into the Campus Bar and Grille. We were having a drink there. Hanson used to go with Angie. He’s a graduate student in psychology. A long, thin geek that’s just as crazy as he looks. He’s always getting wound up in some new odd-ball organization or other—”

“Dictionary!” interrupted Carolinus, suddenly. He opened his eyes as an enormous volume appeared suddenly poised in the air before him. He massaged his stomach. “Ouch,” he said. The pages of the volume began to flip rapidly back and forth before his eyes. “Don’t mind me,” he said to Jim. “Go on.”

“—This time it was the Bridey Murphy craze. Hypnotism. Well—”

“Not so fast,” said Carolinus. “Bridey Murphy . . . Hypnotism . . . yes . . .”

“Oh, he talked about the ego wandering, planes of reality, on and on like that. He offered to hypnotize one of us and show us how it worked. Angie was mad at me, so she said yes. I went off to the bar. I was mad. When I turned around, Angie was gone. Disappeared.”

“Vanished?” said Carolinus.

“Vanished. I blew my top at Hanson. She must have wandered, he said, not merely the ego, but all of her. Bring her back, I said. I can’t, he said. It seemed she wanted to go back to the time of St. George and the Dragon. When men were men and would speak up to their bosses about promotions. Hanson’d have to send someone else back to rehypnotize her and send her back home. Like an idiot I said I’d go. Ha! I might’ve known he’d goof. He couldn’t do anything right if he was paid for it. I landed in the body of this dragon.”

“And the maiden?”

“Oh, she landed here, too. Centuries off the mark. A place where there actually were such things as dragons—fantastic.”

“Why?” said Carolinus.

“Well, I mean—anyway,” said Jim, hurriedly. “The point is, they’d already got her—the dragons, I mean. A big brute named Anark had found her wandering around and put her in a cage. They were having a meeting in a cave about deciding what to do with her. Anark wanted to stake her out for a decoy, so they could capture a lot of the local people—only the dragons called people georges—

“They’re quite stupid, you know,” said Carolinus, severely, looking up from the dictionary. “There’s only room for one name in their head at a time. After the Saint made such an impression on them his name stuck.”

“Anyway, they were all yelling at once. They’ve got tremendous voices.”

“Yes, you have,” said Carolinus, pointedly.

“Oh, sorry,” said Jim. He lowered his voice. “I tried to argue that we ought to hold Angie for ransom—” He broke off suddenly. “Say,” he said. “I never thought of that. Was I talking dragon, then? What am I talking now? Dragons don’t talk English, do they?”

“Why not?” demanded Carolinus, grumpily. “If they’re British dragons?”

“But I’m not a dragon—I mean—”

“But you are here!” snapped Carolinus. “You and this maiden of yours. Since all the rest of you was translated here, don’t you suppose your ability to speak understandably was translated, too? Continue.”

“There’s not much more,” said Jim gloomily. “I was losing the argument and then this very big, old dragon spoke up on my side. Hold Angie for ransom, he said. And they listened to him. It seems he swings a lot of weight among them. He’s a great-uncle of me—of this Gorbash who’s body I’m in—and I’m his only surviving relative. They penned Angie up in a cave and he sent me off to the Tinkling Water here, to find you and have you open negotiations for ransom. Actually, on the side he told me to tell you to make the terms easy on the georges—I mean humans; he wants the dragons to work toward good relations with them. He’s afraid the dragons are in danger of being wiped out. I had a chance to double back and talk to Angie alone. We thought you might be able to send us both back.”

He stopped rather out of breath, and looked hopefully at Carolinus. The magician was chewing thoughtfully on his beard.

“Smrgol,” he muttered. “Now there’s an exception to the rule. Very bright for a dragon. Also experienced. Hmm.”

“Can you help us?” demanded Jim. “Look, I can show you—”

Carolinus sighed, closed his eyes, winced and opened them again.

“Let me see if I’ve got it straight,” he said. “You had a dispute with this maiden to whom you’re betrothed. To spite you, she turned to this third-rate practitioner, who mistakenly exorcized her from the United States (whenever in the cosmos that is) to here, further compounding his error by sending you back in spirit only to inhabit the body of Gorbash. The maiden is in the hands of the dragons and you have been sent to me by your great-uncle Smrgol.”

“That’s sort of it,” said Jim dubiously, “only—”

“You wouldn’t,” said Carolinus, “care to change your story to something simpler and more reasonable—like being a prince changed into a dragon by some wicked fairy stepmother? Oh, my poor stomach! No?” He sighed. “All right, that’ll be five hundred pounds of gold, or five pounds of rubies, in advance.”

“B-but—” Jim goggled at him. “But I don’t have any gold—or rubies.”

“What? What kind of a dragon are you?” cried Carolinus, glaring at him. “Where’s your hoard?”

“I suppose this Gorbash has one,” stammered Jim, unhappily. “But I don’t know anything about it.”

“Another charity patient,” muttered Carolinus, furiously. He shook his fist at empty space. “What’s wrong with the auditing department? Well?”

“Sorry,” said the invisible bass voice.

“That’s the third in two weeks. See it doesn’t happen again for another ten days.” He turned to Jim. “No means of payment?”

“No. Wait—” said Jim. “This stomach-ache of yours. It might be an ulcer. Does it go away between meals?”

“As a matter of fact, it does. Ulcer?”

“High-strung people working under nervous tension get them back where I come from.”

“People?” inquired Carolinus suspiciously. “Or dragons?”

“There aren’t any dragons where I come from.”

“All right, all right, I believe you,” said Carolinus, testily. “You don’t have to stretch the truth like that. How do you exorcise them?”

“Milk,” said Jim. “A glass every hour for a month or two.”

“Milk,” said Carolinus. He held out his hand to the open air and received a small tankard of it. He drank it off, making a face. After a moment, the face relaxed into a smile.

“By the Powers!” he said. “By the Powers!” He turned to Jim, beaming. “Congratulations, Gorbash, I’m beginning to believe you about that college business after all. The bovine nature of the milk quite smothers the ulcer-demon. Consider me paid.”

“Oh, fine. I’ll go get Angie and you can hypnotize—”

“What?” cried Carolinus. “Teach your grandmother to suck eggs. Hypnotize! Ha! And what about the First Law of Magic, eh?”

“The what?” said Jim.

“The First Law—the First Law—didn’t they teach you anything in that college? Forgotten it already, I see. Oh, this younger generation! The First Law: for every use of the Art and Science, there is required a corresponding price. Why do I live by my fees instead of by conjurations? Why does a magic potion have a bad taste? Why did this Hanson-amateur of yours get you all into so much trouble?”

“I don’t know,” said Jim. “Why?”

“No credit! No credit!” barked Carolinus, flinging his skinny arms wide. “Why, I wouldn’t have tried what he did without ten years credit with the auditing department, and I am a Master of the Arts. As it was, he couldn’t get anything more than your spirit back, after sending the maiden complete. And the fabric of Chance and History is all warped and ready to spring back and cause all kinds of trouble. We’ll have to give a little, take a little—”

“GORBASH!” A loud thud outside competed with the dragon-bellow.

“And here we go,” said Carolinus dourly. “It’s already starting.” He led the way outside. Sitting on the greensward just beyond the flower beds was an enormous old dragon Jim recognized as the great-uncle of the body he was in—Smrgol.

“Greetings, Mage!” boomed the old dragon, dropping his head to the ground in salute. “You may not remember me. Name’s Smrgol—you remember the business about that ogre I fought at Gormely Keep? I see my grandnephew got to you all right.”

“Ah, Smrgol—I remember,” said Carolinus. “That was a good job you did.”

“He had a habit of dropping his club head after a swing,” said Smrgol. “I noticed it along about the fourth hour of battle and the next time he tried it, went in over his guard. Tore up the biceps of his right arm. Then—”

“I remember,” Carolinus said. “So this is your nephew.”

“Grandnephew,” corrected Smrgol. “Little thick-headed and all that,” he added apologetically, “but my own flesh and blood, you know.”

“You may notice some slight improvement in him,” said Carolinus, dryly.

“I hope so,” said Smrgol, brightening. “Any change, a change for the better, you know. But I’ve bad news, Mage. You know that inchworm of an Anark?”

“The one that found the maiden in the first place?”

“That’s right. Well, he’s stolen her again and run off.”

What?” cried Jim.

He had forgotten the capabilities of a dragon’s voice. Carolinus tottered, the flowers and grass lay flat, and even Smrgol winced.

“My boy,” said the old dragon reproachfully. “How many times must I tell you not to shout. I said, Anark stole the george.”

“He means Angie!” cried Jim desperately to Carolinus.

“I know,” said Carolinus, with his hands over his ears.

“You’re sneezing again,” said Smrgol, proudly. He turned to Carolinus. “You wouldn’t believe it. A dragon hasn’t sneezed in a hundred and ninety years. This boy did it the first moment he set eyes on the george. The others couldn’t believe it. Sign of brains, I said. Busy brains make the nose itch. Our side of the family—”

“Angie!”

“See there? All right now, boy, you’ve shown us you can do it. Let’s get down to business. How much to locate Anark and the george, Mage?”

They dickered like rug-pedlars for several minutes, finally settling on a price of four pounds of gold, one of silver, and a flawed emerald. Carolinus got a small vial of water from the Tinkling Spring and searched among the grass until he found a small sandy open spot. He bent over it and the two dragons sat down to watch.

“Quiet now,” he warned. “I’m going to try a watch-beetle. Don’t alarm it.”

Jim held his breath. Carolinus tilted the vial in his hand and the crystal water fell in three drops—Tink! Tink! And again—Tink! The sand darkened with the moisture and began to work as if something was digging from below. A hole widened, black insect legs busily in action flickered, and an odd-looking beetle popped itself halfway out of the hole. Its forelimbs waved in the air and a little squeaky voice, like a cracked phonograph record repeating itself far away over a bad telephone connection, came to Jim’s ears.

“Gone to the Loathly Tower! Gone to the Loathly Tower! Gone to the Loathly Tower!”

It popped back out of sight. Carolinus straightened up and Jim breathed again.

“The Loathly Tower!” said Smrgol. “Isn’t that that ruined tower to the west, in the fens, Mage? Why, that’s the place that loosed the blight on the mere-dragons five hundred years ago.”

“It’s a place of old magic,” said Carolinus, grimly. “These places are like ancient sores on the land, scabbed over for a while but always breaking out with new evil when—the twisting of the Fabric by these two must have done it. The evilness there has drawn the evil in Anark to it—lesser to greater, according to the laws of nature. I’ll meet you two there. Now, I must go set other forces in motion.”

He began to twirl about. His speed increased rapidly until he was nothing but a blur. Then suddenly, he faded away like smoke; and was gone, leaving Jim staring at the spot where he had been.

A poke in the side brought Jim back to the ordinary world.

“Wake up, boy. Don’t dally!” the voice of Smrgol bellowed in his ear. “We got flying to do. Come on!”

 

II

 

The old dragon’s spirit was considerably younger than this body. It turned out to be a four hour flight to the fens on the west seacoast. For the first hour or so Smrgol flew along energetically enough, meanwhile tracing out the genealogy of the mere-dragons and their relationship to himself and Gorbash; but gradually his steady flow of chatter dwindled and became intermittent. He tried to joke about his long-gone battle with the Ogre of Gormely Keep, but even this was too much and he fell silent with labored breath and straining wings. After a short but stubborn argument, Jim got him to admit that he would perhaps be better off taking a short breather and then coming on a little later. Smrgol let out a deep gasping sigh and dropped away from Jim in weary spirals. Jim saw him glide to an exhausted landing amongst the purple gorse of the moors below and lie there, sprawled out.

Jim continued on alone. A couple of hours later the moors dropped down a long land-slope to the green country of the fenland. Jim soared out over its spongy, grass-thick earth, broken into causeways and islands by the blue water, which in shallow bays and inlets was itself thick-choked with reeds and tall marsh grass. Flocks of water fowl rose here and there like eddying smoke from the glassy surface of one mere and drifted over to settle on another a few hundred yards away. Their cries came faintly to his dragon-sensitive ears and a line of heavy clouds was piling up against the sunset in the west.

He looked for some sign of the Loathly Tower, but the fenland stretched away to a faint blue line that was probably the sea, without showing sign of anything not built by nature. Jim was beginning to wonder uneasily if he had not gotten himself lost when his eye was suddenly caught by the sight of a dragon-shape nosing at something on one of the little islands amongst the meres.

Anark! he thought. And Angie!

He did not wait to see more. He nosed over and went into a dive like a jet fighter, sights locked on Target Dragon.

It was a good move. Unfortunately Gorbash-Jim, having about the weight and wingspread of a small flivver airplane, made a comparable amount of noise when he was in a dive, assuming the plane’s motor to be shut off. Moreover, the dragon on the ground had evidently had experience with the meaning of such a sound; for, without even looking, he went tumbling head over tail out of the way just as Jim slammed into the spot where, a second before, he had been.

The other dragon rolled over onto his feet, sat up, took one look at Jim, and began to wail.

“It’s not fair! It’s not fair!” he cried in a (for a dragon) remarkably high-pitched voice. “Just because you’re bigger than I am. And I’m all horned up. It’s the first good one I’ve been able to kill in months and you don’t need it, not at all. You’re big and fat and I’m so weak and thin and hungry—”

Jim blinked and stared. What he had thought to be Angie, lying in the grass, now revealed itself to be an old and rather stringy-looking cow, badly bitten up and with a broken neck.

“It’s just my luck!” the other dragon was weeping. He was less than three-quarters Jim’s size and so emaciated he appeared on the verge of collapse. “Everytime I get something good, somebody takes it away. All I ever get to eat is fish—”

“Hold on,” said Jim.

“Fish, fish, fish. Cold, nasty fi—”

“Hold on, I say! SHUT UP!” bellowed Jim, in Gorbash’s best voice.

The other dragon stopped his wailing as suddenly as if his switch had been shut off.

“Yes, sir,” he said, timidly.

“What’s the matter? I’m not going to take this from you.”

The other dragon tittered uncertainly.

“I’m not,” said Jim. “It’s your cow. All yours.”

“He-he-he!” said the other dragon. “You certainly are a card, your honor.”

“Blast it, I’m serious!” cried Jim. “What’s your name, anyway?”

“Oh, well—” the other squirmed. “Oh well, you know—”

“What’s your name?”

“Secoh, your worship!” yelped the dragon, frightenedly. “Just Secoh. Nobody important. Just a little, unimportant mere-dragon, your highness, that’s all I am. Really!”

“All right, Secoh, dig in. All I want is some directions.”

“Well—if your worship really doesn’t . . .” Secoh had been sidling forward in fawning fashion. “If you’ll excuse my table manners, sir. I’m just a mere-dragon—” and he tore into the meat before him in sudden, terrified, starving fashion.

Jim watched. Unexpectedly, his long tongue flickered out to lick his chops. His belly rumbled. He was astounded at himself. Raw meat? Off a dead animal—flesh, bones, hide and all? He took a firm grip on his appetites.

“Er, Secoh,” he said. “I’m a stranger around these parts. I suppose you know the territory . . . Say, how does that cow taste, anyway?”

“Oh, terrubble—mumpf—” replied Secoh, with his mouth full. “Stringy—old. Good enough for a mere-dragon like myself, but not—”

“Well, about these directions—”

“Yes, your highness?”

“I think . . . you know it’s your cow . . .”

“That’s what your honor said,” replied Secoh, cautiously.

“But I just wonder . . . you know I’ve never tasted a cow like that.”

Secoh muttered something despairingly under his breath.

“What?” said Jim.

“I said,” said Secoh, resignedly, “wouldn’t your worship like to t-taste it—”

“Not if you’re going to cry about it,” said Jim.

“I bit my tongue.”

“Well, in that case . . .” Jim walked up and sank his teeth in the shoulder of the carcass. Rich juices trickled enticingly over his tongue . . .

Some little time later he and Secoh sat back polishing bones with the rough uppers of their tongues which were as abrasive as steel files.

“Did you get enough to eat, Secoh?” asked Jim.

“More than enough, sir,” replied the mere-dragon, staring at the white skeleton with a wild and famished eye. “Although, if your exaltedness doesn’t mind, I’ve a weakness for marrow . . .” He picked up a thighbone and began to crunch it like a stick of candy.

“Now,” said Jim. “About this Loathly Tower. Where is it?”

“The wh-what?” stammered Secoh, dropping the thighbone.

“The Loathly Tower. It’s in the fens. You know of it, don’t you?”

“Oh, sir! Yes, sir. But you wouldn’t want to go there, sir! Not that I’m presuming to give your lordship advice—” cried Secoh, in a suddenly high and terrified voice.

“No, no,” soothed Jim. “What are you so upset about?”

“Well—of course I’m only a timid little mere-dragon. But it’s a terrible place, the Loathly Tower, your worship, sir.”

“How? Terrible?”

“Well—well, it just is.” Secoh cast an unhappy look around him. “It’s what spoiled all of us, you know, five hundred years ago. We used to be like other dragons—oh, not so big and handsome as you are, sir. Then, after that, they say it was the Good got the upper hand and the Evil in the Tower was vanquished and the Tower itself ruined. But it didn’t help us mere-dragons any, and I wouldn’t go there if I was your worship, I really wouldn’t.”

“But what’s so bad? What sort of thing is it?”

“Well, I wouldn’t say there was any real thing there. Nothing your worship could put a claw on. It’s just strange things go to it and strange things come out of it; and lately . . .”

“Lately what?”

“Nothing—nothing, really, your excellency!” cried Secoh. “You illustriousness shouldn’t catch a worthless little mere-dragon up like that. I only meant, lately the Tower’s seemed more fearful than ever. That’s all.”

“Probably your imagination,” said Jim, shortly. “Anyway, where is it?”

“You have to go north about five miles.” While they had eaten and talked, the sunset had died. It was almost dark now; and Jim had to strain his eyes through the gloom to see the mere-dragon’s foreclaw, pointing away across the mere. “To the Great Causeway. It’s a wide lane of solid ground running east and west through the fens. You follow it west to the Tower. The Tower stands on a rock overlooking the sea-edge.”

“Five miles . . .” said Jim. He considered the soft grass on which he lay. His armored body seemed undisturbed by the temperature, whatever it was. “I might as well get some sleep. See you in the morning, Secoh.” He obeyed a sudden, bird-like instinct and tucked his ferocious head and long neck back under one wing.

“Whatever your excellency desires . . .” the mere-dragon’s muffled voice came distantly to his ear. “Your excellency has only to call and I’ll be immediately available . . .”

The words faded out on Jim’s ear, as he sank into sleep like a heavy stone into deep, dark waters.

* * *

When he opened his eyes, the sun was up. He sat up himself, yawned, and blinked.

Secoh was gone. So were the leftover bones.

“Blast!” said Jim. But the morning was too nice for annoyance. He smiled at his mental picture of Secoh carefully gathering the bones in fearful silence, and sneaking them away.

The smile did not last long. When he tried to take off in a northerly direction, as determined by reference to the rising sun, he found he had charley horses in both the huge wing-muscles that swelled out under the armor behind his shoulders. The result of course, of yesterday’s heavy exercise. Grumbling, he was forced to proceed on foot; and four hours later, very hot, muddy and wet, he pulled his weary body up onto the broad east-and-west-stretching strip of land which must, of necessity, be the Great Causeway. It ran straight as a Roman road through the meres, several feet higher than the rest of the fenland, and was solid enough to support good-sized trees. Jim collapsed in the shade of one with a heartfelt sigh.

He awoke to the sound of someone singing. He blinked and lifted his head. Whatever the earlier verses of the song had been, Jim had missed them; but the approaching baritone voice now caroled the words of the chorus merrily and clearly to his ear:


“A right good sword, a constant mind
A trusty spear and true!
The dragons of the mere shall find
What Nevile-Smythe can do!”

 

The tune and words were vaguely familiar. Jim sat up for a better look and a knight in full armor rode into view on a large white horse through the trees. Then everything happened at once. The knight saw him, the visor of his armor came down with a clang, his long spear seemed to jump into his mailed hand and the horse under him leaped into a gallop, heading for Jim. Gorbash’s reflexes took over. They hurled Jim straight up into the air, where his punished wing muscles cracked and faltered. He was just able to manage enough of a fluttering flop to throw himself into the upper branches of a small tree nearby.

The knight skidded his horse to a stop below and looked up through the spring-budded branches. He tilted his visor back to reveal a piercing pair of blue eyes, a rather hawk-like nose and a jutting generous chin, all assembled into a clean-shaven young man’s face. He looked eagerly up at Jim.

“Come down,” he said.

“No thanks,” said Jim, hanging firmly to the tree. There was a slight pause as they both digested the situation.

“Dashed caitiff mere-dragon!” said the knight finally, with annoyance.

“I’m not a mere-dragon,” said Jim.

“Oh, don’t talk rot!” said the knight.

“I’m not,” repeated Jim. He thought a minute. “I’ll bet you can’t guess who I really am.”

The knight did not seem interested in guessing who Jim really was. He stood up in his stirrups and probed through the branches with his spear. The point did not quite reach Jim.

“Damn!” Disappointedly, he lowered the spear and became thoughtful. “I can climb the dashed tree,” he muttered to himself. “But then what if he flies down and I have to fight him unhorsed, eh?”

“Look,” called Jim, peering down—the knight looked up eagerly—”if you’ll listen to what I’ve to say, first.”

The knight considered.

“Fair enough,” he said, finally. “No pleas for mercy, now!”

“No, no,” said Jim.

“Because I shan’t grant them, dammit! It’s not in my vows. Widows and orphans and honorable enemies on the field of battle. But not dragons.”

“No. I just want to convince you who I really am.”

“I don’t give a blasted farthing who you really are.”

“You will,” said Jim. “Because I’m not really a dragon at all. I’ve just been—uh—enchanted into a dragon.”

The man on the ground looked skeptical.

“Really,” said Jim, slipping a little in the tree. “You know S. Carolinus, the magician? I’m as human as you are.”

“Heard of him,” grunted the knight. “You’ll say he put you under?”

“No, he’s the one who’s going to change me back—as soon as I can find the lady I’m—er—betrothed to. A real dragon ran off with her. I’m after him. Look at me. Do I look like one of these scrawny mere-dragons?”

“Hmm,” said the knight. He rubbed his hooked nose thoughtfully.

“Carolinus found she’s at the Loathly Tower. I’m on my way there.”

The knight stared.

“The Loathly Tower?” he echoed.

“Exactly,” said Jim, firmly. “And now you know, your honor as knight and gentleman demands you don’t hamper my rescue efforts.”

The knight continued to think it over for a long moment or two. He was evidently not the sort to be rushed into things.

“How do I know you’re telling the truth?” he said at last.

“Hold your sword up. I’ll swear on the cross of its hilt.”

“But if you’re a dragon, what’s the good in that? Dragons don’t have souls, dammit!”

“No,” said Jim, “but a Christian gentleman has; and if I’m a Christian gentleman, I wouldn’t dare forswear myself like that, would I?”

The knight struggled visibly with this logic for several seconds. Finally, he gave up.

“Oh, well . . .” He held up his sword by the point and let Jim swear on it. Then he put the sword back in its sheath as Jim descended. “Well,” he said, still a little doubtfully, “I suppose, under the circumstances, we ought to introduce ourselves. You know my arms?”

Jim looked at the shield which the other swung around for his inspection. It showed a wide X of silver—like a cross lying over sideways—on a red background and above some sort of black animal in profile which seemed to be lying down between the X’s bottom legs.

“The gules, a saltire argent, of course,” went on the knight, “are the Nevile of Raby arms. My father, as a cadet of the house, differenced with a hart lodged sable—you see it there at the bottom. Naturally, as his heir, I carry the family arms.”

“Nevile-Smythe,” said Jim, remembering the name from the song.

“Sir Reginald, knight bachelor. And you, sir?”

“Why, uh . . .” Jim clutched frantically at what he knew of heraldry. “I bear—in my proper body, that is—”

“Quite.”

“A . . . gules, a typewriter argent, on a desk sable. Eckert, Sir James—uh—knight bachelor. Baron of—er—Riveroak.”

Nevile-Smythe was knitting his brows.

“Typewriter . . .” he was muttering, “typewriter . . .”

“A local beast, rather like a griffin,” said Jim, hastily. “We have a lot of them in Riveroak—that’s in America, a land over the sea to the west. You may not have heard of it.”

“Can’t say that I have. Was it there you were enchanted into this dragon-shape?”

“Well, yes and no. I was transported to this land by magic as was the—uh—lady Angela. When I woke here I was bedragoned.”

“Were you?” Sir Reginald’s blue eyes bulged a little in amazement. “Angela—fair name, that! Like to meet her. Perhaps after we get this muddle cleared up, we might have a bit of a set-to on behalf of our respective ladies.”

Jim gulped slightly.

“Oh, you’ve got one, too?”

“Absolutely. And she’s tremendous. The Lady Elinor—” The knight turned about in his saddle and began to fumble about his equipment. Jim, on reaching the ground, had at once started out along the causeway in the direction of the Tower, so that the knight happened to be pacing alongside him on horseback when he suddenly went into these evolutions. It seemed to bother his charger not at all. “Got her favor here someplace—half a moment—”

“Why don’t you just tell me what it’s like?” said Jim, sympathetically.

“Oh, well,” said Nevile-Smythe, giving up his search, “it’s a kerchief, you know. Monogrammed. E. d’C. She’s a deChauncy. It’s rather too bad, though. I’d have liked to show it to you since we’re going to the Loathly Tower together.”

“We are?” said Jim, startled. “But—I mean, it’s my job. I didn’t think you’d want—”

“Lord, yes,” said Nevile-Smythe, looking somewhat startled himself. “A gentleman of coat-armor like myself—and an outrage like this taking place locally. I’m no knight-errant, dash it, but I do have a decent sense of responsibility.”

“I mean—I just meant—” stumbled Jim. “What if something happened to you? What would the Lady Elinor say?”

“Why, what could she say?” replied Nevile-Smythe in plain astonishment. “No one but an utter rotter dodges his plain duty. Besides, there may be a chance here for me to gain a little worship. Elinor’s keen on that. She wants me to come home safe.”

Jim blinked.

“I don’t get it,” he said.

“Beg pardon?”

Jim explained his confusion.

“Why, how do you people do things, overseas?” said Nevile-Smythe. “After we’re married and I have lands of my own, I’ll be expected to raise a company and march out at my lord’s call. If I’ve no name as a knight, I’ll be able to raise nothing but bumpkins and clodpoles who’ll desert at the first sight of steel. On the other hand, if I’ve a name, I’ll have good men coming to serve under my banner; because, you see, they know I’ll take good care of them; and by the same token they’ll take good care of me—I say, isn’t it getting dark rather suddenly?”

Jim glanced at the sky. It was indeed—almost the dimness of twilight although it could, by rights, be no more than early afternoon yet. Glancing ahead up the Causeway, he became aware of a further phenomenon. A line seemed to be cutting across the trees and grass and even extending out over the waters of the meres on both sides. Moreover, it seemed to be moving toward them as if some heavy, invisible fluid was slowly flooding out over the low country of the fenland.

“Why—” he began. A voice wailed suddenly from his left to interrupt him.

“No! No! Turn back, your worship. Turn back! It’s death in there!”

They turned their heads sharply. Secoh, the mere-dragon, sat perched on a half-drowned tussock about forty feet out in the mere.

“Come here, Secoh!” called Jim.

“No! No!” The invisible line was almost to the tussock. Secoh lifted heavily into the air and flapped off, crying, “Now it’s loose! It’s broken loose again. And we’re all lost . . . lost . . . lost . . .”

His voice wailed away and was lost in the distance. Jim and Nevile-Smythe looked at each other.

“Now, that’s one of our local dragons for you!” said the knight disgustedly. “How can a gentleman of coat armor gain honor by slaying a beast like that? The worst of it is when someone from the Midlands compliments you on being a dragon-slayer and you have to explain—”

At that moment either they both stepped over the line, or the line moved past them—Jim was never sure which; and they both stopped, as by one common, instinctive impulse. Looking at Sir Reginald, Jim could see under the visor how the knight’s face had gone pale.

“In manus tuas Domine,” said Nevile-Smythe, crossing himself.

About and around them, the serest gray of winter light lay on the fens. The waters of the meres lay thick and oily, still between the shores of dull green grass. A small, cold breeze wandered through the tops of the reeds and they rattled together with a dry and distant sound like old bones cast out into a forgotten courtyard for the wind to play with. The trees stood helpless and still, their new, small leaves now pinched and faded like children aged before their time while all about and over all the heaviness of dead hope and bleak despair lay on all living things.

“Sir James,” said the knight, in an odd tone and accents such as Jim had not heard him use before, “wot well that we have this day set our hands to no small task. Wherefore I pray thee that we should push forward, come what may for my heart faileth and I think me that it may well hap that I return not, ne no man know mine end.”

Having said this, he immediately reverted to his usual cheerful self and swung down out of his saddle. “Clarivaux won’t go another inch, dash it!” he said. “I shall have to lead him—by the bye, did you know that mere-dragon?”

Jim fell into step beside him and they went on again, but a little more slowly, for everything seemed an extra effort under this darkening sky.

“I talked to him yesterday,” said Jim. “He’s not a bad sort of dragon.”

“Oh, I’ve nothing against the beasts, myself. But one slays them when one finds them, you know.”

“An old dragon—in fact he’s the granduncle of this body I’m in,” said Jim, “thinks that dragons and humans really ought to get together. Be friends, you know.”

“Extraordinary thought!” said Nevile-Smythe, staring at Jim in astonishment.

“Well, actually,” said Jim, “why not?”

“Well, I don’t know. It just seems like it wouldn’t do.”

“He says men and dragons might find common foes to fight together.”

“Oh, that’s where he’s wrong, though. You couldn’t trust dragons to stick by you in a bicker. And what if your enemy had dragons of his own? They wouldn’t fight each other. No. No.”

They fell silent. They had moved away from the grass onto flat sandy soil. There was a sterile, flinty hardness to it. It crunched under the hooves of Clarivaux, at once unyielding and treacherous.

“Getting darker, isn’t it?” said Jim, finally.

The light was, in fact, now down to a grayish twilight through which it was impossible to see more than a dozen feet. And it was dwindling as they watched. They had halted and stood facing each other. The light fled steadily, and faster. The dimness became blacker, and blacker—until finally the last vestige of illumination was lost and blackness, total and complete, overwhelmed them. Jim felt a gauntleted hand touch one of his forelimbs.

“Let’s hold together,” said the voice of the knight. “Then whatever comes upon us, must come upon us all at once.”

“Right,” said Jim. But the word sounded cold and dead in his throat.

They stood, in silence and in lightlessness, waiting for they did not know what. And the blankness about them pressed further in on them, now that it had isolated them, nibbling at the very edges of their minds. Out of the nothingness came nothing material, but from within them crept up one by one, like blind white slugs from some bottomless pit, all their inner doubts and fears and unknown weaknesses, all the things of which they had been ashamed and which they had tucked away to forget, all the maggots of their souls.

Jim found himself slowly, stealthily beginning to withdraw his forelimb from under the knight’s touch. He no longer trusted Nevile-Smythe—for the evil that must be in the man because of the evil he knew to be in himself. He would move away . . . off into the darkness alone . . .

“Look!” Nevile-Smythe’s voice cried suddenly to him, distant and eerie, as if from someone already a long way off. “Look back the way we came.”

Jim turned about. Far off in the darkness, there was a distant glimmer of light. It rolled toward them, growing as it came. They felt its power against the power of lightlessness that threatened to overwhelm them; and the horse Clarivaux stirred unseen beside them, stamped his hooves on the hard sand, and whinnied.

“This way!” called Jim.

“This way!” shouted Nevile-Smythe

The light shot up suddenly in height. Like a great rod it advanced toward them and the darkness was rolling back, graying, disappearing. They heard a sound of feet close, and a sound of breathing, and then—

It was daylight again.

And S. Carolinus stood before them in tall hat and robes figured with strange images and signs. In his hand upright before him—as if it was blade and buckler, spear and armor all in one—he held a tall carven staff of wood.

“By the Power!” he said. “I was in time. Look there!”

He lifted the staff and drove it point down into the soil. It went in and stood erect like some denuded tree. His long arm pointed past them and they turned around.

The darkness was gone. The fens lay revealed far and wide, stretching back a long way, and up ahead, meeting the thin dark line of the sea. The Causeway had risen until they now stood twenty feet above the mere-waters. Ahead to the west, the sky was ablaze with sunset. It lighted up all the fens and the end of the Causeway leading onto a long and bloody-looking hill, whereon—touched by that same dying light—there loomed above and over all, amongst great tumbled boulders, the ruined, dark and shattered shell of a Tower as black as jet.

 

 

 

III

 

“—why didn’t you wake us earlier, then?” asked Jim.

It was the morning after. They had slept the night within the small circle of protection afforded by Carolinus’ staff. They were sitting up now and rubbing their eyes in the light of a sun that had certainly been above the horizon a good two hours.

“Because,” said Carolinus. He was sipping at some more milk and he stopped to make a face of distaste. “Because we had to wait for them to catch up with us.”

“Who? Catch up?” asked Jim.

“If I knew who,” snapped Carolinus, handing his empty milk tankard back to the emptier air, “I would have said who. All I know is that the present pattern of Chance and History implies that two more will join our party. The same pattern implied the presence of this knight and—oh, so that’s who they are.”

Jim turned around to follow the magician’s gaze. To his surprise, two dragon shapes were emerging from a clump of brush behind them.

“Secoh!” cried Jim. “And—Smrgol! Why—” His voice wavered and died. The old dragon, he suddenly noticed, was limping and one wing hung a little loosely, half-drooping from its shoulder. Also, the eyelid on the same side as the loose wing and stiff leg was sagging more or less at half-mast. “Why, what happened?”

“Oh, a bit stiff from yesterday,” huffed Smrgol, bluffly. “Probably pass off in a day or two.”

“Stiff nothing!” said Jim, touched in spite of himself. “You’ve had a stroke.”

“Stroke of bad luck, I’d say,” replied Smrgol, cheerfully, trying to wink his bad eye and not succeeding very well. “No, boy, it’s nothing. Look who I’ve brought along.”

“I—I wasn’t too keen on coming,” said Secoh, shyly, to Jim. “But your granduncle can be pretty persuasive, your wo— you know.”

“That’s right!” boomed Smrgol. “Don’t you go calling anybody your worship. Never heard of such stuff!” He turned to Jim. “And letting a george go in where he didn’t dare go himself! Boy, I said to him, don’t give me this only a mere-dragon and just a mere-dragon. Mere’s got nothing to do with what kind of dragon you are. What kind of a world would it be if we were all like that?” Smrgol mimicked (as well as his dragon-basso would let him) someone talking in a high, simpering voice. “Oh, I’m just a plowland-and-pasture dragon—you’ll have to excuse me I’m only a halfway-up-the-hill dragon—Boy!” bellowed Smrgol, “I said you’re a dragon! Remember that. And a dragon acts like a dragon or he doesn’t act at all!”

“Hear! Hear!” said Nevile-Smythe, carried away by enthusiasm.

“Hear that, boy? Even the george here knows that. Don’t believe I’ve met you, george,” he added, turning to the knight.

“Nevile-Smythe, Sir Reginald. Knight bachelor.”

“Smrgol. Dragon.”

“Smrgol? You aren’t the—but you couldn’t be. Over a hundred years ago.”

“The dragon who slew the Ogre of Gormely Keep? That’s who I am, boy—george, I mean.”

“By Jove! Always thought it was a legend, only.”

“Legend? Not on your honor, george! I’m old—even for a dragon, but there was a time—well, well, we won’t go into that. I’ve something more important to talk to you about. I’ve been doing a lot of thinking the last decade or so about us dragons and you georges getting together. Actually, we’re really a lot alike—”

“If you don’t mind, Smrgol,” cut in Carolinus, snappishly, “we aren’t out here to hold a parlement. It’ll be noon in—when will it be noon, you?”

“Four hours, thirty-seven minutes, twelve seconds at the sound of the gong,” replied the invisible bass voice. There was a momentary pause, and then a single mellow, chimed note. “Chime, I mean,” the voice corrected itself.

“Oh, go back to bed!” cried Carolinus, furiously.

“I’ve been up for hours,” protested the voice, indignantly.

Carolinus ignored it, herding the party together and starting them off for the Tower. The knight fell in beside Smrgol.

“About this business of men and dragons getting together,” said Nevile-Smythe. “Confess I wasn’t much impressed until I heard your name. D’you think it’s possible?”

“Got to make a start sometime, george.” Smrgol rumbled on. Jim, who had moved up to the head of the column to walk beside Carolinus, spoke to the magician.

“What lives in the Tower?”

Carolinus jerked his fierce old bearded face around to look at him.

“What’s living there?” he snapped. “I don’t know. We’ll find out soon enough. What is there—neither alive nor dead, just in existence at the spot—is the manifestation of pure evil.”

“But how can we do anything against that?”

“We can’t. We can only contain it. Just as you—if you’re essentially a good person—contain the potentialities for evil in yourself, by killing its creatures, your evil impulses and actions.”

“Oh?” said Jim.

“Certainly. And since evil opposes good in like manner, its creatures, the ones in the Tower, will try to destroy us.”

Jim felt a cold lump in his throat. He swallowed.

“Destroy us?”

“Why no, they’ll probably just invite us to tea—” The sarcasm in the old magician’s voice broke off suddenly with the voice itself. They had just stepped through a low screen of bushes and instinctively checked to a halt.

Lying on the ground before them was what once had been a man in full armor. Jim heard the sucking intake of breath from Nevile-Smythe behind him.

“A most foul death,” said the knight softly, “most foul . . .” He came forward and dropped clumsily to his armored knees, joining his gauntleted hands in prayer. The dragons were silent. Carolinus poked with his staff at a wide trail of slime that led around and over the body and back toward the Tower. It was the sort of trail a garden slug might have left—if this particular garden slug had been two or more feet wide where it touched the ground.

“A Worm,” said Carolinus. “But Worms are mindless. No Worm killed him in such cruel fashion.” He lifted his head to the old dragon.

“I didn’t say it, Mage,” rumbled Smrgol, uneasily.

“Best none of us say it until we know for certain. Come on.” Carolinus took up the lead and led them forward again.

They had come up off the Causeway onto the barren plain that sloped up into a hill on which stood the Tower. They could see the wide fens and the tide flats coming to meet them in the arms of a small bay—and beyond that the sea, stretching misty to the horizon.

The sky above was blue and clear. No breeze stirred; but, as they looked at the Tower and the hill that held it, it seemed that the azure above had taken on a metallic cast. The air had a quivering unnaturalness like an atmosphere dancing to heat waves, though the day was chill; and there came on Jim’s ears, from where he did not know, a high-pitched dizzy singing like that which accompanies delirium, or high fever.

The Tower itself was distorted by these things. So that although to Jim it seemed only the ancient, ruined shell of a building, yet, between one heartbeat and the next, it seemed to change. Almost, but not quite, he caught glimpses of it unbroken and alive and thronged about with fantastic, half-seen figures. His heart beat stronger with the delusion; and its beating shook the scene before him, all the hill and Tower, going in and out of focus, in and out, in and out . . . And there was Angie, in the Tower’s doorway, calling him . . .

Stop!” shouted Carolinus. His voice echoed like a clap of thunder in Jim’s ears; and Jim awoke to his senses, to find himself straining against the barrier of Carolinus’ staff, that barred his way to the Tower like a rod of iron. “By the Powers!” said the old magician, softly and fiercely. “Will you fall into the first trap set for you?”

“Trap?” echoed Jim, bewilderedly. But he had no time to go further, for at that moment there rose from among the giant boulders at the Tower’s base the heavy, wicked head of a dragon as large as Smrgol.

The thunderous bellow of the old dragon beside Jim split the unnatural air.

Anark! Traitor—thief—inchworm! Come down here!”

Booming dragon-laughter rolled back an answer.

“Tell us about Gormely Keep, old bag of bones. Ancient mud-puppy, fat lizard, scare us with words!”

Smrgol lurched forward; and again Carolinus’ staff was extended to bar the way.

“Patience,” said the magician. But with one wrenching effort, the old dragon had himself until control. He turned, panting, to Carolinus.

“What’s hidden, Mage?” he demanded.

“We’ll see.” Grimly, Carolinus brought his staff, endwise, three times down upon the earth. With each blow the whole hill seemed to shake and shudder.

Up among the rocks, one particularly large boulder tottered and rolled aside. Jim caught his breath and Secoh cried out, suddenly.

In the gap that the boulder revealed, a thick, slug-like head was lifting from the ground. It reared, yellow-brown in the sunlight, its two sets of horns searching and revealing a light external shell, a platelet with a merest hint of spire. It lowered its head and slowly, inexorably, began to flow downhill toward them, leaving its glistening trail behind it.

“Now—” said the knight. But Carolinus shook his head. He struck the ground again.

“Come forth!” he cried, his thin, old voice piping on the quivering air. “By the Powers! Come forth!”

And then they saw it.

From behind the great barricade of boulders, slowly, there reared first a bald and glistening dome of hairless skin. Slowly this rose, revealing two perfectly round eyes below which they saw, as the whole came up, no proper nose, but two air-slits side by side as if the whole of the bare, enormous skull was covered with a simple sheet of thick skin. And rising still further, this unnatural head, as big around as a beach ball, showed itself to possess a wide and idiot-grinning mouth, entirely lipless and revealing two jagged, matching rows of yellow teeth.

Now, with a clumsy, studied motion, the whole creature rose to its feet and stood knee-deep in the boulders and towering above them. It was man-like in shape, but clearly nothing ever spawned by the human race. A good twelve feet high it stood, a rough patchwork kilt of untanned hides wrapped around its thick waist—but this was not the extent of its differences from the race of Man. It had, to begin with, no neck at all. That obscene beachball of a hairless, near-featureless head balanced like an apple on thick, square shoulders of gray, coarse-looking skin. Its torso was one straight trunk, from which its arms and legs sprouted with a disproportionate thickness and roundness, like sections of pipe. Its knees were hidden by its kilt and its further legs by the rocks; but the elbows of its oversize arms had unnatural hinges to them, almost as if they had been doubled, and the lower arms were almost as large as the upper and near-wristless, while the hands themselves were awkward, thick-fingered parodies of the human extremity, with only three digits, of which one was a single, opposed thumb.

The right hand held a club, bound with rusty metal, that surely not even such a monster should have been able to lift. Yet one grotesque hand carried it lightly, as lightly as Carolinus had carried his staff. The monster opened its mouth.

“He!” it went. “He! He!”

The sound was fantastic. It was a bass titter, if such a thing could be imagined. Though the tone of it was as low as the lowest note of a good operatic basso, it clearly came from the creature’s upper throat and head. Nor was there any real humor in it. It was an utterance with a nervous, habitual air about it, like a man clearing his throat. Having sounded, it fell silent, watching the advance of the great slug with its round, light blue eyes.

Smrgol exhaled slowly.

“Yes,” he rumbled, almost sadly, almost as if to himself. “What I was afraid of. An ogre.”

In the silence that followed, Nevile-Smythe got down from his horse and began to tighten the girths of its saddle.

“So, so, Clarivaux,” he crooned to the trembling horse. “So ho, boy.”

The rest of them were looking all at Carolinus. The magician leaned on his staff, seeming very old indeed, with the deep lines carven in the ancient skin of his face. He had been watching the ogre, but now he turned back to Jim and the other two dragons.

“I had hoped all along,” he said, “that it needn’t come to this. However,” he crackled sourly, and waved his hand at the approaching Worm, the silent Anark and the watching ogre, “as you see . . . The world goes never the way we want it by itself, but must be haltered and led.” He winced, produced his flask and cup, and took a drink of milk. Putting the utensils back, he looked over at Nevile-Smythe, who was now checking his weapons. “I’d suggest, Knight, that you take the Worm. It’s a poor chance, but your best. I know you’d prefer that renegade dragon, but the Worm is the greater danger.”

“Difficult to slay, I imagine?” queried the knight.

“Its vital organs are hidden deep inside it,” said Carolinus, “and being mindless, it will fight on long after being mortally wounded. Cut off those eye-stalks and blind it first, if you can—”

“Wait!” cried Jim, suddenly. He had been listening bewilderedly. Now the word seemed to jump out of his mouth. “What’re we going to do?”

“Do?” said Carolinus, looking at him. “Why, fight, of course.”

“But,” stammered Jim, “wouldn’t it be better to go get some help? I mean—”

“Blast it, boy!” boomed Smrgol. “We can’t wait for that! Who knows what’ll happen if we take time for something like that? Hell’s bells, Gorbash, lad, you got to fight your foes when you meet them, not the next day, or the day after that.”

“Quite right, Smrgol,” said Carolinus, dryly. “Gorbash, you don’t understand this situation. Every time you retreat from something like this, it gains and you lose. The next time the odds would be even worse against us.”

They were all looking at him. Jim felt the impact of their curious glances. He did not know what to say. He wanted to tell them that he was not a fighter, that he did not know the first thing to do in this sort of battle, that it was none of his business anyway and that he would not be here at all, if it were not for Angie. He was, in fact, quite humanly scared, and floundered desperately for some sort of strength to lean on.

“What—what am I supposed to do?” he said.

“Why, fight the ogre, boy! Fight the ogre!” thundered Smrgol—and the inhuman giant up on the slope, hearing him, shifted his gaze suddenly from the Worm to fasten it on Jim. “And I’ll take on that louse of an Anark. The george here’ll chop up the Worm, the Mage’ll hold back the bad influences—and there we are.”

“Fight the ogre . . .” If Jim had still been possessed of his ordinary two legs, they would have buckled underneath him. Luckily his dragon-body knew no such weakness. He looked at the overwhelming bulk of his expected opponent, contrasted the ogre with himself, the armored, ox-heavy body of the Worm with Nevile-Smythe, the deep-chested over-size Anark with the crippled old dragon beside him—and a cry of protest rose from the very depths of his being. “But we can’t win!”

He turned furiously on Carolinus, who, however, looked at him calmly. In desperation he turned back to the only normal human he could find in the group.

“Nevile-Smythe,” he said. “You don’t need to do this.”

“Lord, yes,” replied the knight, busy with his equipment. “Worms, ogres—one fights them when one runs into them, you know.” He considered his spear and put it aside. “Believe I’ll face it on foot,” he murmured to himself.

“Smrgol!” said Jim. “Don’t you see—can’t you understand? Anark is a lot younger than you. And you’re not well—”

“Er . . .” said Secoh, hesitantly.

“Speak up, boy!” rumbled Smrgol.

“Well,” stammered Secoh, “it’s just . . . what I mean is, I couldn’t bring myself to fight that Worm or that ogre—I really couldn’t. I just sort of go to pieces when I think of them getting close to me. But I could—well, fight another dragon. It wouldn’t be quite so bad, if you know what I mean, if that dragon up there breaks my neck—” He broke down and stammered incoherently. “I know I sound awfully silly—”

“Nonsense! Good lad!” bellowed Smrgol. “Glad to have you. I—er—can’t quite get into the air myself at the moment—still a bit stiff. But if you could fly over and work him down this way where I can get a grip on him, we’ll stretch him out for the buzzards.” And he dealt the mere-dragon a tremendous thwack with his tail by way of congratulation, almost knocking Secoh off his feet.

In desperation, Jim turned back to Carolinus.

“There is no retreat,” said Carolinus, calmly, before Jim could speak. “This is a game of chess where if one piece withdraws, all fall. Hold back the creatures, and I will hold back the forces—for the creatures will finish me, if you go down, and the forces will finish you if they get me.”

“Now, look here, Gorbash!” shouted Smrgol in Jim’s ear. “That Worm’s almost here. Let me tell you something about how to fight ogres, based on experience. You listening, boy?”

“Yes,” said Jim, numbly.

“I know you’ve heard the other dragons calling me an old windbag when I wasn’t around. But I have conquered an ogre—the only one in our race to do it in the last eight hundred years—and they haven’t. So pay attention, if you want to win your own fight.”

Jim gulped.

“All right,” he said.

“Now, the first thing to know,” boomed Smrgol, glancing at the Worm who was now less than fifty yards distant, “is about the bones in an ogre—”

“Never mind the details!” cried Jim. “What do I do?”

“In a minute,” said Smrgol. “Don’t get excited, boy. Now, about the bones in an ogre. The thing to remember is that they’re big—matter of fact in the arms and legs, they’re mainly bone. So there’s no use trying to bite clear through, if you get a chance. What you try to do is get at the muscle—that’s tough enough as it is—and hamstring. That’s point one.” He paused to look severely at Jim.

“Now, point two,” he continued, “also connected with bones. Notice the elbows on that ogre. They aren’t like a george’s elbows. They’re what you might call double-jointed. I mean, they have two joints where a george has just the one. Why? Simply because with the big bones they got to have and the muscle of them, they’d never be able to bend an arm more than halfway up before the bottom part’d bump the top if they had a george-type joint. Now, the point of all this is that when it swings that club, it can only swing in one way with that elbow. That’s up and down. If it wants to swing it side to side, it’s got to use its shoulder. Consequently if you can catch it with its club down and to one side of the body, you got an advantage; because it takes two motions to get it back up and in line again—instead of one, like a george.”

“Yes, yes,” said Jim, impatiently, watching the advance of the Worm.

“Don’t get impatient, boy. Keep cool. Keep cool. Now, the knees don’t have that kind of joint, so if you can knock it off its feet you got a real advantage. But don’t try that, unless you’re sure you can do it; because once it gets you pinned, you’re a goner. The way to fight it is in-and-out—fast. Wait for a swing, dive in, tear him, get back out again. Got it?”

“Got it,” said Jim, numbly.

“Good. Whatever you do, don’t let it get a grip on you. Don’t pay attention to what’s happening to the rest of us, no matter what you hear or see. It’s every one for himself. Concentrate on your own foe; and keep your head. Don’t let your dragon instinct to get in there and slug run away with you. That’s why the georges have been winning against us as they have. Just remember you’re faster than that ogre and your brains’ll win for you if you stay clear, keep your head and don’t rush. I tell you, boy—”

He was interrupted by a sudden cry of joy from Nevile-Smythe, who had been rummaging around in Clarivaux’s saddle.

“I say!” shouted Nevile-Smythe, running up to them with surprising lightness, considering his armor. “The most marvelous stroke of luck! Look what I found.” He waved a wispy stretch of cloth at them.

“What?” demanded Jim, his heart going up in one sudden leap.

“Elinor’s favor! And just in time, too. Be a good fellow, will you,” went on Nevile-Smythe, turning to Carolinus, “and tie it about my vambrace here on the shield arm. Thank you, Mage.”

Carolinus, looking grim, tucked his staff into the crook of his arm and quickly tied the kerchief around the armor of Nevile-Smythe’s lower left arm. As he tightened the final knot and let his hands drop away, the knight caught up his shield into position and drew his sword with the other hand. The bright blade flashed like a sudden streak of lightning in the sun, he leaned forward to throw the weight of his armor before him, and with a shout of “A Nevile-Smythe! Elinor! Elinor!” he ran forward up the slope toward the approaching Worm.

Jim heard, but did not see, the clash of shell and steel that was their coming together. For just then everything began to happen at once. Up on the hill, Anark screamed suddenly in fury and launched himself down the slope in the air, wings spread like some great bomber gliding in for a crash landing. Behind Jim, there was the frenzied flapping of leathery wings as Secoh took to the air to meet him—but this was drowned by a sudden short, deep-chested cry, like a wordless shout; and, lifting his club, the ogre stirred and stepped clear of the boulders, coming forward and straight down the hill with huge, ground-covering strides.

“Good luck, boy,” said Smrgol, in Jim’s ear. “And Gorbash—” Something in the old dragon’s voice made Jim turn his head to look at Smrgol. The ferocious red mouth-pit and enormous fangs were frighteningly open before him; but behind it Jim read a strange affection and concern in the dark dragon-eyes. “—remember,” said the old dragon, almost softly, “that you are a descendant of Ortosh and Agtval, and Gleingul who slew the sea serpent on the tide-banks of the Gray Sands. And be therefore valiant. But remember too, that you are my only living kin and the last of our line . . . and be careful.”

Then Smrgol’s head was jerked away, as he swung about to face the coming together of Secoh and Anark in mid-air and bellowed out his own challenge. While Jim, turning back toward the Tower, had only time to take to the air before the rush of the ogre was upon him.

He had lifted on his wings without thinking—evidently this was dragon instinct when attacked. He was aware of the ogre suddenly before him, checking now, with its enormous hairy feet digging deep into the ground. The rust-bound club flashed before Jim’s eyes and he felt a heavy blow high on his chest that swept him backward through the air.

He flailed with his wings to regain balance. The over-size idiot face was grinning only a couple of yards off from him. The club swept up for another blow. Panicked, Jim scrambled aside, and saw the ogre sway forward a step. Again the club lashed out—quick!—how could something so big and clumsy-looking be so quick with its hands? Jim felt himself smashed down to earth and a sudden lance of bright pain shot through his right shoulder. For a second a gray, thick-skinned forearm loomed over him and his teeth met in it without thought.

He was shaken like a rat by a rat terrier and flung clear. His wings beat for the safety of altitude, and he found himself about twenty feet off the ground, staring down at the ogre, which grunted a wordless sound and shifted the club to strike upwards. Jim cupped air with his wings, to fling himself backward and avoid the blow. The club whistled through the unfeeling air; and, sweeping forward, Jim ripped at one great blocky shoulder and beat clear. The ogre spun to face him, still grinning. But now blood welled and trickled down where Jim’s teeth had gripped and torn, high on the shoulder.

—And suddenly, Jim realized something:

He was no longer afraid. He hung in the air, just out of the ogre’s reach, poised to take advantage of any opening; and a hot sense of excitement was coursing through him. He was discovering the truth about fights—and about most similar things—that it is only the beginning that is bad. Once the chips are down, several million years of instinct take over and there is no time for thought for anything but confronting the enemy. So it was with Jim—and then the ogre moved in on him again; and that was his last specific intellectual thought of the fight, for everything else was drowned in his overwhelming drive to avoid being killed and, if possible, to kill, himself . . .

 

 

 

IV

 

It was a long, blurred time, about which later Jim had no clear memory. The sun marched up the long arc of the heavens and crossed the nooning point and headed down again. On the torn-up sandy soil of the plain he and the ogre turned and feinted, smashed and tore at each other. Sometimes he was in the air, sometimes on the ground. Once he had the ogre down on one knee, but could not press his advantage. At another time they had fought up the long slope of the hill almost to the Tower and the ogre had him pinned in the cleft between two huge boulders and had hefted its club back for the final blow that would smash Jim’s skull. And then he had wriggled free between the monster’s very legs and the battle was on again.

Now and then throughout the fight he would catch brief kaleidoscopic glimpses of the combats being waged about him: Nevile-Smythe now wrapped about by the blind body of the Worm, its eye-stalks hacked away—and striving in silence to draw free his sword-arm, which was pinned to his side by the Worm’s encircling body. Or there would roll briefly into Jim’s vision a tangled roaring tumble of flailing leathery wings and serpentine bodies that was Secoh, Anark and old Smrgol. Once or twice he had a momentary view of Carolinus, still standing erect, his staff upright in his hand, his long white beard blowing forward over his blue gown with the cabalistic golden signs upon it, like some old seer in the hour of Armageddon. Then the gross body of the ogre would blot out his vision and he would forget all but the enemy before him.

The day faded. A dank mist came rolling in from the sea and fled in little wisps and tatters across the plain of battle. Jim’s body ached and slowed, and his wings felt leaden. But the ever-grinning face and sweeping club of the ogre seemed neither to weaken nor to tire. Jim drew back for a moment to catch his breath; and in that second, he heard a voice cry out.

“Time is short!” it cried, in cracked tones. “We are running out of time. The day is nearly gone!”

It was the voice of Carolinus. Jim had never heard him raise it before with just such a desperate accent. And even as Jim identified the voice, he realized that it came clearly to his ears—and that for sometime now upon the battlefield, except for the ogre and himself, there had been silence.

He shook his head to clear it and risked a quick glance about him. He had been driven back almost to the neck of the Causeway itself, where it entered onto the plain. To one side of him, the snapped strands of Clarivaux’s bridle dangled limply where the terrified horse had broken loose from the earth-thrust spear to which Nevile-Smythe had tethered it before advancing against the Worm on foot. A little off from it stood Carolinus, upheld now only by his staff, his old face shrunken and almost mummified in appearance, as if the life had been all but drained from it. There was nowhere else to retreat to; and Jim was alone.

He turned back his gaze to see the ogre almost upon him. The heavy club swung high, looking gray and enormous in the mist. Jim felt in his limbs and wings a weakness that would not let him dodge in time; and, with all his strength, he gathered himself, and sprang instead, up under the monster’s guard and inside the grasp of those cannon-thick arms.

The club glanced off Jim’s spine. He felt the arms go around him, the double triad of bone-thick fingers searching for his neck. He was caught, but his rush had knocked the ogre off his feet. Together they went over and rolled on the sandy earth, the ogre gnawing with his jagged teeth at Jim’s chest and striving to break a spine or twist a neck, while Jim’s tail lashed futilely about.

They rolled against the spear and snapped it in half. The ogre found its hold and Jim felt his neck begin to be slowly twisted, as if it were a chicken’s neck being wrung in slow motion. A wild despair flooded through him. He had been warned by Smrgol never to let the ogre get him pinned. He had disregarded that advice and now he was lost, the battle was lost. Stay away, Smrgol had warned, use your brains . . .

The hope of a wild chance sprang suddenly to life in him. His head was twisted back over his shoulder. He could see only the gray mist above him, but he stopped fighting the ogre and groped about with both forelimbs. For a slow moment of eternity, he felt nothing, and then something hard nudged against his right foreclaw, a glint of bright metal flashed for a second before his eyes. He changed his grip on what he held, clamping down on it as firmly as his clumsy foreclaws would allow—

—and with every ounce of strength that was left to him, he drove the fore-part of the broken spear deep into the middle of the ogre that sprawled above him.

The great body bucked and shuddered. A wild scream burst from the idiot mouth alongside Jim’s ear. The ogre let go, staggered back and up, tottering to its feet, looming like the Tower itself above him. Again, the ogre screamed, staggering about like a drunken man, fumbling at the shaft of the spear sticking from him. It jerked at the shaft, screamed again, and, lowering its unnatural head, bit at the wood like a wounded animal. The tough ash splintered between its teeth. It screamed once more and fell to its knees. Then slowly, like a bad actor in an old-fashioned movie, it went over on its side, and drew up its legs like a man with the cramp. A final scream was drowned in bubbling. Black blood trickled from its mouth and it lay still.

Jim crawled slowly to his feet and looked about him.

The mists were drawing back from the plain and the first thin light of late afternoon stretching long across the slope. In its rusty illumination, Jim made out what was to be seen there.

The Worm was dead, literally hacked in two. Nevile-Smythe, in bloody, dinted armor, leaned wearily on a twisted sword not more than a few feet off from Carolinus. A little farther off, Secoh raised a torn neck and head above the intertwined, locked-together bodies of Anark and Smrgol. He stared dazedly at Jim. Jim moved slowly, painfully over to the mere-dragon.

Jim came up and looked down at the two big dragons. Smrgol lay with his eyes closed and his jaws locked in Anark’s throat. The neck of the younger dragon had been broken like the stem of a weed.

“Smrgol . . .” croaked Jim.

“No—” gasped Secoh. “No good. He’s gone . . . I led the other one to him. He got his grip—and then he never let go . . .” The mere-dragon choked and lowered his head.

“He fought well,” creaked a strange harsh voice which Jim did not at first recognize. He turned and saw the Knight standing at his shoulder. Nevile-Smythe’s face was white as sea-foam inside his helmet and the flesh of it seemed fallen in to the bones, like an old man’s. He swayed as he stood.

“We have won,” said Carolinus, solemnly, coming up with the aid of his staff. “Not again in our lifetimes will evil gather enough strength in this spot to break out.” He looked at Jim. “And now,” he said, “the balance of Chance and History inclines in your favor. It’s time to send you back.”

“Back?” said Nevile-Smythe.

“Back to his own land, Knight,” replied the magician. “Fear not, the dragon left in this body of his will remember all that happened and be your friend.”

“Fear!” said Nevile-Smythe, somehow digging up a final spark of energy to expend on hauteur. “I fear no dragon, dammit. Besides, in respect to the old boy here”—he nodded at the dead Smrgol—”I’m going to see what can be done about this dragon-alliance business.”

“He was great!” burst out Secoh, suddenly, almost with a sob. “He—he made me strong again. Whatever he wanted, I’ll do it.” And the mere-dragon bowed his head.

“You come along with me then, to vouch for the dragon end of it,” said Nevile-Smythe. “Well,” he turned to Jim, “it’s goodby, I suppose, Sir James.”

“I suppose so,” said Jim. “Goodby to you, too. I—” Suddenly he remembered.

“Angie!” he cried out, spinning around. “I’ve got to go get Angie out of that Tower!”

Carolinus put his staff out to halt Jim.

“Wait,” he said. “Listen . . .”

“Listen?” echoed Jim. But just at that moment, he heard it, a woman’s voice calling, high and clear, from the mists that still hid the Tower.

“Jim! Jim, where are you?”

A slight figure emerged from the mist, running down the slope toward them.

“Here I am!” bellowed Jim. And for once he was glad of the capabilities of his dragon-voice. “Here I am, Angie—”

—but Carolinus was chanting in a strange, singing voice, words without meaning, but which seemed to shake the very air about them. The mist swirled, the world rocked and swung. Jim and Angie were caught up, were swirled about, were spun away and away down an echoing corridor of nothingness . . .

. . . and then they were back in the Grille, seated together on one side of the table in the booth. Hanson, across from them, was goggling like a bewildered accident victim.

“Where—where am I?” he stammered. His eyes suddenly focused on them across the table and he gave a startled croak. “Help!” he cried, huddling away from them. “Humans!”

“What did you expect?” snapped Jim. “Dragons?”

“No!” shrieked Hanson. “Watch-beetles—like me!” And, turning about, he tried desperately to burrow his way through the wood seat of the booth to safety.

 

 

 

V

 

It was the next day after that Jim and Angie stood in the third floor corridor of Chumley Hall, outside the door leading to the office of the English Department.

“Well, are you going in or aren’t you?” demanded Angie.

“In a second, in a second,” said Jim, adjusting his tie with nervous fingers. “Just don’t rush me.”

“Do you suppose he’s heard about Grottwold?” Angie asked.

“I doubt it,” said Jim. The Student Health Service says Hanson’s already starting to come out of it—except that he’ll probably always have a touch of amnesia about the whole afternoon. Angie!” said Jim, turning on her. “Do you suppose, all the time we were there, Hanson was actually being a watch-beetle underground?”

“I don’t know, and it doesn’t matter,” interrupted Angie, firmly. “Honestly, Jim, now you’ve finally promised to get an answer out of Dr. Howells about a job, I’d think you’d want to get it over and done with, instead of hesitating like this. I just can’t understand a man who can go about consorting with dragons and fighting ogres and then—”

“—still not want to put his boss on the spot for a yes-or-no answer,” said Jim. “Hah! Let me tell you something.” He waggled a finger in front of her nose. “Do you know what all this dragon-ogre business actually taught me? It wasn’t not to be scared, either.”

“All right,” said Angie, with a sigh. “What was it then?”

“I’ll tell you,” said Jim. “What I found out . . .” He paused. “What I found out was not, not to be scared. It was that scared or not doesn’t matter; because you just go ahead, anyway.”

Angie blinked at him.

“And that,” concluded Jim, “is why I agreed to have it out with Howells, after all. Now you know.”

He yanked Angie to him, kissed her grimly upon her startled lips, and, letting go of her, turned about. Giving a final jerk to his tie, he turned the knob of the office door, opened it, and strode valiantly within.

 

 

Afterword by Eric Flint




I'm not sure when I first encountered the writings of Gordon R. Dickson, except that it was sometime during my teenage years, and he's always been one of the writers who are inseparable from what I think of as "science fiction." As was usually the case with me, however, I was more interested in novels than short stories—a preference that was reflected many years later when I started writing myself. So the Dickson I remembered was the Dickson who wrote such things as The Genetic General (aka Dorsai!), The Alien Way, Naked to the Stars, and the two marvelous Dilbian novels. Even the Hoka stories he wrote with Poul Anderson were things I first encountered in their later novelized form.

So, when the time came to select a Dickson story for this anthology, I was a little stumped. There was no room for a novel in such an anthology, obviously. The only thing I could suggest was "Call Him Lord," because that was the only shorter piece of fiction by Dickson I could remember having had much of an impact on me. When Dave proposed "St. Dragon and the George" as an alternative, I was a little astonished. I'd read the novel version of the story, of course—and it had always been one of my favorites since the first time I read it. But I'd had no idea that he'd written a shorter version of it first.

The minute Dave advanced the proposal, I agreed to it. To be sure, "Call Him Lord" would have made a fine alternative. It's no accident that it won the Nebula award for best novelette in 1967 and was a finalist for the Hugo in the same year. Still, I didn't hesitate. That's because every writer knows what every actor knows: comedy gets little respect, but it's a lot harder to do well than serious drama. Whether you read this shorter version of the story or the novel-length The Dragon and the George, I think you're reading comic fantasy at its very best. And, as Dave says in his preface, when comedy is good enough it's more than just funny. A lot more.

Thy Rocks and Rills by Robert Ernest Gilbert

Thy Rocks and Rills

by Robert Ernest Gilbert

Preface by David Drake

 

In 9th grade (1959) my English teacher gave me some SF magazines that her sons had left around the house. One of them was the September 1953 issue of If containing "Thy Rocks and Rills." That was my good luck, because the story made a real impact on me and the present anthology is the first time it's been reprinted.

I believe fiction is to entertain, not to teach; but good entertainment has to have a foundation of reality. Looking back on it, I believe this story hit me so hard because it graphically illustrated three points:

1) You can live your life outside the norms of society, but

2) Society will probably crush you if you try, but

3) It may be worth being crushed.

I still believe those statements are true.

 

 

 

Prelude

 

M. Stonecypher lifted his reed sun hat with the square brim, and used a red handkerchief to absorb the perspiration streaking his forehead. He said, “The pup’ll make a good guard, especially for thrill parties.”

L. Dan’s golden curls flickered in July 1 sunlight. The puppy growled when Dan extended a gloved hand. “I don’t want a guard,” the hobbyist said. “I want him for a dogfight.”

A startling bellow rattled the windows of the dog house and spilled in deafening waves across the yard. Dan whirled, clutching his staff. Light glinted on his plastic cuirass and danced on his red nylon tights. His flabby face turned white. “What—” he panted.

Stonecypher concealed a smile behind a long corded hand and said, “Just the bull. Serenades us sometimes.”

Dan circled the dog house. Stonecypher followed with a forefinger pressed to thin lips. In the paddock, the bull’s head moved up and down. It might or might not have been a nod.

The crest of long red and blue-black hairs on the bull’s neck and shoulders created an illusion of purple, but the rest of the animal matched the black of a duelmaster’s tam. Behind large eyes encircled by a white band, his skull bulged in a swelling dome, making the distance between his short horns seem much too great.

“He’s purple!” Dan gasped. “Why in the Government don’t you put him in the ring?”

Stonecypher gestured toward the choppy surface of Kings Lake, nine hundred feet below. He said, “Coincidence. I make out the ringmaster’s barge just leavin’ Highland Pier.”

“You’re selling him?”

“Yeah. If they take ‘im. I’d like to see ‘im in the ring on Dependence Day.”

Glancing at the watch embedded in the left pectoral of his half-armor, Dan said, “That would be a show! I’ll take the dog and fly. I’ve a duel in Highland Park at 11:46.”

“The pup’s not for sale.”

“Not for sale!” Dan yelled. “You told—”

“Thought you wanted a guard. I don’t sell for dogfights.”

A sound like “Goood!” came from the paddocked bull.

Dan opened his mouth wide. Whatever he intended to say died without vocalization, for Catriona came driving the mule team up through the apple orchard. The almost identical mules had sorrel noses, gray necks, buckskin flanks, and black and white pinto backs and haunches. “Great Government!” Dan swore. “This place is worse than a museum!”

“Appaloosa mules,” Stonecypher said.

Catriona jumped from the seat of the mowing machine. Dan stared. Compared to the standard woman of the Manly Age who, by dieting, posturing, and exercise from childhood, transformed herself into a small, thin, dominated creature, Catriona constituted a separate species. She was taller than Dan, slightly plump, and her hair could have been classed as either red or blonde. Green coveralls became her better than they did Stonecypher. With no trace of a smile on face or in voice, Stonecypher said, “L. Dan, meet Catriona.”

* * *

Like a hypnopath’s victim, Dan walked to Catriona. He looked up at her and whispered, but too loudly. Stonecypher heard. His hands clamped on the hobbyist’s neck and jerked. Dan smashed in the grass with sufficient force to loosen the snaps of his armor. He rolled to his feet and swung his staff.

Stonecypher’s left hand snatched the staff. His right fist collided with Dan’s square jaw. Glaring down at the hobbyist, Stonecypher gripped the staff and rotated thick wrists outward. The tough plastic popped when it broke.

Scuttling backward, Dan regained his feet. “You inhuman brute!” he growled. “I intended to pay for her!”

“My wife’s not for sale either,” Stonecypher said. “You know how to fly.”

Dan thrust out a coated tongue and made a noise with it. In a memorized singsong, he declared, “I challenge you to a duel, in accordance with the laws of the Government, to be fought in the nearest duelpen at the earliest possible hour.”

“Stony, don’t!” Catriona protested. “He’s not wo’th it!”

Stonecypher smiled at her. “Have to follow the law,” he said. He extended his tongue, blurted, and announced, “As required by the Government, I accept your challenge.”

“We’ll record it!” Dan snapped. He stalked toward the green and gold butterflier parked in a field of seedling Sudan grass. Horns rattled on the concrete rails of the paddock.

“Burstaard!” the bull bellowed.

Dan shied and trampled young grass under sandaled feet. His loosened cuirass clattered rhythmically. Raising the canopy of the butterflier, he slid out the radioak and started typing. Stonecypher and Catriona approached the hobbyist. Catriona said, “This is cowa’dly! Stony nevah fought a duel in his life. He won’t have a chance!”

“You’ll see me soon then, woman. Where’d you get all that equipment? You look like something in a circus.”

“Ah used to be in a cahnival,” Catriona said. She kept Stonecypher in place with a plump arm across his chest. “That’s wheah you belong,” she told Dan. “That’s all you’ah good fo’.”

“Watch how you address a man, woman,” Dan snarled, “or you’ll end in the duelpen, too.”

Stonecypher snatched the sheet from the typer. The request read:

 

Duelmaster R. Smith, Watauga Duelpen, Highland Park, Tennessee. L. Dan challenges M. Stonecypher. Cause: Interference with basic amatory rights. July 1. 11:21 amest. 

 

Stonecypher said, “The cause is a lie. You got no rights with Catriona. Why didn’t you tell ’em it’s because I knocked you ears-over-endways, and you’re scared to fight without a gun?”

Dan shoved the request into the slot and pulled the switch. “I’ll kill you,” he promised.

While the request was transmitted by radiophotography, minutes passed, bare of further insults. Catriona and Stonecypher stood near the concrete fence enclosing the rolling top of Bays Mountain. Interminable labor had converted 650 acres at the top to arable land. Below the couple, the steep side of the mountain, denuded of timber, dangerously eroded, and scarred by limestone quarries, fell to the ragged shore of Kings Lake. Two miles of water agitated by many boats separated the shore and the peninsula, which resembled a wrinkled dragon with underslung lower jaw distended. The town of Highland Park clung to the jutting land, and the Highland Bullring appeared as a white dot more than four miles from where Catriona and Stonecypher stood. The ringmaster’s barge was a red rectangle skirting Russel Chapel Island.

Dan pulled the answer from the buzzing radioak. He walked over and held the radiophoto an inch from Stonecypher’s long nose. It read:

 

Request OK. Time: July 4. 3:47 pmest. 

 

Two attached permits granted each duelist the privilege of carrying one handgun with a capacity of not more than ten cartridges of not less than .32 caliber. Below the permits appeared an additional message:

 

L. Dan due at Watauga Duelpen. 11:46 amest. For duel with J. George. 

 

“Government and Taxes!” Dan cursed. Throwing Stonecypher’s permit, he leaped into the green and gold butterflier and slammed the canopy. The four wings of the semi-ornithopter blurred with motion, lifting the craft into the sky. The forward wings locked with negative dihedral, the rear wings angled to form a ruddevator, and the five-bladed propeller whined, driving the butterflier in a shallow dive for the peninsula.

* * *

Catriona said, “Ah hope he’s late, and they shoot him. Ah knew you’d finally have to fight, but—”

“You keep out of it next time,” said Stonecypher. “I happen to know that feller’s killed two women in the pen. He don’t care for nothin’. Oughta known better than to let him come here. He made out like he wanted a guard dog, and I thought—”

“Nevah mind, Stony. Ah’ve got to help you. You nevah even fiahed a gun.”

“Later, Cat. The ringmaster may want to stay for dinner. I’ll look after the mules.”

Catriona touched Stonecypher’s cheek and went to the house. Stonecypher unharnessed the Appaloosa mules. While they rolled, he took, from an empty hay rack, a rubber-tipped spear and a tattered cloth dummy. The dummy’s single arm terminated in a red flag.

Stonecypher concealed spear and dummy beneath the floor of the dog house. Going to the paddock, he patted the bull between the horns, which had been filed to a needle point. “Still goin’ through with it?” Stonecypher asked.

“Yaaaa,” the bull lowed. “Yaooo kuhl Daan. Err’ll kuhl uhh kuhlerrs.”

“All right, Moe. I’ll kill Dan, and you kill the killers.” Stonecypher stroked the massive hemisphere of the bull’s jaw. “Goodbye, Moe.”

“Gooodba,” the bull echoed. He lowered his nose to the shelled corn seasoned with molasses, the rolled oats, and the ground barley in the trough.

Stonecypher walked down the road to the staircase of stone that dammed the old Kingsport Reservoir, abandoned long before Kings Lake covered the city. A red electric truck crawled up the steep road hewn from the slope of the gap formed by Dolan Branch. When the truck had crossed the bridge below the buttressed dam, Stonecypher spoke to the fat and sweltering man seated beside the drive. “I’m M. Stonecypher. Proud for you to visit my farm. Dinner’s ready up at the house.”

“No, no time,” smiled the fat man, displaying stainless steel teeth. “Only time to see the bull. I thought we weren’t going to make that grade! Why don’t those scientists develop synthetic elements, so that we can have atomic power again? This radio-electric is so unreliable! I am Ringmaster Oswell, naturally. This heat is excruciating! I had hoped it would be cooler up here, but something seems to have happened to our inland-oceanic climate this summer. Lead us to the bull, Stonecypher!”

Clinging to the slatted truck bed, Stonecypher directed the stoic driver to the paddock. The electric motor rattled and stopped, and Ringmaster Oswell wheezed and squirmed from the cab. The ringmaster wore a vaguely Arabic costume, in all variations of red.

The bull lumbered bellowing around the fence. His horns raked white gashes in the beech tree forming on corner. He tossed the feed trough to splintering destruction.

“Magnificent!” Oswell gasped. Then the ringmaster frowned. “But he looks almost purple. His horns are rather short.”

“Stay back from the fence!” Stonecypher warned. “He’s real wide between the horns, ringmaster. I reckon the spread’ll match up to standard. Same stock my grandfather used to sell Boon Bullring before the water. Wouldn’t sell ‘im, only the tenants are scared to come about the house.”

Oswell fingered his balloon neck and mumbled, “But he’s odd. That long hair on his neck . . . I don’t know . . .”

The bull’s horns lifted the mineral feeder from the center of the paddock. The box rotated over the rails and crashed in a cloud of floured oyster shells and phosphate salt at the ringmaster’s feet.

Oswell took cover behind the truck driver, who said, “Fergus’d like him. Jeeze! Remember dat brown and white spotted one he kilt last year on Forrest Day? Da crowd like ta never stopt yelling!”

Ringmaster Oswell retreated farther as, under the bull’s onslaught, a piece of concrete broke from the top rail, exposing the reinforcing rod within. “Fergus does like strange ones,” he admitted.

Stonecypher said, “Don’t let the mane bother you. There’s one of these long-haired Scotch cows in his ancestors. He’s not really purple. Just the way the light hits ‘im.”

Oswell chewed lacquered fingernails with steel dentures. His bloodshot eyes studied the spotted and speckled Appaloosa mules chasing around the pasture, but the sight failed to register on his brain. “The crowd likes a good show on Dependence Day,” he proclaimed. “I considered trying a fat Aberdeen Angus with artificial horns for laughs, but this may do as well. I must find some shade! I’ll take him, Stonecypher, if fifteen hundred in gold is agreeable.”

“Sold,” Stonecypher said. The word cracked in the middle.

While the ringmaster, muttering about trying bulldogs sometime, retired to the narrow shadow of the dog house, the driver backed the truck to the ramp. Stonecypher opened the gate and waved his handkerchief. The bull charged into the truck, and the driver locked the heavy doors.

From within his red burnoose, Oswell produced a clinking bag. “Fifteen hundred,” he said. From other recesses, he withdrew documents, notebooks, and a pencil. He said, “Here is a pass for you and one for any woman-subject you may wish to bring. You’ll want to see your first bull on Dependence Day! And here is the standard release absolving you of any damage the bull may do. Oh, yes! His name and number?”

“Number?”

“Yes, his brand.”

“Not branded. Make it Number 1. Name’s Moe.”

Oswell chuckled. “Moe. Very good! Most breeders name them things like Chainlightning and Thunderbird. Your GE number?”

“I’m not a Government Employee.”

“You’re not?” Oswell wheezed. “How unusual! Your colors? He’ll wear your colors in his shoulder.”

“Yeah. Black.”

“Black?”

“Dead black.”

Oswell, scribbling, managed a faint smile. “Sorry I can’t accept that invitation to lunch.” He struggled into the truck. “Hope this bull is brave in the ring. Nice antique old place you have here! I don’t see a feed tower, but you surely don’t use pasture—” the ringmaster’s babble passed down the road with the truck.

Stonecypher watched the vehicle descend the dangerous grade. He lifted his square hat from his black hair, dropped it on the ground, and crushed the reeds under a booted foot.

The temporary house, a squat cubical structure, stood at the end of a spruce-lined path beside the ruin that a thrill party had made of the century-old farm house. The plastic screen squeaked when Stonecypher opened it. He stood on the white floor of the robot kitchen and dug a fifty dollar gold piece from the bag Oswell had given him. Glaring at the head of the woman with Liberty inscribed on her crown, he muttered, “Thirty pieces of gold.”

Catriona called, “Oswell’s lucky he couldn’t stay foah dinnah! Ah had the potassium cyanide all ready.”

Stonecypher passed through the diner door into a room containing more yellowed history books and agricultural pamphlets than eating utensils. Catriona waited by the table. She held a large revolver in her right hand.

 

 

 

Intermezzo

 

Stonecypher stood on Bay Knob, near the ruins of the old FM transmitter station, looking down at the Tennessee Lakes. Catriona sat behind him and held the revolver on her thigh. Stonecypher said, “I never see it but I wonder how it looked before the water.”

Before him, North Fork, an arm of Kings Lake, twisted across the Virginia line four and one-half miles away, while to Stonecypher’s right, Boone Lake sparkled like a gigantic, badly drawn V. He did not look toward Surgoinsville Dam securing Kings Lake far to the west.

The Tennessee Lakes were born in 1918 when Wilson Dam spanned the Tennessee River at Muscle Shoals, Alabama; but their growth was retarded for fifteen years, until an Act of Congress injected them with vitamins. Then the mile-long bastions of concrete crawled between the ridges. Norris, Wheeler, Pickwick Landing, Guntersville, Watts Bar, Kentucky, Cherokee, Fort Henry, Boone, Sevier, Surgoinsville—almost innumerable dams blocked the rivers. The rivers stopped and overflowed. The creeks swelled into rivers.

Congressional Committees investigated, the Supreme Court tested the dams against the Constitution, ethnologists and archeologists hastily checked for Indian relics; and the dams, infused with youthful vigor, matured. Beginning with Norris, which backed up the Clinch and Powell Rivers to inundate 25,000 acres and displace 3,000 families, the dams expanded mighty aquatic muscles. The Tennessee, the Little Tennessee, the Nolichucky, the Holston, the French Broad, the Watauga, the Hiwassee, the Little Pigeon—all the rivers spread their waters into lengthy, ragged lakes, changing the map of Tennessee more than any natural cataclysm, such as the great earthquake of 1811, had ever done. The Lakes provided jobs, electric power, flood control, soil conservation, a fisherman’s paradise, milder winters, cooler summers, and they covered all the really good farming land in the eastern part of the state.

Catriona loaded the revolver. It was an obsolete .357 Magnum with a 6½ inch barrel, and the cartridge cases of the metal-piercing bullets had a greenish sheen. “Now, put it in the holstah, and be ca’eful,” Catriona said.

Stonecypher wore the holster, a leather silhouette studded with two spring clips opening forward, on a belt and secured to his leg by a thong. Gingerly, he took the revolver and slipped it under the clips. “I’ve kept outa duels all my life,” he said, “but, so long as it’s for you, I don’t much mind.”

“Ah’ll mind if he kills you. You do like I tell you, and you can beat him. Why, mah best act in the How-To Cahnival was How to Win a Duel. Cou’se, they didn’t know ah was really drawin’ befoah the buzzah sounded. Why, ah used to set two plates ten yahds apaht, draw two revolvahs, and shoot both plates, all in foah-tenths of a second!”

Stonecypher grinned. “Sorry I missed that carnival first time it came through here. I coulda seen you in that costume they poured on you, three years earlier.”

“Nevah mind the veiled compliments. Now, try it!”

Stonecypher faced the target, a sheet of plastiboard roughly sawed to the shape of a man, and backed by a heap of earth removed from the new, as yet dry, pond in which they stood. Catriona pressed a small buzzer concealed in her palm. Stonecypher’s big hand closed on the revolver butt, pushing the weapon up and forward. The sound of the shot rattled away over the mountain top.

“That’s good!” Catriona cried, consulting the sonic timer. “One and two-tenths seconds from buzzah to shot!”

“But I missed,” Stonecypher protested. “Look bad on tevee.”

“You’ll hit him. Watch the recoil next time.”

Stonecypher drew and fired a second wild shot. He snorted, “Confound Westerns, anyhow!”

“Weste’ns?”

“Sure. That’s where this duelin’ started. Used to, almost ever’ movie or tevee was called a Western. Sort of a fantasy, because they were just slightly based on real history. They generally showed a feller in a flowered shirt, ridin’ a Tennessee Walking Horse, and shootin’ a gun. Ever’body in these Westerns had a gun, and they all shot at each other.

“The youngin’s were hep on ’em, so they all wore toy guns, and a whole generation grew up on Westerns. When they got big, they carried real guns. I’ve heard my great-uncle tell about it, how before the Government built duelpens and passed laws, you couldn’t hardly cross the Lakes without runnin’ into a bunch of fools on water skis shootin’ at each other.”

“You leave the histo’y books alone foah awhile,” Catriona commanded, “and practice. The tenants and ah’ll tend to the wo’k. Try it loaded and empty. Hook this little buzzah to the timeah, and practice. Ah’ve got to go see the chickens.”

” ‘Bye, teacher.” Stonecypher dropped the buzzer in his pocket and watched her vanish into the grove. He fired the remaining shots, nicking the target once. With the revolver holstered, he followed the path to the summer pasture.

* * *

Belly-deep in red clover, twenty-four cows, twenty-four calves, and twenty-four yearlings grazed or played in the shady field. Stonecypher cupped his hands around his mouth and yelled, “Smart-calves! Smart-calves to school!”

The entire herd turned sorrowful eyes on him. Seven of the calves and four of the yearlings trotted to the gate, which Stonecypher held open, and jostled out of the pasture. As the calves began to lie down under the trees, a white heifer-calf nuzzled Stonecypher’s hand and bawled, “Paaapy gyoing a fyightt?”

“Yeah, he’s going to fight,” Stonecypher answered. “Your pappy’s gone to the bullring. He suggested it, and made the choice himself. He’s got real courage. You oughta all be proud of him.”

The calves bawled their pride. Including those remaining in the pasture, they presented a colorful variety of spots, specks, splotches, browns, reds, blacks, and even occasional blue and greenish tinges. Stonecypher sat facing them from a stump. He said, “I’m sorta late for the lesson, today, so we’ll get on with it. Some of this will be repetition for you yearlings, but it won’t hurt. If you get too bored, there’s corn and cottonseed meal in the trough, only be quiet about it.

“Now. To look at you all, nobody would think you’re the same breed of cattle; but you, and your mammys, and Moe are the only Atohmy cattle on Earth. It’s usually hard to say exactly when a breed started; but you all started a long, long time ago, on July 16, 1945, near Alamogordo, New Mexico, when they exploded the first Atomic Bomb.”

At mention of Atomic Bomb, who had succeeded the Bogger Man as a means of frightening children, one of the younger calves bawled. Her polled, brindled mother ran in ungainly fashion to the fence and mooed with great carrying power.

“All right!” Stonecypher yelled. The cow closed her big mouth, but stayed by the gate. “Can’t go by what you hear the tenants tell their kids,” Stonecypher cautioned the calf. “Atomic Bomb is as dead as the tank and the battleship.

“Now, like I was sayin’, the scientists put Atomic Bomb on a hundred foot tower and blowed him up. There was a flash of fire, and an awful racket, and the blast raised up a lot of dirt and dust from the ground. All this dust achurnin’ around in the cloud bumped into little bits of metal and stuff that was highly radioactive. That means, the basic atoms of matter had been thrown out of kilter, sorta deranged. The protons and electrons in an atom oughta be about equal for it to be stable, but these were shootin’ off electrons, or beta particles, and givin’ off something like powerful x-rays, called gamma rays, and things like that.

“Anyhow, this radiation affected all the sand and bits of rock and dirt in that bomb cloud. This radiation is dangerous. Some of it will go right through several inches of lead. Enough’ll kill you. Your ancestors were ten miles or so from where Atomic Bomb went off.

“They were just plain Whiteface cattle. They weren’t supposed to be there, but I reckon none of the scientists bothered to warn ’em. The dust started settlin’ all over your ancestors. In about a week, there were sores and blisters on their backs. The red hair dropped off. When it grew back, it was gray.

“The scientists got real excited when they heard about it, ’cause they wanted to see how horrible they could make Atomic Bomb. So, they shipped fifty-nine cattle up to Oak Ridge. That was a Government town, a hundred miles southwest of here, where they made some of the stuff to put in Atomic Bomb. The University of Tennessee was runnin’ an experimental farm there. They had donkeys, and pigs, and chickens, and other animals that they exposed to radioactivity. Then they killed ’em and cut ’em up to see what had happened. I know it’s gruesome, but that’s how it was.

“The awful fact is, the scientists slaughtered more than half that original Atohmy herd for experiments. Some of the rest, they—uh—married. Wanted to see if the calves had two heads, or something; if radioactivity had speeded up the mutation rate.

“Back then, they didn’t understand much about mutation. Some claimed a little radioactivity would cause it, some said a whole lot, and some said it wouldn’t hurt a bit.”

“Whaa mootyaaonn?” asked the calf which was not yet assured of the extinction of Atomic Bomb.

“Well, you-all are all mutations. I’ve told you how life starts from one cell. This cell has thread-like things in it called chromosomes, and the chromosomes are made up of things called genes. Mutations, sort of unexpected changes, can take place in either the chromosomes or the genes. You see, when this one cell starts dividing, every gene makes a copy of itself; but, sometimes, the copy is a little different from the original. Lots of things, like x-rays and ultraviolet rays, heat, chemicals, disease, can cause this. Radioactivity had caused mutation in some experiment, so the scientists were anxious to see what happened with these cattle.

“Genes determine the way an animal develops. Two mutant genes can start reactions that end up as a man with one leg, or maybe as a bull with the intelligence of an eight-year-old man. Lots of mutations are recessive. They may be carried along for generations. But, when two like mutant genes come together in reproduction, the animal is bound to be something different, the way you eleven calves are.

“Now. The scientists watched the Atohmy cattle for fifteen or twenty years, and nothin’ much happened. They started sayin’ radioactivity wasn’t dangerous, and a man could walk into a place right after Atomic Bomb went off, and it wouldn’t matter. They should be here to see the mess in Japan today. All the time, though, I think the cattle were changing. It may have been in little things like the length of hair, or the shape of an eyeball, or the curve of a horn, so the scientists couldn’t tell without they made exact measurements all the time.

“Then, a bull-calf was born. He had shaggy black hair, and his horns grew in a spiral like a ram’s. Some scientists said, ‘I told you so! It speeded the mutation rate!’

“Others said, ‘He’s a natural mutation, or else, a throw-back to prehistoric wild cattle. It happens in every breed. Atomic Bomb had nothing to do with it.’

“They married the bull, and then they fixed to slaughter ‘im to see what his insides was like. The bull fooled ’em, though. He came down with contagious pleuro-pneumonia, the first case in years, ’cause it was supposed to have been wiped out in this country away back in the Nineteenth Century. They had to cremate the bull for fear the disease would spread. Ever’ one of the calves were normal Whitefaces.

“Finally, the nineteen Atohmy cattle that were left were put up for sale. My great-grandfather, Cary McPheeter, bought ’em and shipped ’em here to Bays Mountain. He’s the man started this farm where there was nothin’ but rattlesnakes, and trees, and rocks.”

“Whyy theyea selll um?” a red roan calf interrupted.

“Well, they sold ’em ’cause Oak Ridge had been condemned. That was several years after the German Civil War. It was peace time, for a change, and folks were sick of Atomic Bomb. Anyhow, new, modern plants for makin’ the stuff had been built in secret places a lot easier to defend. The women were cryin’ for more automatic kitchens, so the Bureau of Interior Hydroelectric Power (that’s the name Federal Power, Inc., went by then) put another dam across the Clinch River below Norris. Bush Lake covered up Oak Ridge.

“There wasn’t much mutation, except for color, in you Atohmy cattle, till seven years ago when your pappy, Moe, was born. I remember—”

A hoarse excited voice shouted from a distance. “Thrill party!” it cried. “Thrill party!”

* * *

Stonecypher leaped off the stump, stamped his right foot to restore circulation, and yelled on the run, “That’s all today! Stay under the trees!”

He loped along the pasture fence and across the makeshift target range. Two tenants, Teddy and Will, stood on the dirt heap with pitchforks in their hands. Over Bay Knob, an old Model 14 butterflier hovered on vibrating wings. Sloppy white letters on the sides of the aircraft spelled such slang expressions as, “Flash the MAGNETS,” “SuperOlossalSoniC Flap ship,” and “Redheads amble OTHer canop.”

An impossible number of middleschool-age boys bulged from the cabin windows. Methodically, they dumped trash and garbage over the transmitter station ruins. The butterflier wheeled and flapped over the pasture. Red clover bent and writhed in the artificial wind from the ornithopter wings. Cows bawled and ran wild. Calves fell over each other.

Stonecypher jumped the fence. He wrested the revolver from the holster. “Clear out, or I’ll shoot!” he howled.

Voices spilled from the butterflier. “He got a handgun!”

“Dis ain’t legal!”

“Whatcha say, tall, bones, and ugly?”

Stonecypher aimed the Magnum at the shaven head in the pilot’s seat. The boys looked faint. Agitated air thundered as the butterflier lifted straight up two hundred feet and glided away in the direction of Surgoinsville Dam.

Teddy and Will stood by with pitchforks unrelaxed. Will spat a globule of tobacco juice. “The things these here psychologists git made law!” he sneered. “You want me to make out a Thrill Damage Claim?”

“No, Will,” Stonecypher said, “just deduct it from taxes.”

Teddy looked at the revolver and said, “Ever’body oughta take guns to them crazy youngin’s. Reckon you’ll git into trouble?”

“No. It’s an empty antique. That’s legal. You guys did all right. Let the calves back in, huh?”

The tenants left by the gate, and, with a minimum of driving, urged the calves into the pasture. Stonecypher watched the men pass through the grove. Although the tenants undoubtedly recognized the peculiarities of the calves, they never mentioned them. Since the late 1700s, through Revolution, Civil War, automobile, the Department of Internal Revenue, the multiple bureaus that had controlled the Lakes, the Moon rocket, and the expedition to Pluto, these people had remained suspiciously interested in strangers, suspicious of indoor plumbing, doubtful of the Government, quick-tempered, and as immovable as Chimney Top. They had exchanged little except log and frame houses for concrete. The tenants, not really tenants, had been squatting on Bays Mountains when Cary McPheeter bought the farm; and there they stayed.

Stonecypher vaulted the fence. Catriona, with hands firmly planted on hips, stood in the dry pond. Stonecypher said, “If I just knew what these thrill parties think they’re up to, it might help.”

Catriona shook her head of red-yellow hair. “Nevah mind them. Ah told you to practice shootin’, but the minute ah turn mah back, you run off and staht teachin’ those calves! You’ve got to practice, Stony! You’ve nevah done any shootin’, and L. Dan’s killed ten people. Ah—”

“Watch the tears, or you’ll have red and green eyes,” Stonecypher said. Clumsily, he ejected the shells and reloaded the revolver. He occupied two seconds in drawing and firing. The bullets struck dirt a yard to the left of the target.

 

 

 

Sonata

 

A short vicious thunderstorm lashed Bays Mountain on the afternoon of July 3. As the storm passed, a blood-red butterflier, with a pusher propeller in the tail and a plastic bull head on the nose, descended in the young Sudan grass. Stonecypher dropped the saw—he had been clearing away a beech limb the storm left in the abandoned paddock—and strolled to greet Ringmaster A. Oswell.

“Stonecypher!” the ringmaster announced. “That storm almost caught us!” Oswell’s stainless steel teeth clacked, and the breezes trailing the thunderclouds ballooned his orange silk kimono. “I never liked these butterfliers. They’re too slow, and that swooping motion! Five hundred miles per hour may seem fast to a man your age; but in my day, back before petroleum was classified as armament, we had jets! Real speed!”

“Come on up to the house, ringmaster,” Stonecypher invited. “I’ll mix up some dextrose and citric acid.”

“No, no time,” the fat man panted. “Only time to see you about that bull you sold me. The storm took a limb of your beech tree! Almost the only one left, I suppose. About that bull, Stonecypher, you know I was a bit hesitant when I bought him, but my driver talked me into it. I’m so disappointed I had him drafted immediately!”

“But what—” Stonecypher attempted to ask.

“The young woman there in the butterflier is a much better driver and pilot,” Oswell babbled. “I wouldn’t have believed it of a woman! She weighs a good ninety-eight pounds, too! That bull—he has changed completely since we put him under the stands. He eats well, but he shows no spirit at all. Tomorrow is the big day, Stonecypher! I can’t disappoint the crowd! I thought he might be sick, but the vet says not. That bull let the vet come into the cage and made absolutely no attempt to kill him!”

“But does Fergus—”

“Fergus’s manager saw the bull! He’s all for it. Fergus made an extremely poor showing on Memorial Day, and the manager thinks this odd bull would provide a real comeback! I advised against it. This heat is terrible! The storm didn’t cool the air at all.”

Stonecypher maneuvered the perspiring ringmaster into the shade of the beech. He said, “I wanta do the fair thing with you, ringmaster, so I’ll give you a guarantee, in writing if you want. If that bull’s not the bravest ever fought in Highland Bullring, I give you double-money-back.”

Oswell’s face wobbled in a tentative smile. He counted his stubby fingers. “Double-money-back?”

“Yeah. I wanta get into the business. My grandfather used to sell bulls. Then my father came along, and he wouldn’t sell a one.”

“Yes. Yes, I once tried to reason with him, but—”

“He had funny ideas,” Stonecypher pressed his advantage. “I never did understand the old man myself. He used to lecture me on something he called the Man-Animal War. He said one of the worst things in the war was the thousands of bulls that had been tortured to death.”

“Peculiar idea. Of course—”

“He claimed bullfights slipped up on this country. Back when it wasn’t legal, they spaded up the ground real good. There were movies, and books, and magazines, and foreign broadcasts, all ravin’ about how brave and noble it was for a bunch of men to worry and torture a stupid animal like a bull, till he couldn’t hardly hold his head up, and then run a sword in ‘im.”

“Naturally, you—”

“I don’t know how many times he told me a bull had more brains than a horse, but less than a jackass. He said bullfightin’ wasn’t a sport, even if the bull got a man sometimes; and he had the idea the worst thing was the four or five horses, that ever’ bull killed, took with ‘im. They had some bloodless bullfights in California, and the nut colonies out there like it so good, first thing you know, we really had it. It came to East Tennessee ’cause this was one of the biggest cattle-raisin’ sections, before the Lakes took the grazin’ land.”

“Surely, Stonecypher, you—”

“My father always claimed if the bullfighters were near as brave as they said, they’d take on a really intelligent animal sometimes, like a man-eatin’ tiger. He even thought a man was mentalill to fight a bull in the first place.” Stonecypher grinned. “No, you don’t need to worry about me, ringmaster. I hate to admit it, but the old man is the one who was mentalill.”

Oswell revealed all of his steel teeth in a broad smile. “You had me worried!” he wheezed. “Now, your offer.”

“I’ll go even better,” Stonecypher said, “just to show how set I am on getting’ back in the business. If Moe’s not brave, I got two yearlin’s you can have for free.”

“How generous! You’ve reassured me, Stonecypher. I have confidence, now, that the show will be a great success! I must go! You have no conception of the life a ringmaster leads before a fight. I won’t require a written guarantee. I trust you, Stonecypher! See you tomorrow, I hope! I never liked July. If the Government would only make more Lakes, it might cool off! I hope—”

The whir of the red butterflier’s wings terminated Oswell’s discourse. With a face like a gored bullkiller, Stonecypher watched the ringmaster’s departure. Another butterflier hovered above the mountain. This one was green and gold with the canopy pushed back and a glint of twin lenses in the cockpit.

Will appeared at Stonecypher’s side. He spat in a long arc and said, “That’s a new one, ain’t it, peepin’ from a butterfly? I reckon L. Dan never got kilt in that other duel like I hoped he would. You want us to git you outa this, Stonecypher?”

“No, Will.”

“We can see you git to the Smokies. The Givernment’ll never find you down in there.”

“I’ll be all right, Will. If he does kill me, take care of Catriona. And look after the calf records.”

“Sure thing.”

Stonecypher walked slowly toward Catriona’s open-topped sunbathing tent.

 

 

 

Danse Macabre

 

Duelmaster R. Smith adjusted his black tam. “Do not touch your shooting hand to your weapon until the buzzer sounds,” he instructed. “Otherwise, the weapon may be carried as you wish. At the slightest infringement of the rules, a robot gun will kill you. If you have any elaborate last words, say them now; because the pen is soundproof.” He laughed an obviously much rehearsed laugh.

L. Dan wore orange tights today, but no armor, since the rules required duelists to present naked torsos for probable bullets. Stonecypher faced the duelmaster. “I reckon this room is the only place a man really has free speech,” he said. “You’re deaf, and can’t see good enough to read lips, and me or him will soon be dead.

“I don’t believe in this duelin’. It gives a man who’s wrong a chance to kill one who’s right. A man shouldn’t oughta have to die because he’s right. Just like ever’thing else in this Manly Age. It’s painful. That oughta be our motto, More Pain, just like in the Machine Age it was More Gadgets At Any Cost.”

“Why don’t you go on tevee?” Dan jeered. “She’ll soon forget you, farmer.”

Stonecypher’s words rolled over the hobbyist. “I reckon the Manly Age came because a man started thinkin’ he wasn’t much of a man any more. He was just as fast as his car, and just as strong as his electric lawn mower. And a loud minority of the women was claimin’ they could do anything a man could, and maybe better. So the men started playin’ football in shorts and huntin’ each other on game preserves, and the women went back to the kitchen and bedroom. Lots of things that went on undercover come out in the open. Cockfights, dogfights, coon-on-a-log, duels, stallion fights, bullfights.

“And people like you, L. Dan, went on livin’. You got no right to live. You don’t do any useful work. The Earth is slowly starvin’, and you take the grub out of some feller’s mouth who might could help a little. That’s why—”

“Time!” announced the duelmaster with his face close to a large clock on the wall. He opened the door. Two men carrying a body on a stretcher passed. The body had four bullet wounds in it.

Dan said, “That drivel gives me a real reason to kill you, farmer. I’ll be good to her for a few days.”

As prearranged, Dan took the right branch of the corridor and Stonecypher, the left. A hooded man gave Stonecypher the Magnum revolver and shut him into a space resembling a windowed closet with a door on either side. Stonecypher secured the revolver in the clip holster. His bony hands formed knotted fists.

The pen door slid back. Stonecypher stepped into a room thirty by ninety feet with three bullet-marred concrete walls and a fourth wall of bulletproof glass, behind which sat the ghoulish audience. Dan, crouched and with his pistol in the crook of his left elbow, advanced. His right hand fluttered an inch from the pistol butt.

Stonecypher, grotesque with thin chest exposed and overall bib wrapped around belt, waited. Two photoelectric robot machine guns followed each movement of the duelists. A buzzer sounded. Dan’s index finger failed to reach the trigger, for a guardian machine gun removed the hobbyist’s head in a short efficient burst. The noise of a loud buzzer punctuated the execution.

When the soundproof inner door of the closet opened, the hooded man, who had a pair of crossed pistols tattooed on the back of his right hand, said, “He was too anxious.”

“Yeah,” Stonecypher grunted.

The man watched Stonecypher pass out to the street. Stonecypher snapped up the bib of his overalls. An extremely rare bird, a robin, hopped from his path and continued a fruitless search for insects. Stonecypher walked down Watauga Street until the pavement vanished under the brownish-green water of Kings Lake.

Catriona squealed when she saw him. Ignoring all Correct Procedures, she almost knocked him down and attempted to smother him. “Ah told you it just took practice!” she blubbered. “You did it, Stony!”

With muffled mumbles, Stonecypher managed to put her in the Tenite canoe. The few people along the quay, who had witnessed the illegal manner of their meeting, watched with shock, or with incredulity, or with guarded admiration. When they saw that Stonecypher’s hand rested on a holstered revolver, they lost their curiosity.

Wading, Stonecypher shoved the canoe off and hopped aboard. As he took up the paddle, his hand trailed in the water and released the small buzzer that had made possible Catriona’s best carnival act.

* * *

For July, the afternoon was cool. Blue-gray clouds drifted before larger dirty white masses. To the southwest opened the mile-wide mouth of Horse Creek; and, far beyond, the great blue pyramid of Chimney Top Mountain stood defiantly above Sevier Lake. The world seemed water broken only by partly submerged hills and mountains.

Stonecypher gazed across the Lake at Bays Mountain and at the five Cement Islands apparently floating against that backdrop. Softly, he said, “Some folks call the big one Martyrs Island. There’s a marble pillar right in the middle. Nobody knows who put it there, and the Government never bothered to knock it down. I reckon the poison ivy’s covered it by now, but I went and read the inscription, once, when I was a boy. It says:

 


‘They moved me off the Powell River.
They covered my farm with water.
I bought me another near Beans Station.
The water covered it.
I was getting old, but I built at Galloway Mill.
When they flooded that, I gave up and lived in Kingsport.
I will not move again.'”

 

The canoe bounded over the choppy water, one hundred feet above the silted streets of the flooded city of Kingsport. Stonecypher said, “The time I was there, you could still find a few copter-trooper helmets and old cankered shells. Couple of years back, a diver brought up two skulls off shore.”

Catriona’s eyes remained moist, but she smiled. Her teeth were beautiful. “It’ll be all rahght, Stony. You can’t change the wo’ld in one day. You did fine, and Moe will too.”

“I told you to stay at the bullring,” Stonecypher said.

“Ah couldn’t watch that! And those puny, little, mousy women stare and talk about me, because theah’s a little meat on mah cahcass. Oswell said Moe would be last, anyhow. Ah was so wo’ied about you, ah couldn’t sit still.”

Only a few boats, mainly those of piscatorial maniacs, were on the lake. Stonecypher glared at them and muttered, “I hope I did right by Moe. He wanted to fight. Maybe, Catriona, if I’d had you when I found out he could talk—not just mimic—I’d of raised him different. Maybe I shouldn’t have shown him that bullfight movie, but I wondered what the only bull to see a bullfight from outside the ring thought about it.

“That led to him wantin’ to know all about the Man-Animal War. I told him the best I could, how one of a man’s basic drives is to exterminate, ever’ since prehistoric times when he did in the wooly mammoth and rhinoceros. The dodo, quagga, passenger pigeon, great auk, aurochs, Key deer, bison, African elephant, gorilla, tiger—there’s an awful list. Why, five hundred species of mammals, alone, have become extinct since 1 A.D., ’bout four hundred of them since 1850. A man’ll even kill off other men, like the Neanderthals and the Tasmanians!” Stonecypher rested the paddle and grinned, faintly, at Catriona reclining in the bow. “I guess you’ve heard this before.”

“Go rahght ahead, Stony,” Catriona sighed. “Ah like to heah yoah speech. It’s the only time you really get angry, and you look so fine and noble.”

“Yeah. Well. I told Moe how a man exterminates useful or harmless species, and then he lets dangerous ones, like rats, eat him out of house and home. Course, I explained this was just kinship. Folks used to argue man come from a monkey, or from spontaneous combustion, or something. Now we got fossil proof he’s not like anything anybody ever saw. He’s a case of straight line development all the way back to the first mammal, a sort of rat.”

The canoe glided past Highland Pier. Every type of small watercraft, from a punt, through an electric motorboat, to a sloop, had docked. More boats lined the shore on either side of the pier. The flying field contained so many butterfliers and copters that there seemed no possibility of any of them taking off. Human voices welled in a mob roar from the great open cylinder of the bullring. A huge banner draped on the curving white wall proclaimed, in ten-foot letters:

 

DEPENDENCE DAY
BULLFIGHT
HONOR THE GREAT
GOVERNMENT ON WHICH
WE DEPEND
SIX BULLS—THREE KILLERS

 

Stonecypher ran the canoe aground in a patch of dead weeds, exposed by a slight lowering of the lake level, and helped Catriona over the rocks that lined the bank. He said, “I told Moe other things men do to animals. All the laboratory butchery, done because it would be cruel to treat a man like that, but it’s all right with a animal, like takin’ out a dog’s brains and lettin’ ‘im live. I told him about huntin’, how the kudu became extinct ’cause a bunch of fools wanted to see who could kill the one with the biggest horns.

“I told him the things done to domestic animals. Dehornin’, emasculatin’, brandin’, slaughterin’ with sledge hammers and butcher knives, keepin’ ’em in filthy barns. A man tells hisself he’s superior to other animals. If he does somethin’ bad, he uses words like inhuman, brutal, animal instincts, instead of admittin’ it’s just typical behavior. And the psychologists take some animal, say a dog, and put him in a maze, something the dog never saw before. If the dog don’t run the maze in two seconds flat, they say he’s a pretty stupid animal. He just operates on instinct, but they can’t say how instinct operates. They’ll have a time explainin’ Moe’s instincts.

“I reckon the American bison made Moe madder than anything. They killed the bison off, ‘cept for protected herds, in the Nineteenth Century. A hundred years later, the herds had got pretty big, so they declared open season on bison. No more bison.”

A recorded voice growled, “No guns permitted in ring. Deposit gun in slot. No guns permitted in ring.”

Stonecypher moved his permit in ineffectual passes before the electric eye. He shrugged, dropped the revolver into the slot, and left his thumb print. Catriona displayed the passes Ringmaster Oswell had given them. The teveer blinked, and the gate granted admission. They rode the escalator to the sixth tier and squirmed through pandemonium to their seats.

The male portion of the crowd wore every possible style and color of dress, in complete emancipation from the old business suit uniform, but the women wore sober false-bosomed sundresses and expressed excitement in polite chirps. Stonecypher pressed his mouth against Catriona’s ear and whispered through the din, “You got to understand, Cat, whatever happens, Moe wanted it. He says he can scare some killers into givin’ up bullfights and maybe help stop it.”

“He’ll do fine, Stony.”

Several spectators stopped venting their wrath on the unfortunate man in the ring to gawk at the couple. Catriona’s unorthodox physique aroused sufficient amazement; but, in addition, Stonecypher gave her the front seat and took the rear one, the correct place for a woman, himself.

Below, through a rain of plasti-bottles and rotten eggs, a tired man walked to the barrier which Oswell advertised as the only wooden fence in seven states. Behind the killer, a small electric tractor dragged out the bloody carcass of a bull.

A gasping, gibbering little man grabbed Stonecypher’s arm and yelped, “Illard is the clumsiest killer, he ran the sword in three times, and the kid with the dagger had to stick twice before they finished, Big Dependence Day Bullfight my jet! This is the worst in years, Fergus made the only clean kill all afternoon, and I flew every one of eighteen hundred miles myself to see it, this last bull better be good!” The little man waved his bag of rotten eggs.

Although the bullfight followed the basic procedures established by Francisco Romero in the Spain of 1700, changes had occurred, including the elimination of all Spanish words from the vocabulary of the spectacle since the unpleasant dispute with the Spanish Empire twenty years before. The gaudy costumes worn by participants had been replaced by trunks and sneakers.

A purring grader smoothed the sand. The crowd quieted, except for those near the box of Ringmaster Oswell. They suggested in obscene terms that their money be refunded. A trumpet recording blared. A scarlet door, inscribed, “Moe of Bays Mountain Farm,” opened. The crowd awaited the first wild rush of the bull. It failed to materialize.

 

 

 

Grand Finale

 

Slowly, Moe came through the doorway. Above, on a platform inside the barrier, stood a gray-haired man who stuck identifying, streamered darts into bovine shoulders. His hand swept down, carrying Stonecypher’s chosen colors, black.

Moe’s walk upset the man’s timing. His arm moved too soon. Moe’s front hooves left the ground. Horns hooked. The gray-haired man screamed and dropped the dart. With a spike of horn through his arm, between bone and biceps, he gyrated across the barrier. He screamed a second time before cloven hooves slashed across his body.

The crowd inhaled, then cheered the unprecedented entrance. Killer Fergus’s team stood rigid, not comprehending. Then men dashed through shielded openings in the barrier, yelling and waving pink and yellow capes to draw the bull from his victim.

Moe ignored the distraction, trotted nonchalantly to the center of the ring, and turned his bulging head to examine the spectators jabbering at his strange appearance. The short horns, the round skull, the white-banded eyes, the mane that seemed slightly purple under the cloudy sky, and the exaggerated slope from neck to rump that made the hind legs too short—together they amounted to a ton of muscle almost like a bull. “Where’d you trap it, Oswell?” someone near the ringmaster’s box yelled.

Forgetting the mess Illard had made with the previous bull, the crowd commented. “It’s the last of the bison!”

“He’s poiple! Lookit! Poiple!”

“The bull of the woods!”

“Howya like ‘im, Fergus?”

Killer Fergus posed behind the barrier and studied his specialty, an odd bull. Two stickers, Neel and Tomas, flourished capes to test the bull’s charge, with Neel chanting, “Come on, bull! Come on, bull! Come on! Bull, bull, bull!”

Moe did not charge. He moved, in a speculative walk, toward the chanting Neel who tantalized with the cape and retreated with shuffling steps. The charge, when it came, occurred almost too fast for sight. Neel wriggled on the horns, struck the sand, and the horns lifted him again. He smashed against the barrier. Tomas threw his cape over the bull’s face. The left horn pinned the cape to Tomas’s naked chest over the heart.

Moe retired to the center of the ring and bellowed at the crowd, which, delirious from seeing human blood, applauded. Blood covered Moe’s horns, dripped through the long hair on his neck, and trickled down between his eyes.

Quavering helpers removed the bodies. The first lancer, livid and trembling, rode a blindfolded horse into the ring. “He’ll fix this horse!” the crowd slavered. “We’ll see guts this time!”

Moe charged. The lancer backed his mount against the barrier and gripped his weapon, a stout pike. Sand sprayed like water as Moe swerved. On the left side of the horse, away from the menacing pike, Moe reared. The lancer left the saddle. A tangle of naked limbs thrashed across the wooden fence and thudded against the wall of the stands.

Twenty-five thousand people held their breaths. The blindfolded horse waited with dilated nostrils and every muscle vibrating in terror. Moe produced a long red tongue and licked the horse’s jaw.

Fergus dispersed the tableau. Red-haired, lean, and scarred with many past gorings, the popular killer stalked across the sand dragging his cape and roaring incomprehensible challenges. In the stands, the cheer leaders of the Fergus Fanclub lead a welcoming yell. “Yeaaaa, Fergus! Fergus! Fergus! Rah, rah, rah!”

Moe wandered through the helpers trying to distract him from the horse and looked at the killer. Fergus stamped his foot, shook his cape, and called, “Bull! Come on! Charge!” Moe completely circled the killer, who retired in disgust when another lancer rode into the ring. “Stick him good!” Fergus directed.

The pike pointed at the great muscles of Moe’s back, as the bull charged. Moe’s head twisted in a blur of violence. Teeth clamped on the shaft behind the point. Too surprised to let go, the lancer followed his weapon from the saddle. He released his hold when Moe walked on him.

Like some fantastic dog stealing a fresh bone, the bull trotted around the ring, tail high and pike in mouth. The crowd laughed. Wild-eyed men carried out the trampled lancer.

A third, and extremely reluctant, lancer reined his horse through the gate. A pike in the mouth of a ton of beef utterly unnerved the man. He stood in the saddle and jumped over the barrier where a rain of rotten eggs from the booing fans spattered him thoroughly.

* * *

An uninjured bull pawed alone in the sand when the trumpet recording announced the end of the lancers’ period. The crowd noises softened to a buzz of speculation, questions, and comment, as the realization that weird events had been witnessed slowly penetrated that collective mind. The bull had not touched a horse, no pike had jabbed the bull, and five men had been killed or injured.

“Great Government!” a clear voice swore. “That ain’t no bull, it’s a monster!” This opinion came from a sticker in Illard’s team. Fergus attempted to persuade the man to help, since both of Fergus’s stickers were dead. Part of the crowd agreed with the sticker’s thought, for people began moving furtively to the exits with cautious glances at the animal in the ring. They, of course, could not know that the bull had been trained, with rubber-tipped pikes and dummies, in every phase of the bullfight; that he knew the first, and only, law of staying alive in the ring, “Charge the man and not the cloth.”

The clouds that had obscured the sky all day formed darker masses tinted with pink to the east, and the black dot of a turkey buzzard wheeled soaring in the gloom. Carrying, in either hand, a barbed stick sparkling with plastic streamers, Fergus walked into the ring. His assistants cautiously flanked him with capes.

Moe dropped the pike and charged in the approved manner of a bull. Fergus raised the sticks high and brought them down on the humped back, although the back was not there. The sticks dropped in the sand.

As the killer leaped aside in the completion of a reflex action, a horn penetrated the seat of his trunks. The Fergus Fanclub screamed while their hero dangled in ignominy from the horn. Moe ignored the flapping, frantic capes. The killer gingerly gripped a horn in either hand and tried to lift himself off. Gently, Moe lowered his head and deposited the man beside an opening. Fergus scrabbled to safety like a rat to a hole.

Four helpers with capes occupied the ring. When they saw death approaching on cloven hooves, two of them cleared the fence. The third received a horn beside his backbone and tumbled into the fourth. A dual scream, terrible enough to insure future nightmares, echoed above the screeching of the crowd. Moe tossed the bodies again and again across the bloody sand.

Silence slithered over the Highland Bullring and over a scene reminiscent of the ring’s bloody parent, the Roman Arena. Men sprawled gored, crushed, and dead across the sand. A section of the blood-specked barrier leaned splintered and cracked, almost touching the concrete wall. Unharmed, Fergus stood on one side of the battleground, Illard on the other.

Fergus reached over the wooden fence for red flag and sword. Turning his back on the heaving Moe, who stood but ten feet behind, the killer faced the quaking flesh that was Ringmaster Oswell, high up in the official box. The killer’s voice shook, but the bitter satire came through the sound of departing boats and aircraft. Fergus said, “I dedicate this bull to Ringmaster Oswell who has provided for us this great Dependence Day Bullfight in honor of the Great Government on which we all depend.” He turned and faced the bull.

Moe, for once, rushed the red flag, the only thing that made bullfights possible. His great shoulders presented a fair target for the sword.

Fergus, perhaps the only bullfighter ever to be gored in the brain, died silently. The sword raked a shallow gash long Moe’s loin.

In the sixth tier of the stands, saliva drooled from the slack mouth of the little man seated beside Stonecypher. “Now’s your chance, Illard!” the man squalled. “Be a hero! The last of the bullfighters! Kill him, Illard!”

Illard walked on shaking legs over bodies he did not see. He was short, for a killer, and growing bald. He picked up the sword Fergus had dropped, looked into the gory face of the bull, and toppled in the sticky sand. The sword quivered point-first beside his body.

 

 

 

Recessional

 

A wind whipped down into Highland Bullring. Riding the wind, blacker than the clouds, the inquisitive turkey buzzard glided over the rim of the stands with air whistling through the spatulate feathers of rigid wings. The buzzard swooped a foot above Moe’s horns and soared swiftly over the opposite side of the ring.

That started the panic, although Moe’s charge accentuated it. He crashed into the sagging section of the barrier. Cloven hooves scraped the wooden inclined plane, and Moe stopped with front feet in the first tier of the stands. He bellowed.

The bull killed only one spectator, a man on whom he stepped. The hundreds who died killed themselves or each other. They leaped from the towering rim of the ring, and they jammed the exits in writhing heaps.

Moe’s precarious stance slipped. Slowly, he slid back into the ring, where Ringmaster Oswell, quivering in a red toga, gestured from the darkness under the stands. The fat man squeaked and waved. Moe’s charge embodied the genuine fighting rage of a maddened bull. The scarlet door closed behind him.

Stonecypher, with fists bloody and a heap of unconscious fear-crazed spectators piled before him, sat down. “Well, Moe,” he whispered, “I reckon you got even for a few of the bulls that’s been tortured to death to amuse a bunch of nuts. Maybe it wasn’t the right way to do it. I don’t know. If I’d only had the gun—”

Catriona turned a white mask of a face up to Stonecypher. “They killed him, in theah?”

“Sure. Bullfightin’ never was a sport. The bull can’t win. If he’s not killed in the ring, he’s slaughtered under the stands.

“You have moah smart-bulls, Stony.”

The black copter came in with the sunset and hovered over the sand. The face of Duelmaster Smith peered out under his black tam, while a hooded man, with pistols tattooed on his hand, aimed an automatic rifle. The duelmaster smiled at Stonecypher and cried, “You really should have waited until you were farther out in the Lake, before you dropped that little buzzer in the water.”

 

 

 

 

Golfing alone

It was Black Friday at about six in the morning.

In the aisle was a display for two sets of toys. One was a Noah’s Ark with all the animals and Noah and his family. The other was Old MacDonald’s Farm.

My son had the Old MacDonald one. He’d gotten it a couple months earlier for his birthday. He wanted the Noah one. The display only had a couple of Noahs, but plenty of Old MacDonalds.

I was standing right by a Noah and waiting for the store employee to cut the banding. Once he did, it was game on.

I grabbed the Noah one. A woman lunged OVER THE TOP of the display and grabbed the same one I did, yelling, “I need this! You can have one of the MacDonald ones!”

She refused to let go. So I did the only thing I could do…I planted my feet and yanked her across. She had no choice, let go or take a faceplant into the floor. She was pissed.

She followed me around cussing me out. I ignored her. But when I went to get a game off the shelf, she lunged at my cart to grab the Noah toy. I slammed my hand down on hers as she grabbed my cart. This got her pinched fingers.

I cut across a line of people waiting to get DVD players to get to the other side. People parted to let me through. When she followed behind me I yelled and pointed to her, “Hey, she’s trying to cut in line!”

They converged on her like lions on a gazelle.

Her screams were most satisfying.

Whenever I’m in a bad mood, I remember that day, and those screams.

Suddenly I’m not in a bad mood anymore.

Pepe Escobar

Pepe Escobar writes about the major takeaways of his recent tour to Brazil.

I have just been immersed in an extraordinary experience: a mini-tour of conferences in Brazil encompassing four key cities – Sao Paulo, Rio, Salvador, Belo Horizonte. Full houses, sharp questions, fabulously warm people, divine gastronomy – a deep dive into the 8th largest economy in the world and major BRICS+ node.

As much as I was trying to impress the finer points of the long and winding road to multipolarity and the multiple instances of frontal clash between NATOstan and the Global Majority, I was learning non-stop from an array of generous Brazilians about the current inner contradictions of a society of astonishing complexity.

 

It’s as if I was immersed in a psychedelic journey conducted by Os Mutantes, the iconic trio of the late 1960s Tropicalia movement: from the business front in Sao Paulo – with its world-class restaurants and frantic deal-making – to the blinding beauty of Rio; from Salvador – the capital of Brazilian Africa – to Belo Horizonte, the capital of the third-wealthiest state in the Federation, Minas Gerais, a powerhouse of iron ore, uranium and niobium exports.

Chancay-Shanghai

I learned about how China chose the state of Bahia as arguably its key node in Brazil, where Chinese investment is everywhere – even if Brazil is not yet a formal member of the Belt and Road Initiative (BRI).

In Rio, I was presented with an astonishing work on Stoics Zeno and Cleanthes by essayist Ciro Moroni – delving among other issues into the equivalences between Stoic theogony/theology and the Hindu Vedanta – the tradition of culture, religion and sacred rituals in India up to the Buddha era.

And in a sort of psychedelic synchronicity, I felt like Zeno in the Agora as we debated the NATO proxy war against Russia in Ukraine at a lovely round pavillion – a mini-Agora – in fabled Liberty Square in Belo Horizonte, across the street from a fabulous exhibition of Treasures of Peruvian Art.

Much to my astonishment, a Peruvian, Carlos Ledesma, flew in from Lima especially for my conference and the exhibition; and then he told me about the Chancay port being built south of Lima, owned 70% by COSCO and the rest by private Peruvian capital; that will be a sister port of Shanghai.

Chancay-Shanghai: APEC in action across the Pacific. Next November, there will be three nearly simultaneous key events in South America: the G20 in Rio, the APEC summit in Lima, and the inauguration of Chancay.

Chancay will be boosted by no less than five rail corridors that may eventually be built – certainly with Chinese investment – from the agribusiness Valhalla in the Brazilian Center-West all the way to Peru.

Yes, China is all over the place in its largest trade partner in Latin America – much to the despair of a Hegemon sending lowly functionary Little Blinken to Beijing to hear the letter of the new law by Xi Jinping himself: it’s cooperation or confrontation, a “downward spiral”. Your downward spiral.

A river from Tibet to Xinjiang

At the Belo Horizonte conference, I shared the stage with remarkable Sebastien Kiwonghi Bizaru from Congo, who supervises PhD programs at the Candido Mendes University as well as being a Professor of International Law, after an extraordinary academic journey.

He is also the author of a ground-breaking book examining the highly debatable role of the UNSC in the conflicts of the Great Lakes – focusing on Rwanda, Burundi and the Democratic Republic of Congo.

With top researcher Natacha Rena, we pored over a map of China retracing her travels east to west last year all the way to the Xinjiang border – as she filled me in on the astonishing Honggqi River – or Red Flag River – Project, first proposed in 2017: no less than an attempt to divert water from Tibet to the dry lands and deserts of Xinjiang by building an enormous, over 6,000 km-long artificial river, including the branch canals.

The projected river will be slightly less longer than the Yangtze, diverting 60 billion cubic meters of water a year, more than the annual flow of the Yellow River. Predictably, ecologists in China are attacking the project, which may have already had an official go-ahead and is proceeding discreetly.

And then, as I was on the road between Rio and Minas Gerais, the BRICS 10 Ministers of Economy and heads of Central Banks met in Sao Paulo: and all of them hailed the drive towards “independent” payment settlement mechanisms. Russia is the 2024 president of this crucial group.

Russian Vice-Minister of Finance, Ivan Chebeskov, went straight to the point: “Most countries agree that payment in national currencies is what the BRICS need.” The Russian Ministry of Finance privileges the creation of a common digital platform congregating the BRICS Central Banks’ digital currencies and their national systems of transmitting financial messages.

Crucially, at this BRICS 10 meeting, most members stressed they are in favor of totally bypassing the U.S. dollar for trading.

Russian Minister of Finance Anton Siluanov was even bolder: he said that Russia is proposing to BRICS the creation of an independent and “de-politicized” global system of payments.

Siluanov hinted that the system may be based on blockchain – considering its low cost and minimal control exercised by the Hegemon.

BRICS map the new world in Sao Paulo

A day before the meeting in Sao Paulo, Foreign Minister Sergey Lavrov in Moscow supported the development of these BRICS strategies, noting that “if we manage to develop independent financial mechanisms, that will seriously question the globalization mechanism currently led by the West.”

As over 100 nations are currently researching or embryonically implementing a digital currency in their Central Banks, a big breakthrough is imminent in Russia – a process I have been following in detail since last year.

In the end, it’s all about Sovereignty. That was the crux of the most serious debates I had this past week in Brazil, with academic players and on several podcasts related to the conferences. It’s the overarching theme hanging over the Lula government, as the President seems to cast the figure of a lonely fighter cornered by a vicious circle of 5th columnists and comprador elites.

In Belo Horizonte I was presented with yet another astonishing book by a former, brilliant government official, the late Celso Brant. After a sharp analysis of the modern history of Brazil and its interactions with imperialism, he reminds the reader of what stellar Mexican writer and poet Octavio Paz said in the 1980s about Brazil and China: “These will be the two great protagonists of the 21th century.”

When Paz rendered his verdict, every indicator favored Brazil, which since 1870 held the largest GDP growth in the world. Brazil exported more than China, and from 1952 to 1987 was growing at annual rate of 7.4%. Continuing the trend, Brazil would be the 4th largest economy in the world by now (it’s between 8th and 9th, side by side with Italy, and could be the 5th, were not for direct destabilization by the Empire starting in the 2010s, culminating with the Car Wash operation).

That’s exactly what Brant shows: how the Hegemon intervened to crash Brazilian development – and that started way before Car Wash. Kissinger was already saying in the 1970s that “the United States will not allow the birth of a new Japan under the Equator line.”

Hardcore neoliberalism was the privileged tool. While China under Little Helmsman Deng Xiaoping and then Jiang Zemin went Full Sovereign, Brazil was mired in neocolonial dependency. Lula tried – and is now trying it again, against all odds and surrounded on all sides, with Brazil branded as a “swing state” by U.S. Think Tankland and potential victim of new rounds of imperial Hybrid War.

Lula – and some solid academic elites away from power – know full well that as a neo-colony, Brazil will never fulfill its potential of being, side by side with China, as prophesized by Paz, the great protagonist of the 21st century.

That was the major takeaway of my psychedelic tour of Tropicalia: Sovereignty. Viktor Orban – accused by simpletons of being a member of a fuzz “Neofascist International” – nailed it with a simole formulation: “The inglorious period of Western civilization will be brought to an end this year, by replacing the world built on progressive-liberal hegemony with a Sovereigntist one.”

Daily Comics

7b6fab0064cd539308f2da5eeed5f648
7b6fab0064cd539308f2da5eeed5f648

d461ce1090965f3f0161f760f88442ff
d461ce1090965f3f0161f760f88442ff

ea96ffcff5e7f9b4fce2dabe24940544
ea96ffcff5e7f9b4fce2dabe24940544

87aba8efa9e963ea0e13e5d95bdaf1c8
87aba8efa9e963ea0e13e5d95bdaf1c8

@c0d429d95122bd0932b9417b91e3a5a3
@c0d429d95122bd0932b9417b91e3a5a3

9e34aad5e2263324c393509b66d684c4
9e34aad5e2263324c393509b66d684c4

efc7b51f39833ffd69c5d5f28659f4a0
efc7b51f39833ffd69c5d5f28659f4a0

1a5a71f83d15be8008da5f7771f69ec6
1a5a71f83d15be8008da5f7771f69ec6

e03c68fd30c9809e0bb2defe29b49901
e03c68fd30c9809e0bb2defe29b49901

a068984b52a16ad3af036ed8bb5532e7
a068984b52a16ad3af036ed8bb5532e7

d41e7532711f2e08d61e3ca5fe307d8e
d41e7532711f2e08d61e3ca5fe307d8e

f66034c4fdd49c726ae111a1b10aac2f
f66034c4fdd49c726ae111a1b10aac2f

11e5fb63697db49a3f85917254396a1c
11e5fb63697db49a3f85917254396a1c

fc5a7b0364be815470cdbbd119a5112d
fc5a7b0364be815470cdbbd119a5112d

f75d8fb29cc526c08cd4a3c60d922227
f75d8fb29cc526c08cd4a3c60d922227

Ukrainian Losses And The Role An Austrian Archduke Has Had In Them

A recent piece in the New York Times connects us to a name which played a serious role in the last century of Ukrainian ‘nationalism’.

The history of the western Ukrainian region is, like in some other European areas, a quite convoluted drama that still plays out today.

The first reports of war fatigue in the ‘nationalist’ western Ukraine are coming in. Natalia Yermak, a Ukrainian cartoon producer turned New York Times journalist, reports from the Galician area near Lviv:

In Western Ukraine, a Community Wrestles With Patriotism or Survival (archived) – New York Times
As the war drags on, communities that were steadfast in their commitment to the war effort have been shaken by the unending violence on the front line.

It was sunset when Maj. Kyrylo Vyshyvany of the Ukrainian army stepped into the yard of his childhood home in Duliby, a village in western Ukraine, just after his younger brother, also a soldier, had been buried. Their mother was still crying in the living room.“I can already see that she’ll be coming to visit him every day,” he said that day. He was right, but he would not be by her side. A few days after the funeral, in March 2022, he was killed in a Russian missile strike on a Ukrainian military base and buried next to his brother, Vasyl.

The Vyshyvany brothers were the first deaths from Duliby and the surrounding community after Russia began its full-scale invasion on Feb. 24, 2022. Since then, 44 more Ukrainian soldiers from the area have been killed — more than four times the local death toll from the previous eight years of fighting Russian-backed separatists in the east.

For Duliby and its surrounding enclave of Khodoriv — total population around 24,000 people — waiting for the next solemn death notification and the funeral that follows has become a bitter routine. But even as the town meets and buries the fallen with modest ceremony, some neighbors are quietly weighing the price they are willing to pay for a war with no end in sight.

Divisions have started to form between residents agnostic about the war — often those whose family members have dodged the draft or fled the country — and those who have loved ones on the front line or who fully support the war effort.

The name Vyshyvany looked quite familiar to me. I’ll come back to it.

The reporter depicts Galicia, the hotbed of the Organisation of Ukrainian Nationalists (OUN) fascists, as ‘nationalist’:

In the earliest days of the war, before the news of the first combat deaths arrived, people in communities across Ukraine flocked to draft offices. Among them was Khodoriv, whose families have a long history of fighting for Ukraine’s independence and being executed or sent into exile during violent Soviet repressions of its nationalist movement in the last century.

Even in the region with deeply seated Ukrainian nationalism lots of people have skipped the draft by paying bribes or other means:

Petro Panat, the leader of the territorial defense unit, an ad hoc military unit formed in the early days of the war to protect local communities, said 10 out of 30 men from the unit had since obtained documents to legally exempt them from fighting. The exemptions are granted for reasons like health problems or relatives in need of care.

In the Khodoriv area, relatives of soldiers who are fighting or who have died at the front said that in the last two years they have begun to resent men in the community who are said to have bought their way out of service while their own sons and fathers are fighting — a feeling that may be shared by many across the country as the Ukrainian government wrestles with how to mobilize up to 500,000 more troops.

After the war is lost, with whatever other outcome, there will be a deep acrimony between families who’s members have fought and died in it and those families who had the means and foresight to bail their members out:

There is no legal way to pay for an exemption from military service in Ukraine, but there have been widespread reports of corruption in draft offices, with bribes ranging from $1,000 early in the war — “a buyout from death” — to as much as the $10,000 per head price that was revealed in a Kyiv draft center.

In future the distance between the ‘nationalists’ and pragmatist draft dodgers will get even bigger than it has been before the war. I wonder how much that could contribute to further internal strife or even a civil war in western Ukraine.

Now back to the Vyshyvany family name which was the first mentioned in the above piece. I had stumbled over it a while ago.

If one searches for that name it brings up the Wikipedia page of the Archduke Wilhelm Franz of Austria who was born in 1895. Wilhelm’s  rather complicate history and Ukrainian fascist-‘nationalism’ are deeply interwoven:

Archduke Wilhelm Franz of Austria, later Wilhelm Franz von Habsburg-Lothringen (10 February 1895–18 August 1948), also known as Vasyl Vyshyvanyi (Ukrainian: Василь Вишиваний), was an Austrian archduke, a colonel of the Ukrainian Sich Riflemen, a poet, and a member of the House of Habsburg-Lorraine.

Wilhelm was raised in his parental estate located in the Austrian city of Saybusch, Kingdom of Galicia and Lodomeria. His father was a patriot of Poland [..]. Accommodating the 19th-century rise of nationalism, he decided that his branch of the Habsburg family would adopt a Polish identity and would combine a loyalty to their Habsburg family with a loyalty to Poland. Accordingly, he had his children learn Polish from an early age and tried to instill in them a sense of Polish patriotism.

Wilhelm, the youngest child, rebelled, and came to identify with the Poles’ rivals, the Ukrainians. … [H]e developed a fascination with Ukrainian culture that he kept for the rest of his life.

The family eventual accepted his Ukrainian tendencies and groomed Wilhelm to become a ruler of Galicia, then part of Austria-Hungary and today of western Ukraine.

During the first world war Wilhelm became a Ukrainian ‘nationalist’:

The most acceptable course to solve the “Ukrainian issue”, for Wilhelm, was the creation of an autonomous Grand Duchy of Ukraine with federalist principles, within the Habsburg monarchy. That duchy, beside the Eastern Galicia and Bukovina provinces, could include as well Ukrainian lands that at that time belonged to the Russian Empire and which had to be reconquered.

Supported by the German-Empire and Austria-Hungary William fought as commander of Ukrainian draftees against the Bolsheviks.

When the world war ended William was hospitalized. Ukraine, the name translates to ‘border land’, again experienced the fate that comes with such an estate:

As he lay in the hospital, World War I ended, Austria-Hungary fell apart, and the Habsburgs lost their throne. In Eastern Galicia the West Ukrainian National Republic was proclaimed, while the Ukrainians of Bukovina tried, unsuccessfully, to unite their land with the new Ukrainian republic. The Bukovina was occupied by hostile Romanian forces, causing Wilhelm to flee to Lviv to avoid arrest. As Lviv was occupied now by Polish forces, Wilhelm again was forced to leave, moving to the Carpathian region where he was hiding in local monasteries for almost half a year. Meanwhile, while Germany was withdrawing its troops from Ukraine, the regime of Skoropadskyi in Ukraine was overthrown by republican forces of “Directorate”, led by Volodymyr Vynnychenko and Symon Petliura, and interest in seeing Wilhelm as sovereign of Ukraine faded.

During that era other nation’s rivalries affected the region – as they had done before for many centuries and as they still do today.

Between the world wars Wilhelm was mostly in Vienna but continued his Ukrainian ‘nationalist’ activities:

In circles of Ukrainian political emigrants, a hope was burning that the loss was not yet final and the Soviet regime could still be overthrown. In Vienna, Wilhelm became involved with pro Ukrainian monarchists who saw in him an opportunity, but nothing came out of it.

In Paris Vyshavanyi renewed his communications with Ukrainians when members of the newly formed Organization of Ukrainian Nationalists (OUN) found him. He twice met with head of OUN Yevhen Konovalets. Through Wilhelm, nationalists tried to find new sources of financing.

Wilhelm supported the German Nazis who, with the avid support of the Ukrainian OUN militia, killed many Poles and Jews after invading the Soviet Ukraine. When the Nazis turned against the OUN Wilhelm stayed with the Ukrainian ‘nationalists’:

Soon however, Wilhelm realized that the Hitlerites would not allow for creation of independent Ukraine even as a puppet state similar to Slovakia. After he and his brother Karl Albrecht were arrested and interrogated by Gestapo, Wilhelm changed his political views and soon joined the local anti-Nazi resistance in Vienna.

When the second world war came to an end Wilhelm was engaged by the British(?) and French secret services to instigate a Ukrainian nationalist terror campaign against the Soviet forces in Ukraine:

At some point Maas introduced Wilhelm to his colleague Jack Brier who in his turn in 1946 introduced Wilhelm to French military officer Jean Pélissier. The latter had been tasked by the French authorities to revive contacts with Ukrainian nationalists who continued to fight the Soviet regime. Confrontation between yesterday’s allies, the collective West and the Soviet Union, was becoming more obvious and eventually had grown into what now is known as the Cold War. The French representatives promised help with delivering by planes political agitation on the Soviet territory as well as Ukrainian militants who would join the Ukrainian Insurgent Army (UPA). At first the French representatives requested to meet personally with Stepan Bandera, but since it was too complicated, agreed to meet someone from his closer associates.

The ‘western’ allies, especially the U.S. between 1948 and 1952, spent a lot of effort and money to instigate an insurgency in Galicia against the Soviet forces.

Wilhelm was eventually caught by the Soviets. In 1948 he died in of tuberculosis a prison hospital

One wonders what else but the name connects the Vyshyvany family in the recent NYT piece with the Austrian aristocrat turned Ukrainian ‘nationalist’.

In the early 1950s, after the Soviets had launched a serious counter insurgency campaign, the post WWII fascist OUN insurgency in Ukraine eventually petered out.

In 2014 the U.S. revived it.

Posted by b on May 9, 2024 at 15:00 UTC | Permalink

  1. Don’t celebrate too soon, wait until it’s a sure thing.
  2. Don’t give things to people who won’t value them.
  3. Starting is simple, but keeping going is tough.
  4. Showing off starts when being smart ends.
  5. Even someone foolish can have a talent.
  6. Even when time feels never-ending, life is still short.
  7. Everyone likes different things. Let’s respect each other’s preferences.
  8. Fear is only as strong as we let it be in our minds.

Is this the end of the United States as a global superpower?

SUMMARY: US lost dominance nearly decade ago on all fronts, now, its prepping a world war against China to regain it before the gap gets wider

Dominance? This was over 7 years ago.

Dominance? America lost the first spot to China on all fronts.

ECONOMIC: China is the world No. 1 Economy for 5 years and over 10 years if you add the black economy.

POLITICAL: U.S policy was based on creating Win-lose, China’ relies on Win-Win.

Invasions and destruction VS. Investments and development.

All U.S close allies rushed to China to build partnerships, after realizing that the US can’t be trusted for a blink.

These partnerships are China’s Political leverage in the future. A way smarter approach than bullying and intimidation.

China is respected, the US is (was tb precise) feared.

The atrocities and impunity of the several consecutive US administrations made the US hated but feared worldwide, which made China loved and respected when it emerged.

China is a 70 century old wise grandad compared to the US,a bustard 4 centuries baby with a diaper, wisdom and experience is a key on the policies front.

(Note: bustard is not an insult here,but a description of a nation born on a raped land from another, and that explains alot, since It was born with brute force and relied on it to present)

TECHNOLOGY: One of the Chinese giants, HUAWEI is times bigger than the entire of Silicon Valley companies. Search Huawei city and see the wonders. HUAWEI is the world’s no 1 mobile phones manufacturer, the forbidden APPLE is the 3rd next to Samsung. But that’s just the tip.

HUAWEI is the world’s leading telecom company, owns the 5G with over 1000+ patents and now the 6G.

The panic of NSA and the 5 eyes( US,CA, UK,AU,NZ) over the 5G says it all. The FIORC or the 5 eyes WERE tapping and controlling all Internet and telecommunications until the 5G. Now, HUAWEI or China runs the show.

But the desperation of US was really interesting, starting with an idiotic campaigns on the hazards of the 5G, as if the 4G was the last safe thing and supposedly we shouldn’t advance anymore?

That was followed by an even more idiotic move from NSA, which announced they will start their own 5G, they will reinvent the wheel, so by the time, they are ready, there will be 6 or even 7G.Ofc the intention was a message of the US capabilities to the world, which was perceived as a silly joke.

Now, the entire West is paying loyalties on the 5G patents to HUAWEI.

Tables are turned, yesterday’s payee is today’s payer. More importantly, intelligence is in the Chinese hands

And another desperate but seriously idiotic move was by Trump, by calling Tredau of Canada, to arrest HUAWEI daughter( also HUAWEI CFO) from Canada to the USA in an attempt to blackmail HUAWEI on the 5G. Obviously blackmailing was Trump’s Art of the deal.

These desperate moves signals the panic of the US and its typical way of thinking. Just American muscles no brains.

Since Technology and communication is a key and crucial factor in today’s smart weapons, it adds leverage to the military front.

MILITARY This is tricky, because practically wars aren’t 1vs 1, but alliance vs alliance.

1 vs 1, China has an equivalent of each US weapon,but China has weapons, the US never saw before on the military parade of the Chinese party 70 year anniversary. Its available on CCTV UT channel.

The US realises that the gap with China is growing wider every day. So, its Now or Never.

Knowing that China and Russia have joint defense agreement, then they will face both together.

The US used Ukraine to provoke Russia and Taiwan to provoke China. These pawns are presented to the public under the pretenses of democracy and free world, as always. Its not Ukraine vs Russia, its the US and the Nato against Russia and China for now.

Inflation rises in the countries of conflict, pretty normal, but in the present quarter, it’s the entire world.

This is not a regional conflict, its a global one, its a brink of a world war.

The US weapon stockpiles have been depleted to critical levels, making the US incapable of self defense with its current levels. Manufacturing backlogs are full. The US won’t risk that unless its at war, not for any of the pawns. These stockpiles are enough to go against the entire world.

It’s pretty clear that the 4 centuries diapered baby, is a cry baby, and can’t learn or accept the fact, that life and existence is a cycle, everything is a cycle.

Europe had its 2 centuries of dominance, then the US had its 2, now its China, its Asia time.

The West had 4 centuries collectively, now its the East time

So, the US crybaby isn’t mature enough to grasp that concept, and seek a confrontation while at parity, hoping to stay dominant.

Nothing strange, Muscles no brains is the American way of thinking.

The brat doesn’t realise its not a confrontation vs Russia and China, its against a cycle, its against nature

Man has never won against nature

So again, DOMINANCE was 10 years ago, and heading to DOOM, unless one of those immigrants isn’t thinking the American way.

God saves us all from the immigrants way of thinking

This answer isn’t really about saying what one single country has done the worst, but I do want to share below on the cruelty of humankind that many people don’t know about.

Graphic content below but I want to make this known because so many people around the world have heard of Hitler, Nazis, concentration camps but they have not heard of the Asian holocaust that took place around the same period. In western schools, history classes do not teach people about this part of the world.

This is regarding what the Japanese did during their occupation of Korea and the war crimes they committed to the surrounding Asian countries, including some Pacific Islands they invaded: Mainland China, Taiwan, Hong Kong, Vietnam, Cambodia, Laos, Thailand, Malaysia, Philippines, Singapore, Myanmar, East Timor, New Guinea, Indonesia, Guam, Nauru, Wake Island, Attu and Kiska Islands, and Kiribati.

They even had some prisoners of war from the west such as America, any forces against them at the time etc.

Hundreds of thousands of women from my country in Korea were forcefully abducted (called comfort women) during the Japanese occupation in WWII. They endured torture beyond imagination if they did not sexually submit to these vile men and were forced to have sex with 60–100 men every single day. Their innards rotted because of the STD’s and they were destroyed, unable to have children even after they were rescued. They have still not been compensated for the war crimes that they endured and majority have died.

Pregnant mothers were cut open and rape victims were sodomized with bamboo sticks and bayonets until they died in agony.

On top of this they literally tore the unborn from women’s wombs and speared them alive with bayonets in front of them. They’d crush the infant’s skull against concrete floors. They would actually do this for fun.

They tied sons and daughters up and tore them apart, spearing them and forced their own parents to watch.

They raped and pillaged women of all ages, even toddlers to old women in the most vile, disgusting way imaginable. They would often disembowel them while in the process, leaving them to die in agony.

They conducted unspeakable evil torture and experiments on LIVE prisoners from these countries even children and infants in UNIT 731. Torture methods such as vivisecting pregnant women without any anesthesia, centrifuging and killing people in pressurized chambers, and slowly burning and freezing people alive. They artificially created what is similar to the ‘bubonic plague’ to see the effects on the prisoners. They were forced into X-rays until they perished and hung people by their thumbs or tongues etc. These torture tactics were deliberately planned to see death happen SLOWLY, not fast as the scientists wanted to observe the resilience of the human body.

Unbelievably Inhumane Japanese Torture Methods Used During World War II

@main qimg 30af759ac02bf12299e3638e3d9082d3 lq
@main qimg 30af759ac02bf12299e3638e3d9082d3 lq

Bodies of slayed, raped, mutilated women in China.

@main qimg 5265b29cc2832a97f3ac4f46bc541daf lq
@main qimg 5265b29cc2832a97f3ac4f46bc541daf lq

Carcasses of babies and children piled up

Despite this, Japan still presently denies what has happened and even believes that it is a rumour. The young people as shown in this video are absolutely clueless on the whole tragedy, or are so far removed in cognitive dissonance and lack of care it is astounding. There is even a middle aged woman in the video who even says “Well I’m not sure if it’s a rumour or not…” which is an absolute lunacy and an embarrassment of the failure of the country in not properly educating their citizens of what truly happened. One young girl even says “Well, I wonder when they will ever get over it…” How are people supposed to get over it when there are still thousands of victims still alive who didn’t receive any form of compensation for what they have been through??!

Their government still warps their history books and deliberately tries to hide the evidence of what truly happened. There are active groups within Japan who refuse to accept what happened and truly believe that it is just a ‘rumour’ to make Japan look bad.

Below is a real life account by a South Korean comfort woman on the horrors she went through. It is heartbreaking to watch but quite educational. She sadly passed away early January of this year, fighting until her last breath but still never receiving any compensation or apology from the Japanese. They don’t even recognize that she went through this or who she is.

What Japan did wasn’t just an invasion or occupation, it was a literal carnage, hell on earth genocide. They didn’t have to go this far as majority of the Koreans and the people they invaded were helpless farmers, uneducated servants, and innocent people who were not trained in war at all. They did this because they did not see these people as human, and their goal wasn’t simply to occupy, but to desecrate the spirit from within. They knew when you destroy someone’s spirit from inside out, the enemy will bend the knee and yield to the point where they do not have the soul to fight back. On top of this, it was just pure, raw sadistic savagery because all of these helpless people surrendered and begged for mercy yet they were still tortured for absolutely no reason.

Presently Japan is one of the most wealthiest and prosperous Asian countries in the world yet they cannot even fully take responsibility for their own committed atrocities. It shows the savage barbarism that still resides in some of these people and makes one question just how this is even acceptable. Instead they choose to warp their own history books, flat out deny it existed and have refused to offer sincere, acceptable compensation/apology for the people who are still alive. They are waiting until every last victim is dead so they no longer have to worry about it. They are not truly ashamed of this past, they just see it as an eyesore they want to bury. Keep in mind Japan has very strong political parties that strives to ensure this shameful past does not reach the masses to retain their false honour. Still to this day, they praise their war criminals in shrines.

From the invasion of China in 1937 to the end of World War II, the Japanese military regime murdered near 3,000,000 to over 10,000,000 people, most probably almost 6,000,000 Chinese, Indonesians, Koreans, Filipinos, and Indochinese, among others, including Western prisoners of war. This is more than the estimated Jewish victims of the Holocaust.

Japanese Prime Minister Shinzo Abe controversially claimed that “there was no evidence to prove

” that the women had been coerced into sex.

“The government of Prime Minister Shinzo Abe is engaged in an all-out effort to portray the historical record as a tissue of lies

designed to discredit the nation,” wrote Mindy Kotler, director of Asia Policy Point, in 2014.

“Mr. Abe’s administration DENIES that imperial Japan ran a system of human trafficking and coerced prostitution, implying that comfort women were simply camp-following prostitutes,” Kotler continued. “The official narrative in Japan is fast becoming detached from reality, as it seeks to cast the Japanese people — rather than the comfort women of the Asia-Pacific theater — as the victims of this story.”

Japan’s Textbooks Reflect Revised History

Japanese Broadcast Official: We Didn’t Commit War Crimes, the U.S. Just Made That Up

Why is it so hard for Japan to say sorry?

China criticises Japan after Shinzo Abe honours war criminals as martyrs

Letter threatening to hunt Koreans sent to South Korean embassy in Japan: media

The Harrowing Story Of Filipina Women Enslaved In Japan’s Wartime Rape Camps

Edit: Since I’m getting quite a lot of people who clearly don’t seem to understand and may not have read or researched in depth in this matter. They are saying that ‘Japan does not need to offer apology or compensation for what people of their past did etc’

It’s more complicated than that. Please read the links again. Even if they can’t take full responsibility for their past, they SHOULD take responsibility for the future by not warping textbooks and giving power to political parties who enforces the dangerous fallacy of denying any of this ever happened or triviliazing everything that has happened. They should make sure to teach the correct history not just for educational purposes but to ensure their citizens fully know and accept their own history and in hopes that it never happens again. This is also to help not silence the voices of the people who did suffer in the past and to not spit into their graves and ruin their legacy by spreading false lies.

Also the comfort women and people who were forcefully taken into labour camps as prisoners, many are still alive who didn’t actually get any compensation or even sheer RECOGNITION. They suffered their entire lives without anyone hearing what they have to say with nothing from Japan. This isn’t just about wanting Japan to go up to a stadium and saying ‘I am sorry’ and stepping down. Words are meaningless and worthless when not backed up by actions.

They are hypocrites by warping textbooks and also praising their own war criminals. Imagine what the world would say if Germany praises Nazis and gave strong political power that has the power to make Germans never even hearing of the Nazis or even contemplate if it was just a rumour or not. Think about how insane that would be.

So why do they need to apologise? Because unlike Germany what they are doing is absolutely deplorable and morally bankrupt by continuing to CONTRIBUTE to the legacy of the abomination Japan was in the past. You don’t see Germany do the same about the Nazis and for good reason. It means Japan hasn’t learned and is not truly remorseful. By Japan I am talking of the FACES of Japan which are the politicians who represent that country. The rest of the Japanese experience their trickle down effect so while it’s not saying it’s their fault, they end up indirectly contributing to supporting these vile politicians. So yes the people who represent JAPAN who hold the power of influence does need to sincerely apologise and stop contributing to what they are presently doing.

As I am getting tired of having to repeat the same information again and again, any comments that say things along the lines of ‘Japan does not need to apologise’ or those questioning or defending the existence of any of these crimes, their war criminals will be either ignored, deleted or blocked. It is extremely disrespectful to the victims and deplorable. The links are already here clear as day for reading and one can also do the research themselves. Also for anyone who brings up another atrocity and pitting them against each other, STOP. This post isn’t about pitting one atrocity against another, it is disgusting to even keep doing that and seriously lacks any insight into the original point of my post.

Otherwise thank you for reading and have a nice day.

TLDR: Japan did some truly horrific shit that many people including the present day Japanese citizens are unaware of. What they did is abysmal carnage from the Antichrist itself.

Also, piece of shit apologies that require uttering words and throwing money at victims isn’t a true apology when they are still in 2019 warping textbooks and none of their youths know anything about their crimes.

Why do you think Germany forces every citizen to learn about their nazi history and Japan doesn’t ? It is to deliberately ignore and not acknowledge their past due to their pride and selfishness. I’d equate this to even pathological narcissism and psychopathy due to how far they have gone in managing stomping this knowledge out of their own present day citizens.

Edit 2: As I am still getting very disrespectful, deranged comments on here pitting atrocities against each other, saying that I am spreading lies and others spewing ignorant hatred against Koreans, here’s my final message.

Instead of blaming others, look at what Japanese Nationals do. Stop diverting the attention away by blaming the mistakes of other countries yet not acknowledging the corruption of the Japanese government

Japan’s leaders are still stubbornly refusing to admit their war crimes

What Japanese history lessons leave out

Japanese people often fail to understand why neighbouring countries harbour a grudge over events that happened in the 1930s and 40s. The reason, in many cases, is that they barely learned any 20th Century history. I myself only got a full picture when I left Japan and went to school in Australia

The Germans in contrast have personally done everything possible to make people aware of their Nazi past. Japan doesn’t scratch the surface of what it means to have true honour while Germans go to great lengths to do humanitarian work and to allow their citizens to understand the FULL facts. This is what true compensation and remorse actually is, not the dogshit excuse of what Japan does by also denying people, correct history. End of.

We must remember Nazi crimes, says Merkel

Daughter was 4, old enough to be dropped at a birthday party for sometime without husband or I to be with her.

At this one party, I dropped her and told her I would be back in an hour and that if she wants to come home, she just needs to tell the birthday boy’s mother to call me.

She assured me she was okay and headed off inside.

I was skeptical as this was the first time but she appeared okay to me.

I went back after an hour and a half to see the main door was open. I took out my slippers and peeked in. A bunch of kids were fighting and screaming. A few girls were crying put loud for their mother terrified with scene going on in front of them.

I looked around for my daughter and didn’t see her.

I panicked.

The host was in the middle of the whole drama trying to call a truce but in vain. Two mothers joined me just then and took control over the situation.

I looked around for my daughter and still couldn’t find her. I went over to the host lady and asked her about it.

She laughed and pointed at a teeny tiny corner of the dining table.

Perched up on the chair sat my daughter with a plate in her hand, munching away the food. Oblivious to the surrounding.

The whole area looked like a war zone. ‘Hurt’ people were complaining to their mother. The birthday boy was crying because his party was spoiled plus someone broke his favourite toy. Some girls were crying because they were afraid and wanted to their parents to take them away.

And here was my daughter not caring a damn to the drama that unfolded around her.

“Hey!” I said as I walked up to her.

“Mumma, the fried rice is really good and also these cheese balls. You want?” She said beaming.

“There was a fight here. You know what happened?”

“No. I am eating.” She said as she dug into her cheese ball with a fork.

When it comes to food she doesn’t care even if the world is ending.

Yep, that’s my kid.

Women has it so easy…

During a light-hearted exchange, a man guessed this 39-year-old woman’s age to be 43, which apparently pissed her off. She followed him to the bathroom and glassed him in the face, twice.

He received a 10cm cut that narrowly missed his eye, as well as an injury to his thumb while he was trying to shield his face. He needed stitches for his injuries.

Judge Elizabeth Nicholls spared her prison. The judge said that she could see this woman was a ‘hard working woman’, ‘loving mother’ and ‘no risk to the public’. Yeah right.

main qimg d60fb4bdc693281e77384d3ad2d6ea08
main qimg d60fb4bdc693281e77384d3ad2d6ea08

In September 9 last year Joanne Dodd was out with her sister at a Manchester pub. In a banter with a guy he was asked to guess their age, at which he guessed Joanne to be four years older than she was. This made her fly into a rage and threaten to hurt him. He excused himself to go to the bathroom and away from the hostile situation, but she followed him and attacked him with her glass.

In court her lawyer said she was drunk. Said she was suffering from low self-esteem. Yeah aren’t we all. We just don’t cut up people’s faces when we’re drunk.

Joanne pleaded guilty to inflicting grievous bodily harm. She was facing up to three years in jail under the sentencing guidelines.

But she was spared jail. The judge said, “one person’s banter may be insulting to others, but that did not justify what you then went on to do.” Fair enough, but come on.

She almost rendered someone blind over a joke, gave them multiple lacerations in the face that needed stitches.

Joanne was sentenced to 12 months in prison, suspended for 12 months and was ordered to complete 180 hours of unpaid work. Plus also ordered to pay £800 in compensation to her victim.

When I worked for the legal department of the Department of Children and Families, about year three or so I begin to experience profound depression, bad enough that I needed to seek medical assistance. I felt pretty miserable that my life had deteriorated to a point where I would need psychotropic medication just to function, but the doctors assured me that it was a very good thing that I was seeking help from them instead of trying to self medicate and we tried to work on a plan. The problem was, I hadn’t taken any psychotropic meds for years at that point, and I wasn’t sure what would be effective. At this point, there are so many medications on the market that sometimes it’s literally a game of “well, let’s try this and see how it goes.”

The first medication I took, Prozac, made me paranoid, even at half-dose, and gave me full-blown panic attacks. I called the doctors’ office to explain my symptoms, and they continued to tweak, but suggested that I give the meds a chance to work. The meds ended up knocking me out constantly. I literally would not have been safe to drive a car, let alone work with legal files.

The managing attorney of the office threatened my employment when I came back to work, stating that me being out of work for five days put too much stress on everyone else in the office for coverage. Mind you, this is the same person who would make small talk with me about when I was going on vacation and asked me why I hadn’t dropped everything to go across the country with my husband on one of his spur-of-the-minute trips California or Italy or something. So it’s totally cool if I ask for time off to go on a drinking trip, but actually trying to manage my mental health so that I can continue to do the job of a junior attorney for a receptionist pay is borderline terminable?

This is the same supervisor who took nine months off for her own maternity leave, but was demanding that an underling come back to work four weeks after giving birth because “the office needed her.” Completely unempathetic human being. Before she became the managing attorney, the turnover in the office was practically zero; afterwards, it stood close to 90% every two years.

I was working the front desk at the police station when a woman came in and said she had some information. She told me her boyfriend (I had the impression he was or was about to be an ex-boyfriend) had two sticks of dynamite hidden under her house. She wasn’t sure why he had this. I recorded her information, thanked her, and sent her on her way.

The usual procedure would have been to notify our bomb squad. My department participated in a regional EOD (explosive ordnance disposal) team that was staffed by representatives of the local police, sheriff, and fire departments. Our PD’s representative was an academy classmate. He was (and is) also an asshole. I avoided talking to him for any reason. One of my close friends, however, was the EOD tech for the fire department. I called him at home and passed along the information.

About six hours later, my friend came in to the PD to update me. He had gone to the address in question for recon, found a suspicious package under the house (maybe some search and seizure issues here, but Not My Problem), and called the rest of the team. They recovered the two sticks of crystallized (read: highly unstable) explosive, which they placed into a bomb-proof cask to transport to a remote location to be “rendered safe” (read: blown up). He thanked me for a most productive day.

I was in court over a ticket, it was dismissed so I’m not a lawbreaker.

Anyway, this little old lady was called up. She’d been given a ticket for speeding in an area at the end of my street, a busy business highway street that goes through the main part of a town.

The lady told the judge she wasn’t speeding, she was actually going under the speed limit. The judge called the officer up, he said he clocked her going 44 in a 40. The officer said I clocked her in front of… some local business, XXXX, and she was doing 44mph. I drive that area every day, multiple times. The speed limit there is 45. She really wasn’t speeding. The judge started to chew the lady out, stating she was getting too old to drive and needed to surrender her license and sell her car.

I muttered something to the effect that she hadn’t done anything wrong and was driving fine, and the judge heard me. I was thinking “oh crap! now he’s not going to listen to me when it’s my turn!!” but I said I live on SSSS street and drive the road in question, every day. The speed limit in front of XXXX business is 45, the speed limit if 40 up the YYYY business and the 45 mph speed limit sign in on the easement in front of their business. The speed limit where he’s talking about is 45mph.

The judge had to get another officer on the phone to go and check where the speed limit changed. The lady went to sit down and wait. I was nearly last so when they got the call back he dismissed her ticket. I bet the officer got in trouble for giving false tickets.

All empires fall. It’s an historical fact.

The American Empire is falling. The US Dollar is America’s main pillar of power and the world is massively de-dollarizing.

Within the next 20 years, the US Dollar will no longer be the world’s primary reserve currency. It will be replaced by something else.

When that happens, the Empire will collapse. It will no longer be able to afford its military.

America is also rotting from within. It is plagued by domestic problems such as homelessness, gun violence, poor health care, poor education, crumbling infrastructure, opioid addiction, systemic racism (“I can’t breathe”), mass incarceration, growing poverty, etc.

The US political system is in turmoil. Deep political division. Deep corruption by the corporate capitalist elite. January 6, 2021 is just the beginning.

As we report, the disintegration of the old order is visible everywhere. Sanctions are used four times as much as they were during the 1990s; America has recently imposed “secondary” penalties on entities that support Russia’s armies. A subsidy war is under way, as countries seek to copy China’s and America’s vast state backing for green manufacturing. Although the dollar remains dominant and emerging economies are more resilient, global capital flows are starting to fragment, as our special report explains.The institutions that safeguarded the old system are either already defunct or fast losing credibility. The World Trade Organisation turns 30 next year, but will have spent more than five years in stasis, owing to American neglect. The IMF is gripped by an identity crisis, caught between a green agenda and ensuring financial stability. The un security council is paralysed. And, as we report, supranational courts like the International Court of Justice are increasingly weaponised by warring parties. Last month American politicians including Mitch McConnell, the leader of Republicans in the Senate, threatened the International Criminal Court with sanctions if it issues arrest warrants for the leaders of Israel, which also stands accused of genocide by South Africa at the International Court of Justice.

It is the U.S., the country which arguably benefited the most from the liberal international order, which is actively destroying it.

Others, if they did not attract random U.S. rage and war against them, also saw some benefits from it. Those small to medium countries will most likely lose out should the current regime collapse.

That would not be unprecedented:

Unfortunately, history shows that deeper, more chaotic collapses are possible—and can strike suddenly once the decline sets in. The first world war killed off a golden age of globalisation that many at the time assumed would last for ever. In the early 1930s, following the onset of the Depression and the Smoot-Hawley tariffs, America’s imports collapsed by 40% in just two years. In August 1971 Richard Nixon unexpectedly suspended the convertibility of dollars into gold; only 19 months later, the Bretton Woods system of fixed-exchange rates fell apart.

Similar ruptures, like the examples above again caused by the U.S., may happen soon.

Interestingly the Economist does not name a solution or way to avoid it. It sees a collapse coming, blames -more or less- the U.S. for causing it, but does not point to way out of it.

That is an uncharacteristically pessimistic view for writers who otherwise like to paint a positive picture for those with big money.

Posted by b on May 10, 2024 at 14:04 UTC | Permalink

Picadillo-Stuffed Turkey with
Orange-Tamarind Sauce

Add Latin flavor to your holiday turkey with the addition of picadillo ingredients to the Stove Top Stuffing.

picadillo stuffed turkey
picadillo stuffed turkey

Prep: 30 min | Total: 4 hr 45 min | Yield: 24 servings

Ingredients

  • 1 tablespoon oil
  • 1 small onion, chopped
  • 2 cloves garlic, minced
  • 1 1/2 pounds ground pork
  • 1 (8 ounce) can tomato sauce
  • 1 (6 ounce) package Stove Top Stuffing Mix for Chicken
  • 1/2 cup raisins
  • 1/2 cup Planters Slivered Almonds, toasted
  • 5 large navel oranges, divided
  • 1 (15 pound) frozen turkey, thawed
  • 1 (14 ounce) package frozen tamarind pulp, thawed
  • 1/2 cup granulated sugar
  • 4 1/2 teaspoons cornstarch
  • 1 tablespoon butter

Instructions

  1. Heat oven to 325 degrees F.
  2. Heat oil in large skillet over medium heat.
  3. Add onions and garlic; cook and stir for 3 minutes.
  4. Add pork; cook 5 minutes or until no longer pink, stirring occasionally.
  5. Stir in tomato sauce; cook 2 minutes.
  6. Add stuffing mix, raisins, nuts and the juice of 2 oranges (about 1/2 cup); mix well. Cook for 5 minutes or until heated through, stirring frequently.
  7. Remove from heat. Cool for 15 minutes before stuffing the turkey.
  8. Remove and discard neck and giblets from turkey cavities. Rinse turkey; drain well. Free legs from tucked position, but do not cut band of skin. Place turkey, breast-side up, in roasting pan.
  9. Spoon stuffing into neck and body cavities, being careful to not pack stuffing into turkey too tightly. Return legs to tucked position; turn wings back to hold neck skin in place.
  10. Cut 1 orange into 8 slices; arrange over turkey. Cover breast loosely with foil to prevent over-browning.
  11. Bake for 3 hours, basting occasionally with pan juices.
  12. Meanwhile, combine tamarind pulp, sugar and juice from remaining 2 oranges in saucepan.
  13. Remove foil; bake turkey 45 minutes to 1 hour or until done (165 degrees F), brushing with 1/4 cup of the tamarind mixture for the last 15 minutes.
  14. Remove turkey from oven; let stand 15 minutes before carving.
  15. Meanwhile, stir cornstarch into remaining tamarind mixture until completely dissolved. Bring to boil; cook and stir 1 minute or until thickened.
  16. Remove from heat; stir in butter. Serve warm with turkey.

Notes

Serve with smart sides, such as a crisp mixed green salad and your favorite hot steamed vegetable.

A 12 to 14 pound frozen turkey needs 3 to 3 1/2 days of thawing time in the refrigerator. The cooking time will range between 3 to 3 3/4 hours until the turkey is done (165 degrees F).

Do not stuff turkey until ready to roast.

Nutrition

Per serving: Calories 460

Total fat 20g Saturated fat 6g Cholesterol 130mg Sodium 260mg Carbohydrate 26g Dietary fiber 2g Sugars 17g Protein 45g

% Daily Value: Vitamin A 2 % DV Vitamin C 0% DV Calcium 6% DV Iron 20% DV

* Nutrition information is estimated based on the ingredients and cooking instructions as described in each recipe and is intended to be used for informational purposes only. Please note that nutrition details may vary based on methods of preparation, origin and freshness of ingredients used.

“You never deserved any of the promotions I gave you.”

I started working for a small non-profit when it was only 3 other employees and myself. I was able to take over a lot of jobs that they were outsourcing and saved the foundation over $15,000 a year in contract labor within 6 months of being hired. Within 2 years, the organization grew to over 15 full time employees and I was promoted from Office Admin to Office Manager to Finance Director/Travel Logistics Manager. I was on call every day and often sacrificed family stuff to make sure the participants in programming were taken care of.

After 2 years, I took a 9 day vacation with my family to Disney. I made sure that everything was taken care of before I left and had made arrangements with co-workers to be able handle anything that came up. I left contacts for myself, and my husband should there be an emergency. On the plane to FL, I lost my phone. When we arrived at the hotel, I immediately emailed from my personal email to let my co-workers and boss know what had happened and how I was handling it.

When we returned, my boss pulled me into her office and berated me for not taking my work laptop on my family vacation and said that losing my phone (not a company phone) was extremely “unprofessional” and that I didn’t deserve anything I had earned in my tenure there. She claimed that she had only advanced me because she was being nice and yelled at me so loudly that the entire office heard. I told her that was pretty poor leadership and gave my 2 weeks notice to the founder and explained the situation. Unfortunately, he felt we were “just being catty” and refused to do anything about it.

You may not believe this, but…

Los Angeles. 1980, at a stoplight. three lanes. Truck in furthest left lane, A friend was next to me in middle lane, I was in the inside lane. My friend and I were revving our motors like we were going to drag race as soon as the light turned green.

Rev..rev, looking at the light. Waiting. Yellow comes on in other direction, I was ready to go when….. this old man crosses in front of us, slowly. He looks like he’s 100 years old and so slight you can barely see him.

The light turns green but we can’t go because of the guy. All of a sudden, from my left, a truck comes barreling through his red light, crossing directly in front of us. If it wasn’t for the old guy walking across our paths, we probably would have been dead. Except……later at the coffee shop, we were talking about what a close call that was, and that but for the old guy crossing we would have been toast.

My friend asked: “what old guy?” I said the old guy crossing the street in front of us, isn’t that why you didn’t take off? No, he said, I just don’t race at stoplights. I thought we were playing.” My friend was killed, a long time ago by an old driver racing through a red light and striking his car, killing him. Me: “So you didn’t see the old guy.” He laughed thinking I was making it up.

My life was saved by a ghost.

It was immediately after he moved in.

We had a house for 10 years. Beautiful natural yard with several 200+ year old oak trees. Mr. New Jersey moves in behind us and immediately starts pestering my wife about getting those trees cut down because, “if they fell over they could land on his house and take out the power so they needed to be removed immediately.” Wife demanded I not talk to him about it as she didnt want me to anger new neighbor.

She put up with it for a year. I was really sick of hearing her complain about it. So I started doing yard work where he could see me. He came over and started his rant. I politely told him noody was cutting down my wifes healthy oak trees and the subject was closed. I then pointed out his pretty shrub was growing into the powerline and needed to be trimmed or we would all have a power outage.

A few weeks later the power company came out for a service call(3 days with no power for the neighbor hood). His shrub had taken out the power.

We all now get along great.

When the 65 foot Hackberry tree died, I rented a 45 foot boomlift. He let me park it in his backyard while I cut that thing into 2 foot pieces and lowered it to the ground. It was leaning over the powerline. The power company wouldnt cut it down and I had to take it down in pieces so it didnt knock out the power to the neighborhood.

He asked me why I was doing the work instead of hiring a tree service. I explained its easy work and I find it fun and relaxing.

I get to drive a 45 foot boom lift and play with a new chainsaw. Its guy heaven.

He had thought he could bully a woman into cutting down her trees. As I had not gotten involved in the fracus for a year he maybe figured I was intimidated by him for some reason. Then he realized I wasnt intimidated and just supported my wifes opinions.

I was a stripper for about ten years, so I’ve talked to far more men than the average female. The one thing most married men talked about missing from their marriage/relationship was acknowledgement, particularly for their feelings, and also their contribution. Men would routinely tell me that their wife behaved as if she was owed a better husband than he was to her; she deserved a man who made more money, but she also deserved a man who spent more time at home. And very often, a man would go to great length and trouble to do something special for a woman he cared about, and very often, she would mention the parts he fell short in that endeavor. Women have encouragement and acceptance and affection and appreciation, and when a man gets all that from a woman, her holes are hardly the thing he wants most from her. In fact, if any woman has nothing to offer, but her holes, she will find herself very unwanted, even if she has really good holes.

Aliens & Espionage: Crop Circles and the CIA Coverup | They Don’t Want You to Know

This is great fun. Learn something.

Quietus by Ross Rocklynne

Quietus

by Ross Rocklynne



Preface by David Drake



Like a number of my other picks for this anthology, I read "Quietus" before authors' names meant anything to me. I didn't run into the story later, when the name Ross Rocklynne would've been familiar. (In 1972 I read early '40s issues of Planet Stories, and then a series by Rocklynne stood out very vividly.)

I didn't remember the story's title, either, so I didn't rediscover it until a few years ago when I made a determined search through a number of anthologies I'd read when I was thirteen or fourteen. There I found "Quietus," just as effective as I remembered it being. A story that stands out so clearly decades after I'd forgotten its title and author belongs in this collection.

"Quietus" hit me between the eyes with the concept that who we are creates a bias in how we view the world. I've never forgotten that lesson, though I won't pretend it's always been as close to the front of my mind as it should've been. Still, I'd like to think that because of Rocklynne's story I've been somewhat less of an arrogant prick than I've watched some other WASP males of my acquaintance being.

 

 

The creatures from Alcon saw from the first that Earth, as a planet, was practically dead; dead in the sense that it had given birth to life, and was responsible, indirectly, for its almost complete extinction.

“This type of planet is the most distressing,” said Tark, absently smoothing down the brilliantly colored feathers of his left wing. “I can stand the dark, barren worlds which never have, and probably never will, hold life. But these that have been killed by some celestial catastrophe! Think of what great things might have come from their inhabitants.”

As he spoke thus to his mate, Vascar, he was marking down in a book the position of this planet, its general appearance from space, and the number and kind of satellites it supported.

Vascar, sitting at the controls, both her claws and her vestigial hands at work, guided the spherical ship at slowly decreasing speed toward the planet Earth. A thousand miles above it, she set the craft into an orbital motion, and then proceeded to study the planet, Tark setting the account into his book, for later insertion into the Astronomical Archives of Alcon.

“Evidently,” mused Vascar, her brilliant, unblinking eyes looking at the planet through a transparent section above the control board, “some large meteor, or an errant asteroid—that seems most likely—must have struck this specimen a terrible blow. Look at those great, gaping cracks that run from pole to pole, Tark. It looks as if volcanic eruptions are still taking place, too. At any rate, the whole planet seems entirely denuded—except for that single, short strip of green we saw as we came in.”

Tark nodded. He was truly a bird, for in the evolutionary race on his planet, distant uncounted light-years away, his stock had won out over the others. His wings were short, true, and in another thousand years would be too short for flight, save in a dense atmosphere; but his head was large, and his eyes, red, small, set close together, showed intelligence and a kind benevolence. He and Vascar had left Alcon, their planet, a good many years ago; but they were on their way back now. Their outward-bound trip had taken them many light-years north of the Solar System; but on the way back, they had decided to make it one of the stop-off points in their zigzag course. Probably their greatest interest in all this long cruise was in the discovery of planets—they were indeed few. And that pleasure might even be secondary to the discovery of life. To find a planet that had almost entirely died was, conversely, distressing. Their interest in the planet Earth was, because of this, a wistful one.

The ship made the slow circuit of Earth—the planet was a hodge-podge of tumbled, churned mountains; of abysmal, frightfully long cracks exuding unholy vapors; of volcanoes that threw their fires and hot liquid rocks far into the sky; of vast oceans disturbed from the ocean bed by cataclysmic eruptions. And of life they saw nothing save a single strip of green perhaps a thousand miles long, a hundred wide, in the Western Hemisphere.

“I don’t think we’ll find intelligent life,” Tark said pessimistically. “This planet was given a terrific blow—I wouldn’t be surprised if her rotation period was cut down considerably in a single instant. Such a charge would be unsupportable. Whole cities would literally be snapped away from their foundations—churned, ground to dust. The intelligent creatures who built them would die by the millions—the billions—in that holocaust; and whatever destruction was left incomplete would be finished up by the appearance of volcanoes and faults in the crust of the planet.”

Vascar reminded him, “Remember, where there’s vegetation, even as little as evidenced by that single strip down there, there must be some kind of animal life.”

Tark ruffled his wings in a shrug. “I doubt it. The plants would get all the carbon dioxide they needed from volcanoes—animal life wouldn’t have to exist. Still, let’s take a look. Don’t worry, I’m hoping there’s intelligent life, too. If there is, it will doubtless need some help if it is to survive. Which ties in with our aims, for that is our principal purpose on this expedition—to discover intelligent life, and, wherever possible, to give it what help we can, if it needs help.”

Vascar’s vestigial hands worked the controls, and the ship dropped leisurely downward toward the green strip.

* * *

A rabbit darted out of the underbrush—Tommy leaped at it with the speed and dexterity of a thoroughly wild animal. His powerful hands wrapped around the creature—its struggles ceased as its vertebra was snapped. Tommy squatted, tore the skin off the creature, and proceeded to eat great mouthfuls of the still warm flesh.

Blacky cawed harshly, squawked, and his untidy form came flashing down through the air to land precariously on Tommy’s shoulder. Tommy went on eating, while the crow fluttered its wings, smoothed them out, and settled down to a restless somnolence. The quiet of the scrub forest, save for the cries and sounds of movement of birds and small animals moving through the forest, settled down about Tommy as he ate. “Tommy” was what he called himself. A long time ago, he remembered, there used to be a great many people in the world—perhaps a hundred—many of whom, and particularly two people whom he had called Mom and Pop, had called him by that name. They were gone now, and the others with them. Exactly where they went, Tommy did not know. But the world had rocked one night—it was the night Tommy ran away from home, with Blacky riding on his shoulder—and when Tommy came out of the cave where he had been sleeping, all was in flames, and the city on the horizon had fallen so that it was nothing but a huge pile of dust—but in the end it had not mattered to Tommy. Of course, he was lonesome, terrified, at first, but he got over that. He continued to live, eating, drinking, sleeping, walking endlessly; and Blacky, his talking crow, was good company. Blacky was smart. He could speak every word that Tommy knew, and a good many others that he didn’t. Tommy was not Blacky’s first owner.

But though he had been happy, the last year had brought the recurrence of a strange feeling that had plagued him off and on, but never so strongly as now. A strange, terrible hunger was settling on him. Hunger? He knew this sensation. He had forthwith slain a wild dog, and eaten of the meat. He saw then that it was not a hunger of the belly. It was a hunger of the mind, and it was all the worse because he could not know what it was. He had come to his feet, restless, looking into the tangled depths of the second growth forest.

“Hungry,” he had said, and his shoulders shook and tears coursed out of his eyes, and he sat down on the ground and sobbed without trying to stop himself, for he had never been told that to weep was unmanly. What was it he wanted?

He had everything there was all to himself. Southward in winter, northward in summer, eating of berries and small animals as he went, and Blacky to talk to and Blacky to talk the same words back at him. This was the natural life—he had lived it ever since the world went bang. But still he cried, and felt a panic growing in his stomach, and he didn’t know what it was he was afraid of, or longed for, whichever it was. He was twenty-one years old. Tears were natural to him, to be indulged in whenever he felt like it. Before the world went bang—there were some things he remembered—the creature whom he called Mom generally put her arms around him and merely said, “It’s all right, Tommy, it’s all right.”

So on that occasion, he arose from the ground and said, “It’s all right, Tommy, it’s all right.”

Blacky, he with the split tongue, said harshly, as was his wont, “It’s all right, Tommy, it’s all right! I tell you, the price of wheat is going down!”

Blacky, the smartest crow anybody had—why did he say that? There wasn’t anybody else, and there weren’t any more crows—helped a lot. He not only knew all the words and sentences that Tommy knew, but he knew others that Tommy could never understand because he didn’t know where they came from, or what they referred to. And in addition to all that, Blacky had the ability to anticipate what Tommy said, and frequently took whole words and sentences right out of Tommy’s mouth.

* * *

Tommy finished eating the rabbit, and threw the skin aside, and sat quite still, a peculiarly blank look in his eyes. The strange hunger was on him again. He looked off across the lush plain of grasses that stretched away, searching into the distance, toward where the Sun was setting. He looked to left and right. He drew himself softly to his feet, and peered into the shadows of the forest behind him. His heavily bearded lips began to tremble, and the tears started from his eyes again. He turned and stumbled from the forest, blinded.

Blacky clutched at Tommy’s broad shoulder, and rode him, and a split second before Tommy said, “It’s all right, Tommy, it’s all right.”

Tommy said the words angrily to himself, and blinked the tears away.

He was a little bit tired. The Sun was setting, and night would soon come. But it wasn’t that that made him tired. It was a weariness of the mind, a feeling of futility, for, whatever it was he wanted, he could never, never find it, because he would not know where he should look for it.

His bare foot trampled on something wet—he stopped and looked at the ground. He stooped and picked up the skin of a recently killed rabbit. He turned it over and over in his hands, frowning. This was not an animal he had killed, certainly—the skin had been taken off in a different way. Someone else—no! But his shoulders began to shake with a wild excitement. Someone else? No, it couldn’t be! There was no one—there could be no one—could there? The skin dropped from his nerveless fingers as he saw a single footprint not far ahead of him. He stooped over it, examining, and knew again that he had not done this, either. And certainly it could be no other animal than a man!

It was a small footprint at which he stared, as if a child, or an under-sized man, might have stepped in the soft humus. Suddenly he raised his head. He had definitely heard the crackling of a twig, not more than forty feet away, certainly. His eyes stared ahead through the gathering dusk. Something looking back at him? Yes! Something there in the bushes that was not an animal!

“No noise, Blacky,” he whispered, and forgot Blacky’s general response to that command.

“No noise, Blacky!” the big, ugly bird blasted out. “No noise, Blacky! Well, fer cryin’ out loud!”

Blacky uttered a scared squawk as Tommy leaped ahead, a snarl contorting his features, and flapping from his master’s shoulder. For several minutes Tommy ran after the vanishing figure, with all the strength and agility of his singularly powerful legs. But whoever—or whatever—it was that fled him, outdistanced him easily, and Tommy had to stop at last, panting. Then he stooped, and picked up a handful of pebbles and hurled them at the squawking bird. A single tail feather fell to earth as Blacky swooped away.

“Told you not to make noise,” Tommy snarled, and the tears started to run again. The hunger was starting up in his mind again, too! He sat down on a log, and put his chin in his palms, while his tears flowed. Blacky came flapping through the air, almost like a shadow—it was getting dark. The bird tentatively settled on his shoulder, cautiously flapped away again and then came back.

Tommy turned his head and looked at it bitterly, and then turned away, and groaned.

“It’s all your fault, Blacky!”

“It’s all your fault,” the bird said. “Oh, Tommy, I could spank you! I get so exasperated!”

Sitting there, Tommy tried to learn exactly what he had seen. He had been sure it was a human figure, just like himself, only different. Different! It had been smaller, had seemed to possess a slender grace—it was impossible! Every time he thought of it, the hunger in his mind raged!

He jumped to his feet, his fists clenched. This hunger had been in him too long! He must find out what caused it—he must find her—why did the word her come to his mind? Suddenly, he was flooded with a host of childhood remembrances.

“It was a girl!” he gasped. “Oh, Tommy must want a girl!”

The thought was so utterly new that it left him stunned; but the thought grew. He must find her, if it took him all the rest of his life! His chest deepened, his muscles swelled, and a new light came into his blue eyes. Southward in winter, northward in summer—eating—sleeping—truly, there was nothing in such a life. Now he felt the strength of a purpose swelling up in him. He threw himself to the ground and slept; and Blacky flapped to the limb of a tree, inserted his head beneath a wing, and slept also. Perhaps, in the last ten or fifteen years, he also had wanted a mate, but probably he had long ago given up hope—for, it seemed, there were no more crows left in the world. Anyway, Blacky was very old, perhaps twice as old as Tommy; he was merely content to live.

* * *

Tark and Vascar sent their spherical ship lightly plummeting above the green strip—it proved to be vegetation, just as they had supposed. Either one or the other kept constant watch of the ground below—they discovered nothing that might conceivably be classed as intelligent life. Insects they found, and decided that they worked entirely by instinct; small animals, rabbits, squirrels, rats, raccoons, otters, opossums, and large animals, deer, horses, sheep, cattle, pigs, dogs, they found to be just that—animals, and nothing more.

“Looks as if it was all killed off, Vascar,” said Tark, “and not so long ago at that, judging by the fact that this forest must have grown entirely in the last few years.”

Vascar agreed; she suggested they put the ship down for a few days and rest.

“It would be wonderful if we could find intelligent life after all,” she said wistfully. “Think what a great triumph it would be if we were the ones to start the last members of that race on the upward trail again. Anyway,” she added, “I think this atmosphere is dense enough for us to fly in.”

He laughed—a trilling sound. “You’ve been looking for such an atmosphere for years. But I think you’re right about this one. Put the ship down there, Vascar—looks like a good spot.”

 

For five days Tommy followed the trail of the girl with a grim determination. He knew now that it was a woman; perhaps—indeed, very probably—the only one left alive. He had only the vaguest of ideas of why he wanted her—he thought it was for human companionship, that alone. At any rate, he felt that this terrible hunger in him—he could give it no other word—would be allayed when he caught up with her.

She was fleeing him, and staying just near enough to him to make him continue the chase, and he knew that with a fierce exultation. And somehow her actions seemed right and proper. Twice he had seen her, once on the crest of a ridge, once as she swam a river. Both times she had easily outdistanced him. But by cross-hatching, he picked up her trail again—a bent twig or weed, a footprint, the skin of a dead rabbit.

Once, at night, he had the impression that she crept up close, and looked at him curiously, perhaps with the same great longing that he felt. He could not be sure. But he knew that very soon now she would be his—and perhaps she would be glad of it.

Once he heard a terrible moaning, high up in the air. He looked upward. Blacky uttered a surprised squawk. A large, spherical thing was darting overhead.

“I wonder what that is,” Blacky squawked.

“I wonder what that is,” said Tommy, feeling a faint fear. “There ain’t nothin’ like that in the yard.”

He watched as the spaceship disappeared from sight. Then, with the unquestioning attitude of the savage, he dismissed the matter from his mind, and took up his tantalizing trail again.

“Better watch out, Tommy,” the bird cawed.

“Better watch out, Tommy,” Tommy muttered to himself. He only vaguely heard Blacky—Blacky always anticipated what Tommy was going to say, because he had known Tommy so long.

The river was wide, swirling, muddy, primeval in its surge of resistless strength. Tommy stood on the bank, and looked out over the waters—suddenly his breath soughed from his lungs.

“It’s her!” he gasped. “It’s her, Blacky! She’s drownin’!”

No time to waste in thought—a figure truly struggled against the push of the treacherous waters, seemingly went under. Tommy dived cleanly, and Blacky spread his wings at the last instant and escaped a bath. He saw his master disappear beneath the swirling waters, saw him emerge, strike out with singularly powerful arms, slightly upstream, fighting every inch of the way. Blacky hovered over the waters, cawing frantically, and screaming.

“Tommy, I could spank you! I could spank you! I get so exasperated! You wait till your father comes home!”

A log was coming downstream. Tommy saw it coming, but knew he’d escape it. He struck out, paid no more attention to it. The log came down with a rush, and would have missed him had it not suddenly swung broadside on. It clipped the swimming man on the side of the head. Tommy went under, threshing feebly, barely conscious, his limbs like leaden bars. That seemed to go on for a very long time. He seemed to be breathing water. Then something grabbed hold of his long black hair—

When he awoke, he was lying on his back, and he was staring into her eyes. Something in Tommy’s stomach fell out—perhaps the hunger was going. He came to his feet, staring at her, his eyes blazing. She stood only about twenty feet away from him. There was something pleasing about her, the slimness of her arms, the roundness of her hips, the strangeness of her body, her large, startled, timid eyes, the mass of ebon hair that fell below her hips. He started toward her. She gazed at him as if in a trance.

Blacky came flapping mournfully across the river. He was making no sound, but the girl must have been frightened as he landed on Tommy’s shoulder. She tensed, and was away like a rabbit. Tommy went after her in long, loping bounds, but his foot caught in a tangle of dead grass, and he plummeted head foremost to the ground.

The other vanished over a rise of ground.

He arose again, and knew no disappointment that he had again lost her. He knew now that it was only her timidity, the timidity of a wild creature, that made her flee him. He started off again, for now that he knew what the hunger was, it seemed worse than ever.

* * *

The air of this planet was deliciously breathable, and was the nearest thing to their own atmosphere that Tark and Vascar had encountered.

Vascar ruffled her brilliant plumage, and spread her wings, flapping them. Tark watched her, as she laughed at him in her own way, and then made a few short, running jumps and took off. She spiraled, called down to him.

“Come on up. The air’s fine, Tark.”

Tark considered. “All right,” he conceded, “but wait until I get a couple of guns.”

“I can’t imagine why,” Vascar called down; but nevertheless, as they rose higher and higher above the second growth forest, each had a belt strapped loosely around the neck, carrying a weapon similar to a pistol.

“I can’t help but hope we run into some kind of intelligent life,” said Vascar. “This is really a lovely planet. In time the volcanoes will die down, and vegetation will spread all over. It’s a shame that the planet has to go to waste.”

“We could stay and colonize it,” Tark suggested rakishly.

“Oh, not I. I like Alcon too well for that, and the sooner we get back there, the better—Look! Tark! Down there!”

Tark looked, caught sight of a medium large animal moving through the underbrush. He dropped a little lower. And then rose again.

“It’s nothing,” he said. “An animal, somewhat larger than the majority we’ve seen, probably the last of its kind. From the looks of it, I’d say it wasn’t particularly pleasant on the eyes. Its skin shows—Oh, now I see what you mean, Vascar!”

This time he was really interested as he dropped lower, and a strange excitement throbbed through his veins. Could it be that they were going to discover intelligent life after all—perhaps the last of its kind?

It was indeed an exciting sight the two bird-creatures from another planet saw. They flapped slowly above and a number of yards behind the unsuspecting upright beast, that moved swiftly through the forest, a black creature not unlike themselves in general structure riding its shoulder.

“It must mean intelligence!” Vascar whispered excitedly, her brilliant red eyes glowing with interest. “One of the first requisites of intelligent creatures it to put animals lower in the scale of evolution to work as beasts of burden and transportation.”

“Wait awhile,” cautioned Tark, “before you make any irrational conclusions. After all, there are creatures of different species which live together in friendship. Perhaps the creature which looks so much like us keeps the other’s skin and hair free of vermin. And perhaps the other way around, too.”

“I don’t think so,” insisted his mate. “Tark, the bird-creature is riding the shoulder of the beast. Perhaps that means its race is so old, and has used this means of transportation so long, that its wings have atrophied. That would almost certainly mean intelligence. It’s talking now—you can hear it. It’s probably telling its beast to stop—there, it has stopped!”

“Its voice is not so melodious,” said Tark dryly.

She looked at him reprovingly; the tips of their flapping wings were almost touching.

“That isn’t like you, Tark. You know very well that one of our rules is not to place intelligence on creatures who seem like ourselves, and neglect others while we do so. Its harsh voice proves nothing—to one of its race, if there are any left, its voice may be pleasing in the extreme. At any rate, it ordered the large beast of burden to stop—you saw that.”

“Well, perhaps,” conceded Tark.

* * *

They continued to wing their slow way after the perplexing duo, following slightly behind, skimming the tops of trees. They saw the white beast stop, and place its paws on its hips. Vascar, listening very closely, because she was anxious to gain proof of her contention, heard the bird-creature say,

“Now what, Blacky?” and also the featherless beast repeat the same words: “Now what, Blacky?”

“There’s your proof,” said Vascar excitedly. “Evidently the white beast is highly imitative. Did you hear it repeat what its master said?”

Tark said uneasily, “I wouldn’t jump to conclusions, just from a hasty survey like this. I admit that, so far, all the proof points to the bird. It seems truly intelligent; or at least more intelligent than the other. But you must bear in mind that we are naturally prejudiced in favor of the bird—it may not be intelligent at all. As I said, they may merely be friends in the sense that animals of different species are friends.”

Vascar made a scornful sound.

“Well, let’s get goin’, Blacky,” she heard the bird say; and heard the white, upright beast repeat the strange, alien words. The white beast started off again, traveling very stealthily, making not the least amount of noise. Again Vascar called this quality to the attention of her skeptical mate—such stealth was the mark of the animal, certainly not of the intelligent creature.

“We should be certain of it now,” she insisted. “I think we ought to get in touch with the bird. Remember, Tark, that our primary purpose on this expedition is to give what help we can to the intelligent races of the planets we visit. What creature could be more in need of help than the bird-creature down there? It is evidently the last of its kind. At least, we could make the effort of saving it from a life of sheer boredom; it would probably leap at the chance to hold converse with intelligent creatures. Certainly it gets no pleasure from the company of dumb beasts.”

But Tark shook his handsome, red-plumed head worriedly.

“I would prefer,” he said uneasily, “first to investigate the creature you are so sure is a beast of burden. There is a chance—though, I admit, a farfetched one—that it is the intelligent creature, and not the other.”

But Vascar did not hear him. All her feminine instincts had gone out in pity to the seemingly intelligent bird that rode Tommy’s broad shoulder. And so intent were she and Tark on the duo, that they did not see, less than a hundred yards ahead, that another creature, smaller in form, more graceful, but indubitably the same species as the white-skinner, unfeathered beast, was slinking softly through the underbrush, now and anon casting indecisive glances behind her toward him who pursued her. He was out of sight, but she could hear—

* * *

Tommy slunk ahead, his breath coming fast; for the trail was very strong, and his keen ears picked up the sounds of footsteps ahead. The chase was surely over—his terrible hunger about to end! He felt wildly exhilarated. Instincts were telling him much that his experience could not. He and this girl were the last of mankind. Something told him that now mankind could rise again—yet he did not know why. He slunk ahead, Blacky on his shoulder, all unaware of the two brilliantly colored denizens of another planet who followed above and behind him. But Blacky was not so easy of mind. His neck feathers were standing erect. Nervousness made him raise his wings up from his body—perhaps he heard the soft swish of large-winged creatures, beating the air behind, and though all birds of prey had been dead these last fifteen years, the old fear rose up.

Tommy glued himself to a tree, on the edge of a clearing. His breath escaped from his lungs as he caught a glimpse of a white, unclothed figure. It was she! She was looking back at him. She was tired of running. She was ready, glad to give up. Tommy experienced a dizzy elation. He stepped forth into the clearing, and slowly, very slowly, holding her large, dark eyes with his, started toward her. The slightest swift motion, the slightest untoward sound, and she would be gone. Her whole body was poised on the balls of her feet. She was not at all sure whether she should be afraid of him or not.

Behind him, the two feathered creatures from another planet settled slowly into a tree, and watched. Blacky certainly did not hear them come to rest—what he must have noticed was that the beat of wings, nagging at the back of his mind, had disappeared. It was enough.

“No noise, Blacky!” the bird screamed affrightedly, and flung himself into the air and forward, a bundle of ebon feathers with tattered wings outspread, as it darted across the clearing. For the third time, it was Blacky who scared her, for again she was gone, and had lost herself to sight even before Tommy could move.

“Come back!” Tommy shouted ragingly. “I ain’t gonna hurt you!” He ran after her full speed, tears streaming down his face, tears of rage and heartbreak at the same time. But already he knew it was useless! He stopped suddenly, on the edge of the clearing, and sobbing to himself, caught sight of Blacky, high above the ground, cawing piercingly, warningly. Tommy stooped and picked up a handful of pebbles. With deadly, murderous intent he threw them at the bird. It soared and swooped in the air—twice it was hit glancingly.

“It’s all your fault, Blacky!” Tommy raged. He picked up a rock the size of his fist. He started to throw it, but did not. A tiny, sharp sound bit through the air. Tommy pitched forward. He did not make the slightest twitching motion to show that he had bridged the gap between life and death. He did not know that Blacky swooped down and landed on his chest; and then flung himself upward, crying, “Oh, Tommy, I could spank you!” He did not see the girl come into the clearing and stoop over him; and did not see the tears that began to gush from her eyes, or hear the sobs that racked her body. But Tark saw.

Tark wrested the weapon from Vascar with a trill of rage.

“Why did you do that?” he cried. He threw the weapon from him as far as it would go. “You’ve done a terrible thing, Vascar!”

Vascar looked at him in amazement. “It was only a beast, Tark,” she protested. “It was trying to kill its master! Surely, you saw it. It was trying to kill the intelligent bird-creature, the last of its kind on the planet.”

But Tark pointed with horror at the two unfeathered beasts, one bent over the body of the other. “But they were mates! You have killed their species! The female is grieving for its mate, Vascar. You have done a terrible thing!”

But Vascar shook her head crossly. “I’m sorry I did it then,” she said acidly. “I suppose it was perfectly in keeping with our aim on this expedition to let the dumb beast kill its master! That isn’t like you at all, Tark! Come, let us see if the intelligent creature will not make friends with us.”

And she flapped away toward the cawing crow. When Blacky saw Vascar coming toward him, he wheeled and darted away.

Tark took one last look at the female bending over the male. He saw her raise her head, and saw the tears in her eyes, and heard the sobs that shook her. Then, in a rising, inchoate series of bewildering emotions, he turned his eyes away, and hurriedly flapped after Vascar. And all that day they pursued Blacky. They circled him, they cornered him; and Vascar tried to speak to him in friendly tones, all to no avail. It only cawed, and darted away, and spoke volumes of disappointingly incomprehensible words.

When dark came, Vascar alighted in a tree beside the strangely quiet Tark.

“I suppose it’s no use,” she said sadly. “Either it is terribly afraid of us, or it is not as intelligent as we supposed it was, or else it has become mentally deranged in these last years of loneliness. I guess we might as well leave now, Tark; let the poor creature have its planet to itself. Shall we stop by and see if we can help the female beast whose mate we shot?”

Tark slowly looked at her, his red eyes luminous in the gathering dusk. “No,” he said briefly. “Let us go, Vascar.”

* * *

The spaceship of the creatures from Alcon left the dead planet Earth. It darted out into space. Tark sat at the controls. The ship went faster and faster. And still faster. Fled at ever-increasing speed beyond the Solar System and into the wastes of interstellar space. And still farther, until the star that gave heat to Earth was not even visible.

Yet even this terrible velocity was not enough for Tark. Vascar looked at him strangely.

“We’re not in that much of a hurry to get home, are we, Tark?”

“No,” Tark said in a low, terrible voice; but still he urged the ship to greater and greater speed, though he knew it was useless. He could run away from the thing that had happened on the planet Earth; but he could never, never outrun his mind, though he passionately wished he could.

 

 

 

The Last Command by Keith Laumer

The Last Command

by Keith Laumer



Preface by David Drake

 

I was twenty-one when I read "The Last Command" on its appearance in the January 1967 issue of Analog. I was in my senior year of college and probably as mature then as I'm ever going to be. I read most of the other stories I've picked for this anthology when I was much younger.

It's not quite correct to describe Keith Laumer's Bolo series as stories about war machines. The three that really have an impact are about veterans who've been discarded by society; that the veterans happen to be machines is really beside the point. "The Last Command" makes this explicit.

The story hit me very hard the first time I read it. I'm not sure why: I don't come from a military family, and I'd been accepted at Duke Law School. Students were deferred from the draft. I never dreamed that someday I'd be a veteran.

Then things changed.

In January 1971, I got back to the World and took off my uniform for the last time. Since that day I've never, in my heart of hearts, been able to forget that I'm a veteran.

 

 

1

 

I come to awareness, sensing a residual oscillation traversing me from an arbitrarily designated heading of 035. From the damping rate I compute that the shock was of intensity 8.7, emanating from a source within the limits 72 meters/46 meters. I activate my primary screens, trigger a return salvo. There is no response. I engage reserve energy cells, bring my secondary battery to bear—futilely. It is apparent that I have been ranged by the Enemy and severely damaged. 

My positional sensors indicate that I am resting at an angle of 13 degrees 14 seconds, deflected from a baseline at 21 points from median. I attempt to right myself, but encounter massive resistance. I activate my forward scanners, shunt power to my I-R microstrobes. Not a flicker illuminates my surroundings. I am encased in utter blackness. 

Now a secondary shock wave approaches, rocks me with an intensity of 8.2. It is apparent that I must withdraw from my position—but my drive trains remain inert under full thrust. I shift to base emergency power, try again. Pressure mounts; I sense my awareness fading under the intolerable strain; then, abruptly, resistance falls off and I am in motion. 

It is not the swift maneuvering of full drive, however; I inch forward, as if restrained by massive barriers. Again I attempt to penetrate the surrounding darkness and this time perceive great irregular outlines shot through with fracture planes. I probe cautiously, then more vigorously, encountering incredible densities. 

I channel all available power to a single ranging pulse, direct it upward. The indication is so at variance with all experience that I repeat the test at a new angle. Now I must accept the fact: I am buried under 207.6 meters of solid rock! 

I direct my attention to an effort to orient myself to my uniquely desperate situation. I run through an action-status checklist of thirty thousand items, feel dismay at the extent of power loss. My main cells are almost completely drained, my reserve units at no more than .4 charge. Thus my sluggishness is explained. I review the tactical situation, recall the triumphant announcement from my commander that the Enemy forces were annihilated, that all resistance had ceased. In memory, I review the formal procession; in company with my comrades of the Dinochrome Brigade, many of us deeply scarred by Enemy action, we parade before the Grand Commandant, then assemble on the depot ramp. At command, we bring our music storage cells into phase and display our Battle Anthem. The nearby star radiates over a full spectrum unfiltered by atmospheric haze. It is a moment of glorious triumph. Then the final command is given— 

The rest is darkness. But it is apparent that the victory celebration was premature. The Enemy has counterattacked with a force that has come near to immobilizing me. The realization is shocking, but the .1 second of leisurely introspection has clarified my position. At once, I broadcast a call on Brigade Action wave length: 

“Unit LNE to Command, requesting permission to file VSR.” 

I wait, sense no response, call again, using full power. I sweep the enclosing volume of rock with an emergency alert warning. I tune to the all-units band, await the replies of my comrades of the Brigade. None answer. Now I must face the reality: I alone have survived the assault. 

I channel my remaining power to my drive and detect a channel of reduced density. I press for it and the broken rock around me yields reluctantly. Slowly, I move forward and upward. My pain circuitry shocks my awareness center with emergency signals; I am doing irreparable damage to my overloaded neural systems, but my duty is clear: I must seek out and engage the Enemy. 

 

 

 

2

 

Emerging from behind the blast barrier, Chief Engineer Pete Reynolds of the New Devonshire Port Authority pulled off his rock mask and spat grit from his mouth.

“That’s the last one; we’ve bottomed out at just over two hundred yards. Must have hit a hard stratum down there.”

“It’s almost sundown,” the paunchy man beside him said shortly. “You’re a day and a half behind schedule.”

“We’ll start backfilling now, Mr. Mayor. I’ll have pilings poured by oh-nine hundred tomorrow, and with any luck the first section of pad will be in place in time for the rally.”

“I’m—” The mayor broke off, looked startled. “I thought you told me that was the last charge to be fired . . .”

Reynolds frowned. A small but distinct tremor had shaken the ground underfoot. A few feet away, a small pebble balanced atop another toppled and fell with a faint clatter.

“Probably a big rock fragment falling,” he said. At that moment, a second vibration shook the earth, stronger this time. Reynolds heard a rumble and a distant impact as rock fell from the side of the newly blasted excavation. He whirled to the control shed as the door swung back and Second Engineer Mayfield appeared.

“Take a look at this, Pete!”

Reynolds went across to the hut, stepped inside. Mayfield was bending over the profiling table.

“What do you make of it?” he pointed. Superimposed on the heavy red contour representing the detonation of the shaped charge that had completed the drilling of the final pile core were two other traces, weak but distinct.

“About .1 intensity.” Mayfield looked puzzled. “What—”

The tracking needle dipped suddenly, swept up the screen to peak at .21, dropped back. The hut trembled. A stylus fell from the edge of the table. The red face of Mayor Dougherty burst through the door.

“Reynolds, have you lost your mind? What’s the idea of blasting while I’m standing out in the open? I might have been killed!”

“I’m not blasting,” Reynolds snapped. “Jim, get Eaton on the line, see if they know anything.” He stepped to the door, shouted. A heavyset man in sweat-darkened coveralls swung down from the seat of a cable-lift rig.

“Boss, what goes on?” he called as he came up. “Damn near shook me out of my seat!”

“I don’t know. You haven’t set any trim charges?”

“Jesus, no, boss. I wouldn’t set no charges without your say-so.”

“Come on.” Reynolds started out across the rubble-littered stretch of barren ground selected by the Authority as the site of the new spaceport. Halfway to the open mouth of the newly-blasted pit, the ground under his feet rocked violently enough to make him stumble. A gout of dust rose from the excavation ahead. Loose rock danced on the ground. Beside him the drilling chief grabbed his arm.

“Boss, we better get back!”

Reynolds shook him off, kept going. The drill chief swore and followed. The shaking of the ground went on, a sharp series of thumps interrupting a steady trembling.

“It’s a quake!” Reynolds yelled over the low rumbling sound.

He and the chief were at the rim of the core now.

“It can’t be a quake, boss,” the latter shouted. “Not in these formations!”

“Tell it to the geologists—” The rock slab they were standing on rose a foot, dropped back. Both men fell. The slab bucked like a small boat in choppy water.

“Let’s get out of here!” Reynolds was up and running. Ahead, a fissure opened, gaped a foot wide. He jumped it, caught a glimpse of black depths, a glint of wet clay twenty feet below—

A hoarse scream stopped him in his tracks. He spun, saw the drill chief down, a heavy splinter of rock across his legs. He jumped to him, heaved at the rock. There was blood on the man’s shirt. The chief’s hands beat the dusty rock before him. Then other men were there, grunting, sweaty hands gripping beside Reynolds. The ground rocked. The roar from under the earth had risen to a deep, steady rumble. They lifted the rock aside, picked up the injured man, and stumbled with him to the aid shack.

The mayor was there, white-faced.

“What is it, Reynolds? By God, if you’re responsible—”

“Shut up!” Reynolds brushed him aside, grabbed the phone, punched keys.

“Eaton! What have you got on this temblor?”

“Temblor, hell.” The small face on the four-inch screen looked like a ruffled hen. “What in the name of Order are you doing out there? I’m reading a whole series of displacements originating from that last core of yours! What did you do, leave a pile of trim charges lying around?”

“It’s a quake. Trim charges, hell! This thing’s broken up two hundred yards of surface rock. It seems to be traveling north-northeast—”

“I see that; a traveling earthquake!” Eaton flapped his arms, a tiny and ridiculous figure against a background of wall charts and framed diplomas. “Well—do something, Reynolds! Where’s Mayor Dougherty?”

“Underfoot!” Reynolds snapped, and cut off.

Outside, a layer of sunset-stained dust obscured the sweep of level plain. A rock-dozer rumbled up, ground to a halt by Reynolds. A man jumped down.

“I got the boys moving equipment out,” he panted. “The thing’s cutting a trail straight as a rule for the highway!” He pointed to a raised roadbed a quarter mile away.

“How fast is it moving?”

“She’s done a hundred yards; it hasn’t been ten minutes yet!”

“If it keeps up another twenty minutes, it’ll be into the Intermix!”

“Scratch a few million cees and six months’ work then, Pete!”

“And Southside Mall’s a couple miles farther.”

“Hell, it’ll damp out before then!”

“Maybe. Grab a field car, Dan.”

“Pete!” Mayfield came up at a trot. “This thing’s building! The centroid’s moving on a heading of oh-two-two—”

“How far subsurface?”

“It’s rising; started at two-twenty yards, and it’s up to one-eighty!”

“What the hell have we stirred up?” Reynolds stared at Mayfield as the field car skidded to a stop beside them.

“Stay with it, Jim. Give me anything new. We’re taking a closer look.” He climbed into the rugged vehicle.

“Take a blast truck—”

“No time!” He waved and the car gunned away into the pall of dust.

 

 

 

3

 

The rock car pulled to a stop at the crest of the three-level Intermix on a lay-by designed to permit tourists to enjoy the view of the site of the proposed port, a hundred feet below. Reynolds studied the progress of the quake through field glasses. From this vantage point, the path of the phenomenon was a clearly defined trail of tilted and broken rock, some of the slabs twenty feet across. As he watched, the fissures lengthened.

“It looks like a mole’s trail.” Reynolds handed the glasses to his companion, thumbed the send key on the car radio.

“Jim, get Eaton and tell him to divert all traffic from the Circular south of Zone Nine. Cars are already clogging the right-of-way. The dust is visible from a mile away, and when the word gets out there’s something going on, we’ll be swamped.”

“I’ll tell him, but he won’t like it!”

“This isn’t politics! This thing will be into the outer pad area in another twenty minutes!”

“It won’t last—”

“How deep does it read now?”

“One-five!” There was a moment’s silence. “Pete, if it stays on course, it’ll surface about where you’re parked!”

“Uh-huh. It looks like you can scratch one Intermix. Better tell Eaton to get a story ready for the press.”

“Pete, talking about news hounds—” Dan said beside him. Reynolds switched off, turned to see a man in a gay-colored driving outfit coming across from a battered Monojag sportster which had pulled up behind the rock car. A big camera case was slung across his shoulder.

“Say, what’s going on down there?” he called.

“Rock slide,” Reynolds said shortly. “I’ll have to ask you to drive on. The road’s closed to all traffic—”

“Who’re you?” The man looked belligerent.

“I’m the engineer in charge. Now pull out, brother.” He turned back to the radio. “Jim, get every piece of heavy equipment we own over here, on the double.” He paused, feeling a minute trembling in the car. “The Intermix is beginning to feel it,” he went on. “I’m afraid we’re in for it. Whatever that thing is, it acts like a solid body boring its way through the ground. Maybe we can barricade it.”

“Barricade an earthquake?”

“Yeah, I know how it sounds—but it’s the only idea I’ve got.”

“Hey—what’s that about an earthquake?” The man in the colored suit was still there. “By gosh, I can feel it—the whole damned bridge is shaking!”

“Off, mister—now!” Reynolds jerked a thumb at the traffic lanes where a steady stream of cars were hurtling past. “Dan, take us over to the main track. We’ll have to warn this traffic off—”

“Hold on, fellow.” The man unlimbered his camera. “I represent the New Devon Scope. I have a few questions—”

“I don’t have the answers.” Pete cut him off as the car pulled away.

“Hah!” The man who had questioned Reynolds yelled after him. “Big shot! Think you can . . .” His voice was lost behind them.

 

 

 

4

 

In a modest retirees’ apartment block in the coast town of Idlebreeze, forty miles from the scene of the freak quake, an old man sat in a reclining chair, half dozing before a yammering Tri-D tank.

” . . . Grandpa,” a sharp-voice young woman was saying. “It’s time for you to go in to bed.”

“Bed? Why do I want to go to bed? Can’t sleep anyway . . .” He stirred, made a pretense of sitting up, showing an interest in the Tri-D. “I’m watching this show. Don’t bother me.”

“It’s not a show, it’s the news,” a fattish boy said disgustedly. “Ma, can I switch channels—”

“Leave it alone, Bennie,” the old man said. On the screen a panoramic scene spread out, a stretch of barren ground across which a furrow showed. As he watched, it lengthened.

” . . . up here at the Intermix we have a fine view of the whole curious business, lazangemmun,” the announcer chattered. “And in our opinion it’s some sort of publicity stunt staged by the Port Authority to publicize their controversial port project—”

“Ma, can I change channels?”

“Go ahead, Bennie—”

“Don’t touch it,” the old man said. The fattish boy reached for the control, but something in the old man’s eye stopped him . . .

 

 

 

5

 

“The traffic’s still piling in here,” Reynolds said into the phone. “Damn it, Jim, we’ll have a major jam on our hands—”

“He won’t do it, Pete! You know the Circular was his baby—the super all-weather pike that nothing could shut down. He says you’ll have to handle this in the field—”

“Handle, hell! I’m talking about preventing a major disaster! And in a matter of minutes, at that!”

“I’ll try again—”

“If he says no, divert a couple of the big ten-yard graders and block it off yourself. Set up field arcs, and keep any cars from getting in from either direction.”

“Pete, that’s outside your authority!”

“You heard me!”

Ten minutes later, back at ground level, Reynolds watched the boom-mounted polyarcs swinging into position at the two roadblocks a quarter of a mile apart, cutting off the threatened section of the raised expressway. A hundred yards from where he stood on the rear cargo deck of a light grader rig, a section of rock fifty feet wide rose slowly, split, fell back with a ponderous impact. One corner of it struck the massive pier supporting the extended shelf of the lay-by above. A twenty-foot splinter fell away, exposing the reinforcing-rod core.

“How deep, Jim?” Reynolds spoke over the roaring sound coming from the disturbed area.

“Just subsurface now, Pete! It ought to break through—” His voice was drowned in a rumble as the damaged pier shivered, rose up, buckled at its midpoint, and collapsed, bringing down with it a large chunk of pavement and guard rail, and a single still-glowing light pole. A small car that had been parked on the doomed section was visible for an instant just before the immense slab struck. Reynolds saw it bounce aside, then disappear under an avalanche of broken concrete.

“My God, Pete—” Dan started. “That damned fool news hound . . . !”

“Look!” As the two men watched, a second pier swayed, fell backward into the shadow of the span above. The roadway sagged, and two more piers snapped. With a bellow like a burst dam, a hundred-foot stretch of the road fell into the roiling dust cloud.

“Pete!” Mayfield’s voice burst from the car radio. “Get out of there! I threw a reader on that thing and it’s chattering off the scale . . . !”

Among the piled fragments something stirred, heaved, rising up, lifting multi-ton pieces of the broken road, thrusting them aside like so many potato chips. A dull blue radiance broke through from the broached earth, threw an eerie light on the shattered structure above. A massive, ponderously irresistible shape thrust forward through the ruins. Reynolds saw a great blue-glowing profile emerge from the rubble like a surfacing submarine, shedding a burden of broken stone, saw immense treads ten feet wide claw for purchase, saw the mighty flank brush a still-standing pier, send it crashing aside.

“Pete, what—what is it . . . ?”

“I don’t know.” Reynolds broke the paralysis that had gripped him. “Get us out of here, Dan, fast! Whatever it is, it’s headed straight for the city!”

 

 

 

6

 

I emerge at last from the trap into which I had fallen, and at once encounter defensive works of considerable strength. My scanners are dulled from lack of power, but I am able to perceive open ground beyond the barrier, and farther still, at a distance of 5.7 kilometers, massive walls. Once more I transmit the Brigade Rally signal; but as before, there is no reply. I am truly alone. 

I scan the surrounding area for the emanations of Enemy drive units, monitor the EM spectrum for their communications. I detect nothing; either my circuitry is badly damaged, or their shielding is superb. 

I must now make a decision as to possible courses of action. Since all my comrades of the Brigade have fallen, I compute that the fortress before me must be held by Enemy forces. I direct probing signals at them, discover them to be of unfamiliar construction, and less formidable than they appear. I am aware of the possibility that this may be a trick of the Enemy; but my course is clear. 

I reengage my driving engines and advance on the Enemy fortress. 

 

 

 

7

 

“You’re out of your mind, father,” the stout man said. “At your age—”

“At your age, I got my nose smashed in a brawl in a bar on Aldo,” the old man cut him off. “But I won the fight.”

“James, you can’t go out at this time of night . . .” an elderly woman wailed.

“Tell them to go home.” The old man walked painfully toward his bedroom door. “I’ve seen enough of them for today.” He passed out of sight.

“Mother, you won’t let him do anything foolish?”

“He’ll forget about it in a few minutes; but maybe you’d better go now and let him settle down.”

“Mother—I really think a home is the best solution.”

“Yes,” the young woman nodded agreement. “After all, he’s past ninety—and he has his veteran’s retirement . . .”

Inside his room, the old man listened as they departed. He went to the closet, took out clothes, began dressing . . .

 

 

 

8

 

City Engineer Eaton’s face was chalk-white on the screen.

“No one can blame me,” he said. “How could I have known—”

“Your office ran the surveys and gave the PA the green light,” Mayor Dougherty yelled.

“All the old survey charts showed was ‘Disposal Area,'” Eaton threw out his hands. “I assumed—”

“As City Engineer, you’re not paid to make assumptions! Ten minutes’ research would have told you that was a ‘Y’ category area!”

“What’s ‘Y’ category mean?” Mayfield asked Reynolds. They were standing by the field comm center, listening to the dispute. Nearby, boom-mounted Tri-D cameras hummed, recording the progress of the immense machine, its upper turret rearing forty-five feet into the air, as it ground slowly forward across smooth ground toward the city, dragging behind it a trailing festoon of twisted reinforcing iron crusted with broken concrete.

“Half-life over one hundred years,” Reynolds answered shortly. “The last skirmish of the war was fought near here. Apparently this is where they buried the radioactive equipment left over from the battle.”

“But what the hell, that was seventy years ago—”

“There’s still enough residual radiation to contaminate anything inside a quarter-mile radius.”

“They must have used some hellish stuff.” Mayfield stared at the dull shine half a mile distant.

“Reynolds, how are you going to stop this thing?” The mayor had turned on the PA engineer.

“Me stop it? You saw what it did to my heaviest rigs: flattened them like pancakes. You’ll have to call out the military on this one, Mr. Mayor.”

“Call in Federation forces? Have them meddling in civic affairs?”

“The station’s only sixty-five miles from here. I think you’d better call them fast. It’s only moving at about three miles per hour but it will reach the south edge of the Mall in another forty-five minutes.”

“Can’t you mine it? Blast a trap in its path?”

“You saw it claw its way up from six hundred feet down. I checked the specs; it followed the old excavation tunnel out. It was rubble-filled and capped with twenty-inch compressed concrete.”

“It’s incredible,” Eaton said from the screen. “The entire machine was encased in a ten-foot shell of reinforced armocrete. It had to break out of that before it could move a foot!”

“That was just a radiation shield; it wasn’t intended to restrain a Bolo Combat Unit.”

“What was, may I inquire?” The mayor glared from one face to another.

“The units were deactivated before being buried,” Eaton spoke up, as if he were eager to talk. “Their circuits were fused. It’s all in the report—”

“The report you should have read somewhat sooner,” the mayor snapped.

“What—what started it up?” Mayfield looked bewildered. “For seventy years it was down there, and nothing happened!”

“Our blasting must have jarred something,” Reynolds said shortly. “Maybe closed a relay that started up the old battle reflex circuit.”

“You know something about these machines?” The mayor beetled his brows at him.

“I’ve read a little.”

“Then speak up, man. I’ll call the station, if you feel I must. What measures should I request?”

“I don’t know, Mr. Mayor. As far as I know, nothing on New Devon can stop that machine now.”

The mayor’s mouth opened and closed. He whirled to the screen, blanked Eaton’s agonized face, punched in the code for the Federation station.

“Colonel Blane!” he blurted as a stern face came onto the screen. “We have a major emergency on our hands! I’ll need everything you’ve got! This is the situation . . .”

 

 

 

9

 

I encounter no resistance other than the flimsy barrier, but my progress is slow. Grievous damage has been done to my main drive sector due to overload during my escape from the trap; and the failure of my sensing circuitry has deprived me of a major portion of my external receptivity. Now my pain circuits project a continuous signal to my awareness center, but it is my duty to my Commander and to my fallen comrades of the Brigade to press forward at my best speed; but my performance is a poor shadow of my former ability. 

And now at last the Enemy comes into action! I sense aerial units closing at supersonic velocities; I lock my lateral batteries to them and direct salvo fire, but I sense that the arming mechanisms clatter harmlessly. The craft sweep over me, and my impotent guns elevate, track them as they release detonants that spread out in an envelopmental pattern which I, with my reduced capabilities, am powerless to avoid. The missiles strike; I sense their detonations all about me; but I suffer only trivial damage. The Enemy has blundered if he thought to neutralize a Mark XXVIII Combat Unit with mere chemical explosives! But I weaken with each meter gained. 

Now there is no doubt as to my course. I must press the charge and carry the walls before my reserve cells are exhausted. 

 

 

 

10

 

From a vantage point atop a bucket rig four hundred yards from the position the great fighting machine had now reached, Pete Reynolds studied it through night glasses. A battery of beamed polyarcs pinned the giant hulk, scarred and rust-scaled, in a pool of blue-white light. A mile and a half beyond it, the walls of the Mall rose sheer from the garden setting.

“The bombers slowed it some,” he reported to Eaton via scope. “But it’s still making better than two miles per hour. I’d say another twenty-five minutes before it hits the main ringwall. How’s the evacuation going?”

“Badly! I get no cooperation! You’ll be my witness, Reynolds, I did all I could—”

“How about the mobile batteries; how long before they’ll be in position?” Reynolds cut him off.

“I’ve heard nothing from Federation Central—typical militaristic arrogance, not keeping me informed—but I have them on my screens. They’re two miles out—say three minutes.”

“I hope you made your point about N-heads.”

“That’s outside my province!” Eaton said sharply. “It’s up to Brand to carry out this portion of the operation!”

“The HE Missiles didn’t do much more than clear away the junk it was dragging.” Reynolds’ voice was sharp.

“I wash my hands of responsibility for civilian lives,” Eaton was saying when Reynolds shut him off, changed channels.

“Jim, I’m going to try to divert it,” he said crisply. “Eaton’s sitting on his political fence; the Feds are bringing artillery up, but I don’t expect much from it. Technically, Brand needs Sector okay to use nuclear stuff, and he’s not the boy to stick his neck out—”

“Divert it how? Pete, don’t take any chances—”

Reynolds laughed shortly. “I’m going to get around it and drop a shaped drilling charge in its path. Maybe I can knock a tread off. With luck, I might get its attention on me and draw it away from the Mall. There are still a few thousand people over there, glued to their Tri-D’s. They think it’s all a swell show.”

“Pete, you can’t walk up on that thing! It’s hot—” He broke off. “Pete, there’s some kind of nut here—he claims he has to talk to you; says he knows something about that damned juggernaut. Shall I . . . ?”

Reynolds paused with his hand on the cut-off switch. “Put him on,” he snapped. Mayfield’s face moved aside and an ancient, bleary-eyed visage stared out at him. The tip of the old man’s tongue touched his dry lips.

“Son, I tried to tell this boy here, but he wouldn’t listen—”

“What have you got, old timer?” Pete cut in. “Make it fast.”

“My name’s Sanders. James Sanders. I’m . . . I was with the Planetary Volunteer Scouts, back in ’71—”

“Sure, dad,” Pete said gently. “I’m sorry, I’ve got a little errand to run—”

“Wait . . .” The old man’s face worked. “I’m old, son—too damned old. I know. But bear with me. I’ll try to say it straight. I was with Hayle’s squadron at Toledo. Then afterwards, they shipped us—but hell, you don’t care about that! I keep wandering, son; can’t help it. What I mean to say is—I was in on that last scrap, right here at New Devon—only we didn’t call it New Devon then. Called it Hellport. Nothing but bare rock and Enemy emplacement—”

“You were talking about the battle, Mr. Sanders,” Pete said tensely. “Go on with that part.”

“Lieutenant Sanders,” the oldster said. “Sure, I was Acting Brigade Commander. See, our major was hit at Toledo—and after Tommy Chee stopped a sidewinder at Belgrave—”

“Stick to the point, Lieutenant!”

“Yessir!” The old man pulled himself together with an obvious effort. “I took the Brigade in; put out flankers, and ran the Enemy into the ground. We mopped ’em up in a thirty-three hour running fight that took us from over by Crater Bay all the way down here to Hellport. When it was over, I’d lost sixteen units, but the Enemy was done. They gave us Brigade Honors for that action. And then . . .”

“Then what?”

“Then the triple-dyed yellow-bottoms at Headquarters put out the order the Brigade was to be scrapped; said they were too hot to make decon practical. Cost too much, they said! So after the final review”—he gulped, blinked—”they planted ’em deep, two hundred meters, and poured in special high-R concrete.”

“And packed rubble in behind them,” Reynolds finished for him. “All right, Lieutenant, I believe you! Now for the big one: what started that machine on a rampage?”

“Should have known they couldn’t hold down a Bolo Mark XXVIII!” The old man’s eyes lit up. “Take more than a few million tons of rock to stop Lenny when his battle board was lit!”

“Lenny?”

“That’s my old command unit out there, son. I saw the markings on the Tri-D. Unit LNE of the Dinochrome Brigade!”

“Listen!” Reynolds snapped out. “Here’s what I intend to try . . .” He outlined his plan.

“Ha!” Sanders snorted. “It’s a gutsy notion, mister, but Lenny won’t give it a sneeze.”

“You didn’t come here to tell me we were licked,” Reynolds cut in. “How about Brand’s batteries?”

“Hell, son, Lenny stood up to point-blank Hellbore fire on Toledo, and—”

“Are you telling me there’s nothing we can do?”

“What’s that? No, son, that’s not what I’m saying . . .”

“Then what!”

“Just tell these johnnies to get out of the way, mister. I think I can handle him.”

 

 

 

11

 

At the field comm hut, Pete Reynolds watched as the man who had been Lieutenant Sanders of the Volunteer Scouts pulled shiny black boots over his thin ankles and stood. The blouse and trousers of royal blue polyon hung on his spare frame like wash on a line. He grinned, a skull’s grin.

“It doesn’t fit like it used to; but Lenny will recognize it. It’ll help. Now, if you’ve got that power pack ready . . .”

Mayfield handed over the old-fashioned field instrument Sanders had brought in with him.

“It’s operating, sir—but I’ve already tried everything I’ve got on that infernal machine; I didn’t get a peep out of it.”

Sanders winked at him. “Maybe I know a couple of tricks you boys haven’t heard about.” He slung the strap over his bony shoulder and turned to Reynolds.

“Guess we better get going, mister. He’s getting close.”

In the rock car, Sanders leaned close to Reynolds’ ear. “Told you those Federal guns wouldn’t scratch Lenny. They’re wasting their time.”

Reynolds pulled the car to a stop at the crest of the road, from which point he had a view of the sweep of ground leading across to the city’s edge. Lights sparkled all across the towers of New Devon. Close to the walls, the converging fire of the ranked batteries of infinite repeaters drove into the glowing bulk of the machine, which plowed on, undeterred. As he watched, the firing ceased.

“Now, let’s get in there, before they get some other damn-fool scheme going,” Sanders said.

The rock car crossed the rough ground, swung wide to come up on the Bolo from the left side. Behind the hastily rigged radiation cover, Reynolds watched the immense silhouette grow before him.

“I knew they were big,” he said. “But to see one up close like this—” He pulled to a stop a hundred feet from the Bolo.

“Look at the side ports,” Sanders said, his voice crisper now. “He’s firing antipersonnel charges—only his plates are flat. If they weren’t, we wouldn’t have gotten within half a mile.” He unclipped the microphone and spoke into it:

“Unit LNE, break off action and retire to ten-mile line!”

Reynolds’ head jerked around to stare at the old man. His voice had rung with vigor and authority as he spoke the command.

The Bolo ground slowly ahead. Sanders shook his head, tried again.

“No answer, like that fella said. He must be running on nothing but memories now . . .” He reattached the microphone, and before Reynolds could put out a hand, had lifted the anti-R cover and stepped off on the ground.

“Sanders—get back in here!” Reynolds yelled.

“Never mind, son. I’ve got to get in close. Contact induction.” He started toward the giant machine. Frantically, Reynolds started the car, slammed it into gear, pulled forward.

“Better stay back.” Sanders’ voice came from his field radio. “This close, that screening won’t do you much good.”

“Get in the car!” Reynolds roared. “That’s hard radiation!”

“Sure; feels funny, like a sunburn, about an hour after you come in from the beach and start to think maybe you got a little too much.” He laughed. “But I’ll get to him . . .”

Reynolds braked to a stop, watched the shrunken figure in the baggy uniform as it slogged forward, leaning as against a sleet storm.

 

 

 

12

 

“I’m up beside him.” Sander’s voice came through faintly on the field radio. “I’m going to try to swing up on his side. Don’t feel like trying to chase him any farther.”

Through the glasses, Reynolds watched the small figure, dwarfed by the immense bulk of the fighting machine, as he tried, stumbled, tried again, swung up on the flange running across the rear quarter inside the churning bogie wheel.

“He’s up,” he reported. “Damned wonder the track didn’t get him . . .”

Clinging to the side of the machine, Sanders lay for a moment, bent forward across the flange. Then he pulled himself up, wormed his way forward to the base of the rear quarter turret, wedged himself against it. He unslung the communicator, removed a small black unit, clipped it to the armor; it clung, held by a magnet. He brought the microphone up to his face.

In the comm shack, Mayfield leaned toward the screen, his eyes squinted in tension. Across the field, Reynolds held the glasses fixed on the man lying across the flank of the Bolo. They waited . . .

 

 

 

13

 

The walls are before me, and I ready myself for a final effort, but suddenly I am aware of trickle currents flowing over my outer surface. Is this some new trick of the Enemy? I tune to the wave energies, trace the source. They originate at a point in contact with my aft port armor. I sense modulation, match receptivity to a computed pattern. And I hear a voice: 

“Unit LNE, break it off, Lenny. We’re pulling back now, boy. This is Command to LNE; pull back to ten miles. If you read me, Lenny, swing to port and halt.”

I am not fooled by the deception. The order appears correct, but the voice is not that of my Commander. Briefly I regret that I cannot spare energy to direct a neutralizing power flow at the device the Enemy has attached to me. I continue my charge. 

“Unit LNE! Listen to me, boy; maybe you don’t recognize my voice, but it’s me. You see, boy—some time has passed. I’ve gotten old. My voice has changed some, maybe. But it’s me! Make a port turn, Lenny. Make it now!”

I am tempted to respond to the trick, for something in the false command seems to awaken secondary circuits which I sense have been long stilled. But I must not be swayed by the cleverness of the Enemy. My sensing circuitry has faded further as my energy cells drain; but I know where the Enemy lies. I move forward, but I am filled with agony, and only the memory of my comrades drives me on. 

“Lenny, answer me. Transmit on the old private band—the one we agreed on. Nobody but me knows it, remember?

Thus the Enemy seeks to beguile me into diverting precious power. But I will not listen. 

“Lenny—not much time left. Another minute and you’ll be into the walls. People are going to die. Got to stop you, Lenny. Hot here. My God, I’m hot. Not breathing too well, now. I can feel it; cutting through me like knives. You took a load of Enemy power, Lenny; and now I’m getting my share. Answer me, Lenny. Over to you . . .”

It will require only a tiny allocation of power to activate a communication circuit. I realize that it is only an Enemy trick, but I compute that by pretending to be deceived, I may achieve some trivial advantage. I adjust circuitry accordingly and transmit: 

“Unit LNE to Command. Contact with Enemy defensive line imminent. Request support fire!” 

“Lenny . . . you can hear me! Good boy, Lenny! Now make a turn, to port. Walls . . . close . . .”

“Unit LNE to Command. Request positive identification; transmit code 685749.”

“Lenny—I can’t . . . don’t have code blanks. But it’s me . . .”

“In absence of recognition code, your transmission disregarded,” I send. And now the walls loom high above me. There are many lights, but I see them only vaguely. I am nearly blind now. 

“Lenny—less’n two hundred feet to go. Listen, Lenny. I’m climbing down. I’m going to jump down, Lenny, and get around under your fore scanner pickup. You’ll see me, Lenny. You’ll know me then.”

The false transmission ceases. I sense a body moving across my side. The gap closes. I detect movement before me, and in automatic reflex fire anti-P charges before I recall that I am unarmed. 

A small object has moved out before me, and taken up a position between me and the wall behind which the Enemy conceal themselves. It is dim, but appears to have the shape of a man . . .  

I am uncertain. My alert center attempts to engage inhibitory circuitry which will force me to halt, but it lacks power. I can override it. But still I am unsure. Now I must take a last risk; I must shunt power to my forward scanner to examine this obstacle more closely. I do so, and it leaps into greater clarity. It is indeed a man—and it is enclothed in regulation blues of the Volunteers. Now, closer, I see the face and through the pain of my great effort, I study it . . .  

 

 

 

14

 

“He’s backed against the wall,” Reynolds said hoarsely. “It’s still coming. A hundred feet to go—”

“You were a fool, Reynolds!” the mayor barked. “A fool to stake everything on that old dotard’s crazy ideas!”

“Hold it!” As Reynolds watched, the mighty machine slowed, halted, ten feet from the sheer wall before it. For a moment, it sat, as though puzzled. Then it backed, halted again, pivoted ponderously to the left, and came about.

On its side, a small figure crept up, fell across the lower gun deck. The Bolo surged into motion, retracing its route across the artillery-scarred gardens.

“He’s turned it.” Reynolds let his breath out with a shuddering sigh. “It’s headed out for open desert. It might get twenty miles before it finally runs out of steam.”

The strange voice that was the Bolo’s came from the big panel before Mayfield:

“Command . . . Unit LNE reports main power cells drained, secondary cells drained; now operating at .037 per cent efficiency, using Final Emergency Power. Request advice as to range to be covered before relief maintenance available.” 

“It’s a long way, Lenny . . .” Sanders’ voice was a bare whisper. “But I’m coming with you . . .”

Then there was only the crackle of static. Ponderously, like a great mortally stricken animal, the Bolo moved through the ruins of the fallen roadway, heading for the open desert.

“That damned machine,” the mayor said in a hoarse voice. “You’d almost think it was alive.”

“You would at that,” Pete Reynolds said.

 

 

 

Afterword by Eric Flint:

In his preface, David refers to three of the Bolo stories “that really have an impact.” The other two, for the record—at least so far as Dave and I are concerned—are “A Relic of War” and “Combat Unit” (aka “Dinochrome”). Among the three, it’s hard to pick and choose. As it happens, I chose “Dinochrome” to include in the first volume of Laumer’s writings which I edited for Baen Books’ current reissue of many of Laumer’s writings, but I could just as easily have chosen this one.

My reasons are similar to David’s, but not exactly the same. I’m not a combat veteran, so on that level the story doesn’t have the same personal impact. The thing I’ve always liked so much about the three great Bolo stories is that they give you the best of Laumer’s ethos of duty without the veneer that I often find repellent in so many other stories Laumer wrote.

Laumer, like Van Vogt, was an author who naturally gravitated toward superman stories. Stories like that, no matter how well crafted and enjoyable—and on that level Laumer was a superb writer, one of the best ever in science fiction—just naturally tend to rub me the wrong way. It doesn’t matter how admirable and courageous the hero might be, or how worthy his cause, I soon get impatient with story after story where the fate of the world rests almost entirely on one person doing the right thing, and where the role of everyone else is pretty much reduced to one of three roles:

a) Loyal sidekick;
b) Enemy;
c) Most people, who are irrelevant at best and sluggards as a rule.

Oh, bah. The great divide in science fiction is not political, it’s the divide between those writers—Heinlein, Clarke and Andre Norton, to name three great figures—who generally tell stories about fairly ordinary people doing their best in difficult circumstances, and those writers—Van Vogt, “Doc” Smith and Laumer prominent among them, with George Lucas’ Star Wars series the latest embodiment—for whom most stories are heroic epics centered around supermen.
There’s an attraction to such stories, of course, even for someone with my inclination. That’s because, in the hands of good writers, the theme of Duty rings so strongly. It’s a theme which is difficult not to like, because without a sense of duty no virtues of any kind are possible.

And that’s why the best of the Bolo stories always have such an impact on me—today just as much as they did when I first read them many decades ago. The theme comes without the dross, so to speak. The Bolos are not supermen, they are simply servants trying to follow their duty as best as they can manage. In the end, for me at least, that makes these machines ultimately more human than many other of Laumer’s characters. Well . . . maybe not more human, but certainly a lot more sympathetic.

 

 

 

 

Why isn’t anyone noticing?

It is precisely because they have two different life experiences of living in China and living abroad that they understand that the American-style democratic system is the real dictatorship, but the “people are the masters of the country” advocated by the Chinese government is the real democracy system.

Some new immigrants lie to please the United States. In the United States, not only is lying an inalienable right (the freedom to lie), but hypocrisy is a virtue. 😂

Don’t look at the American media talking about “China” all day long. In fact, most Americans know nothing about China. They don’t even know where China is.

Most Americans have never left the United States. Many Americans don’t even have passports. Even if they have passports, they will only go to Canada and Cancun at most. However, the number of Chinese citizens traveling abroad reached 155 million in 2019.

For example, when Trump visited India, Modi confided to him his concerns about China’s border policies. Trump was very strange and said, “India and China are not bordering each other, so what are you worried about?”

When you meet someone new and they start talking about a topic you know everything about, let them finish.

Don’t hijack the conversation, just because you can. Yes, it’s great that they care about the same stuff you do. Yes, you can contribute a lot here.

But that doesn’t mean they might not know a thing or two you don’t.


Scenario 1: Boy meets girl.

Girl: I actually know quite a bit about cars. My favorite is the 997 Porsche Turbo S, that was a great model…

Boy cuts her off: …yeah totally, I love that car, man, 530 hp, 700 Nm, and geez, the launch time, 3.3 seconds!

Girl: Mmhmm! *nods politely but dies a bit inside*


Scenario 2: Boy meets girl.

Girl: I actually know quite a bit about cars. My favorite is the 997 Porsche Turbo S, that was a great model…

*Boy draws breath, but then just closes his mouth*

Girl: …not only because of the insane hp and torque, but also because it was their fastest production car ever! Porsche claimed its 0–60 time to be 3.3 seconds in the brochure, but most magazines actually measured it with a 2.6.

Oh and I just love that test on Top Gear where they pit the convertible against a VW Beetle, falling from the sky. That’s one of the funniest “races” I’ve ever seen:

Boy:

Spits out, and coughs.

Talking is easy. Listening is a virtue.

Every single person you’ll ever meet knows something you don’t.

Play dumb and you might find: in a way, we all still are.

This is absolutely stunning and worth your time to watch.

My friend Tucker just got clean three months ago. It is so awesome to watch him blossom into someone so beautiful, that it brings tears to my eyes.

Tucker does this thing when he talks. It’s this slow, drawn, half-country, half-ghetto — all man thing that just makes me laugh and smile.

Tucker can’t see how beautiful he is and all the wonderful things that await him if he can just hang on for a minute, or a day, or a year.

Today I ran out of cigarettes at work. I asked Tucker if I could have one of his. Tucker more than obliged and handed me his all but half-pack and said: “Here you go, you can have the rest.”

Tucker is so generous in recovery. Tucker is willing to give everyone — everything he has.

My first thought was how awesome that was. I get eight smokes for the price of — n o n e. And then I saw it. I saw myself. I got angry.

Tucker is so willing to give everything away. I was him, or maybe Tucker is me.

The saddest case of addiction that I’ve ever seen is the case where the addict finally gets clean and is willing to give everything away — and people take it.

It may sound insignificant, but I assure you it’s not.

Addicts, like myself, are so used to having nothing. The moment we have something, even an almost half-pack of smokes, we’re willing to give it away.

It’s sad. It hurts me to think of why an addict is so willing to give so much of themselves in early recovery.

I want to be normal. I want you to like me. I want your love and your friendship.

I just want to be normal.

I don’t get high.

Now I don’t fit in with the people that still get high and I feel like I’ll never fit in with you.

Leon

There was a coworker back in the early 90′s who I thought was your stereotypical red-neck trailer trash kinda girl. She was from Alabama, and spoke with a heavy southern accent.

One time there was a bunch of us who went out after work on a Friday night. That night, we just happened to be all white. It was a normal evening. No heavy drinking, just idle chat. A couple guys started making racial comments about a black couple that walked in. Stuff like, “they don’t serve fried chicken here” & “bet they ask for water melon”. One even said something about how nice it was before they allowed colored people in places like this.

The red-neck girl spoke up rather loudly and said (and I’m paraphrasing here since I don’t remember word-for-word), “Hey, what’s your problem? Those are PEOPLE you’re talking about. PEOPLE! Racism is WRONG! WRONG! Shut up!”

She stared at them for a moment and went back to sipping her diet Coke. The guys downed their drinks and left. I smiled at her, and things returned to normal.

Except my respect for her grew exponentially. And, ironically, I got a lesson on prejudice.

It just hit her hard.

China is at war right now.

China has been fighting a war with the United States since 2008.

It is an under-reported war. The Western media does NOT report on it. Instead, they produce “news” and describe it as something else.

Intentional Misreporting.

  • An American “stealth” submarine “accidentally” slams into an uncharted undersea mountain.
  • One hundred Space-X satellites tumble to the ground because of a freak solar flare.
  • The “pro-democracy” movement in HK fizzed out and died for no reason at all.
  • An Australian submarine crew is shaken up by Chinese “sonar blasts”.
  • Recovery efforts in the South China Sea was to recover an F-22 that accidentally crashed during carrier take off.

Unreported news

As well as a slew of unreported news…

  • China and Russia publish a casus belli against the United States.
  • American generals, formally listed as “retired”, are captured in Ukraine.
  • The round up and execution of all CIA and NED assets in Hong Kong.
  • China opens up strategic oil pipelines with Russia.

Fake News & and lies

And, of course, a flood of lies known as “fake news”…

  • China sending spy dirigibles disguised as weather balloons.
  • Chinese military are all conscripts.
  • China infiltrating Americans private data via Tiktoc.
  • 3G causes gas pumps to explode. 4G cases planes to crash. 5G causes brain cancer.

And so on and so forth.

If the United States was currently winning the war against China, it would be front page news. The mere fact that it is hidden is strongly suggestive that the United States is losing; floundering in this effort.

Honestly, this current period of time is just a continuation of the 1960’s era “cold war”. NATO has acquired just about ALL of the Western Russian buffer states. And NATO is (territoriality speaking) piece by piece disassembling the Russian defense perimeter so that the ultimate conquest of Russia can occur.

And it almost did.

Almost.

And once Russia was a “head case”, and looted, pillaged, and the USA-backed oligarchs ran the nation as some kind of medieval fiefdom, the looting of China can finally occur. As that was the plan all along.

Oh, yeah. It’s not going that way.

But it’s coming near to “High Noon” at the “OK corral”.

Yikes!

So China and the USA are in decade two of the long drawn out war hostilities. So far, the clear winner is China. But the American (and proxy) “leadership” have a vision and somehow believe things that are not real; are not true, and will never be true will manifest in their favor.

Which makes believe that they are all delusional psychopaths…

Thinking and wishing something to happen in this physical world will NOT make it occur. Actions will. And the actions by the West are completely and totally inept.

Oh a physical hot war is still on the table.

It will begin as a provocation; an American “false flag” event, that will push China into some kind of response.

And a proxy nation or two will engage China.

And America will have tricked China into a war.

However…

I am of the mind that China knows what the “cats paw” is actually all about, and will strike American cities, and Americans on American soil. China will make life for average Americans as uncomfortable as possible and that internal strife will bring about a civil war that American will not survive.

Stay tuned to stage two of this global catastrophe…

Confusing

China will lead this modern world. Can the West’s democracy survive China’s rise to dominance?

The West—both the United States and the European Union—is, in historical terms, in precipitous decline.

The BRICS countries, led by China, now accounts for just under 60% of global GDP, compared with around 33% in the mid-1970s.

The great story of the post-war era has been the rise of the developing world, representing around 85% of humanity, and the decline of the old developed world, accounting for around 15% of humanity.

China increasingly ranks on a par with the United States to the extent that it is now regarded by the latter as a threat to its global ascendancy.

China’s governing system, long derided in the West, has emerged as a formidable challenger to America’s democratic system. Over the last 40 years, there is no question which has been more effective and which has delivered most for its people.

The greatest danger is not the rise of China but how the United States will react to China’s rise and its own consequent loss of primacy.

The rise of illiberalism in America is not an accident.

It coincides with the dawning recognition of American decline and a desperate desire to prevent it.

It should be remembered that the heyday of Western democracy corresponded with the zenith of Western hegemony. But can the West’s democracy survive the decline of Western global dominance?

If the West is able to retain and renew its best values, in a world in which it enjoys a much diminished role and China is predominant, such a world will be the better for it.

  1. Never tell people about your bad or dishonest behavior.
  2. Listen actively and avoid dominating conversations or interrupting others.
  3. Treat others with kindness and avoid using them for personal gain.
  4. Respect the boundaries of others and avoid getting involved with married individuals.
  5. Live within your means and avoid overspending or accumulating debt.
  6. Only make promises or plans if you genuinely intend to follow through and remember them.
  7. Communicate respectfully without using swear words or yelling at anyone.
  8. Be cautious about sharing personal information that could be used against you in the future.
  9. Don’t pursue romantic or friendship relationships out of boredom or loneliness.
  10. Only engage in romantic or sexual relationships with people you genuinely like and want to be with.

They fight dirty

We were living in a small, privately owned apartment complex when my husband and I found out we were expecting our first baby. This complex was very quiet, and the owners were very open about advertising their “Christian values”- not allowing unmarried couples to rent from them (just a sidenote, I am a Christian, and this information about their values may not seem relevant right now, but it will come into play later).

We had already been living in the apartment for over a year, so at this point we are on a month-to-month lease, with a 30-day notice required to vacate. After careful budgeting and deliberation, we decided that we were finally ready, and it was the perfect time to purchase our first home. We contacted a local realtor and started the search. After several weeks of searching, we found the perfect house and submitted an offer.

We were so excited when we got the news that our offer was accepted. We quickly handled the standard inspection, appraisal, and back-and-forth negotiations of what needed to be fixed about the home before closing. When we got the closing date set, we realized it was just over a month out and we needed to submit our 30-day notice to the apartment complex immediately.

On Feb. 28th, a Friday, there was an ice storm blowing through our city, but I walked to the leasing office to drop off the written notice anyway, along with a check for our final month’s rent, for March. When I got there, I found the office was locked tight. The garage at the side of the building was hanging wide open. Inside, I saw the head maintenance employee having his lunch. He said that no one had come into the office that day, probably due to the weather. I left the vacate notice and the rent check in the mailbox for the staff to find when they finally decided to return.

On Monday morning, March 3rd, I called the office to ask if they had gotten the notice and the check that I left in the mailbox. The receptionist said, “Oh yes, hold on one moment, the owner would like to speak with you.” Up until that point, we had had a pretty good relationship with the owner. We were quiet and respectful tenants, never had any complaints against us, paid on time, and frequently engaged in personal discussions whenever we saw each other. I thought that maybe the owner wanted to congratulate us on the pregnancy, buying our first home, or even to discuss the final move out inspection — anything but what she actually wanted to discuss.

The owner argued that because she didn’t receive the notice until after the first of the month, we would be responsible for rent through the month of April. I responded in protest, saying that I delivered the notice before the first of the month, and that it was not my fault the office was closed during what was supposed to be regular business hours, due to inclement weather. This did not sway her, and she threatened to withhold our security deposit and sue us in court for not paying rent for the month of April as well. I did not give in. I told her to do what she felt she needed to do, but we were moving out by March 31st and not paying a dime more. I was absolutely shocked at the complete 180 in her personality and demeanor.

Luckily for us, when I wrote the check for the rent for March, I included “PAID IN FULL” in the memo. I didn’t realize how much that would help us later on.

We did the final move out inspection, and the owner did the walk-through herself, trying hard to find a reason to withhold the security deposit — alas (for her), I am an excellent housekeeper and we treated our apartment as if it were our own. She was unable to notate any damage that would allow her to keep our deposit. She was very obviously irritated with this, and proceeded to repeat what she initially said — that we were still responsible for the month of April, so she could legally keep the deposit as well as sue us.

At this point, my pregnancy hormones were raging, and I was sick of her crap. I decided to beat her to the punch. I went down to the courthouse and filed a suit against her myself, in an effort to get our security deposit back because she did not have any legal grounds to keep it.

By the time our day in court came around, we had been living in our new home for several months, and I was as big as a whale, ready to pop any day. When the judge called my case, I waddled my way to the front of the court room with my little file folder full of my documentation and all the research I had been doing in the months prior.

I explained the entire situation to the judge, and showed him a copy of the check for the final month’s rent they had cashed — the check that stated “PAID IN FULL”. Because Virginia mostly rules by case law, I included a case that the Supreme Court had previously ruled on, stating that by cashing the check, the receiving party was agreeing to the terms written on the check, which invalidates any previous contract, written or verbal.

Not only did the judge agree, he ordered the apartment complex to repay our security deposit, said we were no longer responsible for any monies/rent for the month of April, and the apartment had to pay our court costs as well. I could tell he was irritated for me — the fact that I had to go through all the trouble I did to get the situation handled, during what was supposed to be the most exciting time in our lives. He was almost apologetic!

The apartment complex owner was NOT happy, and I think she even cursed at us under her breath as we were leaving the courthouse. How Christlike!

Not long after that, I gave birth to a beautiful baby girl. We still own the home we purchased, and are loving life to the fullest.

The girls expressions are great

Nokia’s failure was something that just had to happen – Nokia, realistically, couldn’t have done much about it.

In 2007, around half of all mobile phones sold were Nokia phones. These guys were massively dominant.

Below is my last Nokia, which I bought in 2007. It was a fantastic smartphone.

main qimg d7297d88bcb7020ffd9db82f6a3029de lq
main qimg d7297d88bcb7020ffd9db82f6a3029de lq

Less than 10% of all phones sold were smartphones, but even in that growing space Nokia, with its Symbian, had dominance.

main qimg 11074c44f39cd7ea2e7b817fb327a2ca pjlq
main qimg 11074c44f39cd7ea2e7b817fb327a2ca pjlq

But in 2007 something happened that you can’t really blame Nokia for. A nut job, Steve Jobs, made this insanely great smartphone that didn’t even have a keyboard, the iPhone. Not only that, he made buying apps so easy that people would actually buy them, making smartphones so much more useful.

And then, to make matters worse, Google decided to partner with every smartphone manufacturer in the world via Android, which would emulate the iPhone. And they didn’t care whether they made any money or not so gave the software for free.

I mean, what were Nokia supposed to do? It’s far more difficult changing a legacy software, like Symbian, than making an essentially new one like Google did with Android. And given they were so dominant in both hardware and software, they couldn’t have really abandoned either.

Ok, in hindsight they should have adopted Android, but fat chance that was going to happen given Android was way behind, and a competitor.

And so the reason Nokia failed was because shit happens…

Rejection

Absolutely!!!!

Frankly India is a superpower already

Just like the Laws of Physics dont apply to Indian movies, it appears laws of economics don’t apply to India

India grows at 8.4% when there is a Global Slowdown against 6.15% when there is normal Global activity

Indian shares surge 233% when the whole world is in Covid crisis and everywhere else the rules of economics are being followed

It takes China 40 years and Billions of Investment, literally Billions to pull out 800 Million People from extreme poverty

Yet India in a mere 15 years with a thirtieth of the investment can pull out 450 Million people from extreme poverty

Isnt India a superpower already?

growth
growth


China actually has to slog and work against all the odds

  • Forty years of Poverty Alleviation
  • Forty years of Industrialization
  • Two generations giving up their entire youth to ensure the present China is the way it is
  • A Hostile Global Media which belittles every Chinese Achievement including Indian Media

India meanwhile is a real super power :-

  • Not a shred of any sacrifice required
  • Not the slightest change in any system needed
  • No reforms discussed or performed
  • Yet India is an emerging economy that would be $ 50 Trillion in 2047 according to Rajeev Chandrasekhar

You do the math and figure out Indias actual chances of eclipsing China in all these fields

As for me?

I don’t trust anything India says or does in the past few years

It doesn’t gel with logic

Scott Ritter: Russia has DESTROYED Ukraine’s Army and NATO is Losing Control

Your body language always betrays you.

  • We are more likely to put our hands around our waist at a self-hug position when we are around people, than we are by ourselves.
  • When something bothers us, we tend to bite or suck our lips.
    • This includes when we are lying.
  • When there’s an issue, we tend to put our hands at the side of our hips with fingers facing outwards.
    • So we take up more space and become more territorial.
  • A lot of people tend to move their legs back and forth while talking on stage because of nervousness.
  • When we are relaxed, we sometimes tilt our heads. However as soon as something bothers us, the head tilt is gone and we position our heads straight.
  • When we are stressed, we tend to go on our phone.
    • This is to seem like we’re busy and potentially avoid the unwanted conversation. It also helps us escape from eye contact, and to have an excuse for a delayed response because “sorry I wasn’t paying attention”.
  • When we are lying but we want to calm ourselves down, we move our hands a lot.
    • Don’t mistake speaking with a lot of hand movement as a sign of confidence
  • When people question us about our lies, we tend to actively reveal a lot of somewhat related information, without directly answering the questions.
    • This is to avoid the source of stress by not answering the accusations directly, to distract the person questioning, and to seem trustworthy as you willingly tell them information.
  • When we are stressed, we want to calm ourselves down. Sometimes we put our hands on top of our heads, or cover our mouths.
  • We tend to smile when we are happy, even when we are not supposed to. This is because our emotions come before our mind processes it.
    • If someone smiles for a second and immediately stops smiling, they might be hiding something.
  • When we are stressed, our feet will be facing the door or we will look at the door once in a while. This is because our unconsciousness wants us to leave the situation.
  • Don’t think that forcing yourself to not have any body movements means that you are mind-reading-proof either, because limited movements is also a sign of discomfort.

Unfortunately, there’s no way to stop ourselves from revealing our state of mind to others. Body language never lies.

BUT

Not only can your body tells people about you, it can also directly influence your own thoughts.

  • Sitting up straight gives you energy, while slouching can make you feel sad.
  • Crossing arms can make you more determined, but it can also give people the impression that they are not welcomed.
  • Taking up more space makes you feel more confident, and gives us a feeling of power. These poses are called power poses.
    • Studies have shown that power poses will make people more willing to take risks. As we feel that luck is by our side.
    • People who have done power poses are more likely to be selfish compared to those who have done contractive poses. Because when a person feels powerful, they are less empathetic.
    • Fun fact: Donald Trump also tends to take up a lot of space to seem dominating.
  • While you naturally smile when you’re happy, smiling can also lighten up your mood when you’re sad.

Moral of this list? Use body language to your advantage, by detecting stress (and potential dishonesty) from others, and to feel more self confident!

That’s all I got for now. Perhaps I’ll update this list once I got more facts. Who knows?

EDIT

Well I’m procrastinating from work so why not add more facts that aren’t related to body language.

  • When you see something extremely adorable, do you want to squeeze it to death? That’s called the cute aggression.
    • Some study says that it’s because our brain doesn’t know how to deal with these overwhelming cuteness, thus builds aggression to get a sense of control… Freaky right?
  • There’s a theory called moral licensing. It theorizes that when people have done something moral, they feel entitled to do something bad, vise versa.
    • For instance if you have done voluntary work today, and you picked up $20 on your way home, you are less likely to give that money to the homeless than someone who haven’t done voluntary work.
  • Do you like freedom? Well, studies have shown that we feel worse when a wrong decision is made by ourselves, than when there’s no choice at all, even when the outcome is equal.
  • Your mind and behavior is heavily influenced by your brain formation. So… do we truly have free will…
  • Studies have shown that:
    • Kidney donors have a larger amygdala (area that controls emotions) than average, while psychopaths have a smaller one than average.
    • People with more conservative political views tend to have larger amygdala, while liberals have smaller ones.
    • While extroverts feel energized from the dopamine produced out of socialization, introverts are over-stimulated.
  • We are more empathetic to those who are like us. This includes the similarities in looks, skin, personality, interest, etc. This is because they are more relatable to us.
  • Do you secretly love true crimes? Or are you fascinated by what a serial killer does? Don’t worry, you’re not evil.
    • Humans fear the unknown, and by knowing what the experiences are like during these situations, for both the killer and the victims, helps us conquer that fear. When we are terrified, we dominate the situation by understanding it. This is perhaps the reason why people commit crimes as well.

Gotta get back to work now, maybe I’ll add more soon.

Have a nice day!

Japaneses beaches are The Best

No chem-tails yo.

Yes. And is a story why we cannot have nice things.

The company I work for had very chill policy about the time you had to start work. You came in 8:00, you work your 8 hours you go at home at 16:30 (30 minutes obligatory lunch brake). You came at 9:30 you work your 8 hours with 30 minutes brake you go home at 18:00. Life is good everyone’s happy.

Then this guy start coming regularly at 10, then 11, then 12 – which means that all meetings, trainings and whatnot had to be moved for everyone else because of his schedule. Obviously this wasn’t going to work so a rule was implemented – everyone should start work no late than 10:00.

That guy start coming at 10:10, 10:15, 10:30, so as his direct manager I talked with him, several times that this is unacceptable, which lead to him coming on time (9:58, 9:59 usually) for a week then get back to being late.

In the end the last drop was when we was moving the office to another floor in the same building – company wide notice was send that moving is happening next morning at 9:00 (everyone moved his/her own computer and monitors) – isn’t a big deal but this bulky Lenovo work stations weighted like 20 killos so guys helped the girls carrying the machines.

This guy? Came near or after 10:00 again, expecting someone else to have moved his equipment already. Owners of the company had enough and let me fired him same day. We even paid him a few months worth of salary just to see his back asap. The guy never understood what the problem was, and the 10:00 rule is still on place, years after he is gone.

The moral of the story is, if you have a nice benefits at work, for fuck sake do not exploit them like there is no tomorrow. Have some common sense.

Point spot on reality

2 more oil refineries went on fire in Russia today.

Drones attacked oil refineries in Syzran and Novokuybyshevsky, Samara region.

Notably, Syzran is 1,300 km from the border with Ukraine.

The governor of the region, Azarov, officially confirmed to RIA Novosti that fire broke at oil processing plants.

It’s already refineries #13 and #14 that suffered hits in Russia.

In response, Russia hit a residential building in Odesa, Ukraine, with a ballistic missile. And then Russia hit it with a ballistic missile again, targeting first responders – emergency services and medics, in an effort to obtain maximum civilian casualties.

20 people died as the result of the “double-tap” attack, more than 70 people wounded, several of them are in critical condition.

And to all these asking, “What did you expect?”, the answer is “Ukrainians expected to live their lives in their country without Russia or its useful idiots asking stupid questions”.

Ukrainian families experience pain and suffering every day. Only the complete destruction of the “beast from the east” will put an end to suffering.

Dmitry Medvedev (who always expresses what Putin wants to say but can’t) proposed the Russian version of “peace formula”: Ukraine must capitulate, the whole territory of Ukraine must become Russia, all Ukrainian officials must be removed, and Ukraine must pay a compensation to Russia for the Russian soldiers killed and wounded in the war.

So, we now have Russia’s “peace plan” — anyone who would like to suggest to Ukraine to negotiate with Russia, should be simply directed to Medvedev’s Telegram to read this remarkable plan in full.

Now any country should know: if Russia attacks you, this means they are going to keep killing your people and destroying your cities unless you surrender. And then they are going to annex your land and demand compensation for the inconvenience. And, of course, they are going to torture and kill the people who don’t love Russia, deport half of population to Siberia, and relocate Russians from Russia to live in the homes of deported locals.

This all had already happened before. The Soviet Union was attacking smaller countries and demanding capitulation, and when the governments signed capitulation, Soviets immediately began executions and deportations, and brought hundreds of thousands of their own relocants, to change the ethnic composition of the annexed territories.

There is nothings that Putin is doing now that the leaders of Russia and the Soviet Union haven’t done before. That’s what they always do.

An insult to my intelligence

What to expect from China if you are CIA / NED and Chinese

This is what Chinese do to whoever sold the country to the enemy, known as 诛九族 nine familial exterminations Nine familial exterminations – Wikipedia

, basically every person related to the collaborator would be eliminated from the society. Chinese do this to make sure things like this will never ever happen again. In India, the people who got rich by helping the British are still in charge today. Chinese people are amused by India.

Qin Hui – Wikipedia

Uh oh
Uh oh

Souper Meat ‘n’ Potatoes Pie

Souper Meat ‘n’ Potatoes Pie is a family favorite vintage recipe from Campbell’s.

soup pie
soup pie

Yield: one 9 inch pie

Ingredients

  • 1 can Campbell’s Cream of Mushroom Soup, divided
  • 1 pound ground beef
  • 1/4 cup finely chopped onion
  • 1 egg, slightly beaten
  • 1/4 cup fine dry bread crumbs
  • 2 tablespoons chopped parsley
  • 1/4 teaspoon salt
  • Dash of pepper
  • 2 cups mashed potatoes
  • 1/4 cup shredded mild cheese*
  • 2 slices cooked bacon, crumbled**

Instructions

  1. Mix thoroughly 1/2 cup soup, beef, onion, egg, bread crumbs, parsley and seasonings.
  2. Press firmly into a 9-inch pie plate.
  3. Bake at 350 degrees F for 25 minutes; spoon off fat.
  4. Frost with mashed potatoes; top with remaining soup and cheese.
  5. Bake for 10 minutes more or until done.
  6. Garnish with cooked and crumbled bacon if desired.

Notes

* We love cheese, so I normally cover the entire top of the pie with a hefty amount of cheese, more like 1 cup.

** This is my addition to the recipe. It adds a little extra flavor.

Meanwhile in Vietnam

Pakistan has a lot of harsh truths that should be understood by all Pakistanis in order to solve the nation’s issues and look towards a successful and bright future.

  1. Around 40% of Pakistan is in poverty. Balochistan, FATA, KPK and Lower Sindh are the worst affected, while urban Sindh and Northern Punjab are the most well off. 40% Pakistanis live in poverty – The Express Tribune
  • People vote in communal patterns. Karachi’s Muhajirs vote for MQM, the Sindhis vote for the PPP, the Punjabis votes for PLM-N, Pashtuns vote for PTI and the Baloch vote for various Islamist parties. Politics of ethnicity
  • The nation has seen dynastic rule for the past 44 years (with Parvez Musharraf as the interuption). The Punjabi Arain Shariffs and Sindhi Rajput Bhuttos are the power holders; similar to India’s Gandhi Dynasty, Bangladesh’s Zias and Sheikhs as well as Sri Lanka’s Bandaranaike Family. Dynastic politics
  • Lack of development, stability or a clear future. Karachi has a population that is close to parallel to Tokyo and Seoul, yet the city is embroiled in ethnic warfare and militant-ism. On the other hand, the rest of the world is advancing in every direction. In Karachi, Pakistan, few families are untouched by crime
  • A whole lot of religious intolerance. The large Sunni majority has politcal and social dominance over the Shias, Ahmadiyas, Hindus and Christians. Violence towards these groups occurs more frequently than you’d expect. The Problem of Religious Intolerance in Pakistan
  • Close minded attitudes and ignorance. Men continue to hold domineering status over women in terms of education, politics and personal freedoms. People are lynched for being accused of blasphemy. Most importantly, Pakistanis aren’t allowed to freely express their politcal or religious beliefs. Imposing faith
  • The never ending tense relations with India. For the past 70 years the two nations have been embroiled in Kashmir and countless other wars and smaller conflicts. This seems to be a never ending dispute and I don’t suspect anything to happen soon. A brief history of the Kashmir conflict
  • Extremist nature and terrorism within the nation. A whole lot of terrorism is homegrown and exported outside of Pakistani soil. People even empathize with terrorists and Islamism. In fact Mumtaz Qadri’s (terrorist) grave has been turned into a Mazar and people show up for his Urs. Mumtaz Qadri’s shrine: In memory of Salmaan Taseer’s assassin

Perhaps the biggest “harshest truth” about Pakistan is that the conception of Pakistan was one of the worst ideas in the 20th century. The Partition tore away millions of Hindus, Muslims, Sikhs and Buddhists from their homes, businesses and friends to cater to the greatest minority appeasement in history. It paved the path for numerous conflicts and wars between the two nations (and later a third). Most importantly, the death toll of the Partition reached around 2 million and millions more died in the later riots, wars and conflicts.

In conclusion, Pakistan’s “harshest truths” are the result of a series of poor decisions and a lack of real leadership. This is evident from the days of the Pakistan Movement to today.

They have assumed…

Like Rogerio said,

Parrots don´t cover the walls of tall buildings in Brazil.

They cover the wall of a single building in São Paulo.

The palace of parrots…

main qimg 07726eaf9d9d02fdc1bf9600ca92d1e8 lq
main qimg 07726eaf9d9d02fdc1bf9600ca92d1e8 lq

Crazy uh?

At a first glance, it might look like you said, “a building decoration”. But those are actual birds massed on the building (it is the specimens of Psittacara leucophthalmus, in italian we also call them white-eyed parakeet).

This is happening in the eastern part of São Paulo, Brazil, the bricks of what is known as the “Prédio das maritacas”

[1] have been attracting hundreds of parrots every day for twenty-five years.

This behaviour could be related to the phenomenon of geophagy: in nature these birds consume small amounts of clay with the double purpose of

  1. reducing the harmfulness of certain foods (in particular, studies show a 60% reduction in the toxicity of the alkaloid quinidine, contained in the plant China) and…
  2. …as a supplement of their diet. (EDIT: Don’t miss Lena Kurschev comment below she is showing this phenomen with some very nice pics)

However, in an urban environment they have opted to find what they need more conveniently by licking clay from the surface of the bricks.

Other hypotheses suggest that the structure of this particular building allows many parrots to stop for a break and find shelter at the same time, in harmony with their social instincts; or, even, they use it to rub their beaks in order to sharpen them.

main qimg 1e438ba79092e6a4a06a158b3641d4cc lq
main qimg 1e438ba79092e6a4a06a158b3641d4cc lq

A pretty sight to see perhaps, but it is sad to think that they are there because their natural environment has probably been slowly wiped out due to human expansion.

Pause after winning

It’s up to you.

The background of prison is Groundhog Day. It’s a cycle that repeats endlessly with minor weekly events and the occasional shakedown to liven things up.

Just like in the movie, you wake up every day to the same exact set of circumstances. You’re wearing the same clothes, the same thing is on the radio, the same food in the Chow Hall… sure, some things are on weekly or monthly cycles — visits on weekends, work and mail-call on weekdays. Unless you choose to use your time wisely, every day will crash into the next like too many bumper cars on the track — nobody going anywhere.

Each hour, day, and week is a small progression to the time when you get to start your life over.

You can peel the numbers off the dials if you want. If you do, nothing will mark the smooth motion of the wheels and you’ll have no sense of where you are, or how far you’ve come. One day they’ll just kick you out and you’ll be no better off than you were before.

I knew guys who didn’t mark the days. They had nothing to live for. Their lives were just a continuous monotony, a drive through Death Valley, with no landmarks to judge progress, and nothing learned along the way.

Time is precious. It’s all we have. Choose what you do with each minute carefully and you won’t get to the end of your journey only to ask, “What happened?”

Interception

Tiktok and Douyin (Chinese company) are two divisions operating separately and independently.

Tiktok is privately held. The consent of the China government is not required.

Institutional investors including Carlyle Group Inc. (USA), General Atlantic (USA) and Susquehanna International Group (USA) own 60% of ByteDance; 20% is owned by the company’s global workforce; an additional 20% is owned by the company’s Chinese co-founder Zhang Yiming.

If someone asked Tiktok co-founder Zhang Yiming to donate his shares for free and gift them to the U.S. for nationalisation, he would not agree!

This is in effect the U.S. government plundering private legal property.

Zhang Yiming will not sell his original core algorithm technology. It’s the same way Bill Gates won’t sell his patents.

No doubt he’d rather take Tiktok and leave the US.

The U.S. market doesn’t deserve a high-tech company with the latest algorithms like Tiktok.

Americans have Facebook, Twitter and Instagram and that’s enough.

One of the infamous methods of punishment in the Ming Dynasty was called “Court caning.” (廷杖)

The notoriety of the punishment was mainly because it was very unofficial and handwaving. If an official said something wrong to the Emperor in the court, the Emperor could order him to be dragged out and beaten. No need to go through an elaborate legal system, the Emperor was angry and there will be consequences.

How badly would the victim be hit? The answer is…the guards knew exactly how hard to hit.

An urban legend stated that the guards trained for this by taking a brick, wrapping it around in straw, and then covering it with paper. The executioners would train by hitting the brick with a stick. They could break the paper without touching the straws, and they could shatter the brick within without breaking the paper. (Obviously its a crude simulation of human anatomy)

There were also no official words from the Emperor on how hard to hit. The supervisor of this punishment, usually an eunuch sent by the Emperor, would also be counting how many, and there were also “safe words” he could use to convey the message to the executioners.

The supervisor eunuch knew because he was close and loyal to the Emperor; he could read his intentions.

If the supervisor eunuch said: “hit seriously,” then the guards would actually be careful, it meant the Emperor or the supervisor eunuch didn’t want the victim to die. If he instead said: “Hit solidly,” then the guards would reply: “I’m about to end this man’s career.”

Another alleged “safe word” was the stance of the eunuch. If he stood or sat with his foot pointing outwards, like a “V” shape), he wanted the victim to live. If he instead had his foot pointing inwards like a “^,” then he wanted the victim dead.

(An old movie named “Dragon Gate Inn,” had this introduction scene. The corrupt high eunuch Cao Shaoqin was interrogating and torturing a sentenced general. Notice his foot stance? Also notice the actor playing him? It’s a young man named Donnie Yen!”)

So when the sentence came, the executioners could hit you exactly as hard as they want. Sometimes the victim could survive 100 canes and still recover in a couple of weeks. Sometimes, 5 hits would be enough to send him to the grave. Surviving to caning was expected, dying to the caning was also expected, the guards could easily just blame it on any “pre-existing medical conditions” of the victim.

By the way, the guards and eunuch accept all forms of payments. They played the loophole in this corporal punishment system to their advantage.

Edit: Actually I’ve followed up a little bit because I realised I might not have given as direct of an answer. The maximum penalty was usually 100 strikes, but 60 was probably the fatal limit. But again, quick flick through the books, some died while some managed to survive.

Men Are Oppressed Not Women (They’ve Been Lying To You)

“What firearm would you recommend for defense against home invaders?”

Paintball.

Yes, yes, I know you’re going to say it’s not a ‘firearm’, but you haven’t thought things through.

If someone enters your house at night and you wake up, you think ‘intruder’ and you fire that Desert Eagle Penile Compensation piece in your dark bedroom — without donning your hearing protection (because, who is going to have hearing protection with that Desert Eagle on their nightstand, right?) — fire that piece in the darkness at the shadow in the doorway, you know what will happen. The noise will replace your hearing with a loud ‘iiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiii’ and your retina will be sporting these muzzle flash afterimages of your wife crumpling in the doorway. Or, in the unlikely event that it’s not your teenage daughter sneaking back into the house after leaving through her bedroom window, but an axe murderer — you just rendered yourself too blind and deaf to re-aim and shoot again.

Now, reconsider my suggestion and imagine you have a paintball gun on your nightstand.

First of all, no flashes and noise to mess with your night vision and hearing. Just a ‘pffft’ and angry cursing from the shadow in the doorway as he’s trying to wipe paint from his eyes. Because you know that just like you don’t have hearing protection on your nightstand, he sure as hell isn’t wearing paintball protection on his nocturnal visits. Paintballs on your unprotected body hurt like fuck. And the intruder won’t know what’s happening. No muzzle flashes or loud noises, just the sound of a blowdart and getting stung and wet all over — that’s unnerving, man, and I’d like to see the intruder who wouldn’t scamper back to whatever rock he crawled out from under. (Did I say that right? Sounds right…)

And while he runs like fuck from the stinging wet paint, you call the cops and tell them there’s an intruder running around your neighbourhood, a man splattered with purple paint. However incompetent the police are in your area, they should still be able to find someone covered in paintball paint.

Plus, if you make a mistake and confuse family members with intruders, you don’t have to take them to the ER (or bury them), but you simply apologize and help them wash off the paint.

So, forget about all those macho handcannons and just get yourself a paintball gun for home defense. Your NRA neighbour might laugh at you, but he’s going to be the one with the axe buried between his starry eyes from the muzzle flash, while there’s still an almost full magazine in his Desert Eagle.

[image by Paintball Guns & Gear at the #1 Paintball Store]

Edited to add:

A lot of people respond that my answer is ridiculous and dangerous. And they might be right — pelting an intruder armed with an assault rifle with paint balls might well result in getting you killed. However, I posted this answer not to promote paintball guns for home defence, but to think ‘outside the box’. In the comments, a lot of people also offered their own alternative solutions — shotguns loaded with rock salt, pepperballs, et cetera — and that was my intention: instead of looking to use lethal force, what alternatives are there?

Also, many commenters seem to believe that I would just shoot at an intruder with paintballs and then wait for them to respond. I guess they haven’t read my other answers and don’t know about my experience with violence. I can’t blame them, but, no, I wouldn’t just stand there like an idiot, but use the paintball attack to close the distance to blade range.

And another edit:

Some commenters say that defending your house with firearms is a Christian duty and that the Ten Commandments don’t say ‘Thou Shalt Not Kill’, but ‘Thou Shalt Not Murder’. My thoughts on that subject:

I’m raised Christian, but became agnostic because of the hypocrisy of organised religion. However, even if these commenters are right, using a lethal weapon to repel an intruder (99% of intruders are after possessions, not looking to murder you in your sleep) is not exactly ‘Christian’: even a casual reader of the gospel would understand that Jesus Christ himself would not condone the spilling of blood over mere possessions. Therefore, arming yourself with lethal weapons in order to repel intruders is premeditated killing, i.e. murder. There are plenty of effective non-lethal weapons (tasers, for instance) that can be used without killing the intruder.

But what about the killers and rapists?

If there is a high rate of homicidal intruders in your neighbourhood, high enough to warrant the stockpiling of lethal weapons for ‘home defence’, you might want to look into relocating your family. Chances are that the ‘reporting’ on these ‘deadly home invasions’ is merely scare tactics by groups like the NRA in order to sell more guns. In reality, getting killed by an intruder is as unlikely as getting killed by a Great White shark.

In reality, most child rapists do not jump from bushes or climb into the bedroom window — in the majority of child rape cases, the rapist is familiar to the child, i.e. family members, daycare staff, teachers, priests*, and baby sitters. In other words, the people to whom we entrust our children.

(* Personally, I loathe the people citing the Catholic catechism to morally justify using deadly force defending their children from getting raped by intruders. If you want to keep your children from getting raped, keep them far away from Catholic priests.)

The dishwasher at the restaurant where I work cannot read. His mom pulled him out of school when she found out they had just been passing him along. I don’t blame her. Since I have a great book for teaching kids to read (teach your child to read in 100 easy lessons) I bought a copy for him for Christmas and offered to do reading lessons with him. He is making a lot of progress already. Two days ago, he sounded out his first sentence. The manager at the restaurant says he is recognizing words in the kitchen better.

My reaction was a bit of disbelief at first, and then empathy. Not being able to read would have limited my ability to make up my own mind about so many things in my life. I would not have been able to read beautiful poetry that spoke directly to my soul. My kids would have missed out on Dr. Seuss books. Quality of life can depend very much on whether or not you can read.

Every weekend, usually on Saturday and Sunday, we do a reading lesson. He then goes and practices the reading exercises in his notebook. Every now and then, he stammers and hesitates. I ask what’s going on. He doesn’t like to admit it, but sometimes memories of his mom and brother doubting him come to mind. His mom doesn’t think he will ever be able to read, and is mean to him about him even trying. His brother has said similar things. When he tells me they are on his mind and it is distracting him, we blow raspberries at them. It makes him laugh and breaks up the tension. We can then go back to learning how to read.

It feels good to help him prove his mother and brother wrong.

EDITED TO ADD:

He and I had a reading lesson after work tonight. He was getting a little shaky. I asked him what it was, and he kept saying nothing, over and over. But he kept doing poorly, when I knew he could do better. I paused and told him that I thought words from his mother were bothering him again, and that he was trying hard but it was hard to not believe that she was right… maybe he was wasting his time. He agreed… it was bothering him.

Then I told him that over 300 people had liked his story and that he is learning to read, and it gave him a huge grin. He felt better, and we started again, and he was reading much better. I cannot thank you all enough for the support. It literally spurred him on.

EDITED TO ADD AGAIN:

OVER 4K UPVOTES!? INSANITY!!! Thank you all so much. You give me far too much credit. I am an instrument, that’s it. The book really makes learning to read so easy. Teach Your Child to Read in 100 Easy Lessons. 10/10 recommend.

I knew it would be incredibly easy, the book does all the work for you with prompts on what to say, which letter sound to learn next, everything. It’s just a few minutes at the end of my shift. And my employers are completely supportive of using their space. I am so happy he is rebelling against the tyranny of what he came from and wanting better for himself, and the others around him who will benefit from his being able to read.

Shambleau by C. L. Moore

Shambleau

by C. L. Moore



Preface by David Drake



Catherine L. Moore is rightly regarded as one of the most remarkable stylists in the SF field. She once described the basic thread of her fiction as, "Love is the most dangerous thing."

"Shambleau" is a perfect illustration of both the above statements. It's about hard-bitten adventurers ranging the spaceways, meeting violence with violence . . . and it's nothing like any of the many other stories using the same elements being written then or written since then.

It was Moore's first story, written in a bank vault during the Depression because she had a typewriter and no work to do.

Her first story.

 

 

 

Shambleau! Ha . . . Shambleau!” The wild hysteria of the mob rocketed from wall to wall of Lakkdarol’s narrow streets and the storming of heavy boots over the slag-red pavement made an ominous undernote to that swelling bay, “Shambleau! Shambleau!”

Northwest Smith heard it coming and stepped into the nearest doorway, laying a wary hand on his heat-gun’s grip, and his colorless eyes narrowed. Strange sounds were common enough in the streets of Earth’s latest colony on Mars—a raw, red little town where anything might happen, and very often did. But Northwest Smith, whose name is known and respected in every dive and wild outpost on a dozen wild planets, was a cautious man, despite his reputation. He set his back against the wall and gripped his pistol, and heard the rising shout come nearer and nearer.

Then into his range of vision flashed a red running figure, dodging like a hunted hare from shelter to shelter in the narrow street. It was a girl—a berry-brown girl in a single tattered garment whose scarlet burnt the eyes with its brilliance. She ran wearily, and he could hear her gasping breath from where he stood. As she came into view he saw her hesitate and lean one hand against the wall for support, and glance wildly around for shelter. She must not have seen him in the depths of the doorway, for as the bay of the mob grew louder and the pounding of feet sounded almost at the corner she gave a despairing little moan and dodged into the recess at his very side.

When she saw him standing there, tall and leather-brown, hand on his heat-gun, she sobbed once, inarticulately, and collapsed at his feet, a huddle of burning scarlet and bare, brown limbs.

Smith had not seen her face, but she was a girl, and sweetly made and in danger; and though he had not the reputation of a chivalrous man, something in her hopeless huddle at his feet touched that chord of sympathy for the underdog that stirs in every Earthman, and he pushed her gently into the corner behind him and jerked out his gun, just as the first of the running mob rounded the corner.

It was a motley crowd, Earthmen and Martians and a sprinkling of Venusian swampmen and strange, nameless denizens of unnamed planets—a typical Lakkdarol mob. When the first of them turned the corner and saw the empty street before them there was a faltering in the rush and the foremost spread out and began to search the doorways on both sides of the street.

“Looking for something?” Smith’s sardonic call sounded clear above the clamor of the mob.

They turned. The shouting died for a moment as they took in the scene before them—tall Earthman in the space-explorer’s leathern garb, all one color from the burning of savage suns save for the sinister pallor of his no-colored eyes in a scarred and resolute face, gun in his steady hand and the scarlet girl crouched behind him, panting.

The foremost of the crowd—a burly Earthman in tattered leather from which the Patrol insignia had been ripped away—stared for a moment with a strange expression of incredulity on his face overspreading the savage exultation of the chase. Then he let loose a deep-throated bellow, “Shambleau!” and lunged forward. Behind him the mob took up the cry again. “Shambleau! Shambleau! Shambleau!” and surged after.

Smith, lounging negligently against the wall, arms folded and gun-hand draped over his left forearm, looked incapable of swift motion, but at the leader’s first forward step the pistol swept in a practiced half-circle and the dazzle of blue-white heat leaping from its muzzle seared an arc in the slag pavement at his feet. It was an old gesture, and not a man in the crowd but understood it. The foremost recoiled swiftly against the surge of those in the rear, and for a moment there was confusion as the two tides met and struggled. Smith’s mouth curled into a grim curve as he watched. The man in the mutilated Patrol uniform lifted a threatening fist and stepped to the very edge of the deadline, while the crowd rocked to and fro behind him.

“Are you crossing that line?” queried Smith in an ominously gentle voice.

“We want that girl!”

“Come and get her!” Recklessly Smith grinned into his face. He saw danger there, but his defiance was not the foolhardy gesture it seemed. An expert psychologist of mobs from long experience, he sensed no murder here. Not a gun had appeared in any hand in the crowd. They desired the girl with an inexplicable bloodthirstiness he was at a loss to understand, but toward himself he sensed no such fury. A mauling he might expect, but his life was in no danger. Guns would have appeared before now if they were coming out at all. So he grinned in the man’s angry face and leaned lazily against the wall.

Behind their self-appointed leader the crowd milled impatiently, and threatening voices began to rise again. Smith heard the girl moan at his feet.

“What do you want with her?” he demanded.

“She’s Shambleau! Shambleau, you fool! Kick her out of there—we’ll take care of her!”

“I’m taking care of her,” drawled Smith.

“She’s Shambleau, I tell you! Damn your hide, man, we never let those things live! Kick her out here!”

The repeated name had no meaning to him, but Smith’s innate stubbornness rose defiantly as the crowd surged forward to the very edge of the arc, their clamor growing louder. “Shambleau! Kick her out here! Give us Shambleau! Shambleau!”

Smith dropped his indolent pose like a cloak and planted both feet wide, swinging up his gun threatening. “Keep back!” he yelled. “She’s mine! Keep back!”

He had no intention of using that heat-beam. He knew by now that they would not kill him unless he started the gunplay himself, and he did not mean to give up his life for any girl alive. But a severe mauling he expected, and he braced himself instinctively as the mob heaved within itself.

To his astonishment a thing happened then that he had never known to happen before. At his shouted defiance the foremost of the mob—those who had heard him clearly—drew back a little, not in alarm but evidently surprised. The ex-Patrolman said, “Yours! She’s yours?” in a voice from which puzzlement crowded out the anger.

Smith spread his booted legs wide before the crouching figure and flourished his gun.

“Yes,” he said. “And I’m keeping her! Stand back there!”

The man stared at him wordlessly, and horror and disgust and incredulity mingled on his weather-beaten face. The incredulity triumphed for a moment and he said again,

“Yours!”

Smith nodded defiance.

The man stepped back suddenly, unutterable contempt in his very pose. He waved an arm to the crowd and said loudly, “It’s—his!” and the press melted away, gone silent, too, and the look of contempt spread from face to face.

The ex-Patrolman spat on the slag-paved street and turned his back indifferently. “Keep her, then,” he advised briefly over one shoulder. “But don’t let her out again in this town!”

* * *

Smith stared in perplexity almost open-mouthed as the suddenly scornful mob began to break up. His mind was in a whirl. That such bloodthirsty animosity should vanish in a breath he could not believe. And the curious mingling of contempt and disgust on the faces he saw baffled him even more. Lakkdarol was anything but a puritan town—it did not enter his head for a moment that his claiming the brown girl as his own had caused that strangely shocked revulsion to spread through the crowd. No, it was something deeper-rooted than that. Instinctive, instant disgust had been in the faces he saw—they would have looked less so if he had admitted cannibalism or Pharol-worship.

And they were leaving his vicinity as swiftly as if whatever unknowing sin he had committed were contagious. The street was emptying as rapidly as it had filled. He saw a sleek Venusian glance back over his shoulder as he turned the corner and sneer, “Shambleau!” and the word awoke a new line of speculation in Smith’s mind. Shambleau! Vaguely of French origin, it must be. And strange enough to hear it from the lips of Venusian and Martian drylanders, but it was their use of it that puzzled him more. “We never let those things live,” the ex-Patrolman had said. It reminded him dimly of something . . . an ancient line from some writing in his own tongue . . . “Thou shalt not suffer a witch to live.” He smiled to himself at the similarity, and simultaneously was aware of the girl at his elbow.

She had risen soundlessly. He turned to face her, sheathing his gun and stared at first with curiosity and then in the entirely frank openness with which men regard that which is not wholly human. For she was not. He knew it at a glance, though the brown, sweet body was shaped like a woman’s and she wore the garment of scarlet—he saw it was leather—with an ease that few unhuman beings achieve toward clothing. He knew it from the moment he looked into her eyes, and a shiver of unrest went over him as he met them. They were frankly green as young grass, with slit-like, feline pupils that pulsed unceasingly, and there was a look of dark, animal wisdom in their depths—that look of the beast which sees more than man.

There was no hair upon her face—neither brows nor lashes, and he would have sworn that the tight scarlet turban bound around her head covered baldness. She had three fingers and a thumb, and her feet had four digits apiece too, and all sixteen of them were tipped with round claws that sheathed back into the flesh like a cat’s. She ran her tongue over her lips—a thin, pink, flat tongue as feline as her eyes—and spoke with difficulty. He felt that that throat and tongue had never been shaped for human speech.

“Not—afraid now,” she said softly, and her little teeth were white and polished as a kitten’s.

“What did they want you for?” he asked her curiously. “What have you done? Shambleau . . . is that your name?”

“I—not talk your—speech,” she demurred hesitantly.

“Well, try to—I want to know. Why were they chasing you? Will you be safe on the street now, or hadn’t you better get indoors somewhere? They looked dangerous.”

“I—go with you.” She brought it out with difficulty.

“Say you!” Smith grinned. “What are you, anyhow? You look like a kitten to me.”

“Shambleau.” She said it somberly.

“Where d’you live? Are you a Martian?”

“I come from—from far—from long ago—far country—”

“Wait!” laughed Smith. “You’re getting your wires crossed. You’re not a Martian?”

She drew herself up very straight beside him, lifting the turbaned head, and there was something queenly in the pose of her.

“Martian?” she said scornfully. “My people—are—are—you have no word. Your speech—hard for me.”

“What’s yours? I might know it—try me.”

She lifted her head and met his eyes squarely, and there was in hers a subtle amusement—he could have sworn it.

“Some day I—speak to you in—my own language,” she promised, and the pink tongue flicked out over her lips, swiftly, hungrily.

Approaching footsteps on the red pavement interrupted Smith’s reply. A dryland Martian came past, reeling a little and exuding an aroma of segir-whisky, the Venusian brand. When he caught the red flash of the girl’s tatters he turned his head sharply, and as his segir-steeped brain took in the fact of her presence he lurched toward the recess unsteadily, bawling, “Shambleau, by Pharol! Shambleau!” and reached out a clutching hand.

Smith struck it aside contemptuously.

“On your way, drylander,” he advised.

The man drew back and stared, bleary-eyed.

“Yours, eh?” he croaked. “Zut! You’re welcome to it!” And like the ex-Patrolman before him he spat on the pavement and turned away, muttering harshly in the blasphemous tongue of the drylands.

Smith watched him shuffle off, and there was a crease between his colorless eyes, a nameless unease rising within him.

“Come on,” he said abruptly to the girl. “If this sort of thing is going to happen we’d better get indoors. Where shall I take you?”

“With—you,” she murmured.

He stared down into the flat green eyes. Those ceaselessly pulsing pupils disturbed him, but it seemed to him, vaguely, that behind the animal shallows of her gaze was a shutter—a closed barrier that might at any moment open to reveal the very deeps of that dark knowledge he sensed there.

Roughly he said again, “Come on, then,” and stepped down into the street.

She pattered along a pace or two behind him, making no effort to keep up with his long strides, and though Smith—as men know from Venus to Jupiter’s moons—walks as softly as a cat, even in spacemen’s boots, the girl at his heels slid like a shadow over the rough pavement, making so little sound that even the lightness of his footsteps was loud in the empty street.

Smith chose the less frequented ways of Lakkdarol, and somewhat shamefacedly thanked his nameless gods that his lodgings were not far away, for the few pedestrians he met turned and stared after the two with that by now familiar mingling of horror and contempt which he was as far as ever from understanding.

The room he had engaged was a single cubicle in a lodging-house on the edge of the city. Lakkdarol, raw camptown that it was in those days, could have furnished little better anywhere within its limits, and Smith’s errand there was not one he wished to advertise. He had slept in worse places than this before, and knew that he would do so again.

There was no one in sight when he entered, and the girl slipped up the stairs at his heels and vanished through the door, shadowy, unseen by anyone in the house. Smith closed the door and leaned his broad shoulders against the panels, regarding her speculatively.

She took in what little the room had to offer in a glance—frowsy bed, rickety table, mirror hanging unevenly and cracked against the wall, unpainted chairs—a typical camptown room in an Earth settlement abroad. She accepted its poverty in that single glance, dismissed it, then crossed to the window and leaned out for a moment, gazing across the low roof-tops toward the barren countryside beyond, red slag under the late afternoon sun.

“You can stay here,” said Smith abruptly, “until I leave town. I’m waiting here for a friend to come in from Venus. Have you eaten?”

“Yes,” said the girl quickly. “I shall—need no—food for—a while.”

“Well—” Smith glanced around the room. “I’ll be in sometime tonight. You can go or stay just as you please. Better lock the door behind me.”

With no more formality than that he left her. The door closed and he heard the key turn, and smiled to himself. He did not expect, then, ever to see her again.

He went down the steps and out into the late-slanting sunlight with a mind so full of other matters that the brown girl receded very quickly into the background. Smith’s errand in Lakkdarol, like most of his errands, is better not spoken of. Man lives as he must, and Smith’s living was a perilous affair outside the law and ruled by the ray-gun only. It is enough to say that the shipping-port and its cargoes outbound interested him deeply just now, and that the friend he awaited was Yarol the Venusian, in that swift little Edsel ship the Maid that can flash from world to world with a derisive speed that laughs at Patrol boats and leaves pursuers floundering in the ether far behind. Smith and Yarol and the Maid were a trinity that had caused Patrol leaders much worry and many gray hairs in the past, and the future looked very bright to Smith himself that evening as he left his lodging-house.

* * *

Lakkdarol roars by night, as Earthmen’s camp-towns have a way of doing on every planet where Earth’s outposts are, and it was beginning lustily as Smith went down among the awakening lights toward the center of town. His business there does not concern us. He mingled with the crowd where the lights were brightest, and there was the click of ivory counters and the jingle of silver, and red segir gurgled invitingly from black Venusian bottles, and much later Smith strolled homeward under the moving moons of Mars, and if the street wavered a little under his feet now and then—why, that is only understandable. Not even Smith could drink red segir at every bar from the Martian Lamb to the New Chicago and remain entirely steady on his feet. But he found his way back with very little difficulty—considering—and spent a good five minutes hunting for his key before he remembered he had left it in the inner lock for the girl.

He knocked then, and there was no sound of footsteps from within, but in a few moments the latch clicked and the door swung open. She retreated soundlessly before him as he entered, and took up her favorite place against the window, leaning back on the sill and outlined against the starry sky beyond. The room was in darkness.

Smith flipped the switch by the door and then leaned back against the panels, steadying himself. The cool night air had sobered him a little and his head was clear enough—liquor went to Smith’s feet, not his head, or he would never have come this far along the lawless way he had chosen. He lounged against the door now and regarded the girl in the sudden glare of the bulbs, blinking a little as much at the scarlet of her clothing as at the light.

“So you stayed,” he said.

“I—waited,” she answered softly, leaning farther back against the sill and clasping the rough wood with slim, three-fingered hands, pale brown against the darkness.

“Why?”

She did not answer that, but her mouth curved into a slow smile. On a woman it would have been reply enough—provocative, daring. On Shambleau there was something pitiful and horrible in it—so human on the face of one half-animal. And yet . . . that sweet brown body curving so softly from the tatters of scarlet leather—the velvety texture of that brownness—the white-flashing smile . . . Smith was aware of a stirring excitement within him. After all—time would be hanging heavy now until Yarol came . . . Speculatively he allowed the steel-pale eyes to wander over her, with a slow regard that missed nothing. And when he spoke he was aware that his voice had deepened a little . . .

“Come here,” he said.

She came forward slowly, on bare clawed feet that made no slightest sound on the floor, and stood before him with downcast eyes and mouth trembling in that pitifully human smile. He took her by the shoulders—velvety soft shoulders, of a creamy smoothness that was not the texture of human flesh. A little tremor went over her, perceptibly, at the contact of his hands. Northwest Smith caught his breath suddenly and dragged her to him . . . sweet yielding brownness in the circle of his arms . . . heard her own breath catch and quicken as her velvety arms closed about his neck. And then he was looking down into her face, very near, and the green animal eyes met his with the pulsing pupils and the flicker of—something—deep behind their shallows—and through the rising clamor of his blood, even as he stooped his lips to hers, Smith felt something deep within him shudder away—inexplicable, instinctive, revolted. What it might be he had no words to tell, but the very touch of her was suddenly loathsome—so soft and velvet and unhuman—and it might have been an animal’s face that lifted itself to his mouth—the dark knowledge looked hungrily from the darkness of those slit pupils—and for a mad instant he knew that same wild, feverish revulsion he had seen in the faces of the mob . . .

“God!” he gasped, a far more ancient invocation against evil than he realized, then or ever, and he ripped her arms from his neck, swung her away with such a force that she reeled half across the room. Smith fell back against the door, breathing heavily, and stared at her while the wild revolt died slowly within him.

She had fallen to the floor beneath the window, and as she lay there against the wall with bent head he saw, curiously, that her turban had slipped—the turban that he had been so sure covered baldness—and a lock of scarlet hair fell below the binding leather, hair as scarlet as her garment, as unhumanly red as her eyes were unhumanly green. He stared, and shook his head dizzily and stared again, for it seemed to him that the thick lock of crimson had moved, squirmed of itself against her cheek.

At the contact of it her hands flew up and she tucked it away with a very human gesture and then dropped her head again into her hands. And from the deep shadow of her fingers he thought she was staring up at him covertly.

Smith drew a deep breath and passed a hand across his forehead. The inexplicable moment had gone as quickly as it came—too swiftly for him to understand or analyze it. “Got to lay off the segir,” he told himself unsteadily. Had he imagined that scarlet hair? After all, she was no more than a pretty brown girl-creature from one of the many half-human races peopling the planets. No more than that, after all. A pretty little thing, but animal . . . He laughed, a little shakily.

“No more of that,” he said. “God knows I’m no angel, but there’s got to be a limit somewhere. Here.” He crossed to the bed and sorted out a pair of blankets from the untidy heap, tossing them to the far corner of the room. “You can sleep there.”

Wordlessly she rose from the floor and began to rearrange the blankets, the uncomprehending resignation of the animal eloquent in every line of her.

* * *

Smith had a strange dream that night. He thought he had awakened to a room full of darkness and moonlight and moving shadows, for the nearer moon of Mars was racing through the sky and everything on the planet below her was endued with a restless life in the dark. And something . . . some nameless, unthinkable thing . . . was coiled about his throat . . . something like a soft snake, wet and warm. It lay loose and light about his neck . . . and it was moving gently, very gently, with a soft, caressive pressure that sent little thrills of delight through every nerve and fiber of him, a perilous delight—beyond physical pleasure, deeper than joy of the mind. That warm softness was caressing the very roots of his soul and with a terrible intimacy. The ecstasy of it left him weak, and yet he knew—in a flash of knowledge born of this impossible dream—that the soul should not be handled . . . And with that knowledge a horror broke upon him, turning the pleasure into a rapture of revulsion, hateful, horrible—but still most foully sweet. He tried to lift his hands and tear the dream-monstrosity from his throat—tired but half-heartedly; for though his soul was revolted to its very deeps, yet the delight of his body was so great that his hands all but refused the attempt. But when at last he tried to lift his arms a cold shock went over him and he found that he could not stir . . . his body lay stony as marble beneath the blankets, a living marble that shuddered with a dreadful delight through every rigid vein.

The revulsion grew strong upon him as he struggled against the paralyzing dream—a struggle of soul against sluggish body—titanically, until the moving dark was streaked with blankness that clouded and closed about him at last and he sank back into the oblivion from which he had awakened.

* * *

Next morning, when the bright sunlight shining through Mars’ clear thin air awakened him, Smith lay for a while trying to remember. The dream had been more vivid than reality, but he could not now quite recall . . . only that it had been more sweet and horrible than anything else in life. He lay puzzling for a while, until a soft sound from the corner aroused him from his thoughts and he sat up to see the girl lying in a cat-like coil on her blankets, watching him with round, grave eyes. He regarded her somewhat ruefully.

“Morning,” he said. “I’ve just had the devil of a dream . . . Well, hungry?”

She shook her head silently, and he could have sworn there was a covert gleam of strange amusement in her eyes.

He stretched and yawned, dismissing the nightmare temporarily from his mind.

“What am I going to do with you?” he inquired, turning to more immediate matters. “I’m leaving here in a day or two and I can’t take you along, you know. Where’d you come from in the first place?”

Again she shook her head.

“Not telling? Well, it’s your business. You can stay here until I give up the room. From then on you’ll have to do your own worrying.”

He swung his feet to the floor and reached for his clothes.

Ten minutes later, slipping the heat-gun into its holster at his thigh, Smith turned to the girl. “There’s food-concentrate in that box on the table. It ought to hold you until I get back. And you’d better lock the door again after I’ve gone.”

Her wide, unwavering stare was his only answer, and he was not sure she had understood, but at any rate the lock clicked after him as before, and he went down the steps with a faint grin on his lips.

The memory of last night’s extraordinary dream was slipping from him, as such memories do, and by the time he had reached the street the girl and the dream and all of yesterday’s happenings were blotted out by the sharp necessities of the present.

Again the intricate business that had brought him here claimed his attention. He went about it to the exclusion of all else, and there was a good reason behind everything he did from the moment he stepped out into the street until the time when he turned back again at evening; though had one chosen to follow him during the day his apparently aimless rambling through Lakkdarol would have seemed very pointless.

He must have spent two hours at the least idling by the space-port, watching with sleepy, colorless eyes the ships that came and went, the passengers, the vessels lying at wait, the cargoes—particularly the cargoes. He made the rounds of the town’s saloons once more, consuming many glasses of varied liquors in the course of the day and engaging in idle conversation with men of all races and worlds, usually in their own languages, for Smith was a linguist of repute among his contemporaries. He heard the gossip of the spaceways, news from a dozen planets of a thousand different events. He heard the latest joke about the Venusian Emperor and the latest report on the Chino-Aryan war and the latest song hot from the lips of Rose Robertson, whom every man on the civilized planets adored as “the Georgia Rose.” He passed the day quite profitably, for his own purposes, which do not concern us now, and it was not until late evening, when he turned homeward again, that the thought of the brown girl in his room took definite shape in his mind, though it had been lurking there, formless and submerged, all day.

He had no idea what comprised her usual diet, but he bought a can of New York roast beef and one of Venusian frog-broth and a dozen fresh canal-apples and two pounds of that Earth lettuce that grows so vigorously in the fertile canal-soil of Mars. He felt that she must surely find something to her liking in this broad variety of edibles, and—for his day had been very satisfactory—he hummed “The Green Hills of Earth” to himself in a surprisingly good baritone as he climbed the stairs.

* * *

The door was locked, as before, and he was reduced to kicking the lower panels gently with his boot, for his arms were full. She opened the door with that softness that was characteristic of her and stood regarding him in the semidarkness as he stumbled to the table with his load. The room was unlit again.

“Why don’t you turn on the lights?” he demanded irritably after he had barked his shin on the chair by the table in an effort to deposit his burden there.

“Light and—dark—they are alike—to me,” she murmured.

“Cat eyes, eh? Well, you look the part. Here, I’ve brought you some dinner. Take your choice. Fond of roast beef? Or how about a little frog-broth?”

She shook her head and backed away a step.

“No,” she said. “I can not—eat your food.”

Smith’s brows wrinkled. “Didn’t you have any of the food-tablets?”

Again the red turban shook negatively.

“Then you haven’t had anything for—why, more than twenty-four hours! You must be starved.”

“Not hungry,” she denied.

“What can I find for you to eat, then? There’s time yet if I hurry. You’ve got to eat, child.”

“I shall—eat,” she said softly. “Before long—I shall—feed. Have no—worry.”

She turned away then and stood at the window, looking out over the moonlit landscape as if to end the conversation. Smith cast her a puzzled glance as he opened the can of roast beef. There had been an odd undernote in that assurance that, undefinably, he did not like. And the girl had teeth and tongue and presumably a fairly human digestive system, to judge from her human form. It was nonsense for her to pretend that he could find nothing that she could eat. She must have had some of the food concentrate after all, he decided, prying up the thermos lid of the inner container to release the long-sealed savor of the hot meat inside.

“Well, if you won’t eat you won’t,” he observed philosophically as he poured hot broth and diced beef into the dish-like lid of the thermos can and extracted the spoon from its hiding-place between the inner and outer receptacles. She turned a little to watch him as he pulled up a rickety chair and sat down to the food, and after a while the realization that her green gaze was fixed so unwinkingly upon him made the man nervous, and he said between bites of creamy canal-apple, “Why don’t you try a little of this? It’s good.”

“The food—I eat is—better,” her soft voice told him in its hesitant murmur, and again he felt rather than heard a faint undernote of unpleasantness in the words. A sudden suspicion struck him as he pondered on that last remark—some vague memory of horror-tales told about campfires in the past—and he swung round in the chair to look at her, a tiny, creeping fear unaccountably arising. There had been that in her words—in her unspoken words, that menaced . . .

She stood up beneath his gaze demurely, wide green eyes with their pulsing pupils meeting his without a falter. But her mouth was scarlet and her teeth were sharp . . .

“What food do you eat?” he demanded. And then, after a pause, very softly, “Blood?”

She stared at him for a moment, uncomprehending; then something like amusement curled her lips and she said scornfully, “You think me—vampire, eh? No—I am Shambleau!”

Unmistakably there were scorn and amusement in her voice at the suggestion, but as unmistakably she knew what he meant—accepted it as a logical suspicion—vampire! Fairy-tales—but fairy-tales this unhuman, outland creature was most familiar with. Smith was not a credulous man, nor a superstitious one, but he had seen too many strange things himself to doubt that the wildest legend might have a basis of fact. And there was something namelessly strange about her . . .

He puzzled over it for a while between deep bites of the canal-apple. And though he wanted to question her about a great many things, he did not, for he knew how futile it would be.

He said nothing more until the meat was finished and another canal-apple had followed the first, and he had cleared away the meal by the simple expedient of tossing the empty can out of the window. Then he lay back in the chair and surveyed her from half-closed eyes, colorless in a face tanned like saddle-leather. And again he was conscious of the brown, soft curves of her, velvety—subtle arcs and planes of smooth flesh under the tatters of scarlet leather. Vampire she might be, unhuman she certainly was, but desirable beyond words as she sat submissive beneath his low regard, her red-turbaned head bent, her clawed fingers lying in her lap. They sat very still for a while, and the silence throbbed between them.

She was so like a woman—an Earth woman—sweet and submissive and demure, and softer than soft fur, if he could forget the three-fingered claws and the pulsing eyes—and that deeper strangeness beyond words . . . (Had he dreamed that red lock of hair that moved? Had it been segir that woke the wild revulsion he knew when he held her in his arms? Why had the mob so thirsted for her?) He sat and stared, and despite the mystery of her and the half-suspicions that thronged his mind—for she was so beautifully soft and curved under those revealing tatters—he slowly realized that his pulses were mounting, became aware of a kindling within . . . brown girl-creature with downcast eyes . . . and then the lids lifted and the green flatness of a cat’s gaze met his, and last night’s revulsion woke swiftly again, like a warning bell that clanged as their eyes met—animal, after all, too sleek and soft for humanity, and that inner strangeness . . .

Smith shrugged and sat up. His failings were legion, but the weakness of the flesh was not among the major ones. He motioned the girl to her pallet of blankets in the corner and turned to his own bed.

* * *

From deeps of sound sleep he awoke much later. He awoke suddenly and completely, and with that inner excitement that presages something momentous. He awoke to brilliant moonlight, turning the room so bright that he could see the scarlet of the girl’s rags as she sat up on her pallet. She was awake, she was sitting with her shoulder half turned to him and her head bent, and some warning instinct crawled coldly up his spine as he watched what she was doing. And yet it was a very ordinary thing for a girl to do—any girl, anywhere. She was unbinding her turban . . .

He watched, not breathing, a presentiment of something horrible stirring in his brain, inexplicably . . . The red folds loosened, and—he knew then that he had not dreamed—again a scarlet lock swung down against her cheek . . . a hair, was it? a lock of hair? . . . thick as a thick worm it fell, plumply, against that smooth cheek . . . more scarlet than blood and thick as a crawling worm . . . and like a worm it crawled.

Smith rose on an elbow, not realizing the motion, and fixed an unwinking stare, with a sort of sick, fascinated incredulity, on that—that lock of hair. He had not dreamed. Until now he had taken it for granted that it was the segir which had made it seem to move on that evening before. But now . . . it was lengthening, stretching, moving of itself. It must be hair, but it crawled; with a sickening life of its own it squirmed down against her cheek, caressingly, revoltingly, impossibly . . . Wet, it was, and round and thick and shining . . .

She unfastened the last fold and whipped the turban off. From what he saw then Smith would have turned his eyes away—and he had looked on dreadful things before, without flinching—but he could not stir. He could only lie there on elbow staring at the mass of scarlet, squirming—worms, hairs, what?—that writhed over her head in a dreadful mockery of ringlets. And it was lengthening, falling, somehow growing before his eyes, down over her shoulders in a spilling cascade, a mass that even at the beginning could never have been hidden under the skull-tight turban she had worn. He was beyond wondering, but he realized that. And still it squirmed and lengthened and fell, and she shook it out in a horrible travesty of a woman shaking out her unbound hair—until the unspeakable tangle of it—twisting, writhing, obscenely scarlet—hung to her waist and beyond, and still lengthened, an endless mass of crawling horror that until now, somehow, impossibly, had been hidden under the tight-bound turban. It was like a nest of blind, restless red worms . . . it was—it was like naked entrails endowed with an unnatural aliveness, terrible beyond words.

Smith lay in the shadows, frozen without and within in a sick numbness that came of utter shock and revulsion.

She shook out the obscene, unspeakable tangle over her shoulders, and somehow he knew that she was going to turn in a moment and that he must meet her eyes. The thought of that meeting stopped his heart with dread, more awfully than anything else in this nightmare horror; for nightmare it must be, surely. But he knew without trying that he could not wrench his eyes away—the sickened fascination of that sight held him motionless, and somehow there was a certain beauty . . .

Her head was turning. The crawling awfulness rippled and squirmed at the motion, writhing thick and wet and shining over the soft brown shoulders about which they fell now in obscene cascades that all but hid her body. Her head was turning. Smith lay numb. And very slowly he saw the round of her cheek foreshorten and her profile come into view, all the scarlet horrors twisting ominously, and the profile shortened in turn and her full face came slowly round toward the bed—moonlight shining brilliantly as day on the pretty girl-face, demure and sweet, framed in tangled obscenity that crawled . . .

The green eyes met his. He felt a perceptible shock, and a shudder rippled down his paralyzed spine, leaving an icy numbness in its wake. He felt the goose-flesh rising. But that numbness and cold horror he scarcely realized, for the green eyes were locked with his in a long, long look that somehow presaged nameless things—not altogether unpleasant things—the voiceless voice of her mind assailing him with little murmurous promises . . .

For a moment he went down into a blind abyss of submission; and then somehow the very sight of that obscenity in eyes that did not then realize they saw it, was dreadful enough to draw him out of the seductive darkness . . . the sight of her crawling and alive with unnamable horror.

She rose, and down about her in a cascade fell the squirming scarlet of—of what grew upon her head. It fell in a long, alive cloak to her bare feet on the floor, hiding her in a wave of dreadful, wet, writhing life. She put up her hands and like a swimmer she parted the waterfall of it, tossing the masses back over her shoulders to reveal her own brown body, sweetly curved. She smiled exquisitely, and in starting waves back from her forehead and down about her in a hideous background writhed the snaky wetness of her living tresses. And Smith knew that he looked upon Medusa.

The knowledge of that—the realization of vast backgrounds reaching into misted history—shook him out of his frozen horror for a moment, and in that moment he met her eyes again, smiling, green as glass in the moonlight, half hooded under drooping lids. Through the twisting scarlet she held out her arms. And there was something soul-shakingly desirable about her, so that all the blood surged to his head suddenly and he stumbled to his feet like a sleeper in a dream as she swayed toward him, infinitely graceful, infinitely sweet in her cloak of living horror.

And somehow there was beauty in it, the wet scarlet writhings with moonlight sliding and shining along the thick, worm-round tresses and losing itself in the masses only to glint again and move silvery along writhing tendrils—an awful, shuddering beauty more dreadful than any ugliness could be.

But all this, again, he but half realized, for the insidious murmur was coiling again through his brain, promising, caressing, alluring, sweeter than honey; and the green eyes that held his were clear and burning like the depths of a jewel, and behind the pulsing slits of darkness he was staring into a greater dark that held all things . . . He had known—dimly he had known when he first gazed into those flat animal shallows that behind them lay this—all beauty and terror, all horror and delight, in the infinite darkness upon which her eyes opened like windows, paned with emerald glass.

Her lips moved, and in a murmur that blended indistinguishably with the silence and the sway of her body and the dreadful sway of her—her hair—she whispered—very softly, very passionately, “I shall—speak to you now—in my own tongue—oh, beloved!”

And in her living cloak she swayed to him, the murmur swelling seductive and caressing in his innermost brain—promising, compelling, sweeter than sweet. His flesh crawled to the horror of her, but it was a perverted revulsion that clasped what it loathed. His arms slid round her under the sliding cloak, wet, wet and warm and hideously alive—and the sweet velvet body was clinging to his, her arms locked about his neck—and with a whisper and a rush the unspeakable horror closed about them both.

In nightmares until he died he remembered that moment when the living tresses of Shambleau first folded him in their embrace. A nauseous, smothering odor as the wetness shut around him—thick, pulsing worms clasping every inch of his body, sliding, writhing, their wetness and warmth striking through his garments as if he stood naked to their embrace.

All this in a graven instant—and after that a tangled flash of conflicting sensation before oblivion closed over him for he remembered the dream—and knew it for nightmare reality now, and the sliding, gently moving caresses of those wet, warm worms upon his flesh was an ecstasy above words—that deeper ecstasy that strikes beyond the body and beyond the mind and tickles the very roots of soul with unnatural delight. So he stood, rigid as marble, as helplessly stony as any of Medusa’s victims in ancient legends were, while the terrible pleasure of Shambleau thrilled and shuddered through every fiber of him; through every atom of his body and the intangible atoms of what men call the soul, through all that was Smith the dreadful pleasure ran. And it was truly dreadful. Dimly he knew it, even as his body answered to the root-deep ecstasy, a foul and dreadful wooing from which his very soul shuddered away—and yet in the innermost depths of that soul some grinning traitor shivered with delight. But deeply, behind all this, he knew horror and revulsion and despair beyond telling, while the intimate caresses crawled obscenely in the secret places of his soul—knew that the soul should not be handled—and shook with the perilous pleasure through it all.

And this conflict and knowledge, this mingling of rapture and revulsion all took place in the flashing of a moment while the scarlet worms coiled and crawled upon him, sending deep, obscene tremors of that infinite pleasure into every atom that made up Smith. And he could not stir in that slimy, ecstatic embrace—and a weakness was flooding that grew deeper after each succeeding wave of intense delight, and the traitor in his soul strengthened and drowned out the revulsion—and something within him ceased to struggle as he sank wholly into a blazing darkness that was oblivion to all else but that devouring rapture . . .

* * *

The young Venusian climbing the stairs to his friend’s lodging-room pulled out his key absent-mindedly, a pucker forming between his fine brows. He was slim, as all Venusians are, as fair and sleek as any of them, and as with most of his countrymen the look of cherubic innocence on his face was wholly deceptive. He had the face of a fallen angel, without Lucifer’s majesty to redeem it; for a black devil grinned in his eyes and there were faint lines of ruthlessness and dissipation about his mouth to tell of the long years behind him that had run the gamut of experiences and made his name, next to Smith’s, the most hated and the most respected in the records of the Patrol.

He mounted the stairs now with a puzzled frown between his eyes. He had come into Lakkdarol on the noon liner—the Maid in her hold very skillfully disguised with paint and otherwise—to find in lamentable disorder the affairs he had expected to be settled. And cautious inquiry elicited the information that Smith had not been seen for three days. That was not like his friend—he had never failed before, and the two stood to lose not only a large sum of money but also their personal safety by the inexplicable lapse on the part of Smith. Yarol could think of one solution only: fate had at last caught up with his friend. Nothing but physical disability could explain it.

Still puzzling, he fitted his key in the lock and swung the door open.

In that first moment, as the door opened, he sensed something very wrong . . . The room was darkened, and for a while he could see nothing, but at the first breath he scented a strange, unnamable odor, half sickening, half sweet. And deep stirrings of ancestral memory awoke within him—ancient swamp-born memories from Venusian ancestors far away and long ago . . .

Yarol laid his hand on his gun, lightly, and opened the door wider. In the dimness all he could see at first was a curious mound in the far corner . . . Then his eyes grew accustomed to the dark, and he saw it more clearly, a mound that somehow heaved and stirred within itself . . . A mound of—he caught his breath sharply—a mound like a mass of entrails, living, moving, writhing with an unspeakable aliveness. Then a hot Venusian oath broke from his lips and he cleared the door-sill in a swift stride, slammed the door and set his back against it, gun ready in his hand, although his flesh crawled—for he knew . . .

“Smith!” he said softly, in a voice thick with horror.

The moving mass stirred—shuddered—sank back into crawling quiescence again.

“Smith! Smith!” The Venusian’s voice was gentle and insistent, and it quivered a little with terror.

An impatient ripple went over the whole mass of aliveness in the corner. It stirred again, reluctantly, and then tendril by writhing tendril it began to part itself and fall aside, and very slowly the brown of a spaceman’s leather appeared beneath it, all slimed and shining.

“Smith! Northwest!” Yarol’s persistent whisper came again, urgently, and with a dream-like slowness the leather garments moved . . . a man sat up in the midst of the writhing worms, a man who once, long ago, might have been Northwest Smith. From head to foot he was slimy from the embrace of the crawling horror about him. His face was that of some creature beyond humanity—dead-alive, fixed in a gray stare, and the look of terrible ecstasy that overspread it seemed to come from somewhere far within, a faint reflection from immeasurable distances beyond the flesh. And as there is mystery and magic in the moonlight which is after all but a reflection of the everyday sun, so in that gray face turned to the door was a terror unnamable and sweet, a reflection of ecstasy beyond the understanding of any who had known only earthly ecstasy themselves. And as he sat there turning a blank, eyeless face to Yarol the red worms writhed ceaselessly about him, very gently, with a soft, caressive motion that never slacked.

“Smith . . . come here! Smith . . . get up . . . Smith, Smith!” Yarol’s whisper hissed in the silence, commanding, urgent—but he made no move to leave the door.

And with a dreadful slowness, like a dead man rising, Smith stood up in the nest of slimy scarlet. He swayed drunkenly on his feet, and two or three crimson tendrils came writhing up his legs to the knees and wound themselves there, supportingly, moving with a ceaseless caress that seemed to give him some hidden strength, for he said then, without inflection.

“Go away. Go away. Leave me alone.” And the dead ecstatic face never changed.

“Smith!” Yarol’s voice was desperate. “Smith, listen! Smith, can’t you hear me?”

“Go away,” the monotonous voice said. “Go away. Go away. Go—”

“Not unless you come too. Can’t you hear? Smith! Smith! I’ll—”

He hushed in mid-phrase, and once more the ancestral prickle of race-memory shivered down his back, for the scarlet mass was moving again, violently, rising . . .

Yarol pressed back against the door and gripped his gun, and the name of a god he had forgotten years ago rose to his lips unbidden. For he knew what was coming next, and the knowledge was more dreadful than any ignorance could have been.

The red, writhing mass rose higher, and the tendrils parted and a human face looked out—no, half human, with green cat-eyes that shone in that dimness like lighted jewels, compellingly . . .

Yarol breathed “Shar!” again, and flung up an arm across his face, and the tingle of meeting that green gaze for even an instant went thrilling through him perilously.

“Smith!” he called in despair. “Smith, can’t you hear me?”

“Go away,” said that voice that was not Smith’s. “Go away.”

And somehow, although he dared not look, Yarol knew that the—the other—had parted those worm-thick tresses and stood there in all the human sweetness of the brown, curved woman’s body, cloaked in living horror. And he felt the eyes upon him, and something was crying insistently in his brain to lower that shielding arm . . . He was lost—he knew it, and the knowledge gave him that courage which comes from despair. The voice in his brain was growing, swelling, deafening him with a roaring command that all but swept him before it—command to lower that arm—to meet the eyes that opened upon darkness—to submit—and a promise, murmurous and sweet and evil beyond words, of pleasure to come . . .

But somehow he kept his head—somehow, dizzily, he was gripping his gun in his upflung hand—somehow, incredibly, crossing the narrow room with averted face, groping for Smith’s shoulder. There was a moment of blind fumbling in emptiness, and then he found it, and gripped the leather that was slimy and dreadful and wet—and simultaneously he felt something loop gently about his ankle and a shock of repulsive pleasure went through him, and then another coil, and another, wound about his feet . . .

Yarol set his teeth and gripped the shoulder hard, and his hand shuddered of itself, for the feel of that leather was slimy as the worms about his ankles, and a faint tingle of obscene delight went through him from the contact.

That caressive pressure on his legs was all he could feel, and the voice in his brain drowned out all other sounds, and his body obeyed him reluctantly—but somehow he gave one heave of tremendous effort and swung Smith, stumbling, out of that nest of horror. The twining tendrils ripped loose with a little sucking sound, and the whole mass quivered and reached after, and then Yarol forgot his friend utterly and turned his whole being to the hopeless task of freeing himself. For only a part of him was fighting, now—only a part of him struggled against the twining obscenities, and in his innermost brain the sweet, seductive murmur sounded, and his body clamored to surrender . . .

Shar! Shar y’danis . . . Shar mor’la-rol—” prayed Yarol, gasping and half unconscious that he spoke, boy’s prayers that he had forgotten years ago, and with his back half turned to the central mass he kicked desperately with his heavy boots at the red, writhing worms about him. They gave back before him, quivering and curling themselves out of reach, and though he knew that more were reaching for his throat from behind, at least he could go on struggling until he was forced to meet those eyes . . .

He stamped and kicked and stamped again, and for one instant he was free of the slimy grip as the bruised worms curled back from his heavy feet, and he lurched away dizzily, sick with revulsion and despair as he fought off the coils, and then he lifted his eyes and saw the cracked mirror on the wall. Dimly in its reflection he could see the writhing scarlet horror behind him, cat face peering out with its demure girl-smile, dreadfully human, and all the red tendrils reaching after him. And remembrance of something he had read long ago swept incongruously over him, and the gasp of relief and hope that he gave shook for a moment the grip of the command in his brain.

Without pausing for a breath he swung the gun over his shoulder, the reflected barrel in line with the reflected horror in the mirror, and flicked the catch.

In the mirror he saw its blue flame leap in a dazzling spate across the dimness, full into the midst of that squirming, reaching mass behind him. There was a hiss and a blaze and a high, thin scream of inhuman malice and despair—the flame cut a wide arc and went out as the gun fell from his hand, and Yarol pitched forward to the floor.

* * *

Northwest Smith opened his eyes to Martian sunlight streaming thinly through the dingy window. Something wet and cold was slapping his face, and the familiar fiery sting of segir-whiskey burnt his throat.

“Smith!” Yarol’s voice was saying from far away. “N.W.! Wake up, damn you! Wake up!”

“I’m—awake,” Smith managed to articulate thickly. “Wha’s matter?”

Then a cup-rim was thrust against his teeth and Yarol said irritably, “Drink it, you fool!”

Smith swallowed obediently and more of the fire-hot segir flowed down his grateful throat. It spread a warmth through his body that awakened him from the numbness that had gripped him until now, and helped a little toward driving out the all-devouring weakness he was becoming aware of slowly. He lay still for a few minutes while the warmth of the whisky went through him, and memory sluggishly began to permeate his brain with the spread of the segir. Nightmare memories . . . sweet and terrible . . . memories of—

“God!” gasped Smith suddenly, and tried to sit up. Weakness smote him like a blow, and for an instant the room wheeled as he fell back against something firm and warm—Yarol’s shoulder. The Venusian’s arm supported him while the room steadied, and after a while he twisted a little and stared into the other’s black gaze.

Yarol was holding him with one arm and finishing the mug of segir himself, and the black eyes met his over the rim and crinkled into sudden laughter, half hysterical after that terror that was passed.

“By Pharol!” gasped Yarol, choking into his mug. “By Pharol, N.W.! I’m never gonna let you forget this! Next time you have to drag me out of a mess I’ll say—”

“Let it go,” said Smith. “What’s been going on? How—”

“Shambleau,” Yarol’s laughter died. “Shambleau! What were you doing with a thing like that?”

“What was it?” Smith asked soberly.

“Mean to say you didn’t know? But where’d you find it? How—”

“Suppose you tell me first what you know,” said Smith firmly. “And another swig of that segir, too. I need it.”

“Can you hold the mug now? Feel better?”

“Yeah—some. I can hold it—thanks. Now go on.”

“Well—I don’t know just where to start. They call them Shambleau—”

“Good God, is there more than one?”

“It’s a—a sort of race, I think, one of the very oldest. Where they come from nobody knows. The name sounds a little French, doesn’t it? But it goes back beyond the start of history. There have always been Shambleau.”

“I never heard of ’em.”

“Not many people have. And those who know don’t care to talk about it much.”

“Well, half this town knows. I hadn’t any idea what they were talking about, then. And I still don’t understand—”

“Yes, it happens like this, sometimes. They’ll appear, and the news will spread and the town will get together and hunt them down, and after that—well, the story doesn’t get around very far. It’s too—too unbelievable.”

“But—my God, Yarol!—what was it? Where’d it come from? How—”

“Nobody knows just where they come from. Another planet—maybe some undiscovered one. Some say Venus—I know there are some rather awful legends of them handed down in our family—that’s how I’ve heard about it. And the minute I opened that door, awhile back—I—I think I knew that smell . . .”

“But—what are they?”

“God knows. Not human, though they have the human form. Or that may be only an illusion . . . or maybe I’m crazy. I don’t know. They’re a species of the vampire—or maybe the vampire is a species of—of them. Their normal form must be that—that mass, and in that form they draw nourishment from the—I suppose the life-forces of men. And they take some form—usually a woman form, I think, and key you up to the highest pitch of emotion before they—begin. That’s to work the life-force up to intensity so it’ll be easier . . . And they give, always, that horrible, foul pleasure as they—feed. There are some men who, if they survive the first experience, take to it like a drug—can’t give it up—keep the thing with them all their lives—which isn’t long—feeding it for that ghastly satisfaction. Worse than smoking ming or—or ‘praying to Pharol.'”

“Yes,” said Smith. “I’m beginning to understand why that crowd was so surprised and—and disgusted when I said—well, never mind. Go on.”

“Did you get to talk to—to it?” asked Yarol.

“I tried to. It couldn’t speak very well. I asked it where it came from and it said—’from far away and long ago’—something like that.”

“I wonder. Possibly some unknown planet—but I think not. You know there are so many wild stories with some basis of fact to start from, that I’ve sometimes wondered—mightn’t there be a lot more of even worse and wilder superstitions we’ve never even heard of? Things like this, blasphemous and foul, that those who know have to keep still about? Awful, fantastic things running around loose that we never hear rumors of at all!

“These things—they’ve been in existence for countless ages. No one knows when or where they first appeared. Those who’ve seen them, as we saw this one, don’t talk about it. It’s just one of those vague, misty rumors you find half hinted at in old books sometimes . . . I believe they are an older race than man, spawned from ancient seed in times before ours, perhaps on planets that have gone to dust, and so horrible to man that when they are discovered the discoverers keep still about it—forget them again as quickly as they can.

“And they go back to time immemorial. I suppose you recognized the legend of Medusa? There isn’t any question that the ancient Greeks knew of them. Does it mean that there have been civilizations before yours that set out from Earth and explored other planets? Or did one of the Shambleau somehow make its way into Greece three thousand years ago? If you think about it long enough you’ll go off your head! I wonder how many other legends are based on things like this—things we don’t suspect, things we’ll never know.

“The Gorgon, Medusa, a beautiful woman with—with snakes for hair, and a gaze that turned men to stone, and Perseus finally killed her—I remembered this just by accident, N.W., and it saved your life and mine—Perseus killed her by using a mirror as he fought to reflect what he dared not look at directly. I wonder what the old Greek who first started that legend would have thought if he’d known that three thousand years later his story would save the lives of two men on another planet. I wonder what that Greek’s own story was, and how he met the thing, and what happened . . .

“Well, there’s a lot we’ll never know. Wouldn’t the records of that race of—of things, whatever they are, be worth reading! Records of other planets and other ages and all the beginnings of mankind! But I don’t suppose they’ve kept any records. I don’t suppose they’ve even any place to keep them—from what little I know, or anyone knows about it, they’re like the Wandering Jew, just bobbing up here and there at long intervals, and where they stay in the meantime I’d give my eyes to know! But I don’t believe that terribly hypnotic power they have indicates any superhuman intelligence. It’s their means of getting food—just like a frog’s long tongue or a carnivorous flower’s odor. Those are physical because the frog and the flower eat physical food. The Shambleau uses a—a mental reach to get mental food. I don’t quite know how to put it. And just as a beast that eats the bodies of other animals acquires with each meal greater power over the bodies of the rest, so the Shambleau, stoking itself up with the life-forces of men, increases its power over the minds and souls of other men. But I’m talking about things I can’t define—things I’m not sure exist.

“I only know that when I felt—when those tentacles closed around my legs—I didn’t want to pull loose, I felt sensations that—that—oh, I’m fouled and filthy to the very deepest part of me by that—pleasure—and yet—”

“I know,” said Smith slowly. The effect of the segir was beginning to wear off, and weakness was washing back over him in waves, and when he spoke he was half meditating in a lower voice, scarcely realizing that Yarol listened. “I know it—much better than you do—and there’s something so indescribably awful that the thing emanates, something so utterly at odds with everything human—there aren’t any words to say it. For a while I was a part of it, literally, sharing its thoughts and memories and emotions and hungers, and—well, it’s over now and I don’t remember very clearly, but the only part left free was that part of me that was all but insane from the—the obscenity of the thing. And yet it was a pleasure so sweet—I think there must be some nucleus of utter evil in me—in everyone—that needs only the proper stimulus to get complete control; because even while I was sick all through from the touch of those—things—there was something in me that was—was simply gibbering with delight . . . Because of that I saw things—and knew things—horrible, wild things I can’t quite remember—visited unbelievable places, looked backward through the memory of that—creature—I was one with, and saw—God, I wish I could remember!”

“You ought to thank your God you can’t,” said Yarol soberly.

* * *

His voice roused Smith from the half-trance he had fallen into, and he rose on his elbow, swaying a little from weakness. The room was wavering before him, and he closed his eyes, not to see it, but he asked, “You say they—they don’t turn up again? No way of finding—another?”

Yarol did not answer for a moment. He laid his hands on the other man’s shoulders and pressed him back, and then sat staring down into the dark, ravaged face with a new, strange, undefinable look upon it that he had never seen there before—whose meaning he knew, too well.

“Smith,” he said finally, and his black eyes for once were steady and serious, and the little grinning devil had vanished from behind them, “Smith, I’ve never asked your word on anything before, but I’ve—I’ve earned the right to do it now, and I’m asking you to promise me one thing.”

Smith’s colorless eyes met the black gaze unsteadily. Irresolution was in them, and a little fear of what that promise might be. And for just a moment Yarol was looking, not into his friend’s familiar eyes, but into a wide gray blankness that held all horror and delight—a pale sea with unspeakable pleasures sunk beneath it. Then the wide stare focused again and Smith’s eyes met his squarely and Smith’s voice said, “Go ahead. I’ll promise.”

“That if you ever should meet a Shambleau again—ever, anywhere—you’ll draw your gun and burn it to hell the instant you realize what it is. Will you promise me that?”

There was a long silence. Yarol’s somber black eyes bored relentlessly into the colorless ones of Smith, not wavering. And the veins stood out on Smith’s tanned forehead. He never broke his word—he had given it perhaps half a dozen times in his life, but once he had given it, he was incapable of breaking it. And once more the gray seas flooded in a dim tide of memories, sweet and horrible beyond dreams. Once more Yarol was staring into blankness that hid nameless things. The room was very still.

The gray tide ebbed. Smith’s eyes, pale and resolute as steel, met Yarol’s levelly.

“I’ll—try,” he said. And his voice wavered.

 

 

 

Heavy Planet by Lee Gregor

Heavy Planet

by Lee Gregor

Ennis was completing his patrol of Sector EM, Division 426 of the Eastern Ocean. The weather had been unusually fine, the liquid-thick air roaring along in a continuous blast that propelled his craft with a rush as if it were flying, and lifting short, choppy waves that rose and fell with a startling suddenness. A short savage squall whirled about, pounding down on the ocean like a million hammers, flinging the little boat ahead madly.

Ennis tore at the controls, granite-hard muscles standing out in bas-relief over his short, immensely thick body, skin gleaming scalelike in the splashing spray. The heat from the sun that hung like a huge red lantern on the horizon was a tangible intensity, making an inferno of the gale.

The little craft, that Ennis maneuvered by sheer brawn, took a leap into the air and seemed to float for many seconds before burying its keel again in the sea. It often floated for long distances, the air was so dense. The boundary between air and water was sometimes scarcely defined at all—one merged into the other imperceptibly. The pressure did strange things.

Like a dust mote sparkling in a beam, a tiny speck of light above caught Ennis’ eye. A glider, he thought, but he was puzzled. Why so far out here on the ocean? They were nasty things to handle in the violent wind.

The dust mote caught the light again. It was lower, tumbling down with a precipitancy that meant trouble. An upward blast caught it, checked its fall. Then it floated down gently for a space until struck by another howling wind that seemed to distort its very outlines.

Ennis turned the prow of his boat to meet the path of the falling vessel. Curious, he thought; where were its wings? Were they retracted, or broken off? It ballooned closer, and it wasn’t a glider. Far larger than any glider ever made, it was of a ridiculous shape that would not stand up for an instant. And with the sharp splash the body made as it struck the water—a splash that fell in almost the same instant it rose—a thought seemed to leap up in his mind. A thought that was more important than anything else on that planet; or was to him, at least. For if it was what he thought it was—and it had to be that—it was what Shadden had been desperately seeking for many years. What a stroke of inconceivable luck, falling from the sky before his very eyes!

The silvery shape rode the ragged waters lightly. Ennis’ craft came up with a rush; he skillfully checked its speed and the two came together with a slight jar. The metal of the strange vessel dented as if it were made of rubber. Ennis stared. He put out an arm and felt the curved surface of the strange ship. His finger prodded right through the metal. What manner of people were they who made vessels of such weak materials?

He moored his little boat to the side of the larger one and climbed to an opening. The wall sagged under him. He knew he must be careful; it was frightfully weak. It would not hold together very long; he must work fast if it were to be saved. The atmospheric pressure would have flattened it out long ago, had it not been for the jagged rent above which had allowed the pressure to be equalized.

He reached the opening and lowered himself carefully into the interior of the vessel. The rent was too small; he enlarged it by taking the two edges in his hands and pulling them apart. As he went down he looked askance at the insignificant plates and beams that were like tissue paper on his world. Inside was wreckage. Nothing was left in its original shape. Crushed, mutilated machinery, shattered vacuum tubes, sagging members, all ruined by the gravity and the pressure.

There was a pulpy mess on the floor that he did not examine closely. It was like red jelly, thin and stalky, pulped under a gravity a hundred times stronger and an atmosphere ten thousand times heavier than that it had been made for.

He was in a room with many knobs and dials on the walls, apparently a control room. A table in the center with a chart on it, the chart of a solar system. It had nine planets; his had but five.

Then he knew he was right. If they came from another system, what he wanted must be there. It could be nothing else.

He found a staircase, descended. Large machinery bulked there. There was no light, but he did not notice that. He could see well enough by infrared, and the amount of energy necessary to sustain his compact gianthood kept him constantly radiating.

Then he went through a door that was of a comfortable massiveness, even for his planet—and there it was. He recognized it at once. It was big, squat, strong. The metal was soft, but it was thick enough even to stand solidly under the enormous pull of this world. He had never seen anything quite like it. It was full of coils, magnets, and devices of shapes unknown to him. But Shadden would know. Shadden, and who knows how many other scientists before him, had tried to make something which would do what this could do, but they had all failed. And without the things this machine could perform, the race of men on Heavyplanet was doomed to stay down on the surface of the planet, chained there immovably by the crushing gravity.

* * *

It was atomic energy. That he had known as soon as he knew that the body was not a glider. For nothing else but atomic energy and the fierce winds was capable of lifting a body from the surface of Heavyplanet. Chemicals were impotent. There is no such thing as an explosion where the atmosphere pressed inward with more force than an explosion could press outward. Only atomic, of all the theoretically possible sources of energy, could supply the work necessary to lift a vessel away from the planet. Every other source of energy was simply too weak.

Yes, Shadden, all the scientists must see this. And quickly, because the forces of sea and storm would quickly tear the ship to shreds, and, even more vital, because the scientists of Bantin and Marak might obtain the secret if there was delay. And that would mean ruin—the loss of its age-old supremacy—for his nation. Bantin and Marak were war nations; did they obtain the secret they would use it against all the other worlds that abounded in the Universe.

The Universe was big. That was why Ennis was so sure there was atomic energy on this ship. For, even though it might have originated on a planet that was so tiny that chemical energy—although that was hard to visualize—would be sufficient to lift it out of the pull of gravity, to travel the distance that stretched between the stars only one thing would suffice.

He went back through the ship, trying to see what had happened.

There were pulps lying behind long tubes that pointed out through clever ports in the outer wall. He recognized them as weapons, worth looking into.

There must have been a battle. He visualized the scene. The forces that came from atomic energy must have warped even space in the vicinity. The ship pierced, the occupants killed, the controls wrecked, the vessel darting off at titanic speed, blindly into nothing. Finally it had come near enough to Heavyplanet to be enmeshed in its huge web of gravity.

Weeaao-o-ow! It was the wailing roar of his alarm siren, which brought him spinning around and dashing for his boat. Beyond, among the waves that leaped and fell so suddenly, he saw a long, low craft making way toward the derelict spaceship. He glimpsed a flash of color on the rounded, gray superstructure, and knew it for a battleship of Marak. Luck was going strong both ways; first good, now bad. He could easily have eluded the battleship in his own small craft, but he couldn’t leave the derelict. Once lost to the enemy he could never regain it, and it was too valuable to lose.

The wind howled and buffeted about his head, and he strained his muscles to keep from being blasted away as he crouched there, half on his own boat and half on the derelict. The sun had set and the evening winds were beginning to blow. The hulk scudded before them, its prow denting from the resistance of the water it pushed aside.

He thought furiously fast. With a quick motion he flipped the switch of the radiophone and called Shadden. He waited with fierce impatience until the voice of Shadden was in his ear. At last he heard it, then: “Shadden! This is Ennis. Get your glider, Shadden, fly to a45j on my route! Quickly! It’s come, Shadden! But I have no time. Come!”

He flipped the switch off, and pounded the valve out of the bottom of his craft, clutching at the side of the derelict. With a rush the ocean came up and flooded his little boat and in an instant it was gone, on its way down to the bottom. That would save him from being detected for a short time.

* * *

Back into the darkness of the spaceship. He didn’t think he had been noticed climbing through the opening. Where could he hide? Should he hide? He couldn’t defeat the entire battleship singlehanded, without weapons. There were no weapons that could be carried anyway. A beam of concentrated actinic light that ate away the eyes and the nervous system had to be powered by the entire output of a battleship’s generators. Weapons for striking and cutting had never been developed on a world where flesh was tougher than metal. Ennis was skilled in personal combat, but how could he overcome all that would enter the derelict?

Down again, into the dark chamber where the huge atomic generator towered over his head. This time he looked for something he had missed before. He crawled around it, peering into its recesses. And then, some feet above, he saw the opening, and pulled himself up to it, carefully, not to destroy the precious thing with his mass. The opening was shielded with a heavy, darkly transparent substance through which seeped a dim glow from within. He was satisfied then. Somehow, matter was still being disintegrated in there, and energy could be drawn off if he knew how.

There were leads—wires of all sizes, and busbars, and thick, heavy tubes that bent under their own weight. Some must lead in and some must lead out; it was not good to tamper with them. He chose another track. Upstairs again, and to the places where he had seen the weapons.

They were all mounted on heavy, rigid swivels. He carefully detached the tubes from the bases. The first time he tried it he was not quite careful enough, and part of the projector itself was ripped away, but next time he knew what he was doing and it came away nicely. It was a large thing, nearly as thick as his arm and twice as long. Heavy leads trailed from its lower end and a lever projected from behind. He hoped it was in working condition. He dared not try it; all he could do was to trace the leads back and make sure they were intact.

He ran out of time. There came a thud from the side, and then smaller thuds, as the boarding party incautiously leaped over. Once there was a heavy sound, as someone went all the way through the side of the ship.

“Idiot!” Ennis muttered, and moved forward with his weapon toward the stairway. Noises came from overhead, and then a loud crash buckled the plates of the ceiling. Ennis leaped out of the way, but the entire section came down, with two men on it. The floor sagged, but held for the moment. Ennis, caught beneath the down-coming mass, beat his way free. He came up with a girder in his hand, which he bent over the head of one of the Maraks. The man shook himself and struck out for Ennis, who took the blow rolling and countered with a buffet that left a black splotch on a skin that was like armor plate and sent the man through the opposite wall. The other was upon Ennis, who whirled with the quickness of one who maneuvers habitually under a pressure of ten thousand atmospheres, and shook the Marak from him, leaving him unconscious with a twist in a sensitive spot.

The first opponent returned, and the two grappled, searching for nerve centers to beat upon. Ennis twisted frantically, conscious of the real danger that the frail vessel might break to pieces beneath his feet. The railing of a staircase gave behind the two, and they hurtled down it, crashing through the steps to the floor below. Their weight and momentum carried them through. Ennis released his grip on the Marak, stopped his fall by grasping one of the girders that was part of the ship’s framework. The other continued his devastating way down, demolishing the inner shell, and then the outer shell gave way with a grinding crash that ominously became a burbling rush of liquid.

Ennis looked down into the space where the Marak had fallen, hissed with a sudden intake of breath, then dove down himself. He met rising water, gushing in through a rent in the keel. He braced himself against a girder which sagged under his hand and moved onward against the rushing water. It geysered through the hole in a heavy stream that pushed him back and started to fill the bottom level of the ship. Against that terrific pressure he strained forward slowly, beating against the resisting waves, and then, with a mighty flounder, was at the opening. Its edges had been folded back upon themselves by the inrushing water, and they gaped inward like a jagged maw. He grasped them in a huge hand and exerted force. They strained for a moment and began to straighten. Irresistibly he pushed and stretched them into their former position, and then took the broken ends in his hands and squeezed. The metal grew soft under his grip and began to flow. The edges of the plate welded under that mighty pressure. He moved down the crack and soon it was watertight. He flexed his hands as he rose. They ached; even his strength was beginning to be taxed.

Noises from above; pounding feet. Men were coming down to investigate the commotion. He stood for a moment in thought, then turned to a blank wall, battered his way through it, and shoved the plates and girders back into position. Down to the other end of the craft, and up a staircase there. The corridor above was deserted, and he stole along it, hunting for the place he had left the weapon he had prepared. There was a commotion ahead as the Maraks found the unconscious man.

Two men came pounding up the passageway, giving him barely enough time to slip into a doorway to the side. The room he found himself in was a sleeping chamber. There were two red pulps there, and nothing that could help him, so he stayed in there only long enough to make sure that he would not be seen emerging into the hall. He crept down it again, with as little noise as possible. The racket ahead helped him; it sounded as though they were tearing the ship apart. Again he cursed their idiocy. Couldn’t they see how valuable this was?

They were in the control room, ripping apart the machinery with the curiosity of children, wondering at the strange weakness of the paperlike metal, not realizing that, on the world where it was fabricated, it was sufficiently strong for any strain the builders could put upon it.

The strange weapon Ennis had prepared was on the floor of the passage, and just outside the control room. He looked anxiously at the trailing cables. Had they been stepped on and broken? Was the instrument in working condition? He had to get it and be away; no time to experiment to see if it would work.

A noise from behind, and Ennis again slunk into a doorway as a large Marak with a colored belt around his waist strode jarringly through the corridor into the control room. Sharp orders were barked, and the men ceased their havoc with the machinery of the room. All but a few left and scattered through the ship. Ennis’ face twisted into a scowl. This made things more difficult. He couldn’t overcome them all single-handed, and he couldn’t use the weapon inside the ship if it was what he thought it was from the size of the cables.

A Marak was standing immediately outside the room in which Ennis lurked. No exit that way. He looked around the room; there were no other doors. A porthole in the outer wall was a tiny disk of transparency. He looked at it, felt it with his hands, and suddenly pushed his hands right through it. As quietly as he could, he worked at the edges of the circle until the hole was large enough for him to squeeze through. The jagged edges did not bother him. They felt soft, like a ragged pat of butter.

The Marak vessel was moored to the other side of the spaceship. On this side the wind howled blankly, and the sawtooth waves stretched on and on to a horizon that was many miles distant. He cautiously made his way around the glistening rotundity of the derelict, past the prow, straining silently against the vicious backward sweep of the water that tore at every inch of his body. The darker hump of the battleship loomed up as he rounded the curve, and he swam across the tiny space to grasp a row of projections that curved up over the surface of the craft. He climbed up them, muscles that were hard as carborundum straining to hold against all the forces of gravity and wind that fought him down. Near the top of the curve was a rounded, streamlined projection. He felt around its base and found a lever there, which he moved. The metal hump slid back, revealing a rugged swivel mounting with a stubby cylindrical projector atop it.

He swung the mounting around and let loose a short, sudden blast of white fire along the naked deck of the battleship. Deep voices yelled within and men sprang out, to fall back with abrupt screams clogged in their throats as Ennis caught them in the intolerable blast from the projector. Men, shielded by five thousand miles of atmosphere from actinic light, used to receiving only red and infra red, were painfully vulnerable to his frightful concentration of ultraviolet.

Noise and shouts burst from the derelict spaceship alongside, sweeping away eerily in the thundering wind that seemed to pound down upon them with new vigor in that moment. Heads appeared from the openings in the craft.

Ennis suddenly stood up to his full height, bracing himself against the wind, so dense it made him buoyant. With a deep bellow he bridged the space to the derelict. Then, as a squad of Maraks made their difficult, slippery way across the flank of the battleship toward him, and as the band that had boarded the spaceship crowded out on its battered deck to see what the noise was about, he dropped down into a crouch behind his ultraviolet projector, and whirled it around, pulling the firing lever.

That was what he wanted. Make a lot of noise and disturbance, get them all on deck, and then blow them to pieces. The ravening blast spat from the nozzle of the weapon, and the men on the battleship dropped flat on the deck. He found he could not depress the projector enough to reach them. He spun it to point at the spaceship. The incandescence reached out, and then seemed to waver and die. The current was shut off at the switchboard.

Ennis rose from behind the projector, and then hurtled from the flank of the battleship as he was struck by two Maraks leaping on him from behind the hump of the vessel. The three struck the water and sank, Ennis struggling violently. He was on the last lap, and he gave all his strength to the spurt. The water swirled around them in little choppy waves that fell more quickly than the eye could follow. Heavier blows than those from an Earthly trip hammer were scoring Ennis’ face and head. He was in a bad position to strike back, and suddenly he became limp and sank below the surface. The pressure of the water around him was enormous, and it increased very rapidly as he went lower and lower. He saw the shadowy bulk of the spaceship above him. His lungs were fighting for air, but he shook off his pretended stupor and swam doggedly through the water beneath the derelict. He went on and on. It seemed as though the distance were endless, following the metal curve. It was so big from beneath, and trying to swim the width without air made it bigger.

Clear, finally, his lungs drew in the saving breaths. No time to rest, though. He must make use of his advantage while it was his; it wouldn’t last long. He swam along the side of the ship looking for an opening. There was none within reach from the water, so he made one, digging his stubby fingers into the metal, climbing up until it was safe to tear a rent in the thick outer and inner walls of the ship.

He found himself in one of the machine rooms of the second level. He went out into the corridor and up the stairway which was half-wrecked, and found himself in the main passage near the control room. He darted down it, into the room. There was nobody there, although the noises from above indicated that the Maraks were again descending. There was his weapon on the floor, where he had left it. He was glad that they had not gotten around to pulling that instrument apart. There would be one thing saved for intelligent examination.

The clatter from the descending crowd turned into a clamor of anger as they discovered him in the passageway. They stopped there for a moment, puzzled. He had been in the ocean, and had somehow magically reappeared within the derelict. It gave him time to pick up the weapon.

Ennis debated rapidly and decided to risk the unknown. How powerful the weapon was he did not know, but with atomic energy it would be powerful. He disliked using it inside the spaceship; he wanted to have enough left to float on the water until Shadden arrived; but they were beginning to advance on him, and he had to start something.

He pulled a lever. The cylinder in his arms jerked back with great force; a bolt of fierce, blinding energy tore out of it and passed with the quickness of light down the length of the corridor.

When he could see again there was no corridor. Everything that had been in the way of the projector was gone, simply disappeared.

Unmindful of the heat from the object in his hands, he turned and directed it at the battleship that was plainly outlined through the space that had been once the walls of the derelict. Before the men on the deck could move, he pulled the lever again.

And the winds were silenced for a moment. The natural elements were still in fear at the incredible forces that came from the destruction of atoms. Then with an agonized scream the hurricane struck again, tore through the spot where there had been a battleship.

Far off in the sky Ennis detected motion. It was Shadden, speeding in a glider.

Now would come the work that was important. Shadden would take the big machine apart and see how it ran. That was what history would remember.

 

 

 

Afterword by Eric Flint

The oldest story in this anthology is C.L. Moore’s “Shambleau,” which was first published in the November 1933 issue of Weird Tales. Five years have to pass before another one of the stories collected here first appears: John W. Campbell, Jr.’s “Who Goes There?” in the August 1938 issue of Astounding. Two more come in the following year: Van Vogt’s “Black Destroyer” in the July 1939 issue of Astounding, and, one month later in the same magazine, this story: Lee Gregor’s “Heavy Planet.”

C.L. Moore, John W. Campbell, Jr., A. E. Van Vogt . . . all of them among the great names in the history of science fiction.

Lee Gregor was not. In fact, the name itself is a pseudonym. “Lee Gregor” was actually Milton A. Rothman, a minor science fiction writer who published not more than a dozen stories, scattered across four decades from the late ’30s to the late ’70s, many of them using the pseudonym of Lee Gregor. Under his own name, he was probably better known to SF readers as one of the scientists who periodically wrote factual articles for either Astounding/Analog or, later in his life, Issac Asimov’s Science Fiction Magazine and anthologies associated with it.

And yet . . .

“Heavy Planet” has been anthologized since its first appearance over a dozen times—about as often as Moore’s “Shambleau” and Van Vogt’s “Black Destroyer,” and almost as many times as Campbell’s “Who Goes There?” In fact, the first time I read it was in one of the great, classic science fiction anthologies: Adventures in Time and Space, edited by Raymond J. Healy and J. Francis McComas and first published in 1946 by Random House. My parents gave me the volume as a gift, if memory serves me correctly, on my fourteenth birthday.

Odd success, perhaps, for such a simple and straight-forward story. But I think that’s the key to it. It’s such a clean story, and one of the very first in the history of science fiction (that I can think of, anyway) that is told entirely from the viewpoint of an alien. Even the supposition that the bodies Ennis encounters on the wrecked spaceship are those of human beings is simply that—a supposition. The story does not say, one way or the other. It does not need to, because the story is not about humans. It is about hope and aspiration, which although they are human qualities, may well be shared by others.

That was what struck me most about the story, at the time. And even at that age, I wasn’t so callow that I didn’t understand that Gregor’s story applied to the world I saw around me. I didn’t have to wait for aliens to appear to start thinking about what a mile might feel like in someone else’s moccasins.

 

Environment by Chester S. Geier

Environment

by Chester S. Geier

 

The sun was rising above the towers and spires of the city to the west. It sent questing fingers of brightness through the maze of streets and avenues, wiping away the last, pale shadows of night. But in the ageless splendor of the dawn, the city dreamed on.

The ship came with the dawn, riding down out of the sky on wings of flame, proclaiming its arrival in a voice of muted thunder. It came out of the west, dropping lower and lower, to cruise finally in great, slow circles. It moved over the city like a vast, silver-gray hunting hawk, searching for prey. There was something of eagerness in the leashed thunder of its voice.

Still the city dreamed on. Nothing, it seemed, could disturb its dreaming. Nothing could. It was not a sentient dreaming. It was a part of the city itself, something woven into every flowing line and graceful curve. As long as the city endured, the dream would go on.

The voice of the ship had grown plaintive, filled with an aching disappointment. Its circling was aimless, dispirited. It rose high in the sky, hesitated, then glided down and down. It landed on an expanse of green in what had once been a large and beautiful park.

It rested now on the sward, a great, silver-gray ovoid that had a certain harsh, utilitarian beauty. There was a pause of motionlessness, then a circular lock door opened in its side. Jon Gaynor appeared in the lock and jumped to the ground. He gazed across the park to where the nearest towers of the city leaped and soared, and his gray eyes were narrowed in a frown of mystification.

“Deserted!” he whispered. “Deserted— But why?”

Jon Gaynor turned as Wade Harlan emerged from the lock. The two glanced at each other, then, in mutual perplexity, their eyes turned to the dreaming city. After a long moment, Wade Harlan spoke.

“Jon, I was thinking— Perhaps this isn’t the right planet. Perhaps . . . perhaps old Mark Gaynor and the Purists never landed here at all—”

Jon Gaynor shook his brown head slowly. He was a tall, lean figure in a tight-fitting, slate-gray overall. “I’ve considered that possibility, Wade. No—this is the place, all right. Everything checks against the data given in that old Bureau of Expeditions report. Seven planets in the system—this the second planet. And this world fits perfectly the description given in the report—almost a second Earth. Then there’s the sun. Its type, density, rate of radiation, spectrum—all the rest—they check, too.”

Gaynor shook his head again. “Granted there could exist another system of seven planets, with the second habitable. But it’s too much to suppose that the description of that second planet, as well as the description of its sun, would exactly fit the expedition report. And the report mentioned a deserted city. We’re standing in the middle of it now. The only thing that doesn’t check is that it’s still deserted.”

Harlan gave a slight shrug. “That may not mean anything, Jon. How can you be certain that Mark Gaynor and the Purists came back here at all? The only clue you have is that old Bureau of Expeditions report, describing this city and planet, which you found among the personal effects Mark Gaynor left behind. It may not have meant anything.”

“Perhaps— But I’m pretty sure it did. You see, old Mark and the Purists wanted to live far from all others, somewhere where there would be none to laugh at them for their faith in the ancient religious beliefs. The only habitable planets which answered their purposes were a tremendously remote few. Of them all, this was the only one possessing a city—and a deserted city at that.”

“So you think they must have come here because of the benefits offered by the city?”

“That’s one reason. The other . . . well, old Mark had a pile of Bureau of Expedition reports dating back for two hundred years. The report relating to this planetary system was marked in red, as being of special interest. It was the only report so marked—”

Harlan smiled in friendly derision. “Add that to a misplaced hero-worship for a crackpot ancestor—and the answer is that we’ve come on a goose chase. Lord, Jon, even with the Hyperspacial Drive to carry us back over the immense distance, it’s going to be a terrific job getting back to Earth. You know what a time we had, finding this planet. The Hyperspacial Drive is a wonderful thing—but it has its drawbacks. You go in here, and you come out there—millions of miles away. If you’re lucky, you’re only within a few million miles or so of your destination. If not—and that’s most of the time—you simply try again. And again—”

“That’s a small worry,” Gaynor replied. “And as for old Mark, he was hardly a crackpot. It took one hundred and twenty years for the world to realize that. His ideas on how people should live and think were fine—but they just didn’t fit in with the general scheme of things. On a small group, they could have been applied beautifully. And such a group, living and thinking that way, might have risen to limitless heights of greatness. Hero-worship? No—I never had such feelings for my great-great-uncle, Mark Gaynor. I just had a feverish desire to see how far the Purists had risen—to see if their way of life had given them an advantage over others.”

Harlan was sober. “Maybe we’ll never learn what happened to them, Jon. The city is deserted. Either the Purists came here and left—or they never came here at all.”

Gaynor straightened with purpose. “We’ll learn which is the answer. I’m not leaving until we do. We’ll—” Gaynor broke off, his eyes jerking toward the sky. High up and far away in the blue, something moved, a vast swarm of objects too tiny for identification. They soared and circled, dipped and swooped like birds. And as the two men from another planet watched, sounds drifted down to them—sweet, crystalline tinklings and chimings, so infinitely faint that they seemed to be sensed rather than heard.

“Life—” Harlan murmured. “There’s life here of sorts, Jon.”

Gaynor nodded thoughtfully. “And that may mean danger. We’re going to examine the city—and I think we’d better be armed.”

While Harlan watched the graceful, aimless maneuvers of the aerial creatures, Gaynor went back into the ship. In a moment, he returned with laden arms. He and Harlan strapped the antigravity flight units to their backs, buckled the positron blasters about their waists. Then they lifted into the air, soared with easy speed toward a cluster of glowing towers.

As they flew, a small cloud of the aerial creatures flashed past. The things seemed to be intelligent, for, as though catching sight of the two men, they suddenly changed course, circling with a clearly evident display of excited curiosity. The crystalline chimings and tinklings which they emitted held an elfin note of astonishment.

If astonishment it actually was, Gaynor and Harlan were equally amazed at close view of the creatures. For they were great, faceted crystals whose interiors flamed with glorious color—exquisite shades that pulsed and changed with the throb of life. Like a carillon of crystal bells, their chimings and tinklings rang out—so infinitely sweet and clear and plaintive that it was both a pain and a pleasure to hear.

“Crystalline life!” Harlan exclaimed. His voice became thoughtful. “Wonder if it’s the only kind of life here.”

Gaynor said nothing. He watched the circling crystal creatures with wary eyes, the positron blaster gripped in his hand. But the things gave no evidence of being inimical—or at least no evidence of being immediately so. With a last exquisite burst of chimings, they coalesced into a small cloud and soared away, glittering, flashing, with prismatic splendor in the sunlight.

On the invisible wings of their antigravity flight units, Gaynor and Harlan had approached quite close to the cluster of towers which was their goal. Gliding finally through the space between two, they found themselves within a snug, circular enclosure, about the circumference of which the towers were spaced. The floor of the enclosure was in effect a tiny park, for grass and trees grew here, and there were shaded walks built of the same palely glowing substance as the towers. In the exact center of the place was a fountain, wrought of some lustrous, silvery metal. Only a thin trickle of water came from it now.

Gaynor dipped down, landed gently beside the fountain. He bent, peering, then gestured excitedly to Harlan, who was hovering close.

“Wade—there’s a bas-relief around this thing! Figures—”

Harlan touched ground, joined Gaynor in a tense scrutiny of the design. A procession of strange, lithe beings was pictured in bas-relief around the curving base of the fountain. Their forms were essentially humanoid, possessed of two arms, two legs, and large, well-formed head. Except for an exotic, fawnlike quality about the graceful, parading figures, Gaynor and Harlan might have been gazing at a depiction of garlanded, Terrestrial youths and maidens.

“The builders of the city,” Gaynor said softly. “They looked a lot like us. Parallel evolution, maybe. This planet and sun are almost twins of ours. Wade—I wonder what happened to them?”

Harlan shook his shock of red hair slowly, saying nothing. His blue eyes were dark with somber speculation.

Gaynor’s voice whispered on. “The city was already deserted when that government expedition discovered it some one hundred and thirty years ago. The city couldn’t always have been that way. Once there were people on this planet—beings who thought and moved and dreamed, who built in material things an edifice symbolic of their dreaming. Why did they disappear? What could have been responsible? War, disease—or simply the dying out of a race?”

Harlan shrugged his great shoulders uncomfortably. His voice was gruff. “Maybe the answer is here somewhere. Maybe not. If it isn’t, maybe we’ll be better off, not knowing. When an entire race disappears for no apparent reason, as the people of this city seem to have done, the answer usually isn’t a nice one.”

The two men took to one of the paths radiating away from the fountain, followed it to a great, arching entranceway at the base of a tower-building. Slowly they entered—the sunlight dimmed and they moved through a soft gloom. Presently they found themselves in a vast foyer—if such it was. In the middle of the place was a circular dais, with steps leading to a small platform at the top.

They mounted the steps, gained the platform. Of a sudden, a faint whispering grew, and without any other warning, they began to rise slowly into the air. Harlan released a cry of surprise and shock. Gaynor ripped his positron blaster free, sought desperately to writhe from the influence of the force that had gripped him.

And then Gaynor quieted. His eyes were bright with a realization. “An elevator!” he gasped. “Wade—we stepped into some kind of elevating force.”

They ceased struggling and were borne gently up and up. They passed through an opening in the ceiling of the foyer, found themselves within a circular shaft, the top of which was lost in the dimness above. Vertical handrails lined the shaft. It was only after passing two floors that they divined the purpose of these. Then, reaching the third floor, each gripped a handrail, and they stepped from the force.

They found themselves within a vast, well-lighted apartment. The source of illumination was not apparent, seeming to emanate from the very walls. Room opened after spacious room—and each was as utterly barren of furnishings as the last. Barren, that is, except for two things. The first was that the walls were covered with murals or paintings—life-sized, rich with glowing color, and almost photographic in detail. The second was that one wall of each room contained a tiny niche. Gaynor and Harlan investigated a niche in one room they entered. Within it was a solitary object—a large jewel, or at least what seemed to be a jewel.

“This is screwy,” Harlan muttered. “It doesn’t make sense. How could anyone have lived in a place like this?”

Gaynor’s eyes were dark with thought. He answered slowly, “Don’t make the mistake of judging things here according to our standard of culture. To the builders of this city, Wade, these rooms might have been thoroughly cozy and comfortable, containing every essential necessary to their daily lives.”

“Maybe,” Harlan grunted. “But I certainly don’t see those essentials.”

“This thing—” Gaynor lifted the jewel from its niche. “Maybe this thing holds an answer of some kind.” Gaynor balanced the jewel in his palm, gazing down at it frowningly. His thoughts were wondering, speculative. Then the speculation faded—he found himself concentrating on the thing, as though by sheer force of will he could fathom its purpose.

And then it happened—the jewel grew cold in his hand—a faint, rose-colored glow surrounded it like an aura. A musical tinkling sounded. Harlan jumped, a yell bursting full-throated from his lungs. Gaynor spun about, surprised, uncomprehending.

“I . . . I saw things!” Harlan husked. “Objects, Jon— The room was full of them—angular ghosts!”

Gaynor stared at the other without speaking. His features were lax with a dawning awe.

Harlan said suddenly, “Try it again, Jon. Look at that thing. Maybe—”

Gaynor returned his gaze to the jewel. He forced his mind quiet, concentrated. Again the jewel grew cold, and again the tinkling sounded. Harlan was tense, rigid, his narrowed eyes probing the room. Within the room, outlines wavered mistily—outlines of things which might have been strange furniture, or queer, angular machines.

“Harder, Jon! Harder!” Harlan prompted.

Gaynor was sweating. He could feel the perspiration roll down his temples. His eyes seemed to be popping from their sockets.

Harlan strained with his peering. The outlines grew stronger, darkened—but only for a moment. The next they wavered mistily again, thinned, and were gone.

Gaynor drew a sobbing breath, straightened up. He asked, “Wade—what did you see?”

“I don’t know for sure. Things—or the ghosts of things. Here—give me that. I’m going to see what I can do.”

Gaynor relinquished the jewel. Holding it in his palm, Harlan gathered his thoughts, poised them, focused them. And, watching, Gaynor saw the ghostly outlines for the first time—misty suggestions of angles and curves, hints of forms whose purpose he could not guess. Alien ghosts of alien objects, summoned by will from some alien limbo.

Abruptly, the outlines faded and were gone. The tinkling of the jewel thinned and died.

Harlan drew a shuddering breath. “Jon—you saw them?”

“Yes. Dimly.”

“We . . . we haven’t got the strength, Jon. We haven’t got the power necessary to materialize the objects—whatever they are.”

“Maybe that’s the drawback. Or—maybe we’ve got the strength, but simply can’t materialize things—objects—whose size, shape, and purpose we do not know and cannot guess.”

“That might be it.” Harlan’s voice grew sharp. “But, great space, Jon, what possibly could be the idea behind it? Why did they—that other race—construct buildings in which the rooms were left unfurnished, or which could be furnished merely by concentrating on . . . on these jewels? What could have been the reason behind it?”

Gaynor shook his head. “We’ll never know that, perhaps. At least, we’ll never know if we persist in thinking in terms of our own culture. The builders of this city were humanoid, Wade—but mentally they were alien. Don’t forget that. These rooms may not have been living quarters at all. They may have been repositories for valuable things, of which the jewels were the means of materializing. Only those who knew how could materialize them. Thus, perhaps, those things were kept safe.”

“That might be it,” Harlan muttered. “It makes sense.”

“These pictures”—Gaynor gestured at the paintings on the walls—”might contain the answer. If we knew how to read them, they might tell us the purpose of these empty rooms—why the furnishings or machines had to be materialized. I wonder, Wade . . . I wonder if each of these pictures is complete in itself, or if each is part of a greater series. You know—like a book. You read one page, and it doesn’t make sense. You read the whole thing—and it does.”

“The beginning, Jon,” Harlan whispered. “We’d have to start at the beginning.”

“Yes—the beginning.”

Harlan replaced the jewel in its niche, and on the invisible wings of their antigravity flight units, they glided back to the force shaft. Here they switched off their units, allowed the force to carry them up. But the apartments on the upper floors contained nothing new or illuminating. Like the first they had visited, these were empty, save for the wall paintings and the jewels in their niches. They returned to the shaft again, this time to meet a complication.

“Say—how do we get down?” Harlan puzzled. “This thing has been carrying us up all the time, and there doesn’t seem to be another one for descending.”

“Why, you simply will yourself to go down,” Gaynor said. Then he looked blankly surprised.

Harlan nodded gravely. “Of course,” he said. “That’s the answer. I should have thought of it myself.”

They descended. Outside, the sun was bright and warm. Under its light the city dreamed on.

Gaynor and Harlan soared through the warmth. The city was very bright and still. Far away and high in the blue, glittering swarms of the crystal creatures darted. Their tinkling and chiming drifted down to the two men.

Gaynor and Harlan descended several times to investigate tower buildings, but these were very much like the first they had visited. The spacious apartments seemed to echo in their strange emptiness, each one seemingly louder than the last. Twice they took turns, attempted to materialize the unguessable furnishings of the rooms. Each time they failed. And afterward they did not disturb the jewels in their niches. They merely gazed at the flaming wall paintings, and came away.

Again they glided through the air, though slowly and thoughtfully, now. They were silent. Beneath them, the city dreamed. Once a cloud of crystal creatures flashed past, sparkling, chiming, but the two did not seem to notice.

“Jon—?” Harlan’s voice was hesitant.

“Yes?”

“I don’t know how to put it into words, but—well, don’t you feel that you are beginning to know?

“Yes—there’s the ghost of something in my mind. Those pictures, Wade—”

“Yes, Jon, the pictures.”

Again they were silent. Gaynor broke the silence.

“Wade—all my life I’ve been reading primers. Someone just gave me a college textbook, and I glanced through several pages. Naturally, I did not understand, but here and there I found words familiar to me. They left a ghost in my mind—”

“You’ve got to go back to the beginning, Jon. You’ve got to read all the books which will help you to understand that college textbook.”

“Yes, Wade, the beginning—”

They drifted on while the city dreamed beneath them. The sun was a swaddling blanket of brightness. Like memory-sounds, faint chimings and tinklings wafted on the air.

And then Gaynor was grasping Harlan’s arm. “Wade—down there. Look!” He pointed tensely.

Harlan stiffened as he saw it. The ship was a tiny thing, almost lost amid the greenery of the park. Almost in unison, the two touched the controls of their antigravity flight units, arrowed down in a swift, gentle arc.

The ship was very big, like no ship they had ever seen before. It was a thing of harsh angles, built of some strange red metal or alloy that gleamed in the sunlight with the hue of blood. A square opening gaped in its side. Slowly, Gaynor and Harlan entered it.

It was as though they entered the gloom of another world. Little of what they saw was familiar to them, and they had to guess the purpose of the rest. There were passageways and corridors, and rooms opened from these. A few they were able to identify, but the rest, filled with queer, angular furniture and sprawling machines, escaped classification. They left the ship—and the sunlight felt good.

Gaynor’s voice rustled dryly. “They were humanoid, Wade, the people who built that ship. If nothing else made sense, the things we saw showed that. But the people who made that ship were not of the city. They were spawned on some planet circling another sun.”

“They came here,” Harlan rasped. “They came—and they left that ship behind—Jon . . . they came . . . and they never left this world—”

“Wade—I’m thinking. There might have been other ships—”

Harlan touched the butt of his positron blaster, and his face was pale. “We’ve got to look, Jon. That’s something we’ve got to know.”

They lifted into the air. Circling and dipping, they searched. The sun was at zenith when they found the second ship. By mid-afternoon they had found a third and a fourth. The fourth was the Ark, the hyperspacial cruiser in which old Mark Gaynor and his band of Purists had left the Earth some one hundred and twenty years before.

The four ships which Gaynor and Harlan had found had two things in common. Each had been built by a different humanoid people, and each was completely deserted. Other than this, there was no basis of comparison between them. Each was separate and distinct, unique in its alienness. Even the Ark, long outmoded, seemed strange.

In the Ark, Gaynor and Harlan found nothing to indicate what had happened to its passengers. Everything was orderly and neat—more, even in the most excellent condition. Nothing written had been left behind, not the slightest scrap of rotting paper.

Gaynor whispered, “They did come here, then. And the same thing happened to them that happened to all the rest of the people who landed here. The same thing, I’m sure, that happened to the builders of the city. Why did they leave these ships behind? Where did they go? What could have happened to them?”

Harlan shook his red head somberly. “We’d better not know that. If we stay and try to find out, the same thing will happen to us. The government expedition which discovered this planet encountered the same mystery—but they didn’t try to find out. They returned to Earth. Jon—we’d better get back to the Paragon. We’d better leave while we can.”

“And in time more people would come to settle here. And there would be more empty ships.” Gaynor’s lips tightened to a stubborn line. “Wade—I’m not leaving until I crack the mystery of this place. I’m going to find what happened to old Mark and the Purists. We’ve been warned—we’ll be on the alert.”

Harlan met Gaynor’s determined gaze, and then he looked away. He moistened his lips. After a long moment he gave a stiff nod. His voice was very low.

“Then we’ve got to start at the beginning, Jon. Those pictures—”

“Yes, Wade, the pictures. I’m sure they hold the answer to the whole thing. We’ve got to find that beginning. You’ve noticed how the city is strung out. At one end is the beginning, at the other—”

“The end!” Harlan said abruptly.

“No. Wade. The answer.”

They returned first to the Paragon, to satisfy pangs of hunger too intense to be ignored any longer. Then, donning their antigravity flight units once more, they took to the air. They circled several times, set out finally for a point on the horizon where the city thinned out and finally terminated.

Their flight ended at a single, slender tower set in the midst of a parklike expanse. That they had reached the end of the city, they knew, for ahead of them no other building was in sight. They floated to the ground, stared silently at the tower. It glowed with a chaste whiteness in the late afternoon light—serene, somewhat aloof, lovely in its simplicity and solitariness.

Harlan spoke softly. “The beginning? Or—the end?”

“That’s what we have to find out,” Gaynor responded. “We’re going in there, Wade.”

The interior of the tower was dark and cool, filled with the solemn hush of a cathedral. It consisted solely of one great room, its ceiling lost in sheerness of height. And except for the ever-present wall paintings, it was empty—utterly bare.

Gaynor and Harlan gazed at the paintings, and then they looked at each other, and slowly they nodded. Silently they left.

“That . . . that wasn’t the beginning,” Harlan stated slowly.

“No, Wade. That was—the end. The beginning lies on the opposite side of the city. But we’ll have to postpone our investigation until morning. We wouldn’t reach the other end of the city until dark.”

They returned to the Paragon. The sun was setting behind the towers of the city to the east, sinking into a glory of rose and gold. Slowly the paling fingers of its radiance withdrew from the city. Night came in all its starry splendor.

Gaynor and Harlan were up with the dawn. Eagerness to be back at their investigations fired them. They hurried impatiently through breakfast. Then, attaching kits of emergency ration concentrates to their belts and donning their antigravity flight units, they took to the air.

As they flew, Gaynor and Harlan had to remind themselves that this was the second day of their visit and not the first, so closely did the new day resemble the one preceding. Nothing had changed. The city beneath them still dreamed on. And far away and high in the blue, glittering clouds of the crystal creatures darted and danced, their chimings and tinklings sounding like echoes of melody from an elfin world.

The sun was bright and warm when Gaynor and Harlan reached the end of the city opposite the one which they had investigated the day before. Here they found no slender tower. There was nothing to show that this part of the city was in any way different from the rest. The general plan of tower-encircled courts was the same as everywhere else. The city merely terminated—or looking at it the other way, merely began.

Gaynor and Harlan glided down into one of the very first of the tower-encircled courts. They touched ground, switched off their flight units, stood gazing slowly about them.

Gaynor muttered, “The beginning? Or— Maybe we were wrong, Wade. Maybe there is no beginning.”

“Those towers should tell us,” Harlan said. “Let’s have a look inside them, Jon.”

They entered an arching doorway, strode into a great foyer. Within this they had their first indication that this part of the city actually was different from the rest. For within the foyer was no dais and force shaft as they had found previously. Instead, a broad stairway led to the floors above.

They mounted the stairs. The walls of the first apartment they investigated were covered with paintings, as everywhere else, but this time the spacious rooms were not empty. They were furnished. Gaynor and Harlan gazed upon softly gleaming objects which very clearly were tables and chairs, deep, luxurious couches, and cabinets of various sizes and shapes. At first everything seemed strange to them, and as they glanced about, they found themselves comparing the furniture to that which they had seen in homes on Earth. And after a while things no longer seemed strange at all.

Gaynor blinked his eyes rapidly several times. He frowned puzzledly. “Wade—either I’m crazy, or this room has changed.”

Harlan was gazing at the wall paintings. His voice came as from far away. “Changed? Why, yes. Things are as they should be—now.”

Gaynor gazed at the walls, and then he nodded. “That’s right, Wade. Of course.”

Gaynor walked over to a low cabinet. Somewhere before he had seen a cabinet like this one. He felt that he should know its purpose, yet it eluded him. He stared at it musingly. And then he remembered something—his eyes lifted to the paintings on the wall. No. The other wall? Yes.

Gaynor looked at the cabinet again—and now a slow murmur of melody arose within the room. Hauntingly familiar, poignantly sweet, yet formless. Gaynor looked at the walls again. The melody shaped itself, grew stronger, and the lilting strains of a spaceman’s song flooded richly through the room.


I’m blasting the far trails,
Following the star trails,
Taking the home trails,
Back, dear, to you—

 

“The Star Trails Home to You,” Gaynor whispered. Sudden nostalgia washed over him in a wave. Home. The Earth— His eyes lifted to the walls, and he was comforted.

Gaynor looked around for Harlan. He found the other standing before a second cabinet across the room. Gaynor approached him, noting as he did so that Harlan stood strangely rigid and still. In alarm, Gaynor ran the remaining distance. Harlan did not seem to notice. His face was rapt, trance-like.

Gaynor grasped Harlan’s arm, shook him. “Wade! Wade—what is it? Snap out of it!”

Harlan stirred. Expression came back into his features—his eyes sharped upon Gaynor’s face. “What . . . what— Oh, it’s you, Jon. She . . . she had red hair, and . . . and her arms were around me, and—” Harlan broke off, flushing.

Investigation of the cabinets in the other rooms produced still more interesting results. One had a spigot projecting from its front, with a catchbasin below, much like a drinking fountain. Gaynor looked at the wall paintings, and then he looked at the spigot, and suddenly liquid jetted from it. He tasted it cautiously, nodded approvingly, not at all surprised.

“Scotch,” he said. “I’ll have it with soda.”

“Hurry up, then,” Harlan prompted impatiently.

There was another cabinet that they found particularly interesting. This one had a foot-square opening in its front, and after Gaynor and Harlan had gotten their proper instructions from the paintings, they moved on—each munching at a delicious leg of roast chicken.

Not all the cabinets produced things which were edible or audible, but all opened up new vistas of thought and experience. Gaynor and Harlan learned the purpose of each, and already in their minds they were devising new methods of test and application. The wall paintings were very extensive, and they were learning rapidly.

That was the beginning—

After the cabinets, which supplied every possible physical or mental want, came the machines. Simple things at first, for Gaynor and Harlan were still in the equivalent of kindergarten. But they were humanoid—and, therefore, inquisitive. The machines were delightful and of absorbing interest. Once their purpose and function became known, however, their novelty died, and Gaynor and Harlan quested on for new fields to conquer. Thus, in a very few days, they moved to the next unit.

Here was the same plan of tower-encircled court, but the cabinets and machines had become more complicated, more difficult of operation. But Gaynor and Harlan had become quite adept at reading the wall paintings which were their primers. They learned—

Instruction followed application, and in a very few days again, Gaynor and Harlan moved on. Thus they went, from unit to unit, and always the wall paintings pointed out the way.

The sun rose and the sun set, and the city dreamed on. And always, high in the sky, the crystal creatures circled and soared, tinkling and chiming. The days passed gently, mere wraiths of sunlight.

The machines grew larger, more intricate, ever more difficult of solution. Each was a new test upon the growing knowledge of Gaynor and Harlan. And each test was harder than the last, for the wall paintings no longer pointed out the way, but merely hinted now.

Gaynor and Harlan progressed more slowly, though none the less steadily. They were not impatient. They had no sense of restless striving toward a future goal. They lived for the present. They were submerged heart and soul in the never-ending fascinations of their environment to the exclusion of all else.

The machines continued to grow larger. At one point they were so huge, that a single machine filled an entire apartment. But that was the climax, for afterward the machines grew smaller, ever smaller, until at last they came to a unit the apartments of which were empty. Empty, that is, except for the wall paintings and the jewels in their niches.

Harlan peered about him, frowning. “I seem to remember this place.”

“It is familiar,” Gaynor said. His brows drew together, and after a time he nodded. “We were here before, I think. But that was many toree ago, when we were children.”

“Yes—when we were children. I recall it, now.” Harlan smiled reminiscently. “It is strange we knew so little as children that it should be so easily forgotten.”

“Yes, we have grown. The memories of childhood are very dim. I can recall some things, but they are not very clear. There was a purpose that brought us to the city. A purpose— But what else could it have been than to learn? And there was a mystery. But there is nothing mysterious about the city, nothing strange at all. Mere imaginings of childhood perhaps—meaningless trifles at best. We will not let them concern us now. We have grown.”

Harlan nodded gravely, and his blue eyes, deep with an ocean of new knowledge, lifted to the painting-covered walls. “Events of the past should no longer concern us. We have entered upon the Third Stage. The tasks of this alone should occupy our thoughts.”

“Yes—the past has been left behind.” Gaynor was looking at the walls. “The Third Stage. The tasks will be very difficult, Wade—but interesting. We’ll be putting our knowledge into practice—actually creating. This means we’ll have to deal directly with the powers of the various soldani and varoo. As these are extradimensional, control will be solely by cholthening at the six level, through means of the taadron. We’ll have to be careful, though—any slightest relaxation of the sorran will have a garreling effect—”

“I guessed that. But there must be some way to minimize the garreling effect, if it should occur.”

“A field of interwoven argroni of the eighth order should prevent it from becoming overpowering.”

“We can try it. You’re working on the woratis patterns?”

“Yes. I’ve managed to cholthen them into the fifth stage of development.”

“Mine’s the vandari patterns. I’ve found them more interesting than those of the woratis. Fourth stage of development. I’m starting at once. I’ll use the next room.”

Harlan left, and Gaynor took the jewel from its niche—the taadron, that is—and set his cholthening power at the sixth level. The thing flamed gloriously in his hand—light pulsed out in great, soft waves, washed over the wall paintings, made them glow with exquisite richness. Unearthly melody filled the room, tuneless, silver-sweet. Gaynor was creating. And as he did so, things began to take on form and substance within the room—things which might have been machines, but weren’t machines, because they were intelligent and alive in a way no machine can ever be. Finally, Gaynor and his creations communicated. It was somewhat difficult at first, but he was well along now, and took the difficulty in his stride.

Gaynor learned things—just as, in the other room, Harlan was learning, too. And then he took up the taadron again and cholthened. The things which he had created vanished. He began to develop the woratis patterns into the fifth stage—

Bright day blended into bright day, gently, unnoticeably. The city floated on the gentle, green swells of the planet, and floating, dreamed.

After a time, Gaynor and Harlan moved on to the next unit. Then the next—and the next. Soon it came to pass that they entered the Fourth Stage. This, they knew, was the last one, but what came afterward did not worry them. They had reached a level of mind which was beyond all worrying.

The Third Stage had changed them greatly, though they were not aware of it. They would not have been concerned even if they had. They no longer used their natural vocal apparatus, now, for they had come to think in terms which simply could not have been put into words. They had become telepathic, conversing in pure ideas of the highest order. And they no longer materialized their food from the atoms of the air. A simple rearrangement of their body cells—simple, when understood as they understood it—now enabled them to feed directly upon certain nourishing extradimensional subatomic energies. And the antigravity flight units, which they had reduced to the size of peas for convenience, were now discarded entirely. They had learned to fly without the aid of any device.

The Fourth Stage changed them still further. They created now—the word does not quite describe their activities—without the aid of the taadron, for they had learned to ennathen, which was as great an advancement over cholthening as telepathy is over speech. Thus is came about that Gaynor and Harlan—or the beings who once had been Gaynor and Harlan—found their bodies an annoying encumbrance. For arms and legs, heart and lungs, and the senses and nerves which use of these required, had become quite unnecessary to them. They had outgrown these impedimenta of their childhood.

They spoke of this now by a telepathic means that was not quite telepathy, and they wondered what to do. For though they had mastered well the wall paintings which were their college textbooks, there was no clear answer. Their discussion of the problem could not have been made understandable, however roughly it might have been put, but suffice it to say that at last they reached a decision.

They had progressed from one end of the city to the edge of the other. Not quite the edge, though—for there was one building in which they had not yet narleened. They had examined it before, of course, but that was when they had been children—in those dim, pale days when they did not understand.

They decided to vogelar to this very last building. Here, perhaps, every question would be answered.

It was dawn when they vogelared through the arching doorway. The first feeble rays of morning crept through the opening—the interior of the Temple was very dark and cool. All the dreaming of the city seemed to be concentrated here in one vast stillness.

The beings who once had been Gaynor and Harlan narleened the paintings on the walls of the Temple, gazed upon them with this new, all-embracing sense which went far beyond the limited realms of mere vision—so that almost the paintings spoke to them and they answered back. They narleened the paintings.

Their every question was answered—for all eternity.

And thus it came about, after a time, that two great, faceted crystals emerged from the doorway of the Temple, and lifted, pulsing with a vibrant new life, flashing in rainbow splendor, into the sky. Higher, they lifted, and higher, chiming and tinkling, soaring to join the others of their kind.

The sun shone brightly in the sky. High and far away in the blue, glittering clouds of crystal creatures darted and danced, sending wave after exquisite wave of crystalline melody upon the gentle shores of air. Among them now were two who had still to learn the intricacies of flight.

And the city dreamed on.

A perfect environment, the city. Ideal for the inquisitive humanoid.

 

 

 

Afterword by David Drake




When I read "Environment" in Groff Conklin's The Omnibus of Science Fiction I didn't know who Chester S. Geier was. At the time I barely knew who Heinlein was, so that isn't surprising. Geier wrote quite a lot of SF in the '40s, during the Golden Age—but not of the Golden Age, because he wrote mostly for the Ziff-Davis magazines, Amazing and Fantastic Adventures, which were then edited by Ray Palmer. These magazines were and are widely reviled as the worst kind of juvenile trash . . . but issue for issue, they outsold John W. Campbell's Astounding by more than three to one.

Geier did sell four stories to Campbell, though: this story and another to Astounding, and two more to Unknown, Astounding's fantasy companion. "Environment" is the only one that stands out, but it stands very far out.


When I first read "Environment," I thought it was about a trap of the most subtle and effective kind, one which the victim can't resist even when he sees it clearly. And you know, maybe that is what the story's about: you start with human beings and at the end they've been destroyed.

But consider another way of describing the action: you start with animals, and at the end all their animal nature has been polished away.

When I reread "Environment," I remembered the time I looked into the back of a second-year Latin book before I'd started taking the language. "How could anyone make sense of this?" I thought. But a few years later I was sight-reading those passages from Caesar easily; and now I translate far more difficult Latin authors for the pleasure of keeping my mind supple.

"Environment" is a story about education.

Shitting a brick in Country lockup

Here’s a little first hand story.

In 2003, I made my first trip to another country, which was England. I stayed at a private home in a place called Pinner in Greater London. My hosts gave my a room and let me use their facilities. It was the first time I actually saw and used those bizarre separate water taps for cold and hot water. So inconvenient. But that’s beside the point.

main qimg 67828d3bbee9178ee05ea179b6b5e3b5
main qimg 67828d3bbee9178ee05ea179b6b5e3b5

I spend most of my time hiking and sightseeing. One day I went to see central London. In the evening, when I was going to board my train to go back I learned that there was a blackout

and the trains didn’t work.

I didn’t know how to get to Pinner, so I called my host and asked him if he could drive to the center and pick me up. This phone conversation was life changing for me due to one little detail. It was I who was asking him for help, but it was him, who thanked me several times during the mere seconds that we spoke. When he understood the position I was in and what I was asking him for, he said something like “okay, I see, I’ll pick you up, thank you, thank you, bye”. I was stunned. Those “thank yous” sounded very automatic, but they made so much difference. Like, the man had nothing to thank me for. On the contrary, I got him out from his comfy apartment and made drive to the central London at night, and yet he said thank you. Just a simple sign of politeness made a world of difference. After that I started saying thank you much much more often than I used to.

It’s been more than 20 years, and I have observed that Russian people have become much much more polite, and they use the “magic words” like thank you and please much more often. So I’d say, it has become common. It even affected people who are not used to saying thank you that often, because it has become much easier and more pleasant to deal with them.

Japan evening walk in Shinjuku, Tokyo • 4K HDR

https://youtu.be/rFcwx-sIMA8

Cops as Robbers!

It was about 11:00 p.m. when I first observed my sergeant get a cell phone call. He looked at me and told me he had to check something and left the office. I didn’t hear from him for about thirty minutes. The next thing I hear is that they are looking to establish a crime scene. I immediately went to dispatch and asked, “Where’s the scene and what’s going on?” The dispatcher who was half-asleep said he didn’t hear the broadcast, so I made him play back the audio recording. The department tape-records all radio transmissions and incoming phone calls, a fact that would save my bacon over the next few hours.

After hearing the recording of an officer asking about a crime scene, the dispatcher said nobody told him anything. I then called the sergeant over the radio and said: “What do you have?” He replied that he would give me a call (fortunately for me on a taped line). I took his phone call, and he explained that some drunken Mexican was saying that he got kidnapped, beat up and robbed by the police. They were driving the victim around looking for a crime scene, but the sergeant stated, that to him, it sounded farfetched.

I was aware that there had been some informant information saying that a rogue cop was robbing Mexicans. In fact, the chief, in a staff meeting two months prior, had brought up the information.

I told the sergeant to bring the victim to the station, which he did. At the station, I spoke to a friend of the victim and the victim. The friend spoke English while the victim spoke only Spanish. The friend said the victim was on his way to his house to pay him back some money. As the victim approached the man’s house, a marked police sport-utility-vehicle stopped the victim, arrested him, and drove off. The witness described the involved officers as wearing blue police uniforms with one of the officers speaking fluent Spanish.

The sergeant kept downplaying the incident, and I later determined he had misdirected my initial investigation. He said we only had two Spanish-speaking officers working, while he knew we, in fact, had three. I had the two Spanish-speaking officers I knew about come into the room, and apparently, these were not the officers.

The sergeant then reminded me that the state police had several units in the area who were driving similar vehicles. Two of their officers were at our station using our breathalyzer because their machine was down for repairs. I ran down those leads and came up empty.

Because of the informant information previously discussed, I called the chief of police at 2:00 a.m. and said, “I’m not sure what I have, but one of our cops may be involved in a robbery.” Both the chief and internal affairs commander responded to the department, as well as the two other division commanders.

Information started to leak out in small dribs and drabs. Everyone working that night was interviewed, and no one was allowed to leave. First, I learned that it had something to do with an off-duty police officer who was pulled over for drunk driving. Two officers from our community-policing unit offered to give the intoxicated officer a ride home so he wouldn’t get in trouble. Instead of taking him home, they transported the officer back to a local bar.

I would learn later, that when they transported this drunken officer to the bar, they had already kidnapped the Mexican who was in the back seat with him. The truth finally came out when one officer involved in the kidnapping came clean and turned state’s evidence. This officer had recently transferred to the unit. The second officer and ring leader was not Hispanic but apparently spoke fluent Spanish—a fact I didn’t know, but the sergeant did.

On this night, they were looking for a Mexican to rob. They kidnapped this person off the street and eventually took him to a secluded area of a park and robbed him of his money, assaulted him, and left him there.

However, en route to the park, they stopped a suspected drunk driver who was yet another officer. They then started to give the drunken officer a ride home, loading him into their car right next to the kidnapped man. They dropped the officer at a local bar instead of taking him home.

As word spread of the robbery, other officers knew of the drunken officer and learned of the man in the backseat. The sergeant and others on the shift knew this information, but at that point, all remained quiet.

Initially, I think the sergeant was only covering for the non-arrest of the drunken officer, but later learned of the man in the back seat and tried to continue to sell a false narrative. It’s unfortunate once you start trying to cover one officer’s bad behavior; you become caught up and locked into a much more severe situation. There’s no question in my mind that this well-respected sergeant would never have covered for officers committing a robbery. The problem was, he got caught up in trying to help the intoxicated officer and just got sucked into the middle of a bad situation.

By the next morning, the two officers left in handcuffs going to the county jail. A group of union idiots stood in solidarity at the jail parking lot supporting the officers. In fairness to them, they didn’t know the facts of the case, or they probably wouldn’t have been there.

One junior officer came forward identifying who knew what when, which took courage considering he was going against his entire platoon and his sergeant. He and other officers on the shift received discipline. The sergeant was initially suspended pending further investigation. During this extended period of months, he had several personal tragedies in his life, losing both of his parents.

Additionally, while he was still suspended, the current chief who wanted the sergeant fired was forced to retire. A new chief took over. This chief was a close personal friend of the sergeant. Under the new administration, this sergeant took some discipline but kept his job and more amazingly his rank.

The officer involved in the robbery who turned state’s evidence got a couple of years in jail. The Spanish-speaking ringleader pleaded guilty and got almost the same sentence. They were both out of prison in about three months.

Several years later, the ringleader was back in the news, this time for the armed robbery of several banks. He went away again on a seven-year sentence, but just as before, served only a portion of that time and is now out, yet again.

Cowboy Coffee Cake

ccc5 1024x682
ccc5 1024×682

Ingredients

  • 1 (10 count) can biscuits, not the flaky type
  • 1 cup brown sugar
  • 1/3 cup vegetable oil
  • 1/4 cup milk
  • 1/3 cup finely chopped nuts
  • 1/3 cup raisins
  • 1 teaspoon cinnamon

Instructions

  1. Heat oven to 350 degrees F.
  2. Put biscuits in bottom of Bundt pan.
  3. Heat other ingredients just long enough to melt sugar.
  4. Spread mixture over biscuits.
  5. Bake for 25 to 30 minutes.

As a supervisor for a drywall company I hired a guy who had served half his life in prison.

he was 44 and had spent 22 years in prison. ( 4 year stretch 2 year stretch etc not one long 22 year hit),

He was by far the cleanest worker ( his work space ) and the most obedient worker too.

I had to measure up his work after his first 2 weeks.

He worked alone as he was 6 foot 9 and looked nasty.

I told him his count and that he had earned $7000 for 2 weeks work.

He apologized and said he would work harder next pay period !!! the most the other employees would make would be around $2500.

Next pay period rolls around and I measure his work. $8000 this time.

I tell him and he promises to work harder next time !!. 2 weeks later His measure was $9000. The owner strolls on the job site after the invoices have been sent in.

He asks me who Mick is? I take him to Mick and of course his work area is spotless and I introduce them. Mick shakes his hand and the boss pats him on the back. If Mick made $24000 in 6 weeks guess how much the boss made.

I had mick working for me for 5 years till he cracked and ended up in pokey again.

So YES I would employ someone who has been to prison.

I was on an hourly wage but loved to see Micks cheques

Cross of Iron – Facing the T-34s

my insane landlady/neighbor reported me to the police. she said i went inside her house and stole her purse because it contained $5000 cash and her phone. meanwhile, she actually left it in the yard while nibbling on random plants in my ornamental garden (yes you read that right, i had cameras 😂)

thankfully, she also told the cops i did it because she works as jeff bezos’ assistant and i wanted the phone so i could blackmail him for money. needless to say they didn’t take it very seriously. i only found out she reported me when i went to the police station to talk to them about her erratic behavior. they were wildly unhelpful then, and throughtout the whole mess that followed 🤷‍♂️

random other crazy parts of the days surrounding the police report:

she has cameras, and could easily prove someone entered if it were true.

the day before she randomly texted me to ”go in her unlocked backdoor while she was out, and leave the rent on her kitchen table” – specifically asking me to do it while she wasn’t home. it was the same table she told the police i took the purse from. i didn’t of course – but it seems she intended to frame me

she had snuck into my place while i was at work three days prior, i caught her when coming home early. and immediately realized she had been doing it a lot. (things had been moved, doors open i didnt usually leave open etc.. i had figured it was a guest until then) it caused her to spiral with excuses for why she did it, and plots to make the situation go away – she admitted it but didn’t apologize lol.

thats just the beginning, but thats the police report part

Take it from someone who has tasted both: Take the Taser. Every time.

Now, both are awful – I wouldn’t recommend either for a leisurely afternoon. However, the difference is in how they’re bad. When a Taser is used on you, it is pure electric hell, but for exactly five seconds (the standard duration of a single trigger pull). Now, God never stitched together five longer seconds, to be sure – the current from a Taser is by far the most acute pain I’ve ever endured, and this is coming from someone who’s had a baseball fracture a finger, taken an elbow right to the nose in a basketball game, been hit with simulated bullets, and gotten into (and won, I might add) a street fight with a convicted felon. But once it’s over, it’s over. There is some lingering muscle weirdness (I liken it to that feeling that’s left behind after you finally work out a charley horse in a muscle), but that’s it.

When you get pepper sprayed, though, it’s an hours-long ordeal. When oleoresin capsicum (OC, the chemical in pepper spray) hits your mucous membranes, they go absolutely insane. It took well under one minute for my eyes to swell completely shut, and they were obviously watering profusely. My sinuses underwent nothing less than a liquid detonation – I’m trying not to be crude, but think in excess of half a pint. It’s panic inducing – you can’t see without physically prying your eyelids open, you’re punished every time you breathe, you can’t squeegee the stuff off your face (despite frantic efforts that only serve to expand the zone of misery). It took me an hour to see clearly enough to drive, and over a full day for the pain to completely subside – when OC dries, crystals are left behind that, no matter how many tears you produce, can stay stuck under your eyelid.

As a civilian, I would double down on this answer, because in the heat of the moment, officers tend to err on the side of caution – their caution. That means if you’re to the point of being sprayed, you’ve got a high likelihood of what was known among officers as “getting hosed down.” While Taser cycles can be restarted, this can only be done if the subject is continuing to resist (and cycles are recorded by a tiny onboard computer, which provides records which can be uploaded to a personal computer – in other words, supervisors will know if you abused a Taser during a use of force incident). There’s no ‘standard spray’ with OC – it will emit spray as long as there are contents in the can and you have the trigger depressed.

Unfortunately, you won’t have any vote if you’re on the receiving end. You can take hope from the fact that most officers, in my experience, prefer using a Taser (usually no medical intervention necessary, the subject can see and isn’t hyperventilating, no patrol car contamination, and on and on).

But again, do avoid both at most any cost.

Mexican Casserole

Use your choice of meats in this versatile casserole.

mexican casserole
mexican casserole

Ingredients

  • 1 1/2 pounds lean ground beef, ground turkey, chorizo or diced cooked chicken
  • 1/4 cup chopped onion
  • 1 clove garlic, minced finely
  • 2 teaspoons chili powder
  • 8 ounces Dorito corn chips, crushed, or regular corn chips
  • 1 (15 ounce) can Bush’s ranch-style beans or chili beans
  • 1 (10 ounce) can Ro*Tel, undrained
  • 1 (10 ounce) can cream of mushroom soup
  • 2 cups Mexican-style shredded cheese
  • Flour or corn tortillas, warmed

Instructions

  1. Heat oven to 350 degrees F. Spray a 2 quart casserole dish with cooking spray.
  2. In a large skillet, brown the meat for 5 minutes, using a spoon to break up any large clumps. Drain off excess fat and add onion, garlic and chili powder. Continue to cook and stir for 2 minutes.
  3. Add the beans to the cooked meat mixture.
  4. Combine the tomatoes with the soup in a small bowl, mixing completely.
  5. Place about 3/4 of the crushed chips into the bottom of the casserole. Spoon on half the meat mixture, then half the soup mixture. Then add half of the shredded cheese. Repeat the layers, ending with shredded cheese.
  6. Sprinkle the top of the dish with the remaining crushed Doritos or corn chips.
  7. Bake for 30-35 minutes until mixture is bubbling and top is lightly browned.
  8. Serve with tortillas on the side.

Not fired per se, but often reassigned. I spent 20 years in the military. One of my supervisors, on realizing I was essentially a lazy git, put me in charge of a shop in a distant part of the ship. No one had really paid any attention to the processes in years. So, lazy man that I was, I dug in to work out the easiest, most expeditious way to do the work. Took about a month, most of it reading manuals and regulations. I completely streamlined everything, including our reporting, eliminating redundancies and revamping workflows. Another 3 weeks to train the staff on how to follow the new procedures, and I could do my whole job in about eight hours a week.

Well, my boss wasn’t going to have me sit on my rump for the other 32 hours, so he moved me again. Same result. A third time, and I was getting the hang of it. I was down to six hours a week. In sheer Puritan frustration, he sent me to the department head’s office to do admin work. Bad choice on his part; I quit paying any attention to the three shops I’d been in, they didn’t need it. In the mean time, I’d become indispensable to my new boss, by arranging things so he could do his job in just a few hours a week.

They gave me “extra duties as assigned”. Well, those were mostly simple enough; paying attention to the written procedures and manuals, and keeping in mind the actual goals, instead of “This is how we’ve always done it”, let me go back to being a lazy git in short order. I was up to maybe 10 hours a week, and that was mostly delivering verbal reports. Maintenance standards were ridiculously high, my people got a lot of time off because the work got done faster than ever before, and me? No one ever saw me actually doing anything. I’d just wander around, a cup of coffee in my hand, dropping a hint here and a word there, or sitting in the mess and catching the occasional phone call.

My evals made me look like a lazy incompetent. Because they used the wrong metrics. But anywhere I was assigned, actual productivity rose, down-time dropped, and everyone got more time off (the only truly effective reward I had to hand out). A lot of my bosses got commendations for “improvements” I had implemented. I eventually retired, after doing some of the easiest time in the service, drawing two pensions and only 38 years old. Drove my wife nuts doing the same thing at home, before she kicked me out of the house. 🙂

Battle of Nagashino 1575

My mate’s girlfriend was getting married to some other guy.

This had to happen.

I too knew that. Who would give her daughter’s hand to a jobless second year engineering student?

Around a week before his girlfriend’s marriage I advised him to cut all connections with her so that she could start a fresh life.

He replied:

“She cannot live without me. If I will stop calling her, she will die.”

I said: “She will not die. She will take time to adjust with her husband but eventually she will be happy with her husband.”

He: “Do you take the guarantee?”

Me: “I am pretty sure that she will not do such stupid thing. Please do not call her if you need her betterment.”

He: “If she commits suicide then you will also have to jump from the roof.”

After that incident I stopped giving advice to any hardcore Romeo.

It has been 2 years and the girl is living happily with her husband.

Million-Dollar Macaroni Casserole

The whole family will love this easy, cozy make-ahead Million-Dollar Macaroni Casserole.

million dollar spaghetti casserole
million dollar spaghetti casserole

Ingredients

  • 1 pound ground beef or bulk sausage*
  • 1 (28 ounce) can spaghetti sauce
  • 8 ounces cream cheese
  • 1/4 cup sour cream
  • 1/2 pound cottage cheese
  • 1 stick butter
  • 1 pound pasta such as elbow noodles or rotini
  • 1 bag pizza blend shredded cheese
  • Optional: sliced mushrooms, diced bell pepper, diced onion

Instructions

  1. Heat oven to 350 degrees F.
  2. Boil the noodles. Mix together the cream cheese, sour cream and cottage cheese in a mixer to thoroughly mixed together. Set aside.
  3. If you have chosen to use the bell pepper or onion, sauté them for 3 minutes then toss in the ground beef or sausage. Brown ground beef or sausage and drain well. Add spaghetti sauce and mix together. Put a few slices of butter in the bottom of a 9 x 13 inch casserole dish. Then layer half of the noodles in the bottom of the dish. Spread the cheese mixture over this layer. Then add the remaining noodles on top of this with a few pats of butter.
  4. Spread the red sauce and meat on top.
  5. Bake for 30 minutes.
  6. Remove from oven, spread cheese on top, and return to oven for another 15 minutes or until cheese is melted and bubbly.

Notes

* Ground chicken or turkey may also be used in this recipe.

Depends on how you intend to live your life while you’re there.

Because simply existing in jail — an American jail anyway — requires no money at all if you’re willing to subsist on bad food, basic toiletries, and a blaring day room TV.

But any kind of a normal life at all is gonna require income.


Without money in your commissary account, you can’t —

  • wash your hair with anything other than bar soap;
  • soothe a raw throat with Menthol-Lyptus;
  • take Tylenol for a headache;
  • write and mail a letter;
  • make a phonecall;
  • listen to music;
  • use deodorant;
  • eat a snack;
  • moisturize;

And if you consider any of these items luxuries, then you’re probably coming to incarceration straight off the street and sleeping rough.

Congrats on the upgrade.

Otherwise, you’re gonna need funds.


That means sympathetic family and friends on the outside, a work release or road crew deal from the judge and/or sheriff’s department, or a trustee uniform.


I know it’s possible to subsist in jail without means, because I’ve seen motherfuckers do it.

But it’s no way for a human being to live.


Network

Grease Palms

Update That Resume


Jail isn’t the free ride one might imagine.

Putin just scored a KNOCKOUT Blow to NATO and Ukraine is Terrified w/ Andrei Martyanov

My wife counts cards. She likes to play at the $1 tables at Circus Circus in Reno. She makes a few bucks every time she plays. Counting cards requires some concentration, and many people can’t do it, especially if they’re drunk, which explains the free alcohol.

The dealers all count cards. It’s the only way to keep from nodding off doing that job. So they pretty much know who is counting and who is gambling.

If you go home with $20 and brag to your friends that you counted cards in Reno, it’s great for business. If you try to count cards at the high-stakes tables, you will get escorted out if you are successful at all. A photo of you is taken and posted in the Security office so don’t come back later in the day to try again.

There are enough casinos in Reno that you can make some money if you come a couple times a year. If you move to Reno and try to make a living counting cards, you become known to the pit bosses and the security people, and find yourself prevented from playing.

No brass knuckles are involved. That’s just for the movies.

Cowboy Coffee

coffee on coals and the seashore 1246925709 92fa13d153b24e3096bde851a73fd92e
coffee on coals and the seashore 1246925709 92fa13d153b24e3096bde851a73fd92e

Ingredients

  • 4 quarts water
  • 1 1/2 cups freshly ground coffee
  • 1 egg shell
  • 1/2 cup cold water

Instructions

  1. Bring water to a boil in a large saucepan or coffee pot.
  2. Add coffee grounds and egg shell to boiling water. Return to a boil, then remove from heat and let stand for 2 minutes.
  3. Slowly add cold water to settle grounds to the bottom. Strain if desired.

I was managing a radio station in Colorado. One early morning, I was on the air and noticed the hotline lighting up indicating an incoming call: it was the guy whom I scheduled to be on the air after me. He was calling in sick.

“Don’t worry about it,” I said. “We’ll cover your shift so stay home and take care of yourself, and I hope to see you tomorrow.”

I was able to find a replacement for him, so after my shaft had come to an end, I handed over the reins to the next jock. I rarely did this, but for some reason, I decided to go home for an hour before I came back to work and spend the rest of my day working in my office. Once I was home, I turned on the TV just to have some noise in the background as I went into the kitchen to make a simple lunch. As I walked around the house, I looked down to see a basketball game in progress. Who do I notice seeing courtside, was none other than the guy who had called in sick.

It was well-known through my staff that if one wanted to take off a day – even if it were for personal reasons – I had a policy in place that would allow people to call in (even at the last minute) and request time off.

To make matters worse, he had lied to me.

The next day, I saw him in the hallway and simply said, “My office. Two-o’clock. Be there.”

At the moment, I couldn’t tell if I was going to fire him for being stupid enough to call in sick to attend a nationally televised event, or if I was going to fire him for lying to me. Either way, he was becoming gainfully unemployed that afternoon.

“Thank you for stopping by my office, but I am afraid that your services are no longer needed. Have a nice day.” I handed him his final check and he left silently.

I am glad that during my time in management I rarely had to fire someone (in fact, he was only one of two people I had fired during my career (the other person was dismissed for attendance purposes. I can tolerate a lot of things with people, but being lied to is, in my opinion, the worst.

The story starts in Denmark. It is 2012 and police officers have just made a pretty standard arrest: they found a sexual offender with possession of child porn.

Police had a much greater concern though. They needed to find who created the videos, not just who was watching them.

There were no clear clues, so they turned to the videos to see if they could find a lead.

In the background, at one point, there was the slightest shot of a pill bottle.

main qimg 09b36aeb6635a7dcaf95eaeb1uo44d7965 lq
main qimg 09b36aeb6635a7dcaf95eaeb1uo44d7965 lq

They zoomed in and managed to discern the man’s first name, the first two letters of his last name and the first three digits of the prescription order.

From there, the investigators applied these details to every possible person to whom they could be attributed.

After intensive searching, they conclude that a man named Stephen Keating is responsible, however they still have very little info about him. Furthermore, this evidence alone isn’t enough to convict him.

Rather than giving up, they once again went back to the videos. This time however, they found an image showing the man’s hand. From there, they used technology to create an impression of his fingerprint.

main qimg 5ae5293f61faf764b24ba394cd10d45a lq
main qimg 5ae5293f61faf764b24ba394cd10d45a lq

The resulting fingerprint was a perfect match.

53-year-old Stephen Keating was arrested, just three weeks after the investigation began.

main qimg eb81e93f0e38e39acf28fffd2b2031c6 lq
main qimg eb81e93f0e38e39acf28fffd2b2031c6 lq

Keating had repeatedly sexually molested three children, all under the age of 12. Outside of the ones captured on video, it was revealed there were another 12 children whom he had abused.

He was sentenced to 110 years in federal prison, but he may have never been caught had it not been for some brilliant detective work.

[4K】Relaxing Walk in Japanese Small Town – Ikegawa, Kochi

This is just lovely.

Cowboy Coffee with Kahlua Cream

Featured in the November 1998 issue of Texas Monthly – created by Chef Grady Spears

how to make cowboy coffee recipe 1695022684
how to make cowboy coffee recipe 1695022684

Ingredients

  • 1 pot hot coffee
  • 1 1/4 cups heavy cream
  • 2 tablespoons powdered sugar
  • 2 tablespoons Kahlua liqueur
  • 8 teaspoons shaved chocolate

Instructions

  1. Make a pot of good strong coffee.
  2. In a bowl whip the cream until soft peaks form.
  3. Fold in the powdered sugar and Kahlua.
  4. Put a dollop on each cup of coffee and garnish with shaved chocolate.

On September 28th, 1918, Henry Tandey, a British soldier serving with the 5th Duke of Wellington’s Regiment, saw a weary German soldier wandering into Tandey’s line of fire at the small French village of Marcoing.

The enemy soldier was hurt and didn’t even attempt to raise his rifle. Altough Tandey had a clear vision and an opportunity to reduce the number of enemy forces by one, he chose not to shoot. The German soldier allegedly saw what he did and nodded his thanks before getting out of the sight.

That German soldier was Adolf Hitler.

main qimg 83239ee4a497e73a38060e102436303f lq
main qimg 83239ee4a497e73a38060e102436303f lq


This story supposedly comes from Hitler himself. When Neville Chamberlain visited Hitler in 1938 (before the Münich Agreement), he saw a picture by Fortunino Matania ordered by the Green Howards regiment depicting a man saving his fellow comrade. Hitler had allegedly identified the man as Tandey on the basis of a U.K. newspaper article and claimed Tandey was the one who saved his life:

That man came so near to killing me that I thought I should never see Germany again; Providence saved me from such devilishly accurate fire as those English boys were aiming at us.

There has been a lot of evidence that this story is not actually true (see the sources below). But it doesn’t make it less cool nevertheless. If it were true, it would definitely be a legitimate candidate for a single decision that had the most dramatic effect on the entire 20th century.


If nothing else, it kinda reminded me of the following joke:

A man decided to visit a fortune teller. After looking into his hand and into the crystal ball, the fortune teller says in a dramatic tone:

“You sir, will be responsible for the death of millions”

Shocked and taken aback, the man goes back to his home. Along the way, he passes near a river and sees a small boy drowning helplessly.

“Well, if millions of people are going to die because of me, I might at least save one life.”

He jumps into the river and pulls the boy out. The shocked mother comes in tears and says:

“ Oh my dear god, thank you so much Mr., you are a saint. Adolf, you should thank this gentleman yourself.”

Sources:

War hero who did not shoot Hitler

  1. Call a lawyer.
  2. Shut up until your lawyer shows up.
  3. Take pictures of the scene and especially the witnesses. Give the phone only to your lawyer.
  4. When the cops come say ‘I’m sorry Officer. I’d love to tell you my side, but my wife told me to wait for my lawyer.’ Change that to your Dad, etc. At some point before then say ‘Dad, tell me the following…’ so you aren’t lying to the cops.
  5. If they are going to miss the obvious, like a witness, or the gun slid under the dumpster, point it out. But then shut up again.A buddy was getting divorced. His soon to be ex said, she felt threatened when talking to him because he was a soldier (it didn’t matter for the other 20 years…) He was a nice guy and wanted to say, ‘it’s okay, I won’t talk to her.’ But his lawyer shut him down.
    If he said that, it gave the impression that he really was a threat.
    If he made a mistake, and called his kid, but his wife answered, he could be found liable of breaching a court order.Don’t move things, except to safety and holster your weapon.
    A cop had a legit shoot, but noticed the bad guy dropped the gun, right at the cop’s feet. The cop moved the gun to the bad guy, to match what he saw as true, at the time.
    Moving the gun got him convicted.Changing your mag might be a good idea because you don’t know if the fight is over until the cops arrive.
    Leave the 1/2 empty mag at your feet. Put the safety on/de-cock it, etc. because you don’t want the cop who takes your gun having an ND.
    You don’t want the cops to show up and you have a gun in your hand. If your gun is in your hand, very nervous cops will point their guns at you. You don’t want that.Don’t move anything you don’t have to. Giving first aid is allowed but it messes with the facts. Ask 911 before you do it.And shut up. You will really really want to talk. But who among us haven’t said something stupid?

New Gender Alert

Hunting Problem by Robert Sheckley

Hunting Problem

by Robert Sheckley

Preface by David Drake




In the 1950s, Robert Sheckley's short stories appeared frequently in the top range of SF magazines. They were always funny: sometimes cynically funny, sometimes bitterly funny, sometimes horrifically funny . . . but often enough warmly funny. This is a warmly funny story.

One other thing, though: a Sheckley story was never merely funny.

 

 

 

It was the last troop meeting before the big Scouter Jamboree, and all the patrols had turned out. Patrol 22—the Soaring Falcon Patrol—was camped in a shady hollow, holding a tentacle pull. The Brave Bison Patrol, number 31, was moving around a little stream. The Bisons were practicing their skill at drinking liquids, and laughing excitedly at the odd sensation.

And the Charging Mirash Patrol, number 19, was waiting for Scouter Drog, who was late as usual.

Drog hurtled down from the ten-thousand-foot level, went solid, and hastily crawled into the circle of scouters. “Gee,” he said, “I’m sorry. I didn’t realize what time—”

The Patrol Leader glared at him. “You’re out of uniform, Drog.”

“Sorry, sir,” Drog said, hastily extruding a tentacle he had forgotten.

The others giggled. Drog blushed a dim orange. He wished he were invisible.

But it wouldn’t be proper right now.

“I will open our meeting with the Scouter Creed,” the Patrol Leader said. He cleared his throat. “We, the Young Scouters of the planet Elbonai, pledge to perpetuate the skills and virtues of our pioneering ancestors. For that purpose, we Scouters adopt the shape our forebears were born to when they conquered the virgin wilderness of Elbonai. We hereby resolve—”

Scouter Drog adjusted his hearing receptors to amplify the Leader’s soft voice. The Creed always thrilled him. It was hard to believe that his ancestors had once been earthbound. Today the Elbonai were aerial beings, maintaining only the minimum of body, fueling by cosmic radiation at the twenty-thousand-foot level, sensing by direct perception, coming down only for sentimental or sacramental purposes. They had come a long way since the Age of Pioneering. The modern world had begun with the Age of Submolecular Control, which was followed by the present age of Direct Control.

” . . . honesty and fair play,” the Leader was saying. “And we further resolve to drink liquids, as they did, and to eat solid food, and to increase our skill in their tools and methods.”

* * *

The invocation completed, the youngsters scattered around the plain. The Patrol Leader came up to Drog.

“This is the last meeting before the Jamboree,” the Leader said.

“I know,” Drog said.

“And you are the only second-class scouter in the Charging Mirash Patrol. All the others are first-class, or at least Junior Pioneers. What will people think about our patrol?”

Drog squirmed uncomfortably. “It isn’t entirely my fault,” he said. “I know I failed the tests in swimming and bomb making, but those just aren’t my skills. It isn’t fair to expect me to know everything. Even among the pioneers there were specialists. No one was expected to know all—”

“And just what are your skills?” the Leader interrupted.

“Forest and Mountain Lore,” Drog answered eagerly. “Tracking and hunting.”

The Leader studied him for a moment. Then he said slowly, “Drog, how would you like one last chance to make first class, and win an achievement badge as well?”

“I’d do anything!” Drog cried.

“Very well,” the Patrol Leader said. “What is the name of our patrol?”

“The Charging Mirash Patrol.”

“And what is a Mirash?”

“A large and ferocious animal,” Drog answered promptly. “Once they inhabited large parts of Elbonai, and our ancestors fought many savage battles with them. Now they are extinct.”

“Not quite,” the Leader said. “A scouter was exploring the woods five hundred miles north of here, coordinates S-233 by 482-W, and he came upon a pride of three Mirash, all bulls, and therefore huntable. I want you, Drog, to track them down, to stalk them, using Forest and Mountain Lore. Then, utilizing only pioneering tools and methods, I want you to bring back the pelt of one Mirash. Do you think you can do it?”

“I know I can, sir!”

“Go at once,” the Leader said. “We will fasten the pelt to our flagstaff. We will undoubtedly be commended at the Jamboree.”

“Yes, sir!” Drog hastily gathered up his equipment, filled his canteen with liquid, packed a lunch of solid food, and set out.

* * *

A few minutes later, he had levitated himself to the general area of S-233 by 482-W. It was a wild and romantic country of jagged rocks and scrubby trees, thick underbrush in the valleys, snow on the peaks. Drog looked around, somewhat troubled.

He had told the Patrol Leader a slight untruth.

The fact of the matter was, he wasn’t particularly skilled in Forest and Mountain Lore, hunting or tracking. He wasn’t particularly skilled in anything except dreaming away long hours among the clouds at the five-thousand-foot level. What if he failed to find a Mirash? What if the Mirash found him first?

But that couldn’t happen, he assured himself. In a pinch, he could always gestibulize. Who would ever know?

In another moment he picked up a faint trace of Mirash scent. And then he saw a slight movement about twenty yards away, near a curious T-shaped formation of rock.

Was it really going to be this easy? How nice! Quietly he adopted an appropriate camouflage and edged forward.

* * *

The mountain trail became steeper, and the sun beat harshly down. Paxton was sweating, even in his air-conditioned coverall. And he was heartily sick of being a good sport.

“Just when are we leaving this place?” he asked.

Herrera slapped him genially on the shoulder. “Don’t you wanna get rich?”

“We’re rich already,” Paxton said.

“But not rich enough,” Herrera told him, his long brown face creasing into a brilliant grin.

Stellman came up, puffing under the weight of his testing equipment. He set it carefully on the path and sat down. “You gentlemen interested in a short breather?” he asked.

“Why not?” Herrera said. “All the time in the world.” He sat down with his back against a T-shaped formation of rock.

Stellman lighted a pipe and Herrera found a cigar in the zippered pocket of his coverall. Paxton watched them for a while. Then he asked, “Well, when are we getting off this planet? Or do we set up permanent residence?”

Herrera just grinned and scratched a light for his cigar.

“Well, how about it?” Paxton shouted.

“Relax, you’re outvoted,” Stellman said. “We formed this company as three equal partners.”

“All using my money,” Paxton said.

“Of course. That’s why we took you in. Herrera had the practical mining experience. I had the theoretical knowledge and a pilot’s license. You had the money.”

“But we’ve got plenty of stuff on board now,” Paxton said. “The storage compartments are completely filled. Why can’t we go to some civilized place now and start spending?”

“Herrera and I don’t have your aristocratic attitude toward wealth,” Stellman said with exaggerated patience. “Herrera and I have the childish desire to fill every nook and cranny with treasure. Gold nuggets in the fuel tanks, emeralds in the flour cans, diamonds a foot deep on deck. And this is just the place for it. All manner of costly baubles are lying around just begging to be picked up. We want to be disgustingly, abysmally rich, Paxton.”

Paxton hadn’t been listening. He was staring intently at a point near the edge of the trail. In a low voice, he said, “That tree just moved.”

Herrera burst into laughter. “Monsters, I suppose,” he sneered.

“Be calm,” Stellman said mournfully. “My boy, I am a middle-aged man, overweight and easily frightened. Do you think I’d stay here if there were the slightest danger?”

“There! It moved again!”

“We surveyed this planet three months ago,” Stellman said. “We found no intelligent beings, no dangerous animals, no poisonous plants, remember? All we found were woods and mountains and gold and lakes and emeralds and rivers and diamonds. If there were something here, wouldn’t it have attacked us long before?”

“I’m telling you I saw it move,” Paxton insisted.

Herrera stood up. “This tree?” he asked Paxton.

“Yes. See, it doesn’t even look like the others. Different texture—”

In a single synchronized movement, Herrera pulled a Mark II blaster from a side holster and fired three charges into the tree. The tree and all underbrush for ten yards around burst into flame and crumpled.

“All gone now,” Herrera said.

Paxton rubbed his jaw. “I heard it scream when you shot it.”

“Sure. But it’s dead now,” Herrera said soothingly. “If anything else moves, you just tell me, I shoot it. Now we find some more little emeralds, huh?”

Paxton and Stellman lifted their packs and followed Herrera up the trail. Stellman said in a low, amused voice, “Direct sort of fellow, isn’t he?”

* * *

Slowly Drog returned to consciousness. The Mirash’s flaming weapon had caught him in camouflage, almost completely unshielded. He still couldn’t understand how it had happened. There had been no premonitory fear-scent, no snorting, no snarling, no warning whatsoever. The Mirash had attacked with blind suddenness, without waiting to see whether he was friend or foe.

At last Drog understood the nature of the beast he was up against.

He waited until the hoofbeats of the three bull Mirash had faded into the distance. Then, painfully, he tried to extrude a visual receptor. Nothing happened. He had a moment of utter panic. If his central nervous system was damaged, this was the end.

He tried again. This time, a piece of rock slid off him, and he was able to reconstruct.

Quickly he performed an internal scansion. He sighed with relief. It had been a close thing. Instinctively he had quondicated at the flash moment and it had saved his life.

He tried to think of another course of action, but the shock of that sudden, vicious, unpremeditated assault had driven all Hunting Lore out of his mind. He found that he had absolutely no desire to encounter the savage Mirash again.

Suppose he returned without the stupid hide? He could tell the Patrol Leader that the Mirash were all females, and therefore unhuntable. A Young Scouter’s word was honored, so no one would question him, or even check up.

But that would never do. How could he even consider it?

Well, he told himself gloomily, he could resign from the Scouters, put an end to the whole ridiculous business; the campfires, the singing, the games, the comradeship . . .

This would never do, Drog decided, taking himself firmly in hand. He was acting as though the Mirash were antagonists capable of planning against him. But the Mirash were not even intelligent beings. No creature without tentacles had ever developed true intelligence. That was Etlib’s Law, and it had never been disputed.

In a battle between intelligence and instinctive cunning, intelligence always won. It had to. All he had to do was figure out how.

Drog began to track the Mirash again, following their odor. What colonial weapon should he use? A small atomic bomb? No, that would more than likely ruin the hide.

He stopped suddenly and laughed. It was really very simple, when one applied oneself. Why should he come into direct and dangerous contact with the Mirash? The time had come to use his brain, his understanding of animal psychology, his knowledge of Lures and Snares.

Instead of tracking the Mirash, he would go to their den.

And there he would set a trap.

* * *

Their temporary camp was in a cave, and by the time they arrived there it was sunset. Every crag and pinnacle of rock threw a precise and sharp-edged shadow. The ship lay five miles below them on the valley floor, its metallic hide glistening red and silver. In their packs were a dozen emeralds, small, but of an excellent color.

At an hour like this, Paxton thought of a small Ohio town, a soda fountain, a girl with bright hair. Herrera smiled to himself, contemplating certain gaudy ways of spending a million dollars before settling down to the serious business of ranching. And Stellman was already phrasing his Ph.D. thesis on extraterrestrial mineral deposits.

They were all in a pleasant, relaxed mood. Paxton had recovered completely from his earlier attack of nerves. Now he wished an alien monster would show up—a green one, by preference—chasing a lovely, scantily clad woman.

“Home again,” Stellman said as they approached the entrance of the cave. “Want beef stew tonight?” It was his turn to cook.

“With onions,” Paxton said, starting into the cave. He jumped back abruptly. “What’s that?”

A few feet from the mouth of the cave was a small roast beef, still steaming hot, four large diamonds, and a bottle of whiskey.

“That’s odd,” Stellman said. “And a trifle unnerving.”

Paxton bent down to examine a diamond. Herrera pulled him back.

“Might be booby-trapped.”

“There aren’t any wires,” Paxton said.

Herrera stared at the roast beef, the diamonds, the bottle of whiskey. He looked very unhappy.

“I don’t trust this,” he said.

“Maybe there are natives here,” Stellman said. “Very timid ones. This might be their goodwill offering.”

“Sure,” Herrera said. “They sent to Terra for a bottle of Old Space Ranger just for us.”

“What are we going to do?” Paxton asked.

“Stand clear,” Herrera said. “Move ‘way back.” He broke off a long branch from a nearby tree and poked gingerly at the diamonds.

“Nothing’s happening,” Paxton said.

The long grass Herrera was standing on whipped tightly around his ankles. The ground beneath him surged, broke into a neat disk fifteen feet in diameter and, trailing root-ends, began to lift itself into the air. Herrera tried to jump free, but the grass held him like a thousand green tentacles.

“Hang on!” Paxton yelled idiotically, rushed forward and grabbed a corner of the rising disk of earth. It dipped steeply, stopped for a moment, and began to rise again. By then Herrera had his knife out, and was slashing the grass around his ankles. Stellman came unfrozen when he saw Paxton rising past his head.

Stellman seized him by the ankles, arresting the flight of the disk once more. Herrera wrenched one foot free and threw himself over the edge. The other ankle was held for a moment, then the tough grass parted under his weight. He dropped headfirst to the ground, at the last moment ducking his head and landing on his shoulders. Paxton let go of the disk and fell, landing on Stellman’s stomach.

The disk of earth, with its cargo of roast beef, whiskey and diamonds, continued to rise until it was out of sight.

The sun had set. Without speaking, the three men entered their cave, blasters drawn. They built a roaring fire at the mouth and moved back into the cave’s interior.

“We’ll guard in shifts tonight,” Herrera said.

Paxton and Stellman nodded.

Herrera said, “I think you’re right, Paxton. We’ve stayed here long enough.”

“Too long,” Paxton said.

Herrera shrugged his shoulders. “As soon as it’s light, we return to the ship and get out of here.”

“If,” Stellman said, “we are able to reach the ship.”

* * *

Drog was quite discouraged. With a sinking heart he had watched the premature springing of his trap, the struggle, and the escape of the Mirash. It had been such a splendid Mirash, too. The biggest of the three!

He knew now what he had done wrong. In his eagerness, he had overbaited his trap. Just the minerals would have been sufficient, for Mirash were notoriously mineral-tropic. But no, he had to improve on pioneer methods, he had to use food stimuli as well. No wonder they had reacted suspiciously, with their senses so overburdened.

Now they were enraged, alert, and decidedly dangerous.

And a thoroughly aroused Mirash was one of the most fearsome sights in the Galaxy.

Drog felt very much alone as Elbonai’s twin moons rose in the western sky. He could see the Mirash campfire blazing in the mouth of their cave. And by direct perception he could see the Mirash crouched within, every sense alert, weapons ready.

Was a Mirash hide really worth all this trouble?

Drog decided that he would much rather be floating at the five-thousand-foot level, sculpturing cloud formations and dreaming. He wanted to sop up radiation instead of eating nasty old solid food. And what use was all this hunting and trapping, anyhow? Worthless skills that his people had outgrown.

For a moment he almost had himself convinced. And then, in a flash of pure perception, he understood what it was all about.

True, the Elbonaians had outgrown their competition, developed past all danger of competition. But the Universe was wide, and capable of many surprises. Who could foresee what would come, what new dangers the race might have to face? And how could they meet them if the hunting instinct was lost?

No, the old ways had to be preserved, to serve as patterns; as reminders that peaceable, intelligent life was an unstable entity in an unfriendly Universe.

He was going to get that Mirash hide, or die trying!

The most important thing was to get them out of that cave. Now his hunting knowledge had returned to him.

Quickly, skillfully, he shaped a Mirash horn.

* * *

“Did you hear that?” Paxton asked.

“I thought I heard something,” Stellman said, and they all listened intently.

The sound came again. It was a voice crying, “Oh, help, help me!”

“It’s a girl!” Paxton jumped to his feet.

“It sounds like a girl,” Stellman said.

“Please, help me,” the girl’s voice wailed. “I can’t hold out much longer. Is there anyone who can help me?”

Blood rushed to Paxton’s face. In a flash he saw her, small, exquisite, standing beside her wrecked sports-spacer (what a foolhardy trip it had been!) with monsters, green and slimy, closing in on her. And then he arrived, a foul alien beast.

Paxton picked up a spare blaster. “I’m going out there,” he said coolly.

“Sit down, you moron!” Herrera ordered.

“But you heard her, didn’t you?”

“That can’t be a girl,” Herrera said. “What would a girl be doing on this planet?”

“I’m going to find out,” Paxton said, brandishing two blasters. “Maybe a spaceliner crashed, or she could have been out joyriding, and—”

“Siddown!” Herrera yelled.

“He’s right,” Stellman tried to reason with Paxton. “Even if a girl is out there, which I doubt, there’s nothing we can do.”

“Oh, help, help, it’s coming after me!” the girl’s voice screamed.

“Get out of my way,” Paxton said, his voice low and dangerous.

“You’re really going?” Herrera asked incredulously.

“Yes! Are you going to stop me?”

“Go ahead.” Herrera gestured at the entrance of the cave.

“We can’t let him!” Stellman gasped.

“Why not? His funeral,” Herrera said lazily.

“Don’t worry about me,” Paxton said. “I’ll be back in fifteen minutes—with her!” He turned on his heel and started toward the entrance. Herrera leaned forward and, with considerable precision, clubbed Paxton behind the ear with a stick of firewood. Stellman caught him as he fell.

They stretched Paxton out in the rear of the cave and returned to their vigil. The lady in distress moaned and pleaded for the next five hours. Much too long, as Paxton had to agree, even for a movie serial.

* * *

A gloomy, rain-splattered daybreak found Drog still camped a hundred yards from the cave. He saw the Mirash emerge in a tight group, weapons ready, eyes watching warily for any movement.

Why had the Mirash horn failed? The Scouter Manual said it was an infallible means of attracting the bull Mirash. But perhaps this wasn’t mating season.

They were moving in the direction of a metallic ovoid which Drog recognized as a primitive spatial conveyance. It was crude, but once inside it the Mirash were safe from him.

He could simply trevest them, and that would end it. But it wouldn’t be very humane. Above all, the ancient Elbonaians had been gentle and merciful, and a Young Scouter tried to be like them. Besides, trevestment wasn’t a true pioneering method.

That left ilitrocy. It was the oldest trick in the book, and he’d have to get close to work it. But he had nothing to lose.

And luckily, climatic conditions were perfect for it.

* * *

It started as a thin ground-mist. But, as the watery sun climbed the gray sky, fog began forming.

Herrera cursed angrily as it grew more dense. “Keep close together now. Of all the luck!”

Soon they were walking with their hands on each others’ shoulders, blasters ready, peering into the impenetrable fog.

“Herrera?”

“Yeah?”

“Are you sure we’re going in the right direction?”

“Sure. I took a compass course before the fog closed in.”

“Suppose your compass is off?”

“Don’t even think about it.”

They walked on, picking their way carefully over the rock-strewn ground.

“I think I see the ship,” Paxton said.

“No, not yet,” Herrera said.

Stellman stumbled over a rock, dropped his blaster, picked it up again and fumbled around for Herrera’s shoulder. He found it and walked on.

“I think we’re almost there,” Herrera said.

“I sure hope so,” Paxton said. “I’ve had enough.”

“Think your girl friend’s waiting for you at the ship?”

“Don’t rub it in.”

“Okay,” Herrera said. “Hey, Stellman, you better grab hold of my shoulder again. No sense getting separated.”

“I am holding your shoulder,” Stellman said.

“You’re not.”

“I am, I tell you!”

“Look I guess I know if someone’s holding my shoulder or not.”

“Am I holding your shoulder, Paxton?”

“No,” Paxton said.

“That’s bad,” Stellman said, very slowly. “That’s bad, indeed.”

“Why?”

“Because I’m definitely holding someone’s shoulder.”

Herrera yelled, “Get down, get down quick, give me room to shoot!” But it was too late. A sweet-sour odor was in the air. Stellman and Paxton smelled it and collapsed. Herrera ran forward blindly, trying to hold his breath. He stumbled and fell over a rock, tried to get back on his feet—

And everything went black.

The fog lifted suddenly and Drog was standing alone, smiling triumphantly. He pulled out a long-bladed skinning knife and bent over the nearest Mirash.

* * *

The spaceship hurtled toward Terra at a velocity which threatened momentarily to burn out the overdrive. Herrera, hunched over the controls, finally regained his self-control and cut the speed down to normal. His usual tan face was still ashen, and his hands shook on the instruments.

Stellman came in from the bunkroom and flopped wearily in the co-pilot’s seat.

“How’s Paxton?” Herrera asked.

“I dosed him with Drona-3,” Stellman said. “He’s going to be all right.”

“He’s a good kid,” Herrera said.

“It’s just shock, for the most part,” Stellman said. “When he comes to, I’m going to put him to work counting diamonds. Counting diamonds is the best of therapies, I understand.”

Herrera grinned, and his face began to regain its normal color. “I feel like doing a little diamond-cutting myself, now that it’s all turned out okay.” Then his long face became serious. “But I ask you, Stellman, who could figure it? I still don’t understand!”

* * *

The Scouter Jamboree was a glorious spectacle. The Soaring Falcon Patrol, number 22, gave a short pantomime showing the clearing of the land on Elbonai. The Brave Bisons, number 31, were in full pioneer dress.

And at the head of patrol 19, the Charging Mirash Patrol, was Drog, a first-class Scouter now, wearing a glittering achievement badge. He was carrying the Patrol flag—the position of honor—and everyone cheered to see it.

Because waving proudly from the flagpole was the firm, fine-textured, characteristic skin of an adult Mirash, its zippers, tubes, gauges, buttons and holsters flashing merrily in the sunshine.

 

 

 

Afterword by Jim Baen






When I read this story in my early teens, I laughed my head off. When I thought back on it, though, I realized that "Hunting Problem" might have been the first time a writer showed me that people who didn't look anything like me might be, well . . . people.

 

 

 

 

 

 

 

 

Code Three by Rick Raphael

Code Three

by Rick Raphael



Preface by Eric Flint



This story made its way into the anthology by accident. We had never planned to include it at the beginning. In fact, none of us had even remembered the story, or the author—whose career in science fiction only lasted a few years and ended long ago. Instead, we'd wanted to include a story by Eric Frank Russell, a writer whom we'd all enjoyed for years and who had been especially significant for me as a youngster.

Alas, the decision on which stories get included in an anthology like this aren't simply made by the editors. The estates (or, in some cases, still-living authors) obviously have a say in the matter also. And, in the case of Eric Frank Russell, the agency representing the estate proved too difficult for us to deal with. (Never mind the details. Expletives would have to be deleted. Many many many expletives.)

I was the one who handled the negotiations with that estate, and after they finally fell through, I was in a foul mood. I'd really wanted a Russell story. So I decided to work off my frustration with some long-postponed manual labor: unpacking several big boxes of old science fiction magazines I'd purchased for my editing work and filing them away.

Halfway through the first box, which was full of old Analog magazines, a cover illustration caught my eye. Jumped out at me, to be more precise. In a split second, I not only recognized that cover but I rememberedthe story it illustrated and the name of the author—Rick Raphael's novella Code Three, which I hadn't read in something like forty years but now recalled very vividly.

This was . . . a very good sign. So I immediately sat down and read the story, wondering if I'd still like it as much as I could remember liking it as a teenager.

As it happened, if anything, I liked it even more. As an experienced writer now well into middle age—being charitable to myself—I could spot little subtleties and nuances which I'm sure I missed as a sixteen-year-old.

I then called Dave on the phone and I began describing the story to him. Before I'd gotten out more than three sentences, he remembered it also—even though, like me, he hadn't read it in many years.

Oh, a very good sign.

So, here it is. The third story of the anthology, to serve all of us as a reminder that science fiction was constructed by many people, not simply a small number of famous writers. Rick Raphael came and went, but he had his moment in the sun.

 

 

 

The late afternoon sun hid behind gray banks of snow clouds and a cold wind whipped loose leaves across the drill field in front of the Philadelphia Barracks of the North American Continental Thruway Patrol. There was the feel of snow in the air but the thermometer hovered just at the freezing mark and the clouds could turn either into icy rain or snow.

Patrol Sergeant Ben Martin stepped out of the door of the barracks and shivered as a blast of wind hit him. He pulled up the zipper on his loose blue uniform coveralls and paused to gauge the storm clouds building up to the west.

The broad planes of his sunburned face turned into the driving cold wind for a moment and then he looked back down at the weather report secured to the top of a stack of papers on his clipboard.

Behind him, the door of the barracks was shouldered open by his junior partner, Patrol Trooper Clay Ferguson. The young, tall Canadian officer’s arms were loaded with paper sacks and his patrol work helmet dangled by its strap from the crook of his arm.

Clay turned and moved from the doorway into the wind. A sudden gust swept around the corner of the building and a small sack perched atop one of the larger bags in his arms blew to the ground and began tumbling towards the drill field.

“Ben,” he yelled, “grab the bag.”

The sergeant lunged as the sack bounded by and made the retrieve. He walked back to Ferguson and eyed the load of bags in the blond-haired officer’s arms.

“Just what is all this?” he inquired.

“Groceries,” the youngster grinned. “Or to be more exact, little gourmet items for our moments of gracious living.”

Ferguson turned into the walk leading to the motor pool and Martin swung into step beside him. “Want me to carry some of that junk?”

“Junk,” Clay cried indignantly. “You keep your grimy paws off these delicacies, peasant. You’ll get yours in due time and perhaps it will help Kelly and me to make a more polished product of you instead of the clodlike cop you are today.”

Martin chuckled. This patrol would mark the start of the second year that he, Clay Ferguson and Medical-Surgical Officer Kelly Lightfoot had been teamed together. After twenty-two patrols, cooped up in a semiarmored vehicle with a man for ten days at a time, you got to know him pretty well. And you either liked him or you hated his guts.

As senior officer, Martin had the right to reject or keep his partner after their first eleven-month duty tour. Martin had elected to retain the lanky Canadian. As soon as they had pulled into New York Barracks at the end of their last patrol, he had made his decisions. After eleven months and twenty-two patrols on the Continental Thruways, each team had a thirty-day furlough coming.

Martin and Ferguson had headed for the city the minute they put their signatures on the last of the stack of reports needed at the end of a tour. Then, for five days and nights, they tied one on. MSO Kelly Lightfoot had made a beeline for a Columbia Medical School seminar on tissue regeneration. On the sixth day, Clay staggered out of bed, swigged down a handful of antireaction pills, showered, shaved and dressed and then waved good-by. Twenty minutes later he was aboard a jet, heading for his parents’ home in Edmonton, Alberta. Martin soloed around the city for another week, then rented a car and raced up to his sister’s home in Burlington, Vermont, to play Uncle Bountiful to Carol’s three kids and to lap up as much as possible of his sister’s real cooking.

While the troopers and their med officer relaxed, a service crew moved their car down to the Philadelphia motor pool for a full overhaul and refitting for the next torturous eleven-month tour of duty.

The two patrol troopers had reported into the Philadelphia Barracks five days ago—Martin several pounds heavier courtesy of his sister’s cooking; Ferguson several pounds lighter courtesy of three assorted, starry-eyed, uniform-struck Alberta maidens.

They turned into the gate of the motor pool and nodded to the sentry at the gate. To their left, the vast shop buildings echoed to the sound of body-banging equipment and roaring jet engines. The darkening sky made the brilliant lights of the shop seem even brighter and the hulls of a dozen patrol cars cast deep shadows around the work crews.

The troopers turned into the dispatcher’s office and Clay carefully placed the bags on a table beside the counter. Martin peered into one of the bags. “Seriously, kid, what do you have in that grab bag?”

“Oh, just a few essentials,” Clay replied. “Pate de foie gras, sharp cheese, a smidgen of cooking wine, a handful of spices. You know, stuff like that. Like I said—essentials.”

“Essentials,” Martin snorted, “you give your brains to one of those Alberta chicks of yours for a souvenir?”

“Look, Ben,” Ferguson said earnestly, “I suffered for eleven months in that tin mausoleum on tracks because of what you fondly like to think is edible food. You’ve got as much culinary imagination as Beulah. I take that back. Even Beulah turns out some better smells when she’s riding on high jet than you’ll ever get out of her galley in the next one hundred years. This tour, I intend to eat like a human being once again. And I’ll teach you how to boil water without burning it.”

“Why you ungrateful young—” Martin yelped.

The patrol dispatcher, who had been listening with amused tolerance, leaned across the counter.

“If Oscar Waldorf is through with his culinary lecture, gentlemen,” he said, “perhaps you two could be persuaded to take a little pleasure ride. It’s a lovely night for a drive and it’s just twenty-six hundred miles to the next service station. If you two aren’t cooking anything at the moment, I know that NorCon would simply adore having the services of two such distinguished Continental Commandos.”

Ferguson flushed and Martin scowled at the dispatcher. “Very funny, clown. I’ll recommend you for trooper status one of these days.”

“Not me,” the dispatcher protested. “I’m a married man. You’ll never get me out on the road in one of those blood-and-gut factories.”

“So quit sounding off to us heroes,” Martin said, “and give us the clearances.”

The dispatcher opened a loose-leaf reference book on the counter and then punched the first of a series of buttons on a panel. Behind him, the wall lighted with a map of the eastern United States to the Mississippi River. Ferguson and Martin had pencils out and poised over their clipboards.

The dispatcher glanced at the order board across the room where patrol car numbers and team names were displayed on an illuminated board. “Car 56—Martin-Ferguson-Lightfoot,” glowed with an amber light. In the column to the right was the number “26-W.” The dispatcher punched another button. A broad belt of multi-colored lines representing the eastern segment of North America Thruway 26 flashed onto the map in a band extending from Philadelphia to St. Louis. The thruway went on to Los Angeles on its western segment, not shown on the map. Ten bands of color—each five separated by a narrow clear strip, detailed the thruway. Martin and Ferguson were concerned with the northern five bands; NAT 26-westbound. Other unlighted lines radiated out in tangential spokes to the north and south along the length of the multi-colored belt of NAT 26.

This was just one small segment of the Continental Thruway system that spanned North America from coast to coast and crisscrossed north and sound under the Three Nation Road Compact from the southern tip of Mexico into Canada and Alaska.

Each arterial cut a five-mile-wide path across the continent and from one end to the other, the only structures along the roadways were the turretlike NorCon Patrol check and relay stations—looming up at one-hundred-mile intervals like the fire control islands of earlier-day aircraft carries.

Car 56 with Trooper Sergeant Ben Martin, Trooper Clay Ferguson and Medical-Surgical Officer Kelly Lightfoot, would take their first ten-day patrol on NAT 26-west. Barring major disaster, they would eat, sleep and work the entire time from their car; out of sight of any but distant cities until they had reached Los Angeles at the end of the patrol. Then a five-day resupply and briefing period and back onto another thruway.

During the coming patrol they would cross ten state lines as if they didn’t exist. And as far as thruway traffic control and authority was concerned, state and national boundaries actually didn’t exist. With the growth of the old interstate highway system and the Alcan Highway it became increasingly evident that variation in motor vehicle laws from state to state and country to country were creating impossible situations for any uniform safety control.

* * *

With the establishment of the Continental Thruway System two decades later, came the birth of the supra-cop—The North American Thruway Patrol—known as NorCon. Within the five-mile bands of the thruways—all federally-owned land by each of the three nations—the blue-coveralled “Continental Commandos” of NorCon were the sole law enforcement agency and authority. Violators of thruway law were cited into NorCon district traffic courts located in the nearest city to each access port along every thruway.

There was no challenge to the authority of NorCon. Public demand for faster and more powerful vehicles had forced the automotive industry to put more and more power under the touch of the ever-growing millions of drivers crowding the continent’s roads. Piston drive gave way to turbojet; turbojet was boosted by a modification of ram jet and air-cushion drive was added. In the last two years, the first of the nuclear reaction mass engines had hit the roads. Even as the hot Ferraris and Jags of the mid-’60s would have been suicide vehicles on the T-model roads of the ’20s so would today’s vehicles be on the interstates of the ’60s. But building roads capable of handling three hundred to four hundred miles an hour speeds was beyond the financial and engineering capabilities of individual states and nations. Thus grew the continental thruways with their four speed lanes in each direction, each a half-mile wide separated east and west and north and south by a half-mile-wide landscaped divider. Under the Three Nation Compact, the thruways now wove a net across the entire North American continent.

* * *

On the big wall map, NAT 26-west showed as four colored lines; blue and yellow as the two high and ultra-high speed lanes; green and white for the intermediate and slow lanes. Between the blue and yellow and the white and green was a red band. This was the police emergency lane, never used by other than official vehicles and crossed by the traveling public shifting from one speed lane to another only at sweeping crossovers.

The dispatcher picked up an electric pointer and aimed the light beam at the map. Referring to his notes, he began to recite.

“Resurfacing crews working on 26-W blue at milestone Marker 185 to Marker 187, estimated clearance 0300 hours Tuesday—Let’s see, that’s tomorrow morning.”

The two officers were writing the information down on their trip-analysis sheets.

“Ohio State is playing Cal under the lights at Columbus tonight so you can expect a traffic surge sometime shortly after 2300 hours but most of it will stay in the green and white. Watch out for the drunks though. They might filter out onto the blue or yellow.

“The crossover for NAT 163 has painting crews working. Might watch out for any crud on the roadway. And they’ve got the entrance blocked there so that all 163 exchange traffic is being re-routed to 164 west of Chillicothe.”

The dispatcher thumbed through his reference sheets. “That seems to be about all. No, wait a minute. This is on your trick. The Army’s got a priority missile convoy moving out of the Aberdeen Proving Grounds bound for the west coast tonight at 1800 hours. It will be moving at green lane speeds so you might watch out for it. They’ll have thirty-four units in the convoy. And that is all. Oh, yes. Kelly’s already aboard. I guess you know about the weather.”

Martin nodded. “Yup. We should be hitting light snows by 2300 hours tonight in this area and it could be anything from snow to ice-rain after that.” He grinned at his younger partner. “The vacation is over, sonny. Tonight we make a man out of you.”

Ferguson grinned back. “Nuts to you, pop. I’ve got character witnesses back in Edmonton who’ll give you glowing testimonials about my manhood.”

“Testimonials aren’t legal unless they’re given by adults,” Martin retorted. “Come on, lover boy. Duty calls.”

Clay carefully embraced his armload of bundles and the two officers turned to leave. The dispatcher leaned across the counter.

“Oh, Ferguson, one thing I forgot. There’s some light corrugations in red lane just east of St. Louis. You might be careful with your soufflés in that area. Wouldn’t want them to fall, you know.”

Clay paused and started to turn back. The grinning dispatcher ducked into the back office and slammed the door.

* * *

The wind had died down by the time the troopers entered the brilliantly lighted parking area. The temperature seemed warmer with the lessening winds but in actuality, the mercury was dropping. The snow clouds to the west were much nearer and the overcast was getting darker.

But under the great overhead light tubes, the parking area was brighter than day. A dozen huge patrol vehicles were parked on the front “hot” line. Scores more were lined out in ranks to the back of the parking zone. Martin and Ferguson walked down the line of military blue cars. Number 56 was fifth on the line. Service mechs were just re-housing fueling lines into a ground panel as the troopers walked up. The technician corporal was the first to speak. “All set, Sarge,” he said. “We had to change an induction jet at the last minute and I had the port engine running up to reline the flow. Thought I’d better top ‘er off for you, though, before you pull out. She sounds like a purring kitten.”

He tossed the pair a waving salute and then moved out to his service dolly where three other mechs were waiting.

“Beulah looks like she’s been to the beauty shop and had the works,” Martin said. He reached out and slapped the maglurium plates. “Welcome home, sweetheart. I see you’ve kept a candle in the window for your wandering son.” Ferguson looked up at the lighted cab, sixteen feet above the pavement.

Car 56—Beulah to her team—was a standard NorCon Patrol vehicle. She was sixty feet long, twelve feet wide and twelve feet high; topped by a four-foot-high bubble canopy over her cab. All the way across her nose was a three-foot-wide luminescent strip. This was the variable beam headlight that could cut a day-bright swath of light through night, fog, rain or snow and could be varied in intensity, width and elevation. Immediately above the headlight strip were two red-black plastic panels which when lighted, sent out a flashing red emergency signal that could be seen for miles. Similar emergency lights and back-up white light strips adorned Beulah’s stern. Her bow rounded down like an old-time tank and blended into the track assembly of her dual propulsion system. With the exception of the cabin bubble and a two-foot stepdown on the last fifteen feet of her hull, Beulah was free of external protrusions. Racked into a flush-decked recess on one side of the hull was a crane arm with a two-hundred-ton lift capacity. Several round hatches covered other extensible gear and periscopes used in the scores of multiple operations the Nor Con cars were called upon to accomplish on routine road patrols.

Beulah resembled a gigantic offspring of a military tank, sans heavy armament. But even a small stinger was part of the patrol car equipment. As for armament, Beulah had weapons to meet every conceivable skirmish in the deadly battle to keep Continental Thruways fast-moving and safe. Her own two-hundred-fifty-ton bulk could reach speeds of close to six hundred miles an hour utilizing one or both of her two independent propulsion systems.

At ultra-high speeds, Beulah never touched the ground—floating on an impeller air cushion and driven forward by a pair of one hundred fifty thousand pound thrust jets and ram jets. At intermediate high speeds, both her air cushion and the four-foot-wide tracks on each side of the car pushed her along at two hundred-mile-an-hour-plus speeds. Synchro mechanisms reduced the air cushion as the speeds dropped to afford more surface traction for the tracks. For slow speeds and heavy duty, the tracks carried the burden.

Martin thumbed open the portside ground-level cabin door.

“I’ll start the outside check,” he told Clay. “You stow that garbage of yours in the galley and start on the dispensary. I’ll help you after I finish out here.”

As the younger officer entered the car and headed up the short flight of steps to the working deck, the sergeant unclipped a check list from the inside of the door and turned towards the stern of the big vehicle.

* * *

Clay mounted to the work deck and turned back to the little galley just aft of the cab. As compact as a spaceship kitchen—as a matter of fact, designed almost identically from models on the Moon run—the galley had but three feet of open counter space. Everything else, sink, range, oven and freezer, were built-ins with pull-downs for use as needed. He set his bags on the small counter to put away after the pre-start check. Aft of the galley and on the same side of the passageway were the double-decked bunks for the patrol troopers. Across the passageway was a tiny latrine and shower. Clay tossed his helmet on the lower bunk as he went down the passageway. At the bulkhead to the rear, he pressed a wall panel and a thick, insulated door slid back to admit him to the engine compartment. The service crews had shut down the big power plants and turned off the air exchangers and already the heat from the massive engines made the compartment uncomfortably warm.

He hurried through into a small machine shop. In an emergency, the troopers could turn out small parts for disabled vehicles or for other uses. It also stocked a good supply of the most common failure parts. Racked against the ceiling were banks of cutting torches, a grim reminder that death and injury still rode the thruways with increasing frequency.

In the tank storage space between the ceiling and top of the hull were the chemical fire-fighting liquids and foam that could be applied by nozzles, hoses and towers now telescoped into recesses in the hull. Along both sides and beneath the galley, bunks, engine and machine-shop compartments between the walls, deck and hull, were Beulah’s fuel storage tanks.

The last after compartment was a complete dispensary, one that would have made the emergency room or even the light surgery rooms of earlier-day hospitals proud.

Clay tapped on the door and went through. Medical-Surgical Officer Kelly Lightfoot was sitting on the deck, stowing sterile bandage packs into a lower locker. She looked up at Clay and smiled. “Well, well, you DID manage to tear yourself away from your adoring bevies,” she said. She flicked back a wisp of golden-red hair from her forehead and stood up. The patrol-blue uniform coverall with its belted waist didn’t do much to hide a lovely, properly curved figure. She walked over to the tall Canadian trooper and reached up and grabbed his ear. She pulled his head down, examined one side critically and then quickly snatched at his other ear and repeated the scrutiny. She let go of his ear and stepped back. “Damned if you didn’t get all the lipstick marks off, too.”

Clay flushed. “Cut it out, Kelly,” he said. “Sometimes you act just like my mother.”

The olive-complexioned redhead grinned at him and turned back to her stack of boxes on the deck. She bent over and lifted one of the boxes to the operating table. Clay eyed her trim figure. “You might act like ma sometimes,” he said, “but you sure don’t look like her.”

It was the Irish-Cherokee Indian girl’s turn to flush. She became very busy with the contents of the box. “Where’s Ben?” she asked over her shoulder.

“Making outside check. You about finished in here?”

Kelly turned and slowly scanned the confines of the dispensary. With the exception of the boxes on the table and floor, everything was behind secured locker doors. In one corner, the compact diagnostician—capable of analyzing many known human bodily ailments and every possible violent injury to the body—was locked in its riding clamps. Surgical trays and instrument racks were all hidden behind locker doors along with medical and surgical supplies. On either side of the emergency ramp door at the stern of the vehicle, three collapsible auto-litters hung from clamps. Six hospital bunks in two tiers of three each, lined another wall. On patrol, Kelly utilized one of the hospital bunks for her own use except when they might all be occupied with accident or other kind of patients. And this would never be for more than a short period, just long enough to transfer them to a regular ambulance or hospital vehicle. Her meager supply of personal items needed for the ten-day patrol were stowed in a small locker and she shared the latrine with the male members of the team.

Kelly completed her scan, glanced down at the check list in her hand. “I’ll have these boxes stowed in five minutes. Everything else is secure.” She raised her hand to her forehead in mock salute. “Medical-Surgical Officer Lightfoot reports dispensary ready for patrol, sir.”

Clay smiled and made a check-mark on his clipboard. “How was the seminar, Kelly?” he asked.

Kelly hiked herself onto the edge of the operating table. “Wonderful, Clay, just wonderful. I never saw so many good-looking, young, rich and eligible doctors together in one place in all my life.”

She sighed and smiled vacantly into space.

Clay snorted. “I thought you were supposed to be learning something new about tissue regeneration,” he said.

“Generation, regeneration, who cares,” Kelly grinned.

Clay started to say something, got flustered and wheeled around to leave—and bounded right off Ben Martin’s chest. Ferguson mumbled something and pushed past the older officer.

Ben looked after him and then turned back to Car 56’s combination doctor, surgeon and nurse. “Glad to see the hostess aboard for this cruise. I hope you make the passengers more comfortable than you’ve just made the first mate. What did you do to Clay, Kelly?”

“Hi, Ben,” Kelly said. “Oh, don’t worry about junior. He just gets all fluttery when a girl takes away his masculine prerogative to make cleverly lewd witticisms. He’ll be all right. Have a happy holiday, Ben? You look positively fat.”

Ben patted his stomach. “Carol’s good cooking. Had a nice restful time. And how about you. That couldn’t have been all work. You’ve got a marvelous tan.”

“Don’t worry,” Kelly laughed, “I had no intention of letting it be all study. I spent just about as much time under the sun dome at the pool as I did in class. I learned a lot, though.”

Ben grinned and headed back to the front of the car. “Tell me more after we’re on the road,” he said from the doorway. “We’ll be rolling in ten minutes.”

When he reached the cab, Clay was already in the right-hand control seat and was running down the instrument panel check. The sergeant lifted the hatch door between the two control seats and punched on a light to illuminate the stark compartment at the lower front end of the car. A steel grill with a dogged handle on the upper side covered the opening under the hatch cover. Two swing-down bunks were racked up against the walls on either side and the front hull door was without an inside handle. This was the patrol car brig, used for bringing in unwilling violators or other violent or criminal subjects who might crop up in the course of a patrol tour. Satisfied with the appearance of the brig, Ben closed the hatch cover and slid into his own control seat on the left of the cab. Both control seats were molded and plastiformed padded to the contours of the troopers and the armrests on both were studded with buttons and a series of small, finger-operated knobs. All drive, communication and fire fighting controls for the massive vehicle were centered in the knobs and buttons on the seat arms, while acceleration and braking controls were duplicated in two footrest pedals beneath their feet.

Ben settled into his seat and glanced down to make sure his work-helmet was racked beside him. He reached over and flipped a bank of switches on the instrument panel. “All communications to ‘on,'” he said. Clay made a checkmark on his list. “All pre-engine start check complete,” Clay replied.

“In that case, the senior trooper said, “let’s give Beulah some exercise. Start engines.”

Clay’s fingers danced across the array of buttons on his seat arms and flicked lightly at the throttle knobs. From deep within the engine compartment came the muted, shrill whine of the starter engines, followed a split-second later by the full-throated roar of the jets as they caught fire. Clay eased the throttles back and the engine noise softened to a muffled roar.

Martin fingered a press-panel on the right arm of his seat.

“Car 56 to Philly Control,” Ben called.

The speakers mounted around the cab came to life. “Go ahead Five Six.”

“Five Six fired up and ready to roll,” Martin said.

“Affirmative Five Six,” came the reply. “You’re clear to roll. Philly Check estimates white density 300; green, 840; blue, 400; yellow, 75.”

Both troopers made mental note of the traffic densities in their first one-hundred-mile patrol segment; an estimated three hundred vehicles for each ten miles of thruway in the white or fifty to one hundred miles an hour lane; eight hundred forty vehicles in the one hundred to one hundred fifty miles an hour green, and so on. More than sixteen thousand westbound vehicles on the thruway in the first one hundred miles; nearly five thousand of them traveling at speeds between one hundred fifty and three hundred miles an hour.

Over the always-hot intercom throughout the big car Ben called out. “All set, Kelly?”

“I’m making coffee,” Kelly answered from the galley. “Let ‘er roll.”

Martin started to kick off the brakes, then stopped. “Ooops,” he exclaimed, “almost forgot.” His finger touched another button and a blaring horn reverberated through the vehicle.

In the galley, Kelly hurled herself into a corner. Her body activated a pressure plant and a pair of mummy-like plastifoam plates slid curvingly out the wall and locked her in a soft cocoon. A dozen similar safety clamps were located throughout the car at every working and relaxation station.

In the same instance, both Ben and Clay touched another plate on their control seats. From kiosk-type columns behind each seat, pairs of body-molded crash pads snapped into place to encase both troopers in their seats, their bodies cushioned and locked into place. Only their fingers were loose beneath the spongy substance to work arm controls. The half-molds included headforms with a padded band that locked across their foreheads to hold their heads rigidly against the backs of their reinforced seats. The instant all three crew members were locked into their safety gear, the bull horn ceased.

“All tight,” Ben called out as he wiggled and tried to free himself from the cocoon. Kelly and Clay tested their harnesses.

Satisfied that the safety cocoons were operating properly, Ben released them and the molds slid back into their recesses. The cocoons were triggered automatically in any emergency run or chase at speeds in excess of two hundred miles an hour.

Again he kicked off the brakes, pressed down on the foot feed and Car 56—Beulah—rolled out of the Philadelphia motor pool on the start of its ten-day patrol.

* * *

The motor pool exit opened into a quarter-mile wide tunnel sloping gently down into the bowels of the great city. Car 56 glided down the slight incline at a steady fifty miles an hour. A mile from the mouth of the tunnel the roadway leveled off and Ben kicked Beulah up another twenty-five miles an hour. Ahead, the main tunnel ended in a series of smaller portal ways, each emblazoned with a huge illuminated number designating a continental thruway.

Ben throttled back and began edging to the left lanes. Other patrol cars were heading down the main passageway, bound for their assigned thruways. As Ben eased down to a slow thirty, another patrol vehicle slid alongside. The two troopers in the cab waved. Clay flicked on the “car-to-car” transmit.

The senior trooper in Car 104 looked over at Martin and Ferguson. “If it isn’t the gruesome twosome,” he called. “Where have you been? We thought the front office had finally caught up with you and found out that neither one of you could read or write and that they had canned you.”

“We can’t read,” Ben quipped back. “That’s why we’re still on the job. The front office would never hire anyone who would embarrass you two by being smarter than either of you. Where’re you headed, Eddie?”

“Got 154-north,” the other officer said.

“Hey,” Clay called out, “I’ve got a real hot doll in Toronto and I’ll gladly sell her phone number for a proper price.”

“Wouldn’t want to hurt you, Clay,” the other officer replied. “If I called her up and took her out, she’d throw rocks at you the next time you drew the run. It’s all for your own good.”

“Oh, go get lost in a cloverleaf,” Clay retorted.

The other car broke the connection and with a wave, veered off to the right. The thruway entrances were just ahead. Martin aimed Beulah at the lighted orifice topped by the number 26-W. The patrol car slid into the narrower tunnel, glided along for another mile, and then turned its bow upwards. Three minutes later, they emerged from the tunnel into the red patrol lane of Continental Thruway 26-West. The late afternoon sky was a covering of gray wool and a drop or two of moisture struck the front face of the cab canopy. For a mile on either side of the police lane, streams of cars sped westward. Ben eyed the sky, the traffic and then peered at the outer hull thermometer. It read thirty-two degrees. He made a mental bet with himself that the weather bureau was off on its snow estimates by six hours. His Vermont upbringing told him it would be flurrying within the hour.

He increased speed to a steady one hundred and the car sped silently and easily along the police lane. Across the cab, Clay peered pensively at the steady stream of cars and cargo carriers racing by in the green and blue lanes—all of them moving faster than the patrol car.

The young officer turned in his seat and looked at his partner.

“You know, Ben,” he said gravely, “I sometimes wonder if those oldtime cowboys got as tired looking at the south end of northbound cows as I get looking at the vanishing tail pipes of cars.”

The radio came to life.

“Philly Control to Car 56.”

Clay touched his transmit plate. “This is Five Six. Go ahead.”

“You’ve got a bad one at Marker 82,” Control said. “A sideswipe in the white.”

“Couldn’t be too bad in the white,” Ben broke in, thinking of the one-hundred mile-an-hour limit in the slow lane.

“That’s not the problem,” Control came back. “One of the sideswiped vehicles was flipped around and bounded into the green, and that’s where the real mess is. Make it code three.”

“Five Six acknowledge,” Ben said. “On the way.”

He slammed forward on the throttles. The bull horn blared and a second later, with MSO Kelly Lightfoot snugged in her dispensary cocoon and both troopers in body cushions, Car 56 lifted a foot from the roadway, and leaped forward on a turbulent pad of air. It accelerated from one hundred to two hundred fifty miles an hour.

The great red emergency lights on the bow and stern began to blink and from the special transmitter in the hull a radio siren wail raced ahead of the car to be picked up by the emergency receptor antennas required on all vehicles.

The working part of the patrol had begun.

* * *

Conversation died in the speeding car, partly because of the concentration required by the troopers, secondly because all transmissions whether intercom or radio, on a code two or three run, were taped and monitored by Control. In the center of the instrument panel, an oversized radiodometer was clicking off the mileage marks as the car passed each milestone. The milestone posts beamed a coded signal across all five lanes and as each vehicle passed the marker, the radiodometer clicked up another number.

Car 56 had been at MM 23 when the call came. Now, at better than four miles a minute, Beulah whipped past MM 45 with ten minutes yet to go to reach the scene of the accident. Light flurries of wet snow bounced off the canopy, leaving thin, fast-drying trails of moisture. Although it was still a few minutes short of 1700 hours, the last of the winter afternoon light was being lost behind the heavy snow clouds overhead. Ben turned on the patrol car’s dazzling headlight and to the left and right, Clay could see streaks of white lights from the traffic on the green and blue lanes on either side of the quarter-mile wide emergency lane.

The radio filled them in on the movement of other patrol emergency vehicles being routed to the accident site. Car 82, also assigned to NAT 26-West, was more than one hundred fifty miles ahead of Beulah. Pittsburgh Control ordered Eight Two to hold fast to cover anything else that might come up while Five Six was handling the current crisis. Eastbound Car 119 was ordered to cut across to the scene to assist Beulah’s crew, and another eastbound patrol vehicle was held in place to cover for One One Nine.

At mile marker 80, yellow caution lights were flashing on all westbound lanes, triggered by Philadelphia Control the instant the word of the crash had been received. Traffic was slowing down and piling up despite the half-mile wide lanes.

“Philly Control this is Car 56.”

“Go ahead Five Six.”

“It’s piling up in the green and white,” Ben said. “Let’s divert to blue on slowdown and seal the yellow.”

“Philly Control acknowledged,” came the reply.

The flashing amber caution lights on all lanes switched to red. As Ben began de-acceleration, diagonal red flashing barriers rose out of the roadway on the green and white lanes at the 85 mile marker and lane crossing. This channeled all traffic from both lanes to the left and into the blue lane where the flashing reds now prohibited speeds in excess of fifty miles an hour around the emergency situation. At the same time, all crossovers on the ultra high yellow lane were sealed by barriers to prevent changing of lanes into the over-congested area.

As Car 56’s speed dropped back below the two hundred mile an hour mark the cocoon automatically slid open. Freed from her safety restraints, Kelly jumped for the rear entrance of the dispensary and cleared the racking clamps from the six auto-litters. That done, she opened another locker and reached for the mobile first-aid kit. She slid it to the door entrance on its retractable casters. She slipped on her work helmet with the built-in transmitter and then sat down on the seat by the rear door to wait until the car stopped.

Car 56 was now less than two miles from the scene of the crash and traffic in the green lane to the left was at a standstill. A half mile farther westward, lights were still moving slowly along the white lane. Ahead, the troopers could see a faint wisp of smoke rising from the heaviest congregation of headlights. Both officers had their work helmets on and Clay had left his seat and descended to the side door, ready to jump out the minute the car stopped.

Martin saw a clear area in the green lane and swung the car over the dividing curbing. The big tracks floated the patrol car over the two-foot high, rounded abutment that divided each speed lane. Snow was falling faster as the headlight picked out a tangled mass of wreckage smoldering a hundred feet inside the median separating the green and white lanes. A crumpled body lay on the pavement twenty feet from the biggest clump of smashed metal, and other fragments of vehicles were strung out down the roadway for fifty feet. There was no movement.

NorCon thruway laws were strict and none were more rigidly enforced than the regulation that no one other than a member of the patrol set foot outside of their vehicle while on any thruway traffic lane. This meant not giving any assistance whatsoever to accident victims. The ruling had been called inhuman, monstrous, unthinkable, and lawmakers in the three nations of the compact had forced NorCon to revoke the rule in the early days of the thruways. After speeding cars and cargo carriers had cut down twice as many do-gooders on foot at accident scenes than the accidents themselves caused, the law was reinstated. The lives of the many were more vital than the lives of a few.

Martin halted the patrol vehicle a few feet from the wreckage and Beulah was still rocking gently on her tracks by the time both Patrol Trooper Clay Ferguson and MSO Kelly Lightfoot hit the pavement on the run.

In the cab, Martin called in on the radio. “Car 56 is on scene. Release blue at Marker 95 and resume speeds all lanes at Marker 95 in—” he paused and looked back at the halted traffic piled up before the lane had been closed “—seven minutes.” He jumped for the steps and sprinted out of the patrol car in the wake of Ferguson and Kelly.

The team’s surgeon was kneeling beside the inert body on the road. After an ear to the chest, Kelly opened her field kit bag and slapped an electrode to the victim’s temple. The needle on the encephalic meter in the lid of the kit never flickered. Kelly shut the bag and hurried with it over to the mass of wreckage. A thin column of black, oily smoke rose from somewhere near the bottom of the heap. It was almost impossible to identify at a glance whether the mangled metal was the remains of one or more cars. Only the absence of track equipment made it certain that they even had been passenger vehicles.

Clay was carefully climbing up the side of the piled up wrecks to a window that gaped near the top.

“Work fast, kid,” Martin called up. “Something’s burning down there and this whole thing may go up. I’ll get this traffic moving.”

He turned to face the halted mass of cars and cargo carriers east of the wreck. He flipped a switch that cut his helmet transmitter into the remote standard vehicular radio circuit aboard the patrol car.

“Attention, please, all cars in green lane. All cars in the left line move out now, the next line fall in behind. You are directed to clear the area immediately. Maintain fifty miles an hour for the next mile. You may resume desired speeds and change lanes at mile Marker 95. I repeat, all cars in green lane . . .” he went over the instructions once more, relayed through Beulah’s transmitter to the standard receivers on all cars. He was still talking as the traffic began to move.

By the time he turned back to help his teammates, cars were moving in a steady stream past the huge, red-flashing bulk of the patrol car.

Both Clay and Kelly were lying flat across the smashed, upturned side of the uppermost car in the pile. Kelly had her field bag open on the ground and she was reaching down through the smashed window.

“What is it, Clay?” Martin called.

The younger officer looked down over his shoulder. “We’ve got a woman alive down here but she’s wedged in tight. She’s hurt pretty badly and Kelly’s trying to slip a hypo into her now. Get the arm out, Ben.”

Martin ran back to the patrol car and flipped up a panel on the hull. He pulled back on one of the several levers recessed into the hull and the big wrecking crane swung smoothly out of its cradle and over the wreckage. The end of the crane arm was directly over Ferguson. “Lemme have the spreaders,” Clay called. The arm dipped and from either side of the tip, a pair of flanges shot out like tusks on an elephant. “Put ‘er in neutral,” Clay directed. Martin pressed another lever and the crane now could be moved in any direction by fingertip pulls at its extremity. Ferguson carefully guided the crane with its projecting tusks into the smashed orifice of the car window. “O.K., Ben, spread it.”

The crane locked into position and the entire arm split open in a “V” from its base. Martin pressed steadily on the two levers controlling each side of the divided arm and the tusks dug into the sides of the smashed window. There was a steady screeching of tearing and ripping metal as the crane tore window and frame apart. “Hold it,” Ferguson yelled and then eased himself into the widened hole.

“Ben,” Kelly called from her perch atop the wreckage, “litter.”

Martin raced to the rear of the patrol car where the sloping ramp stood open to the lighted dispensary. He snatched at one of the autolitters and triggered its tiny drive motor. A homing beacon in his helmet guided the litter as it rolled down the ramp, turned by itself and rolled across the pavement a foot behind him. It stopped when he stopped and Ben touched another switch, cutting the homing beacon.

Clay’s head appeared out of the hole. “Get it up here, Ben. I can get her out. And I think there’s another one alive still further down.”

Martin raised the crane and its ripper bars retracted. The split arms spewed a pair of cables terminating in magnalocks. The cables dangled over the ends of the autolitter, caught the lift plates on the litter and a second later, the cart was swinging beside the smashed window as Clay and Kelly eased the torn body of a woman out of the wreckage and onto the litter. As Ben brought the litter back to the pavement, the column of smoke had thickened. He disconnected the cables and homed the stretcher back to the patrol car. The hospital cart with its unconscious victim rolled smoothly back to the car, up the ramp and into the dispensary to the surgical table.

Martin climbed up the wreckage beside Kelly. Inside the twisted interior of the car, the thick smoke all but obscured the bent back of the younger trooper and his powerful handlight barely penetrated the gloom. Blood was smeared over almost every surface and the stink of leaking jet fuel was virtually overpowering. From the depths of the nightmarish scene came a tortured scream. Kelly reached into a coverall pocket and produced another sedation hypo. She squirmed around and started to slip down into the wreckage with Ferguson. Martin grabbed her arm. “No, Kelly, this thing’s ready to blow. Come on, Clay, get out of there. Now!”

Ferguson continued to pry at the twisted plates below him.

“I said ‘get out of there’ Ferguson,” the senior officer roared. “And that’s an order.”

Clay straightened up and put his hands on the edge of the window to boost himself out. “Ben, there’s a guy alive down there. We just can’t leave him.”

“Get down from there, Kelly,” Martin ordered. “I know that man’s down there just as well as you do, Clay. But we won’t be helping him one damn bit if we get blown to hell and gone right along with him. Now get outta there and maybe we can pull this thing apart and get to him before it does blow.”

The lanky Canadian eased out of the window and the two troopers moved back to the patrol car. Kelly was already in her dispensary, working on the injured woman.

Martin slid into his control seat. “Shut your ramp, Kelly,” he called over the intercom. “I’m going to move around to the other side.”

The radio broke in. “Car 119 to Car 56, we’re just turning into the divider. Be there in a minute.”

“Snap it up,” Ben replied. “We need you in a hurry.”

As he maneuvered Beulah around the wreckage he snapped orders to Ferguson.

“Get the foam nozzles up, just in case, and then stand by on the crane.”

A mile away, they saw the flashing emergency lights of Car 119 as it raced diagonally across the yellow and blue lanes, whipping with ponderous ease through the moving traffic.

“Take the south side, 119,” Martin called out. “We’ll try and pull this mess apart.”

“Affirmative,” came the reply. Even before the other patrol vehicle came to a halt, its crane was swinging out from the side, and the ganged magnalocks were dangling from their cables.

“O.K., kid,” Ben ordered, “hook it.”

At the interior crane controls, Clay swung Beulah’s crane and cable mags towards the wreckage. The magnalocks slammed into the metallic mess with a bang almost at the same instant the locks hit the other side from Car 119.

Clay eased up the cable slack. “Good,” Ben called to both Clay and the operating trooper in the other car, “now let’s pull it . . . LOOK OUT! FOAM . . . FOAM . . . FOAM,” he yelled.

The ugly, deep red fireball from the exploding wreckage was still growing as Clay slammed down on the fire-control panel. A curtain of thick chemical foam burst from the poised nozzles atop Beulah’s hull and a split-second later, another stream of foam erupted from the other patrol car. The dense, oxygen-absorbing retardant blanket snuffed the fire out in three seconds. The cranes were still secured to the foam-covered heap of metal. “Never mind the caution,” Ben called out, “get it apart. Fast.”

Both crane operators slammed their controls into reverse and with an ear-splitting screech, the twisted frames of the two vehicles ripped apart into tumbled heaps of broken metal and plastics. Martin and Ferguson jumped down the hatch steps and into ankle-deep foam and oil. They waded and slipped around the front of the car to join the troopers from the other car.

Ferguson was pawing at the scum-covered foam near the mangled section of one of the cars. “He should be right about,” Clay paused and bent over, “here.” He straightened up as the others gathered around the scorched and ripped body of a man, half-submerged in the thick foam. “Kelly,” he called over the helmet transmitter, “open your door. We’ll need a couple of sacks.”

He trudged to the rear of the patrol car and met the girl standing in the door with a pair of folded plastic morgue bags in her hands. Behind her, Clay could see the body of the woman on the surgical table, an array of tubes and probes leading to plasma drip bottles and other equipment racked out over the table.

“How is she?”

“Not good,” Kelly replied. “Skull fracture, ruptured spleen, broken ribs and double leg fractures. I’ve already called for an ambulance.”

Ferguson nodded, took the bags from her and waded back through the foam.

The four troopers worked in the silence of the deserted traffic lane. A hundred yards away, traffic was moving steadily in the slow white lane. Three-quarters of a mile to the south, fast and ultra high traffic sped at its normal pace in the blue and yellow lanes. Westbound green was still being rerouted into the slower white lane, around the scene of the accident. It was now twenty-six minutes since Car 56 had received the accident call. The light snow flurries had turned to a steady fall of thick wet flakes, melting as they hit on the warm pavement but beginning to coat the pitiful flotsam of the accident.

The troopers finished the gruesome task of getting the bodies into the morgue sacks and laid beside the dispensary ramp for the ambulance to pick up with the surviving victim. Car 119’s MSO had joined Kelly in Beulah’s dispensary to give what help she might. The four patrol troopers began the grim task of probing the scattered wreckage for other possible victims, personal possessions and identification. They were stacking a small pile of hand luggage when the long, low bulk of the ambulance swung out of the police lane and rolled to a stop. Longer than the patrol cars but without the non-medical emergency facilities, the ambulance was in reality a mobile hospital. A full, scrubbed-up surgical team was waiting in the main operating room even as the ramps opened and the techs headed for Car 56. The team had been briefed by radio on the condition of the patient; had read the full recordings of the diagnostician; and were watching transmitted pulse and respiration graphs on their own screens while the transfer was being made.

The two women MSOs had unlocked the surgical table in Beulah’s dispensary and a plastic tent covered not only the table and the patient, but also the plasma and Regen racks overhead. The entire table and rig slid down the ramp onto a motor-driven dolly from the ambulance. Without delay, it wheeled across the open few feet of pavement into the ambulance and to the surgery room. The techs locked the table into place in the other vehicle and left the surgery. From a storage compartment, they wheeled out a fresh patrol dispensary table and rack and placed it in Kelly’s miniature surgery. The dead went into the morgue aboard the ambulance, the ramp closed and the ambulance swung around and headed across the traffic lanes to eastbound NAT-26 and Philadelphia.

Outside, the four troopers had completed the task of collecting what little information they could from the smashed vehicles.

They returned to their cars and One One Nine’s medical-surgical officer headed back to her own cubbyhole.

The other patrol car swung into position almost touching Beulah’s left flank. With Ben at the control seat, on command, both cars extended broad bulldozer blades from their bows. “Let’s go,” Ben ordered. The two patrol vehicles moved slowly down the roadway, pushing all of the scattered scraps and parts onto a single great heap. They backed off, shifted direction towards the center police lane and began shoving the debris, foam and snow out of the green lane. At the edge of the police lane, both cars unshipped cranes and magnalifted the junk over the divider barrier onto the one-hundred-foot-wide service strip bordering the police lane. A slow cargo wrecker was already on the way from Pittsburgh barracks to pick up the wreckage and haul it away. When the last of the metallic debris had been deposited off the traffic lane, Martin called Control.

“Car 56 is clear. NAT 26-west green is clear.”

Philly Control acknowledged. Seven miles to the east, the amber warning lights went dark and the detour barrier at Crossover 85 sank back into the roadway. Three minutes later, traffic was again flashing by on green lane past the two halted patrol cars.

“Pitt Control, this is Car 119 clear of accident,” the other car reported.

“Car 119 resume eastbound patrol,” came the reply.

The other patrol car pulled away. The two troopers waved at Martin and Ferguson in Beulah. “See you later and thanks,” Ben called out. He switched to intercom. “Kelly. Any ID on that woman?”

“Not a thing, Ben,” she replied. “About forty years old, and she had a wedding band. She never was conscious, so I can’t help you.”

Ben nodded and looked over at his partner. “Go get into some dry clothes, kid,” he said, “while I finish the report. Then you can take it for a while.”

Clay nodded and headed back to the crew quarters.

* * *

Ben racked his helmet beside his seat and fished out a cigarette. He reached for an accident report form from the work rack behind his seat and began writing, glancing up from time to time to gaze thoughtfully at the scene of the accident. When he had finished, he thumbed the radio transmitter and called Philly Control. Somewhere in the bloody, oil and foam covered pile of wreckage were the registration plates for the two vehicles involved. When the wrecker collected the debris, it would be machine sifted in Pittsburgh and the plates fed to records and then relayed to Philadelphia where the identifications could be added to Ben’s report. When he had finished reading his report he asked, “How’s the woman?”

“Still alive, but just barely,” Philly Control answered. “Ben, did you say there were just two vehicles involved?”

“That’s all we found,” Martin replied.

“And were they both in the green?”

“Yes, why?”

“That’s funny,” Philly controller replied, “we got the calls as a sideswipe in white that put one of the cars over into the green. There should have been a third vehicle.”

“That’s right,” Ben exclaimed. “We were so busy trying to get that gal out and then making the try for the other man I never even thought to look for another car. You suppose that guy took off?”

“It’s possible,” the controller said. “I’m calling a gate filter until we know for sure. I’ve got the car number on the driver that reported the accident. I’ll get hold of him and see if he can give us a lead on the third car. You go ahead with your patrol and I’ll let you know what I find out.”

“Affirmative,” Ben replied. He eased the patrol car onto the police lane and turned west once again. Clay reappeared in the cab, dressed in fresh coveralls. “I’ll take it, Ben. You go and clean up now. Kelly’s got a pot of fresh coffee in the galley.” Ferguson slid into his control seat.

A light skiff of snow covered the service strip and the dividers as Car 56 swung back westward in the red lane. Snow was falling steadily but melting as it touched the warm ferrophalt pavement in all lanes. The wet roadways glistened with the lights of hundreds of vehicles. The chronometer read 1840 hours. Clay pushed the car up to a steady 75, just about apace with the slowest traffic in the white lane. To the south, densities were much lighter in the blue and yellow lanes and even the green had thinned out. It would stay moderately light now for another hour until the dinner stops were over and the night travelers again rolled onto the thruways.

Kelly was putting frozen steaks into the infra-oven as Ben walked through to crew quarters. Her coverall sleeves were rolled to the elbows as she worked and a vagrant strand of copper hair curled over her forehead. As Martin passed by, he caught a faint whisper of perfume and he smiled appreciatively.

In the tiny crew quarters, he shut the door to the galley and stripped out of his wet coveralls and boots. He eyed the shower stall across the passageway.

“Hey, mother,” he yelled to Kelly, “have I got time for a shower before dinner?”

“Yes, but make it a quickie,” she called back.

Five minutes later he stepped into the galley, his dark, crew-cut hair still damp. Kelly was setting plastic, disposable dishes on the little swing-down table that doubled as a food bar and work desk. Ben peered into a simmering pot and sniffed. “Smells good. What’s for dinner, Hiawatha?”

“Nothing fancy. Steak, potatoes, green beans, apple pie and coffee.”

Ben’s mouth watered. “You know, sometimes I wonder whether one of your ancestors didn’t come out of New England. Your menus always seem to coincide with my ideas of a perfect meal.” He noted the two places set at the table. Ben glanced out the galley port into the headlight-striped darkness. Traffic was still light. In the distance, the night sky glowed with the lights of Chambersburg, north of the thruway.

“We might as well pull up for dinner,” he said. “It’s pretty slow out there.”

Kelly shoved dishes over and began laying out a third setting. About half the time on patrol, the crew ate in shifts on the go, with one of the patrol troopers in the cab at all times. When traffic permitted, they pulled off to the service strip and ate together. With the communications system always in service, control stations could reach them anywhere in the big vehicle.

The sergeant stepped into the cab and tapped Ferguson on the shoulder. “Dinnertime, Clay. Pull her over and we’ll try some of your gracious living.”

“Light the candles and pour the wine,” Clay quipped, “I’ll be with you in a second.”

Car 56 swung out to the edge of the police lane and slowed down. Clay eased the car onto the strip and stopped. He checked the radiodometer and called in. “Pitt Control, this is Car 56 at Marker 158. Dinner is being served in the dining car to the rear. Please do not disturb.”

“Affirmative, Car 56,” Pittsburgh Control responded. “Eat heartily, it may be going out of style.” Clay grinned and flipped the radio to remote and headed for the galley.

* * *

Seated around the little table, the trio cut into their steaks. Parked at the north edge of the police lane, the patrol car was just a few feet from the green lane divider strip and cars and cargo carriers flashed by as they ate.

Clay chewed on a sliver of steak and looked at Kelly. “I’d marry you, Pocahontas, if you’d ever learn to cook steaks like beef instead of curing them like your ancestral buffalo robes. When are you going to learn that good beef has to be bloody to be edible?”

The girl glared at him. “If that’s what it takes to make it edible, you’re going to be an epicurean delight in just about one second if I hear another word about my cooking. And that’s also the second crack about my noble ancestors in the past five minutes. I’ve always wondered about the surgical techniques my great-great-great grandpop used when he lifted a paleface’s hair. One more word, Clay Ferguson, and I’ll have your scalp flying from Beulah’s antenna like a coontail on a kid’s scooter.”

Ben bellowed and nearly choked. “Hey, kid,” he spluttered at Clay, “ever notice how the wrong one of her ancestors keeps coming to the surface? That was the Irish.”

Clay polished off the last of his steak and reached for the individual frozen pies Kelly had put in the oven with the steak. “Now that’s another point,” he said, waving his fork at Kelly. “The Irish lived so long on potatoes and prayers that when they get a piece of meat on their menu, they don’t know how to do anything but boil it.”

“That tears it,” the girl exploded. She pushed back from the table and stood up. “I’ve cooked the last meal this big, dumb Canuck will ever get from me. I hope you get chronic indigestion and then come crawling to me for help. I’ve got something back there I’ve been wanting to dose you with for a long time.”

She stormed out of the galley and slammed the door behind her. Ben grinned at the stunned look on Clay’s face. “Now what got her on the warpath?” Clay asked. Before Ben could answer the radio speaker in the ceiling came to life.

“Car 56 this is Pitt Control.”

Martin reached for the transmit switch beside the galley table. “This is Five Six, go ahead.”

“Relay from Philly Control,” the speaker blared. “Reference the accident at Marker 92 at 1648 hours this date; Philly Control reports a third vehicle definitely involved.”

Ben pulled out a pencil and Clay shoved a message pad across the table.

“James J. Newhall, address 3409 Glen Cove Drive, New York City, license number BHT 4591 dash 747 dash 1609, was witness to the initial impact. He reports that a white over green, late model Travelaire, with two men in it, sideswiped one of the two vehicles involved in the fatal accident. The Travelaire did not stop but accelerated after the impact. Newhall was unable to get the full license number but the first six units were QABR dash 46 . . . rest of numerals unknown.”

Ben cut in. “Have we got identification on our fatalities yet?”

“Affirmative, Five Six,” the radio replied. “The driver of the car struck by the hit-and-run was a Herman Lawrence Hanover, age forty-two, of 13460 One Hundred Eighty-First Street South, Camden, New Jersey, license number LFM 4151 dash 603 dash 2738. With him was his wife, Clara, age forty-one, same address. Driver of the green lane car was George R. Hamilton, age thirty-five, address Box 493, Route 12, Tucumcari, New Mexico.”

Ben broke in once more. “You indicate all three are fatalities. Is this correct, Pitt Control? The woman was alive when she was transferred to the ambulance.”

“Stand by, Five Six, and I’ll check.”

A moment later Pitt Control was back. “That is affirmative, Five Six. The woman died at 1745 hours. Here is additional information. A vehicle answering to the general description of the hit-and-run vehicle is believed to have been involved in an armed robbery and multiple murder earlier this date at Wilmington, Delaware. Philly Control is now checking for additional details. Gate filters have been established on NAT 26-West from Marker-Exit 100 to Marker-Exit 700. Also, filters on all interchanges. Pitt Control out.”

Kelly Lightfoot, her not-too-serious peeve forgotten, had come back into the galley to listen to the radio exchange. The men got up from the table and Clay gathered the disposable dishware and tossed them into the waste receiver.

“We’d better get rolling,” Ben said, “those clowns could still be on the thruway, although they could have got off before the filters went up.”

They moved to the cab and took their places. The big engines roared into action as Ben rolled Car 56 back onto the policeway. Kelly finished straightening up in the galley and then came forward to sit on the jump seat between the two troopers. The snow had stopped again but the roadways were still slick and glistening under the headlights. Beulah rolled steadily along on her broad tracks, now cruising at one hundred miles an hour. The steady whine of the cold night wind penetrated faintly into the sound-proofed and insulated cabin canopy. Clay cut out the cabin lights, leaving only the instrument panel glowing faintly along with the phosphorescent buttons and knobs on the arms of the control seats.

A heavy express cargo carrier flashed by a quarter of a mile away in the blue lane, its big bulk lit up like a Christmas tree with running and warning lights. To their right, Clay caught the first glimpse of a set of flashing amber warning lights coming up from behind in the green lane. A minute later, a huge cargo carrier came abreast of the patrol car and then pulled ahead. On its side was a glowing star of the United States Army. A minute later, another Army carrier rolled by.

“That’s the missile convoy out of Aberdeen,” Clay told Kelly. “I wish our hit-runner had tackled one of those babies. We’d have scraped him up instead of those other people.”

The convoy rolled on past at a steady one hundred twenty-five miles an hour. Car 56 flashed under a crossover and into a long, gentle curve. The chronometer clicked up to 2100 hours and the radio sang out. “Cars 207, 56 and 82, this is Pitt Control. 2100 hours density report follows . . .”

Pittsburgh Control read off the figures for the three cars. Car 82 was one hundred fifty miles ahead of Beulah, Car 207 about the same distance to the rear. The density report ended and a new voice came on the air.

“Attention all cars and all stations, this is Washington Criminal Control.” The new voice paused, and across the continent, troopers on every thruway, control station, checkpoint and relay block, reached for clipboard and pen.

“Washington Criminal Control continuing, all cars and all stations, special attention to all units east of the Mississippi. At 1510 hours this date, two men held up the First National Bank of Wilmington, Delaware, and escaped with an estimated one hundred seventy-five thousand dollars. A bank guard and two tellers, together with five bank customers were killed by these subjects using automatic weapon fire to make good their escape. They were observed leaving the scene in a late model, white-over-green Travelaire sedan, license unknown. A car of the same make, model and color was stolen from Annapolis, Maryland, a short time prior to the holdup. The stolen vehicle, now believed to be the getaway car, bears USN license number QABR dash 468 dash 1113 . . .”

“That’s our baby,” Ben murmured as he and Clay scribbled on their message forms.

” . . . Motor number ZB 1069432,” Washington Criminal Control continued. “This car is also now believed to have been involved in a hit-and-run fatal accident on NAT 26-West at Marker 92 at approximately 1648 hours this date.

“Subject Number One is described as WMA, twenty to twenty-five years, five feet, eleven inches tall, medium complexion, dark hair and eyes, wearing a dark-gray sports jacket and dark pants, and wearing a gray sports cap. He was wearing a ring with a large red stone on his left hand.

“Subject Number Two is described as WMA, twenty to twenty-five years, six feet, light, ruddy complexion and reddish brown hair, light colored eyes. Has scar on back left side of neck. Wearing light-brown suit, green shirt and dark tie, no hat.

“These subjects are believed to be armed and psychotically dangerous. If observed, approach with extreme caution and inform nearest control of contact. Both subjects now under multiple federal warrants charging bank robbery, murder and hit-and-run murder. All cars and stations acknowledge. Washington Criminal Control out.”

The air chattered as the cars checked into their nearest controls with “acknowledged.”

“This looks like it could be a long night,” Kelly said, rising to her feet. “I’m going to sack out. Call me if you need me.”

“Good night, princess,” Ben called.

“Hey, Hiawatha,” Clay called out as Kelly paused in the galley door. “I didn’t mean what I said about your steaks. Your great-great-great grandpop would have gone around with his bare scalp hanging out if he had had to use a buffalo hide cured like that steak was cooked.”

He reached back at the same instant and slammed the cabin door just as Kelly came charging back. She slammed into the door, screamed and then went storming back to the dispensary while Clay doubled over in laugher.

Ben smiled at his junior partner. “Boy, you’re gonna regret that. Don’t say I didn’t warn you.”

* * *

Martin turned control over to the younger trooper and relaxed in his seat to go over the APB from Washington. Car 56 bored steadily through the night. The thruway climbed easily up the slight grade cut through the hills north of Wheeling, West Virginia, and once more snow began falling.

Clay reached over and flipped on the video scanners. Four small screens, one for each of the westbound lanes, glowed with a soft red light. The monitors were synchronized with the radiodometer and changed view at every ten-mile marker. Viewing cameras mounted on towers between each lane, lined the thruway, aimed eastward at the on-coming traffic back to the next bank of cameras ten miles away. Infra-red circuits took over from standard scan at dark. A selector system in the cars gave the troopers the option of viewing either the block they were currently patrolling; the one ahead of the next ten-mile block; or, the one they had just passed. As a rule, the selection was based on the speed of the car. Beamed signals from each block automatically switched the view as the patrol car went past the towers. Clay put the slower lane screens on the block they were in, turned the blue and yellow lanes to the block ahead.

They rolled past the interchange with NAT 114-South out of Cleveland and the traffic densities picked up in all lanes as many of the southbound vehicles turned west on to NAT 26. The screens flicked and Clay came alert. Some fifteen miles ahead in the one-hundred-fifty-to-two-hundred-mile an hour blue lane, a glowing dot remained motionless in the middle of the lane and the other racing lights of the blue lane traffic were sheering around it like a racing river current parting around a boulder.

“Trouble,” he said to Martin, as he shoved forward on the throttle.

A stalled car in the middle of the highspeed lane was an invitation to disaster. The bull horn blared as Beulah leaped past the two hundred mile an hour mark and safety cocoons slid into place. Aft in the dispensary, Kelly was sealed into her bunk by a cocoon rolling out of the wall and encasing the hospital bed.

Car 56 slanted across the police lane with red lights flashing and edged into the traffic flow in the blue lane. The great, red winking lights and the emergency radio siren signal began clearing a path for the troopers. Vehicles began edging to both sides of the lane to shift to crossovers to the yellow or green lanes. Clay aimed Beulah at the motionless dot on the screen and eased back from the four-mile-a-minute speed. The patrol car slowed and the headlight picked up the stalled vehicle a mile ahead. The cocoons opened and Ben slipped on his work helmet and dropped down the steps to the side hatch. Clay brought Beulah to a halt a dozen yards directly to the rear of the stalled car, the great bulk of the patrol vehicle with its warning lights serving as a shield against any possible fuzzy-headed speeders that might not be observing the road.

As Martin reached for the door, the Wanted bulletin flashed through his head. “What make of car is that, Clay?”

“Old jalopy Tritan with some souped-up rigs. Probably kids,” the junior officer replied. “It looks O.K.”

Ben nodded and swung down out of the patrol car. He walked quickly to the other car, flashing his handlight on the side of the vehicle as he went up to the driver. The interior lights were on and inside, two obviously frightened young couples smiled with relief at the sight of the uniform coveralls. A freckled-faced teenager in a dinner jacket was in the driver’s seat and had the blister window open. He grinned up at Martin. “Boy, am I glad to see you, officer,” he said.

“What’s the problem?” Ben asked.

“I guess she blew an impeller,” the youth answered. “We were heading for a school dance at Cincinnati and she was boiling along like she was in orbit when blooey she just quit.”

Ben surveyed the old jet sedan. “What year is this clunker?” he asked. The kid told him. “You kids have been told not to use this lane for any vehicle that old.” He waved his hand in protest as the youngster started to tell him how many modifications he had made on the car. “It doesn’t make one bit of difference whether you’ve put a first-stage Moon booster on this wreck. It’s not supposed to be in the blue or yellow. And this thing probably shouldn’t have been allowed out of the white—or even on the thruway.”

The youngster flushed and bit his lip in embarrassment at the giggles from the two evening-frocked girls in the car.

“Well, let’s get you out of here.” Ben touched his throat mike. “Drop a light, Clay and then let’s haul this junk pile away.”

In the patrol car, Ferguson reached down beside his seat and tugged at a lever. From a recess in Beulah’s stern, a big portable red warning light dropped to the pavement. As it touched the surface, it automatically flashed to life, sending out a bright, flashing red warning signal into the face of any approaching traffic. Clay eased the patrol car around the stalled vehicle and then backed slow into position, guided by Martin’s radioed instructions. A tow-bar extruded from the back of the police vehicle and a magnaclamp locked onto the front end of the teenager’s car. The older officer walked back to the portable warning light and rolled it on its four wheels to the rear plate of the jalopy where another magnalock secured it to the car. Beulah’s two big rear warning lights still shone above the low silhouette of the passenger car, along with the mobile lamp on the jalopy. Martin walked back to the patrol car and climbed in.

He slid into his seat and nodded at Clay. The patrol car, with the disabled vehicle in tow moved forward and slanted left towards the police lane. Martin noted the mileage marker on the radiodometer and fingered the transmitter. “Chillicothe Control this is Car 56.”

“This Chillicothe. Go ahead Five Six.”

“We picked up some kids in a stalled heap on the blue at Marker 382 and we’ve got them in tow now,” Ben said. “Have a wrecker meet us and take them off our hands.”

“Affirmative, Five Six. Wrecker will pick you up at Marker 412.”

* * *

Clay headed the patrol car and its trailed load into an emergency entrance to the middle police lane and slowly rolled westward. The senior trooper reached into his records rack and pulled out a citation book.

“You going to nail these kids?” Clay asked.

“You’re damned right I am,” Martin replied, beginning to fill in the violation report. “I’d rather have this kid hurting in the pocketbook than dead. If we turn him loose, he’ll think he got away with it this time and try it again. The next time he might not be so lucky.”

“I suppose you’re right,” Clay said, “but it does seem a little rough.”

Ben swung around in his seat and surveyed his junior officer. “Sometimes I think you spent four years in the patrol academy with your head up your jet pipes,” he said. He fished out another cigarette and took a deep drag.

“You’ve had four solid years of law; three years of electronics and jet and air-drive engine mechanics and engineering; pre-med, psychology, math, English, Spanish and a smattering of Portuguese, to say nothing of dozens of other subjects. You graduated in the upper tenth of your class with a B.S. in both Transportation and Criminology which is why you’re riding patrol and not punching a computer or tinkering with an engine. You’d think with all that education that somewhere along the line you’d have learned to think with your head instead of your emotions.”

Clay kept a studied watch on the roadway. The minute Ben had turned and swung his legs over the side of the seat and pulled out a cigarette, Clay knew that it was school time in Car 56. Instructor Sergeant Ben Martin was in a lecturing mood. It was time for all good pupils to keep their big, fat mouths shut.

“Remember San Francisco de Borja?” Ben queried. Clay nodded. “And you still think I’m too rough on them?” Ben pressed.

Ferguson’s memory went back to last year’s fifth patrol. He and Ben with Kelly riding hospital, had been assigned to NAT 200-North, running out of Villahermosa on the Guatamalan border of Mexico to Edmonton Barracks in Canada. It was the second night of the patrol. Some seven hundred fifty miles north of Mexico City, near the town of San Francisco de Borja, a gang of teenage Mexican youngsters had gone roaring up the yellow at speeds touching on four hundred miles an hour. Their car, a beat-up, fifteen-year-old veteran of less speedy and much rockier local mountain roads, had been gimmicked by the kids so that it bore no resemblance to its original manufacture.

From a junkyard they had obtained a battered air lift, smashed almost beyond use in the crackup of a ten-thousand dollar sports cruiser. The kids pried, pounded and bent the twisted impeller lift blades back into some semblance of alignment. From another wreck of a cargo carrier came a pair of 4000-pound thrust engines. They had jury-rigged the entire mess so that it stuck together on the old heap. Then they hit the thruway—nine of them packed into the jalopy—the oldest one just seventeen years old. They were doing three hundred fifty when they flashed past the patrol car and Ben had roared off in pursuit. The senior officer whipped the big patrol car across the crowded high speed blue lane, jockeyed into the ultra-high yellow and then turned on the power.

By this time the kids realized they had been spotted and they cranked their makeshift power plant up to the last notch. The most they could get out of it was four hundred and it was doing just that as Car 56, clocking better than five hundred, pulled in behind them. The patrol car was still three hundred yards astern when one of the bent and re-bent impeller blades let go. The out-of-balance fan, turning at close to 35,000 rpm’s, flew to pieces and the air cushion vanished. At four hundred miles an hour, the body of the old jalopy fell the twelve inches to the pavement and both front wheels caved under. There was a momentary shower of sparks, then the entire vehicle snapped cart-wheeling more than eighty feet into the air and exploded. Pieces of car and bodies were scattered for a mile down the thruway and the only whole, identifiable human bodies were those of the three youngsters thrown out and sent hurtling to their deaths more than two hundred feet away.

Clay’s mind snapped back to the present.

“Write ’em up,” he said quietly to Martin. The senior officer gave a satisfied nod and turned back to his citation pad.

* * *

At marker 412, which was also the Columbus turnoff, a big patrol wrecker was parked on the side strip, engines idling, service and warning lights blinking. Clay pulled the patrol car alongside and stopped. He disconnected the tow bar and the two officers climbed out into the cold night air. They walked back to the teenager’s car. Clay went to the rear of the disabled car and unhooked the warning light while Martin went to the driver’s window. He had his citation book in hand. The youngster in the driver’s seat went white at the sight of the violation pad. “May I see your license, please,” Ben asked. The boy fumbled in a back pocket and then produced a thin, metallic tab with his name, age, address and license number etched into the indestructible and unalterable metal.

“Also your car registration,” Ben added. The youth unclipped a similar metal strip from the dashboard.

The trooper took the two tabs and walked to the rear of the patrol car. He slid back to a panel to reveal two thin slots in the hull. Martin slid the driver’s license into one of the slots, the registration tab into the other. He pressed a button below each slot. Inside the car, a magnetic reader and auto-transmitter “scanned” the magnetic symbols implanted in the tags. The information was fed instantly to Continental Headquarters Records division at Colorado Springs. In fractions of a second, the great computers at Records were comparing the information on the tags with all previous traffic citations issued anywhere in the North American continent in the past forty-five years since the birth of the Patrol. The information from the driver’s license and registration tab had been relayed from Beulah via the nearest patrol relay point. The answer came back the same way.

Above the license recording slot were two small lights. The first flashed green, “license is in order and valid.” The second flashed green as well, “no previous citations.” Ben withdrew the tag from the slot. Had the first light come on red, he would have placed the driver under arrest immediately. Had the second light turned amber, it would have indicated a previous minor violation. This, Ben would have noted on the new citation. If the second light had been red, this would have meant either a major previous violation or more than one minor citation. Again, the driver would have been under immediate arrest. The law was mandatory. One big strike and you’re out—two foul tips and the same story. And “out” meant just that. Fines, possibly jail or prison sentence and lifetime revocation of driving privileges.

Ben flipped the car registration slot to “stand-by” and went back to the teenager’s car. Even though they were parked on the service strip of the police emergency lane, out of all traffic, the youngsters stayed in the car. This one point of the law they knew and knew well. Survival chances were dim anytime something went wrong on the highspeed thruways. That little margin of luck vanished once outside the not-too-much-better security of the vehicle body.

Martin finished writing and then slipped the driver’s license into a pocket worked into the back of the metallic paper foil of the citation blank. He handed the pad into the window to the driver together with a carbon stylus.

The boy’s lip trembled and he signed the citation with a shaky hand.

Ben ripped off the citation blank and license, fed them into the slot on the patrol car and pressed both the car registration and license “record” buttons. Ten seconds later the permanent record of the citation was on file in Colorado Springs and a duplicate recording of the action was in the Continental traffic court docket recorder nearest to the driver’s hometown. Now, no power in three nations could “fix” that ticket. Ben withdrew the citation and registration tag and walked back to the car. He handed the boy the license and registration tab, together with a copy of the citation. Ben bent down to peer into the car.

“I made it as light on you as I could,” he told the young diver. “You’re charged with improper use of the thruway. That’s a minor violation. By rights, I should have cited you for illegal usage.” He looked around slowly at each of the young people. “You look like nice kids,” he said. “I think you’ll grow up to be nice people. I want you around long enough to be able to vote in a few years. Who knows, maybe I’ll be running for president then and I’ll need your votes. It’s a cinch that falling apart in the middle of two-hundred-mile an hour traffic is no way to treat future voters.

“Good night, Kids.” He smiled and walked away from the car. The three young passengers smiled back at Ben. The young driver just stared unhappily at the citation.

Clay stood talking with the wrecker crewmen. Ben nodded to him and mounted into the patrol car. The young Canadian crushed out his cigarette and swung up behind the sergeant. Clay went to the control seat when he saw Martin pause in the door to the galley.

“I’m going to get a cup of coffee,” the older officer said, “and then take the first shift. You keep Beulah ’til I get back.”

Clay nodded and pushed the throttles forward. Car 56 rolled back into the police lane while behind it, the wrecker hooked onto the disabled car and swung north into the crossover. Clay checked both the chronometer and the radiodometer and then reported in. “Cinncy Control this is Car 56 back in service.” Cincinnati Control acknowledged.

Ten minute later, Ben reappeared in the cab, slid into the left-hand seat. “Hit the sack, kid,” he told Ferguson. The chronometer read 2204. “I’ll wake you at midnight—or sooner, if anything breaks.”

Ferguson stood up and stretched, then went into the galley. He poured himself a cup of coffee and carrying it with him, went back to the crew quarters. He closed the door to the galley and sat down on the lower bunk to sip his coffee. When he had finished, he tossed the cup into the basket, reached and dimmed the cubby lights and kicked off his boots. Still in his coveralls, Clay stretched out on the bunk and sighed luxuriously. He reached up and pressed a switch on the bulkhead above his pillow and the muted sounds of music from a standard broadcast commercial station drifted into the bunk area. Clay closed his eyes and let the sounds of the music and the muted rumble of the engines lull him to sleep. It took almost fifteen seconds for him to be in deep slumber.

* * *

Ben pushed Beulah up to her steady seventy-five-mile-an-hour cruising speed, moved to the center of the quarter-mile-wide police lane and locked her tracks into autodrive. He relaxed back in his seat and divided his gaze between the video monitors and the actual scene on either side of him in the night. Once again the sky was lighted, this time much brighter on the horizon as the roadways swept to the south of Cincinnati.

Traffic was once again heavy and fast with the blue and green carrying almost equal loads while white was really crowded and even the yellow “zoom” lane was beginning to fill. The 2200 hour density reports from Cinncy had been given before the Ohio State-Cal football game traffic had hit the thruways and densities now were peaking near twenty thousand vehicles for the one-hundred-mile block of westbound NAT 26 out of Cincinnati.

Back to the east, near the eastern Ohio state line, Martin could hear Car 207 calling for a wrecker and meat wagon. Beulah rumbled on through the night. The video monitors flicked to the next ten-mile stretch as the patrol car rolled past another interchange. More vehicles streamed onto the westbound thruways, crossing over and dropping down into the same lanes they held coming out of the north-south road. Seven years on patrols had created automatic reflexes in the trooper sergeant. Out of the mass of cars and cargoes streaming along the rushing tide of traffic, his eye picked out the track of one vehicle slanting across the white lane just a shade faster than the flow of traffic. The vehicle was still four or five miles ahead. It wasn’t enough out of the ordinary to cause more than a second, almost unconscious glance, on the part of the veteran officer. He kept his view shifting from screen to screen and out to the sides of the car.

But the reflexes took hold again as his eye caught the track of the same vehicle as it hit the crossover from white to green, squeezed into the faster lane and continued its sloping run towards the next faster crossover. Now Martin followed the movement of the car almost constantly. The moving blip had made the cutover across the half-mile wide green lane in the span of one crossover and was now whipping into the merger lane that would take it over the top of the police lane and drop down into the one hundred fifty to two hundred mile an hour blue. If the object of his scrutiny straightened out in the blue, he’d let it go. The driver had been bordered on violation in his fast crossover in the face of heavy traffic. If he kept it up in the now-crowded high-speed lane, he was asking for sudden death. The monitors flicked to the next block and Ben waited just long enough to see the speeding car make a move to the left, cutting in front of a speeding cargo carrier. Ben slammed Beulah into high. Once again the bull horn blared as the cocoons slammed shut, this time locking both Clay and Kelly into their bunks, sealing Ben into the control seat.

Beulah lifted on her air cushion and the twin jets roared as she accelerated down the police lane at three hundred miles an hour. Ben closed the gap on the speeder in less than a minute and then edged over to the south side of the police lane to make the jump into the blue lane. The red emergency lights and the radio siren had already cleared a hole for him in the traffic pattern and he eased back on the finger throttles as the patrol car sailed over the divider and into the blue traffic lane. Now he had eyeball contact with the speeding car, still edging over towards the ultra-high lane. On either side of the patrol car traffic gave way, falling back or moving to the left and right. Car 56 was now directly behind the speeding passenger vehicle. Ben fingered the cut-in switch that put his voice signal onto the standard vehicular emergency frequency—the band that carried the automatic siren-warning to all vehicles.

* * *

The patrol car was still hitting above the two-hundred-mile-an-hour mark and was five hundred feet behind the speeder. The headlamp bathed the other car in a white glare, punctuated with angry red flashes from the emergency lights.

“You are directed to halt or be fired upon,” Ben’s voice roared out over the emergency frequency. Almost without warning, the speeding car began braking down with such deceleration that the gargantuan patrol car with its greater mass came close to smashing over it and crushing the small passenger vehicle like an insect. Ben cut all forward power, punched up full retrojet and at the instant he felt Beulah’s tracks touch the pavement as the air cushion blew, he slammed on the brakes. Only the safety cocoon kept Martin from being hurled against the instrument panel and in their bunks, Kelly Lightfoot and Clay Ferguson felt their insides dragging down into their legs.

The safety cocoons snapped open and Clay jumped into his boots and leaped for the cab. “Speeder,” Ben snapped as he jumped down the steps to the side hatch. Ferguson snatched up his helmet from the rack beside his seat and leaped down to join his partner. Ben ran up to the stopped car through a thick haze of smoke from the retrojets of the patrol car and the friction-burning braking of both vehicles. Ferguson circled to the other side of the car. As they flashed their handlights into the car, they saw the driver of the car kneeling on the floor beside the reclined passenger seat. A woman lay stretched out on the seat, twisting in pain. The man raised an agonized face to the officers. “My wife’s going to have her baby right here!”

“Kelly,” Ben yelled into his helmet transmitter. “Maternity!”

The dispensary ramp was halfway down before Ben had finished calling. Kelly jumped to the ground and sprinted around the corner of the patrol car, medical bag in hand.

She shoved Clay out of the way and opened the door on the passenger side. On the seat, the woman moaned and then muffled a scream. The patrol doctor laid her palm on the distended belly. “How fast are your pains coming?” she asked. Clay and Ben had moved away from the car a few feet.

“Litter,” Kelly snapped over her shoulder. Clay raced for the patrol car while Ben unshipped a portable warning light and rolled it down the lane behind the patrol car. He flipped it to amber “caution” and “pass.” Blinking amber arrows pointed to the left and right of the halted passenger vehicle and traffic in the blue lane began picking up speed and parting around the obstructions.

By the time he returned to the patrol car, Kelly had the expectant mother in the dispensary. She slammed the door in the faces of the three men and then she went to work.

The woman’s husband slumped against the side of the patrol vehicle.

Ben dug out his pack of cigarettes and handed one to the shaking driver.

He waited until the man had taken a few drags before speaking.

“Mister, I don’t know if you realize it or not but you came close to killing your wife, your baby and yourself,” Ben said softly, “to say nothing of the possibility of killing several other families. Just what did you think you were doing?”

The driver’s shoulders sagged and his hand shook as he took the cigarette from his mouth. “Honestly, officer, I don’t know. I just got frightened to death,” he said. He peered up at Martin. “This is our first baby, you see, and Ellen wasn’t due for another week. We thought it would be all right to visit my folks in Cleveland and Ellen was feeling just fine. Well, anyway, we started home tonight—we live in Jefferson City—and just about the time I got on the thruway, Ellen started having pains. I was never so scared in my life. She screamed once and then tried to muffle them but I knew what was happening and all I could think of was to get her to a hospital. I guess I went out of my head, what with her moaning and the traffic and everything. The only place I could think of that had a hospital was Evansville, and I was going to get her there come hell or high water.” The young man tossed away the half-smoked cigarette and looked up at the closed dispensary door. “Do you think she’s all right?”

Ben sighed resignedly and put his hand on the man’s shoulder. “Don’t you worry a bit. She’s got one of the best doctors in the continent in there with her. Come on.” He took the husband by the arm and led him around to the patrol car cab hatch. “You climb up there and sit down. I’ll be with you in a second.”

The senior officer signaled to Ferguson. “Let’s get his car out of the traffic, Clay,” he directed. “You drive it.”

* * *

Ben went back and retrieved the caution blinker and re-racked it in the side of the patrol car, then climbed up into the cab. He took his seat at the controls and indicated the jump seat next to him. “Sit down, son. We’re going to get us and your car out of this mess before we all get clobbered.”

He flicked the headlamp at Ferguson in the control seat of the passenger car and the two vehicles moved out. Ben kept the emergency lights on while they eased carefully cross-stream to the north and the safety of the police lane. Clay picked up speed at the outer edge of the blue lane and rolled along until he reached the first “patrol only” entrance through the divider to the service strip. Ben followed him in and then turned off the red blinkers and brought the patrol car to a halt behind the other vehicle.

The worried husband stood up and looked to the rear of the car. “What’s making it so long?” he asked anxiously. “They’ve been in there a long time.”

Ben smiled. “Sit down, son. These things take time. Don’t you worry. If there were anything wrong, Kelly would let us know. She can talk to us on the intercom anytime she wants anything.”

The man sat back down. “What’s your name?” Ben inquired.

“Haverstraw,” the husband replied distractedly, “George Haverstraw. I’m an accountant. That’s my wife back there,” he cried, pointing to the closed galley door. “That’s Ellen.”

“I know,” Ben said gently. “You told us that.”

Clay had come back to the patrol car and dropped into his seat across from the young husband. “Got a name picked out for the baby?” he asked.

Haverstraw’s face lighted. “Oh, yes,” he exclaimed. “If it’s a boy, we’re going to call him Harmon Pierce Haverstraw. That was my grandfather’s name. And if she’s a girl, it’s going to be Caroline May after Ellen’s mother and grandmother.”

The intercom came to life. “Anyone up there?” Kelly’s voice asked. Before they could answer, the wail of a baby sounded over the system. Haverstraw yelled.

“Congratulations, Mr. Haverstraw,” Kelly said, “you’ve got a fine-looking son.”

“Hey,” the happy young father yelped, “hey, how about that? I’ve got a son.” He pounded the two grinning troopers on the back. Suddenly he froze. “What about Ellen? How’s Ellen?” he called out.

“She’s just fine,” Kelly replied. “We’ll let you in here in a couple of minutes but we’ve got to get us gals and your new son looking pretty for papa. Just relax.”

Haverstraw sank down onto the jump seat with a happy dazed look on his face.

Ben smiled and reached for the radio. “I guess our newest citizen deserves a ride in style,” he said. “We’re going to have to transfer Mrs. Haverstraw and er, oh yes, Master Harmon Pierce to an ambulance and then to a hospital now, George. You have any preference on where they go?”

“Gosh, no,” the man replied. “I guess the closest one to wherever we are.” He paused thoughtfully. “Just where are we? I’ve lost all sense of distance or time or anything else.”

Ben looked at the radiodometer. “We’re just about due south of Indianapolis. How would that be?”

“Oh, that’s fine,” Haverstraw replied.

“You can come back now, Mr. Haverstraw,” Kelly called out. Haverstraw jumped up. Clay got up with him. “Come on, papa,” he grinned, “I’ll show you the way.”

Ben smiled and then called into Indianapolis Control for an ambulance.

“Ambulance on the way,” Control replied. “Don’t you need a wrecker, too, Five Six?”

Ben grinned. “Not this time. We didn’t lose one. We gained one.”

He got up and went back to have a look at Harmon Pierce Haverstraw, age five minutes, temporary address, North American Continental Thruway 26-West, Mile Marker 632.

Five minutes later, mother and baby were in the ambulance heading north to the hospital. Haverstraw, calmed down with a sedative administered by Kelly, had nearly wrung their hands off in gratitude as he said good-by.

“I’ll mail you all cigars when I get home,” he shouted as he waved and climbed into his car.

Beulah’s trio watched the new father ease carefully into the traffic as the ambulance headed down the police-way. Haverstraw would have to cut over to the next exchange and then go north to Indianapolis. He’d arrive later than his family. This time, he was the very picture of careful driving and caution as he threaded his way across the green.

“I wonder if he knows what brand of cigars I smoke?” Kelly mused.

* * *

The chrono clicked up to 2335 as Car 56 resumed patrol. Kelly plumped down onto the jump seat beside Ben. Clay was fiddling in the galley. “Why don’t you go back to the sack?” Ben called.

“What, for a lousy twenty-five minutes,” Clay replied. “I had a good nap before you turned the burners up to high. Besides, I’m hungry. Anyone else want a snack?”

Ben shook his head. “No, thanks,” Kelly said. Ferguson finished slapping together a sandwich. Munching on it, he headed into the engine room to make the midnight check. Car 56 had now been on patrol eight hours. Only two hundred thirty-two hours and two thousand miles to go.

Kelly looked around at the departing back of the younger trooper. “I’ll bet this is the only car in NorCon that has to stock twenty days of groceries for a ten-day patrol,” she said.

Ben chuckled. “He’s still a growing boy.”

“Well, if he is, it’s all between the ears,” the girl replied. “You’d think that after a year I would have realized that nothing could penetrate that thick Canuck’s skull. He gets me so mad sometimes that I want to forget I’m a lady.” She paused thoughtfully. “Come to think of it. No one ever accused me of being a lady in the first place.”

“Sounds like love,” Ben smiled.

Hunched over on the jump seat with her elbows on her knees and her chin cupped in both hands, Kelly gave the senior officer a quizzical sideways look.

Ben was watching his monitors and missed the glance. Kelly sighed and stared out into the light streaked night of the thruway. The heavy surge of football traffic had distributed itself into the general flow on the road and while all lanes were busy, there were no indications of any overcrowding or jam-ups. Much of the pattern was shifting from passenger to cargo vehicle as it neared midnight. The football crowds were filtering off at each exchange and exit and the California fans had worked into the blue and yellow—mostly the yellow—for the long trip home. The fewer passenger cars on the thruway and the increase in cargo carriers gave the troopers a breathing spell. The men in the control buckets of the three hundred and four hundred-ton cargo vehicles were the real pros of the thruways; careful, courteous and fast. The NorCon patrol cars could settle down to watch out for the occasional nuts and drunks that might bring disaster.

Once again, Martin had the patrol car on auto drive in the center of the police lane and he steeled back in his seat. Beside him, Kelly stared moodily into the night.

“How come you’ve never married, Ben?” she asked. The senior trooper gave her a startled look. “Why, I guess for the same reason you’re still a maiden,” he answered. “This just doesn’t seem to be the right kind of a job for a married man.”

Kelly shook her head. “No, it’s not the same thing with me,” she said. “At least, not entirely the same thing. If I got married, I’d have to quit the Patrol and you wouldn’t. And secondly, if you must know the truth, I’ve never been asked.”

Ben looked thoughtfully at the copper-haired Irish-Indian girl. All of a sudden she seemed to have changed in his eyes. He shook his head and turned back to the road monitors.

“I just don’t think that a patrol trooper has any business getting married and trying to keep a marriage happy and make a home for a family thirty days out of every three hundred sixty, with an occasional weekend home if you’re lucky enough to draw your hometown for a terminal point. This might help the population rate but it sure doesn’t do anything for the institution of matrimony.”

“I know some troopers that are married,” Kelly said.

“But there aren’t very many,” Ben countered. “Comes the time they pull me off the cars and stick me behind a desk somewhere, then I’ll think about it.”

“You might be too old by then,” Kelly murmured.

Ben grinned. “You sound as though you’re worried about it,” he said.

“No,” Kelly replied softly, “no, I’m not worried about it. Just thinking.” She averted her eyes and looked out into the night again. “I wonder what NorCon would do with a husband-wife team?” she murmured, almost to herself.

Ben looked sharply at her and frowned. “Why, they’d probably split them up,” he said.

* * *

“Split what up?” Clay inquired, standing in the door of the cab.

“Split up all troopers named Clay Ferguson,” Kelly said disgustedly, “and use them for firewood—especially the heads. They say that hardwood burns long and leaves a fine ash. And that’s what you’ve been for years.”

She sat erect in the jump seat and looked sourly at the young trooper.

Clay shuddered at the pun and squeezed by the girl to get to his seat. “I’ll take it now, pop,” he said. “Go get your geriatrics treatment.”

Ben got out of his seat with a snort. “I’ll ‘pop’ you, skinhead,” he snapped. “You may be eight years younger than I am but you only have one third the virility and one tenth the brains. And eight years from now you’ll still be in deficit spending on both counts.”

“Careful, venerable lord of my destiny,” Clay admonished with a grin, “remember how I spent my vacation and remember how you spent yours before you go making unsubstantiated statements about my virility.”

Kelly stood up. “If you two will excuse me, I’ll go back to the dispensary and take a good jolt of male hormones and then we can come back and finish this man-to-man talk in good locker room company.”

“Don’t you dare,” Ben cried. “I wouldn’t let you tamper with one single, tiny one of your feminine traits, princess. I like you just the way you are.”

Kelly looked at him with a wide-eyed, cherubic smile. “You really mean that, Ben?”

The older trooper flushed briefly and then turned quickly into the galley. “I’m going to try for some shut-eye. Wake me at two, Clay, if nothing else breaks.” He turned to Kelly who was still smiling at him. “And watch out for that lascivious young goat.”

“It’s all just talk, talk, talk,” she said scornfully. “You go to bed, Ben. I’m going to try something new in psychiatric annals. I’m going to try and psychoanalyze a dummy.” She sat back down on the jump seat.

At 2400 hours it was Vincennes Check with the density reports, all down in the past hour. The patrol was settling into what looked like a quiet night routine. Kelly chatted with Ferguson for another half hour and then rose again. “I think I’ll try to get some sleep,” she said. “I’ll put on a fresh pot of coffee for you two before I turn in.”

She rattled around in the galley for some time. “Whatcha cooking?” Clay called out. “Making coffee,” Kelly replied.

“It take all that time to make coffee?” Clay queried.

“No,” she said. “I’m also getting a few things ready so we can have a fast breakfast in case we have to eat on the run. I’m just about through now.”

A couple of minutes later she stuck her head into the cab. “Coffee’s done. Want some?”

Clay nodded. “Please, princess.”

She poured him a cup and set it in the rack beside his seat.

“Thanks,” Clay said. “Good night, Hiawatha.”

“Good night, Babe,” she replied.

“You mean ‘Paul Bunyon,’ don’t you?” Clay asked. “‘Babe’ was his blue ox.”

“I know what I said,” Kelly retorted and strolled back to the dispensary. As she passed through the crew cubby, she glanced at Ben sleeping on the bunk recently vacated by Ferguson. She paused and carefully and gently pulled a blanket up over his sleeping form. She smiled down at the trooper and then went softly to her compartment.

In the cab, Clay sipped at his coffee and kept watchful eyes on the video monitors. Beulah was back on auto drive and Clay had dropped her speed to a slow fifty as the traffic thinned.

At 0200 hours he left the cab long enough to go back and shake Ben awake and was himself re-awakened at 0400 to take back control. He let Ben sleep an extra hour before routing him out of the bunk again at 0700. The thin, gray light of the winter morning was just taking hold when Ben came back into the cab. Clay had pulled Beulah off to the service strip and was stopped while he finished transcribing his scribbled notes from the 0700 Washington Criminal Control broadcast.

Ben ran his hand sleepily over his close-cropped head. “Anything exciting?” he asked with a yawn. Clay shook his head. “Same old thing. ‘All cars exercise special vigilance over illegal crossovers. Keep all lanes within legal speed limits.’ Same old noise.”

“Anything new on our hit-runner?”

“Nope.”

“Good morning, knights of the open road,” Kelly said from the galley door. “Obviously you both went to sleep after I left and allowed our helpless citizens to slaughter each other.”

“How do you figure that one?” Ben laughed.

“Oh, it’s very simple,” she replied. “I managed to get in a full seven hours of sleep. When you sleep, I sleep. I slept. Ergo, you did likewise.”

“Nope,” Clay said, “for once we had a really quiet night. Let’s hope the day is of like disposition.”

Kelly began laying out the breakfast things. “You guys want eggs this morning?”

“You gonna cook again today?” Clay inquired.

“Only breakfast,” Kelly said. “You have the honors for the rest of the day. The diner is now open and we’re taking orders.”

“I’ll have mine over easy,” Ben said. “Make mine sunny-up,” Clay called.

Kelly began breaking eggs into the pan, muttering to herself. “Over easy, sunny-up, I like ’em scrambled. Next tour I take I’m going to get on a team where everyone likes scrambled eggs.”

A few minutes later, Beulah’s crew sat down to breakfast. Ben had just dipped into his egg yolk when the radio blared. “Attention all cars. Special attention Cars 207, 56 and 82.”

“Just once,” Ben said, “just once, I want to sit down to a meal and get it all down my gullet before that radio gives me indigestion.” He laid down his fork and reached for the message pad.

The radio broadcast continued. “A late model, white over green Travelaire, containing two men and believed to be the subjects wanted in earlier broadcast on murder, robbery and hit-run murder, was involved in a service station robbery and murder at Vandalia, Illinois, at approximately 0710 this date. NorCon Criminal Division believes this subject car escaped filter check and left NAT 26-West sometime during the night.

“Owner of this stolen vehicle states it had only half tanks of fuel at the time it was taken. This would indicate wanted subjects stopped for fuel. It is further believed they were recognized by the station attendant from video bulletins sent out by this department last date and that he was shot and killed to prevent giving alarm.

“The shots alerted residents of the area and the subject car was last seen headed south. This vehicle may attempt to regain access to NAT 26-West or it may take another thruway. All units are warned once again to approach this vehicle with extreme caution and only with the assistance of another unit where possible. Acknowledge. Washington Criminal Control out.”

Ben looked at the chrono. “They hit Vandalia at 0710, eh. Even in the yellow they couldn’t get this far for another half hour. Let’s finish breakfast. It may be a long time until lunch.”

The crew returned to their meal. While Kelly was cleaning up after breakfast, Clay ran the quick morning engine room check. In the cab, Ben opened the arms rack and brought out two machine pistols and belts. He checked them for loads and laid one on Clay’s control seat. He strapped the other around his waist. Then he flipped up a cover in the front panel of the cab. It exposed the breech mechanisms of a pair of twin-mounted 25 mm auto-cannon. The ammunition loads were full. Satisfied, Ben shut the inspection port and climbed into his seat. Clay came forward, saw the machine pistol on his seat and strapped it on without a word. He settled himself in his seat. “Engine room check is all green. Let’s go rabbit hunting.”

Car 56 moved slowly out into the police lane. Both troopers had their individual sets of video monitors on in front of their seats and were watching them intently. In the growing light of day, a white-topped car was going to be easy to spot.

* * *

It had all the earmarks of being another wintry, overcast day. The outside temperature at 0800 was right on the twenty-nine-degree mark and the threat of more snow remained in the air. The 0800 density reports from St. Louis Control were below the 14,000 mark in all lanes in the one-hundred-mile block west of the city. That was to be expected. They listened to the eastbound densities peaking at twenty-six thousand vehicles in the same block, all heading into the metropolis and their jobs. The 0800, 1200 and 1600 hours density reports also carried the weather forecasts for a five-hundred-mile radius from the broadcasting control point. Decreasing temperatures with light to moderate snow was in the works for Car 56 for the first couple of hundred miles west of St. Louis, turning to almost blizzard conditions in central Kansas. Extra units had already been put into service on all thruways through the Midwest and snow-burners were waging a losing battle from Wichita west to the Rockies around Alamosa, Colorado.

Outside the temperature was below freezing; inside the patrol car it was a comfortable sixty-eight degrees. Kelly had cleaned the galley and taken her place on the jump seat between the two troopers. With all three of them in the cab, Ben cut from the intercom to commercial broadcast to catch the early morning newscasts and some pleasant music. The patrol vehicle glided along at a leisurely sixty miles an hour. An hour out of St. Louis, a big liquid cargo carrier was stopped on the inner edge of the green lane against the divider to the police lane. The trucker had dropped both warning barriers and lights a half mile back. Ben brought Beulah to a halt across the divider from the stopped carrier. “Dropped a track pin,” the driver called out to the officers.

Ben backed Beulah across the divider behind the stalled carrier to give them protection while they tried to assist the stalled vehicle.

Donning work helmets to maintain contact with the patrol car, and its remote radio system, the two troopers dismounted and went to see what needed fixing. Kelly drifted back to the dispensary and stretched out on one of the hospital bunks and picked up a new novel.

Beulah’s well-equipped machine shop stock room produced a matching pin and it was merely a matter of lifting the stalled carrier and driving it into place in the track assembly. Ben brought the patrol car alongside the carrier and unshipped the crane. Twenty minutes later, Clay and the carrier driver had the new part installed and the tanker was on his way once again.

Clay climbed into the cab and surveyed his grease-stained uniform coveralls and filthy hands. “Your nose is smudged, too, dearie,” Martin observed.

Clay grinned, “I’m going to shower and change clothes. Try and see if you can drive this thing until I get back without increasing the pedestrian fatality rate.” He ducked back into the crew cubby and stripped his coveralls.

Bored with her book, Kelly wandered back to the cab and took Clay’s vacant control seat. The snow had started falling again and in the mid-morning light it tended to soften the harsh, utilitarian landscape of the broad thruway stretching ahead to infinity and spreading out in a mile of speeding traffic on either hand.

“Attention all cars on NAT 26-West and East,” Washington Criminal Control radio blared. “Special attention Cars 56 and 82. Suspect vehicle, white over green Travelaire reported re-entered NAT 26-West on St. Louis interchange 179. St. Louis Control reports communications difficulty in delayed report. Vehicle now believed . . .”

“Car 56, Car 56,” St. Louis Control broke in. “Our pigeon is in your zone. Commercial carrier reports near miss sideswipe three minutes ago in blue lane approximately three miles west of mile Marker 957.

“Repeating. Car 56, suspect car—”

Ben glanced at the radiodometer. It read 969, then clicked to 970.

“This is Five Six, St. Louis,” he broke in, “acknowledged. Our position is mile marker 970 . . .”

Kelly had been glued to the video monitors since the first of the bulletin. Suddenly she screamed and banged Ben on the shoulder. “There they are. There they are,” she cried, pointing at the blue lane monitor.

Martin took one look at the white-topped car cutting through traffic in the blue lane and slammed Beulah into high. The safety cocoons slammed shut almost on the first notes of the bull horn. Trapped in the shower, Clay was locked into the stall dripping wet as the water automatically shut off with the movement of the cocoon.

* * *

“I have them in sight,” Ben reported, as the patrol car lifted on its air pad and leaped forward. “They’re in the blue five miles ahead of me and cutting over to the yellow. I estimate their speed at two twenty-five. I am in pursuit.”

Traffic gave way as Car 56 hurtled the divider into the blue.

The radio continued to snap orders.

“Cars 112, 206, 76 and 93 establish roadblocks at mile marker crossover 1032. Car 82 divert all blue and yellow to green and white.”

Eight Two was one hundred fifty miles ahead but at three-hundred-mile-an-hour speeds, 82’s team was very much a part of the operation. This would clear the two high-speed lanes if the suspect car hadn’t been caught sooner.

“Cars 414, 227 and 290 in NAT-26-East, move into the yellow to cover in case our pigeon decides to fly the median.” The controller continued to move cars into covering positions in the area on all crossovers and turnoffs. The sweating dispatcher looked at his lighted map board and mentally cursed the lack of enough units to cover every exit. State and local authorities already had been notified in the event the fugitives left the thruways and tried to escape on a state freeway.

In Car 56, Ben kept the patrol car roaring down the blue lane through the speeding westbound traffic. The standard emergency signal was doing a partial job of clearing the path, but at those speeds, driver reaction times weren’t always fast enough. Ahead, the fleeing suspect car brushed against a light sedan, sending it careening and rocking across the lane. The driver fought for control as it swerved and screeched on its tilting frame. He brought it to a halt amid a haze of blue smoke from burning brakes and bent metal. The white over green Travelaire never slowed, fighting its way out of the blue into the ultra-high yellow and lighter traffic. Ben kept Beulah in bulldog pursuit.

The sideswipe ahead had sent other cars veering in panic and a cluster inadvertently bunched up in the path of the roaring patrol car. Like a flock of hawk-frightened chickens, they tried to scatter as they saw and heard the massive police vehicle bearing down on them. But like chickens, they couldn’t decide which way to run. It was a matter of five or six seconds before they parted enough to let the patrol car through. Ben had no choice but to cut the throttle and punch once on the retrojets to brake the hurtling patrol car. The momentary drops in speed unlocked the safety cocoons and in an instant, Clay had leaped from the shower stall and sped to the cab. Hearing, rather than seeing his partner, Martin snapped over his shoulder, “Unrack the rifles. That’s the car.” Clay reached for the gun rack at the rear of the cab.

Kelly took one look at the young trooper and jumped for the doorway to the galley. A second later she was back. Without a word, she handed the nude Ferguson a dangling pair of uniform coveralls. Clay gasped, dropped the rifles and grabbed the coveralls from her hand and clutched them to his figure. His face was beet-red. Still without speaking, Kelly turned and ran back to her dispensary to be ready for the next acceleration.

Clay was into the coveralls and in his seat almost at the instant Martin whipped the patrol car through the hole in the blue traffic and shoved her into high once more.

There was no question about the fact that the occupants of the fugitive car knew they were being pursued. They shot through the crossover into the yellow lane and now were hurtling down the thruway close to the four-hundred-mile-an-hour mark.

Martin had Beulah riding just under three hundred to make the crossover, still ten miles behind the suspect car and following on video monitor. The air still crackled with commands as St. Louis and Washington Control maneuvered other cars into position as the pursuit went westward past other units blocking exit routes.

Clay read aloud the radiodometer numerals as they clicked off a mile every nine seconds. Car 56 roared into the yellow and the instant Ben had it straightened out, he slammed all finger throttles to full power. Beulah snapped forward and even at three hundred miles an hour, the sudden acceleration pasted the car’s crew against the backs of their cushioned seats. The patrol car shot forward at more than five hundred miles an hour.

The image of the Travelaire grew on the video monitor and then the two troopers had it in actual sight, a white, racing dot on the broad avenue of the thruway six miles ahead.

Clay triggered the controls for the forward bow cannon and a panel box flashed to “ready fire” signal.

“Negative,” Martin ordered. “We’re coming up on the roadblock. You might miss and hit one of our cars.”

“Car 56 to Control,” the senior trooper called. “Watch out at the roadblock. He’s doing at least five hundred in the yellow and he’ll never be able to stop.”

Two hundred miles east, the St. Louis controller made a snap decision. “Abandon roadblock. Roadblock cars start west. Maintain two hundred until subject comes into monitor view. Car 56, continue speed estimates of subject car. Maybe we can box him in.”

At the roadblock forty-five miles ahead of the speeding fugitives and their relentless pursuer, the four patrol cars pivoted and spread out across the roadway some five hundred feet apart. They lunged forward and lifted up to air-cushion jet drive at just over two hundred miles an hour. Eight pairs of eyes were fixed on video monitors set for the ten-mile block to the rear of the four vehicles.

Beulah’s indicated ground speed now edged towards the five hundred fifty mark, close to the maximum speeds the vehicles could attain.

The gap continued to close, but more slowly. “He’s firing hotter,” Ben called out. “Estimating five thirty on subject vehicle.”

Now Car 56 was about three miles astern and still the gap closed. The fugitive car flashed past the site of the abandoned roadblock and fifteen seconds later all four patrol cars racing ahead of the Travelaire broke into almost simultaneous reports of “Here he comes.”

A second later, Clay Ferguson yelled out, “There he goes. He’s boondocking, he’s boondocking.”

“He has you spotted,” Martin broke in. “He’s heading for the median. Cut, cut, cut. Get out in there ahead of him.”

The driver of the fugitive car had seen the bulk of the four big patrol cruisers outlined against the slight rise in the thruway almost at the instant he flashed onto their screens ten miles behind them. He broke speed, rocked wildly from side to side, fighting for control and then cut diagonally to the left, heading for the outer edge of the thruway and the unpaved, half-mile-wide strip of landscaped earth that separated the east and westbound segments of NAT-26.

The white and green car was still riding on its airpad when it hit the low, rounded curbing at the edge of the thruway. It hurtled into the air and sailed for a hundred feet across the gently-sloping snow-covered grass, came smashing down in a thick hedgerow of bushes—and kept going.

Car 56 slowed and headed for the curbing. “Watch it, kids,” Ben snapped over the intercom, “we may be buying a plot in a second.”

Still traveling more than five hundred miles an hour, the huge patrol car hit the curbing and bounced into the air like a rocket boosted elephant. It tilted and smashed its nose in a slanting blow into the snow-covered ground. The sound of smashing and breaking equipment mingled with the roar of the thundering jets, tracks and air drives as the car fought its way back to level travel. It surged forward and smashed through the hedgerow and plunged down the sloping snowbank after the fleeing car.

“Clay,” Ben called in a strained voice, “take ‘er.”

Ferguson’s fingers were already in position. “You all right, Ben?” he asked anxiously.

“Think I dislocated a neck vertebra,” Ben replied. “I can’t move my head. Go get ’em, kid.”

“Try not to move your head at all, Ben,” Kelly called from her cocoon in the dispensary. “I’ll be there the minute we slow down.”

A half mile ahead, the fugitive car plowed along the bottom of the gentle draw in a cloud of snow, trying to fight its way up the opposite slope and onto the eastbound thruway.

But the Travelaire was never designed for driving on anything but a modern superhighway. Car 56 slammed through the snow and down to the bottom of the draw. A quarter of a mile ahead of the fugitives, the first of the four roadblock units came plowing over the rise.

The car’s speed dropped quickly to under a hundred and the cocoons were again retracted. Ben slumped forward in his seat and caught himself. He eased back with a gasp of pain, his head held rigidly straight. Almost the instant he started to straighten up, Kelly flung herself through the cab door. She clasped his forehead and held his head against the back of the control seat.

Suddenly, the fugitive car spun sideways, bogged in the wet snow and muddy ground beneath and stopped. Clay bore down on it and was about two hundred yards away when the canopy of the other vehicle popped open and a sheet of automatic weapons fire raked the patrol car. Only the low angle of the sedan and the nearness of the bulky patrol car saved the troopers. Explosive bullets smashed into the patrol car canopy and sent shards of plastiglass showering down on the trio.

An instant later, the bow cannon of the first of the cut-off patrol units opened fire. An ugly, yellow-red blossom of smoke and fire erupted from the front of the Travelaire and it burst into flames. A second later, the figure of a man staggered out of the burning car, clothes and hair aflame. He took four plunging steps and then fell face down in the snow. The car burned and crackled and a thick funereal pyre of oily, black smoke billowed into the gray sky. It was snowing heavily now, and before the troopers could dismount and plow to the fallen man, a thin layer of snow covered his burned body.

* * *

An hour later, Car 56 was again on NAT 26-West, this time heading for Wichita barracks and needed repairs. In the dispensary, Ben Martin was stretched out on a hospital bunk with a traction brace around his neck and a copper-haired medical-surgical patrolwoman fussing over him.

In the cab, Clay peered through the now almost-blinding blizzard that whirled and skirled thick snow across the thruway. Traffic densities were virtually zero despite the efforts of the dragonlike snow-burners trying to keep the roadways clear. The young trooper shivered despite the heavy jacket over his coveralls. Wind whistled through the shell holes in Beulah’s canopy and snow sifted and drifted against the back bulkhead.

The cab communications system had been smashed by the gunfire and Clay wore his work helmet both for communications and warmth.

The door to the galley cracked open and Kelly stuck her head in. “How much farther, Clay?” she asked.

“We should be in the barracks in about twenty minutes,” the shivering trooper replied.

“I’ll fix you a cup of hot coffee,” Kelly said. “You look like you need it.”

Over the helmet intercom Clay heard her shoving things around in the galley. “My heavens, but this place is a mess,” she exclaimed. “I can’t even find the coffee bin. That steeplechase driving has got to stop.” She paused.

“Clay,” she called out, “Have you been drinking in here? It smells like a brewery.”

Clay raised mournful eyes to the shattered canopy above him. “My cooking wine,” he sighed.

A basket of heads

Yep. I was a worker at McDonalds and only sixteen at the time, and we had a new employee. He was on grills, and I was on table right behind him. There’s another side to the table that cannot see the grills through the cabinets of food, so when any cook-to-order meat, in this case quarter pounder, was finished, the grill person would call “Quarter’s up!” So side two would know to get their meat and send the burger on its way.

Well, our new employee absolutely refused to talk near me. I didn’t really get it, he would talk to other people. But he wouldn’t call out quarter’s up for the life of him. After multiple times of doing it myself, I finally stopped and took the meat and held it back to him and asked him to call it out himself. He slammed it into the table and splattered grease into it. I stood there and told him he needed to call out quarter’s up for side two. He would not, he turned back to his grills and ignored me.

I called out quarter’s up and slid them their meat, and the shift continued. I stopped calling out quarters’ up, mostly because I was busy keeping up with the lunch rush and didn’t notice when he slid the meat onto the table out of my line of sight. And out of side two’s sight.

So a manager asks why side two is so slow, and they explain they don’t have their quarter meat and I would slide it over to them and apologize. Someone would tell the grill person to call it out, and the shift would continue again until he went on break.

I didn’t actually know anything had happened until the big boss of the store was called in and he came rushing up to me and told me very sternly,”If someone threatens you like that again, you need to tell management immediately!”

I did not understand. Nobody threatened me?

Turns out, when the grill dude went on break, he loudly told everyone how he was going to “beat the shit out of that little kid.”

And well, he got fired

Western Women Are Too Woke For “Passport Bros”

Men ware galloping away from the West.

The German-American Strategic Depth Clown Show

Harry Potter as a Mexican Soap Opera | Telenovelas are Hell

Once I walked into my college class of 200 people only to see my picture displayed on the projector screen and my instructor asking my classmates who had been signing in for me since I had clearly never been to class. Apparently I’d accidentally signed the attendance sheet for that day, the class day prior, and was a couple minutes late, so he had his ga literally examine my signatures and they determined that the letters were written differently each day. Since I was safely hidden behind a large pillar, I promptly left and called my mom crying. I had attended every class, albeit late on occasion, and was a very shy person. I dreaded going back to that class where I was certain everyone believed me to be a fraud.

Edit: I saw some people asked what happened afterwards, and I ended up emailing the professor during that class telling him what I’d seen and assuring him that I had attended every class and that I was very confused as to why he had made the accusation. It was then that he told me I’d been signed in on the wrong day and that was what had prompted his investigation into my attendance and signatures. He made it very clear that he did not believe my explanation and I met with him in his office where he had me sign my name about 20 times and kept threatening to fail me, saying I could easily be faking it. At this, because I was telling the truth, and had never had anyone question my honor like that before, I started to cry again, and begged him not to fail me. I honestly don’t remember much after that, only that my crying made him begrudgingly agree to allow me back in class. The rest of the time I attended class, I showed up early, sat in the very back and wore a hood until class began. I caught a couple people looking back at me on occasion (and I turned bright red as a result), but for the most part my incredible ability as a shy person to remain utterly invisible served me really well.

Cattle Drive Cornbread

Cornbread
Cornbread

Ingredients

  • 4 cups cornmeal
  • 1/2 cup vegetable oil
  • 1 cup all-purpose flour
  • 1 1/2 cups chopped onion
  • 1 to 2 finely chopped jalapeño peppers
  • 2 tablespoons granulated sugar
  • 1 1/2 tablespoons salt
  • 2 teaspoons black pepper
  • 1 (16 ounce) can creamed corn

Instructions

  1. Boil a pan of water.
  2. Mix cornmeal and vegetable oil well in a large bowl. Add just enough of the boiling water to form a dough that could be made into a ball.
  3. Add remaining ingredients except for the creamed corn. Mix to blend, then add creamed corn.
  4. Coat the bottom of a cast iron skillet with vegetable oil. Heat over medium heat.
  5. Remove one cup of the cornbread mixture from the bowl, and add it to the skillet.
  6. Flatten out the cornbread, and cook as you would a pancake.

This Japanese Man has 4 Wives, 2 Girlfriends & 54 Children…

Randy Miller suggested an edit to my story. 
He blew my story away because I have never run into an ASSHOLE that removed an entire story. 
Fuck You Randy Miller! 
I had to rewrite it you ass!

I will tell you my story.

My sister-in-law has a son. When my nephew was young we saw him a lot, even visited a few times while he was in college, and took him out a few times for dinners. When he got married, we were not invited to his wedding. We weren’t even told about it. When we found out, I have to admit we were a bit hurt.

The reason we weren’t invited was because his grandmother, my MIL, was a crazy mean, lying, horrible woman. The entire family except the nephew and my husband had felt her wrath. To her, he was a golden child. She filled his head with lies, and she is convincing, especially to a kid. She did spend money on him, but nothing is without a string. When she met his girlfreinf, he was told she was a sl*t, a woman that would hurt him, a dirty girl, not worthy. Eventually, they broke up, but got back together., and then secretly engaged, and finally secretly married.

So there was a plausible reason why only his immediate family was present. They did not have any big thing, justice of the peace, ate out, done.

Now married, his wife was pregnant with their first child. I was invited to the baby shower. A part of me did not want to go because I was still hurt. Plus, I dislike showers. But I thought about it, and let it go. I attended the shower and bought a gift of of their registry that was fairly expensive for the baby, and them.

So the question is why would I agree to give them a gift for a baby shower, when I wasn’t invited to the wedding. Because I had found out the entire story, and realized I was being unkind, childish, selfish, and ignorant. And most important, I want a relationship with them.

I have never discussed being disappointed about not being able to attend their wedding, I love weddings. But I’m glad I rethought the emotions I felt because we have a great relationship now with his family. I know I would have regretted not going to the baby shower, and giving a gift to them for their baby.

So, you haven’t explained why you weren’t invited to the wedding. Could it be they were trying to keep it small. There could be many reasons, even that your invitation never arrived. But you need to decide if you have a relationship with these people that you wish to continue. If the answer is yes, then send a gift. If not, you have made up your mind.

Regarding the 300 miles away, unless they are living somewhere you could turn your trip into a vacation, if you have the time and money, I would just send the gift with a congratulatory note.

My opinion only!

Gen Z Doesn’t want to Work Anymore …..

Be the Rufus

“I was on the way home a couple of days ago when I saw this girl from my neighbourhood being very upset and crying on the street.

I decide to approach her. I asked: ‘Hi! Is everything okay?’

She barely looked up and then she just started bawling about her crappiest day she had.

She said she had lost her best friend because a stupid fight and that her mom is depressed all the time and she was also bullied in school all day…

I really wanted to make her feel better but I didn’t really know what to say, so I just asked: ‘Do you want to come in for a cup of tea?’

She said yes!

When we walked in the door, she looked up, her eyes opened up, and she was like: ‘Wooow!!!’ My house is full of paintings and all sorts of weird stuff; it’s messy but very colourful.

On the way in, I was even thinking about how messy my house was and felt bad about leaving tobacco all over the table that morning. But she didn’t seem bothered at all.

She said it was the coolest place she has ever seen. I asked her if she wanted to paint with me so we did. She painted the biggest canvas we could find with lots of bright colours. She used like 10-12 brushes and when she finished, her face had changed completely.

She was beaming!

main qimg 2720f3485ada3a8ac9d43fe210c5cbf5
main qimg 2720f3485ada3a8ac9d43fe210c5cbf5

I may have made her feel better that day but she also made me realise how lucky I am. Maybe I am weird and I often feel that I don’t really fit anywhere, but my art was able to make this girl feel better about herself and lift her up from a dark place.”

America Collapsing Like Rome?- Upcoming Recession, WW3, Trump, China & Joe Rogan | Patrick Bet David

Not working on a computer but: I worked at the 24 hour photo processing lab back in 1990. Based in Phoenix we got film flown in from all over, including Las Vegas. The usual family photos, the occasional but weekly roll of film for some one with a anatomically correct BDSM Barbie with ever-changing costumes, personal intimate photos for a loved one, and once in a while something different and more sinister.

One of our Las Vegas rolls showed men with a pair of boys, all nude no clothes in sight(ha-ha), no intimate contact showed just these men and the children. The lead tech made the call to the FBI for possible child porn or abuse. After the FBI came and collected the pictures and the address from the packaging, they proceeded to the Las Vegas area address.

What they discovered was the address was a nudist retirement community. The men were a couple and one of them was the grandfather of the boys. The parents knew about granddad and his partner living in a gay nudist community and had no concerns about the safety of the boys.

Douglas Macgregor Reveals the Truth: Russia’s -Ukraine Terrifying Hidden Power in the Conflict

https://youtu.be/otyhVg-oUHs

A Woman Finds Her Daughter’s ‘Dead’ Rapist: people are in awe of her “willpower”

A woman from a remote village in Bihar, India tracked down her daughter’s rapist after he was declared dead leading to the closure of the case. The rape convict, Niraj Modi, has been sentenced to 14 years of imprisonment for raping a minor girl and his father to seven years for forgery, cheating, and dishonesty.

Modi, a 39-year-old man who was a school teacher at a government school, was accused of raping his 12-year-old student, a minor girl, in October 2018. The girl was attacked while she was by herself in a sugarcane field, and her assailant threatened to post a video of the assault online to keep her silent.

Soon after a complaint was lodged by the survivor’s mother, and Modi was arrested. But, he was out on bail after merely two months in prison.

In February 2022, Modi’s father Rajaram Modi, who is over 60 years old and works as a farmer, travelled to a court nearly 100km (62 miles) away from the village, with a lawyer to claim that his son died on February 27, 2022, at their village home. In order to get a death certificate issued, he provided two pictures from the cremation, receipts of the firewood bought for the ritual as evidence, signatures and the unique biometric identity number of five villagers as per Indian laws. However, as per a 54-year-old law, the authorities didn’t question the cause of death.

main qimg aa86bbebf5dba95beb6616de864b4967
main qimg aa86bbebf5dba95beb6616de864b4967

Two months after this, the local authorities issued a death certificate and the court closed the case in May 2022 as the “only accused in the case” was dead.

However convinced that this was a forgery, the girl’s mother was the only person who suspected that the teacher had faked his death with the help of his father.

The mother said that she went from one home to another in order to enquire if Modi was really dead and not to her surprise, nobody had heard of the news. Following which, she went to the court urging an investigation into the matter.

In May 2022, she also wrote a petition to the local official claiming that the death certificate was issued based on forged documents and it needs to be investigated. Soon, investigation began and the authorities demanded more and fresh evidence from Modi’s family regarding his death including photos of the “deceased after his death, of the cremation, of the burning pyre, the last rites and [fresh] testimony of five witnesses”.

As a part of the investigation, members of the village council met the inhabitants of the 250 families in the village. It appeared that no one was aware of Modi’s death. Hindus often only shave their heads as a sign of mourning if a close relative passes away. However, none of the Modi family members had done so. In fact, Modi’s own relatives did not have the information regarding his death.

main qimg 046f62c7a5463c0383b191bbd3be53c6
main qimg 046f62c7a5463c0383b191bbd3be53c6

After Rajaram failed to provide fresh evidence of Niraj’s death, he was questioned by the investigative office again.

Following the investigation, it was confirmed that Modi’s death was faked. The officers found that the teacher had falsified the signatures of the parents of five of his students’ parents on a document requesting his own death certificate. He informed the parents that in order to set up the students’ scholarships, he would need their biometric identity numbers.

The officials, then, cancelled Niraj Modi’s death certificate and charged his father with forgery and in July 2022, the case was reopened.

The mother’s relentless battle to track down her daughter’s “dead” rapist came to an end finally and in October last year(2023)he was convicted for his crimes.

Keep smiling

Beefy Cowboy Beans

There’s plenty of hearty ground beef in this Beefy Cowboy Beans recipe.

cowboybeans 750x1000
cowboybeans 750×1000

Yield: 4 servings

Ingredients

  • 1 1/2 pounds ground beef
  • 1 medium onion, chopped
  • 1 red or green bell pepper, cut into 1/2 inch pieces
  • 1 (16 ounce) can baked beans
  • 1 (15 1/2 ounce) can Great Northern beans, rinsed and drained
  • 1/4 cup tomato ketchup
  • 1/4 cup Heinz 57 sauce
  • 1 tablespoon packed brown sugar
  • 2 teaspoons Worcestershire sauce

Instructions

  1. In a large nonstick skillet, brown ground beef, onion and bell pepper over medium-high heat 6 to 8 minutes or until beef is no longer pink, breaking up into 1 inch crumbles.
  2. Pour off drippings.
  3. Season beef mixture with 1/2 teaspoon salt and 1/8 teaspoon pepper.
  4. Stir in beans, ketchup, Heinz 57 Sauce, brown sugar and Worcestershire sauce.
  5. Reduce heat to medium low.
  6. Simmer, covered, 10 minutes, stirring occasionally.

I have a theory about the sorts of people that are easy to entertain…. 😉

One cellie of mine was really into the markets. He spent incredible amounts of time building models to use against the daily Dow or NASDAQ numbers when we could get them in long enough blocks to be useful. A friend sent him five years of daily data for one index. He built some formulas to fire triggers when conditions were right. The formulas were designed to work in excel.

My job? Human spreadsheet. I took a couple months worth of the data, plotted it across a “spreadsheet” that I’d made from steno notebook pages taped together. The spreadsheet went from A1 to something like BK68. A handful of cells were raw data, the remainder were things like, “=If(And(AI22>AI21,B22>B21,Or(H22=I22,K22=K21)),BB22-BC21,BB22+BC21)”.

Pure drudgery. I had to proof for syntactical and lexical errors and churn out the data. We were sending the finished product (formulas) to someone who would drop them in excel and (hopefully) use them to come up with actionable Intel.

Woof. It took weeks to produce what excel can do in the blink of an eye!

Then there was this:

main qimg b06ac94849a29dbe797625958f129e02 lq
main qimg b06ac94849a29dbe797625958f129e02 lq

I set a goal for myself to memorize two hours of poetry. I copied poems I liked in tiny handwriting and kept them with me most of the time so I could study while I waited (lots of waiting in prison).

I didn’t hit my goal, but I did manage to get to about forty minutes. The more I did, the easier it got. I learned that our memory works (kind of) like a muscle. Give it a good workout and it’ll get stronger.

Are those pastimes strange enough?

The (Overdue) Collapse of the 9-5 Job

A sheriff’s deputy drove up to me while I was standing leaning against my vehicle and asked me if I had any guns. I said not on me but there were some in the back of the pickup. He told me to stay where I was.

He walked back to the bed of my truck and picked up a poster that I had made up that said “WANTED Dead or Alive: Baby Bomber Brandes”

He read the poster and then said to me, “I know who you are. You are the man whose home was bombed and whose baby daughter has been in the hospital for the last 6 months, and this is going to be the hardest arrest I ever have to make in my career, but I want for you to know that if I were in your shoes, I would be doing exactly what you are trying to do.” Brandes was up a dead end road, and I was at the bottom waiting to kill him.

Brandes had called his employers at the ATF and told them that I had him trapped and they needed to help him get out of there. The ATF called the sheriff and had them come up and arrest me.

The deputy arrested and drove me to jail without handcuffs and when he dropped me at the jail explained to the jailers the situation. I was treated very well by everyone at the jail. A couple of days later I made a deal with the federal DA that I would leave town and leave their snitch alone. I had to, I had a quadriplegic daughter getting out of the hospital to care for.

I came to Oregon and had to care for my daughter while wearing an ankle bracelet for a few months. The feds did live up to their end of the bargain though: all charges were dropped a short time later. There really are some great cops out there and they are just like you and I and have big hearts.

The deputy actually apologized for having to take me in.

the American dream is dead… this is why people are leaving the US.

In early March 1933, a man walked into the Hi-Way Cigar Store in Pismo Beach, California, and laid a huge clamshell on the counter. “Gimme a box of cigars,” he said.

[1]That day, the clam was paying.

main qimg c6db5e99e48109d1dddcef72ce9c7158 lq
main qimg c6db5e99e48109d1dddcef72ce9c7158 lq

A clamshell used as scrip currency in 1933 shows the name of the shop issuing the currency, its value, and, on the inside, signatures of the people whose hands it passed through. (Clamshell Currency | Hakai Magazin

History is full of examples of successful local initiatives aimed at providing exchange media, but the Great Depression of the 1930’s saw this done on an unprecedented scale. There were literally hundreds of scrip issues that were put into circulation by a variety of agencies, including state governments, municipalities, school districts, clearing house associations, manufacturers, merchants, chambers of commerce, business associations, local relief committees, cooperatives, and even individuals.

These issuers went by different names, depending on who issued them and the circumstances of their issuance. Common scrip types were certificates of indebtedness, tax anticipation notes, payroll warrants, trade scrip, clearing house certificates, credit vouchers, moratorium certificates, and merchandise bonds.

main qimg 68c9b8a6c195d8c9557dd30744688d2a lq
main qimg 68c9b8a6c195d8c9557dd30744688d2a lq

Crescent City, California 1933 10 cent clamshell From the collection of Ken Barr Numismatics (Depression Scrip.com)

Besides learning how to “make do, or do without,” people began to establish mutual support structures, like workers’ cooperatives, many of which would recycle and repair donated or broken items.

People learned to share what they had, and to by-pass the market and financial systems. Most of these measures were considered stop-gaps to be utilized until things “got back to normal,” but in some of them there seemed to be the promise of more permanent improvements. One of these “stop-gaps,” which was intended to address the problem of the dearth of currency in circulation, was the issuance of “scrip.”

When the Depression and resulting banking crisis hit their community, the residents of the coastal town of Pismo Beach, California picked an unusual but logical medium of exchange. The pismo (Tivela stultorum) is a species of clam with a very thick shell

[6], found in large numbers at least as far south as 300 miles south of the US–Mexico border in Baja California on the Pacific Ocean side, where strong surf sometimes washes ashore live clams. Native American tribes in California relied upon the clams as a main dietary staple.

main qimg 9c02e3d658cf14cff94de8b6d2e007b2 lq
main qimg 9c02e3d658cf14cff94de8b6d2e007b2 lq

Emergency Money

The shells are surprisingly large—up to roughly 15 centimeters in width—and many have faint traces of the clams’ natural shell patterning, faded wispy lines of purple at the edge of the exterior sides.

Shells were marked with India ink in denominations ranging from 25 cents to $20. Each piece was numbered, and signed on the front and on the back. As with the stamp notes of the Midwest, it was necessary to sign each clamshell on the back in order to keep it in circulation.

The idea was that the growing list of signatures would be a boost to morale — proof that, even in tough times, business was being transacted.

No formal requirements may have existed, but informal pressure certainly would have endorsed the practice. The shells were more or less credit—placeholders for real currency.

Eleven of the town’s merchants got together to issue the clam currency. Among them: K.L. Phillips service station, Henderson’s Drug Store, Hi-Way Cigar Store, Leiter’s Rexall Pharmacy and Restwell Cabins. Even the Pismo Beach Post Office accepted clam currency. It was agreed that when change in dollars or cents wasn’t available, they would issue shells to customers instead. Customers would use the shells in subsequent transactions after signing their names on the inside, endorsing them somewhat like checks, which gave businesses a record of who had “spent” their shells. If any customers still had shells rattling around once the banks reopened, they could ask the retailer to exchange them for cash.

Officials had one concern that most issuers would hang onto them as souvenirs of the lack of traditional currency.

Some issuers destroyed the notes after they were redeemed.

main qimg c190e5658c1bf6c5c1dae262788a1d85 lq
main qimg c190e5658c1bf6c5c1dae262788a1d85 lq

Pismo Beach, California, 1 Dollar, 1933 (clamshell)

Restwell Cabins issued “notes” in three denominations: twenty-five cents, fifty cents, and one dollar.

The larger the amount, the larger the shell. The issue may have been partly intended as a spoof, or for sale to tourists, in the manner of German notgeld around 1920.

Redemption would never be a problem because collectors would want to keep these pieces in their cabinets or trade them with their friends.

But it was also intended partly as a real, if unique, circulating medium. The Restwell Cabins issue bore the motto, “IN GOD WE TRUST.”

Each piece was numbered, and each was signed on the front and on the back. This was in the middle of Roosevelt’s 1933 national banking holiday, from March 6 to March 9, 1933, during which withdrawals were frozen. This gave his administration a chance to stabilize the banking system

.In an era of economic turmoil, thousands of banks were failing and Americans’ trust in the institutions had evaporated. Fearing that their money was no longer safe at the bank, many people had emptied their accounts and stashed dollars at home—which, unfortunately, further undermined the banks.

Proclamation 2039 ordered the suspension of all banking transactions, effective immediately.

The terms of the presidential proclamation specified that:

“no such banking institution or branch shall pay out, export, earmark, or permit the withdrawal or transfer in any manner or by any device whatsoever, of any gold or silver coin or bullion or currency or take any other action which might facilitate the hoarding thereof; nor shall any such banking institution or branch pay out deposits, make loans or discounts, deal in foreign exchange, transfer credits from the United States to any place abroad, or transact any other banking business whatsoever.”

This 10-cent note was issued by the Crescent City Chamber of Commerce. It’s worth about $500 today. (Cash-Strapped California’s IOUs: Just the Latest Sub for Dollars)

main qimg 340508ce25f23674c58e14464ba31eb3 lq
main qimg 340508ce25f23674c58e14464ba31eb3 lq

The thought of having to go for four days without readily available cash shocked and traumatized America. Around the country, businesses began issuing IOU-style notes or ersatz dollars—often called scrip currency—in the form of metal or wooden tokens so that everyday transactions could continue even when retailers couldn’t easily issue change.

An estimated $1 billion in this scrip was issued by towns and counties, not to mention corporations, school boards, newspapers and a few wealthy individuals.

Most promissory notes looked like paper currency, but scrip was also printed on leather, metal, fish-skin parchment and, in Tenino, Wash., on slabs of pressed wood.

In Hood River, Ore., Hal’s Tire Service printed $1 bills on scraps of old tires, briefly giving the rubber check a good name.

main qimg c20fe28cade8379506fb579fbcc653ca lq
main qimg c20fe28cade8379506fb579fbcc653ca lq

Depression scrip: 1934 25-cent fish skin parchment Friday Harbor, Washington

In creating the currency, the Pismo Beach business owners turned back the clock to the era before the Civil War when it was common to find locally produced scrip.

The 1933 scrip reflected the small community’s expression of resilience. A lack of dollars didn’t worry them. “All of a sudden this group of people said, ‘Well, we have what we do have’,

They had clamshells. Appropriating them as currency helped insulate the community from the practical difficulties of the bank holiday.

main qimg f6fe02537133853c0653ff8536f18cf3 lq
main qimg f6fe02537133853c0653ff8536f18cf3 lq

Pismo Clam Money

In 1985, the Pismo Beach City Council considered buying back the clam scrip from an Arcadia coin and stamp collector for $3,000.

The clams are now on display at City Hall in the lobby by the city clerk’s office. Not a bad investment, especially considering how rare it is to find a legal-sized clam in Pismo Beach these days. In 2013, to celebrate the 80th anniversary of the clam script, Pismo Beach citizens resurrected clamshell money, making colorful homages to the 1933 variants.

Among the businesses that accepted them were a restaurant and a pawnshop specializing in video games and DVDs.

So the next time someone asks, “How many clams did that cost?”

[27] , remember they are asking for a value in dollars, not bivalves.

Footnotes

10 Countries Where Americans are Not Welcome in 2024

I once had to fire someone just a few days after they started and it’s one of the very few times in my entire career where I fired someone on the spot. I had no choice.

At the time we were riding high as a company having rebuilt an entire culture and the company was working well. This admin who answered to me and a few others, while I was at lunch demanded access to HR documents that were confidential to the other employees. When our HR manager told her no but that she could talk to me, she started screaming at the top of her lungs at the manager and everyone in the offices was completely rattled by the scene.

I receive a call while I was eating my lunch to get back to the office because it was bad. I raced back and saw the looks on everyone’s face and asked a few what had happened. They all said the same thing. There was no other side to this. A few heard her demand for records and thought it was out of line in the first place and then with the screaming on top, there was no way to keep her. When I fired her, I explained that there was no turning that around. You couldn’t undo that damage no matter how she apologized and I didn’t want our culture to be everyone thinking that was even remotely okay.

It sucks to fire anyone. It’s not something any manager ever wants to deal with, but sometimes you have to do it for the greater good of everyone else. There were no employees who thought she should have a second chance. Not one. In fact, they were thrilled that I didn’t put up with it.

Male inequality, explained by an expert | Richard Reeves

The number of male therapists decreasing while the number of men needing therapy increases is worrying to think about.

On April 14, 1865, as he walked into the morning Cabinet meeting at the White House, General Ulysses S. Grant received rapturous applause. Five days earlier, Grant had accepted the surrender of Confederate General Robert E. Lee at Virginia’s Appomattox Court House.

main qimg 791fe8246b9d1f341fa48a32c626509c lq
main qimg 791fe8246b9d1f341fa48a32c626509c lq

Image: Lee’s surrender to Grant at Appomattox. April 9, 1865: General Robert E. Lee surrenders at Appomattox

The nightmarish Civil War had ended. Lincoln and his Cabinet were in a celebratory mood, but Grant was bone-weary. As author Ron Chernow recounts in his biography Grant, the general looked forward to a short respite from the battlefield.

After the meeting closed at 2pm, Grant “lingered to speak with the president.” Lincoln invited the general and his wife to the theater that evening to see the play Our American Cousin, starring celebrated actress Laura Keene.

Newspapers had already trumpeted both Lincoln and Grant’s upcoming attendance.

Edwin Stanton, Lincoln’s Secretary of War, immediately worried for their safety.

“He had for some months been aware that threats of assassination were being made by certain evil minded persons against the leaders of the Federal government and army. The presence of the President of the nation and the Lieutenant-General of the armies at any public function at such a critical hour was simply courting disaster.”

Lincoln reacted flippantly, chaffing Stanton “for his lack of faith in human nature.” The president of a democracy, he averred, had to show himself to the people, and some danger was an inescapable hazard of office.

“To be absolutely safe,” he told John Nicolay resignedly, “I should lock myself up in a box.”

Lincoln believed the sight of the “victorious president and general” together would be of great benefit to the public.

Grant searched for a gracious way to decline. The general soon received a note from his wife Julia, detailing her wish to leave for Burlington that day to see their children.

Grant politely declined to attend Ford’s Theatre, joking that he now had a command from Mrs. Grant. As he subsequently said, “I was glad to have the note, as I did not want to go to the theater.”

Lincoln, who was disappointed, understood. “Of course, General, you have been long from home, fighting in the field, and Mrs. Grant’s instincts should be considered before my request. I am very sorry, however, for the people would only be too glad to see you.”

Lincoln extended invitations to Stanton, Speaker of the House Schuler Colfax, and his son Robert Todd Lincoln, but all turned him down. Eventually Clara Harris and her fiancé, Major Henry Rathbone, agreed to accompany him.

At 10:13pm, while the Grants traveled east to change trains in Philadelphia, John Wilkes Booth slipped into the president’s box at the theater and pointed a derringer at the back of Lincoln’s head. He then “executed the gentle president with brutal efficiency.”

Image: Booth murdering the president at Ford’s Theatre. Lincoln’s Missing Bodyguard

In a small appointment book, Booth was eager to record his accomplishment for posterity.

Until today nothing was ever thought of sacrificing to our country’s wrongs. For six months we had worked to capture, but our cause being almost lost, something decisive and great must be done. But its failure was owing to others, who did not strike for their country with a heart.

I struck boldly, and not as the papers say. I walked with a firm step through a thousand of his friends, was stopped, but pushed on. A colonel was at his side. I shouted “Sic semper” before I fired.

Telegrams notified the general of the terrible news, and he was immediately summoned back to Washington.

Grant had seen untold horrors during his campaigns. But he would remember this day as among the saddest of his life.


Weeks later, as the assassination conspirators stood trial, Grant discovered that he was one of several targets of the Confederate sympathizers. The killers had hoped to decapitate the Union government in one bold strike, but they lost their golden opportunity to attack the now-famous general.

The night of Lincoln’s assassination, as Grant and his wife prepared to board their train, they had noticed a man on horseback who had galloped past them twice. Each time, the figure had “thrust his face” at the couple and glared at them.

Grant later learned the glowering horseman was John Wilkes Booth, who had been conferring on the sidewalk with his actor friend John Mathews when the Grant carriage sped by and he set off in pursuit of it. From the heaped-up baggage, he must have confirmed that the Grants were leaving town and would not be at Ford’s Theater.

“It seems I was to have been attacked,” Grant stated, “and Mrs. Grant’s sudden resolve to leave deranged the plan.”

Next Steps (Almost there!)

Confident Dragon Lays Out Modernization Roadmap

As Project Ukraine goes down the drain of history, Project Taiwan will go on overdrive. Forever Wars never die.

This is the Year of the Wooden Dragon, according to China’s classic wuxing (“five elements”) culture. The dragon, one of the 12 signs of the Chinese zodiac, is a symbol of power, nobility and intelligence. Wood adds growth, development and prosperity.

Call it a summary of where China is heading in 2024.

The second session of the 14th National Committee of the Chinese People’s Political Consultative Conference (CPPCC) was finalized on Sunday in Beijing.

The wider world should know that within the framework of grassroots democracy with Chinese characteristics, an extremely complex – and fascinating – phenomenon, the importance of the CPPCC is paramount.

The CPPCC channels wide-ranging expectations of the average Chinese to the decision level, and actually advises the central government on a vast range of issues – from everyday living to high-quality development strategies.

This year, most of the discussion focused on how to drive China’s modernization even faster. This being China, concepts – like flowers – were blooming all around the spectrum, such as “new quality productive forces, “deepening reform,” “high-standard opening-up,” and a fabulous new one, “major-country diplomacy with Chinese characteristics.”

As the Global Times emphasized,

“2024 is not only a critical year for achieving the goals of the ‘14th Five-Year Plan’ but also a key year for achieving the transition to high-quality development of the economy.”

Betting on strategic investment

So let’s start with Chinese Premier Li Qiang’s first “work report” delivered a week ago, which opened the annual session of the National People’s Congress. The key takeaway: Beijing will be pursuing the same economic targets as in 2023. That translates as 5% annual growth.

Of course deflationary risks, a downturn in the real estate market and somewhat shaky business confidence simply won’t vanish. Li was quite realistic, emphasizing Beijing is “keenly aware” of the challenges ahead:

“Achieving this year’s targets will not be easy.” And he added: “Global economic growth lacks steam and the regional hotspot issues keep erupting. This has made China’s external environment more complex, severe and uncertain.”

Beijing’s strategy remains focused on a

“proactive fiscal policy and prudent monetary policy”. 

In a nutshell: the song remains the same. There won’t be a “stimulus” of any kind.

Deeper answers should be found in the work report/budget released by the National Development and Reform Commission: the focus will be on structural change, via extra funds to science, technology, education, national defense, agriculture.

Translation: China bets on strategic investment, the key for a high-quality economic transition.

In practice, Beijing will be heavily invested in modernizing industry and developing “new quality productive forces” such as new-energy vehicles, biomanufacturing and commercial space flight.

Science Minister Yin Hejun made it clear: there was an 8.1% increase in national investment in research and development in 2023.

He wants more – and he will get it: R&D spending will grow by 10% to a total of 370.8 billion yuan.

The mantra is “self-reliance”.

On all fronts – from chipmaking to AI. A no holds barred tech war is on – and China is totally focused to counter “tech containment” from the Hegemon as much as its ultimate goal is to wrest tech supremacy from its prime competitor. Beijing simply cannot allow itself to be vulnerable to U.S.-imposed tech choke points and supply chain disruptions.

So short-term economic problems will not be causing sleepless nights.

The Beijing leadership is always looking ahead – focusing on long-term challenges.

Learning lessons from the Donbass battlefield

Beijing will continue to steer the economic development of Hong Kong and Macau, and invest even more in the crucial Greater Bay Area, which is the premier southern China high tech, services and finance hub.

Taiwan of course was central to the work report; Beijing fiercely opposes “external interference” – code for Hegemon tactics. That will become even trickier in May, when William Lai Ching-te, who flirts with independence, becomes president.

On defense, there will be only a 7.2% increase in 2024, which is peanuts compared to the Hegemon’s defense budget now approaching $900 billion: China’s stands as $238 billion, even as China’s nominal GDP is approaching the U.S.

A great deal of China’s defense budget will go for emerging tech – considering the immensely valuables lessons the PLA is learning out of the Donbass battlefield, as well as the deep interactions part of the Russia-China strategic partnership.

And that brings us to diplomacy.

China will continue to be firmly positioned as a champion of the Global South. That was made explicit by Foreign Minister Wang Yi in a press conference on the sidelines of the National People’s Congress.

Wang Yi’s priorities:

to “maintain stable relations with major powers; join hands with its neighbouring countries for progress; and strive for revitalisation with the Global South”.

Wang Yi once again stressed that Beijing favors an “equal and orderly” multipolar world and “inclusive economic globalization”.

And of course he could not allow U.S. Secretary of State Little Blinken – always out of his depth – to get away with his latest “recipe”:

“It is impermissible that those with the bigger fist have the final say, and it is definitely unacceptable that certain countries must be at the table while others can only be on the menu.”

BRI as a global accelerator

Crucially, Wang Yi re-emphasized the drive for “high-quality” cooperation within the Belt and Road Initiative (BRI) framework. He defined BRI as “an engine for the common development of all countries and an accelerator for the modernisation of the whole world”. Wang Yi actually said he’s hopeful about the emergence of a “Global South moment in global governance” – in which China and BRI play an essential part.

Li Qiang’s work report, incidentally, had only one paragraph on BRI. But then we find this nugget as Li refers to the New International Land-Sea Trade Corridor – which links China’s landlocked southwest with the eastern seaboard, via Guangxi province.

Translation: BRI will be focusing on opening new economic roads for China’s less developed regions, diversifying from the previous emphasis on Xinjiang.

Dr Wei Yuansong is a member of the CPPCC and also the Chinese Peasants’ and Workers’ Democratic Party – which happens to be one of the eight non-CCP parties in Chinese politics (very few outside of China know about this).

He offered some fascinating comments on BRI to Fengmian News and also stressed the need to “tell China’s story well” to avoid “conflict and incidents” along the BRI road.

For that, Wei suggests the need to use an “international language” in telling these stories; that implies using English.

As for what Wang Yi said in his press conference, in fact that was discussed in detail at the closed-door Central Conference on Foreign Affairs Work in late 2023, where it was established that China faced “strategic opportunities” to raise its “international influence, appeal and power” despite “high winds and choppy waters”.

The key takeaway: the narrative war between China and the Hegemon will be pitiless.

Beijing is confident it’s capable of offering stability, investment, connectivity and sound diplomacy to the whole Global South, instead of Forever Wars.

That is reflected, for instance, by Ma Xinmin, the Chinese Foreign Ministry’s legal advisor, telling the International Court of Justice that the Palestinians have the right to armed resistance when it comes to fighting the colonialist, racist, apartheid state of Israel. Therefore, Hamas cannot be defined as a terrorist organization.

This is the overwhelming position across the lands of Islam and across the majority of the Global South – linking Beijing with fellow BRICS member Brazil and President Lula, who compared the genocide in Gaza to the Nazi genocide in WWII.

How to resist collective West sanctions

The Two Sessions did reflect Beijing’s full understanding that Hegemon containment and destabilization tactics remain the biggest challenge to China’s peaceful rise. But simultaneously it reflected Chinese confidence on its global diplomatic clout as a force for peace, stability and economic development. It’s an extremely sensitive balance that only the Middle Kingdom seems capable of pulling off.

Then there’s the Trump factor.

Economist Ding Yifan, a former deputy director of the World Development Institute, part of the State Council’s Development Research Centre, is one among those who’s aware China is learning key lessons from Russia on how to resist collective West sanctions – which will be inevitable against China especially if Trump is back at the White House.

And that brings us to the absolute key issue being currently discussed in Moscow, within the Russia-China partnership, and soon among the BRICS: alternative settlement payments to the U.S. dollar, increasing trade among “friendly nations”, and controls on capital flight.

Nearly all Russia-China trade is now in yuan and rubles.

As much as Russian trade with the EU fell by 68% in 2023, trade with Asia rose by 5.6% – with new landmarks reached with China ($240 billion) and India ($65 billion) – and 84% of Russia’s total energy exports going to “friendly countries”.

The Two Sessions did not get into detail on some extremely thorny geopolitical issues. For instance, India’s version of multipolarity – considering New Delhi’s unresolved love affair with Washington – is quite different from China’s. Everyone knows – and no one more than the Russians – that within BRICS 10 the biggest strategic issue is how to accommodate the perpetual tension between India and China.

What’s clear even behind the fog of goodwill enveloping the Two Sessions is that Beijing is fully aware of how the Hegemon is – deliberately – already crossing a key Chinese red line, officially stationing “permanent troops” in Taiwan.

Since last year U.S. Special Forces have been training Taiwanese in operating Black Hornet nano microdrones. In 2024 U.S. military advisers are deployed full time at army bases on Kinmen and Penghu islands.

Those actually driving U.S. foreign policy behind the Crash Test Dummy at the White House believe that even as they are powerless to handle the Houthi Ansarallah in the Red Sea, they are capable of poking the Dragon.

No posturing will alter the Dragon’s roadmap.

The CPPCC’s political resolution on Taiwan calls for uniting “all patriotic forces”, “deepen integration and development in various fields across the Taiwan Straits”, and go all out on “peaceful reunification”.

That will translate in practice into increased economic/trade cooperation, more direct flights, more cargo ports and logistics bases.

As Project Ukraine goes down the drain of history, Project Taiwan will go on overdrive.

Forever Wars never die.

Bring it on.

The Dragon is ready.

White Women Are PISSED At White Men Dating Asian Women!

I’ve literally (and I actually mean literally here) won cash money on bets with friends about this and it is a method I’ve been using since I was a little kid. Works every single time; no exceptions.

I’m going to let you in on my secret to treat your hiccups in a few seconds every single time.

Let’s first understand what causes hiccups; only when we understand how a machine works can we fix it if it’s acting up. Your diaphragm is a dome-shaped muscle at the bottom of your chest. The diaphragm almost always works perfectly. When you inhale, it pulls down to help pull air into the lungs. When you exhale, the diaphragm relaxes and air flows out of the lungs back out through the nose and mouth.

But sometimes the diaphragm becomes irritated. When this happens, it pulls down in a jerky way, which makes you suck air into your throat suddenly. When the air rushing in hits your voice box, your vocal cords close suddenly and you’re left with a big hiccup.

Some things that irritate the diaphragm are eating too quickly or too much, an irritation in the stomach or the throat, or feeling nervous or excited. Almost all cases of the hiccups last only a few minutes. Some cases of the hiccups can last for days or even months, but this is very unusual and it’s usually a sign of another medical problem.

So now that we understand the cause of hiccups, it’s fairly evident that to treat them, all we need to do is soothe the diaphragm, reduce the irritation and bring it back to a normal operating state.

Fill a cup a little over half with water and hold the cup right side up. Stand and bend over, while placing your mouth on the opposite side of the cup so the opening is around your chin. Sip the water slowly, holding your breath as you do and breathing between sips. The combination of these forces your diaphragm to get back to its expected state of behavior.

main qimg 8f6275661ddffb623f5cfa327cc8924e lq
main qimg 8f6275661ddffb623f5cfa327cc8924e lq

So the next time that cute girl you were trying to talk to has a bout of the hiccups, skip the cheesy lines telling her how “someone” is thinking of her and instead treat her hiccups with this method. I can assure you that will score you more brownie points that way.

There is a Russian joke.

At some point in life, a man who had previously been a great sinner has spiritually awakened and started to preach the word of God. He believed that he was inspired by the Lord Himself. So he dies, and meets St. Peter.
– Saint Peter, I need to see God.
– Why? You were an okay guy, we won’t send you to hell.
– No, I really need it. I need to ask Him one question.
St. Peter shrugs his shoulders and brings him in God’s Presence. The man asks:
– My Lord, tell me, did I understand my destiny well? Was it to carry Your word?
God is silent.
– Please tell me!
– Do you really want to know? – asks God.
– Yes, I long to know, I crave for this knowledge, I implore you!
– Okay, okay. Do you remember how you travelled by train from Samara to Syzran’? It was forty-seven years ago.
– Yes!
– Do you remember how you went to the dining car?
– Yes!
– Do you remember how your neighbour to the left asked you to pass the salt?
– Yes!
– This was your destiny. You were born to pass the salt to this person.

When I first heard this joke, I was shocked at the utter pessimism it expressed. Now, I see it in a different light. Yes, the guy was mistaken about God’s designs. But he was born just to pass the salt during a train voyage – and yet he managed to have a rich and fulfilling life. Good for him, isn’t it?

So if you really believe that God had such horrible designs on your life, why not try to see what you can do yourself?

Plumbing. Yeah. Good selection for a career.

Thunder and Roses by Theodore Sturgeon

Thunder and Roses

by Theodore Sturgeon

Preface by David Drake




Because I lived through the 1950s, I find the concept of Fifties Nostalgia hard to fathom. It was a terrifying time for me, and I don't think I was that unusual.

People—perfectly ordinary people in Middle America—actively expected nuclear war to break out. I knew families in Clinton, Iowa, with bomb shelters in the back yard. We had air raid drills, huddling in the elementary school basement, and we were taught to duck and cover if we saw the flash of a nuclear weapon. Mass circulation magazines—Collier's, Popular Science, The Saturday Evening Post—ran stories on fallout and nuclear holocaust. On the Beach and Alas, Babylon were New York Times bestsellers.

If you were a kid who read SF, the feeling of dread was even more acute. It wasn't formless for us, you see: there were hundreds of stories to describe nuclear war and its aftermath of lingering death, deformity, and savagery in vivid detail. "Thunder and Roses," which I read in The Astounding Science Fiction Anthology when I was thirteen, is one of the earlier stories of the type. It's possibly the best, because Theodore Sturgeon at his peak was one of the best writers of SF ever.

For those of you who haven't read "Thunder and Roses" before: Welcome to the fifties, my friends.

 

 

When Pete Mawser learned about the show, he turned away from the GHQ bulletin board, touched his long chin, and determined to shave, in spite of the fact that the show would be video, and he would see it in his barracks. He had an hour and a half. It felt good to have a purpose again—even the small matter of shaving before eight o’clock. Eight o’clock Tuesday, just the way it used to be. Everyone used to say, Wednesday morning, “How about the way Starr sang The Breeze and I last night?”

That was a while ago, before the attack, before all those people were dead, before the country was dead. Starr Anthim—an institution, like Crosby, like Duse, like Jenny Lind, like the Statue of Liberty. (Liberty had been one of the first to get it, her bronze beauty volatilized, radio-activated, and even now being carried about in vagrant winds, spreading over the earth . . . )

Pete Mawser grunted and forced his thoughts away from the drifting, poisonous fragments of a blasted liberty. Hate was first. Hate was ubiquitous, like the increasing blue glow in the air at night, like the tension that hung over the base.

Gunfire crackled sporadically far to the right, swept nearer. Pete stepped out to the street and made for a parked truck. There was a Wac sitting on the short running-board.

At the corner a stocky figure backed into the intersection. The man carried a tommy-gun in his arms, and he was swinging it to and fro with the gentle, wavering motion of a weather-vane. He staggered toward them, his gun-muzzle hunting. Someone fired from a building and the man swiveled and blasted wildly at the sound.

“He’s—blind,” said Pete Mawser, and added, “he ought to be,” looking at the tattered face.

A siren keened. An armored jeep slewed into the street. The full-throated roar of a brace of .50-caliber machine-guns put a swift and shocking end to the incident.

“Poor crazy kid,” Pete said softly. “That’s the fourth I’ve seen today.” He looked down at the Wac. She was smiling. “Hey!”

“Hello, Sarge.” She must have identified him before, because now she did not raise her eyes nor her voice. “What happened?”

“You know what happened. Some kid got tired of having nothing to fight and nowhere to run to. What’s the matter with you?”

“No,” she said. “I don’t mean that.” At last she looked up at him. “I mean all of this. I can’t seem to remember.”

“You—well, it’s not easy to forget. We got hit. We got hit everywhere at once. All the big cities are gone. We got it from both sides. We got too much. The air is becoming radioactive. We’ll all—” He checked himself. She didn’t know. She’d forgotten. There was nowhere to escape to, and she’d escaped inside herself, right here. Why tell her about it? Why tell her that everyone was going to die? Why tell her that other, shameful thing: that we hadn’t struck back?

But she wasn’t listening. She was still looking at him. Her eyes were not quite straight. One held his, but the other was slightly shifted and seemed to be looking at his temple. She was smiling again. When his voice trailed off she didn’t prompt him. Slowly, he moved away. She did not turn her head, but kept looking up at where he had been, smiling a little. He turned away, wanting to run, walking fast.

How long could a guy hold out? When you were in the army they tried to make you be like everybody else. What did you do when everybody else was cracking up?

He blanked out the mental picture of himself as the last one left sane. He’d followed that one through before. It always led to the conclusion that it would be better to be one of the first. He wasn’t ready for that yet. Then he blanked that out, too. Every time he said to himself that he wasn’t ready for that yet, something within him asked “Why not?” and he never seemed to have an answer ready.

How long could a guy hold out?

He climbed the steps of the QM Central and went inside. There was nobody at the reception switchboard. It didn’t matter. Messages were carried by jeep, or on motor-cycles. The Base Command was not insisting that anybody stick to a sitting job these days. Ten desk-men could crack up for every one on a jeep, or on the soul-sweat squads. Pete made up his mind to put in a little stretch on a squad tomorrow. Do him good. He just hoped that this time the adjutant wouldn’t burst into tears in the middle of the parade ground. You could keep your mind on the manual of arms just fine until something like that happened.

He bumped into Sonny Weisefreund in the barracks corridor. The Tech’s round young face was as cheerful as ever. He was naked and glowing, and had a towel thrown over his shoulder.

“Hi, Sonny. Is there plenty of hot water?”

“Why not?” grinned Sonny. Pete grinned back, wondering if anybody could say anything about anything at all without one of these reminders. Of course, there was hot water. The QM barracks had hot water for three hundred men. There were three dozen left. Men dead, men gone to the hills, men locked up so they wouldn’t—

“Starr Anthim’s doing a show tonight.”

“Yeah. Tuesday night. Not funny, Pete. Don’t you know there’s a war—”

“No kidding,” Pete said swiftly. “She’s here—right here on the base.”

Sonny’s face was joyful. “Gee.” He pulled the towel off his shoulder and tied it around his waist. “Starr Anthim here! Where are they going to put on the show?”

“HQ, I imagine. Video only. You know about public gatherings.”

“Yeah. And a good thing, too,” said Sonny. “Somebody’d be sure to crack up. I wouldn’t want her to see anything like that. How’d she happen to come here, Pete?”

“Drifted in on the last gasp of a busted-up Navy helicopter.”

“Yeah, but why?”

“Search me. Get your head out of that gift-horse’s mouth.”

He went into the washroom, smiling and glad that he still could. He undressed and put his neatly folded clothes down on a bench. There were a soap-wrapper and an empty tooth-paste tube lying near the wall. He picked them up and put them in the catchall, took the mop that leaned against the partition and mopped the floor where Sonny had splashed after shaving. Someone had to keep things straight. He might have worried if it were anyone else but Sonny. But Sonny wasn’t cracking up. Sonny always had been like that. Look there. Left his razor out again.

Pete started his shower, meticulously adjusting the valves until the pressure and temperature exactly suited him. He did nothing carelessly these days. There was so much to feel, and taste, and see now. The impact of water on his skin, the smell of soap, the consciousness of light and heat, the very pressure of standing on the soles of his feet . . . he wondered vaguely how the slow increase of radioactivity in the air, as the nitrogen transmuted to Carbon Fourteen, would affect him if he kept carefully healthy in every way. What happens first? Blindness? Headaches? Perhaps a loss of appetite or slow fatigue?

Why not look it up?

On the other hand, why bother? Only a very small percentage of the men would die of radioactive poisoning. There were too many other things that killed more quickly, which was probably just as well. That razor, for example. It lay gleaming in a sunbeam, curved and clean in the yellow light. Sonny’s father and grandfather had used it, or so he said, and it was his pride and joy.

Pete turned his back on it, and soaped under his arms, concentrating on the tiny kisses of bursting bubbles. In the midst of a recurrence of disgust at himself for thinking so often of death, a staggering truth struck him. He did not think of such things because he was morbid, after all! It was the very familiarity of things that brought death-thoughts. It was either “I shall never do this again” or “This is one of the last times I shall do this.” You might devote yourself completely to doing things in different ways, he thought madly. You might crawl across the floor this time, and next time walk across on your hands. You might skip dinner tonight, and have a snack at two in the morning instead, and eat grass for breakfast.

But you had to breathe. Your heart had to beat. You’d sweat and you’d shiver, the same as always. You couldn’t get away from that. When those things happened, they would remind you. Your heart wouldn’t beat out its wunklunk, wunklunk any more. It would go one-less, one-less until it yelled and yammered in your ears and you had to make it stop.

Terrific polish on that razor.

And your breath would go on, same as before. You could sidle through this door, back through the next one and the one after, and figure out a totally new way to go through the one after that, but your breath would keep on sliding in and out of your nostrils like a razor going through whiskers, making a sound like a razor being stropped.

Sonny came in. Pete soaped his hair. Sonny picked up the razor and stood looking at it. Pete watched him, soap ran into his eyes, he swore, and Sonny jumped.

“What are you looking at, Sonny? Didn’t you ever see it before?”

“Oh, sure. Sure. I just was—” He shut the razor, opened it, flashed light from its blade, shut it again. “I’m tired of using this, Pete. I’m going to get rid of it. Want it?”

Want it? In his foot-locker, maybe. Under his pillow. “Thanks, no, Sonny. Couldn’t use it.”

“I like safety razors,” Sonny mumbled. “Electrics, even better. What are we going to do with it?”

“Throw it in the—no.” Pete pictured the razor turning end over end in the air, half open, gleaming in the maw of the catchall. “Throw it out the—” No. Curving out into the long grass. He might want it. He might crawl around in the moonlight looking for it. He might find it.

“I guess maybe I’ll break it up.”

“No,” Pete said. “The pieces—” Sharp little pieces. Hollow-ground fragments. “I’ll think of something. Wait’ll I get dressed.”

He washed briskly, toweled, while Sonny stood looking at the razor. It was a blade now, and if it were broken it would be shards and glittering splinters, still razor sharp. If it were ground dull with an emery wheel, somebody could find it and put another edge on it because it was so obviously a razor, a fine steel razor, one that would slice so—

“I know. The laboratory. We’ll get rid of it,” Pete said confidently.

He stepped into his clothes, and together they went to the laboratory wing. It was very quiet there. Their voices echoed.

“One of the ovens,” said Pete, reaching for the razor.

“Bake-ovens? You’re crazy!”

Pete chuckled, “You don’t know this place, do you? Like everything else on the base, there was a lot more went on here than most people knew about. They kept calling it the bakeshop. Well, it was research headquarters for new high-nutrient flours. But there’s lots else here. We tested utensils and designed vegetable-peelers and all sorts of things like that. There’s an electric furnace in there that—” He pushed open a door.

They crossed a long, quiet, cluttered room to the thermal equipment. “We can do everything here from annealing glass, through glazing ceramics, to finding the melting point of frying pans.” He clicked a switch tentatively. A pilot light glowed. He swung open a small, heavy door and set the razor inside. “Kiss it goodbye. In twenty minutes it’ll be a puddle.”

“I want to see that,” said Sonny. “Can I look around until it’s cooked?”

“Why not?”

They walked through the laboratories. Beautifully equipped they were, and too quiet. Once they passed a major who was bent over a complex electronic hook-up on one of the benches. He was watching a little amber light flicker, and he did not return their salute. They tip-toed past him, feeling awed at his absorption, envying it. They saw the models of the automatic kneaders, the vitaminizers, the remote signal thermostats and timers and controls.

“What’s in there?”

“I dunno. I’m over the edge of my territory. I don’t think there’s anybody left for this section. They were mostly mechanical and electronic theoreticians. Hey!”

Sonny followed the pointing hand. “What?”

“That wall-section. It’s loose, or—well, what do you know!”

He pushed at the section of wall which was very slightly out of line. There was a dark space beyond.

“What’s in there?”

“Nothing, or some semi-private hush-hush job. These guys used to get away with murder.”

Sonny said, with an uncharacteristic flash of irony, “Isn’t that the Army theoretician’s business?”

Cautiously they peered in, then entered.

“Wh—hey! The door!”

It swung swiftly and quietly shut. The soft click of the latch was accompanied by a blaze of light.

The room was small and windowless. It contained machinery—a “trickle” charger, a bank of storage batteries, an electric-powered dynamo, two small self-starting gas-driven light plants and a diesel complete with sealed compressed-air starting cylinders. In the corner was a relay rack with its panel-bolts spot-welded. Protruding from it was a red-topped lever.

They looked at the equipment wordlessly for a time and then Sonny said, “Somebody wanted to make awful sure he had power for something.”

“Now, I wonder what—” Pete walked over to the relay rack. He looked at the lever without touching it. It was wired up; behind the handle, on the wire, was a folded tag. He opened it cautiously. “To be used only on specific orders of the Commanding Officer.”

“Give it a yank and see what happens.”

Something clicked behind them. They whirled. “What was that?”

“Seemed to come from that rig beside the door.”

They approached it cautiously. There was a spring-loaded solenoid attached to a bar which was hinged to drop across the inside of the secret door, where it would fit into steel gudgeons on the panel. It clicked again.

“A Geiger counter,” said Pete disgustedly.

“Now why,” mused Sonny, “would they design a door to stay locked unless the general radioactivity went beyond a certain point? That’s what it is. See the relays? And the overload switch there? And this?”

“It has a manual lock, too,” Pete pointed out. The counter clicked again. “Let’s get out of here. I got one of those things built into my head these days.”

The door opened easily. They went out, closing it behind them. The keyhole was cleverly concealed in the crack between two boards.

They were silent as they made their way back to the QM labs. The small thrill of violation was gone.

Back at the furnace, Pete glanced at the temperature dial, then kicked the latch control. The pilot winked out, and then the door swung open. They blinked and started back from the raging heat within. They bent and peered. The razor was gone. A pool of brilliance lay on the floor of the compartment.

“Ain’t much left. Most of it oxidized away,” Pete grunted.

They stood together for a time with their faces lit by the small shimmering ruin. Later, as they walked back to the barracks, Sonny broke his long silence with a sigh. “I’m glad we did that, Pete. I’m awful glad we did that.”

At a quarter to eight they were waiting before the combination console in the barracks. All hands except Pete and Sonny and a wiry-haired, thick-set corporal named Bonze had elected to see the show on the big screen in the mess-hall. The reception was better there, of course, but, as Bonze put it, “You don’t get close enough in a big place like that.”

“I hope she’s the same,” said Sonny, half to himself.

Why should she be? thought Pete morosely as he turned on the set and watched the screen begin to glow. There were many more of the golden speckles that had killed reception for the past two weeks . . . Why should anything be the same, ever again?

He fought a sudden temptation to kick the set to pieces. It, and Starr Anthim, were part of something that was dead. The country was dead, a once real country—prosperous, sprawling, laughing, grabbing, growing, and changing, mostly healthy, leprous in spots with poverty and injustice, but systemically healthy enough to overcome any ill. He wondered how the murderers would like it. They were welcome to it, now. Nowhere to go. No one to fight. That was true for every soul on earth now.

“You hope she’s the same,” he muttered.

“The show, I mean,” said Sonny mildly. “I’d like to just sit here and have it like—like—”

Oh, thought Pete mistily. Oh—that. Somewhere to go, that’s what it is, for a few minutes . . . “I know,” he said, all the harshness gone from his voice.

Noise receded from the audio as the carrier swept in. The light on the screen swirled and steadied into a diamond pattern. Pete adjusted the focus, chromic balance and intensity. “Turn out the lights, Bonze. I don’t want to see anything but Starr Anthim.”

It was the same, at first. Starr Anthim had never used the usual fanfares, fade-ins, color and clamor of her contemporaries. A black screen, then click! a blaze of gold. It was all there, in focus; tremendously intense, it did not change. Rather, the eye changed to take it in. She never moved for seconds after she came on; she was there, a portrait, a still face and a white throat. Her eyes were open and sleeping. Her face was alive and still.

Then, in the eyes which seemed green but were blue flecked with gold, an awareness seemed to gather, and they came awake. Only then was it noticeable that her lips were parted. Something in the eyes made the lips be seen, though nothing moved yet. Not until she bent her head slowly, so that some of the gold flecks seemed captured in the golden brows. The eyes were not, then, looking out at an audience. They were looking at me, and at me, and at ME.

“Hello—you,” she said. She was a dream, with a kid sister’s slightly irregular teeth.

Bonze shuddered. The cot on which he lay began to squeak rapidly. Sonny shifted in annoyance. Pete reached out in the dark and caught the leg of the cot. The squeaking subsided.

“May I sing a song?” Starr asked. There was music, very faint. “It’s an old one, and one of the best. It’s an easy song, a deep song, one that comes from the part of men and women that is mankind—the part that has in it no greed, no hate, no fear. This song is about joyousness and strength. It’s—my favorite. Is it yours?”

The music swelled. Pete recognized the first two notes of the introduction and swore quietly. This was wrong. This song was not for—this song was part of—

Sonny rat raptly. Bonze lay still.

Starr Anthim began to sing. Her voice was deep and powerful, but soft, with the merest touch of vibrato at the ends of the phrases. The song flowed from her, without noticeable effort, seeming to come from her face, her long hair, her wide-set eyes. Her voice, like her face, was shadowed and clean, round, blue and green but mostly gold.


When you gave me your heart, you gave me the world,
You gave me the night and the day,
And thunder, and roses, and sweet green grass,
The sea, and soft wet clay.

I drank the dawn from a golden cup,
From a silver one, the dark,
The steed I rode was the wild west wind,
My song was the brook and the lark.

 

The music spiraled, caroled, slid into a somber cry of muted hungry sixths and ninths; rose, blared, and cut, leaving her voice full and alone:


With thunder I smote the evil of earth,
With roses I won the right,
With the sea I washed, and with clay I built,
And the world was a place of light!

 

The last note left a face perfectly composed again, and there was no movement in it; it was sleeping and vital while the music curved off and away to the places where music rests when it is not heard.

Starr smiled.

“It’s so easy,” she said. “So simple. All that is fresh and clean and strong about mankind is in that song, and I think that’s all that need concern us about mankind.” She leaned forward. “Don’t you see?”

The smile faded and was replaced with a gentle wonder. A tiny furrow appeared between her brows; she drew back quickly. “I can’t seem to talk to you tonight,” she said, her voice small. “You hate something.”

Hate was shaped like a monstrous mushroom. Hate was the random speckling of a video plate.

“What has happened to us,” said Starr abruptly, impersonally, “is simple too. It doesn’t matter who did it—do you understand that? It doesn’t matter. We were attacked. We were struck from the east and from the west. Most of the bombs were atomic—there were blast-bombs and there were dust-bombs. We were hit by about five hundred and thirty bombs altogether, and it has killed us.”

She waited.

Sonny’s fist smacked into his palm. Bonze lay with his eyes open, open, quiet. Pete’s jaws hurt.

“We have more bombs than both of them put together. We have them. We are not going to use them. Wait!” She raised her hands suddenly, as if she could see into each man’s face. They sank back, tense.

“So saturated is the atmosphere with Carbon Fourteen that all of us in this hemisphere are going to die. Don’t be afraid to say it. Don’t be afraid to think it. It is a truth, and it must be faced. As the transmutation effect spreads from the ruins of our cities, the air will become increasingly radioactive, and then we must die. In months, in a year or so, the effect will be strong overseas. Most of the people there will die too. None will escape completely. A worse thing will come to them than anything they have given us, because there will be a wave of horror and madness which is impossible to us. We are merely going to die. They will live and burn and sicken, and the children that will be born to them—” She shook her head, and her lower lip grew full. She visibly pulled herself together.

“Five hundred and thirty bombs . . . I don’t think either of our attackers knew just how strong the other was. There has been so much secrecy.” Her voice was sad. She shrugged slightly. “They have killed us, and they have ruined themselves. As for us—we are not blameless, either. Neither are we helpless to do anything—yet. But what we must do is hard. We must die—without striking back.”

She gazed briefly at each man in turn, from the screen. “We must not strike back. Mankind is about to go through a hell of his own making. We can be vengeful—or merciful, if you like—and let go with the hundreds of bombs we have. That would sterilize the planet so that not a microbe, not a blade of grass could escape, and nothing new could grow. We would reduce the earth to a bald thing, dead and deadly.

“No—it just won’t do. We can’t do it.

“Remember the song? That is humanity. That’s in all humans. A disease made other humans our enemies for a time, but as the generations march past, enemies become friends and friends enemies. The enmity of those who have killed us is such a tiny, temporary thing in the long sweep of history!”

Her voice deepened. “Let us die with the knowledge that we have done the one noble thing left to us. The spark of humanity can still live and grow on this planet. It will be blown and drenched, shaken and all but extinguished, but it will live if that song is a true one. It will live if we are human enough to discount the fact that the spark is in the custody of our temporary enemy. Some—a few—of his children will live to merge with the new humanity that will gradually emerge from the jungles and the wilderness. Perhaps there will be ten thousand years of beastliness; perhaps man will be able to rebuild while he still has his ruins.”

She raised her head, her voice tolling. “And even if this is the end of humankind, we dare not take away the chances some other life-form might have to succeed where we failed. If we retaliate, there will not be a dog, a deer, an ape, a bird or fish or lizard to carry the evolutionary torch. In the name of justice, if we must condemn and destroy ourselves, let us not condemn all other life along with us! Mankind is heavy enough with sins. If we must destroy, let us stop with destroying ourselves!”

There was a shimmering flicker of music. It seemed to stir her hair like a breath of wind. She smiled.

“That’s all,” she whispered. And to each man listening she said, “Good night . . .”

The screen went black. As the carrier cut off (there was no announcement) the ubiquitous speckles began to swarm across it.

Pete rose and switched on the lights. Bonze and Sonny were quite still. It must have been minutes later when Sonny sat up straight, shaking himself like a puppy. Something besides the silence seemed to tear with the movement.

He said, softly, “You’re not allowed to fight anything, or to run away, or to live, and now you can’t even hate any more, because Starr says no.”

There was bitterness in the sound of it, and a bitter smell to the air.

Pete Mawser sniffed once, which had nothing to do with the smell. He sniffed again. “What’s that smell, Son?”

Sonny tested it. “I don’t— Something familiar. Vanilla—no . . . No.”

“Almonds. Bitter—Bonze!”

Bonze lay still with his eyes open, grinning. His jaw muscles were knotted, and they could see almost all his teeth. He was soaking wet.

“Bonze!”

“It was just when she came on and said ‘Hello—you,’ remember?” whispered Pete. “Oh, the poor kid. That’s why he wanted to catch the show here instead of in the mess-hall.”

“Went out looking at her,” said Sonny through pale lips. “I—can’t say I blame him much. Wonder where he got the stuff.”

“Never mind that!” Pete’s voice was harsh. “Let’s get out of here.”

They left to call the ambulance. Bonze lay watching the console with his dead eyes and his smell of bitter almonds.

* * *

Pete did not realize where he was going, or exactly why, until he found himself on the dark street near GHQ and the communications shack, reflecting that it might be nice to be able to hear Starr, and see her, whenever he felt like it. Maybe there weren’t any recordings; yet her musical background was recorded, and the signal corps might have recorded the show.

He stood uncertainly outside the GHQ building. There was a cluster of men outside the main entrance. Pete smiled briefly. Rain, nor snow, nor sleet, nor gloom of night could stay the stage-door Johnnie.

He went down the side street and up the delivery ramp in the back. Two doors along the platform was the rear exit of the communications section.

There was a light on in the communications shack. He had his hand out to the screen door when he noticed someone standing in the shadows beside it. The light played daintily on the golden margins of a head and face.

He stopped. “S—Starr Anthim!”

“Hello, soldier. Sergeant.”

He blushed like an adolescent. “I—” His voice left him. He swallowed, reached up to whip off his hat. He had no hat. “I saw the show,” he said. He felt clumsy. It was dark, and yet he was very conscious of the fact that his dress-shoes were indifferently shined.

She moved toward him into the light, and she was so beautiful that he had to close his eyes. “What’s your name?”

“Mawser. Pete Mawser.”

“Like the show?”

Not looking at her, he said stubbornly, “No.”

“Oh?”

“I mean—I liked it some. The song.”

“I—think I see.”

“I wondered if I could maybe get a recording.”

“I think so,” she said. “What kind of reproducer have you got?”

“Audiovid.”

“A disc. Yes; we dubbed off a few. Wait, I’ll get you one.”

She went inside, moving slowly. Pete watched her, spellbound. She was a silhouette, crowned and haloed; and then she was a framed picture, vivid and golden. He waited, watching the light hungrily. She returned with a large envelope, called good night to someone inside, and came out on the platform.

“Here you are, Pete Mawser.”

“Thanks very—” he mumbled. He wet his lips. “It was very good of you.”

“Not really. The more it circulates, the better.” She laughed suddenly. “That isn’t meant quite as it sounds. I’m not exactly looking for new publicity these days.”

The stubbornness came back. “I don’t know if you’d get it, if you put on that show in normal times.”

Her eyebrows went up. “Well!” she smiled. “I seem to have made quite an impression.”

“I’m sorry,” he said warmly. “I shouldn’t have taken that tack. Everything I think and say these days is exaggerated.”

“I know what you mean.” She looked around. “How is it here?”

“It’s okay. I used to be bothered by the secrecy, and being buried miles away from civilization.” He chuckled bitterly. “Turned out to be lucky after all.”

“You sound like the first chapter of One World or None.”

He looked up quickly. “What do you use for a reading list—the Government’s own Index Expurgatorius?”

She laughed. “Come now, it isn’t as bad as all that. The book was never banned. It was just—”

“Unfashionable,” he filled in.

“Yes, more’s the pity. If people had paid more attention to it in the ‘forties, perhaps this wouldn’t have happened.”

He followed her gaze to the dimly pulsating sky. “How long are you going to be here?”

“Until—as long as—I’m not leaving.”

“You’re not?”

“I’m finished,” she said simply. “I’ve covered all the ground I can. I’ve been everywhere that . . . anyone knows about.”

“With this show?”

She nodded. “With this particular message.”

He was quiet, thinking. She turned to the door, and he put out his hand, not touching her. “Please—”

“What is it?”

“I’d like to—I mean, if you don’t mind, I don’t often have a chance to talk to—maybe you’d like to walk around a little before you turn in.”

“Thanks, no, Sergeant. I’m tired.” She did sound tired. “I’ll see you around.”

He stared at her, a sudden fierce light in his brain. “I know where it is. It’s got a red-topped lever and a tag referring to orders of the commanding officer. It’s really camouflaged.”

She was quiet so long that he thought she had not heard him. Then, “I’ll take that walk.”

They went down the ramp together and turned toward the dark parade ground.

“How did you know?” she asked quietly.

“Not too tough. “This ‘message’ of yours; the fact that you’ve been all over the country with it; most of all, the fact that somebody finds it necessary to persuade us not to strike back. Who are you working for?” he asked bluntly.

Surprisingly, she laughed.

“What’s that for?”

“A moment ago you were blushing and shuffling your feet.”

His voice was rough. “I wasn’t talking to a human being. I was talking to a thousand songs I’ve heard, and a hundred thousand blonde pictures I’ve seen pinned up. You’d better tell me what this is all about.”

She stopped. “Let’s go up and see the colonel.”

He took her elbow. “No. I’m just a sergeant, and he’s high brass, and that doesn’t make any difference at all now. You’re a human being, and so am I, and I’m supposed to respect your rights as such. I don’t. You’d better tell me about it.”

“All right,” she said, with a tired acquiescence that frightened something inside him. “You seem to have guessed right, though. It’s true. There are master firing keys for the launching sites. We have located and dismantled all but two. It’s very likely that one of the two was vaporized. The other one is—lost.”

“Lost?”

“I don’t have to tell you about the secrecy,” she said. “You know how it developed between nation and nation. You must know that it existed between State and Union, between department and department, office and office. There were only three or four men who knew where all the keys were. Three of them were in the Pentagon when it went up. That was the third blast-bomb, you know. If there was another, it could only have been Senator Vanercook, and he died three weeks ago without talking.”

“An automatic radio key, hm?”

“That’s right. Sergeant, must we walk? I’m so tired.”

“I’m sorry,” he said impulsively. They crossed to the reviewing stand and sat on the lonely benches. “Launching racks all over, all hidden, and all armed?”

“Most of them are armed. There’s a timing mechanism in them that will disarm them in a year or so. But in the meantime, they are armed—and aimed.”

“Aimed where?”

“It doesn’t matter.”

“I think I see. What’s the optimum number again?”

“About six hundred and forty; a few more or less. At least five hundred and thirty have been thrown so far. We don’t know exactly.”

“Who are we?” he asked furiously.

“Who? Who?” She laughed weakly. “I could say, ‘The Government,’ perhaps. If the President dies, the Vice-President takes over, and then the Secretary of State, and so on and on. How far can you go? Pete Mawser, don’t you realize yet what’s happened?”

“I don’t know what you mean.”

“How many people do you think are left in this country?”

“I don’t know. Just a few million, I guess.”

“How many are here?”

“About nine hundred.”

“Then, as far as I know, this is the largest city left.”

He leaped to his feet. “No!” The syllable roared away from him, hurled itself against the dark, empty buildings, came back to him in a series of lower-case echoes: nononono . . . no-no.

Starr began to speak rapidly, quietly. “They’re scattered all over the fields and the roads. They sit in the sun and die. They run in packs, they tear at each other. They pray and starve and kill themselves and die in the fires. The fires—everywhere, if anything stands, it’s burning. Summer, and the leaves all down in the Berkshires, and the blue grass burnt brown; you can see the grass dying from the air, the death going out wider and wider from the bald-spots. Thunder and roses . . . I saw roses, new ones, creeping from the smashed pots of a greenhouse. Brown petals, alive and sick, and the thorns turned back on themselves, growing into the stems, killing. Feldman died tonight.”

He let her be quiet for a time. Then:

“Who is Feldman?”

“My pilot.” She was talking hollowly into her hands. “He’s been dying for weeks. He’s been on his nerve-ends. I don’t think he had any blood left. He buzzed your GHQ and made for the landing strip. He came in with the motor dead, free rotors, giro. Smashed the landing gear. He was dead, too. He killed a man in Chicago so he could steal gas. The man didn’t want the gas. There was a dead girl by the pump. He didn’t want us to go near. I’m not going anywhere. I’m going to stay here. I’m tired.”

At last she cried.

Pete left her alone, and walked out to the center of the parade ground, looking back at the faint huddled glimmer on the bleachers. His mind flickered over the show that evening, and the way she had sung before the merciless transmitter. “Hello, you.” “If we must destroy, let us stop with destroying ourselves!”

The dimming spark of humankind . . . what could it mean to her? How could it mean so much?

Thunder and roses.” Twisted, sick, non-survival roses, killing themselves with their own thorns.

And the world was a place of light!” Blue light, flickering in the contaminated air.

The enemy. The red-topped lever. Bonze. “They pray and starve and kill themselves and die in the fires.”

What creatures were these, these corrupted, violent, murdering humans? What right had they to another chance? What was in them that was good?

Starr was good. Starr was crying. Only a human being could cry like that. Starr was a human being.

Had humanity anything of Starr Anthim in it?

Starr was a human being.

He looked down through the darkness for his hands. No planet, no universe, is greater to a man than his own ego, his own observing self. These hands were the hands of all history, and like the hands of all men, they could by their small acts make human history or end it. Whether this power of hands was that of a billion hands, or whether it came to a focus in these two—this was suddenly unimportant to the eternities which now enfolded him.

He put humanity’s hands deep in his pockets and walked slowly back to the bleachers.

“Starr.”

She responded with a sleepy-child, interrogative whimper.

“They’ll get their chance, Starr. I won’t touch the key.”

She sat straight. She rose, and came to him, smiling. He could see her smile, because, very faintly in the air, her teeth fluoresced. She put her hands on his shoulders. “Pete.”

He held her very close for a moment. Her knees buckled then, and he had to carry her.

There was no one in the Officers’ Club, which was the nearest building. He stumbled in, moved clawing along the wall until he found a switch. The light hurt him. He carried her to a settee and put her down gently. She did not move. One side of her face was as pale as milk.

He stood looking stupidly at it, wiped it on the sides of his trousers, looking dully at Starr. There was blood on her shirt.

A doctor . . . but there was no doctor. Not since Anders had hanged himself. “Get somebody,” he muttered. “Do something.”

He dropped to his knees and gently unbuttoned her shirt. Between the sturdy unfeminine GI bra and the top of her slacks, there was blood on her side. He whipped out a clean handkerchief and began to wipe it away. There was no wound, no puncture. But abruptly there was blood again. He blotted it carefully. And again there was blood.

It was like trying to dry a piece of ice with a towel.

He ran to the water cooler, wrung out the bloody handkerchief and ran back to her. He bathed her face carefully, the pale right side, the flushed left side. The handkerchief reddened again, this time with cosmetics, and then her face was pale all over, with great blue shadows under the eyes. While he watched, blood appeared on her left cheek.

“There must be somebody—” He fled to the door.

“Pete!”

Running, turning at the sound of her voice, he hit the doorpost stunningly, caromed off, flailed for his balance, and then was back at her side. “Starr! Hang on, now! I’ll get a doctor as quick as—”

Her hand strayed over her left cheek. “You found out. Nobody else knew, but Feldman. It got hard to cover properly.” Her hand went up to her hair.

“Starr, I’ll get a—”

“Pete, darling, promise me something?”

“Why, sure; certainly, Starr.”

“Don’t disturb my hair. It isn’t—all mine, you see.” She sounded like a seven-year-old, playing a game. “It all came out on this side. I don’t want you to see me that way.”

He was on his knees beside her again. “What is it? What happened to you?” he asked hoarsely.

“Philadelphia,” she murmured. “Right at the beginning. The mushroom went up a half-mile away. The studio caved in. I came to the next day. I didn’t know I was burned, then. It didn’t show. My left side. It doesn’t matter, Pete. It doesn’t hurt at all, now.”

He sprang to his feet again. “I’m going for a doctor.”

“Don’t go away. Please don’t go away and leave me. Please don’t.” There were tears in her eyes. “Wait just a little while. Not very long, Pete.”

He sank to his knees again. She gathered both his hands in hers and held them tightly. She smiled happily. “You’re good, Pete. You’re so good.”

(She couldn’t hear the blood in his ears, the roar of the whirlpool of hate and fear and anguish that spun inside of him.)

She talked to him in a low voice, and then in whispers. Sometimes he hated himself because he couldn’t quite follow her. She talked about school, and her first audition. “I was so scared that I got a vibrato in my voice. I’d never had one before. I always let myself get a little scared when I sing now. It’s easy.” There was something about a window-box when she was four years old. “Two real live tulips and a pitcher-plant. I used to be sorry for the flies.”

There was a long period of silence after that, during which his muscles throbbed with cramp and stiffness, and gradually became numb. He must have dozed; he awoke with a violent start, feeling her fingers on his face. She was propped up on one elbow. She said clearly, “I just wanted to tell you, darling. Let me go first, and get everything ready for you. It’s going to be wonderful. I’ll fix you a special tossed salad. I’ll make you a steamed chocolate pudding and keep it hot for you.”

Too muddled to understand what she was saying, he smiled and pressed her back on the settee. She took his hands again.

The next time he awoke it was broad daylight, and she was dead.

Sonny Weisefreund was sitting on his cot when he got back to the barracks. He handed over the recording he had picked up from the parade-ground on the way back. “Dew on it. Dry it off. Good boy,” he croaked, and fell face downward on the cot Bonze had used.

Sonny stared at him. “Pete! Where you been? What happened? Are you all right?”

Pete shifted a little and grunted. Sonny shrugged and took the audiovid disc out of its wet envelope. Moisture would not harm it particularly, though it could not be played while wet. It was made of a fine spiral of plastic, insulated between laminations. Electrostatic pickups above and below the turntable would fluctuate with changes in the dielectric constant which had been impressed by the recording, and these changes were amplified for the scanners. The audio was a conventional hill-and-dale needle. Sonny began to wipe it down carefully.

* * *

Pete fought upward out of a vast, green-lit place full of flickering cold fires. Starr was calling him. Something was punching him, too. He fought it weakly, trying to hear what she was saying. But someone else was jabbering too loud for him to hear.

He opened his eyes. Sonny was shaking him, his round face pink with excitement. The Audiovid was running. Starr was talking. Sonny got up impatiently and turned down the volume. “Pete! Pete! Wake up, will you? I got to tell you something. Listen to me! Wake up, will yuh?”

“Huh?”

“That’s better. Now listen. I’ve just been listening to Starr Anthim—”

“She’s dead,” said Pete.

Sonny didn’t hear. He went on, explosively, “I’ve figured it out. Starr was sent out here, and all over, to beg someone not to fire any more atom bombs. If the government was sure they wouldn’t strike back, they wouldn’t’ve taken the trouble. Somewhere, Pete, there’s some way to launch bombs at those murdering cowards—and I’ve got a pret-ty shrewd idea of how to do it.”

Pete strained groggily toward the faint sound of Starr’s voice. Sonny talked on. “Now, s’posing there was a master radio key—an automatic code device something like the alarm signal they have on ships, that rings a bell on any ship within radio range when the operator sends four long dashes. Suppose there’s an automatic code machine to launch bombs, with repeaters, maybe, buried all over the country. What would it be? Just a little lever to pull; that’s all. How would the thing be hidden? In the middle of a lot of other equipment, that’s where; in some place where you’d expect to find crazy-looking secret stuff. Like an experiment station. Like right here. You beginning to get the idea?”

“Shut up, I can’t hear her.”

“The hell with her! You can listen to her some other time. You didn’t hear a thing I said!”

“She’s dead.”

“Yeah. Well, I figure I’ll pull that handle. What can I lose? It’ll give those murderin’—what?

“She’s dead.”

“Dead? Starr Anthim?” His young face twisted, Sonny sank down to the cot. “You’re half asleep. You don’t know what you’re saying.”

“She’s dead,” Pete said hoarsely. “She got burned by one of the first bombs. I was with her when she—she— Shut up now and get out of here and let me listen!” he bellowed hoarsely.

Sonny stood up slowly. “They killed her, too. They killed her! That does it. That just fixes it up.” His face was white. He went out.

Pete got up. His legs weren’t working right. He almost fell. He brought up against the console with a crash, his outflung arm sending the pickup skittering across the record. He put it on again and turned up the volume, then lay down to listen.

His head was all mixed up. Sonny talked too much. Bomb launchers, automatic code machines—

“You gave me your heart,” sand Starr. “You gave me your heart. You gave me your heart. You . . .”

Pete heaved himself up again and moved the pickup arm. Anger, not at himself, but at Sonny for causing him to cut the disc that way, welled up.

Starr was talking, stupidly, her face going through the same expression over and over again. “Struck from the east and from the struck from the east and from the . . .”

He got up again wearily and moved the pickup.

“You gave me your heart you gave me . . .”

Pete made an agonized sound that was not a word at all, bent, lifted, and sent the console crashing over. In the bludgeoning silence, he said, “I did, too.”

Then, “Sonny.” He waited.

“Sonny!”

His eyes went wide then, and he cursed and bolted for the corridor.

The panel was closed when he reached it. He kicked at it. It flew open, discovering darkness.

“Hey!” bellowed Sonny. “Shut it! You turned off the lights!”

Pete shut it behind them. The lights blazed.

“Pete! What’s the matter?”

“Nothing’s the matter, Son,” croaked Pete.

“What are you looking at?” said Sonny uneasily.

“I’m sorry,” said Pete as gently as he could. “I just wanted to find something out, is all. Did you tell anyone else about this?” He pointed to the lever.

“Why, no. I only just figured it out while you were sleeping, just now.”

Pete looked around carefully, while Sonny shifted his weight. Pete moved toward a tool-rack. “Something you haven’t noticed yet, Sonny,” he said softly, and pointed. “Up there, on the wall behind you. High up. See?”

Sonny turned. In one fluid movement Pete plucked off a fourteen-inch box wrench and hit Sonny with it as hard as he could.

Afterward he went to work systematically on the power supplies. He pulled the plugs on the gas-engines and cracked their cylinders with a maul. He knocked off the tubing of the diesel starters—the tanks let go explosively—and he cut all the cables with bolt-cutters. Then he broke up the relay rack and its lever. When he was quite finished, he put away his tools and bent and stroked Sonny’s tousled hair.

He went out and closed the partition carefully. It certainly was a wonderful piece of camouflage. He sat down heavily on a workbench nearby.

“You’ll have your chance,” he said into the far future. “And, by Heaven, you’d better make good.”

After that he just waited.

 

 

 

Afterword by Eric Flint




When editors put together an anthology like this one, sooner or later they have to deal with what may be the thorniest problem of all:

Which story do you end with?

In this case, the decision . . . almost made itself. Not quite, I suppose. But in the course of the discussions the three of us had on the subject, "Thunder and Roses"came to the forefront with a certain kind of inevitability. Some of that, no doubt, is due to the factor that Dave discusses in his preface: all three of us were children of the Fifties, and we were shaped to some degree, one way or another, by that ever-looming fear of nuclear obliteration. 

But there's more to it than that. "Thunder and Roses"is a horror story, but it's not just a horror story. It's also a story of transcendent courage, and, in the grimmest possible way, a very inspiring story.

I stated in my preface to the first story in the anthology, Arthur Clarke's "Rescue Party,"that since I was a boy of thirteen I associated that story, perhaps more than any other, with the inspiring nature of science fiction, which has always been to me its single most important characteristic. 

If it has a contender, though—perhaps even a superior—it's this story by Sturgeon. I knew that even as a boy, although I rarely let myself think about it.


Inspiration, like courage, comes in different forms. There's the sort of courage that Achilles exemplifies, which is inseparable from fame and glory and played out in front of a vast audience. And then there's what I think of as cellar courage—a quiet refusal to yield that goes unrecognized and is noted, if at all, only by the executioner. The courage of nameless heroes who die in the darkness.

I've never liked Achilles—and I wouldn't trust him any farther than I could throw him. Give me cellar courage. If the human race continues to survive, it will ultimately be due to that kind of heroism. Heroism which has none of the trappings of heroes, and is therefore all the more reliable.

We began this anthology with inspiration on a galactic scale, and we end it with a man sitting on a bench waiting to die. But not before he made the right decision, after wrestling with it like a quiet Titan. 

It seems . . . a very good way to end. A cycle, if you will. The logic of the first story depends, in the end, on the logic of the last. Without the one, you will never reach the other. The road to the stars begins in a cellar. Or, as the poet William Butler Yeats put it:

Those masterful images because complete
Grew in pure mind but out of what began?
I must lie down where all the ladders start
In the foul rag and bone shop of the heart.

 

THE END

Trigger Tide by Wyman Guin

Trigger Tide

by Wyman Guin



Preface by David Drake

 

I first read "Trigger Tide" when I was fourteen. I didn't understand it, but I almost understood it. The work stood on its own as an action/adventure story, but it held an assumption about how the world, the universe, worked that I couldn't quite grasp.

I've reread the story a number of times since then, including its original appearance the October 1950 Astounding (with Guin using the pseudonym Norman Menasco). Often reading a story in its original context will bring it into a different focus. That was true of "Trigger Tide," but I still don't think I quite understand it.

Neither have I ever gotten "Trigger Tide" out of my mind. That's why it's here.

 

 

 

That first day and night I lay perfectly still. I was often conscious but there was no thought of moving. I breathed shallowly.

In midmorning of the second day I began to feel the ants and flies that swarmed in the cake of mud, blood and festering flesh I was wearing for clothes. Then, through the morning mists of its tiny sixth planet that giant white sun slammed down on me.

I had been able to see something of the surroundings before they began working me over. After they had taken the hood off my head and while they were stripping away my clothes and harness of power equipment, the first orbit moon—the little fast, pale green one—shot up out of the blue-black sea. I had been able to tell in its light that we were on a tide shelf, probably the third.

Now burnt, lashed and clubbed I lay face down in the quick growing weeds of the hot tide shelf. The weeds were beginning to crawl against my face in the breathless air and dimly I realized a moon must be rising.

It had been the predawn of the tenth day of period thirty-six when the two of them stepped out of an aircar on Quartz Street and the girl I was walking home to the Great Island Hotel turned me over to them. If it was true that I had been lying here that day and night and this was the next midmorning, and if this was the third shelf, there would soon be a tide washing over me.

That tide was not easy to calculate. That it could be figured out is a tribute to the way they drill information into you before you leave The Central on an assignment. But the most thorough textbook knowledge of a planet’s conditions is thin stuff when you are actually there and have to know them better than the natives. I tried the calculation all over again with that great sun frying my skull and got the same answer.

In about an hour the big fifth orbit moon and the sun would be overhead. The equally big third orbit moon would be slightly behind. Together they would lift the sea onto the third shelf all through this latitude.

The kind of day it was these tides would come up smoothly and steadily. Through the buzzing of flies I could not hear the sea. That did not mean it was not a hundred feet away lapping rapidly higher on the third sea wall.

I lay perfectly still except for my shallow breathing and waited for the sea.

When the water came over me in a shall rush I strangled. Quickly, I refused to move. The water rushed over me again and again softening the clotted mud that had kept me from oozing to death. Finally when the surf receded it was still about me and I had to try moving.

I got to my knees and set to work with my right hand to get some vision. With the sea now washing higher about me I finally got the clot from my right eye and achieved a blurred view of daylight.

You have to have at least some luck. When you run out of it altogether you are dead. The fourth sea wall was about fifty yards away and looked as though a normal man could make it quite easily. How I made it was another story. I could barely use my legs and the left arm was useless. All the time I was reopening my wounds on the quartzcar formations of the sea wall.

That quartzcar is not like the familiar coral that forms some of the islands of Earth. It is made up from quartz particles that are suspended in the ocean water. It is a concretion in an intricate lattice which small crustacea pile up in regular patterns. The animals build their quartzcar islands from the quartz dust that rises in tidal rhythms off the floor of the shallow planetary sea. Consequently the islands come in layers with tide shelves that correspond to the height of various lunar tides.

The only land on that planet is the countless archipelagoes of quartzcar. On the sea walls or when you dig it up it presents a fine rasplike face that opened my wounds and left me bleeding and gasping with pain when I reached the top.

That afternoon I was not unconscious. I slept. It was dark when I awakened. Then slowly, magnificently it was light again as the fifth orbit moon rose over the sea, a great ball of electric blue. Only a short time later the little chartreuse first moon came rocketing up to catch and finally, a shade to the south, to pass the larger body on its own quick trip to the zenith.

Back at The Central the “white haired boys,” the psychostatisticians, can tell you all about why people get into wars. If they had not been right about every assignment they had plotted for me, I would never have lived to get beat up on this one. Sometimes their anthropoquations give very complex answers. Sometimes, as in the case of these people, the answer is simple. It was so simple in this case that it read like Twentieth Century newspaper propaganda. But lying there looking out into the glorious sky I didn’t believe in wars. There never had been any. There never would be any. Surely they would close The Central and I could stay there forever watching the great moons roll across the galaxy.

I reawakened with a sharpened sense of urgency. I got to my feet. There was going to be a war if I didn’t get on with the assignment. The fine part about this job was everyone wanted it “hush.” The ideal performance for a Central Operator is, of course, to hit a planet, get the business over with and get out without anyone ever guessing you were doing anything but buying curios. Generally those you’re up against try to throw you into public light—a bad light. These boys wanted it hush much worse than I did. It gave me a certain advantage tactically. I will not say the mess I had got myself into was part of my plan. But they were going to scramble at the sight of their mayhem walking back into the city.

I had to skirt half the city to reach my contact and a safe place to heal. To make it before morning I had to take advantage of every moment of moonlight.

After about half my journey I had a long wait in the dark before the fourth orbit moon came up and I was able to move ahead. I was skirting the city very close through the fern tree forest but, except for an occasional house and couples necking in aircars idling low over the fronds, I had little to worry about.

Toward morning the only light was the second brief flight of the tiny first moon and the going was much slower. But at least while it was up alone the vegetation did not move about so much. I finished the last lap to my Contact staggering and dangerously in broad daylight.

* * *

He didn’t say anything when he opened the door of his cottage. He didn’t show surprise or hesitate too long either. He led me in carefully and put me down on a bed.

Part of the time he was working on me I slept and part of the time I was wide awake gasping. It would have been just about as bad as when they worked me over except that he used some drugs and I knew he was trying to put me together instead of take me apart.

Then at last I slept undisturbed—that day and the next night. When I awoke he was still there staring down at me with no expression on his face.

It was the first time I had tried to form words with my mashed mouth. I finally got out, “How did you recognize me? You’d only seen me normal once.”

I got two shocks in rapid succession. He said, “I’m awfully sorry about your eye.”

It flashed over me that this man had gone sour as an Operator. No Central Operator is ever sorry for anything. Certainly no one ever says so when you’ve had “bad luck.”

I got the second shock and pulled myself up from the bed. I searched the blurred room till I made out a mirror and went to it without his help. It was only then I realized they had put out one of my eyes.

I don’t know whether it was just fury and determination to heal fast or whether he was right that there is some mysterious influence on that planet that accelerates healing. It took me only about three weeks to get back to the point where I felt I was in shape to tackle them again. The bones in my arm knitted very well and it was surprising how fast the burns healed.

He knew a lot about that planet, this Operator. He couldn’t stop asking questions about it. What made the vegetation move when a moon was up? Why did the animal life, including men, slow its activity at the same time? The only question it seemed he hadn’t asked was why he, an Operator for The Central, had adopted one of the major habits of the planet he had been assigned to. He wouldn’t move while there was a conjunction of moons at zenith. Instead he criticized me for exercising my scarred legs while a moon was up. You’d think it would have reminded him that being inactive at such times was only a planetary habit.

It was impossible to question him along a consistent vein. He would start talking about their organization and end wondering about the possible influences on human behavior of subtle rhythms in gravity. He would open a conjecture about the daily habits of their Leader and it would end a theory on the psychology of island cultures. His long expressionless horseface would turn to me and he would conclude with something like, “You know, Herman Melville was right about the sea. It is not a vista but a background. People living on it experience mostly in a foreground.”

Every Operator for The Central has at times to think profoundly about such things and be equipped better than average to do so. You can’t deal effectively with the variegated human cultures now scattered far out into the galaxy without being neatly sensitive to the psychological influences of landscape, flora, climate, ancestry and planetary neighbors.

But at present I had a much blunter assignment. I had to reach a carefully protected man I had seen only in photographs. I had to reach him in the shortest possible time and kill him. Now, the worse luck of all, my only Contact had “taken root.”

It happened every day of course. Psychostatistically it was inevitable. A fine Operator hit a planet where he began to take an emotional interest. He adopted quite seriously one or more of the major habits of the natives. This man had reached the next stage where his emotional interest in his new-found “home” dominated his finely drilled ties to The Central. In his case it had taken only a standard month and a half. In fact it had not been visible a month ago when the pilot of my tiny space shuttle dropped me off in the dark at his cottage. I finally realized the only thing I could get from him now was a rehearsal of the story he had told me that night before I walked alone into the strange city.

But I delayed asking him to retell his story. An odd thing happened. It happened just as I was about to ask him to go into town and buy me a set of the local power equipment. We were on our usual morning walk through the fern woods. Naturally he had refused to exercise until the passing of the second orbit moon. That had irritated me. I was on the verge of spitting out that I was wasting time and would be on my way as soon as he could run into town and buy me the local harness.

There in the middle of the path lay my own power equipment—the harness they had stripped off with my clothes down on the tide shelf three weeks before. If they had only left this harness on me, I would have been able to antigrav my way over the fourth sea wall instead of frictioning my way up on peeling flesh. I knew the harness and helmet on sight. I picked it up and I was certain. The hair at the back of my neck stirred.

I didn’t say anything and he was still enough of an Operator not to ask. We both knew it was no accident.

Back at the cottage I spent the rest of the day and most of the night checking that harness of power equipment. There was absolutely nothing wrong with it that I could find. The radio, sending and receiving, was in perfect order both on inspection and when I check-called to my ship waiting on the second orbit moon. The arms, both the microsplosive for killing single targets and the heavy 0.5 Kg. demolition pistol were as they had been when on my person. The antigravity mechanism and its neatly built-in turbojet, part by part, under X-ray and on the fine balance he used for assaying quartzcar specimens, was an unblemished complexity. Again, when the equipment’s own X-ray was turned on its tiny “field-isolated” radioactive pile, no flaw could be seen. Naturally that was something of which I couldn’t be sure. Something that I couldn’t detect with these instruments might have been done to that tiny power pile at the subatomic level. The X-ray diffraction patterns were O.K. but—why did they want me to have my own harness? What reason outside the harness?

I had reduced to a simple question about its nuclear fission pile that highly multiple question, “Has this power equipment been tampered with?” I would have to gamble for the rest of the answer and it was worth the gamble. An Operator’s power equipment is the best in the galaxy. From what I had seen of the equipment worn on this planet it was definitely second rate.

It was nearly morning but he was still sitting in a corner, his long melancholy face buried in the local books on quartzcar. One of them was titled in the native language, “The Planetary Evolution of Quartzcar.” Well, it was not considered desertion to lose all interest in his assignment and all ties with The Central. It was just an occupational disease.

“You know,” he said, suddenly standing up and walking to the greenish darkness of the window, “there are several piezoelectric substances.”

“Yes,” I answered. I was busy putting the intricate crystal plates back into the atomic fission pile.

“Quartz, of course, is one of them.”

“Yes.”

“You know how a piezoelectric substance behaves?”

I was annoyed. The job of slipping the countless delicate crystal plates back into the pile was exacting. “Well,” I said without bothering to cover sarcasm, “why don’t you tell me all about it. I got through physics on a fluke.”

By the galaxy, he took me seriously. He stood there staring out at the fern forest and talked earnestly about electroelastic crystals like I was a first-year physics student.

“These substances convert electrical to mechanical energy and vice versa. You know how the old-fashioned phonograph pickup worked?”

I didn’t pay any attention to him.

“The needle was activated by grooved impressions in a record by previous sounds. In the pickup device this needle pressed against a piezoelectric substance. Its mechanical movement against the crystal set up corresponding electrical discharges from it to the speaker.” I was silent working on the pile. I decided that if he said, “You know” again I would get up and poke him. “You know,” he continued, “every island on this planet is constructed from quartz—a piezoelectric substance.”

I didn’t get up and poke him. I continued to stare at the harness but I stopped working on it. He went right on without turning. “These constructions of quartz are subjected to rhythmic mechanical stress when the lunar tides pile up against them.”

He was a capable man or he would not have been an Operator in the first place. That a man “took root” on some planet and became absolutely untrustworthy as an Operator did not mean he was not still a brilliant and sincere man. This one was obviously trying to solve a serious problem and doing well at it. I looked up with a new respect and he turned from the window.

He couldn’t help smiling and I had to admit he had slipped one over on me. He said, “You see, it could be that these quartzcar islands generate an electric field as the tides press on them. The strange blind movement of some of the vegetative forms could be a response stimulated by that electric field. The cessation of animal movement could be a safeguarding adaptation preventing disease which might develop when strenuous activity is pursued in the presence of such fields.”

I couldn’t help grinning. I had been blindly driving ahead because the assignment was urgent and I had missed all this.

“I realize,” he continued, “that I have taken root but I think it is important that I was trying to solve the defeat of our first operation when I first took up the question of quartzcar.”

“You know,” I interrupted, “they treated me just as they treated your group—just as you described it to me that first night. They left me absolutely alone—no interference at all. I knew I was asking for it when I overplayed my hand. But I had to do something to get action. Up to then it was like working in a vacuum. You wouldn’t have guessed there was a Party. There was no sign of them. It was only by boring in with the full intention of killing the Leader if I wasn’t stopped that I finally forced them to show.”

“Yes, that’s how it was with us,” he agreed. “Not one of the six of us met any interference until in a period of thirty seconds in various parts of the city two crashed from heights as though the antigravs had suddenly failed, two were blown to bits and one just simply died while walking through the rotunda of the Government Building where he was supposed to create a divergence in ten seconds.

“But why did they spare me? Was it because taking a shower was so innocent? If they could so neatly blow the whole plot wide open just at the moment it was climaxing they must have realized my part in it. They must have known I was innocently occupied taking a shower only because it was not my moment to be in action.

“Within seventy seconds their Leader would have been dead. Instead five of us were dead. It took me a long time to figure out that that was not due to a lot of concerted planning on their part. They had known it was going to happen at a certain time with no help from them. They knew when we were going into action and knew therefore that we would fail due to some calculable force. It wasn’t necessary for them to interfere if we didn’t plan to act before a certain time.”

I nodded. “And I got what was coming to me because I went into action before they could calculate my defeat. Well, then the quicker I try again the better. I’m going in this morning.” He almost volunteered to go with me.

* * *

Back in the city my mutilated face created attention. When I antigraved onto the sixth floor balconade of the Great Island Hotel people at nearby tables of the open-air restaurant turned to stare and turned quickly away. The table I had hoped for was unoccupied. I took it facing away from most of them so I could see the entertainment stage. Beyond the stage, as it was viewed from this point, were the antigrav tubes of the hotel. They were transparent and in them people rose to the upper floors or descended to the street without need of harness such as I was wearing.

The waiter came and took my order for a drink. He didn’t recognize me, yet he and I had had a joke once about that drink.

My watch said it should be only a few minutes before she would be on the stage singing quiet little songs. It was on this stage that their Leader had first seen her. His only overt human quality was an interest in tall lanky women. He liked them at least eight inches taller than himself. This one he had promptly moved from the artists’ and actors’ quarters of the city to a penthouse atop the Great Island Hotel.

Presently the string trio she used for a background came out and lounged about the potted trees on the stage. They warmed up with a few dolorous little melodies. Beyond the stage the antigrav tubes were crowded. In one of them a tragic waterfall of humanity descended to the street level. In the other people drifted upward. Occasionally a person or couple in more casual ascent hesitated as they passed the restaurant and decided to come in for a drink.

The string trio started another number and she walked gracefully out onto the informal stage. She smiled on her audience with a possessive warmth that was half her popularity. Then she began singing in a husky, unmusical but dramatic voice. She was a beautiful girl all right but my attention was suddenly diverted.

I recognized the short scrawny one immediately—the big man when he spoke. “Say, I never thought we’d see you again. Mind if we sit down?” He waited politely.

I motioned to the chairs. “Say,” he chuckled, closer to my face, “we sure did a beautiful job on you, didn’t we?”

“Yes,” I agreed, “I owe you both a great deal.”

He had a big hearty laugh. “Well,” he gasped between guffaws, “no hard feelings, I hope.”

“I’m very objective. I understand it was all in a day’s work.”

“Sure,” he said solemnly. “Let us buy you a drink.” The waiter had come up.

I shrugged at my glass. “I’ll have the same. There’s no strychnine in it.”

That set him off again. “Say,” he burbled, “you’re a card. You know when I first took a shine to you?”

I declared I couldn’t imagine when it might have been.

“When I broke your arm. You really took it like a man. Didn’t he take it well, Shorty?”

The little man wasn’t saying anything. He was making his good-humored grin do as his contribution.

“Well, here’s to your health.” The big man raised his glass the minute the waiter set it down.

I drank with them and we sat in silence listening to her song until he called the waiter over for another round.

“Yes, sir,” he exclaimed when it had arrived. “I sure never expected to see you again.”

“Oh, you knew I got off the tide shelf. That’s why you planted my power harness so I’d find it.” That took the humor out of his eyes.

“I don’t get you,” he said in a level voice. The little guy had stopped grinning.

I explained about finding my power harness on our path in the fern forest.

“I think,” he said with finality, “some animal dragged it up there. We left it on the tide shelf.” There was ice in his eyes.

“That could be,” I said, knowing it could not be.

“Waiter,” he called, “bring us another drink.”

Well, they had me and they weren’t letting me go. I was going to have to sit quietly in the public restaurant of the Great Island Hotel and get drunk without making a scene.

It was getting on to noon and there was a big moon hitting its zenith. Activity in the restaurant was beginning to slow and there were fewer people in the antigrav tubes. She was singing her last number backing off stage with the trio.

I looked at the big man and his scrawny companion. There was one good solid reason why they had suddenly showed up and why they were gluing themselves to me. The Leader was up above in his Great Island Hotel penthouse waiting to spend the luncheon with his long lanky beauty.

How long would the siesta last? I wasn’t very far into that thought when I came up with a start and my hand stopped in the act of putting down my glass. They both glanced at me.

All five moons were going to be overhead at noon. They would lift the sea onto the fourth tide shelf. That was the biggest tide and it was rare. I calculated the last time it had happened was over a standard month and a half ago. If my sudden guess was right, the healthiest place for a Central Operator at that time would be in the shower.

“What’s the matter,” the big man asked in a monotone. “You worried about something? You afraid you’re stuck in bad company? Don’t worry. We just want to have a couple more drinks with you and then we have to leave . . . in a hurry.”

“Thanks. I’ll sit the next one out. I want to have a little talk with that singer.” I stood up and he grabbed my arm, the one he hadn’t had any practice breaking.

“I wouldn’t do that if I were you.” He tightened down on the arm. But my advantage was the secrecy they needed.

“You wouldn’t want a scene, would you?” I shook my arm loose. People were beginning to take notice and he sat quietly glaring at me.

I beat it through the stage door and back to her dressing room. I stepped in without knocking. She looked up startled from where she stood buckling a belt to her lounging shorts. She didn’t recognize me and she didn’t like me.

“Get out of here.”

“You remember me,” I soothed. “Three weeks ago you and I were regular pals. One night you went so far as to introduce me to a couple of special friends of yours in an aircar down there on the street.”

She was genuinely horrified and began backing away. I walked toward her. “You thought they were going to kill me, didn’t you?”

She nodded dumbly. Then, “For the Leader—” and automatically remembering another Party slogan, “for Planetary Security.”

“You didn’t know they were just going to torture me?”

She shook her head piteously almost imploringly—a little provincial girl caught in something bigger and uglier than she had dreamed.

“And leaving me alive to come back and ask you questions? Admitting the pleasure they took in how badly I would suffer when I regained consciousness how could they afford to take the chance of leaving me alive?”

“Because you will die anyway.” There was an abrupt personal fright on her face. She raised her hands with the palms outthrust as though pushing the sight of me away.

I thought I saw something move at the open window and changed my position in the room backing from her. She was almost wailing, “You will die now . . . the tide . . . it’s almost—”

One thing they weren’t taking chances with was that I might radio her answer off the planet.

The scrawny devil popped up from where he had been antigraving at the window and the microsplosive he put in her chest made her dead throat shriek as the long beautiful legs crumpled to the floor. I blew his head off while her glaring face sank before me. His body spun but antigraved where it was till I got to the window to haul it in.

From somewhere above the big guy fired at me as I yanked the body in and took the harness. I peeled out of my own power equipment and threw it in a corner and got out of the room. In a washroom down the hall I adjusted the little guy’s harness to fit me. As I stepped out into the hall again there was a shattering explosion from her dressing room. I had got rid of that harness one hundred twenty seconds soon enough.

There was one spot the big hoodlum wouldn’t be looking for me. I went right back to my table in the restaurant. There was, of course, no activity or conversation between the few who had stayed at their tables during the high tide. People sat in silence and seemingly asleep waiting for the moons to pass. I knew from experience that in that condition they would resist hearing my voice. I kept it low and held the radio pickup of the harness close to my lips.

After some hunting around due to unfamiliar controls I made contact with my ship on the second moon. I told them where and when to pick me up. “Now,” I said, “in case I don’t make it get this down: Piezoelectric islands generate field in response to lunar tides. At highest tide this vibrates the field generating crystals of the fission pile in Operator’s harness. Under interfering frequencies radioactives jar to critical mass and explode. Local harnesses do not react.”

I was just leaving the table preparing to antigrav outside the building to where that penthouse hung in the mists fifty floors up when I saw my Contact racing toward me.

“I’ve come to help . . . I guess I still—”

“Get out of your harness. Throw it over the edge of the balcony.”

He didn’t ask questions. He hurried to the edge unfastening the harness. But from up in the mist they opened fire on him and he never took the harness off. He refastened it and antigraved swiftly up into the mist firing ahead of him with the heavy 0.5 Kg. demolition pistol set for proximity explosions.

That was quick thinking. Up there they might be antigraving alongside the building or they might be firing from windows and the unconfined proximity explosion was more likely to get both.

I followed him as fast as I could with the weaker harness I was wearing. I pulled out farther from the building to back his fire. We had both dropped the infrared viewers out of our helmets but in that mist they weren’t much good. The mob above was having the same trouble and we were moving targets, hopeless for proximity fire. Our guns laid a sheet of flame high up on the building.

I believe he was hit but not killed on the way up. He seemed to stagger in his swerving ascent. But immediately their vantage came into view—a balcony surrounding the penthouse. Our fire had driven them back a few feet and he antigraved like a streak up over the edge.

There was a blinding flash and I reached the roof garden to find the mob of them dead in the explosion that had disintegrated him. One whole wall of the penthouse had been blown in. I leaped through this wreckage. The big man—the man I owed so much—was getting to his feet. Apparently he and two others with him had been guarding the door beyond. He looked surprised when he saw me. He must have thought till now it had been I who blew up out in the garden.

I slammed a target-set 0.5 Kg. demolition shell into them. It also blew the door apart. Across the room beyond their surprised Leader was sinking into the antigrav tube. He fired quickly and wildly and I fired a microsplosive from my left hand.

I thought I saw the shot get him but I dashed to the antigrav tube to make sure. Past shocked tenants who had rushed into the tube to escape the explosion-wracked upper floors his headless body lolled its way. The body, unmistakable in the distinctive white uniform he always wore, drifted down the tube stirring as it went a swelling murmur.

The psychostatisticians back at The Central get my vote as the “white haired boys.” This was the first time in two hundred standard years that their anthropoquations had described one man and his lieutenant as the “cause” of a war movement. Generally the picture they turn up as “casualty” in a war is spiny with factors and it takes an army of Operators to cover all the angles. This time they had come out a little shamefacedly and said, “It looks like old-fashioned newspaper thinking but for once it’s a fact. Get that one man and there will be no war.”

As I leaned over the “down” antigrav in the Great Island Hotel his body drifted to oblivion. The murmur rising from the viewers had horror in it. But there was also an unmistakable note of relief. Finally, from far below, someone asked, “Did they get the rest of them?”

 

 

 

 

 

A Pail of Air by Fritz Leiber

A Pail of Air

by Fritz Leiber

Preface by Eric Flint




My reaction when I first read this story, somewhere around the age of fifteen, was perhaps bizarre. "A Pail of Air"is a story about survival in the face of desperate circumstances, and there are no ifs, ands or buts about it.

There is no atmosphere . . . bitter cold . . . only way you can breathe is to dig up a pail of liquid oxygen and heat it . . . 

Yup, that's desperate.  

Still, I had pretty much the same reaction I had to L. Sprague de Camp's "A Gun for Dinosaur," a story which appears later in this anthology and about which I make some remarks in an afterword. Desperate circumstances . . . impossible odds . . . almost alone . . . 

Oh, how cool. 

Like I said, a bizarre reaction. I didn't even have the excuse of being a stupid adolescent. I wasn't stupid. Already by the age of fourteen I could rip off the great suave mantras regarding adventure, with a curled lip I'd learned from studying David Niven in the movies.


Adventure. Ah, yes. That's someone else having a very rough go of it very far away. 

Adventure. Yes. My idea of adventure is carrying a pint of bitters from one smoked-filled room to the next.  

Granted, I didn't really have any idea what "bitters" were. (A few years later I found out, and the decline of the British empire was no longer a mystery to me.) But I understood the gist of the wisecrack well enough—and fully subscribed to the sentiment.

I still do. And now, from the vantage point of my mid-fifties wisdom and sagacity, I can look back on the reaction of that callow youngster and realize that he was . . . well, completely correct.

This is just one hell of a cool story. If you look at it the right way, as much fun as one of Leiber's famous Fahfrd and the Grey Mouser tales.

Okay. You have to squint.

 

 

 

Pa had sent me out to get an extra pail of air. I’d just about scooped it full and most of the warmth had leaked from my fingers when I saw the thing.

You know, at first I thought it was a young lady. Yes, a beautiful young lady’s face all glowing in the dark and looking at me from the fifth floor of the opposite apartment, which hereabouts is the floor just above the white blanket of frozen air. I’d never seen a live young lady before, except in the old magazines—Sis is just a kid and Ma is pretty sick and miserable—and it gave me such a start that I dropped the pail. Who wouldn’t, knowing everyone on Earth was dead except Pa and Ma and Sis and you?

Even at that, I don’t suppose I should have been surprised. We all see things now and then. Ma has some pretty bad ones, to judge from the way she bugs her eyes at nothing and just screams and screams and huddles back against the blankets hanging around the Nest. Pa says it is natural we should react like that sometimes.

When I’d recovered the pail and could look again at the opposite apartment, I got an idea of what Ma might be feeling at those times, for I saw it wasn’t a young lady at all but simply a light—a tiny light that moved stealthily from window to window, just as if one of the cruel little stars had come down out of the airless sky to investigate why the Earth had gone away from the Sun, and maybe to hunt down something to torment or terrify, now that the Earth didn’t have the Sun’s protection.

I tell you, the thought of it gave me the creeps. I just stood there shaking, and almost froze my feet and did frost my helmet so solid on the inside that I couldn’t have seen the light even if it had come out of one of the windows to get me. Then I had the wit to go back inside.

Pretty soon I was feeling my familiar way through the thirty or so blankets and rugs Pa has got hung around to slow down the escape of air from the Nest, and I wasn’t quite so scared. I began to hear the tick-ticking of the clocks in the Nest and knew I was getting back into air, because there’s no sound outside in the vacuum, of course. But my mind was still crawly and uneasy as I pushed through the last blankets—Pa’s got them faced with aluminum foil to hold in the heat—and came into the Nest.

* * *

Let me tell you about the Nest. It’s low and snug, just room for the four of us and our things. The floor is covered with thick woolly rugs. Three of the sides are blankets, and the blankets roofing it touch Pa’s head. He tells me it’s inside a much bigger room, but I’ve never seen the real walls or ceiling.

Against one of the blankets is a big set of shelves, with tools and books and other stuff, and on top of it a whole row of clocks. Pa’s very fussy about keeping them wound. He says we must never forget time, and without a sun or moon, that would be easy to do.

The fourth wall has blankets all over except around the fireplace, in which there is a fire that must never go out. It keeps us from freezing and does a lot more besides. One of us must always watch it. Some of the clocks are alarm and we can use them to remind us. In the early days there was only Ma to take turns with Pa—I think of that when she gets difficult—but now there’s me to help, and Sis too.

It’s Pa who is the chief guardian of the fire, though. I always think of him that way: a tall man sitting cross-legged, frowning anxiously at the fire, his lined face golden in its light, and every so often carefully placing on it a piece of coal from the big heap beside it. Pa tells me there used to be guardians of the fire sometimes in the very old days—vestal virgins, he calls them—although there was unfrozen air all around then and you didn’t really need one.

He was sitting just that way now, though he got up quick to take the pail from me and bawl me out for loitering—he’d spotted my frozen helmet right off. That roused Ma and she joined in picking on me. She’s always trying to get the load off her feelings, Pa explains. Sis let off a couple of silly squeals too.

Pa handled the pail of air in a twist of cloth. Now that it was inside the Nest, you could really feel its coldness. It just seemed to suck the heat out of everything. Even the flames cringed away from it as Pa put it down close by the fire.

Yet it’s that glimmery white stuff in the pail that keeps us alive. It slowly melts and vanishes and refreshes the Nest and feeds the fire. The blankets keep it from escaping too fast. Pa’d like to seal the whole place, but he can’t—building’s too earthquake-twisted, and besides he has to leave the chimney open for smoke.

Pa says air is tiny molecules that fly away like a flash if there isn’t something to stop them. We have to watch sharp not to let the air run low. Pa always keeps a big reserve supply of it in buckets behind the first blankets, along with extra coal and cans of food and other things, such as pails of snow to melt for water. We have to go way down to the bottom floor for that stuff, which is a mean trip, and get it through a door to outside.

You see, when the Earth got cold, all the water in the air froze first and made a blanket ten feet thick or so everywhere, and then down on top of that dropped the crystals of frozen air, making another white blanket sixty or seventy feet thick maybe.

Of course, all the parts of the air didn’t freeze and snow down at the same time.

First to drop out was the carbon dioxide—when you’re shoveling for water, you have to make sure you don’t go too high and get any of that stuff mixed in, for it would put you to sleep, maybe for good, and make the fire go out. Next there’s the nitrogen, which doesn’t count one way or the other, though it’s the biggest part of the blanket. On top of that and easy to get at, which is lucky for us, there’s the oxygen that keeps us alive. Pa says we live better than kings ever did, breathing pure oxygen, but we’re used to it and don’t notice. Finally, at the very top, there’s a slick of liquid helium, which is funny stuff. All of these gases in neat separate layers. Like a pussy caffay, Pa laughingly says, whatever that is.

* * *

I was busting to tell them all about what I’d seen, and so as soon as I’d ducked out of my helmet and while I was still climbing out of my suit, I cut loose. Right away Ma got nervous and began making eyes at the entry-slit in the blankets and wringing her hands together—the hand where she’d lost three fingers from frostbite inside the good one, as usual. I could tell that Pa was annoyed at me scaring her and wanted to explain it all away quickly, yet could see I wasn’t fooling.

“And you watched this light for some time, son?” he asked when I finished.

I hadn’t said anything about first thinking it was a young lady’s face. Somehow that part embarrassed me.

“Long enough for it to pass five windows and go to the next floor.”

“And it didn’t look like stray electricity or crawling liquid or starlight focused by a growing crystal, or anything like that?”

He wasn’t just making up those ideas. Odd things happen in a world that’s about as cold as can be, and just when you think matter would be frozen dead, it takes on a strange new life. A slimy stuff comes crawling toward the Nest, just like an animal snuffing for heat—that’s the liquid helium. And once, when I was little, a bolt of lightning—not even Pa could figure where it came from—hit the nearby steeple and crawled up and down it for weeks, until the glow finally died.

“Not like anything I ever saw,” I told him.

He stood for a moment frowning. Then, “I’ll go out with you, and you show it to me,” he said.

Ma raised a howl at the idea of being left alone, and Sis joined in, too, but Pa quieted them. We started climbing into our outside clothes—mine had been warming by the fire. Pa made them. They have plastic headpieces that were once big double-duty transparent food cans, but they keep heat and air in and can replace the air for a little while, long enough for our trips for water and coal and food and so on.

Ma started moaning again, “I’ve always known there was something outside there, waiting to get us. I’ve felt it for years—something that’s part of the cold and hates all warmth and wants to destroy the Nest. It’s been watching us all this time, and now it’s coming after us. It’ll get you and then come for me. Don’t go, Harry!”

Pa had everything on but his helmet. He knelt by the fireplace and reached in and shook the long metal rod that goes up the chimney and knocks off the ice that keeps trying to clog it. Once a week he goes up on the roof to check if it’s working all right. That’s our worst trip and Pa won’t let me make it alone.

“Sis,” Pa said quietly, “come watch the fire. Keep an eye on the air, too. If it gets low or doesn’t seem to be boiling fast enough, fetch another bucket from behind the blanket. But mind your hands. Use the cloth to pick up the bucket.”

Sis quit helping Ma be frightened and came over and did as she was told. Ma quieted down pretty suddenly, though her eyes were still kind of wild as she watched Pa fix on his helmet tight and pick up a pail and the two of us go out.

* * *

Pa led the way and I took hold of his belt. It’s a funny thing, I’m not afraid to go by myself, but when Pa’s along I always want to hold on to him. Habit, I guess, and then there’s no denying that this time I was a bit scared.

You see, it’s this way. We know that everything is dead out there. Pa heard the last radio voices fade away years ago, and had seen some of the last folks die who weren’t as lucky or well-protected as us. So we knew that if there was something groping around out there, it couldn’t be anything human or friendly.

Besides that, there’s a feeling that comes with it always being night, cold night. Pa says there used to be some of that feeling even in the old days, but then every morning the Sun would come and chase it away. I have to take his word for that, not ever remembering the Sun as being anything more than a big star. You see, I hadn’t been born when the dark star snatched us away from the Sun, and by now it’s dragged us out beyond the orbit of the planet Pluto, Pa says, and taking us farther out all the time.

I found myself wondering whether there mightn’t be something on the dark star that wanted us, and if that was why it had captured the Earth. Just then we came to the end of the corridor and I followed Pa out on the balcony.

I don’t know what the city looked like in the old days, but now it’s beautiful. The starlight lets you see pretty well—there’s quite a bit of light in those steady points speckling the blackness above. (Pa says the stars used to twinkle once, but that was because there was air.) We are on a hill and the shimmery plain drops away from us and then flattens out, cut up into neat squares by the troughs that used to be streets. I sometimes make my mashed potatoes look like it, before I pour on the gravy.

Some taller buildings push up out of the feathery plain, topped by rounded caps of air crystals, like the fur hood Ma wears, only whiter. On those buildings you can see the darker squares of windows, underlined by white dashes of air crystals. Some of them are on a slant, for many of the buildings are pretty badly twisted by the quakes and all the rest that happened when the dark star captured the Earth.

Here and there a few icicles hang, water icicles from the first days of the cold, other icicles of frozen air that melted on the roofs and dripped and froze again. Sometimes one of those icicles will catch the light of a star and send it to you so brightly you think the star has swooped into the city. That was one of the things Pa had been thinking of when I told him about the light, but I had thought of it myself first and known it wasn’t so.

He touched his helmet to mine so we could talk easier and he asked me to point out the windows to him. But there wasn’t any light moving around inside them now, or anywhere else. To my surprise, Pa didn’t bawl me out and tell me I’d been seeing things. He looked all around quite a while after filling his pail, and just as we were going inside he whipped around without warning, as if to take some peeping thing off guard.

I could feel it, too. The old peace was gone. There was something lurking out there, watching, waiting, getting ready.

Inside, he said to me, touching helmets, “If you see something like that again, son, don’t tell the others. Your Ma’s sort of nervous these days and we owe her all the feeling of safety we can give her. Once—it was when your sister was born—I was ready to give up and die, but your Mother kept me trying. Another time she kept the fire going a whole week all by herself when I was sick. Nursed me and took care of the two of you, too.

“You know that game we sometimes play, sitting in a square in the Nest, tossing a ball around? Courage is like a ball, son. A person can hold it only so long, and then he’s got to toss it to someone else. When it’s tossed your way, you’ve got to catch it and hold it tight—and hope there’ll be someone else to toss it to when you get tired of being brave.”

His talking to me that way made me feel grown-up and good. But it didn’t wipe away the thing outside from the back of my mind—or the fact that Pa took it seriously.

* * *

It’s hard to hide your feelings about such a thing. When we got back in the Nest and took off our outside clothes, Pa laughed about it all and told them it was nothing and kidded me for having such an imagination, but his words fell flat. He didn’t convince Ma and Sis any more than he did me. It looked for a minute like we were all fumbling the courage-ball. Something had to be done, and almost before I knew what I was going to say, I heard myself asking Pa to tell us about the old days, and how it all happened.

He sometimes doesn’t mind telling that story, and Sis and I sure like to listen to it, and he got my idea. So we were all settled around the fire in a wink, and Ma pushed up some cans to thaw for supper, and Pa began. Before he did, though, I noticed him casually get a hammer from the shelf and lay it down beside him.

It was the same old story as always—I think I could recite the main thread of it in my sleep—though Pa always puts in a new detail or two and keeps improving it in spots.

He told us how the Earth had been swinging around the Sun ever so steady and warm, and the people on it fixing to make money and wars and have a good time and get power and treat each other right or wrong, when without warning there comes charging out of space this dead star, this burned out sun, and upsets everything.

You know, I find it hard to believe in the way those people felt, any more than I can believe in the swarming number of them. Imagine people getting ready for the horrible sort of war they were cooking up. Wanting it even, or at least wishing it were over so as to end their nervousness. As if all folks didn’t have to hang together and pool every bit of warmth just to keep alive. And how can they have hoped to end danger, any more than we can hope to end the cold?

Sometimes I think Pa exaggerates and makes things out too black. He’s cross with us once in a while and was probably cross with all those folks. Still, some of the things I read in the old magazines sound pretty wild. He may be right.

* * *

The dark star, as Pa went on telling it, rushed in pretty fast and there wasn’t much time to get ready. At the beginning they tried to keep it a secret from most people, but then the truth came out, what with the earthquakes and floods—imagine, oceans of unfrozen water!—and people seeing stars blotted out by something on a clear night. First off they thought it would hit the Sun, and then they thought it would hit the Earth. There was even the start of a rush to get to a place called China, because people thought the star would hit on the other side. But then they found it wasn’t going to hit either side, but was going to come very close to the Earth.

Most of the other planets were on the other side of the Sun and didn’t get involved. The Sun and the newcomer fought over the Earth for a little while—pulling it this way and that, like two dogs growling over a bone, Pa described it this time—and then the newcomer won and carried us off. The Sun got a consolation prize, though. At the last minute he managed to hold on to the Moon.

That was the time of the monster earthquakes and floods, twenty times worse than anything before. It was also the time of the Big Jerk, as Pa calls it, when all Earth got yanked suddenly, just as Pa has done to me once or twice, grabbing me by the collar to do it, when I’ve been sitting too far from the fire.

You see, the dark star was going through space faster than the Sun, and in the opposite direction, and it had to wrench the world considerably in order to take it away.

The Big Jerk didn’t last long. It was over as soon as the Earth was settled down in its new orbit around the dark star. But it was pretty terrible while it lasted. Pa says that all sorts of cliffs and buildings toppled, oceans slopped over, swamps and sandy deserts gave great sliding surges that buried nearby lands. Earth was almost jerked out of its atmosphere blanket and the air got so thin in spots that people keeled over and fainted—though of course, at the same time, they were getting knocked down by the Big Jerk and maybe their bones broke or skulls cracked.

We’ve often asked Pa how people acted during that time, whether they were scared or brave or crazy or stunned, or all four, but he’s sort of leery of the subject, and he was again tonight. He says he was mostly too busy to notice.

You see, Pa and some scientist friends of his had figured out part of what was going to happen—they’d known we’d get captured and our air would freeze—and they’d been working like mad to fix up a place with airtight walls and doors, and insulation against the cold, and big supplies of food and fuel and water and bottled air. But the place got smashed in the last earthquakes and all Pa’s friends were killed then and in the Big Jerk. So he had to start over and throw the Nest together quick without any advantages, just using any stuff he could lay his hands on.

I guess he’s telling pretty much the truth when he says he didn’t have any time to keep an eye on how other folks behaved, either then or in the Big Freeze that followed—followed very quick, you know, both because the dark star was pulling us away very fast and because Earth’s rotation had been slowed in the tug-of-war, so that the nights were ten old nights long.

Still, I’ve got an idea of some of the things that happened from the frozen folk I’ve seen, a few of them in other rooms in our building, others clustered around the furnaces in the basements where we go for coal.

In one of the rooms, an old man sits stiff in a chair, with an arm and a leg in splints. In another, a man and a woman are huddled together in a bed with heaps of covers over them. You can just see their heads peeking out, close together. And in another a beautiful young lady is sitting with a pile of wraps huddled around her, looking hopefully toward the door, as if waiting for someone who never came back with warmth and food. They’re all still and stiff as statues, of course, but just like life.

Pa showed them to me once in quick winks of his flashlight, when he still had a fair supply of batteries and could afford to waste a little light. They scared me pretty bad and made my heart pound, especially the young lady.

* * *

Now, with Pa telling his story for the umpteenth time to take our minds off another scare, I got to thinking of the frozen folk again. All of a sudden I got an idea that scared me worse than anything yet. You see, I’d just remembered the face I’d thought I’d seen in the window. I’d forgotten about that on account of trying to hide it from the others.

What, I asked myself, if the frozen folk were coming to life? What if they were like the liquid helium that got a new lease on life and started crawling toward the heat just when you thought its molecules ought to freeze solid forever? Or like the electricity that moves endlessly when it’s just about as cold as that? What if the ever-growing cold, with the temperature creeping down the last few degrees to the last zero, had mysteriously wakened the frozen folk to life—not warm-blooded life, but something icy and horrible?

That was a worse idea than the one about something coming down from the dark star to get us.

Or maybe, I thought, both ideas might be true. Something coming down from the dark star and making the frozen folk move, using them to do its work. That would fit with both things I’d seen—the beautiful young lady and the moving, starlike light.

The frozen folk with minds from the dark star behind their unwinking eyes, creeping, crawling, snuffing their way, following the heat to the Nest.

I tell you, that thought gave me a very bad turn and I wanted very badly to tell the others my fears, but I remembered what Pa had said and clenched my teeth and didn’t speak.

We were all sitting very still. Even the fire was burning silently. There was just the sound of Pa’s voice and the clocks.

And then, from beyond the blankets, I thought I heard a tiny noise. My skin tightened all over me.

Pa was telling about the early years in the Nest and had come to the place where he philosophizes.

“So I asked myself then,” he said, “what’s the use of going on? What’s the use of dragging it out for a few years? Why prolong a doomed existence of hard work and cold and loneliness? The human race is done. The Earth is done. Why not give up, I asked myself—and all of a sudden I got the answer.”

Again I heard the noise, louder this time, a kind of uncertain, shuffling tread, coming closer. I couldn’t breathe.

“Life’s always been a business of working hard and fighting the cold,” Pa was saying. “The earth’s always been a lonely place, millions of miles from the next planet. And no matter how long the human race might have lived, the end would have come some night. Those things don’t matter. What matters is that life is good. It has a lovely texture, like some rich cloth or fur, or the petals of flowers—you’ve seen pictures of those, but I can’t describe how they feel—or the fire’s glow. It makes everything else worth while. And that’s as true for the last man as the first.”

And still the steps kept shuffling closer. It seemed to me that the inmost blanket trembled and bulged a little. Just as if they were burned into my imagination, I kept seeing those peering, frozen eyes.

“So right then and there,” Pa went on, and now I could tell that he heard the steps, too, and was talking loud so we maybe wouldn’t hear them, “right then and there I told myself that I was going on as if we had all eternity ahead of us. I’d have children and teach them all I could. I’d get them to read books. I’d plan for the future, try to enlarge and seal the Nest. I’d do what I could to keep everything beautiful and growing. I’d keep alive my feeling of wonder even at the cold and the dark and the distant stars.”

But then the blanket actually did move and lift. And there was a bright light somewhere behind it. Pa’s voice stopped and his eyes turned to the widening slit and his hand went out until it touched and gripped the handle of the hammer beside him.

* * *

In through the blanket stepped the beautiful young lady. She stood there looking at us the strangest way, and she carried something bright and unwinking in her hand. And two other faces peered over her shoulders—men’s faces, white and staring.

Well, my heart couldn’t have been stopped for more than four or five beats before I realized she was wearing a suit and helmet like Pa’s homemade ones, only fancier, and that the men were, too—and that the frozen folk certainly wouldn’t be wearing those. Also, I noticed that the bright thing in her hand was just a kind of flashlight.

The silence kept on while I swallowed hard a couple of times, and after that there was all sorts of jabbering and commotion.

They were simply people, you see. We hadn’t been the only ones to survive; we’d just thought so, for natural enough reasons. These three people had survived, and quite a few others with them. And when we found out how they’d survived, Pa let out the biggest whoop of joy.

They were from Los Alamos and they were getting their heat and power from atomic energy. Just using the uranium and plutonium intended for bombs, they had enough to go on for thousands of years. They had a regular little airtight city, with airlocks and all. They even generated electric light and grew plants and animals by it. (At this Pa let out a second whoop, waking Ma from her faint.)

But if we were flabbergasted at them, they were double-flabbergasted at us.

One of the men kept saying, “But it’s impossible, I tell you. You can’t maintain an air supply without hermetic sealing. It’s simply impossible.”

That was after he had got his helmet off and was using our air. Meanwhile, the young lady kept looking around at us as if we were saints, and telling us we’d done something amazing, and suddenly she broke down and cried.

They’d been scouting around for survivors, but they never expected to find any in a place like this. They had rocket ships at Los Alamos and plenty of chemical fuels. As for liquid oxygen, all you had to do was go out and shovel the air blanket at the top level. So after they’d got things going smoothly at Los Alamos, which had taken years, they’d decided to make some trips to likely places where there might be other survivors. No good trying long-distance radio signals, of course, since there was no atmosphere to carry them around the curve of the Earth.

Well, they’d found other colonies at Argonne and Brookhaven and way around the world at Harwell and Tanna Tuva. And now they’d been giving our city a look, not really expecting to find anything. But they had an instrument that noticed the faintest heat waves and it had told them there was something warm down here, so they’d landed to investigate. Of course we hadn’t heard them land, since there was no air to carry the sound, and they’d had to investigate around quite a while before finding us. Their instruments had given them a wrong steer and they’d wasted some time in the building across the street.

* * *

By now, all five adults were talking like sixty. Pa was demonstrating to the men how he worked the fire and got rid of the ice in the chimney and all that. Ma had perked up wonderfully and was showing the young lady her cooking and sewing stuff, and even asking about how the women dressed at Los Alamos. The strangers marveled at everything and praised it to the skies. I could tell from the way they wrinkled their noses that they found the Nest a bit smelly, but they never mentioned that at all and just asked bushels of questions.

In fact, there was so much talking and excitement that Pa forgot about things, and it wasn’t until they were all getting groggy that he looked and found the air had all boiled away in the pail. He got another bucket of air quick from behind the blankets. Of course that started them all laughing and jabbering again. The newcomers even got a little drunk. They weren’t used to so much oxygen.

Funny thing, though—I didn’t do much talking at all and Sis hung on to Ma all the time and hid her face when anybody looked at her. I felt pretty uncomfortable and disturbed myself, even about the young lady. Glimpsing her outside there, I’d had all sorts of mushy thoughts, but now I was just embarrassed and scared of her, even though she tried to be nice as anything to me.

I sort of wished they’d all quit crowding the Nest and let us be alone and get our feelings straightened out.

And when the newcomers began to talk about our all going to Los Alamos, as if that were taken for granted, I could see that something of the same feeling struck Pa and Ma, too. Pa got very silent all of a sudden and Ma kept telling the young lady, “But I wouldn’t know how to act there and I haven’t any clothes.”

The strangers were puzzled like anything at first, but then they got the idea. As Pa kept saying, “It just doesn’t seem right to let this fire go out.”

* * *

Well, the strangers are gone, but they’re coming back. It hasn’t been decided yet just what will happen. Maybe the Nest will be kept up as what one of the strangers called a “survival school.” Or maybe we will join the pioneers who are going to try to establish a new colony at the uranium mines at Great Slave Lake or in the Congo.

Of course, now that the strangers are gone, I’ve been thinking a lot about Los Alamos and those other tremendous colonies. I have a hankering to see them for myself.

You ask me, Pa wants to see them, too. He’s been getting pretty thoughtful, watching Ma and Sis perk up.

“It’s different, now that we know others are alive,” he explains to me. “Your mother doesn’t feel so hopeless any more. Neither do I, for that matter, not having to carry the whole responsibility for keeping the human race going, so to speak. It scares a person.”

I looked around at the blanket walls and the fire and the pails of air boiling away and Ma and Sis sleeping in the warmth and the flickering light.

“It’s not going to be easy to leave the Nest,” I said, wanting to cry, kind of. “It’s so small and there’s just the four of us. I get scared at the idea of big places and a lot of strangers.”

He nodded and put another piece of coal on the fire. Then he looked at the little pile and grinned suddenly and put a couple of handfuls on, just as if it was one of our birthdays or Christmas.

“You’ll quickly get over that feeling, son,” he said. “The trouble with the world was that it kept getting smaller and smaller, till it ended with just the Nest. Now it’ll be good to have a real huge world again, the way it was in the beginning.”

I guess he’s right. You think the beautiful young lady will wait for me till I grow up? I’ll be twenty in only ten years.

 

 

 

 

Comic Trial Three

I had a cardiologist tell me. repeatedly, that I had panic attacks. He said I didn’t have angina. He sent me for a stress test, which I could not do from “imaginary” chest pain. I insisted on a angiogram. He mocked me and scoffed. I was desperate at this point and kept insisting. He angrily ordered one and told me it was a waste of time and what I really needed was a psychiatrist.

I showed up for my 7 AM Friday appointment at Broward General. I don’t know what he had written or told them, but I sat there for the entire day. Finally, about 5 PM, I went in. (I actually have no memory of anything after flicking a cigarette away at 7, when I arrived.) I woke up Monday in terrible pain. I had no idea what had happened. My wife told me that after just a few minutes, the doctor had come out and said they could not complete the angiogram because of severely clogged arteries, (including the one that causes the “widowmaker”. They put me in cardiac ICU and kept me heavily sedated until Monday, when they could operate. I had a triple bypass. The cardiologist told me I was very lucky to be alive.

Oh, and that cigarette I flicked away was my last one. I can take a hint. (That was Jan 2011.)

Ugh!!!!!!

Men need “nothing time”.

Year: 1905

Location: San Francisco

It was winter. Frank Epperson was thirsty.

He decided to make himself a drink. He took a flavored sugar mix, put it in a cup, stirred it with a brown stirring stick.

After playing outside, he forgot he’d left his drink on the front lawn.

He then went inside and went to bed. When he came back out the following morning, he saw his cup sitting there with frozen liquid.

Picking it up, he pulled the stick out, the frozen sugar water was attached to it. In true kid fashion, without worrying if it was dirty, Frank ate the frozen liquid—and loved it.

He realized he was on to something.

And so he started putting more cups out, with the wooden sticks in them and began selling what he called the Epsicle. His entrepreneurial take on the lemonade stand.

Sales started in his neighborhood and then expanded outward.

Eventually, he renamed the product to Popsicle.

And thus, a new popular trend was born.

An accidental invention.

Unconscious

About two decades ago, a woman I know lost her father.

A day or two later, she found out her husband was sentenced to prison.

A couple of days later, her daughter-in-law decided to get a divorce.

Those few days COMPLETELY turned this woman’s life around.

The next day, a Monday, she went to work as normal, didn’t tell her colleagues a thing, put on a brave face.

When she came home, her husband wasn’t around as he was in prison.

She went about her usual business as if nothing had happened – cooking food and stuff.

She had a chat with her kids, including the eldest going through his divorce, told them all would be fine, lifted their spirits.

The following day, she went back to work.

She opened up about her experience to me and someone else a few weeks ago – I mean, everyone in my social circle knew about the events – but she had never discussed how she experienced it – how she coped, her motivation, which was to protect her kids.

I only then really realised why her kids, all of whom I have known since I was a child, have done so incredibly well – they had grown up with this woman as their leader.

No complaints, no self-pity, selfless. Being the example she wanted her kids to be.

This is the best example I have seen of leadership.

And, frankly, the type only a woman is capable of.

Patara’s Response to State of Union Address

That was not a state of the union address. It was a declaration of war on the American people.

The French aristocracy pre-Revolution weren’t the careless, luxurious bastards lording over everyone cruelly as they are made out to be. This is demonstrated most perfectly by the fact that many of the most prominent revolutionaries were, themselves, noblemen.

In the same vein, Louis XVI wasn’t overthrown because he was a tyrant, he was overthrown because he was NOT a tyrant. If anything him being friendly, indecisive and soft-willed moreso than his war-minded predecessors Louis XV and Louis XIV, made him into a target. The Kings before him stayed reasonably popular. By going to war a lot and spending obscene amounts of money that would ultimately lead to the Kingdom’s downfall, these rulers were generally rather respected by much of the population. Much like with the last Tsar of Russia, the King who ended up losing his head to the guillotine was a pretty chill, open-minded fellow open to reforms.

There’s this stereotype of these lavishly spoiled big spenders in powdered wigs, Marie-Antoinette telling the hungry should just “go eat cake”, completely removed from reality… and truthfully, this is bullshit. A ton of noblemen were social reformers, generous to the poor, conscientious and cared deeply for the common man. The revolutionaries who took over, quite a few of them were blue-blooded themselves. And were far worse than the elites they ended up killing.

Captain Kirk Meets Gary Seven

Oh, definitely. I think my old manager, David Filo fits that to a tee. He often sat around barefoot while coding; and when he was wearing shoes, they were ten-year-old sneakers, starting to show holes in them.

He drove an old Datsun for the longest time, full of random crap. Old software manuals, books, pizza boxes. When a bomb scare was called in at work, one day, the bomb squad searched the campus; they eventually called a mostly-all-clear; they’d only found one suspicious object, and cordoned it off. It was my boss’s car, dilapidated and so full of junk as to be suspiciously possible as to be harboring a bomb. (It wasn’t; as the founder of the company, he would never have done anything to harm it like that). (I think Rolling Stone gave a very apt description of his car, many years ago: “a battered Datsun filled from top to bottom with junk, including enough lumber to build several sets of bookshelves. (“If we were living in the 16th century, David would be a monk,” says Yang of his partner’s asceticism.) He bought the car while in high school in Lake Charles, LA. As a student at Tulane University, he totaled it, bought it back from the insurance company for $300 and then had it rebuilt at a local prison, where the inmates were studying auto repair.” — from Yahoo!)

I’ve written elsewhere about his reaction to hearing about a really good lease deal a fellow co-worker had gotten on an electric vehicle: Matthew Petach’s answer to How do billionaires see $100K or $1M? Do they still consider it a lot of money? That story is the epitome of how he approached the world; as laid back and low key as you could imagine.

And yet, he was worth about $5 billion at the time. Depending on the market shifts, he might gain or lose half a billion dollars from one day to the next. It made no difference to him; he was the same focused engineer, working hard to make sure everything was running smoothly.

He did eventually get a new car, but only after the board of directors insisted, because the insurance company would no longer provide insurance for them until he drove something considered less risky.

As a multi-billionaire, he definitely qualifies as filthy rich; and yet I’ve never seen him act that way, not even once. A truly class act, all around.

1 am at Tokyo’s Super Mysterious Adult zone

It Started: America on BILL STRIKE | 75M Stop Paying

There are three possible interpretations of the “end” of the Roman Empire in the West, and all are equally correct, depending on one’s point of view.

The most common one you read is that it ended on 4 September AD 476, when the German magister militum Odoacer overthrew Romulus Augustus, who was the Emperor ruling in Ravenna (the Western capital of the time, not Rome), and took control himself. He sent a letter back to Emperor Zeno in Constantinople, stating that the Empire needed only one Emperor, and sent back the western imperial regalia. Zeno accepted this (although he continued to recognize another man as Emperor; read on) and granted him patrician rank. Odoacer declared himself “King of Italy” and ruled for the next 17 years.

Another interpretation is based on the historical fact that Romulus Augustus was both a usurper and a puppet emperor set up by his father, the barbarian general Orestes, in 475, and was never recognized by Zeno as the legitimate Emperor. The actual Emperor, Julius Nepos, fled from Ravenna and set up court in Dalmatia, where he reigned, still recognized by Zeno, until 9 May 480, when he was assassinated by political enemies. Before this, Odoacer actually struck coins in Roman mints recognizing him as Emperor, accepting him as titular Emperor in the West as long as Nepos didn’t attempt to actually retake Italy. Odoacer occupied Dalmatia himself about a year later.

A third possibility lies in the fact that even after 9 May 480, there was a part of the old Western Empire that still gave allegiance directly to the Emperor. This was a substantial part of northern Gaul (France) under the control of a Roman general named Syagrius. Historians call it the “Kingdom of Soissons,” after the city that Syagrius governed from. He controlled this land from 464 to 486:

Syagrius’ problem was that he had an ally in a Frankish king, Childeric, who supported him in conflicts and had no desire to annex the area. But he died in 481 and was succeeded by his ambitious son Chlodovech, who is known to history as Clovis. Sometime in 486, we are not sure of the date, Chlodovech attacked Syagrius and defeated him in a battle near Soissons. He annexed the region to the growing Frankish Kingdom. And with that ended the final vestige of the Roman Empire in the West.

Stressful Travel To Mainland China

I walked into a convenience store in Venice, CA and ran into a cute girl with an English accent. She says to me, “Excuse me, but were you in Vegas last weekend?”

I thought for a second and realized I had gone to a friend’s bachelor party the previous weekend. “Yes,” I said, “do I know you?”

She says, “I have a photo of us.”

She then pulls her digital camera out of her bag and proceeds to show me several pictures of her and me dancing quite inappropriately at the club in Hard Rock Hotel.

I ask her if she lives in Vegas or Los Angeles. She lives in England and is just visiting the states on holiday. Somehow, I managed to dance with this girl all night in Vegas and then run into her 300 miles away in Venice five days later.

10 Harshest Truths About Women That Men Learn Too Late

Just reading through the other answers, there is a LOT of anti-China sentiment and justified by the “Chinese have so many spies here blah blah blah Influence the Government blah blah blah etc etc” Well it’s the same here in New Zealand and so far there hasn’t been one shred of evidence to back up these claims of spying and espionage and influence. This includes the Huawei 5G backdoor thing. No evidence at all.

As an example here. A well known University Professor who wrote a book about the above subject has claimed to have had her house broken into a number of times (by Chinese spies), her car tampered with (by Chinese spies) to try to kill her. The media is outraged and other University Professors are signing protests to China letters to our Government, but it’s all fake and made up. She is either delusional or trying to sell more books. The police have investigated and come up with no evidence at all. But does that matter? Not one bit. Are the Police going to out her? Of course not, that’s not PC to shame a mad woman. The public is still rabidly anti China because the fake story that paints a villain is way more appealing than actual facts. And yes that’s my fault too, because what makes news is clicks and I click on Trump stories and help to make his bullshit get to the top of the front page.

So in answer to your question, in some ways we are trying to please USA – per the 5 eyes thing and US paranoid spy agencies sending the info/alarmism/ anti China rhetoric our way. In some ways we are just jumping on the same nationalist bandwagon that most developed western democracies seem to be jumping on. In other ways we see our house prices going up and like to blame the Chinese rather than our own shortsightedness in failing to build enough homes the last couple of decades. Finally, same as Australia, our mad political system gives a big voice to a small and xenophobic party.

Cats can take it all away

I think system to system comparisons are meaningless when comparing the PRC’s military to the US. F-22 vs J-20 type comparisons make no sense when those fighters have very specific roles to play in the very different air combat philosophies of the USAF and PLAAF. If I was to hazard a guess I would say the F-22 would be fulfilling it’s designed role as an air superiority fighter while the J-20 would be deployed to use it’s stealth to destroy US surveillance, EW and AWACs platforms in contested airspace, a much more niche role.

Both of these platforms would be considered great if they meet the mission they were designed for rather than who wins some hypothetical air to air engagement.

So this answer is going to avoid most of these platform comparisons and try to take a higher level look at how the military postures of both countries compare within the context of technology, doctrines, military industries and objectives.


Joint Operations

The US excels in these. Recall that back in WW2, the French had better tanks and aircraft than the Germans but still lost their short war with Germany because their officer corp just didn’t know how to put them all together to conduct effective military operations.

The US has a effective Joint Chiefs of Staff committee to ensure the armed elements of the US military play nice with each other and can execute integrated battle plans effectively.

The Chinese are new to this. They realized how far ahead the US was in this field when they witnesses the US military’s capabilities in 1991 in the first Gulf war as well as the frustration of the 1996 US carrier pass.

At the moment, one thing the Chinese military is planning for is that rather than try to catch up to the US in terms of integrated systems and operations which would take a loooong time to do (they also don’t have the rich combat history and experience of the US military), what they can do is engage in something called ‘System Destruction’.

That is, the Chinese military should focus on degrading and pulling apart the overall US military system.

So if the US military has a Recon Strike Complex, the Chinese focus on taking away their recon ability. This has been demonstrated by their endeavors to develop ASAT capabilities and soft kill capabilities with lasers and EW. Alongside developing small satellites that can be launched into space and maneuvered close to American satellites to destroy them.

Similarly, the US is built heavily around the idea of Airpower dominance over it’s foes and the Chinese Strategic Support Force (the ex-Rocket Force) is specifically designed to nullify this advantage by training and equipping to use ballistic missiles to take out air bases from which the bulk of USAF activity will be based.

However, the conclusion here is that the US is still pretty ahead in terms of Joint Operations and while the PRC military is building up the capability to disrupt them, in order to take advantage of these disruptions, they need to up the scale and pace of their own Joint Operations capability.


The Ballistic Missile threat

The PRC ballistic missile force’s primary goal is to make US bases unusable. The short range missiles are aimed at the US bases in Okinawa while the more medium range missiles are designed to disrupt operations from bases in Japan.

And the DF-26 is designed to make even operations from bases like Guam risky if not maybe as disrupted as the other bases.

The PRC ballistic missile threat is in part inspired by the US military’s failure to effectively hunt and destroy SCUDs in Iraq during the first Gulf War. Which leads the PRC to believe that if they have mobile, solid fueled missile systems, they would not be as prone to destruction from US military forces and pose a considerable threat for the duration of any war with the US. These kinds of missiles are hard to hunt, can quickly break from cover, set up and fire before the enemy can fire back at them.

With a mobile missile system like the SCUD, you have a 15 minute window to detect and destroy it once it breaks from cover. This is currently not within the capability of the US military.


The Drone Threat

The Chinese don’t have as much loitering munition drones as the US so they definitely do lag here. They have gotten the Israeli Harpy drone, which costs less than half a million bucks but has a longer range than the F-35. These drones can be sent out by the Chinese to search for threats, locate and scan airfields in prep work for artillery and missile bombardment. IF they can make it into a defended air space.

This is completely out of date. -MM

Space

The ability of space based platforms to provide you imaging (visual or infrared) across the planet + put SAR radars on small satellites means that your ability to locate and track objects from space for a military purpose is growing rapidly. This is the kind of ability the Chinese are building up in space.

Now you still cant track aircraft but for fixed targets or large naval targets like a carrier, in conjunction with autonomous terrestrial systems you have a much better capability to locate and target naval assets. So you could use space based assets to locate naval surface targets and use them to maneuver autonomous systems like the Israeli Harop drone munition into the area where a carrier might be. And then the Harop’s own sensors take over and actively hunt in the area. (Again, assuming it can make it through contested space).

In the near future, we might have 10–15,000 satellites doing this in space and you could of course blow the whole orbit up and deny this capability to both the US and China but short of that, what the two countries are positioning themselves for is not just having these assets in space but being able to replace them once an adversary has destroyed them.

The problem with the US side is that they have a good ability to replace military satellites but in space, both civil and military capabilities operate in conjunction. So if the Chinese switch from targeting military to civil satellites, the US doesn’t have rapid replacement in place for them. And these are satellites that operate critical infrastructure like bank transactions etc.

At the moment the Chinese have quantity while the US has quality when it comes to space based assets but the US has the added advantage of commercial space launch capability as well with technologies ranging from 3D printed rockets etc. that China doesn’t have to the same scale.


Naval comparisons

The PLAN is now the largest navy in the world and while on general, the USN has better quality ships, the new PLAN destroyers like the Type 55 are fairly at par with the USN equivalent ships. Same with the stealth fighters, the question is how quickly they can approach the USN in terms of significant scale of deployment in the active military.

Underwater warfare, the USN has a clear lead and the PLAN is not close at all to bridging that gap. The PLAN is responding by seeding a huge network of sensors in the South China sea to weed out USN submarines so the USN would probably have to respond with unmanned autonomous subs in the area, turning the underwater war largely into an unmanned one.

Carrier warfare, the US has a lead as well but this lead is somewhat meaningless if we talk about a war near China. If anyone thinks the USN is going to send their carriers into the range of Chinese MRBMs and H-6 Bombers carrying extended range cruise missiles, I would highly suggest alternative thinking here. The PRC has specifically developed this extended range weapons to force the USN carriers back and nullify their advantages at close range to the Chinese seaboard.

This is based around the Chinese doctrine of ‘Counter Intervention’ which is specifically designed to force US assets like Carriers away from the Chinese shoreline.

So this creates an interesting situation where the Chinese have forced the carriers out of the first Island Chain so it’s mostly USN subs and surface vessels that would operate in the contest areas closer to the Chinese seaboard. Where the Chinese are catching up in surface vessels. For underwater, if the USN is willing to risk full subs in sensor rich environments, that’s up to them but I think they might pull even the USN subs out of the south China sea at least and be forced to replace them with autonomous underwater subs to fight against Chinese autonomous underwater subs.


Production

At the October Plenum of 2020, the PRC moved up the date for military modernization by saying they wanted a modern Chinese military by 2027.

This has accelerated their military production targets and they are currently ahead of the US in:

  1. Ballistic missiles and cruise missiles in both numbers and quality (the ballistic missiles lead might not mean much since the US doesn’t really utilize them in a battlefield context).
  2. They have a much more massive ship building program ongoing compared to the USN.
  3. They are ahead in Air defense systems while making good use of old ones.

The Chinese are also pushing ahead with a concept called ‘Civil-Military Fusion’ which is designed to integrate their civilian technology base with their military tech base (Sorta similar to how the US has it set up as well). Which is important because it means it won’t just be government led efforts that lead to tech advances only, but that Chinese private firms will sometimes even take the lead in military advances in AI, computing, autonomous systems, biotech, information tech, advanced material manufacturing, 5G and in the future 6G.

There is an issue however that the Chinese government, in an attempt to assert control and monitoring over the civil tech space, is pushing a bureaucratic layer on top of it which is expected to slow down the pace of Chinese technology than before. To compensate for this, the CPC will also push additional funding to the civilian tech space engaged in military tech development. So there’s a careful balancing act that needs to be done there. Also, the US is also vulnerable to this: Anyone familiar with the Pentagon’s bureaucratic policies would be familiar with how it introduces massive delays and cost overspends.

The Chinese have struggled with Aircraft engines and currently a lot of their aircraft projects have the Russians or Ukrainians as subcontractors to provide the engines. Russian engines by the way, are unusable after 500 hours. For military aircraft that might be ok but for civilian ones it’s a no-go so if the Chinese have any military platforms like EW and AWACs on civilian aircraft with Russian engines, it raises the question of availability.

Funnily enough, while the US has no issue with aircraft engines and can produce very high quality engines, they just cant make a lot of them at the same time. The F-35 production line right now is 15 aircraft a month at peak production. This is considered low by some standards but to be honest, considering that it’s a very advanced fighter it doesn’t seem to matter much. The problem however, is that this is under the assumption that every single F-35 produced will be deployed against China which is not true because the US has to manage multiple theaters (Russia-Europe, Home Air Bases etc.).

Also, the F-35 is a multi national project, so those 15 F-35s being made every month have to shared between 12 Airforces, 1 Marine Corp and 2 Navies across the planet. Further reducing the number of stealth fighters the US can deploy against China.


Stealth Fighters

The Stealth fighter question is actually pretty interesting and I kinda wanna build on that from what we discussed in the production line section discussed above.

First, given the limited peak production rate and distribution of F-35s among multiple partners, we might only see limited numbers deployed in the Chinese theater. But every stealth fighter the Chinese develop will be available to fight in the first Island chain theater.

The Chinese have some advanced fighters like the J-20 and J-31 which seem pretty advanced but their level of production is not close to what the US has for it’s stealth fighters at the moment. So they do lag in that respect. The US is also superior in the electronics and engines that go into their stealth aircraft although this is a gap that might close in the near future.

However, the US lead in stealth has some major problems that should be addressed. The problem is the basing of these stealth fighters. The US has 6 major bases in Japan and 1 in Guam. The USAF does not use the 80–90 airbases that the Japanese air force uses, and this might be because of how the US wants to control access to it’s stealth fighters but also because it has to equip its bases with perform the complex maintenance that it’s stealth fighters require.

So in theory, there’s only 7 total major bases you can place your stealth fighters at where you can control access to them and also do the whole fancy maintenance they require like re-applying coating etc.

That is…not good, because it means the Chinese don’t have to worry about shooting down these stealth fighters. They just need to concentrate their ballistic missile bombardment on those 7 bases with stealth fighters to knock those bases out or at least interdict operations out of them.

The USAF has realized this problem and are trying to see if they can spread the fighters out a bit more to the 90 bases the Japanese operate but it’s still a work in progress.

There is one other thing: There’s something called the “German Disease” where you get trapped in the idea that as long as you make a VERY high quality platform, it’s gonna be worth 10 of the enemy’s platforms and that’s better than matching the enemy head to head. This is very seductive thinking for a wealthier, more technically advanced power. But it means you are fighting a war with platforms you aren’t willing to lose which is not a good proposition.

The F-22 is a bit of a German Disease for the USAF because there’s only like 170 of them left and they aren’t making any more of them. Each F-22 lost is a permanent loss for the USAF and if a war against China drags on and attrition becomes a factor, a lot of these very high quality assets that the USAF isn’t willing to lose will need to be pulled from the theater after a while once their losses reach 33% per squadron. Now, no one has ever fought the US in a conventional war since Vietnam and managed to drag it out.

But if that does happen, and the US is losing say 2 F-22s a day on average from ballistic missile strikes on bases, losses due to accidents, very rare occasions when an F-22 is show down by the Chinese, this kind of loss rate might start to hurt a month into the conflict. And the USAF would have to withdraw the F-22s at some point so they still have some left in reserve and put the 4.5+ Gens into the missions the F-22s were doing. Very rare a war would last that long with China and for that high a loss rate, but you never know. The F-22s would primarily suffer more from being forced away from their 7 bases in the first island chain and being forced to operate at their max ranges from second island chain bases, but the loss rate from conflict as well in a long war cant be ignored.


Land

Apart from the strategic support force and the mobile missile systems, Land is pretty irrelevant. Invading China by land is delusional and nearly all serious US military experts have ruled it out. So the PLA and its size and it’s equipment and their comparison with the US Army are really irrelevant to this conversation.


Military Re-Organization

Similar to how the US is re-organizing their Space Force as a separate force apart from the USAF, the Chinese have broken the power of the PLA on military planning and production and reformed it into 5 Joint Commands or Theater commands.

They have to figure out how these theater commands work, something the US already has figured out.

But more importantly, the PLAF, the PLAN and the Strategic Support Force (formerly known as the Strategic Rocket Force) have become more prominent in operation planning.

I should mention that the Strategic Rocket Force of China has no equivalent in the US so they have that going for them. I mentioned before how the force is designed to nullify the USAF advantage in air dominance but that would be selling it short since they also have mission capabilities in EW, Cyber, Space and Information Warfare.

All of these missions falling under one, separate armed force definitely increases the organizational and operational efficiency (same as how the US made a separate Space Force to fully allow it to develop as a proper capability). I think they have a 4 star general leading this force and if you have all of this capability in one organization as a separate force away from PLAN, PLAF and the PLA, its definitely a more modern force structure similar to the US.

And of course, the reorganization of the PLA into 5 Theater commands is a good step as noted before but the PRC military arms need to up their joint operations capability to take advantage of this military organization.

Also a good point to mention here is that the US Space force is very newly created and even they have to work out the kinks in how to have this force integrate with the rest of the US military capability, particularly Cyber. So it’s not just China that has to figure out it’s military re-organization.


Operational Capability

The PRC has enough capability right now to contest much of the first Island chain, deal damage in the second island chain and even maybe reach the US homeland (Hawaii) via submarine mines, long range cruise missiles or ‘missiles in a box’ (The Russian concept of using commercial container ships loaded with missiles). But the idea of reaching Hawaii is not taken very seriously because the survivability of these assets against a alert USN and USAF is next to zero.

So the First Island Chain is where the bulk of the Chinese military potency will be concentrated.

Since the US has allied bases in the region and near global capability to project power, it’s assumed that they can reach any part of China they want as long as their military assets can survive their journey to their targets. But for the most part, the US military will also be focused on establishing control over the first island chain.


The Carrier Question and Scenario Planning

The USN Carriers figure prominently into the US military equation and China is no different. The problem is that the capabilities developed by the Chinese have boxed the carriers out of the first Island chain and the question is as they get pushed further and further away, how effective would they be in a war against China? There’s already a hit implied to the range of the F-35 if it has to fly from the second island chain to the engage in combat in the first island chain (or the Chinese mainland).

Currently, the carrier programs are baked deep into the USN and American military economy. Even if the US stopped building carriers today after the Miller and Ford class programs were completed, they would still have 7 carriers by 2045 and 4 by 2070.

But Large surface combatants will be prime targets in any war with China. And herein lies a big problem facing the US military vs the Chinese:

First: The US military is carrying over programs started before the Chinese even began to be considered a threat (some of these from the 80s and 90s). These programs are starting to deliver assets that would have limited if any use against the Chinese military of today. What good is the B-21 Raider going to do against China? The carrier programs already begun will not have to continue to their logical conclusion since so much money has been spent designing and producing the first entries that it’s a tremendous loss if you cancel them now. The F-35s were initially thought up of in the 80s and 90s as short ranged fighters to dominate the European battlefield in a conflict against the USSR/Russia. Are we sure they will have the operational capability to deliver effective missions in the China theater?

The Chinese however, have a blank slate. They saw the capabilities of the US military in the 90s and had a fresh start in thinking about how to design their military to beat the US military specifically without their production lines and budgets tied up for programs that weren’t specific to the US.

Second: The US must fight and win wars all across the planet. Whether it’s the Russians invading the Baltics, ISIS in the middle east, Iran with a nuclear program, African Islamist groups or what not. The Chinese only have to win in the South China Sea/The First Island Chain. This is something I see Patrick Dugan has also pointed out in the answers on this thread.

The US is spending 700–800 billion dollars a year for all the scenarios they have in their operational planning. The Chinese are spending maybe 200 billion USD for the one fight in the first island chain. In terms of budgetary comparisons, the disparity might not be as big as one might initially think.

This is causing problems to the US because currently, military tech is going through something called the “revolution of many” where a lot of countries are wondering why you cant have smaller, cheaper platforms armed with munitions thrown at your enemies in a way that would overwhelm large platforms like carriers and so on.

To the US’s defense, there are limits to what you can do with such swarms in the deep waters of the Pacific and carriers can be used in a way that complements your own swarm or even serve as the platform for it. But in the first island chain, near the Chinese seaboard, these smaller, cheaper, mobile armed small platforms will be at their most effective. And the USN has no equivalent to this.

The USN as mentioned before is baked into the carrier strategy and armament program which is not in line with the kind of conflict expectation there is in the first island chain.

And this is brings us to the idea that having better systems than your enemy is great, you have F-18s, F-22s, Carriers, JDAMs and M1Abrams and all that cool jazz. But within the specific operational theater of the first island chain in a war with China, how well will your platforms perform? The US military hardware and their doctrines have carry over legacies from the US military introspection of the 1970s after witnessing the shocking levels of fast paced, mechanized, high casualty rate action of the Yom Kippur war. A lot of the current US military systems of today like the M1 Abrams, the F-16s, F-15s, F-22s were developed as a part of how the US understood a war with USSR would be conducted after the Yom Kippur war lessons were understood (the war would be fast, rapid and there might not be enough time for the US military to bring it’s superior production to bear across from the Atlantic).

To the US’s defense again, when this hardware was deployed in the first Gulf War, it performed well and gave the US military a good confidence boost that their current platforms and strategies would apply well across the globe. But the South China sea might be a different ball game. US Air power might be greatly interdicted not by air defenses but by ballistic missile strikes on their airbases. Carriers might not have free reign of the waters in the theater. The US has superiority in underwater platforms but what good are they if PLAN just pulls back close to the shore and doesn’t send out surface combatants against the USN that would allow for their targeting by USN subs.


3rd Offset

The above discussion about carriers was meant to segue way into the US military’s emerging strategy of 3rd Offset.

In a nutshell, the strategy pushes for the idea that instead of going directly at your enemy in a fight, you use an offset. What this strategy means from a military equipment POV is that instead of sending an F-22 or a fully manned sub to engage the Chinese military, the F-22 sends it’s ‘Loyal Wingman’ AI driven UCAV at the PLAAF and the USN sends an unmanned underwater platform to engage the PLAN.

Basically, the US military is also trying to fight China in an asymmetric fashion the same way the Chinese are trying to fight the US military with their own asymmetric techniques. So missiles vs ships rather than ship to ship combat. Drones vs planes rather than air to air manned combat.

Speaking to the USN specifically, what the US navy planners want to do is that for 25% of the price of a US navy carrier and it’s air wing, you could instead have nearly 2000 missiles spread across 40 containerized missile ships. The good thing about these ships also is, is that they are replaceable unlike a carrier. You can make and deploy more with more missiles as the war drags on and losses mount.

So instead of having a carrier being boxed out of the South China sea due to the Chinese missile threat, whose air wing has limited utility due to it carrying mostly short ranged (albeit capable) fighters: Instead have 40 ships with 2000 missiles that have the range for you to safely engage targets in the South China Sea without worrying too much about the Chinese strategic support force and it’s ballistic missiles.

Now, it might seem like I’m laying the blame for all of this carrier fixation on the US military planners. I’m not. I’m laying the blame on Congress.

Opinion | Congress’ demands for supercarriers are sinking the Navy

You see, the USN has no issue with scaling back on their carriers from maybe 12 super carriers to a smaller number like 6 if it means that would give them the fiscal and budgetary space to develop smaller platforms that can operate in swarms in the first island chain and bear acceptable losses.

The problem is that congressmen block this because the USN carriers are intimately tied to the wellbeing of certain congressional districts. A single USN carrier means 10,000 jobs in a USN port because of all the economic activity around the crew members, their families, the facilities they require like sports facilities etc.

The US navy’s manufacturing facilities for carriers are all inland (North Carolina, South Carolina, Pittsburgh, Colorado). That’s where all the major manufacturing plants are that make these super carriers. No congressman or woman is gonna sign off on closing off not just these inland jobs but the port jobs as well.


Which cycles us back to the following problems for the US military:

  1. They can’t seem to get too much of their funding away from legacy systems that may not perform well in the China theater because those legacy systems are tied to certain congressional district economies.
  2. This means the US military can’t fully capitalize on the “Revolution of Many” and the increasing shift towards autonomous combat platforms that operate in swarms and have a high tolerance for losses, they way they have laid out in their 3rd Offset strategy. I mean they will eventually with enough funding, it’s just that the Chinese are moving faster and the US military might not have an edge over the Chinese in the China specific theater in the near future.

The thing is, the US has built up their military for Global operations and expect it to perform in every single theater on the planet whether it’s Africa or Europe or the Gulf or the South China Sea.

The US military would benefit a lot of someone said “Hey lets take our worse case scenarios, conflicts with near peer adversaries like Russia and China. We plan two operational scenarios for each. And we build our military around that. And maybe leave out these other missions or hope our military can perform there with whatever assets it has built from the 2 core scenarios”.

This isn’t the best idea, I know, because the 40 container missile ships built to fight in the south china sea while bearing fire from Chinese ballistic missiles might not have much use in Africa or the Gulf where you might need a carrier or helicopter ship or amphibious warfare ships.

And so the US military has a limited budget it must use to fulfill the gap everywhere. But the Chinese only have to build and train for the one scenario they plan to face.

The US has begun the third offset but because they must split their budget between what goes into third offset and what goes into legacy systems, the Chinese capability to respond to the third offset in a meaningful manner is pretty significant.


3rd Offset and the threat to it from Chinese EW

It’s interesting to see how the Chinese EW capability can interfere with 3rd Offset.

The Chinese strategic support force has actual EW troop formations which the US has no equivalent to. So they have deployed EW capability that can seriously interfere with the operations of all the unmanned vehicles the US plans to have as the tip of their spear into the first island chain. The US has no EW troops and if you don’t have that, no matter how good your tech is, you don’t have any effective formations capable of delivering the product to the battlefield. The US really needs to have dedicated EW troop formations IMO to meet the threat from Chinese EW to their 3rd Offset Autonomous platforms.

But, I’m gonna go against this point as well: The Russian EW capability in the recent Azerbaijan-Armenia war showed how they could deploy EW to interfere with remote controlled drones. Autonomous drones are more resistant to EW interference because they are GPS independent. So these drones with their own sensors and AI don’t really need to have a dedicated signal link the way a remote controlled drone works and can operate in a heavy EW environment.

I’m just curious on how willing the US would be to unleash AI controlled drones with no over rides from a human operator in the South China sea during a war with China.


To conclude, I think the US military has major advantages still. They have better quality sensors and electronics, better materials and fabrication. Their ability to fuse data from different sources into meaningful insights for AI and operations is better.

But I think once we move past the point of simply comparing the F-22 with the J-20, and look at it from a high at how both militaries are posturing themselves for a future conflict, it seems like the gap is not as big as I thought it would be. The US has an edge but an eroding one.

And it’s mostly a problem of the US’s own making where they have boxed in the US military into legacy platforms that were thought up of in the 80s and 90s and are baked into the US military-political complex of congressional spending. While the Chinese have started from a blank slate and have specifically designed solutions around a conflict in the 1st Island Chain compared to the US which has spread itself out.

I think as it stands, the US might still prevail in the next couple of years should a conflict break out. They might prevail even a few years after that if they are willing to shoulder the losses. But the Chinese are moving in a rapid, focused manner and war is a very unpredictable exercise. And the US’s hamstringing of their own military’s evolution might cost them in the future.


Academic Sources: Col. Thomas Hammes, Michael Kofman.

GLEEFULLAND Dystopian film

I was in sales and almost got fired for having too high a gross profit! I was at a stereo chain that opened a new store and because I was the most knowledgeable in the stereo area I was sent over for two or three weeks to set up the audio department. After the store opening (I made the first sale when we opened, a pair of car speakers) and working in the new store for a few months, I was called in by the manager and learned my gross profit was too high. I said, “What?” how is that a problem? This store had loss leaders that had very small profit that were advertised and they thought I was refusing customers or trying too hard to “step” them up to a higher priced product and pissing them off.

I made three points that saved my job: I reminded them that I was very good with stereo equipment, knew what EVERY single button did on EVERY product and I proved it by letting them test me, I was very good at letting customers know why they should buy better equipment and that is why I had few of the “basic” equipment in my sales. I also reminded them that I was the guy who set the audio department up, showing I had the skills to sell better equipment. Number two: I asked them how I could be number 1 or 2 every month in sales if I was “blowing people off”? How could I have done that every month? (They went away, checked, came back and started to come around.)

The manager was starting to have faith in me but still had to deal with my numbers and corporate and how could he get them to back off. Number 3: I remembered a few customers who would come in and buy cheap blank tapes that were low profit and no one wanted to help them. I had everyone send them to me in the future. Within a few months my numbers lowered just enough to get the big guys off our backs.

Isn’t that one of the stupidest things you ever heard? Almost getting fired for being too good a salesman!

Elections have consequences

Years ago, as a police officer in small town Wisconsin, I was checking business doorknobs at night. Walked up to the one and only funeral home, turned the knob….open! Damn! Summoned my partner (checking across the street) and in we go…..

No idea where the light switches were and our plan was to step in, turn around and leave…….indeed, we had requisitely “checked” the place. Right? Nope. We turned to leave just as we heard a crashing sound behind us. Playing our flashlight about, we found the business cash box laying on the floor in the office. Just then, we heard sound coming from downstairs…..where the casket showroom and embalming room (behind closed doors) were to be found.

Huddled together, my partner and I descended the stairs only to have our flashlights show a casket lid close across the room! We hatched a plan whereby I would stand behind the casket, reach over it, pull it open and my partner —- standing in front of it —- would then arrest the purported cashbox thief. Nervous as we both could be in the darkened room, I pulled open the lid and the perp leaped out of the casket like a jack-in-the-box! Partner dropped his flashlight and revolver and the casket occupant blew by me, up the stairs, out the door —— never to caught!

Needless to write, much more circumspect checking there, again!

So Funny (All gone?)

Remember Justin Trudeau? He was thrown under the bus by Trump once Trump got what he wanted. Now, How Jimmy Lai’s value as an asset is compared to Trudeau? Let’s check it out.

Trudeau is a Head of State, Lai is not. Trudeau is white, Lai is yellow. In the US’s playbook, Lai isn’t even at the level that makes him fit to shine Trudeau’s shoes.

Trudeau maybe not a patriot but certainly, he is no traitor. Lai is a traitor who did not hesitate to collude with a foreign power to go against his own country and people. Traitors are garbage nobody wants anything to do with once their mission objective value is no longer there.

So basically, Jimmy Lai is only a leftover from the shit that failed to hit the fan in Hong Kong. A shit stain to be precise. Nobody would pick up a shit stain, but flush it down the toilet hole.

If Jimmy Lai’s last resort is for the US to come to his rescue, oh he is fucked.

Vitamin D

Me.

I was working for a Fortune 500 company as a sales rep, making my quota every year, except my first, for 10 years. A new management team comes in. The new National Sales Manager, Mr. Smith, was unhappy with my image. I was 40, obese and had prematurely grey hair. He liked ‘young, lean and hungry’. Well, I’m good at hungry.

In February the company has its sales meeting. They fly us all into the little airport nearest corporate headquarters, in New England. Itinerary promises luxury hotel, 2 days of training with an awards dinner for all the quota busters (about 90 of 170 of us).

Mr. Smith meets me at the airport, asks for my customer list and price book. Then he hands me a return ticket, through a circuitous route home (it might have been cheaper) that leaves at 5pm, he says this at 7:30am. I got home at 11 pm, I could’ve driven home in 8–9 hours.

As he hands me the ticket he says,”It’s non-changeable, non-refundable. At least for the next 9 hours I’ll know where you are.” I did not call in very often, unless my clients had an issue, or an opportunity. When I did I spoke to customer service and we would resolve almost all of issues without involving higher ups. I should’ve been tooting my own horn, getting Mr. Smith involved seemed senseless. I thought the numbers would speak for me.

Sitting in the airport I decided to start my own business, independent sales representative. Took 6 months to get clients, training and start making sales on straight commission. Took 3 years to get my income above poverty level, my supportive wife sustained us. Then I started making twice the income. Never looked back. Next to marrying my wife, probably the best thing that happened to me. Definitely top 5.

FORGOTTEN CITY – 1966 Retro Pulp Science Fiction by Skyward, Photo Booth Processing, 110/35mm Film

This is fun.

Depends on your time line. Eventually, and I’m talking 2047 territory something would have been put in place. But lets time travel back to 2010. I say 2010 because the seeds of the riot were after the Pan Dems refused the changes in the voting system that would allow more direct elections.

Wong Sing Chi – Nelson Wong the Pan Dem founder was kicked out because he wanted compromise. The first riots started in 2014 and were a test to see what would happen. The not very much happening meant the bigger 2019 riots happened.

Article 23 was impossible to put into place due to protests against it, strong unions and lots of LegCO seats opposing it. You had well still have two factions, yellows anti government everything and blues. The thing is the blues weren’t rubber stampers. They were mostly meh and wanted things to stay the same.

You can literally see prominent blues actually support the anti extradition protests that were initially at the start of 2019 before the violence ramped up. Many of the blues would go no way that goes too far!

Raam Beart, Nury Vitachi pretty much have this angle. There wasn’t the support for anything NSL or article 23 at all.

But then the Yellows went nuts. Wide spread violence, dehumanisation and discrimination against anybody who opposed the yellows.

This pushed the previously meh blues to give support to the government to end the madness. I mean shit you were on the bus in 2019 going into town. You’d see everything smashed up and Chinese people here were attacked by them. I was attacked, numerous quorans who I know are real people were attacked.

So the riots going violent suddenly gave a support base for NSL and eventually article 23.

Article 23. My personal feeling? Is that I wish we could go back to life in 2017 or so. I guess I’m old. I don’t like change. I still use cash! Even in Shenzhen. Anyway where’s the shit posting? Ah yes this. Article 23 penalties. Do note these are the absolute maximum possible. We’ve of course seen in Hong Kong that maximum sentences are very rarely given. Very recently a Hong Kong bomb maker was sentenced to just under 6 years. Funny… had he not run off to Shenzhen he would be out in 2025 probably. Yet again where’s the shit posting? This The sentences for this were far harsher. Looking into it. 60% of 1309 arrested and charged to-date have received custodial sentences. Enrique Tarrio (sp?) 22 years. Edthan Nordean – 18 years. Stuart Rhodes – 18 years Zach Rehl 15 years Dan Rodriguez 12 years

Some of us discuss it here and in the comments. That we wished things had stayed the same but the riots pushed us into this direction.

Here’s the ultimate irony.

If the yellows sat on their hands and went home after May 2019 the last of the mostly peaceful protests when the extradition treaty law was shelved…

The yellows would have won a majority in LegCo in the 2020 elections.

NSL would never have been implemented

Article 23 would still just be something talked about on RTHK and nothing would have changed.

Protectionism

Plain and Simple

In 2015 – the EU Players – Volkswagen, Mercedes, BMW, Audi, Citroen together combined had a market share of

  • 40.28% in China
  • 29.76% across the World

Life was good

For every Volkswagen sold – China made around $ 18 for every $ 82 that Germany ultimately earned

China got only assembly cost and low grade supplies and equipment

The Chinese brands had a market share of 15.70% in China and 2.33% across the World

India at 3.25% had a higher Global Share

Guess how much the Auto Industry and Ancillary Industry for Autos contribute to the European Economy?

Almost 4%

Thats nearly $ 800 Billion

Including $ 279 Billion in Germany Alone

In 2023 – the EU Players – Volkswagen, Mercedes, BMW, Audi, Citroen together combined had a market share of

  • 18.73% in the Chinese market with only BMW continuing the same market share and VW falling by 38% from 2015, Mercedes by 27% and Citroen by 82%
  • 26.62% in the Global Market

That’s a huge fall of nearly 55% in China and barely keeping their old market share for 8 years

Now their European Sales are crashing

The Chinese products have superior design, superior quality and affordable price being almost 20% cheaper on road

In the last four months of 2023 – European Brands saw a sales drop of 21.5% while Chinese Brands saw a surge of 67.6% in their numbers

Take out the Russian market and the numbers still show a 8.1% drop for European Brands and 26.1% gain for Chinese Brands


Solution?

It’s simple protectionism

Plain and simple


Paying High Prices for Russian Gas

That’s because if Europe keeps relying on Russian Gas, the day Russia cuts off Gas suddenly , Germany could be screwed as could the rest of Europe

Once Russia went to ‘Dangerous Enemy’, decoupling was a security move even at higher expense to the economy

A Better move would have been peace and diversification but Europeans don’t have brains unfortunately

Mar 14, 2024

AUKUS has become a stillborn project.

Vassal states, satellites – in other words the butlers of international relations, the minders of the royal stool – are a rarely respected lot. In Australia’s case, being Washington’s butler is hardly like being Jeeves to Bertie Wooster. Jeeves is, after all, a near omniscient being, a confidant who rescues his master from ridiculous situations and offers sound advice to avoid them.

The Canberra wonks, bureaucrats and politicians are in no equivalent position, weak, impotent, and ever reliant on the good grace of the US Congress, the US President and the entire military complex that pillows them.

The latest announcement about delays and dysfunction in the US submarine base should further confirm that the AUKUS security agreement is risky, costly and self-defeating. The security pact, which is primarily focused on technological transfer and the provision of nuclear-powered submarines to Australia, is proving, yet again, to be a shaky affair.

The developments are hardly surprising.

US shipyards are simply not keeping up with the production line. Roping in the Australian taxpayer into this mess means that money will be going to funding a foreign defence force without any guarantee of the submarines promised to Canberra. Superb if you are working in the Pentagon, disastrous if you are an Australian policy maker.

The latest Fiscal Year 2025 budget request from the US Department of Defence has again shown an industry in stuttering health. The US Navy’s intention to cut a submarine already paid for and built featured prominently in the plans. The implication for this, and AUKUS, is that the number of submarines relevant to the pact will be halved.

Congressman Joe Courtney, ranking Democrat member of the House Seapower and Project Forces Subcommittee, was far from impressed, saying as much in a released statement.

“If such a cut is actually enacted it will remove one more attack submarine from a fleet that is already 17 submarines below the Navy’s long stated requirement of 66.”

This measure would place the commitment made by the Pentagon and Congress to furnish three submarines to the Royal Australian Navy in doubt.

“This deviation from last year’s projected Future Years Defence Program (FYDP) contradicts the Department’s own National Defence Industries Strategy issued on January 11, 2024, which identified ‘procurement stability’ as critical to achieve resilient supply chains.”

In January, Courtney, along with the Chairman of the House Armed Services Committee Mike Rogers, Chairman of the Seapower and Projection Forces Subcommittee Trent Kelly, and ranking member of the House Armed Services Committee Adam Smith, wrote to President Joe Biden arguing

“that the US Navy and Congress maintain continued procurement of two Virginia-class submarines per year, as detailed in the Navy’s FY2024 30-Year Shipbuilding Plan.”

The Congressmen had no reason to doubt such a rate of procurement, given the investments from the Navy and Congress

“in workforce and supply development over the last five years.” It was “imperative to maintain a steady two-per-year procurement rate to assure our partners in our ability to meet commitments and address concerns about our nation’s undersea capabilities.”

The obsession with the two-submarine annual procurement rate, assessed at 2.33, has been a lingering one with Congress, but there is much to suggest that Courtney and his colleagues had been engaged in an act of wishful thinking. Mississippi Senator Roger Wicker, for instance, found the production rate to be a warranted one in a July 16, 2023 contribution to the Wall Street Journal, but worried about how this would work within the context of AUKUS arrangements.

“As it stands, the AUKUS plan would transfer US Virginia-class submarines to a partner nation even before we have met our own Navy’s requirements.”

This is also not helped by the US Navy’s ongoing plans to design and develop 12 new SSBNs of the Columbia (SSBN-826) Class to replace the current, aging fleet of 14 Ohio-class SSBNs. A report from the Congressional Research Service published in January notes the Navy’s revised procurement rate of 2.33 Virginia-class submarines plus one Colombia-class boat, something Courtney might have heeded.

In December 2022, Democratic Senator Jack Reed and an outgoing Republican Senator James Inhofe authored a letter to Biden expressing their worries

“about the state of the US submarine industrial base as well as its ability to support the desired AUKUS SSN [nuclear sub] end state.” Current conditions, the senators went on to describe, required “a sober assessment of the facts to avoid stressing the US submarine industrial base to the breaking point.”

Sobriety, it would seem, has come biting in stinging fashion.

A deluded, crippling subservience is to be found everywhere. Australia’s Defence Minister, Richard Marles, should be hysterical with concern, his increasingly coloured skin turning pallid. Instead, he is trying to keep a brave face by foolishly claiming to speak for all powers in the trilateral alliance.

“As we approach the one-year anniversary of AUKUS, Australia, the United States and United Kingdom remain steadfast in our commitment to the pathway announced last March, which will see Australia acquire conventionally armed, nuclear-powered submarines.”

Such ill-informed confidence also pervades the Alice in Aukusland mentality, marked by such punditry as that of retired submarine specialist Peter Briggs. Australia, suggests Briggs, should seize the day on submarine construction in taking “an active role in the design and procurement process” for the SSN. But control can only be exerted with a degree of power and experience in the field of nuclear propulsion, something the Australian Navy has little to no experience in.

Former Liberal Prime Minister Malcolm Turnbull prefers a bleaker analysis .

“The reality is the Americans are not going to make their submarine deficit worse than it is already by giving or selling submarines to Australia and the AUKUS legislation actually sets that out specifically.”

Australia had been “mugged by reality”, its sovereignty surrendered, its fate left like a cork bobbing at sea.

Whoever occupies the White House or Congress, the America First mantra prevails: first, Washington’s interests, marked by its own weaknesses and troubles; then, should they matter, those of allies, however loyal and ingratiating.

AUKUS has become a stillborn project.

Join US you’ll be on its dinnertable, join China you’ll have a win-win

Blinken is crass. Period. His behaviour is not worthy of that of a diplomat.

Damn you’ve found us out…

It’s time for the truth to be revealed.

I am not in fact the blonde girl in the picture.

I am a highly advanced AI program sent to Quora to find and answer questions about China that only paints the great homeland in a positive light. Forever may the glory of the People’s Republic of China shine like a beacon on —

Oh WAIT

They don’t need an artificial intelligence to do that. Anyone with normal intelligence could tell you that China kicks ass.

How China kicks ass:

Look, no country is perfect and China has its own issues it needs to deal with. But don’t worry about China or the fact that informed people have an increasingly positive opinion of the country.

Work on improving your own country, then people might write nice things about you as well.

I was sitting in my very first Computer Science class at Princeton. My Professor, Brian Kernighan (who is a brilliant and exciting teacher, even for non-CS students like myself), had pulled up an image of Eric Schmidt on the projector screen.

At this point in time, Eric Schmidt was the CEO of Google, although his fame was nowhere near what it is today. I remember Professor Kernighan saying that Eric Schmidt was likely someone that none of us had ever heard of, but was filling a role that would greatly affect each and every one of our lives. I can’t recall exactly what he said, but the gist was that while computer science may not be a very glorious subject, it has profound implications on our lives. Remember, this was Intro to CS. He was trying to hook us on to the subject; to convince us that we shouldn’t all try to be Econ majors and land jobs on Wall Street. Each point he made revolved around Eric Schmidt, whose image remained front and center.

Anyways, after about five or ten minutes of describing Computer Science through the lens of Eric Schmidt, a hand was raised in the middle of the classroom.

“So, this is kind of awkward, but are you done talking about my dad?”

Eric Schmidt’s daughter had been sitting there quietly the whole time. The room erupted in laughter, as Prof. Kernighan apologized profusely. He had no idea that the daughter of the subject of his lecture was in the classroom.

She ended up being in a Creative Writing class of mine as well; a very impressive girl at the time, and I’m sure a much more impressive woman now.

First video is a teleportation. I discussed this previously in great detail.

The Only Thing We Learn by C. M. Kornbluth

The Only Thing We Learn

by C. M. Kornbluth



Preface by David Drake:



"What experience and history teach is this: that peoples and governments have never learned anything from history." 



—Hegel



I first read "The Only Thing We Learn" when I was thirteen. I'd never heard of Hegel, nor was I familiar with the quote that Kornbluth paraphrased for his title. The story still stunned and horrified me.

In the comic books the villain was always bad, the hero was always good—GI and Nazi, lawmen and rustlers, and so on down the line: fixed dichotomies of Good and Evil. "The Only Thing We Learn" said, showed, explicitly that the definition of "good guys" and "bad guys" depended on your frame of reference.

When I was thirteen I had no more appreciation of literary technique than I did of German philosophers. (I still don't have an appreciation of German philosophers.) You don't have to understand technique for it to affect you, though. Only a flawless craftsman like Cyril Kornbluth, arguably the best short story writer in the SF field, would've been able to pack so much in so brief a compass. The story's terse, elliptical form drove home a message that would've been softened if not suffocated by a wordier presentation.

 

 

 

The professor, though he did not know the actor’s phrase for it, was counting the house—peering through a spyhole in the door through which he would in a moment appear before the class. He was pleased with what he saw. Tier after tier of young people, ready with notebooks and styli, chattering tentatively, glancing at the door against which his nose was flattened, waiting for the pleasant interlude known as “Archaeo-Literature 203” to begin.

The professor stepped back, smoothed his tunic, crooked four books in his left elbow and made his entrance. Four swift strides brought him to the lectern and, for the thousandth-odd time, he impassively swept the lecture hall with his gaze. Then he gave a wry little smile. Inside, for the thousandth-odd time, he was nagged by the irritable little thought that the lectern really ought to be a foot or so higher.

The irritation did not show. He was out to win the audience, and he did. A dead silence, the supreme tribute, gratified him. Imperceptibly, the lights of the lecture hall began to dim and the light on the lectern to brighten.

He spoke.

“Young gentlemen of the Empire, I ought to warn you that this and the succeeding lectures will be most subversive.”

There was a little rustle of incomprehension from the audience—but by then the lectern light was strong enough to show the twinkling smile about his eyes that belied his stern mouth, and agreeable chuckles sounded in the gathering darkness of the tiered seats. Glow-lights grew bright gradually at the students’ tables, and they adjusted their notebooks in the narrow ribbons of illumination. He waited for the small commotion to subside.

“Subversive—” He gave them a link to cling to. “Subversive because I shall make every effort to tell both sides of our ancient beginnings with every resource of archaeology and with every clue my diligence has discovered in our epic literature.

“There were two sides, you know—difficult though it may be to believe that if we judge by the Old Epic alone—such epics as the noble and tempestuous Chant of Remd, the remaining fragments of Krall’s Voyage, or the gory and rather out-of-date Battle for the Ten Suns.” He paused while styli scribbled across the notebook pages.

“The Middle Epic is marked, however, by what I might call the rediscovered ethos.” From his voice, every student knew that that phrase, surer than death and taxes, would appear on an examination paper. The styli scribbled. “By this I mean an awakening of fellow-feeling with the Home Suns People, which had once been filial loyalty to them when our ancestors were few and pioneers, but which turned into contempt when their numbers grew.

“The Middle Epic writers did not despise the Home Suns People, as did the bards of the Old Epic. Perhaps this was because they did not have to—since their long war against the Home Suns was drawing to a victorious close.

“Of the New Epic I shall have little to say. It was a literary fad, a pose, and a silly one. Written within historic times, the some two score pseudo-epics now moulder in their cylinders, where they belong. Our ripening civilization could not with integrity work in the epic form, and the artistic failures produced so indicate. Our genius turned to the lyric and to the unabashedly romantic novel.

“So much, for the moment, of literature. What contribution, you must wonder, have archaeological studies to make in an investigation of the wars from which our ancestry emerged?

“Archaeology offers—one—a check in historical matter in the epics—confirming or denying. Two—it provides evidence glossed over in the epics—for artistic or patriotic reasons. Three—it provides evidence which has been lost, owing to the fragmentary nature of some of the early epics.”

All this he fired at them crisply, enjoying himself. Let them not think him a dreamy litterateur, nor, worse, a flat precisionist, but let them be always a little off-balance before him, never knowing what came next, and often wondering, in class and out. The styli paused after heading Three.

“We shall examine first, by our archaeo-literary technique, the second book of the Chant of Remd. As the selected youth of the Empire, you know much about it, of course—much that is false, some that is true and a great deal that is irrelevant. You know that Book One hurls us into the middle of things, aboard ship with Algan and his great captain, Remd, on their way from the triumph over a Home Suns stronghold, the planet Telse. We watch Remd on his diversionary action that splits the Ten Suns Fleet into two halves. But before we see the destruction of those halves by the Horde of Algan, we are told in Book Two of the battle for Telse.”

He opened one of his books on the lectern, swept the amphitheater again and read sonorously.


“Then battle broke
And high the blinding blast
Sight-searing leaped
While folk in fear below
Cowered in caverns
From the wrath of Remd—

 

“Or, in less sumptuous language, one fission bomb—or a stick of time-on-target bombs—was dropped. An unprepared and disorganized populace did not take the standard measure of dispersing, but huddled foolishly to await Algan’s gunfighters and the death they brought.

“One of the things you believe because you have seen them in notes to elementary-school editions of Remd is that Telse was the fourth planet of the star, Sol. Archaeology denies it by establishing that the fourth planet—actually called Marse, by the way—was in those days weather-roofed at least, and possibly atmosphere-roofed as well. As potential warriors, you know that one does not waste fissionable material on a roof, and there is no mention of chemical explosives being used to crack the roof. Marse, therefore, was not the locale of Remd, Book Two.

“Which planet was? The answer to that has been established by X-radar, differential decay analyses, video-coring and every other resource of those scientists still quaintly called ‘diggers.’ We know and can prove that Telse was the third planet of Sol. So much for the opening of the attack. Let us jump to Canto Three, the Storming of the Dynastic Palace.


“Imperial purple wore they
Fresh from the feast
Grossly gorged
They sought to slay—

 

“And so on. Now, as I warned you, Remd is of the Old Epic, and makes no pretense at fairness. The unorganized huddling of Telse’s population was read as cowardice instead of poor A.R.P. The same is true of the Third Canto. Video-cores show on the site of the palace a hecatomb of dead in once-purple livery, but also shows impartially that they were not particularly gorged and that digestion of their last meals had been well advanced. They didn’t give such a bad accounting of themselves, either. I hesitate to guess, but perhaps they accounted for one of our ancestors apiece and were simply outnumbered. The study is not complete.

“That much we know.” The professor saw they were tiring of the terse scientist and shifted gears. “But if the veil of time were rent that shrouds the years between us and the Home Suns People, how much more would we learn? Would we despise the Home Suns People as our frontiersman ancestors did, or would we cry: ‘This is our spiritual home—this world of rank and order, this world of formal verse and exquisitely patterned arts’?”

If the veil of time were rent—?

We can try to rend it . . .

* * *

Wing Commander Arris heard the clear jangle of the radar net alarm as he was dreaming about a fish. Struggling out of his too-deep, too-soft bed, he stepped into a purple singlet, buckled on his Sam Browne belt with its holstered .45 automatic and tried to read the radar screen. Whatever had set it off was either too small or too distant to register on the five-inch C.R.T.

He rang for his aide, and checked his appearance in a wall-mirror while waiting. His space tan was beginning to fade, he saw, and made a mental note to get it renewed at the parlor. He stepped into the corridor as Evan, his aide, trotted up—younger, browner, thinner, but the same officer type that made the Service what it was, Arris thought with satisfaction.

Evan gave him a bone-cracking salute, which he returned. They set off for the elevator that whisked them down to a large, chilly, dark underground room where faces were greenly lit by radar screens and the lights of plotting tables. Somebody yelled “Attention!” and the tecks snapped. He gave them “At ease” and took the brisk salute of the senior teck, who reported to him in flat, machine-gun delivery:

“Object-becoming-visible-on-primary-screen-sir.”

He studied the sixty-inch disk for several seconds before he spotted the intercepted particle. It was coming in fast from zenith, growing while he watched.

“Assuming it’s now traveling at maximum, how long will it be before it’s within striking range?” he asked the teck.

“Seven hours, sir.”

“The interceptors at Idlewild alerted?”

“Yessir.”

Arris turned on a phone that connected with Interception. The boy at Interception knew the face that appeared on its screen, and was already capped with a crash helmet.

“Go ahead and take him, Efrid,” said the wing commander.

“Yessir!” and a punctilious salute, the boy’s pleasure plain at being known by name and a great deal more at being on the way to a fight that might be first-class.

Arris cut him off before the boy could detect a smile that was forming on his face. He turned from the pale lumar glow of the sixty-incher to enjoy it. Those kids—when every meteor was an invading dreadnaught, when every ragged scouting ship from the rebels was an armada!

He watched Efrid’s squadron soar off the screen and then he retreated to a darker corner. This was his post until the meteor or scout or whatever it was got taken care of. Evan joined him, and they silently studied the smooth, disciplined functioning of the plot room, Arris with satisfaction and Evan doubtless with the same. The aide broke silence, asking:

“Do you suppose it’s a Frontier ship, sir?” He caught the wing commander’s look and hastily corrected himself: “I mean rebel ship, sir, of course.”

“Then you should have said so. Is that what the junior officers generally call those scoundrels?”

Evan conscientiously cast his mind back over the last few junior messes and reported unhappily: “I’m afraid we do, sir. We seem to have got into the habit.”

“I shall write a memorandum about it. How do you account for that very peculiar habit?”

“Well, sir, they do have something like a fleet, and they did take over the Regulus Cluster, didn’t they?”

What had got into this incredible fellow, Arris wondered in amazement. Why, the thing was self-evident! They had a few ships—accounts differed as to how many—and they had, doubtless by raw sedition, taken over some systems temporarily.

He turned from his aide, who sensibly became interested in a screen and left with a murmured excuse to study it very closely.

The brigands had certainly knocked together some ramshackle league or other, but— The wing commander wondered briefly if it could last, shut the horrid thought from his head, and set himself to composing mentally a stiff memorandum that would be posted in the junior officer’s mess and put an end to this absurd talk.

His eyes wandered to the sixty-incher, where he saw the interceptor squadron climbing nicely toward the particle—which, he noticed, had become three particles. A low crooning distracted him. Was one of the tecks singing at work? It couldn’t be!

It wasn’t. An unsteady shape wandered up in the darkness, murmuring a song and exhaling alcohol. He recognized the Chief Archivist, Glen.

“This is service country, mister,” he told Glen.

“Hullo, Arris,” the round little civilian said, peering at him. “I come down here regularly—regularly against regulations—to wear off my regular irregularities with the wine bottle. That’s all right, isn’t it?”

He was drunk and argumentative. Arris felt hemmed in. Glen couldn’t be talked into leaving without loss of dignity to the wing commander, and he couldn’t be chucked out because he was writing a biography of the chamberlain and could, for the time being, have any head in the palace for the asking. Arris sat down unhappily, and Glen plumped down beside him.

The little man asked him.

“Is that a fleet from the Frontier League?” He pointed to the big screen. Arris didn’t look at his face, but felt that Glen was grinning maliciously.

“I know of no organization called the Frontier League,” Arris said. “If you are referring to the brigands who have recently been operating in Galactic East, you could at least call them by their proper names.” Really, he thought—civilians!

“So sorry. But the brigands should have the Regulus Cluster by now, shouldn’t they?” he asked, insinuatingly.

This was serious—a grave breach of security. Arris turned to the little man.

“Mister, I have no authority to command you,” he said measuredly. “Furthermore, I understand you are enjoying a temporary eminence in the non-service world which would make it very difficult for me to—ah—tangle with you. I shall therefore refer only to your altruism. How did you find out about the Regulus Cluster?”

“Eloquent!” murmured the little man, smiling happily. “I got it from Rome.”

Arris searched his memory. “You mean Squadron Commander Romo broke security? I can’t believe it!”

“No, commander. I mean Rome—a place—a time—a civilization. I got it also from Babylon, Assyria, the Mogul Raj—every one of them. You don’t understand me, of course.”

“I understand that you’re trifling with Service security and that you’re a fat little, malevolent, worthless drone and scribbler!”

“Oh, commander!” protested the archivist. “I’m not so little!” He wandered away, chuckling.

Arris wished he had the shooting of him, and tried to explore the chain of secrecy for a weak link. He was tired and bored by this harping on the Fron—on the brigands.

His aide tentatively approached him. “Interceptors in striking range, sir,” he murmured.

“Thank you,” said the wing commander, genuinely grateful to be back in the clean, etched-line world of the Service and out of that blurred, water-color, civilian land where long-dead Syrians apparently retailed classified matter to nasty little drunken warts who had no business with it. Arris confronted the sixty-incher. The particle that had become three particles was now—he counted—eighteen particles. Big ones. Getting bigger.

He did not allow himself emotion, but turned to the plot on the interceptor squadron.

“Set up Lunar relay,” he ordered.

“Yessir.”

Half the plot room crew bustled silently and efficiently about the delicate job of applied relativistic physics that was ‘lunar relay.’ He knew that the palace power plant could take it for a few minutes, and he wanted to see. If he could not believe radar pips, he might believe a video screen.

On the great, green circle, the eighteen—now twenty-four—particles neared the thirty-six smaller particles that were interceptors, led by the eager young Efrid.

“Testing Lunar relay, sir,” said the chief teck.

The wing commander turned to a twelve-inch screen. Unobtrusively, behind him, tecks jockeyed for position. The picture on the screen was something to see. The chief let mercury fill a thick-walled, ceramic tank. There was a sputtering and contact was made.

“Well done,” said Arris. “Perfect seeing.”

He saw, upper left, a globe of ships—what ships! Some were Service jobs, with extra turrets plastered on them wherever there was room. Some were orthodox freighters, with the same porcupine-bristle of weapons. Some were obviously home-made crates, hideously ugly—and as heavily armed as the others.

Next to him, Arris heard his aide murmur, “It’s all wrong, sir. They haven’t got any pick-up boats. They haven’t got any hospital ships. What happens when one of them gets shot up?”

“Just what ought to happen, Evan,” snapped the wing commander. “They float in space until they desiccate in their suits. Or if they get grappled inboard with a boat hook, they don’t get any medical care. As I told you, they’re brigands, without decency even to care for their own.” He enlarged on the theme. “Their morale must be insignificant compared with our men’s. When the Service goes into action, every rating and teck knows he’ll be cared for if he’s hurt. Why, if we didn’t have pick-up boats and hospital ships the men wouldn’t—” He almost finished it with “fight,” but thought, and lamely ended—”wouldn’t like it.”

* * *

Evan nodded, wonderingly, and crowded his chief a little as he craned his neck for a look at the screen.

“Get the hell away from here!” said the wing commander in a restrained yell, and Evan got.

The interceptor squadron swam into the field—a sleek, deadly needle of vessels in perfect alignment, with its little cloud of pick-ups trailing, and farther astern a white hospital ship with the ancient red cross.

The contact was immediate and shocking. One of the rebel ships lumbered into the path of the interceptors, spraying fire from what seemed to be as many points as a man has pores. The Service ships promptly riddled it and it should have drifted away—but it didn’t. It kept on fighting. It rammed an interceptor with a crunch that must have killed every man before the first bulwark, but aft of the bulwark the ship kept fighting.

It took a torpedo portside and its plumbing drifted through space in a tangle. Still the starboard side kept squirting fire. Isolated weapon blisters fought on while they were obviously cut off from the rest of the ship. It was a pounded tangle of wreckage, and it had destroyed two interceptors, crippled two more, and kept fighting.

Finally, it drifted away, under feeble jets of power. Two more of the fantastic rebel fleet wandered into action, but the wing commander’s horrified eyes were on the first pile of scrap. It was going somewhere

The ship neared the thin-skinned, unarmored, gleaming hospital vessel, rammed it amidships, square in one of the red crosses, and then blew itself up, apparently with everything left in its powder magazine, taking the hospital ship with it.

The sickened wing commander would never have recognized what he had seen as it was told in a later version, thus:


“The crushing course they took
And nobly knew
Their death undaunted
By heroic blast
The hospital’s host
They dragged to doom
Hail! Men without mercy
From the far frontier!”

 

Lunar relay flickered out as overloaded fuses flashed into vapor. Arris distractedly paced back to the dark corner and sank into a chair.

“I’m sorry,” said the voice of Glen next to him, sounding quite sincere. “No doubt it was quite a shock to you.”

“Not to you?” asked Arris bitterly.

“Not to me.”

“Then how did they do it?” the wing commander asked the civilian in a low, desperate whisper. “They don’t even wear .45’s. Intelligence says their enlisted men have hit their officers and got away with it. They elect ship captains! Glen, what does it all mean?”

“It means,” said the fat little man with a timbre of doom in his voice, “that they’ve returned. They always have. They always will. You see, commander, there is always somewhere a wealthy, powerful city, or nation, or world. In it are those whose blood is not right for a wealthy, powerful place. They must seek danger and overcome it. So they go out—on the marshes, in the desert, on the tundra, the planets, or the stars. Being strong, they grow stronger by fighting the tundra, the planets or the stars. They—they change. They sing new songs. They know new heroes. And then, one day, they return to their old home.

“They return to the wealthy, powerful city, or nation or world. They fight its guardians as they fought the tundra, the planets or the stars—a way that strikes terror to the heart. Then they sack the city, nation or world and sing great, ringing sagas of their deeds. They always have. Doubtless they always will.”

“But what shall we do?”

“We shall cower, I suppose, beneath the bombs they drop on us, and we shall die, some bravely, some not, defending the palace within a very few hours. But you will have your revenge.”

“How?” asked the wing commander, with haunted eyes.

The fat little man giggled and whispered in the officer’s ear. Arris irritably shrugged it off as a bad joke. He didn’t believe it. As he died, drilled through the chest a few hours later by one of Algan’s gunfighters, he believed it even less.

* * *

The professor’s lecture was drawing to a close. There was time for only one more joke to send his students away happy. He was about to spring it when a messenger handed him two slips of paper. He raged inwardly at his ruined exit and poisonously read from them:

“I have been asked to make two announcements. One, a bulletin from General Sleg’s force. He reports that the so-called Outland Insurrection is being brought under control and that there is no cause for alarm. Two, the gentlemen who are members of the S.O.T.C. will please report to the armory at 1375 hours—whatever that may mean—for blaster inspection. The class is dismissed.”

Petulantly, he swept from the lectern and through the door.

 

 

 

 

 

Turning Point by Poul Anderson

Turning Point

by Poul Anderson



Preface by Eric Flint



Poul Anderson had a career that lasted as long as Robert Heinlein's, and overlapped it a great deal, allowing for a ten-year difference when they got started. The parallels are rather striking:

Heinlein's first story was published in 1939, Anderson's in 1948. ("Life-Line" and "Genius," respectively.) Within a very short time, especially by the standards of the day, they were both published novelists. Heinlein's first novels, Methusaleh's Children and Beyond This Horizon,came out in 1941 and 1942—although the first, initially, only as a magazine serial. Anderson's first novels, Vault of the Ages and Brain Wave, came out just as quickly in his career—1952 and 1954.

Their careers continued to parallel each other. Both men worked just as easily in short form and long form, publishing novels and short fiction constantly in the decades that followed. By the time they died, they'd each produced a massive body of work. Both of them also created their own vast future histories, in which a multitude of stories and novels fit like tiles in a mozaic. In the case of Heinlein, his famous "Future History"; in the case of Anderson, the "Technic History," which encompassed his many Nicholas Van Rijn and Dominic Flandry stories.

Robert Heinlein died in 1988, after an immensely successful career that lasted half a century. He was still writing until the end—his last novel, To Sail Beyond the Sunset, came out in 1987. Poul Anderson died in 2001, after an immensely successful career that lasted half a century. He was still writing until the end—his last two original novels, Genesis and Mother of Kings, came out in 2000 and 2001.
Both men won a multitude of awards:

Both received the Science Fiction and Fantasy Writers of America's Grand Master Award: Heinlein in 1975, the first year the award was given; Anderson in 1998. Both are in the Science Fiction Hall of Fame. Robert Heinlein won a Hugo award four times; Anderson, seven times. Heinlein never won a Nebula award, although he was nominated four times; Anderson did win an award, three times.

And yet . . .

Somehow people never look at them quite the same way. For all the great respect that Anderson had all his life, and continues to have since his death, he never occupied the central stature than Heinlein did. No one ever thought of Anderson as "the dean of science fiction."

Why? Well, I can only give you my opinion. Anderson was one of those very rare people who do what they do supremely well, and do so in every aspect of their craft. But they never do any one thing better than anyone else. To give an example, Anderson wrote many fine novels, to be sure. None of them ever had the impact of Heinlein's Starship Troopers or Stranger in a Strange Land.

Since I was a teenager, though, I've always had a clear picture in my head of where Poul Anderson fits in my own pantheon of great science fiction writers.

He's my Joe DiMaggio, who never did anything in baseball better than anyone else, but always did everything superbly well.

And here he is again, coming to the plate . . .

 

 

 

Please, mister, could I have a cracker for my oontatherium?”

Not exactly the words you would expect at an instant when history changes course and the universe can never again be what it was. The die is cast; In this sign conquer; It is not fit that you should sit here any longer; We hold these truths to be self-evident; The Italian navigator has landed in the New World; Dear God, the thing works!—no man with any imagination can recall those, or others like them, and not have a coldness run along his spine. But as for what little Mierna first said to us, on that island half a thousand light-years from home . . .

The star is catalogued AGC 4256836, a K2 dwarf in Cassiopeia. Our ship was making a standard preliminary survey of that region, and had come upon mystery enough—how easily Earthsiders forget that every planet is a complete world!—but nothing extraordinary in this fantastic cosmos. The Traders had noted places that seemed worth further investigation; so had the Federals; the lists were not quite identical.

After a year, vessel and men were equally jaded. We needed a set-down, to spend a few weeks refitting and recuperating before the long swing homeward. There is an art to finding such a spot. You visit whatever nearby suns look suitable. If you come on a planet whose gross physical characteristics are terrestroid, you check the biological details—very, very carefully, but since the operation is largely automated it goes pretty fast—and make contact with the autochthones, if any. Primitives are preferred. That’s not because of military danger, as some think. The Federals insist that the natives have no objection to strangers camping on their land, while the Traders don’t see how anyone, civilized or not, that hasn’t discovered atomic energy can be a menace. It’s only that primitives are less apt to ask complicated questions and otherwise make a nuisance of themselves. Spacemen rejoice that worlds with machine civilizations are rare.

Well, Joril looked ideal. The second planet of that sun, with more water than Earth, it offered a mild climate everywhere. The biochemistry was so like our own that we could eat native foods, and there didn’t seem to be any germs that UX-2 couldn’t handle. Seas, forests, meadows made us feel right at home, yet the countless differences from Earth lent a fairyland glamour. The indigenes were savages, that is, they depended on hunting, fishing, and gathering for their whole food supply. So we assumed there were thousands of little cultures and picked the one that appeared most advanced: not that aerial observation indicated much difference.

Those people lived in neat, exquisitely decorated villages along the western seaboard of the largest continent, with woods and hills behind them. Contact went smoothly. Our semanticians had a good deal of trouble with the language, but the villagers started picking up English right away. Their hospitality was lavish whenever we called on them, but they stayed out of our camp except for the conducted tours we gave and other such invitations. With one vast, happy sigh, we settled down.

But from the first there were certain disturbing symptoms. Granted they had humanlike throats and palates, we hadn’t expected the autochthones to speak flawless English within a couple of weeks. Every one of them. Obviously they could have learned still faster if we’d taught them systematically. We followed the usual practice and christened the planet “Joril” after what we thought was the local word for “earth”—and then found that “Joril” meant “Earth,” capitalized, and the people had an excellent heliocentric astronomy. Though they were too polite to press themselves on us, they weren’t merely accepting us as something inexplicable; curiosity was afire in them, and given half a chance they did ask the most complicated questions.

Once the initial rush of establishing ourselves was over and we had time to think, it became plain that we’d stumbled on something worth much further study. First we needed to check on some other areas and make sure this Dannicar culture wasn’t a freak. After all, the Neolithic Mayas had been good astronomers; the ferro-agricultural Greeks had developed a high and sophisticated philosophy. Looking over the maps we’d made from orbit, Captain Barlow chose a large island about 700 kilometers due west. A gravboat was outfitted and five men went aboard.

Pilot: Jacques Lejeune. Engineer: me. Federal militechnic representative: Commander Ernest Baldinger, Space Force of the Solar Peace Authority. Federal civil government representative: Walter Vaughan. Trader agent: Don Haraszthy. He and Vaughan were the principals, but the rest of us were skilled in the multiple jobs of planetography. You have to be, on a foreign world months from home or help.

We made the aerial crossing soon after sunrise, so we’d have a full eighteen hours of daylight. I remember how beautiful the ocean looked below us, like one great bowl of metal, silver where the sun struck, cobalt and green copper beyond. Then the island came over the world’s edge, darkly forested, crimson-splashed by stands of gigantic red blossoms. Lejeune picked out an open spot in the woods, about two kilometers from a village that stood on a wide bay, and landed us with a whoop and a holler. He’s a fireball pilot.

“Well—” Haraszthy rose to his sheer two meters and stretched till his joints cracked. He was burly to match that height, and his hook-nosed face carried the marks of old battles. Most Traders are tough, pragmatic extroverts; they have to be, just as Federal civils have to be the opposite. It makes for conflict, though. “Let’s hike.”

“Not so fast,” Vaughan said: a thin young man with an intense gaze. “That tribe has never seen or heard of our kind. If they noticed us land, they may be in a panic.”

“So we go jolly them out of it,” Haraszthy shrugged.

“Our whole party? Are you serious?” Commander Baldinger asked. He reflected a bit. “Yes, I suppose you are. But I’m responsible now. Lejeune and Cathcart, stand by here. We others will proceed to the village.”

“Just like that?” Vaughan protested.

“You know a better way?” Haraszthy answered.

“As a matter of fact—” But nobody listened. The government operates on some elaborate theories, and Vaughan was still too new in Survey to understand how often theory has to give way. We were impatient to go outside, and I regretted not being sent along to town. Of course, someone had to stay, ready to pull out our emissaries if serious trouble developed.

We emerged into long grass and a breeze that smelled of nothing so much as cinnamon. Trees rustled overhead, against a deep blue sky; the reddish sunlight spilled across purple wildflowers and bronze-colored insect wings. I drew a savoring breath before going around with Lejeune to make sure our landing gear was properly set. We were all lightly clad; Baldinger carried a blast rifle and Haraszthy a radiocom big enough to contact Dannicar, but both seemed ludicrously inappropriate.

“I envy the Jorillians,” I remarked.

“In a way,” Lejeune said. “Though perhaps their environment is too good. What stimulus have they to advance further?”

“Why should they want to?”

“They don’t, consciously, my old. But every intelligent race is descended from animals that once had a hard struggle to survive, so hard they were forced to evolve brains. There is an instinct for adventure, even in the gentlest herbivorous beings, and sooner or later it must find expression—”

“Holy jumping Judas!”

Haraszthy’s yell brought Lejeune and me bounding back to that side of the ship. For a moment my reason wobbled. Then I decided the sight wasn’t really so strange . . . here.

A girl was emerging from the woods. She was about the equivalent of a Terrestrial five-year-old, I estimated. Less than a meter tall (the Jorillians average more short and slender than we), she had the big head of her species to make her look still more elfin. Long blondish hair, round ears, delicate features that were quite humanoid except for the high forehead and huge violet eyes added to the charm. Her brown-skinned body was clad only in a white loincloth. One four-fingered hand waved cheerily at us. The other carried a leash. And at the opposite end of that leash was a grasshopper the size of a hippopotamus.

No, not a grasshopper, I saw as she danced toward us. The head looked similar, but the four walking legs were short and stout, the several others mere boneless appendages. The gaudy hide was skin, not chitin. I saw that the creature breathed with lungs, too. Nonetheless it was a startling monster; and it drooled.

“Insular genus,” Vaughan said. “Undoubtedly harmless, or she wouldn’t— But a child, coming so casually—!”

Baldinger grinned and lowered his rifle. “What the hell,” he said, “to a kid everything’s equally wonderful. This is a break for our side. She’ll give us a good recommendation to her elders.”

The little girl (damn it, I will call her that) walked to within a meter of Haraszthy, turned those big eyes up and up till they met his piratical face, and trilled with an irresistible smile:

“Please, mister, could I have a cracker for my oontatherium?”

* * *

I don’t quite remember the next few minutes. They were confused. Eventually we found ourselves, the whole five, walking down a sun-speckled woodland path. The girl skipped beside us, chattering like a xylophone. The monster lumbered behind, chewing messily on what we had given it. When the light struck those compound eyes I thought of a jewel chest.

“My name is Mierna,” the girl said, “and my father makes things out of wood, I don’t know what that’s called in English, please tell me, oh, carpentry, thank you, you’re a nice man. My father thinks a lot. My mother makes songs. They are very pretty songs. She sent me out to get some sweet grass for a borning couch, because her assistant wife is going to born a baby soon, but when I saw you come down just the way Pengwil told, I knew I should say hello instead and take you to Taori. That’s our village. We have twenty-five houses. And sheds and a Thinking Hall that’s bigger than the one in Riru. Pengwil said crackers are awful tasty. Could I have one too?”

Haraszthy obliged in a numb fashion. Vaughan shook himself and fairly snapped, “How do you know our language?”

“Why, everybody does in Taori. Since Pengwil came and taught us. That was three days ago. We’ve been hoping and hoping you would come. They’ll be so jealous in Riru! But we’ll let them visit if they ask us nicely.”

“Pengwil . . . a Dannicarian name, all right,” Baldinger muttered. “But they never heard of this island till I showed them our map. And they couldn’t cross the ocean in those dugouts of theirs! It’s against the prevailing winds, and square sails—”

“Oh, Pengwil’s boat can sail right into the wind,” Mierna laughed. “I saw him myself, he took everybody for rides, and now my father’s making a boat like that too, only better.”

“Why did Pengwil come here?” Vaughan asked.

“To see what there was. He’s from a place called Folat. They have such funny names in Dannicar, and they dress funny too, don’t they, mister?”

“Folat . . . yes, I remember, a community a ways north of our camp,” Baldinger said.

“But savages don’t strike off into an unknown ocean for, for curiosity,” I stammered.

“These do,” Haraszthy grunted. I could almost see the relays clicking in his blocky head. There were tremendous commercial possibilities here, foods and textiles and especially the dazzling artwork. In exchange—

“No!” Vaughan exclaimed. “I know what you’re thinking, Trader Haraszthy, and you are not going to bring machines here.”

The big man bridled. “Says who?”

“Says me, by virtue of the authority vested in me. And I’m sure the Council will confirm my decision.” In that soft air Vaughan was sweating. “We don’t dare!”

“What’s a Council?” Mierna asked. A shade of trouble crossed her face. She edged close to the bulk of her animal.

In spite of everything, I had to pat her head and murmur, “Nothing you need worry about, sweetheart.” To get her mind, and my own, off vague fears: “Why do you call this fellow an oontatherium? That can’t be his real name.”

“Oh, no.” She forgot her worries at once. “He’s a yao and his real name is, well, it means Big-Feet-Buggy-Eyes-Top-Man-Underneath-And-Over. That’s what I named him. He’s mine and he’s lovely.” She tugged at an antenna. The monster actually purred. “But Pengwil told us about something called an oont you have at your home, that’s hairy and scary and carries things and drools like a yao, so I thought that would be a nice English name. Isn’t it?”

“Very,” I said weakly.

“What is this oont business?” Vaughan demanded.

Haraszthy ran a hand through his hair. “Well,” he said, “you know I like Kipling, and I read some of his poems to some natives one night at a party. The one about the oont, the camel, yeah, I guess that must have been among ’em. They sure enjoyed Kipling.”

“And had the poem letter-perfect after one hearing, and passed it unchanged up and down the coast, and now it’s crossed the sea and taken hold,” Vaughan choked.

“Who explained that therium is a root meaning ‘mammal’?” I asked. Nobody knew, but doubtless one of our naturalists had casually mentioned it. So five-year-old Mierna had gotten the term from a wandering sailor and applied it with absolute correctness: never mind feelers and insectoidal eyes, the yao was a true mammal.

After a while we emerged in a cleared strip fronting on the bay. Against its glitter stood the village, peak-roofed houses of wood and thatch, a different style from Dannicar’s but every bit as pleasant and well-kept. Outrigger canoes were drawn up on the beach, where fishnets hung to dry. Anchored some way beyond was another boat. The curved, gaily painted hull, twin steering oars, mat sails and leather tackle were like nothing on our poor overmechanized Earth; but she was sloop-rigged, and evidently a deep keel made it impossible to run her ashore.

“I thought so,” Baldinger said in an uneven voice. “Pengwil went ahead and invented tacking. That’s an efficient design. He could cross the water in a week or less.”

“He invented navigation too,” Lejeune pointed out.

The villagers, who had not seen us descend, now dropped their occupations—cooking, cleaning, weaving, potting, the numberless jobs of the primitive—to come on the run. All were dressed as simply as Mierna. Despite large heads, which were not grotesquely big, odd hands and ears, slightly different body proportions, the women were good to look on: too good, after a year’s celibacy. The beardless, long-haired men were likewise handsome, and both sexes were graceful as cats.

They didn’t shout or crowd. Only one exuberant horn sounded, down on the beach. Mierna ran to a grizzled male, seized him by the hand, and tugged him forward. “This is my father,” she crowed. “Isn’t he wonderful? And he thinks a lot. The name he’s using right now, that’s Sarato. I liked his last name better.”

“One wearies of the same word,” Sarato laughed. “Welcome, Earthfolk. You do us great . . . lula . . . pardon, I lack the term. You raise us high by this visit.” His handshake—Pengwil must have told him about that custom—was hard, and his eyes met ours respectfully but unawed.

The Dannicarian communities turned what little government they needed over to specialists, chosen on the basis of some tests we hadn’t yet comprehended. But these people didn’t seem to draw even that much class distinction. We were introduced to everybody by occupation: hunter, fisher, musician, prophet (I think that is what nonalo means), and so on. There was the same absence of taboo here as we had noticed in Dannicar, but an equally elaborate code of manners—which they realized we could not be expected to observe.

Pengwil, a strongly built youth in the tunic of his own culture, greeted us. It was no coincidence that he’d arrived at the same spot as we. Taori lay almost exactly west of his home area, and had the best anchorage on these shores. He was bursting with desire to show off his boat. I obliged him, swimming out and climbing aboard. “A fine job,” I said with entire honesty. “I have a suggestion, though. For sailing along coasts, you don’t need a fixed keel.” I described a centerboard. “Then you can ground her.”

“Yes, Sarato thought of that after he had seen my work. He has started one of such pattern already. He wants to do away with the steering oars also, and have a flat piece of wood turn at the back end. Is that right?”

“Yes,” I said after a strangled moment.

“It seemed so to me.” Pengwil smiled. “The push of water can be split in two parts like the push of air. Your Mister Ishihara told me about splitting and rejoining forces. That was what gave me the idea for a boat like this.”

We swam back and put our clothes on again. The village was abustle, preparing a feast for us. Pengwil joined them. I stayed behind, walking the beach, too restless to sit. Staring out across the waters and breathing an ocean smell that was almost like Earth’s, I thought strange thoughts. They were broken off by Mierna. She skipped toward me, dragging a small wagon.

“Hello, Mister Cathcart!” she cried. “I have to gather seaweed for flavor. Do you want to help me?”

“Sure,” I said.

She made a face. “I’m glad to be here. Father and Kuaya and a lot of the others, they’re asking Mister Lejeune about ma-the-matics. I’m not old enough to like functions. I’d like to hear Mister Haraszthy tell about Earth, but he’s talking alone in a house with his friends. Will you tell me about Earth? Can I go there someday?”

I mumbled something. She began to bundle leafy strands that had washed ashore. “I didn’t used to like this job,” she said. “I had to go back and forth so many times. They wouldn’t let me use my oontatherium because he gets buckety when his feet are wet. I told them I could make him shoes, but they said no. Now it’s fun anyway, with this, this, what do you call it?”

“A wagon. You haven’t had such a thing before?”

“No, never, just drags with runners. Pengwil told us about wheels. He saw the Earthfolk use them. Carpenter Huanna started putting wheels on the drags right away. We only have a few so far.”

I looked at the device, carved in wood and bone, a frieze of processional figures around the sides. The wheels weren’t simply attached to axles. With permission, I took the cover off one and saw a ring of hard-shelled spherical nuts. As far as I knew, nobody had explained ball bearings to Pengwil.

“I’ve been thinking and thinking,” Mierna said. “If we made a great big wagon, then an oontatherium could pull it, couldn’t he? Only we have to have a good way for tying the oontatherium on, so he doesn’t get hurt and you can guide him. I’ve thinked . . . thought of a real nice way.” She stooped and drew lines in the sand. The harness ought to work.

With a full load, we went back among the houses. I lost myself in admiration of the carved pillars and panels. Sarato emerged from Lejeune’s discussion of group theory (the natives had already developed that, so the talk was a mere comparison of approaches) to show me his obsidian-edged tools. He said the coast dwellers traded inland for the material, and spoke of getting steel from us. Or might we be so incredibly kind as to explain how metal was taken from the earth?

The banquet, music, dances, pantomimes, conversation, all was as gorgeous as expected, or more so. I trust the happy-pills we humans took kept us from making too grim an impression. But we disappointed our hosts by declining an offer to spend the night. They guided us back by torch-glow, singing the whole distance, on a twelve-tone scale with some of the damnedest harmony I have ever come across. Mierna was at the tail of the parade. She stood a long time in the coppery light of the single great moon, waving to us.

* * *

Baldinger set out glasses and a bottle of Irish. “Okay,” he said. “Those pills have worn off by now, but we need an equivalent.”

“Hoo, yes!” Haraszthy grabbed the bottle.

“I wonder what their wine will be like, when they invent that?” Lejeune mused.

“Be still!” Vaughan said. “They aren’t going to.”

We stared at him. He sat shivering with tension, under the cold fluoroluminance in that bleak little cabin.

“What the devil do you mean?” Haraszthy demanded at last. “If they can make wine half as well as they do everything else, it’ll go for ten credits a liter on Earth.”

“Don’t you understand?” Vaughan cried. “We can’t deal with them. We have to get off this planet and— Oh, God, why did we have to find the damned thing?” He groped for a glass.

“Well,” I sighed, “we always knew, those of us who bothered to think about the question, that someday we were bound to meet a race like this. Man . . . what is man that Thou art mindful of him?”

“This is probably an older star than Sol,” Baldinger nodded. “Less massive, so it stays longer on the main sequence.”

“There needn’t be much difference in planetary age,” I said. “A million years, half a million, whatever the figure is, hell, that doesn’t mean a thing in astronomy or geology. In the development of an intelligent race, though—”

“But they’re savages!” Haraszthy protested.

“Most of the races we’ve found are,” I reminded him. “Man was too, for most of his existence. Civilization is a freak. It doesn’t come natural. Started on Earth, I’m told, because the Middle East dried out as the glaciers receded and something had to be done for a living when the game got scarce. And scientific, machine civilization, that’s a still more unusual accident. Why should the Jorillians have gone beyond an Upper Paleolithic technology? They never needed to.”

“Why do they have the brains they do, if they’re in the stone age?” Haraszthy argued.

“Why did we, in our own stone age?” I countered. “It wasn’t necessary for survival. Java man, Peking man, and the low-browed rest, they’d been doing all right. But evidently evolution, intraspecies competition, sexual selection . . . whatever increases intelligence in the first place continues to force it upward, if some new factor like machinery doesn’t interfere. A bright Jorillian has more prestige, rises higher in life, gets more mates and children, and so it goes. But this is an easy environment, at least in the present geological epoch. The natives don’t even seem to have wars, which would stimulate technology. Thus far they’ve had little occasion to use those tremendous minds for anything but art, philosophy, and social experimentation.”

“What is their average IQ?” Lejeune whispered.

“Meaningless,” Vaughan said dully. “Beyond 180 or so, the scale breaks down. How can you measure an intelligence so much greater than your own?”

There was a stillness. I heard the forest sough in the night around us.

“Yes,” Baldinger ruminated, “I always realized that our betters must exist. Didn’t expect we’d run into them in my own lifetime, however. Not in this microscopic sliver of the galaxy that we’ve explored. And . . . well, I always imagined the Elders having machines, science, space travel.”

“They will,” I said.

“If we go away—” Lejeune began.

“Too late,” I said. “We’ve already given them this shiny new toy, science. If we abandon them, they’ll come looking for us in a couple of hundred years. At most.”

Haraszthy’s fist crashed on the table. “Why leave?” he roared. “What the hell are you scared of? I doubt the population of this whole planet is ten million. There are fifteen billion humans in the Solar System and the colonies! So a Jorillian can outthink me. So what? Plenty of guys can do that already, and it don’t bother me as long as we can do business.”

Baldinger shook his head. His face might have been cast in iron. “Matters aren’t that simple. The question is what race is going to dominate this arm of the galaxy.”

“Is it so horrible if the Jorillians do?” Lejeune asked softly.

“Perhaps not. They seem pretty decent. But—” Baldinger straightened in his chair. “I’m not going to be anybody’s domestic animal. I want my planet to decide her own destiny.”

That was the unalterable fact. We sat weighing it for a long and wordless time.

The hypothetical superbeings had always seemed comfortably far off. We hadn’t encountered them, or they us. Therefore they couldn’t live anywhere near. Therefore they probably never would interfere in the affairs of this remote galactic fringe where we dwell. But a planet only months distant from Earth; a species whose average member was a genius and whose geniuses were not understandable by us: bursting from their world, swarming through space, vigorous, eager, jumping in a decade to accomplishments that would take us a century—if we ever succeeded—how could they help but destroy our painfully built civilization? We’d scrap it ourselves, as the primitives of our old days had scrapped their own rich cultures in the overwhelming face of Western society. Our sons would laugh at our shoddy triumphs, go forth to join the high Jorillian adventure, and come back spirit-broken by failure, to build some feeble imitation of an alien way of life and fester in their hopelessness. And so would every other thinking species, unless the Jorillians were merciful enough to leave them alone.

Which the Jorillians probably would be. But who wants that kind of mercy?

I looked upon horror. Only Vaughan had the courage to voice the thing:

“There are planets under technological blockade, you know. Cultures too dangerous to allow modern weapons, let alone spaceships. Joril can be interdicted.”

“They’ll invent the stuff for themselves, now they’ve gotten the idea,” Baldinger said.

Vaughan’s mouth twitched downward. “Not if the only two regions that have seen us are destroyed.”

“Good God!” Haraszthy leaped to his feet.

“Sit down!” Baldinger rapped.

Haraszthy spoke an obscenity. His face was ablaze. The rest of us sat in a chill sweat.

“You’ve called me unscrupulous,” the Trader snarled. “Take that suggestion back to the hell it came from, Vaughan, or I’ll kick our your brains.”

I thought of nuclear fire vomiting skyward, and a wisp of gas that had been Mierna, and said, “No.”

“The alternative,” Vaughan said, staring at the bulkhead across from him, “is to do nothing until the sterilization of the entire planet has become necessary.”

Lejeune shook his head in anguish. “Wrong, wrong, wrong. There can be too great a price for survival.”

“But for our children’s survival? Their liberty? Their pride and—”

“What sort of pride can they take in themselves, once they know the truth?” Haraszthy interrupted. He reached down, grabbed Vaughan’s shirt front, and hauled the man up by sheer strength. His broken features glared three centimeters from the Federal’s. “I’ll tell you what we’re going to do,” he said. “We’re going to trade, and teach, and xenologize, and fraternize, the same as with any other people whose salt we’ve eaten. And take our chances like men!”

“Let him go,” Baldinger commanded. Haraszthy knotted a fist. “If you strike him, I’ll brig you and prefer charges at home. Let him go, I said!”

Haraszthy opened his grasp. Vaughan tumbled to the deck. Haraszthy sat down, buried his head in his hands, and struggled not to sob.

Baldinger refilled our glasses. “Well, gentlemen,” he said, “it looks like an impasse. We’re damned if we do and damned if we don’t, and I lay odds no Jorillian talks in such tired clichés.”

“They could give us so much,” Lejeune pleaded.

“Give!” Vaughan climbed erect and stood trembling before us. “That’s p-p-precisely the trouble. They’d give it! If they could, even. It wouldn’t be ours. We probably couldn’t understand their work, or use it, or . . . It wouldn’t be ours, I say!”

Haraszthy stiffened. He sat like stone for an entire minute before he raised his face and whooped aloud.

“Why not?”

* * *

Blessed be whiskey. I actually slept a few hours before dawn. But the light, stealing in through the ports, woke me then and I couldn’t get back to sleep. At last I rose, took the drop-shaft down, and went outside.

The land lay still. Stars were paling, but the east held as yet only a rush of ruddiness. Through the cool air I heard the first bird-flutings from the dark forest mass around me. I kicked off my shoes and went barefoot in wet grass.

Somehow it was not surprising that Mierna should come at that moment, leading her oontatherium. She let go the leash and ran to me. “Hi, Mister Cathcart! I hoped a lot somebody would be up. I haven’t had any breakfast.”

“We’ll have to see about that.” I swung her in the air till she squealed. “And then maybe like a little flyaround in this boat. Would you like that?”

“Oooh!” Her eyes grew round. I set her down. She needed a while longer before she dared ask, “Clear to Earth?”

“No, not that far, I’m afraid. Earth is quite a ways off.”

“Maybe someday? Please?”

“Someday, I’m quite sure, my dear. And not so terribly long until then, either.”

“I’m going to Earth, I’m going to Earth, I’m going to Earth.” She hugged the oontatherium. “Will you miss me awfully, Big-Feet-Buggy-Eyes-Top-Man-Underneath-And-Over? Don’t drool so sad. Maybe you can come too. Can he, Mister Cathcart? He’s a very nice oontatherium, honest he is, and he does so love crackers.”

“Well, perhaps, perhaps not,” I said. “But you’ll go, if you wish. I promise you. Anybody on this whole planet who wants to will go to Earth.”

As most of them will. I’m certain our idea will be accepted by the Council. The only possible one. If you can’t lick ’em . . . get ’em to jine you. 

I rumpled Mierna’s hair. In a way, sweetheart, what a dirty trick to play on you! Take you straight from the wilderness to a huge and complicated civilization. Dazzle you with all the tricks and gadgets and ideas we have, not because we’re better but simply because we’ve been at it a little longer than you. Scatter your ten million among our fifteen billion. Of course you’ll fall for it. You can’t help yourselves. When you realize what’s happening, you won’t be able to stop, you’ll be hooked. I don’t think you’ll even be able to resent it.

You’ll be assimilated, Mierna. You’ll become an Earth girl. Naturally, you’ll grow up to be one of our leaders. You’ll contribute tremendous things to our civilization, and be rewarded accordingly. But the whole point is, it will be our civilization. Mine . . . and yours.

I wonder if you’ll ever miss the forest, though, and the little houses by the bay, and the boats and songs and old, old stories, yes, and your darling oontatherium. I know the empty planet will miss you, Mierna. So will I. 

“Come on,” I said. “Let’s go build us that breakfast.”

 

 

Omnilingual by H. Beam Piper

Omnilingual

by H. Beam Piper

Preface by Eric Flint

I’ve always had a mixed reaction to H. Beam Piper’s writings. On the one hand, he was a superb story-teller and over the decades I’ve enjoyed any number of his works. On the other hand, the underlying attitude in many of his writings often leaves me grinding my teeth. I was so infuriated by Uller Uprising as a teenager that I threw it in the garbage can when I was about halfway through, and Space Viking still leaves a foul taste in my mouth four decades after I read it. For all of Piper’s modern reputation as a “libertarian,” the fact is that he was often prone to apologizing for authority, especially when that authority was being brutal. Uller Uprising, modeled on the Indian Mutiny of 1857, is an apologia for the greed and misrule of the British East India Company more extreme than even its own partisans advanced at the time. And Space Viking? Once you strip away the (admittedly impressive) story-telling razzle-dazzle, the novel is nothing but a romanticization of thuggery.

Look, sorry. My own ancestry, on my father’s side, is Norwegian. That fact has never blinded me to the truth about my Viking progenitors. Yes, they were very courageous, capable and resourceful. Big deal. So was the Waffen SS. The truth? My Viking forefathers were a bunch of murderers, rapists, arsonists and thieves. So let us puh-leese not adulate them in science fiction after the fact.

Grumble.

That said . . .

Piper, like most good story-tellers, was a man of many parts. And there are other stories of his which I’ve enjoyed for decades. Two of them, in particular, had a big impact on me as a teenager. The first was his novel Four-Day Planet—which is still my favorite among his many novels. The other . . .

Was this one.

 

 

 

Martha Dane paused, looking up at the purple-tinged copper sky. The wind had shifted since noon, while she had been inside, and the dust storm that was sweeping the high deserts to the east was now blowing out over Syrtis. The sun, magnified by the haze, was a gorgeous magenta ball, as large as the sun of Terra, at which she could look directly. Tonight, some of that dust would come sifting down from the upper atmosphere to add another film to what had been burying the city for the last fifty thousand years.

The red loess lay over everything, covering the streets and the open spaces of park and plaza, hiding the small houses that had been crushed and pressed flat under it and the rubble that had come down from the tall buildings when roofs had caved in and walls had toppled outward. Here where she stood, the ancient streets were a hundred to a hundred and fifty feet below the surface; the breach they had made in the wall of the building behind her had opened into the sixth story. She could look down on the cluster of prefabricated huts and sheds, on the brush-grown flat that had been the waterfront when this place had been a seaport on the ocean that was now Syrtis Depression; already, the bright metal was thinly coated with red dust. She thought, again, of what clearing this city would mean, in terms of time and labor, of people and supplies and equipment brought across fifty million miles of space. They’d have to use machinery; there was no other way it could be done. Bulldozers and power shovels and draglines; they were fast, but they were rough and indiscriminate. She remembered the digs around Harappa and Mohenjo-Daro, in the Indus Valley, and the careful, patient native laborers—the painstaking foremen, the pickmen and spademen, the long files of basketmen carrying away the earth. Slow and primitive as the civilization whose ruins they were uncovering, yes, but she could count on the fingers of one hand the times one of her pickmen had damaged a valuable object in the ground. If it hadn’t been for the underpaid and uncomplaining native laborer, archaeology would still be back where Wincklemann had found it. But on Mars there was no native labor; the last Martian had died five hundred centuries ago.

Something started banging like a machine gun, four or five hundred yards to her left. A solenoid jackhammer; Tony Lattimer must have decided which building he wanted to break into next. She became conscious, then, of the awkward weight of her equipment, and began redistributing it, shifting the straps of her oxy-tank pack, slinging the camera from one shoulder and the board and drafting tools from the other, gathering the notebooks and sketchbooks under her left arm. She started walking down the road, over hillocks of buried rubble, around snags of wall jutting up out of the loess, past buildings still standing, some of them already breached and explored, and across the brush-grown flat to the huts.

* * *

There were ten people in the main office room of Hut One when she entered. As soon as she had disposed of her oxygen equipment, she lit a cigarette, her first since noon, then looked from one to another of them. Old Selim von Ohlmhorst, the Turco-German, one of her two fellow archaeologists, sitting at the end of the long table against the farther wall, smoking his big curved pipe and going through a looseleaf notebook. The girl ordnance officer, Sachiko Koremitsu, between two droplights at the other end of the table, her head bent over her work. Colonel Hubert Penrose, the Space Force CO, and Captain Field, the intelligence officer, listening to the report of one of the airdyne pilots, returned from his afternoon survey flight. A couple of girl lieutenants from Signals, going over the script of the evening telecast, to be transmitted to the Cyrano, on orbit five thousand miles off planet and relayed from thence to Terra via Lunar. Sid Chamberlain, the Trans-Space News Service man, was with them. Like Selim and herself, he was a civilian; he was advertising the fact with a white shirt and a sleeveless blue sweater. And Major Lindemann, the engineer officer, and one of his assistants, arguing over some plans on a drafting board. She hoped, drawing a pint of hot water to wash her hands and sponge off her face, that they were doing something about the pipeline.

She started to carry the notebooks and sketchbooks over to where Selim von Ohlmhorst was sitting, and then, as she always did, she turned aside and stopped to watch Sachiko. The Japanese girl was restoring what had been a book, fifty thousand years ago; her eyes were masked by a binocular loup, the black headband invisible against her glossy black hair, and she was picking delicately at the crumbled page with a hair-fine wire set in a handle of copper tubing. Finally, loosening a particle as tiny as a snowflake, she grasped it with tweezers, placed it on the sheet of transparent plastic on which she was reconstructing the page, and set it with a mist of fixative from a little spraygun. It was a sheer joy to watch her; every movement was as graceful and precise as though done to music after being rehearsed a hundred times.

“Hello, Martha. It isn’t cocktail-time yet, is it?” The girl at the table spoke without raising her head, almost without moving her lips, as though she were afraid that the slightest breath would disturb the flaky stuff in front of her.

“No, it’s only fifteen-thirty. I finished my work, over there. I didn’t find any more books, if that’s good news for you.”

Sachiko took off the loup and leaned back in her chair, her palms cupped over her eyes.

“No, I like doing this. I call it micro-jigsaw puzzles. This book, here, really is a mess. Selim found it lying open, with some heavy stuff on top of it; the pages were simply crushed. She hesitated briefly. “If only it would mean something, after I did it.”

There could be a faintly critical overtone to that. As she replied, Martha realized that she was being defensive.

“It will, some day. Look how long it took to read Egyptian hieroglyphics, even after they had the Rosetta Stone.”

Sachiko smiled. “Yes, I know. But they did have the Rosetta Stone.”

“And we don’t. There is no Rosetta Stone, not anywhere on Mars. A whole race, a whole species, died while the first Crô-Magnon cave-artist was daubing pictures of reindeer and bison, and across fifty thousand years and fifty million miles there was no bridge of understanding.

“We’ll find one. There must be something, somewhere, that will give us the meaning of a few words, and we’ll use them to pry meaning out of more words, and so on. We may not live to learn this language, but we’ll make a start, and some day somebody will.”

Sachiko took her hands from her eyes, being careful not to look toward the unshaded lights, and smiled again. This time Martha was sure that it was not the Japanese smile of politeness, but the universally human smile of friendship.

“I hope so, Martha; really I do. It would be wonderful for you to be the first to do it, and it would be wonderful for all of us to be able to read what these people wrote. It would really bring this dead city to life again.” The smile faded slowly. “But it seems so hopeless.”

“You haven’t found any more pictures?”

Sachiko shook her head. Not that it would have meant much if she had. They had found hundreds of pictures with captions; they had never been able to establish a positive relationship between any pictured object and any printed word. Neither of them said anything more, and after a moment Sachiko replaced the loup and bent her head forward over the book.

* * *

Selim von Ohlmhorst looked up from his notebook, taking his pipe out of his mouth.

“Everything finished, over there?” he asked, releasing a puff of smoke.

“Such as it was.” She laid the notebooks and sketches on the table. “Captain Gicquel’s started airsealing the building from the fifth floor down, with an entrance on the sixth; he’ll start putting in oxygen generators as soon as that’s done. I have everything cleared up where he’ll be working.”

Colonel Penrose looked up quickly, as though making a mental note to attend to something later. Then he returned his attention to the pilot, who was pointing something out on a map.

Von Ohlmhorst nodded. “There wasn’t much to it, at that,” he agreed. “Do you know which building Tony has decided to enter next?”

“The tall one with the conical thing like a candle extinguisher on top, I think. I heard him drilling for the blasting shots over that way.”

“Well, I hope it turns out to be one that was occupied up to the end.”

The last one hadn’t. It had been stripped of its contents and fittings, a piece of this and a bit of that, haphazardly, apparently over a long period of time, until it had been almost gutted. For centuries, as it had died, this city had been consuming itself by a process of auto-cannibalism. She said something to that effect.

“Yes. We always find that—except, of course, at places like Pompeii. Have you seen any of the other Roman cities in Italy?” he asked. “Minturnae, for instance? First the inhabitants tore down this to repair that, and then, after they had vacated the city, other people came along and tore down what was left, and burned the stones for lime, or crushed them to mend roads, till there was nothing left but the foundation traces. That’s where we are fortunate; this is one of the places where the Martian race perished, and there were no barbarians to come later and destroy what they had left.” He puffed slowly at his pipe. “Some of these days, Martha, we are going to break into one of these buildings and find that it was one in which the last of these people died. Then we will learn the story of the end of this civilization.”

And if we learn to read their language, we’ll learn the whole story, not just the obituary. She hesitated, not putting the thought into words. “We’ll find that, sometime, Selim,” she said, then looked at her watch. “I’m going to get some more work done on my lists, before dinner.”

For an instant, the old man’s face stiffened in disapproval; he started to say something, thought better of it, and put his pipe back into his mouth. The brief wrinkling around his mouth and the twitch of his white mustache had been enough, however; she knew what he was thinking. She was wasting time and effort, he believed; time and effort belonging not to herself but to the expedition. He could be right, too, she realized. But he had to be wrong; there had to be a way to do it. She turned from him silently and went to her own packing-case seat, at the middle of the table.

* * *

Photographs, and photostats of restored pages of books, and transcripts of inscriptions, were piled in front of her, and the notebooks in which she was compiling her lists. She sat down, lighting a fresh cigarette, and reached over to a stack of unexamined material, taking off the top sheet. It was a photostat of what looked like the title page and contents of some sort of a periodical. She remembered it; she had found it herself, two days before, in a closet in the basement of the building she had just finished examining.

She sat for a moment, looking at it. It was readable, in the sense that she had set up a purely arbitrary but consistently pronounceable system of phonetic values for the letters. The long vertical symbols were vowels. There were only ten of them; not too many, allowing separate characters for long and short sounds. There were twenty of the short horizontal letters, which meant that sounds like –ng or –ch or –sh were single letters. The odds were millions to one against her system being anything like the original sound of the language, but she had listed several thousand Martian words, and she could pronounce all of them.

And that was as far as it went. She could pronounce between three and four thousand Martian words, and she couldn’t assign a meaning to one of them. Selim von Ohlmhorst believed that she never would. So did Tony Lattimer, and he was a great deal less reticent about saying so. So, she was sure, did Sachiko Koremitsu. There were times, now and then, when she began to be afraid that they were right.

The letters on the page in front of her began squirming and dancing, slender vowels with fat little consonants. They did that, now, every night in her dreams. And there were other dreams, in which she read them as easily as English; waking, she would try desperately and vainly to remember. She blinked, and looked away from the photostated page; when she looked back, the letters were behaving themselves again. There were three words at the top of the page, over-and-underlined, which seemed to be the Martian method of capitalization. Mastharnorvod Tadavas Sornhulva. She pronounced them mentally, leafing through her notebooks to see if she had encountered them before, and in what contexts. All three were listed. In addition, masthar was a fairly common word, and so was norvod, and so was nor, but –vod was a suffix and nothing but a suffix. Davas, was a word, too, and ta- was a common prefix; sorn and hulva were both common words. This language, she had long ago decided, must be something like German; when the Martians had needed a new word, they had just pasted a couple of existing words together. It would probably turn out to be a grammatical horror. Well, they had published magazines, and one of them had been called Mastharnorvod Tadavas Sornhulva. She wondered if it had been something like the Quarterly Archaeology Review, or something more on the order of Sexy Stories.

A smaller line, under the title, was plainly the issue number and date; enough things had been found numbered in series to enable her to identify the numerals and determine that a decimal system of numeration had been used. This was the one thousand and seven hundred and fifty-fourth issue, for Doma, 14837; then Doma must be the name of one of the Martian months. The word had turned up several times before. She found herself puffing furiously on her cigarette as she leafed through notebooks and piles of already examined material.

* * *

Sachiko was speaking to somebody, and a chair scraped at the end of the table. She raised her head, to see a big man with red hair and a red face, in Space Force green, with the single star of a major on his shoulder, sitting down. Ivan Fitzgerald, the medic. He was lifting weights from a book similar to the one the girl ordnance officer was restoring.

“Haven’t had time, lately,” he was saying, in reply to Sachiko’s question. “The Finchley girl’s still down with whatever it is she has, and it’s something I haven’t been able to diagnose yet. And I’ve been checking on bacteria cultures, and in what spare time I have, I’ve been dissecting specimens for Bill Chandler. Bill’s finally found a mammal. Looks like a lizard, and it’s only four inches long, but it’s a real warm-blooded, gamogenetic, placental, viviparous mammal. Burrows, and seems to live on what pass for insects here.”

“Is there enough oxygen for anything like that?” Sachiko was asking.

“Seems to be, close to the ground.” Fitzgerald got the headband of his loup adjusted, and pulled it down over his eyes. “He found this thing in a ravine down on the sea bottom— Ha, this page seems to be intact; now, if I can get it out all in one piece—”

He went on talking inaudibly to himself, lifting the page a little at a time and sliding one of the transparent plastic sheets under it, working with minute delicacy. Not the delicacy of the Japanese girl’s small hands, moving like the paws of a cat washing her face, but like a steam-hammer cracking a peanut. Field archaeology requires a certain delicacy of touch, too, but Martha watched the pair of them with envious admiration. Then she turned back to her own work, finishing the table of contents.

The next page was the beginning of the first article listed; many of the words were unfamiliar. She had the impression that this must be some kind of scientific or technical journal; that could be because such publications made up the bulk of her own periodical reading. She doubted it if were fiction; the paragraphs had a solid, factual look.

At length, Ivan Fitzgerald gave a short, explosive grunt.

“Ha! Got it!”

She looked up. He had detached the page and was cementing another plastic sheet onto it.

“Any pictures?” she asked.

“None on this side. Wait a moment.” He turned the sheet. “None on this side, either.” He sprayed another sheet of plastic to sandwich the page, then picked up his pipe and relighted it.

“I get fun out of this, and it’s good practice for my hands, so don’t think I’m complaining,” he said, “but, Martha, do you honestly think anybody’s ever going to get anything out of this?”

Sachiko held up a scrap of the silicone plastic the Martians had used for paper with her tweezers. It was almost an inch square.

“Look; three whole words on this piece,” she crowed. “Ivan, you took the easy book.”

Fitzgerald wasn’t being sidetracked. “This stuff’s absolutely meaningless,” he continued. “It had a meaning fifty thousand years ago, when it was written, but it has none at all now.”

She shook her head. “Meaning isn’t something that evaporates with time,” she argued. “It has just as much meaning now as it ever had. We just haven’t learned how to decipher it.”

“That seems like a pretty pointless distinction,” Selim von Ohlmhorst joined the conversation. “There no longer exists a means of deciphering it.”

“We’ll find one.” She was speaking, she realized, more in self-encouragement than in controversy.

“How? From pictures and captions? We’ve found captioned pictures, and what have they given us? A caption is intended to explain the picture, not the picture to explain the caption. Suppose some alien to our culture found a picture of a man with a white beard and mustache sawing a billet from a log. He would think the caption meant, ‘Man Sawing Wood.’ How would he know that it was really ‘Wilhelm II in Exile at Doorn?'”

Sachiko had taken off her loup and was lighting a cigarette.

“I can think of pictures intended to explain their captions,” she said. “These picture language-books, the sort we use in the Service—little line drawings, with a word or phrase under them.”

“Well, of course, if we found something like that,” von Ohlmhorst began.

* * *

“Michael Ventris found something like that, back in the Fifties,” Hubert Penrose’s voice broke in from directly behind her.

She turned her head. The colonel was standing by the archaeologists’ table; Captain Field and the airdyne pilot had gone out.

“He found a lot of Greek inventories of military stores,” Penrose continued. “They were in Cretan Linear B script, and at the head of each list was a little picture, a sword or a helmet or a cooking tripod or a chariot wheel. That’s what gave him the key to the script.”

“Colonel’s getting to be quite an archaeologist,” Fitzgerald commented. “We’re all learning each others’ specialties, on this expedition.”

“I heard about that long before this expedition was even contemplated.” Penrose was tapping a cigarette on his gold case. “I heard about that back before the Thirty Days’ War, at Intelligence School, when I was a lieutenant. As a feat of cryptanalysis, not an archaeological discovery.”

“Yes, cryptanalysis,” von Ohlmhorst pounced. “The reading of a known language in an unknown form of writing. Ventris’ lists were in the known language, Greek. Neither he nor anybody else ever read a word of the Cretan language until the finding of the Greek-Cretan bilingual in 1963, because only with a bilingual text, one language already known, can an unknown ancient language be learned. And what hope, I ask you, have we of finding anything like that here? Martha, you’ve been working on these Martian texts ever since we landed here—for the last six months. Tell me, have you found a single word to which you can positively assign a meaning?”

“Yes, I think I have one.” She was trying hard not to sound too exultant. “Doma. It’s the name of one of the months of the Martian calendar.”

“Where did you find that?” von Ohlmhorst asked. “And how did you establish—?”

“Here.” She picked up the photostat and handed it along the table to him. “I’d call this the title page of a magazine.”

He was silent for a moment, looking at it. “Yes. I would say so, too. Have you any of the rest of it?”

“I’m working on the first page of the first article, listed there. Wait till I see; yes, here’s all I found, together, here.” She told him where she had gotten it. “I just gathered it up, at the time, and gave it to Geoffrey and Rosita to photostat; this is the first I’ve really examined it.”

The old man got to his feet, brushing tobacco ashes from the front of his jacket, and came to where she was sitting, laying the title page on the table and leafing quickly through the stack of photostats.

“Yes, and here is the second article, on page eight, and here’s the next one.” He finished the pile of photostats. “A couple of pages missing at the end of the last article. This is remarkable; surprising that a thing like a magazine would have survived so long.”

“Well, this silicone stuff the Martians used for paper is pretty durable,” Hubert Penrose said. “There doesn’t seem to have been any water or any other fluid in it originally, so it wouldn’t dry out with time.”

“Oh, it’s not remarkable that the material would have survived. We’ve found a good many books and papers in excellent condition. But only a really vital culture, an organized culture, will publish magazines, and this civilization had been dying for hundreds of years before the end. It might have been a thousand years before the time they died out completely that such activities as publishing ended.”

“Well, look where I found it; in a closet in a cellar. Tossed in there and forgotten, and then ignored when they were stripping the building. Things like that happen.”

Penrose had picked up the title page and was looking at it.

“I don’t think there’s any doubt about this being a magazine, at all.” He looked again at the title, his lips moving silently. “Mastharnorvod Tadavas Sornhulva. Wonder what it means. But you’re right about the date—Doma seems to be the name of a month. Yes, you have a word, Dr. Dane.”

Sid Chamberlain, seeing that something unusual was going on, had come over from the table at which he was working. After examining the title page and some of the inside pages, he began whispering into the stenophone he had taken from his belt.

“Don’t try to blow this up to anything big, Sid,” she cautioned. “All we have is the name of a month, and Lord only knows how long it’ll be till we even find out which month it was.”

“Well, it’s a start, isn’t it?” Penrose argued. “Grotefend only had the word for ‘king’ when he started reading Persian cuneiform.”

“But I don’t have the word for month; just the name of a month. Everybody knew the names of the Persian kings, long before Grotefend.”

“That’s not the story,” Chamberlain said. “What the public back on Terra will be interested in is finding out that the Martians published magazines, just like we do. Something familiar; make the Martians seem more real. More human.”

* * *

Three men had come in, and were removing their masks and helmets and oxy-tanks, and peeling out of their quilted coveralls. Two were Space Force lieutenants; the third was a youngish civilian with close-cropped blond hair, in a checked woolen shirt. Tony Lattimer and his helpers.

“Don’t tell me Martha finally got something out of that stuff?” he asked, approaching the table. He might have been commenting on the antics of the village half-wit, from his tone.

“Yes; the name of one of the Martian months.” Hubert Penrose went on to explain, showing the photostat.

Tony Lattimer took it, glanced at it, and dropped it on the table.

“Sounds plausible, of course, but just an assumption. That word may not be the name of a month, at all—could mean ‘published’ or ‘authorized’ or ‘copyrighted’ or anything like that. Fact is, I don’t think it’s more than a wild guess that that thing’s anything like a periodical.” He dismissed the subject and turned to Penrose. “I picked out the next building to enter; that tall one with the conical thing on top. It ought to be in pretty good shape inside; the conical top wouldn’t allow dust to accumulate, and from the outside nothing seems to be caved in or crushed. Ground level’s higher than the other one, about the seventh floor. I found a good place and drilled for the shots; tomorrow I’ll blast a hole in it, and if you can spare some people to help, we can start exploring it right away.”

“Yes, of course, Dr. Lattimer. I can spare about a dozen, and I suppose you can find a few civilian volunteers,” Penrose told him. “What will you need in the way of equipment?”

“Oh, about six demolition-packets; they can all be shot together. And the usual thing in the way of lights, and breaking and digging tools, and climbing equipment in case we run into broken or doubtful stairways. We’ll divide into two parties. Nothing ought to be entered for the first time without a qualified archaeologist along. Three parties, if Martha can tear herself away from this catalogue of systematized incomprehensibilities she’s making long enough to do some real work.”

She felt her chest tighten and her face become stiff. She was pressing her lips together to lock in a furious retort when Hubert Penrose answered for her.

“Dr. Dane’s been doing as much work, and as important work, as you have,” he said brusquely. “More important work, I’d be inclined to say.”

Von Ohlmhorst was visibly distressed; he glanced once toward Sid Chamberlain, then looked hastily away from him. Afraid of a story of dissension among archaeologists getting out.

“Working out a system of pronunciation by which the Martian language could be transliterated was a most important contribution,” he said. “And Martha did that almost unassisted.”

“Unassisted by Dr. Lattimer, anyway,” Penrose added. “Captain Field and Lieutenant Koremitsu did some work, and I helped out a little, but nine-tenths of it she did herself.”

“Purely arbitrary,” Lattimer disdained. “Why, we don’t even know that the Martians could make the same kind of vocal sounds we do.”

“Oh, yes, we do,” Ivan Fitzgerald contradicted, safe on his own ground. “I haven’t seen any actual Martian skulls—these people seem to have been very tidy about disposing of their dead—but from statues and busts and pictures I’ve seen, I’d say that their vocal organs were identical with our own.”

“Well, grant that. And grant that it’s going to be impressive to rattle off the names of Martian notables whose statues we find, and that if we’re ever able to attribute any place-names, they’ll sound a lot better than this horse-doctors’ Latin the old astronomers splashed all over the map of Mars,” Lattimer said. “What I object to is her wasting time on this stuff, of which nobody will ever be able to read a word if she fiddles around with those lists till there’s another hundred feet of loess on this city, when there’s so much real work to be done and we’re shorthanded as we are.”

That was the first time that had come out in just so many words. She was glad Lattimer had said it and not Selim von Ohlmhorst.

“What you mean,” she retorted, “is that it doesn’t have the publicity value that digging up statues has.”

For an instant, she could see that the shot had scored. Then Lattimer, with a side glance at Chamberlain, answered:

“What I mean is that you’re trying to find something that any archaeologist, yourself included, should know doesn’t exist. I don’t object to your gambling your professional reputation and making a laughing stock of yourself; what I object to is that the blunders of one archaeologist discredit the whole subject in the eyes of the public.”

That seemed to be what worried Lattimer most. She was framing a reply when the communication-outlet whistled shrilly, and then squawked: “Cocktail time! One hour to dinner; cocktails in the library, Hut Four!”

* * *

The library, which was also lounge, recreation room, and general gathering-place, was already crowded; most of the crowd was at the long table topped with sheets of glasslike plastic that had been wall panels out of one of the ruined buildings. She poured herself what passed, here, for a martini, and carried it over to where Selim von Ohlmhorst was sitting alone.

For a while, they talked about the building they had just finished exploring, then drifted into reminiscences of their work on Terra—von Ohlmhorst’s in Asia Minor, with the Hittite Empire, and hers in Pakistan, excavating the cities of the Harappa Civilization. They finished their drinks—the ingredients were plentiful; alcohol and flavoring extracts synthesized from Martian vegetation—and von Ohlmhorst took the two glasses to the table for refills.

“You know, Martha,” he said, when he returned, “Tony was right about one thing. You are gambling your professional standing and reputation. It’s against all archaeological experience that a language so completely dead as this one could be deciphered. There was a continuity between all the other ancient languages—by knowing Greek, Champollion learned to read Egyptian; by knowing Egyptian, Hittite was learned. That’s why you and your colleagues have never been able to translate the Harappa hieroglyphics; no such continuity exists there. If you insist that this utterly dead language can be read, your reputation will suffer for it.”

“I heard Colonel Penrose say, once, that an officer who’s afraid to risk his military reputation seldom makes much of a reputation. It’s the same with us. If we really want to find things out, we have to risk making mistakes. And I’m a lot more interested in finding things out than I am in my reputation.”

She glanced across the room, to where Tony Lattimer was sitting with Gloria Standish, talking earnestly, while Gloria sipped one of the counterfeit martinis and listened. Gloria was the leading contender for the title of Miss Mars, 1996, if you like big bosomy blondes, but Tony would have been just as attentive to her if she’d looked like the Wicked Witch in “The Wizard of Oz,” because Gloria was the Pan-Federation Telecast System commentator with the expedition.

“I know you are,” the old Turco-German was saying. “That’s why, when they asked me to name another archaeologist for this expedition, I named you.”

He hadn’t named Tony Lattimer; Lattimer had been pushed onto the expedition by his university. There’d been a lot of high-level string-pulling to that; she wished she knew the whole story. She’d managed to keep clear of universities and university politics; all her digs had been sponsored by non-academic foundations or art museums.

“You have an excellent standing; much better than my own, at your age. That’s why it disturbs me to see you jeopardizing it by this insistence that the Martian language can be translated. I can’t, really, see how you can hope to succeed.”

She shrugged and drank some more of her cocktail, then lit another cigarette. It was getting tiresome to try to verbalize something she only felt.

“Neither do I, now, but I will. Maybe I’ll find something like the picture-books Sachiko was talking about. A child’s primer, maybe; surely they had things like that. And if I don’t, I’ll find something else. We’ve only been here six months. I can wait the rest of my life, if I have to, but I’ll do it sometime.”

“I can’t wait so long,” von Ohlmhorst said. “The rest of my life will only be a few years, and when the Schiaparelli orbits in, I’ll be going back to Terra on the Cyrano.”

“I wish you wouldn’t. This is a whole new world of archaeology. Literally.”

“Yes.” He finished the cocktail and looked at his pipe as though wondering whether to re-light it so soon before dinner, then put it in his pocket. “A whole new world—but I’ve grown old, and it isn’t for me. I’ve spent my life studying the Hittites. I can speak the Hittite language, though maybe King Muwatallis wouldn’t be able to understand my modern Turkish accent. But the things I’d have to learn, here—chemistry, physics, engineering, how to run analytic tests on steel girders and beryllo-silver alloys and plastics and silicones. I’m more at home with a civilization that rode in chariots and fought with swords and was just learning how to work iron. Mars is for young people. This expedition is a cadre of leadership—not only the Space Force people, who’ll be the commanders of the main expedition, but us scientists, too. And I’m just an old cavalry general who can’t learn to command tanks and aircraft. You’ll have time to learn about Mars. I won’t.”

His reputation as the dean of Hittitologists was solid and secure, too, she added mentally. Then she felt ashamed of the thought. He wasn’t to be classed with Tony Lattimer.

“All I came for was to get the work started,” he was continuing. “The Federation Government felt that an old hand should do that. Well, it’s started, now; you and Tony and whoever comes out on the Schiaparelli must carry it on. You said it, yourself; you have a whole new world. This is only one city, of the last Martian civilization. Behind this, you have the Late Upland Culture, and the Canal Builders, and all the civilizations and races and empires before them, clear back to the Martian Stone Age.” He hesitated for a moment. “You have no idea what all you have to learn, Martha. This isn’t the time to start specializing too narrowly.”

* * *

They all got out of the truck and stretched their legs and looked up the road to the tall building with the queer conical cap askew on its top. The four little figures that had been busy against its wall climbed into the jeep and started back slowly, the smallest of them, Sachiko Koremitsu, paying out an electric cable behind. When it pulled up beside the truck, they climbed out; Sachiko attached the free end of the cable to a nuclear-electric battery. At once, dirty gray smoke and orange dust puffed out from the wall of the building, and, a second later, the multiple explosion banged.

She and Tony Lattimer and Major Lindemann climbed onto the truck, leaving the jeep standing by the road. When they reached the building, a satisfyingly wide breach had been blown in the wall. Lattimer had placed his shots between two of the windows; they were both blown out along with the wall between, and lay unbroken on the ground. Martha remembered the first building they had entered. A Space Force officer had picked up a stone and thrown it at one of the windows, thinking that would be all they’d need to do. It had bounced back. He had drawn his pistol—they’d all carried guns, then, on the principle that what they didn’t know about Mars might easily hurt them—and fired four shots. The bullets had ricocheted, screaming thinly; there were four coppery smears of jacket-metal on the window, and a little surface spalling. Somebody tried a rifle; the 4000-f.s. bullet had cracked the glasslike pane without penetrating. An oxyacetylene torch had taken an hour to cut the window out; the lab crew, aboard the ship, were still trying to find out just what the stuff was.

Tony Lattimer had gone forward and was sweeping his flashlight back and forth, swearing petulantly, his voice harshened and amplified by his helmet-speaker.

“I thought I was blasting into a hallway; this lets us into a room. Careful; there’s about a two-foot drop to the floor, and a lot of rubble from the blast just inside.”

He stepped down through the breach; the others began dragging equipment out of the trucks—shovels and picks and crowbars and sledges, portable floodlights, cameras, sketching materials, an extension ladder, even Alpinists’ ropes and crampons and pickaxes. Hubert Penrose was shouldering something that looked like a surrealist machine gun but which was really a nuclear-electric jack-hammer. Martha selected one of the spike-shod mountaineer’s ice axes, with which she could dig or chop or poke or pry or help herself over rough footing.

The windows, grimed and crusted with fifty millennia of dust, filtered in a dim twilight; even the breach in the wall, in the morning shade, lighted only a small patch of floor. Somebody snapped on a floodlight, aiming it at the ceiling. The big room was empty and bare; dust lay thick on the floor and reddened the once-white walls. It could have been a large office, but there was nothing left in it to indicate its use.

“This one’s been stripped up to the seventh floor!” Lattimer exclaimed. “Street level’ll be cleaned out, completely.”

“Do for living quarters and shops, then,” Lindemann said. “Added to the others, this’ll take care of everybody on the Schiaparelli.”

“Seems to have been a lot of electric or electronic apparatus over along this wall,” one of the Space Force officers commented. “Ten or twelve electric outlets.” He brushed the dusty wall with his glove, then scraped on the floor with his foot. “I can see where things were pried loose.”

* * *

The door, one of the double sliding things the Martians had used, was closed. Selim von Ohlmhorst tried it, but it was stuck fast. The metal latch-parts had frozen together, molecule bonding itself to molecule, since the door had last been closed. Hubert Penrose came over with the jack-hammer, fitting a spear-point chisel into place. He set the chisel in the joint between the doors, braced the hammer against his hip, and squeezed the trigger-switch. The hammer banged briefly like the weapon it resembled, and the doors popped a few inches apart, then stuck. Enough dust had worked into the recesses into which it was supposed to slide to block it on both sides.

That was old stuff; they ran into that every time they had to force a door, and they were prepared for it. Somebody went outside and brought in a power-jack and finally one of the doors inched back to the door jamb. That was enough to get the lights and equipment through; they all passed from the room to the hallway beyond. About half the other doors were open; each had a number and a single word, Darfhulva, over it.

One of the civilian volunteers, a woman professor of natural ecology from Penn State University, was looking up and down the hall.

“You know,” she said, “I feel at home here. I think this was a college of some sort, and these were classrooms. That word, up there; that was the subject taught, or the department. And those electronic devices, all where the class would face them; audio-visual teaching aids.”

“A twenty-five-story university?” Lattimer scoffed. “Why, a building like this would handle thirty thousand students.”

“Maybe there were that many. This was a big city, in its prime,” Martha said, moved chiefly by a desire to oppose Lattimer.

“Yes, but think of the snafu in the halls, every time they changed classes. It’d take half an hour to get everybody back and forth from one floor to another.” He turned to von Ohlmhorst. “I’m going up above this floor. This place has been looted clean up to here, but there’s a chance there may be something above,” he said.

“I’ll stay on this floor, at present,” the Turco-German replied. “There will be much coming and going, and dragging things in and out. We should get this completely examined and recorded first. Then Major Lindemann’s people can do their worst, here.”

“Well, if nobody else wants it, I’ll take the downstairs,” Martha said.

“I’ll go along with you,” Hubert Penrose told her. “If the lower floors have no archaeological value, we’ll turn them into living quarters. I like this building; it’ll give everybody room to keep out from under everybody else’s feet.” He looked down the hall. “We ought to find escalators at the middle.”

* * *

The hallway, too, was thick underfoot with dust. Most of the open rooms were empty, but a few contained furniture, including small seat-desks. The original proponent of the university theory pointed these out as just what might be found in classrooms. There were escalators, up and down, on either side of the hall, and more on the intersecting passage to the right.

“That’s how they handled the students, between classes,” Martha commented. “And I’ll bet there are more ahead, there.”

They came to a stop where the hallway ended at a great square central hall. There were elevators, there, on two of the sides, and four escalators, still usable as stairways. But it was the walls, and the paintings on them, that brought them up short and staring.

They were clouded with dirt—she was trying to imagine what they must have looked like originally, and at the same time estimating the labor that would be involved in cleaning them—but they were still distinguishable, as was the word, Darfhulva, in golden letters above each of the four sides. It was a moment before she realized, from the murals, that she had at last found a meaningful Martian word. They were a vast historical panorama, clockwise around the room. A group of skin-clad savages squatting around a fire. Hunters with bows and spears, carrying the carcass of an animal slightly like a pig. Nomads riding long-legged, graceful mounts like hornless deer. Peasants sowing and reaping; mud-walled hut villages, and cities; processions of priests and warriors; battles with swords and bows, and with cannon and muskets; galleys, and ships with sails, and ships without visible means of propulsion, and aircraft. Changing costumes and weapons and machines and styles of architecture. A richly fertile landscape, gradually merging into barren deserts and bushlands—the time of the great planet-wide drought. The Canal Builders—men with machines recognizable as steam-shovels and derricks, digging and quarrying and driving across the empty plains with aqueducts. More cities—seaports on the shrinking oceans; dwindling, half-deserted cities; an abandoned city, with four tiny humanoid figures and a thing like a combat-car in the middle of a brush-grown plaza, they and their vehicle dwarfed by the huge lifeless buildings around them. She had not the least doubt; Darfhulva was History.

“Wonderful!” von Ohlmhorst was saying. “The entire history of this race. Why, if the painter depicted appropriate costumes and weapons and machines for each period, and got the architecture right, we can break the history of this planet into eras and periods and civilizations.”

“You can assume they’re authentic. The faculty of this university would insist on authenticity in the Darfhulva—History—Department,” she said.

“Yes! Darfhulva—History! And your magazine was a journal of Sornhulva!” Penrose exclaimed. “You have a word, Martha!” It took her an instant to realize that he had called her by her first name, and not Dr. Dane. She wasn’t sure if that weren’t a bigger triumph than learning a word of the Martian language. Or a more auspicious start. “Alone, I suppose that hulva means something like science or knowledge, or study; combined, it would be equivalent to our ‘ology. And darf would mean something like past, or old times, or human events, or chronicles.”

“That gives you three words, Martha!” Sachiko jubilated. “You did it.”

“Let’s don’t go too fast,” Lattimer said, for once not derisively. “I’ll admit that darfhulva is the Martian word for history as a subject of study; I’ll admit that hulva is the general word and darf modifies it and tells us which subject is meant. But as for assigning specific meanings, we can’t do that because we don’t know just how the Martians thought, scientifically or otherwise.”

He stopped short, startled by the blue-white light that blazed as Sid Chamberlain’s Kliegettes went on. When the whirring of the camera stopped, it was Chamberlain who was speaking:

“This is the biggest thing yet; the whole history of Mars, stone age to the end, all on four walls. I’m taking this with the fast shutter, but we’ll telecast it in slow motion, from the beginning to the end. Tony, I want you to do the voice for it—running commentary, interpretation of each scene as it’s shown. Would you do that?”

Would he do that! Martha thought. If he had a tail, he’d be wagging it at the very thought.

“Well, there ought to be more murals on the other floors,” she said. “Who wants to come downstairs with us?”

Sachiko did; immediately, Ivan Fitzgerald volunteered. Sid decided to go upstairs with Tony Lattimer, and Gloria Standish decided to go upstairs, too. Most of the party would remain on the seventh floor, to help Selim von Ohlmhorst get it finished. After poking tentatively at the escalator with the spike of her ice axe, Martha led the way downward.

* * *

The sixth floor was Darfhulva, too; military and technological history, from the character of the murals. They looked around the central hall, and went down to the fifth; it was like the floors above except that the big quadrangle was stacked with dusty furniture and boxes. Ivan Fitzgerald, who was carrying the floodlight, swung it slowly around. Here the murals were of heroic-sized Martians, so human in appearance as to seem members of her own race, each holding some object—a book, or a testtube, or some bit of scientific apparatus, and behind them were scenes of laboratories and factories, flame and smoke, lightning-flashes. The word at the top of each of the four walls was one with which she was already familiar—Sornhulva.

“Hey, Martha; there’s that word,” Ivan Fitzgerald exclaimed. “The one in the title of your magazine.” He looked at the paintings. “Chemistry, or physics.”

“Both,” Hubert Penrose considered. “I don’t think the Martians made any sharp distinction between them. See, the old fellow with the scraggly whiskers must be the inventor of the spectroscope; he has one in his hands, and he has a rainbow behind him. And the woman in the blue smock, beside him, worked in organic chemistry; see the diagrams of long-chain molecules behind her. What word would convey the idea of chemistry and physics taken as one subject?”

Sornhulva,” Sachiko suggested. “If hulva‘s something like science, sorn must mean matter, or substance, or physical object. You were right, all along, Martha. A civilization like this would certainly leave something like this, that would be self-explanatory.”

“This’ll wipe a little more of that superior grin off Tony Lattimer’s face,” Fitzgerald was saying, as they went down the motionless escalator to the floor below. “Tony wants to be a big shot. When you want to be a big shot, you can’t bear the possibility of anybody else being a bigger big shot, and whoever makes a start on reading this language will be the biggest big shot archaeology ever saw.”

That was true. She hadn’t thought of it, in that way, before, and now she tried not to think about it. She didn’t want to be a big shot. She wanted to be able to read the Martian language, and find things out about the Martians.

Two escalators down, they came out on a mezzanine around a wide central hall on the street level, the floor forty feet below them and the ceiling thirty feet above. Their lights picked out object after object below—a huge group of sculptured figures in the middle; some kind of a motor vehicle jacked up on trestles for repairs; things that looked like machine-guns and auto-cannon; long tables, tops littered with a dust-covered miscellany; machinery; boxes and crates and containers.

* * *

They made their way down and walked among the clutter, missing a hundred things for every one they saw, until they found an escalator to the basement. There were three basements, one under another, until at last they stood at the bottom of the last escalator, on a bare concrete floor, swinging the portable floodlight over stacks of boxes and barrels and drums, and heaps of powdery dust. The boxes were plastic—nobody had ever found anything made of wood in the city—and the barrels and drums were of metal or glass or some glasslike substance. They were outwardly intact. The powdery heaps might have been anything organic, or anything containing fluid. Down here, where wind and dust could not reach, evaporation had been the only force of destruction after the minute life that caused putrefaction had vanished.

They found refrigeration rooms, too, and using Martha’s ice axe and the pistollike vibratool Sachiko carried on her belt, they pounded and pried one open, to find desiccated piles of what had been vegetables, and leathery chunks of meat. Samples of that stuff, rocketed up to the ship, would give a reliable estimate, by radio-carbon dating, of how long ago this building had been occupied. The refrigeration unit, radically different from anything their own culture had produced, had been electrically powered. Sachiko and Penrose, poking into it, found the switches still on; the machine had only ceased to function when the power-source, whatever that had been, had failed.

The middle basement had also been used, at least toward the end, for storage; it was cut in half by a partition pierced by but one door. They took half an hour to force this, and were on the point of sending above for heavy equipment when it yielded enough for them to squeeze through. Fitzgerald, in the lead with the light, stopped short, looked around, and then gave a groan that came through his helmet-speaker like a foghorn.

“Oh, no! No!

“What’s the matter, Ivan?” Sachiko, entering behind him, asked anxiously.

He stepped aside. “Look at it, Sachi! Are we going to have to do all that?”

Martha crowded through behind her friend and looked around, then stood motionless, dizzy with excitement. Books. Case on case of books, half an acre of cases, fifteen feet to the ceiling. Fitzgerald, and Penrose, who had pushed in behind her, were talking in rapid excitement; she only heard the sound of their voices, not their words. This must be the main stacks of the university library—the entire literature of the vanished race of Mars. In the center, down an aisle between the cases, she could see the hollow square of the librarians’ desk, and stairs and a dumb-waiter to the floor above.

She realized that she was walking forward, with the others, toward this. Sachiko was saying: “I’m the lightest; let me go first.” She must be talking about the spidery metal stairs.

“I’d say they were safe,” Penrose answered. “The trouble we’ve had with doors around here shows that the metal hasn’t deteriorated.”

In the end, the Japanese girl led the way, more catlike than ever in her caution. The stairs were quite sound, in spite of their fragile appearance, and they all followed her. The floor above was a duplicate of the room they had entered, and seemed to contain about as many books. Rather than waste time forcing the door here, they returned to the middle basement and came up by the escalator down which they had originally descended.

The upper basement contained kitchens—electric stoves, some with pots and pans still on them—and a big room that must have been, originally, the students’ dining room, though when last used it had been a workshop. As they expected, the library reading room was on the street-level floor, directly above the stacks. It seemed to have been converted into a sort of common living room for the building’s last occupants. An adjoining auditorium had been made into a chemical works; there were vats and distillation apparatus, and a metal fractionating tower that extended through a hole knocked in the ceiling seventy feet above. A good deal of plastic furniture of the sort they had been finding everywhere in the city was stacked about, some of it broken up, apparently for reprocessing. The other rooms on the street floor seemed also to have been devoted to manufacturing and repair work; a considerable industry, along a number of lines, must have been carried on here for a long time after the university had ceased to function as such.

On the second floor, they found a museum; many of the exhibits remained, tantalizingly half-visible in grimed glass cases. There had been administrative offices there, too. The doors of most of them were closed, and they did not waste time trying to force them, but those that were open had been turned into living quarters. They made notes, and rough floor-plans, to guide them in future more thorough examination; it was almost noon before they had worked their way back to the seventh floor.

Selim von Ohlmhorst was in a room on the north side of the building, sketching the position of things before examining them and collecting them for removal. He had the floor checkerboarded with a grid of chalked lines, each numbered.

“We have everything on this floor photographed,” he said. “I have three gangs—all the floodlights I have—sketching and making measurements. At the rate we’re going, with time out for lunch, we’ll be finished by the middle of the afternoon.”

“You’ve been working fast. Evidently you aren’t being high-church about a ‘qualified archaeologist’ entering rooms first,” Penrose commented.

“Ach, childishness!” the old man exclaimed impatiently. “These officers of yours aren’t fools. All of them have been to Intelligence School and Criminal Investigation School. Some of the most careful amateur archaeologists I ever knew were retired soldiers or policemen. But there isn’t much work to be done. Most of the rooms are either empty or like this one—a few bits of furniture and broken trash and scraps of paper. Did you find anything down on the lower floors?”

“Well, yes,” Penrose said, a hint of mirth in his voice. “What would you say, Martha?”

She started to tell Selim. The others, unable to restrain their excitement, broke in with interruptions. Von Ohlmhorst was staring in incredulous amazement.

“But this floor was looted almost clean, and the buildings we’ve entered before were all looted from the street level up,” he said, at length.

“The people who looted this one lived here,” Penrose replied. “They had electric power to the last; we found refrigerators full of food, and stoves with the dinner still on them. They must have used the elevators to haul things down from the upper floor. The whole first floor was converted into workshops and laboratories. I think that this place must have been something like a monastery in the Dark Ages in Europe, or what such a monastery would have been like if the Dark Ages had followed the fall of a highly developed scientific civilization. For one thing, we found a lot of machine guns and light auto-cannon on the street level, and all the doors were barricaded. The people here were trying to keep a civilization running after the rest of the planet had gone back to barbarism; I suppose they’d have to fight off raids by the barbarians now and then.”

“You’re not going to insist on making this building into expedition quarters, I hope, colonel?” von Ohlmhorst asked anxiously.

“Oh, no! This place is an archaeological treasure-house. More than that; from what I saw, our technicians can learn a lot, here. But you’d better get this floor cleaned up as soon as you can, though. I’ll have the subsurface part, from the sixth floor down, airsealed. Then we’ll put in oxygen generators and power units, and get a couple of elevators into service. For the floors above, we can use temporary airsealing floor by floor, and portable equipment; when we have things atmosphered and lighted and heated, you and Martha and Tony Lattimer can go to work systematically and in comfort, and I’ll give you all the help I can spare from the other work. This is one of the biggest things we’ve found yet.”

Tony Lattimer and his companions came down to the seventh floor a little later.

“I don’t get this, at all,” he began, as soon as he joined them. “This building wasn’t stripped the way the others were. Always, the procedure seems to have been to strip from the bottom up, but they seem to have stripped the top floors first, here. All but the very top. I found out what that conical thing is, by the way. It’s a wind-rotor, and under it there’s an electric generator. This building generated its own power.”

“What sort of condition are the generators in?” Penrose asked.

“Well, everything’s full of dust that blew in under the rotor, of course, but it looks to be in pretty good shape. Hey, I’ll bet that’s it! They had power, so they used the elevators to haul stuff down. That’s just what they did. Some of the floors above here don’t seem to have been touched, though.” He paused momentarily; back of his oxy-mask, he seemed to be grinning. “I don’t know that I ought to mention this in front of Martha, but two floors above we hit a room—it must have been the reference library for one of the departments—that had close to five hundred books in it.”

The noise that interrupted him, like the squeaking of a Brobdingnagian parrot, was only Ivan Fitzgerald laughing through his helmet-speaker.

* * *

Lunch at the huts was a hasty meal, with a gabble of full-mouthed and excited talking. Hubert Penrose and his chief subordinates snatched their food in a huddled consultation at one end of the table; in the afternoon, work was suspended on everything else and the fifty-odd men and women of the expedition concentrated their efforts on the University. By the middle of the afternoon, the seventh floor had been completely examined, photographed and sketched, and the murals in the square central hall covered with protective tarpaulins, and Laurent Gicquel and his airsealing crew had moved in and were at work. It had been decided to seal the central hall at the entrances. It took the French-Canadian engineer most of the afternoon to find all the ventilation-ducts and plug them. An elevator shaft on the north side was found reaching clear to the twenty-fifth floor; this would give access to the top of the building; another shaft, from the center, would take care of the floors below. Nobody seemed willing to trust the ancient elevators, themselves; it was the next evening before a couple of cars and the necessary machinery could be fabricated in the machine shops aboard the ship and sent down by landing-rocket. By that time, the airsealing was finished, the nuclear-electric energy-converters were in place, and the oxygen generators set up.

Martha was in the lower basement, an hour or so before lunch the day after, when a couple of Space Force officers came out of the elevator, bringing extra lights with them. She was still using oxygen-equipment; it was a moment before she realized that the newcomers had no masks, and that one of them was smoking. She took off her own helmet-speaker, throat-mike and mask and unslung her tank-pack, breathing cautiously. The air was chilly, and musty-acrid with the odor of antiquity—the first Martian odor she had smelled—but when she lit a cigarette, the lighter flamed clear and steady and the tobacco caught and burned evenly.

The archaeologists, many of the other civilian scientists, a few of the Space Force officers and the two news-correspondents, Sid Chamberlain and Gloria Standish, moved in that evening, setting up cots in vacant rooms. They installed electric stoves and a refrigerator in the old Library Reading Room, and put in a bar and lunch counter. For a few days, the place was full of noise and activity, then, gradually, the Space Force people and all but a few of the civilians returned to their own work. There was still the business of airsealing the more habitable of the buildings already explored, and fitting them up in readiness for the arrival, in a year and a half, of the five hundred members of the main expedition. There was work to be done enlarging the landing field for the ship’s rocket craft, and building new chemical-fuel tanks.

There was the work of getting the city’s ancient reservoirs cleared of silt before the next spring thaw brought more water down the underground aqueducts everybody called canals in mistranslation of Schiaparelli’s Italian word, though this was proving considerably easier than anticipated. The ancient Canal-Builders must have anticipated a time when their descendants would no longer be capable of maintenance work, and had prepared against it. By the day after the University had been made completely habitable, the actual work there was being done by Selim, Tony Lattimer and herself, with half a dozen Space Force officers, mostly girls, and four or five civilians, helping.

* * *

They worked up from the bottom, dividing the floor-surfaces into numbered squares, measuring and listing and sketching and photographing. They packaged samples of organic matter and sent them up to the ship for Carbon-14 dating and analysis; they opened cans and jars and bottles, and found that everything fluid in them had evaporated, through the porosity of glass and metal and plastic if there were no other way. Wherever they looked, they found evidence of activity suddenly suspended and never resumed. A vise with a bar of metal in it, half cut through and the hacksaw beside it. Pots and pans with hardened remains of food in them; a leathery cut of meat on a table, with the knife ready at hand. Toilet articles on washstands; unmade beds, the bedding ready to crumble at a touch but still retaining the impress of the sleeper’s body; papers and writing materials on desks, as though the writer had gotten up, meaning to return and finish in a fifty-thousand-year-ago moment.

It worried her. Irrationally, she began to feel that the Martians had never left this place; that they were still around her, watching disapprovingly every time she picked up something they had laid down. They haunted her dreams, now, instead of their enigmatic writing. At first, everybody who had moved into the University had taken a separate room, happy to escape the crowding and lack of privacy of the huts. After a few nights, she was glad when Gloria Standish moved in with her, and accepted the newswoman’s excuse that she felt lonely without somebody to talk to before falling asleep. Sachiko Koremitsu joined them the next evening, and before going to bed, the girl officer cleaned and oiled her pistol, remarking that she was afraid some rust may have gotten into it.

The others felt it, too. Selim von Ohlmhorst developed the habit of turning quickly and looking behind him, as though trying to surprise somebody or something that was stalking him. Tony Lattimer, having a drink at the bar that had been improvised from the librarian’s desk in the Reading Room, set down his glass and swore.

“You know what this place is? It’s an archaeological Marie Celeste!” he declared. “It was occupied right up to the end—we’ve all seen the shifts these people used to keep a civilization going here—but what was the end? What happened to them? Where did they go?”

“You didn’t expect them to be waiting out front, with a red carpet and a big banner, Welcome Terrans, did you, Tony?” Gloria Standish asked.

“No, of course not; they’ve all been dead for fifty thousand years. But if they were the last of the Martians, why haven’t we found their bones, at least? Who buried them, after they were dead?” He looked at the glass, a bubble-thin goblet, found, with hundreds of others like it, in a closet above, as though debating with himself whether to have another drink. Then he voted in the affirmative and reached for the cocktail pitcher. “And every door on the old ground level is either barred or barricaded from the inside. How did they get out? And why did they leave?”

* * *

The next day, at lunch, Sachiko Koremitsu had the answer to the second question. Four or five electrical engineers had come down by rocket from the ship, and she had been spending the morning with them, in oxy-masks, at the top of the building.

“Tony, I thought you said those generators were in good shape,” she began, catching sight of Lattimer. “They aren’t. They’re in the most unholy mess I ever saw. What happened, up there, was that the supports of the wind-rotor gave way, and weight snapped the main shaft, and smashed everything under it.”

“Well, after fifty thousand years, you can expect something like that,” Lattimer retorted. “When an archaeologist says something’s in good shape, he doesn’t necessarily mean it’ll start as soon as you shove a switch it.”

“You didn’t notice that it happened when the power was on, did you,” one of the engineers asked, nettled at Lattimer’s tone. “Well, it was. Everything’s burned out or shorted or fused together; I saw one busbar eight inches across melted clean in two. It’s a pity we didn’t find things in good shape, even archaeologically speaking. I saw a lot of interesting things, things in advance of what we’re using now. But it’ll take a couple of years to get everything sorted out and figure what it looked like originally.”

“Did it look as though anybody’d made an attempt to fix it?” Martha asked.

Sachiko shook her head. “They must have taken one look at it and given up. I don’t believe there would have been any possible way to repair anything.”

“Well, that explains why they left. They needed electricity for lighting, and heating, and all their industrial equipment was electrical. They had a good life, here, with power; without it, this place wouldn’t have been habitable.”

“Then why did they barricade everything from the inside, and how did they get out?” Lattimer wanted to know.

“To keep other people from breaking in and looting. Last man out probably barred the last door and slid down a rope from upstairs,” von Ohlmhorst suggested. “This Houdini-trick doesn’t worry me too much. We’ll find out eventually.”

“Yes, about the time Martha starts reading Martian,” Lattimer scoffed.

“That may be just when we’ll find out,” von Ohlmhorst replied seriously. “It wouldn’t surprise me if they left something in writing when they evacuated this place.”

“Are you really beginning to treat this pipe dream of hers as a serious possibility, Selim?” Lattimer demanded. “I know, it would be a wonderful thing, but wonderful things don’t happen just because they’re wonderful. Only because they’re possible, and this isn’t. Let me quote that distinguished Hittitologist, Johannes Friedrich: ‘Nothing can be translated out of nothing.’ Or that later but not less distinguished Hittitologist, Selim von Ohlmhorst: ‘Where are you going to get your bilingual?'”

“Friedrich lived to see the Hittite language deciphered and read,” von Ohlmhorst reminded him.

“Yes, when they found Hittite-Assyrian bilinguals.” Lattimer measured a spoonful of coffee-powder into his cup and added hot water. “Martha, you ought to know, better than anybody, how little chance you have. You’ve been working for years in the Indus Valley; how many words of Harappa have you or anybody else ever been able to read?”

“We never found a university, with a half-million-volume library, at Harappa or Mohenjo-Daro.”

“And, the first day we entered this building, we established meanings for several words,” Selim von Ohlmhorst added.

“And you’ve never found another meaningful word since,” Lattimer added. “And you’re only sure of general meaning, not specific meaning of word-elements, and you have a dozen different interpretations for each word.”

“We made a start,” von Ohlmhorst maintained. “We have Grotefend’s word for ‘king.’ But I’m going to be able to read some of those books, over there, if it takes me the rest of my life here. It probably will, anyhow.”

“You mean you’ve changed your mind about going home on the Cyrano?” Martha asked. “You’ll stay on here?”

The old man nodded. “I can’t leave this. There’s too much to discover. The old dog will have to learn a lot of new tricks, but this is where my work will be, from now on.”

Lattimer was shocked. “You’re nuts!” he cried. “You mean you’re going to throw away everything you’ve accomplished in Hittitology and start all over again here on Mars? Martha, if you’ve talked him into this crazy decision, you’re a criminal!”

“Nobody talked me into anything,” von Ohlmhorst said roughly. “And as for throwing away what I’ve accomplished in Hittitology, I don’t know what the devil you’re talking about. Everything I know about the Hittite Empire is published and available to anybody. Hittitology’s like Egyptology; it’s stopped being research and archaeology and become scholarship and history. And I’m not a scholar or a historian; I’m a pick-and-shovel field archaeologist—a highly skilled and specialized grave-robber and junk-picker—and there’s more pick-and shovel work on this planet than I could do in a hundred lifetimes. This is something new; I was a fool to think I could turn my back on it and go back to scribbling footnotes about Hittite kings.”

“You could have anything you wanted, in Hittitology. There are a dozen universities that’d sooner have you than a winning football team. But no! You have to be the top man in Martiology, too. You can’t leave that for anybody else—” Lattimer shoved his chair back and got to his feet, leaving the table with an oath that was almost a sob of exasperation.

Maybe his feelings were too much for him. Maybe he realized, as Martha did, what he had betrayed. She sat, avoiding the eyes of the others, looking at the ceiling, as embarrassed as though Lattimer had flung something dirty on the table in front of them. Tony Lattimer had, desperately, wanted Selim to go home on the Cyrano. Martiology was a new field; if Selim entered it, he would bring with him the reputation he had already built in Hittitology, automatically stepping into the leading role that Lattimer had coveted for himself. Ivan Fitzgerald’s words echoed back to her—when you want to be a big shot, you can’t bear the possibility of anybody else being a bigger big shot. His derision of her own efforts became comprehensible, too. It wasn’t that he was convinced that she would never learn to read the Martian language. He had been afraid that she would.

* * *

Ivan Fitzgerald finally isolated the germ that had caused the Finchley girl’s undiagnosed illness. Shortly afterward, the malady turned into a mild fever, from which she recovered. Nobody else seemed to have caught it. Fitzgerald was still trying to find out how the germ had been transmitted.

They found a globe of Mars, made when the city had been a seaport. They located the city, and learned that its name had been Kukan—or something with a similar vowel-consonant ratio. Immediately, Sid Chamberlain and Gloria Standish began giving their telecasts a Kukan dateline, and Hubert Penrose used the name in his official reports. They also found a Martian calendar; the year had been divided into ten more or less equal months, and one of them had been Doma. Another month was Nor, and that was a part of the name of the scientific journal Martha had found.

Bill Chandler, the zoologist, had been going deeper and deeper into the old sea bottom of Syrtis. Four hundred miles from Kukan, and at fifteen thousand feet lower altitude, he shot a bird. At least, it was a something with wings and what were almost but not quite feathers, though it was more reptilian than avian in general characteristics. He and Ivan Fitzgerald skinned and mounted it, and then dissected the carcass almost tissue by tissue. About seven-eights of its body capacity was lungs; it certainly breathed air containing at least half enough oxygen to support human life, or five times as much as the air around Kukan.

That took the center of interest away from archaeology, and started a new burst of activity. All the expedition’s aircraft—four jetticopters and three wingless airdyne reconnaissance fighters—were thrown into intensified exploration of the lower sea bottoms, and the bio-science boys and girls were wild with excitement and making new discoveries on each flight.

The University was left to Selim and Martha and Tony Lattimer, the latter keeping to himself while she and the old Turco-German worked together. The civilian specialists in other fields, and the Space Force people who had been holding tape lines and making sketches and snapping camera, were all flying to lower Syrtis to find out how much oxygen there was and what kind of life it supported.

Sometimes Sachiko dropped in; most of the time she was busy helping Ivan Fitzgerald dissect specimens. They had four or five species of what might loosely be called birds, and something that could easily be classed as a reptile, and a carnivorous mammal the size of a cat with birdlike claws, and a herbivore almost identical with the piglike thing in the big Darfhulva mural, and another like a gazelle with a single horn in the middle of its forehead.

The high point came when one party, at thirty thousand feet below the level of Kukan, found breathable air. One of them had a mild attack of sorroche and had to be flown back for treatment in a hurry, but the others showed no ill effects.

The daily newscasts from Terra showed a corresponding shift in interest at home. The discovery of the University had focused attention on the dead past of Mars; now the public was interested in Mars as a possible home for humanity. It was Tony Lattimer who brought archaeology back into the activities of the expedition and the news at home.

Martha and Selim were working in the museum on the second floor, scrubbing the grime from the glass cases, noting contents, and grease-penciling numbers; Lattimer and a couple of Space Force officers were going through what had been the administrative offices on the other side. It was one of these, a young second lieutenant, who came hurrying in from the mezzanine, almost bursting with excitement.

“Hey, Martha! Dr. von Ohlmhorst!” he was shouting. “Where are you? Tony’s found the Martians!”

Selim dropped his rag back in the bucket; she laid her clipboard on top of the case beside her.

“Where?” they asked together.

“Over on the north side.” The lieutenant took hold of himself and spoke more deliberately. “Little room, back of one of the old faculty offices—conference room. It was locked from the inside, and we had to burn it down with a torch. That’s where they are. Eighteen of them, around a long table—”

Gloria Standish, who had dropped in for lunch, was on the mezzanine, fairly screaming into a radio-phone extension:

” . . . Dozen and a half of them! Well, of course they’re dead. What a question! They look like skeletons covered with leather. No, I do not know what they died of. Well, forget it; I don’t care if Bill Chandler’s found a three-headed hippopotamus. Sid, don’t you get it? We’ve found the Martians!

She slammed the phone back on its hook, rushing away ahead of them.

* * *

Martha remembered the closed door; on the first survey, they hadn’t attempted opening it. Now it was burned away at both sides and lay, still hot along the edges, on the floor of the big office room in front. A floodlight was on in the room inside, and Lattimer was going around looking at things while a Space Force officer stood by the door. The center of the room was filled by a long table; in armchairs around it sat the eighteen men and women who had occupied the room for the last fifty millennia. There were bottles and glasses on the table in front of them, and, had she seen them in a dimmer light, she would have thought that they were merely dozing over their drinks. One had a knee hooked over his chair-arm and was curled in foetus-like sleep. Another had fallen forward onto the table, arms extended, the emerald set of a ring twinkling dully on one finger. Skeletons covered with leather, Gloria Standish had called them, and so they were—faces like skulls, arms and legs like sticks, the flesh shrunken onto the bones under it.

“Isn’t this something!” Lattimer was exulting. “Mass suicide, that’s what it was. Notice what’s in the corners?”

Braziers, made of perforated two-gallon-odd metal cans, the white walls smudged with smoke above them. Von Ohlmhorst had noticed them at once, and was poking into one of them with his flashlight.

“Yes; charcoal. I noticed a quantity of it around a couple of hand-forges in the shop on the first floor. That’s why you had so much trouble breaking in; they’d sealed the room on the inside.” He straightened and went around the room, until he found a ventilator, and peered into it. “Stuffed with rags. They must have been all that were left, here. Their power was gone, and they were old and tired, and all around them their world was dying. So they just came in here and lit the charcoal, and sat drinking together till they all fell asleep. Well, we know what became of them, now, anyhow.”

Sid and Gloria made the most of it. The Terran public wanted to hear about Martians, and if live Martians couldn’t be found, a room full of dead ones was the next best thing. Maybe an even better thing; it had been only sixty-odd years since the Orson Welles invasion-scare. Tony Lattimer, the discoverer, was beginning to cash in on his attentions to Gloria and his ingratiation with Sid; he was always either making voice-and-image talks for telecast or listening to the news from the home planet. Without question, he had become, overnight, the most widely known archaeologist in history.

“Not that I’m interested in all this, for myself,” he disclaimed, after listening to the telecast from Terra two days after his discovery. “But this is going to be a big thing for Martian archaeology. Bring it to the public attention; dramatize it. Selim, can you remember when Lord Carnarvon and Howard Carter found the tomb of Tutankhamen?”

“In 1923? I was two years old, then,” von Ohlmhorst chuckled. “I really don’t know how much that publicity ever did for Egyptology. Oh, the museums did devote more space to Egyptian exhibits, and after a museum department head gets a few extra showcases, you know how hard it is to make him give them up. And, for a while, it was easier to get financial support for new excavations. But I don’t know how much good all this public excitement really does, in the long run.”

“Well, I think one of us should go back on the Cyrano, when the Schiaparelli orbits in,” Lattimer said. “I’d hoped it would be you; your voice would carry the most weight. But I think it’s important that one of us go back, to present the story of our work, and what we have accomplished and what we hope to accomplish, to the public and to the universities and the learned societies, and to the Federation Government. There will be a great deal of work that will have to be done. We must not allow the other scientific fields and the so-called practical interests to monopolize public and academic support. So, I believe I shall go back at least for a while, and see what I can do—”

Lectures. The organization of a Society of Martian Archaeology, with Anthony Lattimer, Ph.D., the logical candidate for the chair. Degrees, honors; the deference of the learned, and the adulation of the lay public. Positions, with impressive titles and salaries. Sweet are the uses of publicity.

She crushed out her cigarette and got to her feet. “Well, I still have the final lists of what we found in Halvhulva—Biology—department to check over. I’m starting on Sornhulva tomorrow, and I want that stuff in shape for expert evaluation.”

That was the sort of thing Tony Lattimer wanted to get away from, the detail-work and the drudgery. Let the infantry do the slogging through the mud; the brass-hats got the medals.

* * *

She was halfway through the fifth floor, a week later, and was having midday lunch in the reading room on the first floor when Hubert Penrose came over and sat down beside her, asking her what she was doing. She told him.

“I wonder if you could find me a couple of men, for an hour or so,” she added. “I’m stopped by a couple of jammed doors at the central hall. Lecture room and library, if the layout of that floor’s anything like the ones below it.”

“Yes. I’m a pretty fair door-buster, myself.” He looked around the room. “There’s Jeff Miles; he isn’t doing much of anything. And we’ll put Sid Chamberlain to work, for a change, too. The four of us ought to get your doors open.” He called to Chamberlain, who was carrying his tray over to the dish washer. “Oh, Sid; you doing anything for the next hour or so?”

“I was going up to the fourth floor, to see what Tony’s doing.”

“Forget it. Tony’s bagged his season limit of Martians. I’m going to help Martha bust in a couple of doors; we’ll probably find a whole cemetery full of Martians.”

Chamberlain shrugged. “Why not. A jammed door can have anything back of it, and I know what Tony’s doing—just routine stuff.”

Jeff Miles, the Space Force captain, came over, accompanied by one of the lab-crew from the ship who had come down on the rocket the day before.

“This ought to be up your alley, Mort,” he was saying to his companion. “Chemistry and physics department. Want to come along?”

The lab man, Mort Tranter, was willing. Seeing the sights was what he’d come down from the ship for. She finished her coffee and cigarette, and they went out into the hall together, gathered equipment and rode the elevator to the fifth floor.

The lecture hall door was the nearest; they attacked it first. With proper equipment and help, it was no problem and in ten minutes they had it open wide enough to squeeze through with the floodlights. The room inside was quite empty, and, like most of the rooms behind closed doors, comparatively free from dust. The students, it appeared, had sat with their backs to the door, facing a low platform, but their seats and the lecturer’s table and equipment had been removed. The two side walls bore inscriptions: on the right, a pattern of concentric circles which she recognized as a diagram of atomic structure, and on the left a complicated table of numbers and words, in two columns. Tranter was pointing at the diagram on the right.

“They got as far as the Bohr atom, anyhow,” he said. “Well, not quite. They knew about electron shells, but they have the nucleus pictured as a solid mass. No indication of proton-and-neutron structure. I’ll bet, when you come to translate their scientific books, you’ll find that they taught that the atom was the ultimate and indivisible particle. That explains why you people never found any evidence that the Martians used nuclear energy.”

“That’s a uranium atom,” Captain Miles mentioned.

“It is?” Sid Chamberlain asked, excitedly. “Then they did know about atomic energy. Just because we haven’t found any pictures of A-bomb mushrooms doesn’t mean—”

She turned to look at the other wall. Sid’s signal reactions were getting away from him again; uranium meant nuclear power to him, and the two words were interchangeable. As she studied the arrangement of the numbers and words, she could hear Tranter saying:

“Nuts, Sid. We knew about uranium a long time before anybody found out what could be done with it. Uranium was discovered on Terra in 1789, by Klaproth.”

There was something familiar about the table on the left wall. She tried to remember what she had been taught in school about physics, and what she had picked up by accident afterward. The second column was a continuation of the first: there were forty-six items in each, each item numbered consecutively—

“Probably used uranium because it’s the largest of the natural atoms,” Penrose was saying. “The fact that there’s nothing beyond it there shows that they hadn’t created any of the transuranics. A student could go to that thing and point out the outer electron of any of the ninety-two elements.”

* * *

Ninety-two! That was it; there were ninety-two items in the table on the left wall! Hydrogen was Number One, she knew; One, Sarfaldsorn. Helium was Two; that was Tirfaldsorn. She couldn’t remember which element came next, but in Martian it was Sarfalddavas. Sorn must mean matter, or substance, then. And davas; she was trying to think of what it could be. She turned quickly to the others, catching hold of Hubert Penrose’s arm with one hand and waving her clipboard with the other.

“Look at this thing, over here,” she was clamoring excitedly. “Tell me what you think it is. Could it be a table of the elements?”

They all turned to look. Mort Tranter stared at it for a moment.

“Could be. If I only knew what those squiggles meant—”

That was right; he’d spent his time aboard the ship.

“If you could read the numbers, would that help?” she asked, beginning to set down the Arabic digits and their Martian equivalents. “It’s decimal system, the same as we use.”

“Sure. If that’s a table of elements, all I’d need would be the numbers. Thanks,” he added as she tore off the sheet and gave it to him.

Penrose knew the numbers, and was ahead of him. “Ninety-two items, numbered consecutively. The first number would be the atomic number. Then a single word, the name of the element. Then the atomic weight—”

She began reading off the names of the elements. “I know hydrogen and helium; what’s tirfalddavas, the third one?”

“Lithium,” Tranter said. “The atomic weights aren’t run out past the decimal point. Hydrogen’s one plus, if that double-hook dingus is a plus sign; Helium’s four-plus, that’s right. And lithium’s given as seven, that isn’t right. It’s six-point-nine-four-oh. Or is that thing a Martian minus sign?”

“Of course! Look! A plus sign is a hook, to hang things together; a minus sign is a knife, to cut something off from something—see, the little loop is the handle and the long pointed loop is the blade. Stylized, of course, but that’s what it is. And the fourth element, kiradavas; what’s that?”

“Beryllium. Atomic weight given as nine-and-a-hook; actually it’s nine-point-oh-two.”

Sid Chamberlain had been disgruntled because he couldn’t get a story about the Martians having developed atomic energy. It took him a few minutes to understand the newest development, but finally it dawned on him.

“Hey! You’re reading that!” he cried. “You’re reading Martian!”

“That’s right,” Penrose told him. “Just reading it right off. I don’t get the two items after the atomic weight, though. They look like months of the Martian calendar. What ought they to be, Mort?”

Tranter hesitated. “Well, the next information after the atomic weight ought to be the period and group numbers. But those are words.”

“What would the numbers be for the first one, hydrogen?”

“Period One, Group One. One electron shell, one electron in the outer shell,” Tranter told her. “Helium’s period one, too, but it has the outer—only—electron shell full, so it’s in the group of inert elements.”

Trav, Trav. Trav‘s the first month of the year. And helium’s Trav, Yenth; Yenth is the eighth month.”

“The inert elements could be called Group Eight, yes. And the third element, lithium, is Period Two, Group One. That check?”

“It certainly does. Sanv, Trav; Sanv‘s the second month. What’s the first element in Period Three?”

“Sodium, Number Eleven.”

“That’s right; it’s Krav, Trav. Why, the names of the months are simply numbers, one to ten, spelled out.”

Doma‘s the fifth month. That was your first Martian word, Martha,” Penrose told her. “The word for five. And if davas is the word for metal, and sornhulva is chemistry and/or physics, I’ll bet Tadavas Sornhulva is literally translated as : ‘Of-Metal Matter-Knowledge.’ Metallurgy, in other words. I wonder what Mastharnorvod means.” It surprised her that, after so long and with so much happening in the meantime, he could remember that. “Something like ‘Journal,’ or ‘Review,’ or maybe ‘Quarterly.'”

“We’ll work that out, too,” she said confidently. After this, nothing seemed impossible. “Maybe we can find—” Then she stopped short. “You said ‘Quarterly.’ I think it was ‘Monthly,’ instead. It was dated for a specific month, the fifth one. And if nor is ten, Mastharnorvod could be ‘Year-Tenth.’ And I’ll bet we’ll find that masthar is the word for year.” She looked at the table on the wall again. “Well, let’s get all these words down, with translations for as many as we can.”

“Let’s take a break for a minute,” Penrose suggested, getting out his cigarettes. “And then, let’s do this in comfort. Jeff, suppose you and Sid go across the hall and see what you find in the other room in the way of a desk or something like that, and a few chairs. There’ll be a lot of work to do on this.”

Sid Chamberlain had been squirming as though he were afflicted with ants, trying to contain himself. Now he let go with an excited jabber.

“This is really it! The it, not just it-of-the-week, like finding the reservoirs or those statues or this building, or even the animals and the dead Martians! Wait till Selim and Tony see this! Wait till Tony sees it; I want to see his face! And when I get this on telecast, all Terra’s going to go nuts about it!” He turned to Captain Miles. “Jeff, suppose you take a look at that other door, while I find somebody to send to tell Selim and Tony. And Gloria; wait till she sees this—”

“Take it easy, Sid,” Martha cautioned. “You’d better let me have a look at your script, before you go too far overboard on the telecast. This is just a beginning; it’ll take years and years before we’re able to read any of those books downstairs.”

“It’ll go faster than you think, Martha,” Hubert Penrose told her. “We’ll all work on it, and we’ll teleprint material to Terra, and people there will work on it. We’ll send them everything we can . . . everything we work out, and copies of books, and copies of your word-lists—”

And there would be other tables—astronomical tables, tables in physics and mechanics, for instance—in which words and numbers were equivalent. The library stacks, below, would be full of them. Transliterate them into Roman alphabet spellings and Arabic numerals, and somewhere, somebody would spot each numerical significance, as Hubert Penrose and Mort Tranter and she had done with the table of elements. And pick out all the chemistry textbooks in the Library; new words would take on meaning from contexts in which the names of elements appeared. She’d have to start studying chemistry and physics, herself—

* * *

Sachiko Koremitsu peeped in through the door, then stepped inside.

“Is there anything I can do—?” she began. “What’s happened? Something important?”

“Important?” Sid Chamberlain exploded. “Look at that, Sachi! We’re reading it! Martha’s found out how to read Martian!” He grabbed Captain Miles by the arm. “Come on, Jeff; let’s go. I want to call the others—” He was still babbling as he hurried from the room.

Sachi looked at the inscription. “Is it true?” she asked, and then, before Martha could more than begin to explain, flung her arms around her. “Oh, it really is! You are reading it! I’m so happy!”

She had to start explaining again when Selim von Ohlmhorst entered. This time, she was able to finish.

“But, Martha, can you be really sure? You know, by now, that learning to read this language is as important to me as it is to you, but how can you be so sure that those words really mean things like hydrogen and helium and boron and oxygen? How do you know that their table of elements was anything like ours?”

Tranter and Penrose and Sachiko all looked at him in amazement.

“That isn’t just the Martian table of elements; that’s the table of elements. It’s the only one there is,” Mort Tranter almost exploded. “Look, hydrogen has one proton and one electron. If it had more of either, it wouldn’t be hydrogen, it’d be something else. And the same with all the rest of the elements. And hydrogen on Mars is the same as hydrogen on Terra, or on Alpha Centauri, or in the next galaxy—”

“You just set up those numbers, in that order, and any first-year chemistry student could tell you what elements they represented,” Penrose said. “Could if he expected to make a passing grade, that is.”

The old man shook his head slowly, smiling. “I’m afraid I wouldn’t make a passing grade. I didn’t know, or at least didn’t realize, that. One of the things I’m going to place an order for, to be brought on the Schiaparelli, will be a set of primers in chemistry and physics, of the sort intended for a bright child of ten or twelve. It seems that a Martiologist has to learn a lot of things the Hittites and the Assyrians never heard about.”

Tony Lattimer, coming in, caught the last part of the explanation. He looked quickly at the walls and, having found out just what had happened, advanced and caught Martha by the hand.

“You really did it, Martha! You found your bilingual! I never believed that it would be possible; let me congratulate you!”

He probably expected that to erase all the jibes and sneers of the past. If he did, he could have it that way. His friendship would mean as little to her as his derision—except that his friends had to watch their backs and his knife. But he was going home on the Cyrano, to be a big-shot. Or had this changed his mind for him again?

“This is something we can show the world, to justify any expenditure of time and money on Martian archaeological work. When I get back to Terra, I’ll see that you’re given full credit for this achievement—”

On Terra, her back and his knife would be out of her watchfulness.

“We won’t need to wait that long,” Hubert Penrose told him dryly. “I’m sending off an official report, tomorrow; you can be sure Dr. Dane will be given full credit, not only for this but for her previous work, which made it possible to exploit this discovery.”

“And you might add, work done in spite of the doubts and discouragements of her colleagues,” Selim von Ohlmhorst said. “To which I am ashamed to have to confess my own share.”

“You said we had to find a bilingual,” she said. “You were right, too.”

“This is better than a bilingual, Martha,” Hubert Penrose said. “Physical science expresses universal facts; necessarily it is a universal language. Heretofore archaeologists have dealt only with pre-scientific cultures.”

Groceries and smoke

When I was a young boy… perhaps four or five years old, there was a fire in the complex where we lived. We lived in a complex of homes, perhaps row homes in groups of four multi-dwellings.

And one of them was on fire. We, my friends and I, watched the firemen come and put the fire out, and there we surreptitiously entered through the basement into the smouldering wet burnt ruin until chased off.

As We left the scene, the owner of the house arrive. She was carrying  a bag of groceries, and I will never forget the expression on her face. Surprise, shock and then realization that it was HER house that was burnt to nothing.

That expression… well, it remains to me this day. I will never forget it. For her entire life is now different. And her life is right now, upside-down.

Poor lady.

That happens. That realization. That knowing that a “light switch” has flipped, and what you once had is now GONE.

Smart people make precautions to prevent that switch from flipping.  From personal safety; to relationships, to governments. We do what we need to do.

But still…

Today…

 

When I was in high school I had a part time job in a local chain supermarket store. Did the usual, stocking shelves, helping in the butcher shop, cleaning floors, and bag boy. The store began running a promotional, for every $100 in receipts you could get a free dish in a set of “fine” china. Kind of silly really, the reality was that most people were never going to accumulate enough receipts for a place setting, let alone an entire set. But in my tenure as bag boy I noticed several things, 1. People often just put the receipt in the trash can on the way out. 2. They often told the cashier they did not want the receipt, and she put it in the trash can under the register 3. If I was helping people, especially the older ladies, take the groceries to their car and asked them for their receipts, explaining I was trying to get me ma a set of dishes, they willingly gave them to me. So I became the most willing volunteer to bag groceries, the most diligent emptier of trash cans, and the most solicitous helper to people who needed assistance getting groceries to their car. A week before the promotion ended I presented thousands of dollars in receipts to me ma and explained what she had to do, omitting to the store, of course, that she had any relatives employed there. That is how we got the “fine”china still used by me ma to this day. Complete with soup tureen and gravy boat. And the highly sought after “Giant Serving Platter”.

Ukraine Drones?

Nope. American controlled drones in Ukraine. And then pay attention to who is working them.

Real? Fake? But, certainly plausible.

Slovakia Prime Minister . . .”Lie Doomed on our Balcony . . . waiting for World Apocalypse”

"All We Can Do is Lie Doomed On The Balcony With A Cognac And A Cigar, Waiting For The World Apocalypse” 

Slovak Prime Minister Fico: “The West sees that, despite significant assistance, despite anti-Russian sanctions, Ukraine is simply not capable of winning. And if we send military personnel from the EU and NATO to Ukraine, all we can do is lie doomed on the balcony with cognac and a cigar, waiting for the world apocalypse.”

Hal Turner Analysis

The fact that the Prime Minister of Slovakia said these words Sunday evening is proof that the “idea” of French President Macron, for NATO member countries to send their troops into Ukraine under “Bi-lateral Security Agreements” was far more than just bluster or posturing.  Clearly, the suggestion of the French President is under active consideration.

Were it anything else, there would be no reason for the Prime Minister to make such a statement.

The world is moving faster and faster toward an actual nuclear conflict with Russia.

The general public in Europe and the United States remain blissfully unaware because the mass media has utterly failed in its job to report the serious and world-changing events developing in Ukraine.

I have done, and continue to do, my best, to keep you informed of the important developments overseas.

These comments by the Prime Minister of Slovakia cannot be taken lightly –  at all.

The USA is sinking gravely

This is a profoundly bad idea.

Let’s say that your little plan works just about as well as you could hope for. You become a guard, and you’re assigned to your boyfriend’s unit…

Have you noticed how dirty the floor is in the CO’s break room? Maybe you should get your boyfriend to mop it. You better supervise him to make sure he does a good job…

Ah… alone at last. Finally, after all this time you can have some hurried sex. Sounds pretty fun right?

It better be, because in most places a guard having sex with an inmate is considered rape (you would be raping him). You can argue until you’re nine shades of blue that it was consensual, but during your training program you signed a document stating that you understood that sex with inmates was rape.

Maybe you think nobody will notice?

Your fellow lives in a big room with at least a hundred other men who have NOTHING to do. Nothing. They WILL notice. I was once told how many minutes I’d just spent in the bathroom…

Did I mention these guys have nothing to do?

Maybe you think they won’t care?

Come on now… you know how most guys are when it comes to sex. Do you really think they’ll just give your boyfriend appreciative nods and attaboys?

Yeah. No. They’ll want the same treatment, or maybe they’ll just want you to smuggle in some pot—“just a little.” If you refuse, they’ll have enough documentation to bring a storm your way.

Maybe you think that smuggling a handful of marijuana to needy inmates is no big deal? OK… now you’re up to two felonies already, and you’ve got to keep the pot coming…

“Know what would really be fly? If we had some heroin up in dis bitch.”

This is a hole that digs itself. All you need to do is get a job in that prison, and you’re never going to get out without becoming an inmate yourself.

A miracle

Evening college class, met 2X a week. 1st class- homework- find a magazine article about a govt. action, write one page about it. Did not have any magazine subscriptions, so stopped by library, found story about Sen. McCarthy. Next night, teacher chewed me out for picking that article. What could I POSSIBLY know about Sen. McCarthy? I was too young. And kept on for 5 minutes in a vicious tone.

Stood up, said “Know what? You’re right. I am young- may not know a lot- but I know something you don’t.” “Really? What’s THAT?”

“First, I don’t need this class this semester. Second- you are adjunct (part time) faculty. For your class to continue, you need 10 students enrolled. I count 9 others here. I’m dropping your class. The other students will be assigned to other teachers. You are a jerk- and you are also unemployed. Have a good day.”

Walked out, stopped at office, dropped class. Took it next semester- different teacher.

Russia Destroyed US Army Officers Along With HIMARS MLRS In NIKANOROVKA

Absolutely

Chinas Defence Budget stands at 1.68 Trillion RMB for the year 2024

That’s $ 234 Billion

However you need to understand that $ 234 Billion in China is different from $ 234 Billion in USA

In the US , the average mark up from production to final sale to the Army or Pentagon is between 113% to 355%

That means a missile that costs $ 100,000 to produce sells for $ 213,000 to the Pentagon

In China, the average mark up from production to final sale to the PLA is a mere 26% -37% as everything is State Owned or a Joint Venture with State Ownership of around 35% – 45%

This means a missile that costs $ 100,000 to produce is sold for $ 126,000 to the PLA

Except that it costs $ 40,000 to produce a missile in China and so $ 50,400 to sell a single Missile to the PLA

So you can have FOUR MISSILES with the same range and the same launch capacity delivered to the PLA for ONE MISSILE delivered to the Pentagon

This means the $ 234 Billion in China has a far higher buying power of equipment in China than $ 234 Billion has for the Pentagon

So effectively Chinas Defence Budget is equivalent to at least 2.5 times and probably 3.5 times the Pentagon budget to procure it’s equipment

The PLA has estimated 492 Billion RMB for Weapons Procurement for 2024

That’s $ 70 Billion

However that’s the equivalent of $ 175 Billion to $ 245 Billion of the Pentagon

The Pentagon has estimated $ 290 Billion for weapon procurement in 2024

So you can see that China with its main scope being the South China Sea, Sea of Japan and Himalayas and Indian Ocean spends almost 84% of what US with its main scope being all over the world spends

So initially you see $ 70 Billion and $ 290 Billion and say “Oh. China is only spending a fourth of what US is spending”

Yet a closer look suggests China is spending almost $ 175–245 Billion versus $ 290 Billion that the US is spending

Dividing evenly between the battle zones – China has four – South China Sea, Himalayas, Indian Ocean and Sea of Japan

US has nine – Pacific, Middle East, South China Sea, Sea of Japan, Atlantic, Europe, South America, Horn of Africa and Oceania

So China spends $ 175–245 Billion for 4 Battle Zones while US spends $ 290 Billion for 9 Battle Zones (290/9 = $ 32 Billion each)

You do the math

It means China likely could outspend US 5:1 in the South China Sea


Same for Russia

Everyone looked at $ 81 Billion at laughed

Yet that $ 81 Billion includes $ 50.7 Billion of Equipment and Weapons Procurement which is the equivalent of $ 90 Billion for the Pentagon

Assuming only three Battle Zones – Europe, Black Sea and Arctic – that’s $ 30 Billion per Battle Zone which is very close to the $ 32 Billion that US spends on weapons and equipment for each zone

So US and Russia are actually neck to neck in defence expenditure on Weapons and Equipment as far as Europe is concerned


So Chinas budget of $ 234 Billion is closer to $ 650 Billion in Pentagon terms

That’s enormous

Tips for parents

This occurred years ago and I will never forget it. It was at a time period, when located in the Silicon Valley in California, you would have to be interviewed by 423 employees to discern if you qualified for a job. What was more comical is you would be interviewed by people that in NO way were connected with the department you were attempting to gain employment in. Imagine you are interviewing for a computer game company as an artist and you are interviewed by the warehouse shipping lead? No logic whatsoever.

I was attempting to get a job as a network administrator for a very large and well-known entity. I had passed four interviews and was lucky enough to move on to the next.

My next interviewer happens to be a woman that I am informed works as an admin and I have no idea why she is interviewing me.

She sits down and introduces herself and appears pleasant. The first question she asks is “If the #2 pencil is the most popular, why’s it still #2?”. I am taken aback. I reply “Because a number one pencil writes darker than a number two?” She just looks at me.

She asks, “Why does it REALLY hurt to hit your funny bone?” I answered, “Because there is no bone covering or protecting the nerves at that location, so you are really making direct contact with nerves.” I am thinking, what is this?

She then asks, “Why is the third hand on the watch called the second hand?”. I answered, “The hands could have been named anything they wanted.” I said, “If the third hand measures seconds, why can it not be the third on the watch if it was designed to do so?”

At this point I had had enough. I asked her what is with all the ridiculous questions that have absolutely nothing to do with what I am interviewing for?

The door opens at that moment and another woman comes in and asks the person interviewing me to leave. The person who had been asking me questions says to the other, “I like him, he is pretty sharp!” and she leaves the room.

I am now informed by the person who is sitting down that she is the interviewer I was supposed to have been speaking to. I asked whom the person was who was just asking me a series of strange questions. She said, “That was my secretary, Betty. I told her to come in here and see if you needed anything such as water since I was going to be a few minutes late.”

Why bother?

Well, what China wants to buy from the US is banned from export to China, such as chips and chipmaking equipment, on grounds of national security.

What China wants to sell to the US such as EVs and Huawei equipment is either banned or impeded by the Feds, on grounds of national security.

Chinese companies that make money stateside such as Tiktok, Shein and Temu are being targeted for outright bans or increasingly unfavorable legislation and requirements.

Put the enemy hat on China and the rulebook gets thrown out the window—the end justifies the means.

China will raise the tariff wall on American goods if the US does likewise, but the scope won’t be pushed to the extreme. China will simply develop options and stop buying American. For example, there is enough soybean around these days to skip American soy completely. In a few more years, it will be the same story for wheat, corn and other grains, and Chinese demand for American farm produce can experience a step change.

If there is no trust, there can be no longterm business relationship.

Smothered Cheesy Pork Chops

Cheesy Pork Chops
Cheesy Pork Chops

Ingredients

  • 4 or 5 boneless pork chops
  • Salt and pepper
  • 1/2 onion, thinly sliced
  • 1/2 cup mayonnaise
  • 1 cup Cheddar cheese, shredded

Instructions

  1. Heat oven to 350 degrees F.
  2. Place pork chops in a baking pan. Season with salt and pepper on both sides.
  3. Sprinkle the onion on top of the pork. Spread mayonnaise on each pork chop. Top with shredded cheese.
  4. Bake for 25 minutes, or until the cheese is melted and browned. Baking time may be longer, depending upon thickness of pork chops.

Is FM Wang Yi wasting his breath?

The US can’t hear reason. They are afflicted by an old problem: arrogance. No arrogant person was ever humbled except by humiliation.

China is moving ahead as planned… at full throttle!

COMBATE |🇵🇷 (@upholdreality) on X

China FM Wang Yi: "The US has been devising various tactics to suppress China and kept lengthening its unilateral sanctions list, reaching bewildering levels of unfathomable absurdity. If the US says one thing and does another, where is its credibility as a major country? If…

China FM Wang Yi: “The US has been devising various tactics to suppress China and kept lengthening its unilateral sanctions list, reaching bewildering levels of unfathomable absurdity.

If the US says one thing and does another, where is its credibility as a major country?

If it gets jittery whenever it hears the word China, where is its confidence as a major country?

If it only wants itself to prosper but denies other countries legitimate development, where is international fairness?

If it persistently monopolizes the high end of the value chain and keeps China at the low end, where is fairness in competition?

The challenge for the US comes from itself, not from China. If the US is obsessed with suppressing China, it will eventually harm itself.

We urge the US to be clear eyed about the trend of the times…”

Like a Hollywood Nightmare

As a Prison Medic I had quite a bit of contact with the older Inmates, many doing “Life Without”….

Most of them had cut most ties with “The World” and had developed a life inside the wire, some of them, remarkably productive.

Three of these men come to mind….

One was a leatherworker, who made and repaired saddles for the mounted patrols, taught “horse tack” and leatherwork classes to the other inmates and had an “outreach” program building adaptive saddles and tack for Equestrian Therapy programs around the state at no cost.

Another had been a lawyer on the outside and spent much time with with the other inmates advising them on their legal matters of Family law, property law, tax law…outside their “Cases”… and did it for free… he had his own funds for “Commissary”

And finally there was one of our Orderlies for the Medical Department. He acted as a formal Mentor to new inmates as they adjusted to prison life and was frequently asked to mediate disputes between inmates…

All of those men were killers…. none of those men were ever supposed to take a breath of “Free” air again, and all of them had made a life on the inside…

Unknown force killed these men

I use it every day.

Why? It’s a fast loading program, that’s easy to use. It reliably strips all formatting from a block of text. I don’t have to tell it each time that I want it to ignore hyperlinks, or HTML tags, and just treat them like the raw ASCII they truly are.

Readme.txt files are still found here and there. Notepad is the perfect program to view them.

When we purchased massive demographic data files from various vendors, they always came to us in some text format, CSV, pipe or tab delimited, fixed width… to write the scripts to import these encyclopedias, I would first need to inspect the header files with a program that wouldn’t alter or format the data in any way. Thank you Notepad.

Notepad is an electronic hand axe. It will continue to be useful for a very long time. There are more powerful text editors, some with very handy features for programmers, but Notepad is always there, on every machine, waiting for an opportunity to show how useful it can be.

Life in the USA today

Does nobody remember this? It was a film series Police Academy in the 80s and into the 1990s… I think.

Anybody who watched it should recognise this theme.

Anyway Debbie Callahan is very upfront.

She literally says TALK IS CHEAP.

she says
she says

And has been since the beginning of time.

Actions have always spoken louder than words:

So currently the USA is:

  • Peddling bullshit propaganda about Xinjiang against us.
  • Targeting ethnic (even US born Chinese) scientists and university graduates.
  • Targeting Chinese companies because they can’t compete.
  • Actively encouraging hate and racism against Chinese people.
  • Accusing us of everything under the sun even if it’s got nothing to do with us.
  • Imposing sanctions on us and our people.
  • Currently engaging in a massive military build up nearby (Phllipines.Japan)
  • Funds terrorism in my home (2019 riots)
  • Funds TW separatism.
  • Arms terrorist groups (CIA tibetan program)
  • Has parked two SSBN nuclear missile submarines in Korea 900km from our capital

map
map

400km if you consider Tianjin 500km if you consider Dailan.

All of those things are actions of a hostile state and most of them are acts of war.

What have we done to you? Ah yes we exist and for many westerners that’s just unacceptable.

Your son is a genius

This happened to me.

About 28 years ago I went to deposit my paycheck. It was about $500. I had $80 or so in the bank, I was sure, but just to validate, I asked for an account balance.

The teller smiled at me. “Sure,” she said. “After the wire transfer you received yesterday, and your deposit today, your balance is now $1,100,584.”

“Ummmm, what did you say?” The teller repeated the amount.

“Yesterday you received a wire transfer for $1.1 million dollars. Lucky you.” She smiled again.

I took a deep breath. “Look,” I said, “That can’t be my money. Can you please double check?” She nodded and walked over to the branch manager’s office. She returned about ten minutes later.

“Oh there was no error. The amount was wired from X bank to your account, and all the info is correct on the transfer form.”

“So let me ask you something. If I asked you to withdraw $500,000 in cash right now, you would actually give it to me?”

“Well no…” I nodded, knowing that something wasn’t kosher. “…it would take about 3 hours. We don’t keep that much cash on hand. What denominations would you like?”

I stood there like an idiot. “I would like to take out $100 please.”

I went home slightly dazed. The next morning, after a night spent wondering what I would do with the money, I received a call from the bank. It was the branch manager.

“Yes, Mr. Kaufmann, sorry to bother you. I need to inform you that there was an error in a bank wire transfer to your account. You had 1.1 million dollars deposited into your account. I hope you don’t mind, but we will return the funds to the sending bank.”

“No problem,” I said, “I knew it was a mistake.”

The money was removed that day.

That evening, I could not help but ask myself what if I had said, “no.”

Probably exactly the same thing. But it’s fun to think about.

The USA is in full collapse

“It won’t be.”

Such simple words, but they broke my heart. I am tearing up right now as I see them 5 years later.

My youngest son Colin has always been the most happy go lucky person I know. Nothing seems to get him down. He has always been small for his age and yet, he is beyond bullies. A quick little story about that, one of many.

When Colin was about 6 years old we went to the park. There was a bigger kid, probably 8 or 9, standing by the jungle gym. I watched Colin head towards the gym and the bigger kid stepped in his way. Every other kid on the playground had already been redirected and were playing elsewhere. Colin stood and looked up at the boy and I saw the boy pointing for him to go somewhere else. Colin just stood there and stared. The boy turned moved back towards the gym a bit and Colin started moving towards the gym. The bully again stood in his way. This went on for 5 minutes before I see the boy give Colin a ‘guard duty’ job at the base of the gym.

When Colin was born he had a heart murmur, which cleared up.

When Colin was 3 his tonsils were swollen so large his throat was reduced to the size of a quarter. He had a tonsillectomy.

When Colin was 7 he developed Type 1 Diabetes. He never cried. We cried, privately. His doctors kept telling him it was ok to cry and he never saw the need. When his cousin asked him if he liked insulin shots because he never complained about them he said, very matter of factly, “no, I have to live.” And so began the quarterly trips to the endocrinologist.

When Colin was 8 he had a seizure. We wound up taking him for EEGs and found out he has a form of Epilepsy. He didn’t cry, but he looked perturbed. He started on medication and it was effective. He was told he could outgrow it when he hit puberty. Every 6 months we went to the neurologist and had another EEG, he only had one other seizure and that was my fault because I forgot his medication. So his lack of seizures was giving the doctors hope that he was outgrowing it, but every time we went it was the same news: still abnormal.

A few years pass and we have been to so many doctors for so many things. There is a scheduled EEG on this day and we are about to head out the door. My wife and I are excited to go because he hasn’t had a seizure in 2 years and he is starting puberty so maybe this will be the EEG that shows he has outgrown the Epilepsy.

My wife smiles at him and says to this child, who has never once in his life been to a doctor and gotten positive news, who has never once cried or been remotely negative about it all, she says to him excitedly, “The doctor says if this EEG is better you can get off the meds,” and Colin quietly replies, “It won’t be.”

I had to hide my face.

To this day, that is the only negative comment he has ever uttered about his health problems. And it kills me today just to think about it.

Just to note, that EEG was not normal, but 2 years later he was removed from meds despite abnormal EEGs. He has not had a seizure in 5 years now and at almost 15 he has probably outgrown it. He is still small (But growing) for his age as a freshman in High School, and by no means a macho guy. In fact, he believes he is gay and that was no surprise to me, but he is the strongest person I have ever known, and my personal hero, because for all the petty nonsense I get upset about on a regular basis nothing compares to what he has been through, and yet all he does is smile and move on. We could all learn a little something from people like him.

Be the Rufus

June of 2014 I was pulled over for having a headlight out. That much is true.

I left that early morning from the State Highway Patrol station with a ticket for DUI. I was sober. The officer initially told me he thought I crossed the yellow line when he was following me. I did do that, as I was mistaken about the location of the driveway I was trying to get into. So, okay. Then he told me I smelled of alcohol. No, I didn’t. I had had two beers that calendar day, and the last was over 4 hours prior to this. Since then I had been sitting around a campfire. I reeked of smoke. Wood smoke. When I passed the breath test, I was told that they expected that, what with me smelling of weed. No. No I didn’t. But that’s going to be impossible to prove in a courtroom in a few months, right?

The police report they typed up mentioned that I had confessed in the back of the car to being on numerous illicit substances. That. Never. Happened. And I was NOT on any illicit substances.

Fortunately, the prosecutor tossed the whole case when there was no evidence of anything on my tox screen, no evidence of anything in my breath test, hair, urine, blood. NOTHING. Turns out these two assholes had been sending up some dubious cases for a while, but this one was the most egregious.

Ohio Patrol Troopers Northup and Norris, where ever you are, I hope you get a flat tire, your wife cheats on you with your partner, your dog dies, and you develop unfixable halitosis. You are the worst kind of human. You lied, repeatedly, and for what?

Because…

Racism is the very thing prisons are built out of. It’s the bricks, pipes, and bars of prison. Racism will surround and envelop you at all times while you are behind bars.

That said, you’re not supposed to acknowledge it. You had better not make any comments that are openly racist unless you’re ready to fight. So, while racism is the very air you breathe, you’d better not gulp it in and speak with it.

Inmates divide themselves up along racial lines. This really shouldn’t come as a surprise — we divide ourselves up by race everywhere in society. In prison it’s just more… rigid.

The most obvious example will be the chow hall. Where I spent most of my time, there was a white side, and a black side. The white side had six or seven tables set aside for Hispanics and “other.” The black side had only two tables that had been claimed by social misfits that nobody wanted to have at their table.

If a white guy sat in the black area heads would turn. The same was true for the reverse situation. If anything, the blacks seemed more disapproving of a black guy sitting with the whites. The general assumption was that if someone was sitting outside of their race then there was a (sexual) relationship. The person out of “place” was someone’s “bitch.”

The units were also divided by race. We had several TV rooms. One was for whites (read “rednecks”). One was for blacks and one was for anyone who spoke Spanish. A final room was supposed to be for sports, but wound up being a second room for the blacks.

Even the cells were arranged by race. The cells furthest from the doors were all occupied by black guys. This was their choice — being largest in number, they got to choose. The advantage of being farthest from the door is that you have the most warning before the guards get to you.

Is there racism in prison? I doubt this is even a serious question. Prison *is* racism.

Pre-Historic Mega Structure Discovered In New Zealand: Kaimanawa Wall

Back in the mid to late 90s I lived in a quite, older neighborhood in Euless Texas. I have a green thumb and made my yard one of the nicest ones on the street. There were some rambunctious boys that lived a few houses down. They started riding their bikes in my yard tearing things up. Next thing I know their friends are doing it too. I knew their parents and knew they were decent people. One day I came around the corner and the oldest son, about 14 or so and their ringleader, was right there in my driveway. I could tell he was about to head into my yard. I called out to him in a friendly tone “Hey! You wanna earn some extra cash?”

That got his attention. He said “Sure” as he got off his bike to speak with me. I told him I was having to work extra hours at work (true) and needed help keeping my yard up. I told him if her would mow the front yard weekly, spread fertilizer and pull any weeds he sees I’d give him 40 bucks every week. He was excited and agreed. Shortly my yard was back to being one of the nicest ones on the street. He was now in charge and took great pride in his work and the yard. He would fuss at his friends and brothers and run them off if they came around with their bikes. He also took great care of my lawn mower and any other tools he used and put them back in my shed when finished. I hated to see him go off to college a few years later!

“As the famous Turkish proverb says, when a clown goes to live in a palace, he does not become a king. But the palace becomes a circus.

One could, of course, perceive everything that is happening in Ukraine as a circus if the consequences were not so tragic and catastrophic for this state.

But circus acts are still very popular there.

We all know about air sirens sounding in Kyiv and other cities during visits of high-ranking foreign delegations in the absence of any shelling.

This has already become a kind of part of the circus program for the stay of foreign leaders in Ukraine.

What is noteworthy is that in Odessa, whose military facilities were actually attacked during the visit of a high-ranking Greek delegation to this city, the siren did not sound: such an act was not included in the circus program.

I would like to urge all those who have been whipping up passions today and will continue to whip up passions because of this episode to ask themselves a simple question.

Do you really think that if we really wanted to hit Zelensky’s motorcade, we wouldn’t be able to do it?

And try to answer it, just honestly.

Especially considering the fact that you know very well that this strike destroyed a workshop for the production of naval drones, or rather, their assembly from components supplied by the UK.

For us, this goal is much more important than Zelensky rushing around the frontline zone, taking selfies in cities before they are liberated by the Russian army.

And if any of you in your soul hopes to get rid of the leader of the Kyiv regime in this way, then I can disappoint you: this is not part of our plans.

The reincarnation of Mr. Goloborodko from the series ‘Servant of the People’ was elected to the presidency by Ukrainians, believing his election promises to establish peace in Donbass and protect the Russian language and Russian-speaking citizens of Ukraine.

He deceived his voters, so now let the Ukrainians and his Western puppet masters deal with him. We have more important tasks – fulfilling the goals of our special military operation.

And since you don’t want to talk about how to implement them through peaceful means, we are forced to use military means for this.

With all the ensuing consequences for Ukraine and the Western sponsors of the Kyiv regime, which have already begun to emerge very clearly.”

main qimg 455afdf7c754106812ff514352133060
main qimg 455afdf7c754106812ff514352133060

Excerpt from the speech by Dmitry Polyanskiy, First Deputy Permanent Representative of Russia to the UN, at the UN Security Council meeting on Ukraine, New York City, March 8, 2024.

Father’s revenge

Why didn’t China acquire the Mig29 and Mig31? Because China wanted the Su-27.

main qimg f026a28ae10bede1f9ccd9296c718360 lq
main qimg f026a28ae10bede1f9ccd9296c718360 lq

And we got it. China realised that the heavy fighter design had far more potential than the much smaller 29.

jets
jets

Su 27 and Mig29 in between. The size difference is huge.

Russia in dire financial straits in the 1990s reluctantly agreed to sell them to us.

There’s a far more entertaining story which nobody knows is true or not.

Russian negotiators landed in Beijing to negotiate sale of Mig29s to China and said nyet Over and over again when Chinese asked for the SU27. Chinese negotiators pressed and pressed Russians over and over again but kept getting told no and hit an impasse. Until it was settled by a night on the town over booze.

Allegedly Chinese negotiators out drunk the Russians and got them to agree to our demands.

Flag
Flag

Those northern Chinese, they can REALLY drink. I mean REALLY drink. I nearly died when I dated a girl from Northern China, to her it was like water…Chinese Baiju starts at 56% Russian Samogan starts at 40%.

WOKE is completely insane

In college, I was invited to a private concert being filmed in a TV studio in Chicago. My good friend was the executive assistant to the president of the station so I had met the president several times before and he told me to bring a few friends along for this event and to find him when we got there.

The evening of the concert, there was a huge line of people waiting to get into the studio. These were folks not previously invited but vying for a few remaining spots to fill empty seats.

Having been invited personally by the studio president, we walked around the crowd and into the building to find him. Near the front of the line, we saw a guy dressed in studio gear, all black, headphones, clipboard, the whole deal holding back the crowd. I waved and explained “hello I’m Chet and was told to find John McDonald (not his real name) to be part of tonight’s concert event. I was nothing but polite and courteous.

This guy’s response? “I’m sorry…do you think you are special or something? See all these people? They waited in line and you can too. Go back to the end and wait just like everyone else.”

I was floored as was my roommate and our dates looked dumbfounded. I sort of chuckled but figured ok, something must have gotten lost in translation.

Not two seconds later, the studio prez John McDonald comes around the corner, sees us all there and exclaims “Chet! You made it!” and we all start shaking hands and making introductions to the ladies we brought along.

He then turned to the studio guy and said “take these four into the show and put them in the front row.”

As we followed the rude dude into the studio, I couldn’t resist saying “I guess we ARE special!”

Smirk obliterated.

You won’t believe this…

My son went on line to see if he could get hired for a programming job somewhere. He had no real experience working for anyone else in programming. He had worked for a small town IT guy, and did a lot of coding for an online game he played. His first job offer was as a contractor for a 6 month gig at a company in Sacramento, CA. He loaded up his car, abandoned his apartment in Springfield IL, and headed out.

When he went to work the first day, they showed him around a bit, then gave him his first assignment. He worked hard on it for the first two days, and handed in the finished project on the third day. The boss looked at him in an odd manner. He had someone run the program to make sure it actually did what it was supposed to do. He came back and told Jason that it was a job well done. Jason asked for his next assignment. The boss, a bit bemused, said, well, I’ll see if I can find something for you, but that assignment was your 6 month gig. They did keep him on for the 6 months, and he did several other projects for them. He didn’t get fired, but the other programmers weren’t at all happy with him, so he left at the end of the contract.

He has had several coding jobs since, and moved up into management, but finally decided that he really liked coding better than he liked managing coders, so his current job is back to coding, but at a pretty high level, with commensurate pay.

Tucker Carlson 3/9/24 | Breaking News March 9, 2024

https://youtu.be/8heGAYH21M4

China to give chipmakers $27 billion to counter U.S. sanctions — Big Fund III will have further funding rounds

By Anton Shilov

published about 24 hours ago

China to give chipmakers $27 billion to counter U.S. sanctions — Big Fund III will have further funding rounds
Big Fund III begins.

China is assembling the third phase of its Big Fund

to invest in crucial semiconductor projects across the country, a move that aims to accelerate the development of advanced technologies, make China self-reliant in the microelectronics industry, and counteract the United States’ efforts to limit China’s technological advancement.

The third phase of the National Integrated Circuit Industry Investment Fund, or the Big Fund, will pursue the same goal as the first two phases: make China self-sufficient in the semiconductor sector. According to a Bloomberg report, the Big Fund’s third-phase vehicle will primarily draw its capital from local governments, state-owned enterprises, and their investment branches, with the central government contributing a smaller portion. This strategy aligns with President Xi Jinping’s vision of pooling resources nationwide for significant projects, emphasizing self-reliance in the semiconductor sector.

The first round of Big Fund III funding is designed to raise $27 billion, a relatively modest sum by the Chinese standards for its semiconductor industry. Cities like Shanghai and entities like the China Chengtong Holdings Group and the State Development and Investment Corp. are expected to invest billions of yuan each in the third-phase fund. Meanwhile, the report says the fund will directly support local companies and finance three to four sub-funds to diversify deal sourcing and investment strategies.

The fund’s expansion comes as the United States urges its allies to tighten restrictions on China’s access to tools required to make chips on advanced product nodes, part of an ongoing chip war for control of the semiconductor manufacturing industry. Back in September, Big Fund II initiated a round to raise $41 billion to support domestic makers of wafer fab equipment. However, for Big Fund III, $27 billion will be spent on essential projects across China.

Since its inception in 2014, the Big Fund (2014 – 2018, ~$100B) and the Big Fund II (2019 – 2023 , ~$41B) have raised hundreds of billions of dollars and acquired stakes in dozens of microelectronics companies. Meanwhile, Bloomberg claims that Big Fund’s assets under management are currently valued at around $45 billion, which could be a direct result of the U.S. sanctions against China’s semiconductor sector, which significantly hit companies like SMIC (China’s foundry champion) and Yangtze Memory Technologies Co. (YMTC , China’s top 3D NAND maker).

Despite its successes, the Big Fund has faced criticism for its lack of transparency and accountability, operating primarily behind the scenes. Nonetheless, it is indisputable that the hundreds of billions of dollars poured into China’s semiconductor industry made the country one of the most prominent players in this field.

The United States today

About 15 years ago, I was working as a server at a restaurant, and as head server/trainer and an expeditor, I knew the menu inside and out, including pretty much all the ingredients.

We took allergies VERY seriously at our restaurant, and so when a guest asked,”Is there dairy in the crab cake? Because I’m allergic to dairy,” I was REQUIRED to ask the chef, verbatim, even though I KNEW the answer was no, because the chef is the highest authority on the food. So I go back to the kitchen, and I ask the chef, “Is there dairy in the crab cake? I have a guest who is allergic.”

He responds, “Yeah, there’s dairy in the crab cake.”

And I respond, “Uh, you’re wrong–I’ve prepped crab cakes myself. There’s no dairy in the crab cakes.”

Him: “Prove it.”

I go to the prep kitchen and pull the master recipe book from the shelf, bring it back to him, and read off the list of ingredients. “There’s no dairy on this list.”

Him: “There’s mayonnaise in the crab cake.”

Me: “That’s not dairy. Mayonnaise is eggs and oil, and a stabilizing agent.”

Him: “And where do you find eggs in the grocery store?”

Me: “In the dairy section.”

Him: “So eggs are dairy.”

Me: “No, they’re not. Eggs come from chickens. Dairy products are milk products, which have to come from a cow, or from the udder of another mammal. Chicken are birds, not mammals. Birds don’t have udders.”

Him: “Eggs come from the dairy section. They are therefore dairy.”

Me: *Facepalm* “Fine. I will tell the customer that the crab cakes have mayonnaise.”

(Back at the table.)

Me: “Ma’am, the chef told me to tell you that the crab cakes have mayonnaise.”

Guest: “But mayonnaise isn’t dairy, it’s made from eggs.”

Me: “Yes, ma’am, you’re quite right, but the chef and I had a philosophical disagreement on that point, and he insists mayonnaise is dairy. So you may want to stay away from the crab cakes, considering the chef doesn’t actually know what’s in them.”

When plastic surgery goes wrong

Browned Butter Spaghetti with Mizithra

I used to love to go to the Spaghetti Factory for this. It’s so delicious! Mizithra is a great Greek cheese.

spaghetti browned butter
spaghetti browned butter

Yield: 4 servings

Ingredients

  • 1 cup butter
  • Cooked spaghetti, drained
  • 1 cup Mizithra cheese, grated
  • Parsley, chopped (optional)

Instructions

  1. Cut butter into tablespoon size pieces and place in a 2 quart sauce pan. Place the pan of butter on a burner on medium heat. Bring butter to a slow boil (about 5 minutes).
  2. When the butter begins to boil, stir constantly to prevent residue from sticking to the bottom of the pan. As the butter cooks, it will start to foam and rise. Continue stirring, otherwise the butter foam could overflow (about 5 minutes) and catch fire.
  3. When the butter stops foaming and rising, cook until amber in color (about 1 to 2 minutes). It will have a pleasant caramel aroma.
  4. Turn off the heat and remove pan from burner. Let the sediment settle to the bottom of the pan for a few minutes.
  5. Pour the brown butter through a strainer into a small bowl. Do not disturb the residue at the bottom of the pan.
  6. The brown butter can be stored in the refrigerator and reheated in a microwave as needed.
  7. Boil the pasta of choice until al dente.
  8. Drain pasta and divide into four servings.
  9. Sprinkle 1/4 cup Mizithra cheese over each pasta serving.
  10. Top with 1/4 cup hot brown butter.

The reason why

Musical Chairs for Banks; The Music STOPS tomorrow

Monday, March 11, 2024, Banks may get a deadly dose of reality; the Federal Reserve will cease the Bank Term Funding Program (BTFP) which will stop making new loans.

During a period of stress last spring, the Bank Term Funding Program helped assure the stability of the banking system and provide support for the economy. After March 11, banks and other depository institutions will continue to have ready access to the discount window to meet liquidity needs.

As the program ends, the interest rate applicable to new BTFP loans has been adjusted such that the rate on new loans extended from now through program expiration will be no lower than the interest rate on reserve balances in effect on the day the loan is made. This rate adjustment ensures that the BTFP continues to support the goals of the program in the current interest rate environment. This change is effective immediately. All other terms of the program are unchanged.

The BTFP was established under Section 13(3) of the Federal Reserve Act, with approval of the Treasury Secretary.

When the BTFP stops, banks will not longer be able to borrow from the Fed based upon value-at-maturity of US Treasuries and other assets they hold.   So if the banks cannot borrow from the fed to meet their cash needs, how will they get the cash?

Put simply, the game of musical chairs for banks will see the music stop tomorrow.  Which Bank(s) will find themselves without a chair, and thus lose?

My mother married my stepfather when I was a teenager. We had a somewhat difficult relationship although it was readily apparent that he adored my mother and treated her very well. I tried to get along with him as best I could because I knew that I would eventually be moving out and my mother would need a partner. After a decade or so into their marriage his health declined. He had developed leukemia-induced anemia that was complicated by Crohn’s disease. After several years of painful existence and numerous hospital stays and blood transfusions he found himself in the ICU. His red blood cell count was critically low and he needed another transfusion or he would die within a few days. He decided he had had enough. He refused treatment so that he could pass away and be relieved of his pain. He went in and out of consciousness over those last two days. A priest had come to read him his last rites. His oxygen mask was at full capacity.

At one point I stood alone beside his bed and he mustered up enough strength to speak. He told me “take care of your body and read a lot of books on different subjects”. I acknowledged him. He added, “and take care of your mother”. He then slipped back into unconsciousness and the nurse asked that I leave the room and give him a break. I never heard him speak again. Those last words only reaffirmed to me what a great husband my mother had found, for in his last moments he was still concerned about her welfare.

That night my mother and I were in the waiting room at two in the morning when the nurse came to tell us that it was his time. We went into his ICU room, stood by his bedside, and watched on the monitor as his heart rate steadily dropped off to zero and his chest eased down to a stop. My mother looked down at him and said “what an amazing man, thank you for 17 wonderful years of marriage”. RIP Stan

https://www.youtube.com/shorts/43vF4ZQFwZA?feature=share

This actually happened to me. I’m an American who went to school for bit at Richmond College in England. At one point, several classmates and I went on an educational trip to Paris with chaperones and teachers. (Most of us were in our junior year of high school, and still technically children.) We spoke very little French, yet for the most part we found the people in Paris to be charming, and very kind to us. Most people, but not all.

One day, a friend and I were walking back to the dorms we were staying in. We were without a chaperone. We were hungry, so we stopped in a very small cafe in what seemed to be a quiet and lovely neighborhood. It was obvious when we first walked in that everyone seemed to know everyone, and they did not know us. There were no other places to eat anywhere near our location, and we were starving, so we decided to stay.

We were refused a table. When we brought out our money so that they would understand that we were serious customers, the owner reluctantly let us sit at the counter, but not at a table. She also refused to show us a menu, and simply brought us soup with very unusual animal parts in it. These were body parts that I had no idea a person could actually consume, and most of the parts appeared to be raw. We silently looked at each other confused. The owner of the cafe, and every customer, glared at us.

Finally, and shockingly, my friend started eating the broth. I tried and tried to remain pleasant and polite so that I would not be another bad example of an American tourist, yet finally I could no longer handle the situation. I burst out with laughter. Soon we were both laughing hysterically. We were then yelled at, and thrown out after paying a huge price for whatever that was we were served.

Sadly, my brave friend who ate the broth had to miss two days of sightseeing and school due to an unfortunate case of gastroenteritis.

So, to answer your question directly, if you are not wanted in a restaurant, run!

Twenty years ago I moved across the country. When I got to my new state, I dragged my heels at getting new license plates. I am embarrassed to say how far I exceeded the grace period. A cop I worked with at school reminded me gently that our particular state had pretty stiff penalties for expired tags and I should take care of it before I got pulled over. I wish I had heeded her warning.

I never got a notice in the mail, but sure enough, I did get pulled over. The cop was polite and told me why I had been stopped, then returned to his squad car to run my info.

He came back. “Are you aware you license has been suspended?

“WHAT??!!” I was not.

He was puzzled. “Do you owe child support or something?”

“No.” I was upset at this point, not with him but the situation. I have never been in trouble with the law.

He was obviously perplexed. “They don’t normally suspend a license for expired tags. Huh.” He wrote out a warning.

When it was time to leave, I said “Sir…with an expired license, how will I get home?”

He shrugged. “If I drive off first, how will I know if you’re driving?”

He was very kind. However, the legal system was not. I had to jump through a lot of expensive hoops to get things cleared up. All of it could have easily been avoided. Renew your tags, everyone.

A pizza delivery driver in his mid 20s (me….20 years ago) knocks on the door of an apartment, a few minutes go by and the door opens. As it swings open a cloud of VERY aromatic smoke rolls out and the man of the house says in a Bob Bitchin’ (PhD, MA, BA and a BMF besides) voice,

“Yeah, what is it?”

“I have your pizzas.”

“How much are they?”

“$20.87”

He hands me $30 asks for a $5 back, takes his change, and shuts the door. Nonplussed, I knock again. A couple of minutes goes by and the same man answered the door.

“Yeah, what is it?”

“I still have your pizzas.”

“How much are they?”

Now here I paused, and considered, until finally…

“$20.87”

He reaches in his pocket, gets his wallet out, looks inside and says,

“Give me a minute.”

Another 5 or 6 minutes go by and I see him talking with the 4 other people sitting around the TV. A collection occurs. He finally returns to the door and hands me $20.87 in the form of a single $5, eight $1 s, and the other $7.87 in mixed change. He then apologizes saying,

“Sorry about all the change, and no tip, I swear I had $30 around here but I can’t find it.”

To this day, I cannot help but smack my forehead when I think about it.

Edit- Thanks to all. I hope it gave you a bit of joy.

Using the pointed end

1995 — Applied to Netscape for a job and got rejected

1996 — Was forced out of his CEO position from his own company Zip2

1998 — Struggled to make PayPal succeed

1999 — Almost died when he crashed his $1M Mclaren F1

2000 — Was kicked out of Paypal while on his honeymoon

2000 — Got sick with malaria

2001 — Russia refused to sell him a rocket

2006 — First SpaceX rocket launched failed

2007 — Second SpaceX rocket failed

2008 — Third SpaceX rocket failed with NASA satellites onboard!

2009 — Tesla almost went bankrupt.

2013 — First rocket landing failed

2014 — Several Tesla Model S caught on fire

2015 — Four rocket landings failed

2016 — Model X deliveries delayed over a year

2016 — 300M Facebook satellite rocket launch exploded

2018 — Broke the internet when he took a puff of cannabis on a live show.

2018 — The SEC filed a lawsuit against Musk

2019 — Model 3 deliveries were delayed

2020 — TESLA stock became the most shorted stock in history


To answer your question, what do I like about Elon Musk?

I admire this man’s courage and relentless character.

main qimg 6526f88b8157765c7c73367a834ec31e lq
main qimg 6526f88b8157765c7c73367a834ec31e lq

While most of his critics would shrink before small obstacles in life, we can’t deny that this man has proven to be an unstoppable genius of our era!

I went out for dinner with a young female I had met in my class.

We had turned up to a pizza restaurant, we ordered and sat down.

Within the first five minutes my ex-girlfriend walks in.

She sits down beside me and looks at the girl opposite me and looked her square in the eyes and said “You can date him but know I love him”.

The girl got up didn’t say a word to either of us and left.

I sat there dumbfounded and looked at my ex-girlfriend and she said “I was going to get some take-away but now we can share.”

I sat there in silence and watched as my ex-girlfriend ate the pizza that was ordered for someone else.

After ten minutes of silence had passed.

She said “I think we should give it another shot?”

I said “You cheated on me?!”

She said “How did you know about that?”

I got up and left and still count that as one of my strangest experiences of my young adult life.

Very BIG news and tells you everything about the TRUE face of Geo-politics today.

A tale of stale popcorn

When I was a senior in High School, I had a group of friends that I used to hang around with.

Oh, sure, most of the time we just stood around, smoked joints, drank beer and did acid. But it was a different time and a different place. We would alternate our locations, from the “standard” keggers, to road drives though the Western Pennsylvania woods to just hanging out near the river at a tipple.

Anyways, one of the guys was this older fella named Calvin. I liked him, but he was a hard drinker and partier.

I still get a chuckle out of this, but we are all stoned in my GTO. Calvin was in the back seat. A friend had lit a “Thai stick” which of course, was a very powerful form of marijuana, and when you smoked it, it felt like a baseball bat smashed your face. And we were parked outside this historical landmark; an old church and cemetery. We were listening to Led Zeppelin, and just sitting there completely zoned out. Our brains were pickled.

Calvin was chewing on something. He would reach into the ashtray at the side of the door wall and pull out some old cigarette butts and put in in his mouth and chew on it.

Then he said, after a while… “Man, this popcorn is the really stale”.

OMG! What a great belly laugh we all had.

Good times. Good Times.

Today…

The PRC has sent barges into the channels between Quemoy and Xiamen to deepen the water channels between the ROC-held island of Quemoy and the PRC city of Xiamen. The ROC government in Taipei has said that this is a violation of the lines which the ROC had drawn between the two governments, and which had largely been respected by both sides.

Following the Feb. 14 event where an ROC coast guard ship chased a PRC fishing boat, leading to its capsize and the death of two PRC fishermen, the two sides have been involved in several rounds of negotiations. The PRC side has demanded a public apology and compensation to the families of the fishermen, but the ROC authorities have refused. So the PRC side has decided to deepen the shipping channels in the area, and violating the lines drawn up by the ROC.

Now the ROC authorities are threatening to take action against the PRC ships working in the area. They have not specified what actions they will take aside from pushing the PRC ships out of the area. This sets the stage for a confrontation.

The problem with the ROC position is that the authorities now publicly refer to the ROC as “Taiwan”, because the ruling DPP is for Taiwan independence. By taking a stance on offshore islands so close to Xiamen and PRC-held territory, they are choosing to enforce territory which is far away from Taiwan, and is not considered to be a part of “Taiwan”.

Why are they doing this? Do they expect the Biden administration to support them? It does not make a lot of sense.

If they don’t enforce it though, it is likely that the PRC air force and navy are unlikely to recognize air and sea claims made by the ROC anymore.

Salami-slicing in action.

Chuck Wagon Peach Cobbler

Chuck Wagon Peach Cobbler
Chuck Wagon Peach Cobbler

Ingredients

Cobbler Crust

  • 5 cups all-purpose flour
  • 1 teaspoon baking powder
  • 1 cup shortening
  • 1 cup cold water

Cobbler

  • 1 Cobbler Crust
  • 1 cup granulated sugar
  • 6 cups peaches, drained and juice reserved
  • 1 cup butter, melted
  • 1 cup brown sugar
  • 1 teaspoon cinnamon
  • 1/2 cup Half-and-Half
  • 1 cup juice from drained peaches
  • 1/2 cup Black Jack Daniels

Instructions

Cobbler Crust

  1. Mix dry ingredients and add shortening. Cut in with a fork. Mixture should look like coarse meal.
  2. Add cold water gradually to make a ball.
  3. Divide into 2 balls, top and bottom. Roll out one and line a 14 inch pan or 14 inch Dutch oven.
  4. Roll out remainder and cut into 1 inch slices for latticework on top.

Cobbler

  1. Melt butter in saucepan. Add peaches, brown sugar, cinnamon, sugar and Half-and-Half. Mix well.
  2. Line pan or Dutch oven with crust.
  3. Pour in fruit mixture.
  4. Cover top with strips of crust in latticework pattern.
  5. Moisten strips with water before baking and sprinkle sugar on latticework for crispy finish.
  6. Bake for 45 to 50 minutes.

Every Man Needs To See This

When I bought my first car, a 1966 Mustang, my father insisted that I take it to his mechanic to go over it to make sure it was all working properly before I could drive it. It would stall out after 10 minutes of driving, so there was a problem.

The following was on the bill….
Clean top of carburator
Check oil filter for loosenes
Check window operation
Check exhaust system
Check steering, suggest replacement of steering box.

This was 1972 and the bill was over $300.00!!

The actual problem was a pinched fuel line. Rubber hose and two clamps. $1.50.
I replaced the oil filter and changed the oil. $7.50 total.
I removed the interior panels of the door, and greased the tracks. Already had grease $0.00
I replaced a broken hanger for the exhaust. $3.50
I pulled out the steering box and found it only needed grease and adjustment. Time and labor, already had grease $0.00.

The dude that worked on my car was a hack. I pointed out all this to my father and he only said “I guess your a better mechanic than the place we took it to. I never went back there.

Idiots

It’s difficult for my legal bod cousins. As I’ve mentioned before in another post during CNY celebrations a lot of UK born cousins were back in HK. A lot of them were looking for a route to come live in HK.

One of them was my cousin from one of my dad’s sisters. She’s worked in a UK legal firm for ages and wanted a way to come back here. Her just like many UK born ones have HKID cards so do not need visa sponsorship.

She had work experience.

She can work for less because accommodation is provided, the Clan provides several fully fitted out portacabins right at the back of the village, Portacabins are used because the common houses are being torn down and rebuilt. Anyway these portacabins all you have to do is pay for the electricity used.

She’s also pretty damned good in Chinese, HSK6… but here’s the thing HSK6 is still considered by most China born Chinese to be illiterate!

So? You have none of the above advantages.

You have to answer the question to HK immigration – why should I let you work in HK? What skills do you have that are in demand or somebody in HK can’t do. AND it has to pay over $28,000 a month.

You’re competing against all the returnees.

Jimmy Tells American General “The U.S. Is The World’s Biggest Terrorist!”

A group of my friends was out drinking at a local bar where the music was loud, the floor was sticky, and the atmosphere was biker/tattoo/STD/obnoxious.

I was talking with someone who was an acquaintance-they were a friend of one of my friends. We knew each other, but only through these mutual friends.

Unfortunately, alcohol makes some people belligerent and, in the case of this one particular individual, paranoid.

I must have said something that didn’t sit well with him because the belligerent paranoid (BPA) accused me of disparaging him (I had not). He turned to my husband and told him he knew for a fact that our daughter was not his biological daughter (She is 100% his biological daughter). He started uttering non-sensical statements which I, for the most part, ignored and took as our cue to get the hell out of that dive bar.

The BPA went to the bathroom, my husband waited to pay the tab, and I went to get the car.

While I waited for my husband to come out, the BPA came up to my window and just stared into my car until I noticed him which caused me to jump and scream. I rolled down my window and told him he nearly gave me a heart attack.

Then he very calmly stared at me and said, “I’ll be by later tonight to stick a lighter in your outside dryer vent until your house catches and you all burn to death.”

Who the fuck communicates such a descriptive and specific way to unalive someone they barely know for reasons that are a complete figment of their imaginative paranoia?

My husband and I didn’t sleep well that night. The next day we installed cameras. And we have avoided all contact with the BPA since that unsettling and strange incident.

Bring your cat to work day

  1. Everybody is self-made but only millionaires will admit it.
  2. When you work for someone, the harder you work , the richer they get . When you work for yourself, the harder you work, the richer you get.
  3. Continue to live for moments that make your heart smile wider than your face could ever imagine.
  4. Uncomfortable dating tips : Women want you the most when you don’t need them.
  5. Most people know “WHAT”to think , not “HOW” to think . If you learn this, you can conquer the world.
  6. You can’t force love. Either it’s there or it isn’t. You’ve got to be able to admit it . If it’s there , you’ve got to do whatever it takes to protect it.
  7. Modern life is low-level psychic warfare.
  8. Men will gladly spend a whole day with a woman, but not a single hour on their purpose. And, in the end, they ask, “why did she leave?”.

Men Have FINALLY Had Enough Of Their FEMALE Colleagues

Oh I have a doozy.

1978. Houston. Near the Galleria Mall.

I was getting off the freeway when a police car cut me off, almost running me off the road, no signal. Just truly bad driving.

Being young and stupid, when I was beside him at the light, I called him on it.

Oh he lit me up. I had three friends in my car with me. He made me get out of the car and was just screaming at me, telling me he could do anything he damn well pleased and poking me in the chest the entire time. I politely asked him to stop poking me with his finger and he threatened to break all my fingers off. He was so mad he was spitting and his partner was standing next to him with a smirk.

I stayed calm and asked my friends to write down their name, badge number, and car number. That’s when they hopped in their cruiser and just zoomed off.

I didn’t like it, so I called and talked to the desk officer. He took all the information and I assumed absolutely nothing would happen.

Nope.

I got a call back from a lieutenant a few days later. They had done an investigation, because the location I reported them at was nowhere NEAR where they were assigned for that shift. What they discovered is that they were cutting out of their area, going to the mall, and catching a movie. Lots.

The lieutenant shared that this was not the first complaint, but it was the last, because they’d both been fired.

Moral of the story? If you’re doing something outright stupid, don’t light up the stubborn college kid.

Today’s Shinjuku Kabukicho August 23, 2023 UP

Dad had stage four mastatised pancreatic cancer, double incontinence, dementia and crippling arthritis. His oncologists used to call him in every month for a check up that consisted of him looking at dad’s medical notes asking how he was then nodding his head, that’s it. But, the trip to the hospital took nearly an hours preparation, a forty minute drive each way, a ten minute walk from the car park to the clinic (dad in a wheelchair when I found one) and then the worst bit! The oncologist used to call ALL that days patients in at 0930 then see them in alphabetical order! Look at our surname! The first few times were bad but one time after dad returned to the hospice he had to be rushed back to the same hospital under blues and twos! The next appointment we managed about an hour in the waiting room and dad was struggling to hold back his tears of agony, so I wheeled him out and started along the corridors back to the car. First the receptionist tried to stop us and I told her what to do on a short pier, then the nurse came running up to try to return us to the clinic “as the doctor was very busy and would get to us as soon as possible”. Dad told her to go forth and fornicate or something similar! She ran back and told the doctor (don’t know if fornication was involved or not). Pushing a wheelchair with a mind of a shopping trolley containing a fifteen stone (210#) obese man (5′2″ ) in immense pain, who didn’t want to be there, you don’t move very fast! The doctor caught up to us to find out what was going on and exercise his presumed authority! Wrong move! My dad used to be a CSM and he taught me how to project orders across a parade ground! Don’t shout at me if you have sensitive hearing! Amongst other things (including the legitimacy of his birth) I told him we were there for the benefit of dads health and not his ego! And we left, luckily for him he didn’t try anything physical! I’m also 210# but six foot tall and very little of that was fat! Unfortunately the stress and strain on dad contributed to him once again that evening being returned to hospital by emergency ambulance.

Now my dad through a variety of reasons was no stranger to that hospital. In the ward he usually ended up on, all the staff knew him and were on first name terms, most since being students. One of the senior consultants had first met my dad when he was doing his medical training and had become friends (even came to dad’s funeral! What, you think you recover from stage four pancreatic cancer? At 81?) He wanted to know why dad was back in hospital once again. So we told him who, how and why! Now this senior consultant is married to the chief executive of the hospital, that may or may not be the reason that the oncologist changed his appointments policy from block appointments to individual appointments and a very significant attitude adjustment the next time we went to see him!

Gen-Z

I started to work as a programmer with a large insurance company.

I was also going to a university at night for an engineering degree.

So, the job was just a paycheck for me until graduated.

My supervisor called me into her office and let me know that she knew that I was just using the job as a steppingstone until I graduated. She was a quaker and peppered her speech with thee and thou. A lovely lady.

She told me the company had its own problems and if I showed some diligence in my job, she would ensure that I would be rewarded for my effort.

I took her advice and made a great effort to please her and focused on my job.

When the company put together a planning group, she insisted that I be a part of it.

The group consisted of two vice presidents, three directors and one programmer.

The first problem we tackled was the number of boxes of paper documents shipped to all our service locations at the end of the month. The cost of shipping the boxes and how hard it was to look up the data at the service location.

This was in the late sixties and personal computers were not common.

I was a tech magazine reader at the time, so I suggested to the group that we output the data to a fiche machine because the fiche produced would be less costly to ship than sending boxes,

It would require the home office to buy the fiche machine and the service locations to rent fiche readers, which at that time were very cheap.

The benefit to the service location was the fiche was easy to handle and never got torn.

Well, the company and the service locations loved the idea, so it was accepted.

The planning group stayed together for a year, and we solved some smaller problems.

That 15-minute conversation with my supervisor changed my life forever.

When I received my degree, I no longer had an interest in engineering and chose to stay in the computer field.

She is gone now but not forgotten.

Pepe Escobar: Putin and China Send DEVASTATING Warning to NATO as Germany, Macron Threaten WWIII

This is really rather good.

I can try.

It’s a long story, though. But, like most other people, my political leanings are the result of my influences early in life, and my experiences. And, to some degree, what I didn’t experience, that others did experience.

For example, it’s easy to be supportive of the police, when you’ve only ever had positive encounters with them. It’s easy to assume that most people can avoid negative encounters with the police by simply obeying the law.

That door swings both ways. It’s easy to have an adversarial view of the police when you or someone you know had a negative encounter with them.

Once you get a political idea in your head, you start to see it everywhere you look. This is true for people across the political spectrum. You’re influenced to see certain things and, by God, now that you’re looking for them, you see them everywhere.

For me, my journey to the right side of the political spectrum is more of a journey of being pushed away by the left, rather than willingly moving towards the right. I’m more libertarian than conservative, but, in contemporary America’s binary political landscape, it seems that anything that isn’t progressive is often all grouped under “conservatism.”

Progressives: What’s your stance on [this issue]?

Me: Total indifference. It has nothing to do with me.

Progressives: So you don’t support the people who are dealing with it?

Me: Does my support or lack of it have any impact on the issue whatsoever? No? Then why must I choose to support it or not? Why can’t I remain indifferent?

Progressives: You sound like a conservative. Your silence tells us all we need to know, and it won’t protect you.

Me: If indifference on this topic makes me a conservative in your eyes, okay then. I’ll add your labeling of my political stances to the list of things I don’t care about.

If I’m being super honest, in the last decade or so, I’ve gone from identifying as “libertarian” to identifying as “cynical.” I assume that most politicians are only looking out for themselves, most government attempts to fix issues will fail, or make things worse, the government has more than enough money to fix society’s problems, but a lot of that money is wasted, etc…

For example, there’s a ballot measure here in Chicago to raise taxes on real estate sales over $1m, to raise funds for housing options for homeless people. My view on that is that it will likely pass, but not a single homeless person will be helped by this. Any money raised by this will find its way into the pockets of politicians and their supporters, while the expenses will just passed on to renters. The people who support it will get to pat themselves on the back for caring about the homeless, while ignoring the complete lack of tangible positive outcomes from it. The goal here is virtue signaling from the rank-and-file voters, sticking it to “rich people,” and lining the pockets of the Democratic machine. Homelessness is just the necessary reasoning for it all.

Basically, I assume that, for the rest of my life, the general situation in the world, and in my country, will be roughly the same as it is now, and my best move is to put myself and my children in a position to avoid the worst of it. The “status quo,” when it comes to politics, is too hopelessly entrenched to be uprooted, and too hopelessly corrupt to be effective.

But this question is about the origins of my conservatism, not why I stick with it in middle age.

I suppose my political journey began as a child in the 80s and early 90s. My father worked for the U.S. Navy, as a civilian machinist. Among other things I remember him saying about his job were:

  • He was forced to spend millions of dollars on machines that he didn’t really need in his shop, but the companies who made those machines donated to the right politicians.
  • He was forced to spend every single dime he was allocated for the fiscal year, or, the next fiscal year, he wouldn’t get as much allocated. Saving money isn’t rewarded, but is actually punished, when you work for the government.
  • He would never be promoted beyond his current level, no matter how well he did, because he was a white male. Back then, the federal government was only interested in promoting racial minorities and females.

That last one was something I heard versions of from most white men I knew growing up. Of course, half of them worked at the same base where my father worked, so they dealt with the same policies. None of these were rich white men. They were all working class white men, and they all felt like they were being punished for things they didn’t do, in the name of “fairness.” And they placed the blame for that injustice directly at the feet of liberals.

The same white “social justice warriors” of the 50s and 60s found themselves, by the 80s, on the receiving end of social injustice, in the form of Affirmative Action. It seemed like every middle-aged white male I knew growing up was a “live and let live” and “don’t judge a book by its cover” kind of guy, with a serious chip on their shoulder that others weren’t adhering to the “don’t judge a book by its cover” mantra. Every single white guy who felt like they were falling behind in society was able to blame Affirmative Action for their slipping, no matter how true it was.

The message, for younger white guys like me, was easy to pick up: it is legal to discriminate against you because of your race and gender, and you just have to accept it.

And, once you start looking for it, you see it everywhere.

Why does my high school have a counselor specifically for helping minority students get into and pay for college? That’s discrimination. Why is no one calling it out? My parents are taxpayers, too. That counselor should be helping everyone who needs help with those things, and not discriminating against students based on race.

You just have to accept it… or, affiliate yourself with the side of the political aisle which points out the hypocrisy of it all.

So it wasn’t so much “we’re conservatives,” as it was “liberals are working against people like us, so I guess that makes us conservative by default. Where else would we go?”

By the time I got to high school, I was socially liberal, in the sense that I simply didn’t care about people’s personal business, particularly in the bedroom. I was also very concerned about environmentalism, and even started a small paper recycling program in my school. It didn’t last, but at least I tried. I wanted to be a “part of the solution.”

My environmental views have skewed heavily to the cynical side in the 30 years since starting high school. I think recycling paper is a huge waste of time.

I remember, however, in high school, being annoyed by some of my more politically involved classmates, demanding that I (and everyone else) not only form opinions in line with theirs on certain topics, but openly express our support. They had the “if you’re not openly supporting us, you must be quietly opposing us” attitude that I’ve seen in other progressives in my adult life.

Some of my most common PG-rated thoughts throughout my high school years were versions of “I’d care more about this person’s point of view if they weren’t so damn loud and obnoxious all of the time.” And, of course, a sarcastic “the problem you’re in could have been easily avoided has you made more conservative choices in life.”

It was during those years that my own sister, of her own volition, developed a drug habit, became a teen mom, and dropped out of high school. I had a front-row seat, starting around age 12, of how to ruin your life through really shitty decisions, and it sapped a lot of the sympathy I had for people who were dealing with the consequences of their own shitty decisions.

Although I’m getting better about it, when I hear that someone put themselves in a bad situation, and is now begging “society” to bail them out of it, one of my first thoughts is, “how is this anyone else’s problem but yours? Why should we all have to pay for this?”

Anyway, that’s my evil conservative origin story.

Tales From The Streets : San Diego Streets Ep-4

While inprocessing for the military, I worked at a radio station selling air time in a town of 30,000. When I took over my route a retired Army major was occasionally hitting $6,000. That was pretty good in 1979. I took billings over $8000. Three months later, I was fired (I was the only man), and a young cutsie 22-year-old girl was hired. She had zero experience.

My sales manager/station owner’s wife told me to “clean out my desk.” I did just that, trashing everything including sales invoices for the month. She made a big point of letting me know I wouldn’t be getting my commissions. I waited patiently for my salary check ($1,500 at the time) at my desk, then walked to the bank and cashed it.

Two days later I got the call. “Where are all the sales invoices for August?’

“In the trash.”

“What!?”

“You said clean out my desk. I did.” Then I hung up.

I heard later the young girl didn’t work out, and the station went bankrupt.

Sad, isn’t it?

I absolutely do

Coaching has become an Industry today and whenever such things happen, everything becomes counter productive

main qimg 53fbf688a99b9e0935ef2ac391b38608 lq
main qimg 53fbf688a99b9e0935ef2ac391b38608 lq

Xi Jingping rightly said

In China :-

Private Tutoring Apps had become an Industry and had commercialized education so much that the newer generation was no longer going to such coaching facilities to LEARN OR ADD TO THEIR KNOWLEDGE OR UNDERSTANDING but to specifically orient themselves to scoring marks in a specific examination (Gaokao)

Private Tutoring Apps had become exploitative and were commercializing learning to an extent where it was feared that the entire Gaokao could be modified to suit the whims of the Private Tutoring Apps

So he wiped out the Industry mercilessly

“F*** the Billions of Dollars in Paper value”

Today Tutoring has gone back to the old 60 RMB per Hour student tutors or Volunteer Tutorials and Extra Coaching


India and China both have predators who suck the blood of common people and exploit them in the name of capitalism

main qimg df1b8fb11c638b48eb667446629a6aaa
main qimg df1b8fb11c638b48eb667446629a6aaa

The Difference is CHINA BANNED THIS

main qimg 274cb449a6c7257bf17aa547cf058228
main qimg 274cb449a6c7257bf17aa547cf058228

In China, if any Institute publishes the list of toppers for admissions

  • Their license is suspended for 5 Years
  • They are fined not less than 60,000 RMB but upto 250,000 RMB
Ministry of Education Bans the Idolization of China’s Top Gaokao Scorers
Stories of the top achievers of China’s national exams can no longer be propagated by state media; the emphasis should shift to the average, harmonious student.

India not only allows this to flourish but ignores cases where Institutes LIE about their students by paying money to the students and making the students lie

Most Coaching Institutions are run with political contacts


Now my point is

Is Unacademy really predatory?

main qimg 9a2bc25700f5efa1f331edc83b38a328
main qimg 9a2bc25700f5efa1f331edc83b38a328

Just because they offer coaching for a fee doesn’t make them predatory

Just because they have referrals doesn’t make them predatory. That’s just business. Same as a Tutor asking you to give her cards to your friends.

  • Do they exploit the situation in India and claim if you don’t take their course, you will lose badly
  • Do they help you learn or do they force you to structure your brain into clearing the JEE or NEET rather than learning the topics?
  • Do they prostitute education by paying more money to marketers than teachers?

So far at least I dont think they are that bad

Please correct me if they have become that bad

main qimg f9057bea7ee080781237c57f89abe9b4
main qimg f9057bea7ee080781237c57f89abe9b4

Coz that’s what happened to BYJUS

From being a place that helped students sharpen their brains to understand CAT better, they prostituted and pimped education spending more on “Celebrities” like SRK who also prostituted himself like he did with Arindam Chaudhari

main qimg 59e17c4984966d0591a946afaff431d3
main qimg 59e17c4984966d0591a946afaff431d3

Unacademy are also going BYJUS WAY

Using Tendulkar the dropout of Xth Standard to promote an Education Product

So they too are starting to pimp out education

It won’t create the Students India needs

Not the thinkers, analysts, people who understand fundamentals – they aren’t born from KOTA FACTORIES or Prospective Pimping Edtech Apps

They are born by self study with tutoring to help them sharpen their brains

One thing to learn and understand Physics, Maths and Chemistry and USE THIS KNOWLEDGE AND WRITE THE JEE

Another is to learn Physics, Maths and Chemistry BECAUSE YOU WANT TO WRITE THE JEE

The former is a winner

The Latter ends up mediocre in life in every aspect


Solution?

  • Ban full page Ads of Toppers by various Institutions
  • Regulate the Coaching Fees
  • Make sure Coaching Institutes remain Sole Proprietorships Or Partnerships and dont become Companies
  • Ban any Coaching Institute taking over another Institute
  • Register all Coaching Institutions with Ministry of Education with select standards

Otherwise the next two generations of students, the so called FUTURE OF INDIA would be the most worthless


Anyone who exploits students like this must be taken to Gulags and forced to labor for 20 hours a day in the -17 degree weather

Tokyo’s Night Joy Paradise Plus Unexpected PERMISSION 2

Well, let’s start with some basic principles of U.S. constitutional law.

Under the constitution, the Supreme Court may only hear original cases in very limited circumstances. One of those is when one state sues another state. That’s not uncommon, but usually its due to disputes about the right to use water from rivers that pass through more than one state. The usual procedure is to refer the case to a special master (usually a federal court judge) who holds the trial and reports back to the Supreme Court which can then affirm the decision.

Congress cannot expand the jurisdiction of the Supreme Court to hear cases that aren’t listed in the constitution. That was what Marbury v. Madison was about – the court was given power by congress to hear cases involving disputes about judicial appointments in the District of Columbia, and ruled that Congress didn’t have that power.

Now, onto the case. The State of Texas attempted to bring a case shortly before Congress was to meet to confirm the result of the 2020 election to sue the Commonwealth of Pennsylvania for not following its own election laws. Essentially, they wanted to disqualify all the mail-in ballots cast during the election on the theory that Pennsylvania law hadn’t authorized them. This issue had already been tried by Pennsylvania courts which ruled such suits were “untimely” – any such suit had to be brought before the election, not after.

But the only issue before the court was whether they HAD to take the case. As a rule, the Supreme Court chooses which cases it hears, but again if this is a case within its jurisdiction to hear new cases, they might have to take it.

What the court ruled is that no state has the right to start a case in the Supreme Court unless the judges agree to hear it. That’s probably good policy as it appeared this case would have asked the Supreme Court to allow one state to enforce laws in other states, which in any other case would be a no-no. For example, Texas could not sue to prevent Pennsylvania physicians from performing abortions on Texas residents just because it’s illegal for a Texas resident to leave the state for an abortion, or based on some theory that Pennsylvania law doesn’t allow physicians to perform abortions except on Pennsylvania residents. Once again, the issues in this case were already settled in Pennsylvania courts, and those could have been appealed to the Supreme Court if there were a constitutional issue (that’s what happened in Bush v. Gore).

But let’s get back to the rule about the Supreme Court only being able to hear a narrow range of original cases. The president does not have the right to sue in the Supreme Court (although he could be sued in, for example, a case involving the appointment of an ambassador – that’s within the Supreme Court’s jurisdiction). Members of Congress don’t have the right to sue in the Supreme Court either.

Elysium Movie Clip | Full Robot Fight Scene | Matt Damon | Diego Luna

I worked in a loan department as a loan clerk. A man was hired as a new manager for one of our branch offices. Our Vice President asked me to train the man on the loan process and how to type out the form letters, etc.. This man gave me grief from moment one.

He was arrogant and a smart aleck. I wanted to slap him about every five minutes. He was also a male chauvinist pig. He kept making sexual remarks to me and about me. When I sat him in front of the computer to type out the form letters, he refused to do them, saying “Typing is women’s work. I’ll have one of the women do it for me.”

The final straw came when I took him back to our Vice President’s office. The VP was asking me how he had done and before I could respond, the man said something to the effect of “Well, she wouldn’t sit in my lap, so I think she needs to be spanked.”. I just stared at the VP. He turned to the new manager and said “You’re fired. This is one great lady and you’ve disrespected her since the moment you walked in. Get out before I turn her loose on you.”.

Middle Class Meltdown: America’s Unseen Crisis

Biden = Russia, Russia, Russia!

Trump = China!, China!, China!

Nothing is ever going to get fixed.

Adolf Hitler was a chronic drug addict. His drug use was of epic proportions.

Hitler was prescribed by his doctors so many various drugs (including cocaine) that he was rarely in a state unaffected by powerful mind-altering substances.

According to his doctors, Hitler was “a good patient.”

  • He was meticulously adherent to the regimen for his chronic sinusitis: cocaine in aerosol form.
  • The German Fuhrer also took amphetamines, sedatives, and hormones.
  • Hitler relied on daily injections of the “wonder drug” Eukodol. It contained oxycodone, a semi-synthetic opioid. The drug caused the state of euphoria, practically rendering the user incapable of making sound judgments.

In his book “Blitzed”, German author Norman Ohler described how the Third Reich was permeated with drugs, including cocaine, heroin and most notably crystal meth, which was used by everyone from soldiers to housewives and factory workers.

main qimg 517a5862c67283e756c792ee231353f8
main qimg 517a5862c67283e756c792ee231353f8

Pervitin, an early form of methamphetamine, was available in Nazi German without a prescription.

In Nazi military during WWII, drug use was encouraged.

In September 1939, Ranke tested the drug on 90 university students and concluded that Pervitin could help the Wehrmacht win the war.

The effects of amphetamines on an person are similar to those of the adrenaline produced by the body, triggering a heightened state of alertness. In most people, the substance increases self-confidence, concentration, and willingness to take risks while at the same time reducing sensitivity to pain, hunger, and the need for sleep.

Later, a dose of cocaine was added to Pervitin tablets, to increase the drug’s potency and encourage continuous use.

When Hitler’s drug supplies ran out by the end of the war, he suffered severe withdrawal from serotonin and dopamine, paranoia, psychosis, rotting teeth, extreme shaking, kidney failure and delusion.

The Sopranos – Paulie enjoys Miami

Technically yes but realistically an emphatic no.

I never thought I would be saying this but Jake Paul is likely to beat Mike Tyson.

Why? That’s a legitimate question since we are talking about one of the greatest and most feared heavyweight champions ever V a relatively junior pro boxer with very few fights.

I’m afraid it all comes down to age, activity and conditioning. At age 40 or even 50 I’d give Tyson a great chance but he’s nearly 60 now, been out of the ring close to 20 years and been doing a lot of other things. Put simply he’s just not in condition to fight a professional fight. He could beat the hell out of the normal types of guys in the street no doubt about it but this is a professional boxing fight we’re talking about. It’s very likely that after one round of intense fighting he’ll be totally finished from a cardio perspective. After that last stand, Jake Paul who if nothing else can at least hit hard will probably get him.

Don’t be fooled by the videos of Tyson looking fast and powerful in training. They have been carefully edited to make him look good. In reality in the fight (if it’s a real one) he won’t look that good or fast. He’ll still have a bit of power so there is some danger to Jake Paul but probably only for one or two rounds max. After that it will a big advantage to the younger man.

No matter how good a condition a fighter is in, the age aspect of this is relevant. A 60 years old head isn’t supposed to get hit hard by a pro fighter. The blood vessels can’t be trained to take those shots like they used to.

For people of my generation it’s pretty sad to see the great Iron Mike Tyson reduced to doing this kind of circus act.

This fight could end pretty badly and shouldn’t really be happening at all. That’s capitalism for you.

EDIT : I guess the most likely thing here is that they’re both in on it as a money grabbing exercise and have agreed with each other not to hurt each other. The fight will probably be the least interesting part of the next few weeks. It’s all about the build up.

Mr. Spock Sends Up a Flare – Star Trek – 1967

I can’t believe I am going to admit this….

When I was 14 years old in 1982-ish. I was recently uprooted from my lifelong hometown. I moved from upstate New York to Pennsylvania with my mom and step-monster. A friend of mine had just gotten sentenced to serve time in a NY state jail. I wrote to Scott frequently, as I thought he must be bored and lonely. I used this same stationary every time, with little unicorns on it. After the third or fourth letter, I realized just how bad it was for him in there.

I got together a little bit of money and bought some pot. I put it in a little matchbook, and sent the matchbook to Scott, along with a letter saying something like “I hope this helps.” I was smart enough not to sign my name, just in case anything bad happened. I thought that it was illegal to tamper with someone’s mail. It never even occured to me that the jail’s officials in charge were allowed to open up Scott’s mail and go through it. I used the same stationary as normal. Little unicorns. Can you see where this is heading?

My parents were surprised when federal detectives showed up. The formal charges were:

“Using the Federal Government (The United States Post Office) to transport illegal drugs across state lines.” To a correctional institution, no less.

Okay, you can laugh your a** off now…

I’ll wait.

Thank God I was 14. I was sentenced as a juvenile offender (the record was sealed); deemed “incorrigible”; had a PINS petition put in place against me (Person In Need of Supervision); had to perform 100 hours of community service and was almost placed in foster care because my parents couldn’t handle it or me anymore. Thankfully, my grandmother took me in, instead. My parents called me all sorts of stupid. Yes, I have to agree. But my answer to them at the time was “I thought he could use a buzz.”

Hugs.
-Valeri

The fourth

I am soon to be 64. At this stage in my life, I have a VERY low tolerance for a**hattery. I don’t bother trying to be polite to people that aren’t polite.

The last time one of my sisters came to my house and made a comment about a few papers laying on the floor, I didn’t let her get away with it. I left them laying on the floor after they fell off the desk; for a good reason, but that was none of my sister’s business. I don’t believe in explaining to people why my house looks the way it does. Why SHOULD I? So, what I said to her was this: “You don’t REALLY want me to start critiquing YOUR housekeeping, do you?”. After I said that to her, she never said anything about anything that was on the floor again.

You see, her and her husband always leave their dining room table FULL of all different kinds of papers, looks real messy, but you know what? I never say anything because it’s THEIR house! They can keep it the way they WANT to! Plus, I don’t CARE about it, it’s not MY house! They SHOULD have the same respect for me!

AND, that’s the same way I feel about someone coming into my home telling me they don’t like it. Depending on who it is that is saying it, I MIGHT say something like “I don’t care, you don’t live here.” or maybe ”What did you come over for then?”. See, the FUN thing about messing with RUDE people, is because they never expect people to be rude BACK, which is why they are rude in the first place, because people LET them get away with it. *I* don’t. The looks on their faces when they are rude and I am blunt with them…PRICELESS!!!

Cowboy Burgers

Cowboy Burgers
Cowboy Burgers

Yield: 4 servings

Ingredients

  • 1 pound ground beef
  • 1/2 teaspoon seasoned salt
  • 1/2 teaspoon seasoned pepper
  • 2 tablespoons + 2 teaspoons butter
  • 1 large onion, thinly sliced
  • 1 (1.0 ounce) envelope taco seasoning or 2 tablespoons Taco Seasoning
  • 4 slices Cheddar cheese
  • 4 Kaiser rolls
  • 4 lettuce leaves
  • 4 tomato slices

Instructions

  1. In a medium bowl, combine ground beef, seasoned salt and seasoned pepper; shape into four patties.
  2. Grill or broil to desired doneness (about 5 to 6 minutes on each side for medium).
  3. Meanwhile, in medium skillet, melt butter.
  4. Add onion and taco seasoning; mix well.
  5. Cook onion over medium high heat until soft and transparent.
  6. Top each patty with onions and cheese.
  7. Return to grill or broiler until cheese is melted.
  8. Place each patty on a roll; top with lettuce and tomato.

Companies Are Being Forced Out of NYC… Why?

I was a mainstream reporter (NYTimes and NY Herald Tribune) when Donald Trump was in his early 30s in NYC. I got to know him at his hangouts and on several more private occasions.

He was not then, nor is he now, “stupid” as so many anti-Trumpers continually proclaim. He was, however, a man whose word meant absolutely nothing. He spoke almost nothing but outright lies and “gut” fabrications. He’d betray a “friend” in a blink; he loathed any woman whose “pussy” he could not “grab”. He was a physical coward in private and in public. He was frightened to wetting his pants of his Nazi-loving, face-slapping, fascist father, to whom he lied and groveled. He had no friends since he only took and never gave. Both men and women immediately felt his creepy, duplicitous, despicable ways and avoided him unless they wanted to be close to what they thought was his money. Her learned how to manipulate and twist the American legal system from his evil genius lawyer Roy Cohen (“Don’t tell me about the law, tell me about the judge.”). I believed then that hate is stupid and as a reporter I did not hate Trump. But I understood him, and understanding is the opposite of hatred.

Trump is now and was then (as any woman who knew him even a bit well would agree) —- a mentally vicious, endlessly traitorous, sickeningly self-absorbed adolescent with feminine soft hands (no manual labor) and a filthy tongue. That was in his early 30s. Any honest observer and reporter quickly found him out and in New York and environs his name was a dirty joke. . . Then came TV “reality” shows and millions of unfortunate Americans watched how he behaved and they want to be just like him, and get away with it. If anyone who reads this considers themselves a friend of Trump, may their gods help them. He will make America and Americans poorer in mind, money, than it ever was, The only way to beat the Russian-sponsored thug is to confront him with a community of people who will oppose him and his fellow liars, cheaters, thieves, and those who tolerate them,

Bad Traffic day in Orlando, FL. As a legal motorcycle rider, I was following traffic laws and traffic lights, and traffic wasn’t moving at all. The *ssh*l* in the car behind me started to lean on his horn. After 5 or 10 horn blows I started to respond with my 139 decibel horn everytime, followed by a turn of my head to look at the driver banging his hands on his steering wheel and yelling again. When he tried to pull up next to me in the space between me and the car in the next lane, I moved to block just enough of the lane that he would have to hit either me or the car in the next lane. At this point he starts yelling at me. He continues to try to move up. The Car next to me gives him just enough space, and I continue to control my lane.

When he gets close enough he started yelling “You! On the motorcycle! ….” and a flood of anti-hispanic, anti-biker rhetoric just comes spewing out of his mouth. Not being hispanic and not considering myself a “biker”, I calmly turned around, looked him in the eyes, and said “No hables espaniol”.

The drivers is ENRAGED! He starts to get out of his car. And he keeps screaming about immigrants. Once he is out of the car, I turn to him again.

I say to him “I was born in Philadelphia PA. Am I an immigrant? And aren’t you smart enough to understand that I don’t speak Spanish? That is what “No hables espaniol” means.”

The man is flabbergasted, stops in his tracks, flaps his mouth several times, and the best revenge, the log jam broke and the light changed, at the same moment. As I pulled away, the man was left standing in the middle of the street with every car behind him laying on the horn, and trying to get around him.

Yes, I had to take on the most difficult challenge of all, a man telling a woman that her attire was inappropriate for the office.

To set the scene, this woman was a young adult, a peer and also a work-friend. She had just lost a bunch of weight and also taken a European vacation. She was feeling good about her body and bought a dress in Paris that showed it off. This dress was so low-cut that it showed the underside of her breasts. If you wanted to pick up a casual hookup in a bar, this was exactly the dress you wanted to wear. But I would never, could never say that.

What I said to her was that this dress looked awesome on her with her weight loss, and if she was looking for a date, it was a great choice. But if she wanted to be taken seriously as an engineer and not distract people, something more conservative and businesslike might be a better choice. She was, in fact, having difficulty being taken seriously, so she took this advice on board and dressed more professionally after that. I got to keep our friendship and not get sued. I’m super glad I won’t ever have to do that again.

The American Correctional Association (ACA) came to do an inspection at the Federal prison I was in.

A failed inspection would mean loss of accreditation. I’m not aware that means anything other than egg on some suit’s face.

For a prison inspection to be meaningful, hordes of inspectors should rappel from helicopters into the yard in the dead of night without warning. They could carry badges, clipboards, and maybe a few pieces of equipment. (OK… five minutes warning so nobody gets shot).

Instead, the prison had months of notice. They had enough lead time that they could leisurely waste weeks, doing nothing to get ready. When the visit was about two weeks off, suddenly stuff started happening.

New paint was slathered on everything, thick enough it could’ve stopped an escape all by itself.

In the chow hall, we had a persistent leak in the roof. Because it wasn’t fixed for years, the drop ceiling soaked up water, several tiles collapsed, and ominous mold was growing in the insulation around the duct work. At about the same time that paint was being poured over every surface, a crew of inmates came in to patch the ceiling. They didn’t fix the leak, they just patched the drywall and drop in sections that were affected.

Our dishwasher could never get up to temperature. The water was so hard that the heating coils were continually clogged with deposits several inches thick. Pick up any tray that had gone through the thing, and you’d immediately see that the luke warm water wasn’t getting trays clean (of course we never bothered with soap or surfactants either). Instead of trying to fix the dishwasher, the staff, experienced in many an inspection, simply stopped using it. It was “temporarily out of service” for the visit, and we used thousands of dollars worth of disposable foam plates and cups for each meal. This kept the inspectors from finding out that the machine was actually permanently out of service.

So, no. The inspections didn’t help us at all. Our food did get dramatically better for the couple of days that the visit went on, but that was really the only change.

After the visitors left, the dishwasher was plugged back in so it could moisten the dirt on the plates, and the ceiling started to crumble again, revealing mold that had blossomed nicely in the humid darkness.

A bolt of lightning hit the right wing of the aircraft, and suddenly LANSA Flight 508 was plummeting toward the ground. Over the screams of dozens of others, Juliane Koepcke — who was sitting at 19F, a window seat — heard her mother say from the seat beside her: “Now it’s all over.”

main qimg 43bbf32f988b37f94b7215cb5656c6cf
main qimg 43bbf32f988b37f94b7215cb5656c6cf

Seconds later, the plane is disintegrating in mid-air, and Juliane’s mom is gone. Juliane is still strapped in her seat, but she is not inside the cabin anymore — instead, she is all alone in the open air at a height of 10,000 feet, tumbling down from the heavens.

The last things she will ever see are packed treetops that look like broccoli heads. Or so she thinks.

Because the next day, she wakes up on the Amazon rainforest floor, still very much alive and miraculously only modestly wounded:

She had a concussion, a broken collarbone, some deep cuts. The forest, she wrote in her memoir, “saved my life,” the foliage cushioning the impact of her 10,000-foot fall. She went in and out of consciousness before finally pulling herself to her feet.

That is her first survival story, but now she is all alone in a jungle. She is seventeen years old, and she needs to focus on the next step. A second survival. Luckily for her, Juliane has lived in the jungle for several years, in a research station where both of her parents were working at the time.

She looks for her mom first, but doesn’t find her. Then, she decides to look for a stream, because streams often lead to villages, and she will soon need water. And when she finally finds a creek, she also finds dead people:

“When I turned a corner in the creek, I found a bench with three passengers rammed head first into the earth. I was paralysed by panic. It was the first time I had seen a dead body.”

crash
crash

[Image of plain wreckage of LANSA Flight 508, which had boarded in Peru. (Coral Brunner/Shutterstock.)]

As days go by, a wound on her upper right arm gets infested with maggots about one centimeter long each. She remembered that their dog once had the same infection and that her father had put kerosene in it, so when she finds a small hut with a palm leaf roof, and outboard motor and one liter of kerosine, she sucks the gasoline out and puts it into the wound.

The next day she hears human voices, and she is saved for the second time around.


Later, Juliane found out that her mom also survived the crash, but was badly wounded and died a couple of days later in the rainforest, all alone, and desperately hoping that a miracle had saved her daughter.

And I guess it did.


SOURCES: the footnoted site and Google images.

[3]Footnotes

Visiting Japanese Maid Cafe🎀☕️ | @Home Cafe AKIHABARA | Mizukin Premium Maid | ASMR

Damn! My daughter would just love this!

You all must watch this. At least until after the chick gets the order.

Confetti Art

When I was in 8th grade, a classmate along with my sister tore up a painting that I had spent three months working on.

Her name was Rita.

High School / Middle School head games.

But it was cruel. I spent a long time to make that artwork so that it would be displayed in a art fair. And then with pride, the day before the event, I proudly saw it hung on the wall in the fair… located in the High School gym.

But that night, they came in from Cheerleader practice. Got in the gym. Took my picture off the wall. And then carried to school the next day.

My picture wasn’t entered in the fair. It was missing.

When I went into my “home room” the next day, Rita and my sister came up to me, and the class and right before me tore my precious artwork into a million pieces and threw them in my face. Like confetti.

I was in shock.

The class laughed.

The teacher did nothing.

And (later on when I was at home) my mother told me that I “shouldn’t get angry over it”. Just move on she argued. While my father told me “you are the oldest … you have to take the hits”.

Who in the fuck convinced me to accept this God Damn life? What the Hell?

Today…

What can other countries do to stop the US sanctions and embargos bullying around the world?

The other countries are sanction and embargo proof their economies. From keeping it’s reserves in non western banks to digitising their currencies, to trading via currency swap arrangement, or paying by other currencies than the dollar and U.S. cronies currencies. Sanctions will be totally a thing of the past within a decade at most.

The world is already broken into 2 groups now. One are US, it’s slave vassal nations, the U.S. fellow native slaughterers, the U.S. fellow despicable colonial masters, the few tiny nations around Europe that has be coerce to do shit for the west. This group is less than 2 dozen nation or you can say the minority group. It’s GDP as a group is also coming to 40% and falling fast of the world and in population less than 13%.

The majority group is call the Global South. It include most of Asia, Latin America and Oceania, and all of Middle East, Central Asia and the Caribbean. These nations add up to. At least 175 out of the world’s 195 nations. Or 87% of the world, 60% of the world’s GDP and growing fast and probably 90% or real purchasing power PPP on planet earth.

The first group either has no choice or succumbed to threat by the U.S to support and defend the western so call rules base international order. And sink and swim in it. The second group through the BRICS and SCO will successfully withdraw from the rules based international order but by bit.

Try to imagine a balloon. If you prick it with a pin the balloon will burst and if flies off and the air inside the balloon is gone for good. Now try imagining that the air is the wealth and reserves trapped in side the balloon. This 2nd group is sucking up its air but by bit, little by little till what is left belongs to the 1st group. By that time in a decade or so what will be left is a balloon that is at least half its size.

So within a decade U.S. dollars, SWIFT, Western Institutions, Western Systems, western rating agencies, western exchanges, world bank, IMF and many others that together represent the world order will still be there but only serve the west. The U.S. wants decoupling, the U.S. is getting it. The world is decoupling from the west.

Welcome to the new world.

Mind Virus

Women want Leaders. But the current 20-something group of women do not want to take the follower role. Thus they can never fit with the men that they desire.

As a doctor, who (without naming names) is a patient you will never forget, and why?

I remember a lovely patient who had a very swollen abdomen due to ascites, a complication of cirrhosis of the liver.

Every day in the hospital, I would hang a bag of albumin and chat as I drained fluid from the swollen belly. This was in the day when liver transplants were rare and not for people who had developed cirrhosis from alcohol use.

When it was time for the patient to leave, I was given a very expensive bottle of red wine. I think it came from the patient’s extensive collection of wines. The family was wealthy. We both knew that the cirrhosis was not cureable. I wondered if all the wine was given away before the patient passed. The patient had a wistful smile and explained a bit about the wine that could never again be tasted. I was young and did not know anything about fine wines.

My husband and I shared the wine on our anniversary when we were interns.

Sometimes it is the things we love the most that cause us the greatest pain.

What is a slap-in-the-face job offer?

I am a welder with a specific set of specialized skills as an ironworker. I earned about $35 an hour on the lower end with my last job in the union. Im the guy walking on ibeams on skyscrappers hanging off the side welding moment welds. One company wanted to pay me less than McDonald’s to weld.

Recently, however, I have quit this line of work to make time for college. In doing so I was searching for lower paying but more reasonable hours and location. Going to work at the same place for 40 hours a week was my plan. I was having trouble doing this since most companies are wanting me to work lots of overtime.

One day I had scheduled an interview for a job which said I wouldn’t be asked to work over 40 hours a week and it was relatively close to my home. Inquiring about the pay they just said it depended on my skills but it would be very good. I didn’t press further for detsils. On interview weld test day I accidentally left much too early. Realizing I would be 45 minutes early I decided to stop for lunch at a McDonald’s. When I was there I noticed they were offering $22/hour for a maintenance position. I spoke with the manager about it but told them I could probably make more money welding in a shop.

I went to my interview and was asked to take a weld test that took about 2 hours. At the end of the weld test one of the managers took me to a table in the office and saw I was asking for $28 an hour, really I was hoping for closer to $30. He told me the most he would be able to pay was 19 or maybe 20.

“I don’t appreciate all of my time you’ve wasted here, sir. The McDonald’s down the road pays better than this.”

I was quite pissed that I had just wasted well over 2 hours of my time with the drive there, back, and other things I did to prepare for that interview.

The shop welding jobs are paying an average of $25 an hour around here, so I’ll be working at McDonald’s until these cheap asses pay what it’s worth to weld. Why should I do a skilled job when McDonald’s pays nearly the same? I wish more welders would refuse to work for peanuts and maybe we could get some decent wages.

Did China have slavery like US during its history?

Of course, China have slavery like the US in … errr … emmm … yep … about 3,000 years ago, after Zhou Dynasty established in 1046 BC, Chinese slavery was ended.

Slaves made a great contributions in the war of Zhou rebels to overthrow the Shang Dynasty. In return, the first monarch of the Zhou Dynasty ordered the permanent abolition of slavery, and this order was maintain by all following Chinese dynasties, because that monarch is seen as saint and model of a virtuous ruler for all Chinese rulers, including barbarian born Chinese rulers. Even Mongolian emperors in Yuan dynasty would go to his tomb to worship him in every years, no need to mention others.

In the following 3000 years, although there were several barbarian born monarchs reintroducing slavery into China, but none of their regimes could survive over more than 50 years.

And strictly speaking, the Qing Dynasty had slavery, but their slavery was somewhat distorted because it only existed among the Manchus. The Manchu Eight Banners Army was actually a slave army, with soldiers and officers were actually slaves belongs to the banner lord. They fought in banner lords’ commanding for generations.

But the Manchus seem to regard this slave status as an honor, so you can see that when Manchus write letters to the emperor or their banner lord, they would call themselves something like “a humble slave greeting to my dear lord” in the beginning.

And when some Han favored officials of certain emperors also write like this, the emperor will directly reply to him, saying, “Please call yourself a vassal, you are my Han vassal, not my slaves in banner”.

So, for the Qing Dynasty, slaves in the Manchu system were more like hereditary family of attendants, who established a generational contract. Becoming slaves to the banner lord was not shameful for the Manchus, but rather an honor accepted and protected by banner lords, and all banner lords are royal members.

It was a letter from governer of Hu province and Guang province (This is a very big official position, ruling lands almost over two modern France), a Han official Yang ZongRen, to the YongZheng Emperor of Qing Dynasty, those black writting was Yang’s original writting, red one were the reply of the Emperor.

image 55
image 55

In this letter, Yang called himself “the slave”, and Yongzheng Emperor strike out that word, and changed it to “vassal”, and noted that “claiming to be a vassal” is more appropriate.

Of course, this is actually just a Han governor in flattering the emperor. The emperor himself was very happy on that, but still remembered to correct it for him, because it’s not appropriate.

oh … btw, about this letter, except the stroke and note in the right, the left red paragh is:

朕安尔虽到任未久所奏所行数事深合朕意甚属可嘉如此可谓名实相符无愧封疆大吏也尔今兼统文武特赐孔雀翎以彰尔之优能

Translation:

I am fine (reply the greeting of Yang), although you just arrived in this official position for no long, what you reported and what you did, has already pleased my wish, you are worthy of being a high-ranking governer, so today I leading civil service officials and military officials decided to award you xxxxxx (I don’t know how to translate this, you can think it’s some kind of medal), to recognize your excellent work.

What should you do when someone has taken your assigned seat and refuses to move on an airplane?

Just let the person know politely. If they refuse to move or try to get you to do a seat swap, and if you don’t want, simply say no, I.dont want to trade seats, please get up. If the person won’t move, push the call button for the flight attendant. Do not move, or let anyone behind you get to their seat. The flight attendant will take care of it more quickly, if the boarding process, is at a stand still.

Happened to me once. I was treating myself to First Class. The First Class section had to rows on the left, but only onecrow on the right. Meaning, those seats were a window/aisle/and had no seat mate. I also, had, the last seat in the row so, nobody behind me. This group of six traveling together, got on first, and all wanted to sit together, took my seat.

The person in my seat redirected me to, a seat on the otherside of the plane, someone had the window seat already. I said, no, I’d like my seat please. They group looked at eachother, the guy in my seat, acted like he wasn’t budging. I didn’t let the people behind me go around me. The flight attendant immediately asked if everything was ok. I said, just waiting for him to get out of my seat, I asked nicely once already. She told him to move, and that he was holding up the boarding process.

What are the most difficult and useful things people have to learn in their 20s?

  1. You don’t have close friends just friends. Most of the people you are around, are only there because of circumstances not really related to you in anyway.
  2. The sooner you start focusing and planning on your life goals the easier it will be achieving them.
  3. One of the most important thing you can teach yourself during your 20’s is self discipline. This is the first thing we should learn and crave to achieve.
  4. Life moves very fast, do things you enjoy at the moment cause our situations tend to also change as fast then we later feel like we missed out on somethings.
  5. The more you expose yourself to the world around you, the more you expand your thinking horizons. Travel, socialize and live life don’t lock yourself out of these important things.
  6. Learn to cook a variety of menu’s of proper home meals. Cooking is a very important skills much more as an adult.
  7. You start using drugs as curiosity soon it becomes for fun later it becomes a dependency. The transition is so fast you barely realize it.
  8. Learn about proper workout routines you can do to energize your body. You don’t have to do hard excercise even if its just yoga. Its more about something you can commit to that benefits you.
  9. Reading and getting creative are ways to keep your brain sharp and generate new ideas. Make sure you read novels and try out the different forms of art around you.
  10. Don’t be selfish in your 20’s, most of the things we hold on to are barely important in our 30’s. Stuff like money, food, material possessions. Learn to share cause these memories are the ones that we keep. Be real with every real person around you.

Have you ever caught your employees engaging in time theft? What happened?

This takes me back a few years. The Philadelphia DA felt he caught “Streets” workers at extreme wage theft.

The city had gone through a series of bad snow storms requiring both city employees and contractors running snowplow on the streets for over a week.

A few months later the DA holds a press conference that a particular employee is being charged with felonies related to false time sheets (getting paid for time not worked). It might have been the soft kick-off to their campaign for mayor or governor — showing how they could fix problems like this.

In next day or two the employee is arraigned in court with lawyers from the union. The DA (usually an assistant associate low level attorney handles these hearings) give a speech about how it was not humanly possible for the employee to have worked the hours claimed (from memory: between 120 and 160 hours in a week) so they had to be sleeping on the job — “stealing from the taxpayers of our fine city, this just shows how the union abuses the city.”

Union attorney gets to say their piece. A few flowery words about clueless wealthy elected officials overreaching. Then the attorney drops the appropriate section of the contract (again: from old memory so not exact quote) “so long as any contractor truck is on the road performing snow removal and an employee is *available* for work, they shall be paid.”

This was exactly the situation covered by the contract. It was written that way so the city could bring in help for emergency-level snows but not outsource the employees.

A bit of evidence how the employee *worked* a lot of hours and then slept “on-site” (where they would normally pick up their truck) so they were clearly “available”.

The criminal case was thrown out. There may have been a $ settlement to the employee for false arrest and the DA’s political career petered out.

Beef and Mushroom Stew
with Caraway Dumplings

Browning meat in the oven reduces the amount of fat needed for cooking. Serve with julienne parsnips and carrots.

mushroom beef blog
mushroom beef blog

Ingredients

Stew

  • 1 1/2 pounds round or blade steak
  • 2 tablespoons all-purpose flour
  • 1/2 teaspoon freshly ground pepper
  • 1/4 teaspoon salt
  • Bouquet Garni*
  • 1 tablespoon vegetable oil (if necessary)
  • 1/2 pound small mushrooms
  • 1/2 cup chopped onion
  • 2 cloves garlic, finely chopped
  • 2 cups beef stock
  • 1/2 cup dry red wine
  • 2 tablespoons tomato paste

* Tie together with kitchen twine 1 celery stalk with leaves, 3 or 4 sprigs parsley, 1 bay leaf and small sprig of thyme

Dumplings

  • 1 cup soft fresh bread crumbs
  • 1/4 cup melted margarine or butter
  • 1/4 cup all-purpose flour
  • 1 teaspoon baking powder
  • 1/2 teaspoon caraway seeds
  • 1/4 teaspoon salt
  • 1 egg, beaten
  • 1 egg white

Instructions

  1. Stew: Cut steak into 1 1/2 inch cubes. In a bag, combine flour, pepper and salt. Add beef, a few pieces at a time, and shake to coat with flour mixture. Shake off excess and place meat on wire rack in flameproof casserole. Bake in 350 degree F oven for about 25 minutes or until browned. Meanwhile, prepare Bouquet Garni.
  2. Set meat aside on platter.
  3. Place casserole over medium heat. Only if necessary add all or part of the oil. Add mushrooms, cap side down, and cook until golden, transferring to platter as they brown.
  4. Add onion and garlic; cook until onion is transparent. Add stock, wine and tomato paste; bring to a simmer, stirring and scraping bottom of casserole with wooden spoon to scrape up any brown bits.
  5. Return meat to pan; add Bouquet Garni. Cover and simmer over low heat for 1 hour or until meat is tender. Meanwhile prepare dumplings.
  6. Dumplings: In a bowl, toss bread crumbs and melted margarine together with a fork. Combine flour, baking powder, caraway seeds and salt. Mix lightly into crumb mixture. Beat together egg and egg white; add to crumb mixture and mix lightly (mixture will be soft) shape into 8 balls of even size.
  7. Remove Bouquet Garni and discard. While stew is simmering, add dumplings, cover and cook, without removing lid, for 18 minutes.

Yield: 8 servings

Calories per serving 311, Cholesterol 127 mg

What was the shortest interview you’ve had that led to a job offer?

I had a scheduled interview for an OFFICE job! Up to that point I had been doing piecework sewing, processing chickens, and guarding slabs of foam rubber… This was a HUGE step up!

During the night before the interview, it snowed 4 inches in Baltimore. Now this is not that big a deal… except in Baltimore, it IS. Public transport did not run. Traffic was pretty sparse first thing in the work day… I decided to walk the 3 miles to the interview. I got there in plenty of time, changed my hiking boots for heels in the ladies’ room, and went to the office where the interview was to be held.

Nobody home.

A guy showed up and asked what I was doing standing in the hall. I explained I was there to interview as an accountant’s assistant. He asked how I got there. When I said I walked, he looked dubiously at my heels. He said, “In THOSE shoes?” I admitted my boots were in the ladies’ room. He asked to see the boots, so I went and got them. As soon as he saw that I really did have boots I was hired. I had not yet even entered the offices.

What would you do?

What habit did you pick up in the military that you still keep and civilians don’t understand?

A few things:

  1. I use military time.
  2. I write dates in military format.
  3. I always field strip my cigarettes and stick butts in my pocket. Yes, it smells disgusting.
  4. I have a P38 on my keychain. This is a tiny can opener used to open cans of C-rations. It even gets used once in a while.
image 571
image 571

5. Take my hat off when going indoors. Because of this, I rarely wear a hat.

6. When a passenger in a vehicle, I act as “assistant driver.” I let driver know if it is clear or not on my side.

7. I act as a ground guide for people backing up. I will often ask people to ground guide me when I am backing up, often to their puzzlement. Of course, they do not know the correct hand signs to use and those I am helping often don’t understand the signs I give them.

8. I scan the side of roads more than necessary in the US. Not too many IEDs on our roads.

9. I say, “I got your back” or “Do you have my six?” too much.

10. I use the military phonetic alphabet. D is for Delta, not Dog.

11. I use the word “task” a lot.

12. I tend to try to help people with what the military calls hip pocket training. Many people find this annoying and would prefer to screw something up than get some quick pointers.

13. Finally, I learned to never put more than eight words on a power point slide because the Army puts hundreds of words on one slide. Along with this, I also learned to NEVER ask someone to read a power point slide with hundreds of words on it.

They’re not playin’

If China really wanted to, could it successfully invade, occupy, and annex Taiwan?

Tomorrow

China would be burning a lot of bridges if they do and China is hesitant to do that

The West knows it’s dying and is thus ready to inflict every horror on it’s population

They are prepared to subject their population to everything from inflation to starvation knowing that they have tamed their population sufficiently through propaganda

They can cause China to lose quite a bit of money if they invade Taiwan and that could make a lot of Chinese unhappy and cause some strife within the country

Plus more importantly, China believe Taiwanese are their brothers and killing them is a no no because at least 30% Mainlanders have family in Taiwan

So that’s what’s stopping China

Otherwise if China decide that they don’t care, China can pulverize and decimate Taiwan into a graveyard overnight and nobody can do a thing to stop them without risking WWIII

How, during the Vietnam War, did soldiers riding in open Hueys with all their weapons and equipment manage to hang on? And did any soldier ever fall off by accident?

Yep, my brother fell out of a Huey. He was in Nam and the radio went wild, with troops needing to be evacuated from a hot zone. He jumped in as the M-60 machine gunner. It was the first time he flew into a hot zone. His adrenaline was high. Because of that, he forgot to strap in. The Huey headed out over the paddies and couldn’t land because there was a nest of Charlies that needed to be taken out first. The pilot banked to the left super hard so my brother could shoot the 60 down at Charlie’s nest. The pilot banked too hard and my brother fell out right on top of two Charlies. The wind was knocked out of all three of them. My brother was the first to come to his senses, and realized he didn’t have a weapon. He looked around and found one of the Charlie’s AK and as they started to get up he blasted them both. Killed them dead, he did. The chopper picked the GIs up and then came back and rescued my brother. When he got back to the base, the pilot said, “That is the bravest thing I have ever seen, jumping unarmed out of a Huey, kill two gooks, and saved a squad. Boy I am going to put you in for a Silver Star.” My brother told him, “I fell out and was just trying to save my ass.” He still got the Star. Analyse and improvise. A motto to live by!

Interview with a traditional woman

What are some interesting social skills that can be picked up quickly?

  1. Most people find unnecessary touching uncomfortable, remember that.
  2. Never ask uncomfortable details from people in public.
  3. If you are not comfortable looking in eyes, look at the face at least.
  4. Cough, but cover your mouth with forearm, not palm.
  5. A smile at strangers is not bad; it’s humility.
  6. Silence is the loudest display of anger; rest is immaturity.
  7. Interruption is impatience.
  8. Great people respect disagreement.
  9. When you speak in a group, try and look at everyone at least once.
  10. If you don’t know what to speak, listen to people and understand what they want to listen.
  11. Don’t be rigid on your opinions.
  12. However your interaction was, leave with positivity. A smile, and a positive statement of appreciation works well.
  13. Interact more with nervous people to make them comfortable and to leave a positive impact on them.
  14. If your palms sweat, keep two handkerchiefs in your pocket, always wipe before shaking hands.
  15. If someone is sitting on your side, don’t fold your leg in a way that your shoe faces that person.
  16. Be a pleasant person, don’t spit out negativity in front of people, however bad you feel inside.
  17. Be sure before quoting facts; wrong facts put your image down.

Have you ever accidentally found out that you were about to be fired?

Twice as a matter of fact.

The first time a European owned company announced a round of layoffs in a month. I was the new guy in the group and figured I was disposable. The day of the announced layoffs I went into work wearing my “Gone With The Wind” tie.

When I was summoned to the boss’ office, my desk had been cleared of all personal effects. My pulled out a paper and made to read it. I stopped him and said, “I know I’m being laid off. What are the details?” Boss was rather startled and we started talking about it.

I told him that he had a good old boys club where engineers from headquarters would rotate in then return for a raise & promotion. U.S. employees were treated as second rate. Thanks for the layoff. I was pretty disappointed in the company.

When he asked how I knew that I would be laid off, I told him that the company had announced the layoffs a month earlier. It didn’t take a genius to figure out that I was one of the newest people in the group and not part of the “club”. Of course I was the easiest to lay off.

I also told him that it was pretty stupid to announce the layoffs a month previous. Everyone quits working and it encourages mischief. Oh they hadn’t thought of that.

The bosses were gone within 3 months.

The other was a company where I was the entire engineering department. My boss & I were always at odds. He wanted to get rid of the product line. I’m sure the fact that his brother worked for a competitor had nothing to do with his decision.

The company staged an ambush layoff on January 2nd. Oh well, at least they didn’t screw up the holidays.

Although they offered $10k, I refused to sign their termination agreement and collected unemployment all through the covid lunacy. Made out like a bandit.

Why sex is important.

Men know this instinctively. Modern 20-something, 30-something women, are having trouble with this.

What would you do if you were told, “pack up your things and leave immediately” from your place of employment?

In a sense, this happened to me.

I was at home, having broken my foot in a stupid accident, when the head of HR was “in the area” and wanted to see me. After the pleasantries, she told me that I was being made redundant and my job no longer existed.

In the UK, firing someone is not easy or cheap. A company can find itself in court and it can all get a bit grubby. So a more usual tactic is to say that the employees job is no longer needed. Employers like this, because it saves it all getting public, legal and unpleasant, and employees like it because the employer has to pay to make it all go away.

So we negotiated a redundancy fee and the next day a car came with my personal things from my office. I trousered the (generous) cheque and picked up the phone.

The irony was that I had just had an exceptional year. I had won a load of creative awards (I was a creative director at Saatchi and Saatchi) including a Cannes Gold. I have no idea why they wanted me out. To this day I don’t know.

Two weeks later I signed a contract with a rival company for a bigger salary.

What is the most obnoxious thing you have seen someone do at the grocery store?

The local supermarket includes a hot table where they sell hot cooked chickens for $10.99 It’s a good deal – I’ll get one occasionally. It looks like this; if this is unfamiliar in your area:

image 570
image 570

The pack includes a cardboard handle for easy carrying.

One day, I noticed that whoever had labeled the latest batch of chickens had made a mistake. Instead of $10.99, they were labeled as $0.99. Cool! Cheap chicken! lol. Not really – I like the folks there and quickly let the lady in the meat line know. The chickens had only just come out; no loss to anyone.

Except – I was not the only one that had found it. A woman of – how do I say this – limited intelligence saw it and began screeching at the top of her lungs “Chicken for a buck! CHICKEN FOR A BUUUUUCK!!!” and began loading her cart.

The manager arrived right at that moment, apologized and began to correct the matter.

The woman went ballistic; screaming, shouting bloody blue murder, accusing him of stealing, of trying to starve her to death, etc. etc. etc. The price was 99 cents dammit, she deserved chicken for 99 cents!

The manager, in an effort to ease the situation, agreed. He told her that alright; she had ‘found’ the error; she could have a chicken for 99 cents.

There was a long pause as the woman looked dumbfounded. Then she launched herself at the table, screeching, trying to grab every chicken she could possibly get her hands on. Chickens were falling everywhere; spilling onto the floor. The manager tried to intervene but no help – she was grabbing, stuffing, howling; it was a disgusting display of a complete lack of dignity.

She was taken out of the store in handcuffs; without her 99 cent chicken. The entire batch of roast chicken was destroyed.

All I could do was shake my head – zero class.

Emotional attachment is fatal to a man

China and Vietnam, as opposed to both the capitalist USA and ultra-Communist North Korea, are Socialist market Economies which is the best of both worlds. Do you agree with me or not that they have the best economic outlook in the world and why?

Of course I agree with you absolutely, and any person could see it unless you are in denial or made brain dead by the western media.

They offer consistency and continuity in their policies to investors and business yet the protect their citizens against the ills of raw capitalism. In other words Socialism with Chinese characteristics takes the best of both worlds and rejects the flaws of both systems.

Hence the growth of both economies of China and Vietnam both grow phenomenally and consistently. For decades and now generations through ups and downs of the economic cycle. Westerners scream democratic and freedom but ignores the fallacy of this costly, inefficient and mostly corrupt system that put their people at the mercy raw capitalism.

If you look at the U.S. for example, 1% have more money than they ever need, 10% are wasteful and selfish but can afford to live comfortably , while 70% are poorer than most advance economies and in fact are like the majority of Chinese except that the Americans has to bear the burden of it’s weaker purchasing power in their country. The majority of Chinese has high disposable income. And while Chinese cut out the destitute poor in the past 10 years, the U.S. raw capitalism allowed the 19% in Americans to have to worry where their next meal is coming from and they barely have 500 dollars to their name!

A government role in a society is surely to bring prosperity, peace and harmony and healthy living for everyone. From that count the Chinese population enjoys that. Western liberal democracy allows the rich to get richer and the poor to be destitute. But because they wrongly thinks they need to overlord the entire world, they barely have enough money left to pay for infrastructure, college education or healthcare. So they fail the poor and pamper the rich!

Are you surprised that according to western surveys, 91% of Chinese favour their government and 36% of Americans favour their government! I am not! Are you?

What is the best case of “You just picked a fight with the wrong person” that you’ve witnessed?

It wasn’t a person, it was an insurance company.

My son was given a car for his birthday. We bought it. It was nice little car that had been wrecked and was repaired and had a salvage title. That meant that a previous insurance carrier had totalled it following the wreck, and it had been repaired and state certified to be safe.

Anyway, he had been driving it for several months to school and to work after school. This one day he was in his way to work and came to a stop sign. Stopped, insured the traffic was clear and went to make a left turn. Older lady than him (he was 17, she was 36) had stopped at the opposite stop sign and gunned it, hitting my son’s car in the back quarter panel and rear door on the passenger side. He called me and I went to the scene. The accident was on state highway, inside city limits. I had the option to have the highway patrol called to work the accident, however I decided to just let the local police work it. I spoke with the lady and she was all apologetic and saying she’d take off it…yeah, right…

She took care it alright. She whines and said that it wasn’t her fault, that kid just stomped on the gas and caused the accident. They took her word for it. Even though you could about tell from my son’s damage that she caused the accident.

So the next day, my son is home in bed sick. I’ve medicated him and he’s sleeping. The phone rings, it’s the insurance adjuster from her insurance. Absolutely demands to speak with my son. I tell him no, that my son is sick, had been medicated and it’s sleeping, and that I won’t wake him. Adjuster didn’t like that and was insistent. Well he woke Mama Bear…I told him that my son was 17, still a minor, and that he (the adjuster) would wait until I said that my son could talk with him, then I hung up.

Could days go by. Another phone call from someone else in that company. Says that my son was assigned 50% blame (no fault state here) because he turned into a four lane road and didn’t stay in the closest lane. I laughed and asked what town did your adjuster go to? My town has zero four lane roads, only part of the highway with a center turn lane, that ended 2 blocks before the intersection where the accident happened. They wouldn’t budge, so I said fine whatever, just cut me a check. BTW, they wouldn’t pay for a rental since my son wasn’t 21, and wouldn’t get one for me so I could let my son have my car, since I wasn’t in the accident… we only had liability insurance on my son’s car as it was so expensive, so they couldn’t do anything.

So I took pictures and sent them to the insurance adjuster and asked him to show me where the four lanes were. He tried to say the wide shoulder was a lane, yeah.. No.

Get another call, they do they’ll cut a check for less than half what we paid for the car, since it had a previous salvage title. Even with the salvage title, this care was with 4 times what they offered and twice what I paid. I only wanted them to pay what I had bought the car for. They refused, so I told them I’d let my attorney handle it from there on out. That gal said, “go ahead, we hear that all the time”. I said that fine, but do you hear this “I’m the biggest bitch in the state and I mean what I say”. She started spluttering and I just hung up.

We engage our attorney. The vehicle was towed to an indoor storage facility (the window was busted and the interior would have been exposed to weather). In the meantime, my son graduates high school and joined the army. After several months our attorney calls and says that the insurance company wants me to release the car to them as it’s racking up a huge bill. I asked if they were giving me what I wanted, he said no, they’d only come up to about 3/4 of my demands. I laughed and said no.

Another few months go by. Now my son has graduated basic and is almost finished with his advanced training and is days away from getting married. Insurance is whining about the storage fees again. Again they don’t want to pay. Of course once I hired our attorney, the suit included the insurance paying my attorney as well as the storage fees and the original cost of the car. So again I say no. I got a call from the storage facility. The was a tow truck there for the car. I drove there and told the driver nope, you can’t have it. He tried to say that he had orders to impound it… I laughed at I called my attorney.

So now it’s been over 2 years since the accident. My son and his wife are in Germany. I get a call from my attorney. He’s laughing his ass off. Said he had a check for me, $500 more than I asked for and all storage fees and his fees as well. Said the person he had been dealing with was whining about how hard I was to deal with and that I should have compromised sooner. Attorney told him that had I been given what I asked for at the get go, it would have been a hell of a lot cheaper. Was told that since hardly anyone actually engages an attorney, it’s the risk they take, and since their attorneys were on staff, they didn’t cost anything extra.

So because they wouldn’t pay out a measly $5400…it finally cost them almost $30,000.

I kept the extra $500 and sent the $5400 to my son.

So it might not have hurt the insurance company to shell out that $30k, but to me it was the principal. They thought because he was 17 that they could take advantage of him. They didn’t count on me.

The dying kitten turned into something unexpected

What is SpaceX doing differently that makes the company so successful?

I see a couple of answers that are good but don’t answer the whole question. Manufacturing is important but it is more than just that, but they are good at manufacturing.

1 – SpaceX has a strong bias toward action. Elon wants it done tomorrow and he expects you to work all night if you have to in order to make it. They definitely push action now. (Blue Origin started before them and still hasn’t made it to orbit. SpaceX did in 2008).

2 – SpaceX has a willingness to learn. They test early and often to learn about basic design flaws early. An example is the Starhopper. It was the simplest version you could ever build of a Starship. It was a tin can (actually fuel tanks) with an engine and a flight computer. They tested the engine and the control algorithm using that.

image 54
image 54

3 – SpaceX has a bias toward simplicity. One of Elon’s favorite sayings is “The best part if no part”. In other words they always look for ways to eliminate whole systems. Starship and the “catch” is an example of that. They eliminated landing gear and a few thousand pounds of structure to support it.

4 – SpaceX is willing to dream big and innovate. In 2010 when Elon said they would land boosters on the Falcon 9 and reuse them people laughed at him. That is impossible! They lost a couple figuring it out but they have now landed 239 of them as of 12/19/2023 according to their website. I assume that is updated for last night’s launch. (They launch a couple of times a week). For Starship they will catch the rocket during a controlled descent!

5 – SpaceX understands where the real cost of space travel comes from and avoid it. They try not to drop millions of dollars of flight hardware in the ocean. They also launch often to avoid accumulating costs (salaries) without income (launches) and are moving to improve both with Starship.

6 – They avoid silly costs like buying multi-million dollar NASA certified flight components. Instead they usually build their own from off-the-shelf commercial components and save millions.

The end result is they are doing things no one else can or will ever do. Next stop Mars!

When did you realize you’re an asshole?

My wife and I were visiting friends, and we’d all been joking around for a couple of hours. Some of the jokes were “mean” in the “I’m just busting your balls” sense, but I didn’t think there was any genuine meanness going on.

On the way home, my wife got really quiet. I asked her what was wrong, and she said, “You really don’t know, do you?” She then told me I’d seriously hurt her feelings.

My heart sank. That’s the one thing I never, ever want to do. She’s my best friend, and causing her pain is unforgivable. But, no, I didn’t know what I did. I had no idea what she was referring to.

She found this hard to believe, because, from her point of view, I embarrassed her in front of our friends by, out of the blue, accusing her of being “bad” in a very specific way (which I won’t go into here, because I’d hate to humiliate her, again).

Immediately, I remembered saying it, but … but … it had been a joke! We’d all been joking around, in a cutting sort of way, and I’d just joined in.

My wife said, “It didn’t sound like a joke!”

“But why would I purposefully want to hurt you?”

“I don’t know. I was flabbergasted when you said it. I guess you must resent me.”

I apologized. I hate it when people make excuses for themselves while apologizing (“I really, really meant it as a joke!”), so I didn’t. I said “I’m very sorry. I should never hurt you. That’s terrible. I love you.”

She gradually got over it—or pretended to—but I didn’t. I realized this has happened to me before, and, a few days later, I discussed this with my wife, being careful not to make anything sound like an excuse.

“I think sometimes, when I’m joking, I don’t signal the fact with an ‘I’m just kidding’ voice. Would you say that’s true?”

“Yes,” she said. “You have a very dry sense of humor. It’s often hard to tell if you’re joking or not. Sometimes I have to explain to people that you’re joking.”

I’m autistic, and though (after decades of work), my social skills are mostly indistinguishable from a non-autistic person, that’s one way I screw up. When joking, I have a totally flat affect. I don’t realize it at the time. I don’t feel like I have a dry sense of humor. In my head, I’m goofy, not dry, but the goofiness doesn’t always translate.

Once, at work, when, at the end of a meeting, my boss asked “What will you be working on tomorrow?”

I said, “I don’t plan on coming to work tomorrow. I think I’ve worked enough for one lifetime. I’m going to stay home from now on. That sounds like more fun.”

There was a long pause. Everyone stared at me. Finally, in a really quiet voice, someone asked “Are you joking?”

To me, it was obvious I was joking. But I was in a minority of one. And this wasn’t in a stodgy, conservative office. This was a partying crowd. (Part of the problem is that I tend to joke when I feel like it. I don’t wait until there’s a general “we’re joking now” vibe. This mistake isn’t terribly conscious on my part, but I’m vaguely aware that I do it.)

In addition to being autistic, I come from a part of the midwest where people naturally have a flat affect. I grew up around folks who sounded a little bit robotic, and I inherited their tendency to talk without much vocal music. (If you’ve heard Martin Starr’s voice on “Silicon Valley,” you know what I’m talking about.)

I thought more about that night, with my wife and our friends, and realized I’d been trying to impress them. Once the jokes got started, I wanted to out-joke everyone else, and I stopped caring about who I trampled on. While I hadn’t purposefully hurt my wife’s feelings, I had used her to get a cheap laugh.

And then I thought some more about it. The thing I’d “jokingly” accused her of was something about her that irritated me at times. Had I acted out, in a passive-aggressive way, instead of talking to her, directly? I can’t discount that possibility. If that’s what I did, I was an asshole.

A manly point of view

What smell will you never forget?

I went camping with my dog. We arrived at night and ended up in a remote area. I managed to get the tent up, get a fire going, plopped myself down with my dog at my side on a leash.

Suddenly she jumped and barked at something, I then smelled this weird smell, it was a grassy metallic smell that stung my nostrils. In that second i didn’t realize it was fresh skunk odor. My dog startled and lunged at the skunk and sprayed my girl right in the face, her eyes were slammed shut.

I had to take her to the water pump and wash her eyes out and wash her face and head as best as I could late at night.

Then I had another problem, where would my dog sleep ? I couldn’t leave her tied up outside due to the skunk and/or bears. If I left her in my car I would never get the smell out. So she slept in the tent and stunk the tent up.

I stuck it out, gave her several baths of dish soap,.hydrogen peroxide and baking soda. Still took,.weeks for the smell to go away.

She has a thick coat and for months I brushed or.petted her the skunk smell would drift out of her coat.

I never forgot that grassy metallic smell of fresh skunk odor and I hate skunk smell.

Walter is a man

What is the one in a million coincidence you have ever had?

I’m originally from Edinburgh, Scotland but now live in Perth, Australia. I had decided one day to visit AQUA which is Perth’s aquarium and was using a bus instead of driving. When we arrived at the stop for AQUA a young male and female were getting off too and asked the driver how to get to the aquarium ( it’s at the far end of a complex with shops, cafes, beachside etc) so I said I was going there too and would show them the way.

On walking we chatted and I realised the girl was Scottish and asked whether she was here on holiday. They both were and we discussed where she was from ..it was St Andrews. Further conversation re courses at Uni etc and she said she was studying Physics and Space Science at Edinburgh University. That was a coincidence as my niece had done a very similar course at Leicester Uni as Edinburgh didn’t have that course when my niece was at Uni. I told her my niece had done the course at Leicester and she said a friend of hers had also gone to Leicester. I mentioned my niece by Christian name and was asked what her surname was. The friend was my niece. Then I remembered my sister and her OH had friends who lived in St Andrews. This girl was the friend’s daughter and was only in Perth for a few days as she and her b/f were having a tour round Australia.

This girl’s father had been my sister and her OH’s best man at their wedding. If I hadn’t been on that bus on that particular day or if I hadn’t overheard them ask exactly where the aquarium was we would never have known the connection. Quite the coincidence.

Impossible to resist

Do you agree with James Stavridis that China is not ready to take on the US in a war for another 10 years?

If war means China going to the U.S. to fight America, then you are right. But it is a not factor. China does not want to do that unless the U.S. hit China. China won’t be the first to lay its hands by

If war means China fighting off U.S. in China which include Taiwan, China then let me make it super clear. China is super duper ready now to give the U.S. such a hiding it will collapse the U.S. financially, economically, politically and militarily that the U.S. will never be the same again. Don’t even think about it. Your media, your politician and say what you like but if we have to sink all you dozen aircraft carriers we will in the defence of the motherland.

I think the U.S. knows that, that is why it won’t dare. But god help the U.S. if move beyond talking shit.

When the crab walk comes out… its game over

https://i.ytimg.com/vi/WewqqBCs0mA/frame0.jpg

Before leaving after being fired, what’s the most that one can sabotage the office without getting caught?

The research company I worked for was downsizing. My previous boss had already been let go and my new boss kept sending his employees to learn everything in my department. Well one fave employee thought she was better at my job and kept trying to change our protocols. She never actually did any work, just made our lives miserable.

Then the day came where new boss walked in and started handing out moving boxes. He didn’t even say a word, just passed out the boxes. We all packed up and left. Now my lab was a resource lab that did a ton of work for the other labs. Suddenly me and all my staff were let go and the new boss handed it over to his people.

Guess what? They had never done these procedures, used the high tech equipment or even understood the complicated algorithms to process the data. First week I get 9 phone calls asking for help. That was met with a big NOPE. Then I get a call that the favorite employee had mishandled one of the very expensive robot units and it was out of order. They wanted ME to come in and reprogram it and get them back up and running. Oh heck no! I never went back and never helped.

Lesson of my story is don’t play favorites and make sure you have the staff to accomplish the job.

Weight lifting emergency

What is the most outrageous thing you’ve witnessed in a plane?

It was in the 90’s on an international flight. There was a middle aged lady with a Gameboy playing a game at full volume after the lights went out and most of the passengers were sleeping.

People were asking her, then telling her, to shut off the sound. It appeared as though she did not speak English. So one guy rang the flight attendant, who came quickly, but could not communicate with the lady. She got another flight attendant who spoke the woman’s language, who seemingly asked her very politely to turn off the sound. Sorry, I don’t know what language it was. And if I did I wouldn’t say.

As soon as the flight attendant walked away, she started another game. Beep, beep, boing.

Angry passengers were yelling at her. Her husband got up to defend her. Someone rang the flight attendant again. You could tell by the exasperated sigh of the flight attendant that she knew what was going on.

She scolded the woman (assuming from her tone), who pretended to be hurt. It just kept getting stranger and stranger.

The woman shut off the Gameboy and put it away. But as soon as the flight attended walked away, she pulled it out and started another game. Beep, beep, boing. Here we go again.

Finally, a big burly man walked over, put his big hand on the husband’s shoulder and warned him, “I have no problem with you, but you better sit down if you know what’s good for you.” The husband might not have spoken English, but he sat down.

The big man pulled the Gameboy out of the woman’s hands, pulled off the back, took the batteries out, and gave it back to her. She was now screaming in a language I did not understand.

“You’ll get the batteries back when we land. If you had just turned down the sound this wouldn’t have happened.” He was raising his voice, partially because he was angry, but partially because he thought she didn’t speak English.

Finally, as he went back to his seat, she stood up and yelled in perfect English,
“F**K YOU!!! IT’S NOT AS FUN WITHOUT THE SOUND!!!”

What is the largest amount of money you have ever given to a stranger?

I once offered $5,000 toward state-of-the-art proton beam radiotherapy to a very good friend of mine. After speaking with his mother, he told me no, because she had advised him that, when he was unable to pay me back, I would sue him.

I, in fact, never made this $5,000 loan. — That he turned it down made him, in a way, a stranger to me; it’s almost impossible to go from a state of literally talking about everything little thing of the day with your buddy to … in all likelihood, slowly, he is dying of cancer; he probably won’t be here in five years.

I hope he’ll be here in 20. — For a cancer that is only responsive to surgery yet located in a place that barely tolerates any surgery, much less surgical resection, — for a cancer that has no effective chemotherapy treatments, curative or palliative; that, even for proton beam therapy, it’s probably not going to work.

And, you only get one shot (pretty much). — Getting the proton beam therapy twice carries about the same risk of catching another fatal cancer, so the utility-maximizing function aspect of this changes.


The part of my brain that is finance-oriented — that manifold — expects a forecast of a certain result, and deviation from that result, whether up or down, is necessarily bad.

If you forecast profits of $0, and in reality, you received $100,000, then great, but it’s still a problem from a risk perspective.


People do small things now. They will choose not to homestead their homes. I don’t know why; always reason. Perhaps… not planning on staying here all that long. Yes, but the money you’d save in taxes would… pay for the radiotherapy.

Do you see where this is going?

The whole new-money/old-money part of the film, Titanic, is interesting in how correct it is, and how misleading it is.

Rose is everything great about old money with none. Jack, of course, is fictional.

Jack woulda lost the best. Jack woulda died under the bridge.

Jack would’ve been of a mindset that you could not trust him with a blue diamond necklace but for if he were even accused of stealing it, he would never see the light of day again. — William Blake writes of circumstances like this (see: end of Auguries of Innocence).


This person and his mother are not crazy. There is no reason to think they would not be able to understand that you cannot sue someone for return of a gift.

But, it would take someone, for lack of a better term — like me —, to explain this to him/them, and they don’t want to believe it. I don’t know.

Maybe $5,000 was never enough. — Or, maybe we should not second-guess people. I know what they said.

Hungarian Stew with Noodles

Hungarian Stew with Noodles
Hungarian Stew with Noodles

Ingredients

  • 1/2 cup vegetable oil
  • 1 large garlic clove
  • 5 pounds beef stew meat, cut into 1-inch cubes
  • 4 medium onions, sliced
  • 3 (6 ounce) cans tomato paste
  • 2 1/2 cups water
  • 1 tablespoon paprika
  • 2 teaspoons salt
  • 1 teaspoon pepper
  • 1 bay leaf
  • 1 (16 ounce) package bow tie macaroni
  • 2 tablespoons butter
  • 1 tablespoon minced parsley
  • 1 tablespoon grated lemon peel
  • 1/2 teaspoon caraway seed

Instructions

  1. In an 8-quart Dutch oven over medium heat in hot oil, cook garlic 1 minute (discard), add stew meat and onions. Cook until meat is lightly browned.
  2. Stir in tomato paste, water, paprika, salt, pepper and bay leaf. Heat to boiling. Reduce heat to low, cover and simmer 2 hours or until fork-tender, stirring often.
  3. Cook noodles, drain and toss with butter and parsley.
  4. To serve, sprinkle stew with lemon peel and caraway seed.

Servings: 12

CNN predicts in its December 27, 2023 article that China is stuck in the “middle income trap” and it will experience an economic decline throughout the next decade. Do you agree?

You need to be able to read through English and dissect what CNN says

In most of the Media propaganda, they generally don’t lie about the content, they mislead people through the Headline and the Conclusion

For instance, they say Chinas Economic Growth will decline

It will

China grew at 7.41% from 2013–2023 and now will grow at around a worst case of 3.93% from 2023–2033

That is a decline in growth by 47%

Any non economist who reads this will say the same thing

However let’s look at 2003–2013

Chinas average growth was 11.21% from 2003–2013

Thus Chinas growth rate ALSO declined from 2003–2013 to 2013–2023 by 34%

Now let’s see another thing

How much population growth contributed to GDP growth in each decade

2003–2013 – it was 4.9%

2013–2023 – it is 0.8%

2023–2033 – it is going to be 0%

How much Government spending contributed to GDP each decade?

2003–2013 – It was 13.90%

2013–2023 – It is 6.30%

2023–2033 – It’s going to be 3%

How much will Real Estate contribute to GDP growth each decade?

2003–2013 – It was 15.75%

2013–2023 – It is 10.77%

2023–2033 – It will be 0%

What do you see here?

You see that the GDP Growth for China from 2023–2033 will be entirely from solid areas instead of paper growth

High Technology Manufacture & Domestic Consumption which accounted for 1% in 2003–2013, 3.1% in 2013–2023 will account for 14% of Chinas GDP Growth in 2023–2033

So Chinas 3.93% will almost entirely build it’s foundation economy and not it perceptionary economy

By 2033, China will have an immensely strong economy and resilient one

Not a flimsy paper economy

What screams “I’m pretending to be upper class”?

I had a classmate who must have married a rich guy. I didn’t even try to keep in touch with her after high school. But we ended up friends on Facebook. That was the time I just accepted every friend request from everybody I’d ever met in life.

After a while, she started to get on my nerves. She constantly complained about her upper class problems.

  • I don’t think I have time to get the cabin ready for summer. All the linens I ordered haven’t come in yet. I want to kids to sleep on new sheets, not last year’s garbage.
  • Paris was great, but now we don’t have enough time to get the boat ready for the lake.
  • I just hope the new furniture arrives at the cabin on time.
  • The painters came on the wrong day. They were supposed to come before the new carpet, and before the furniture arrived. So we had to put our new living room furniture in the theater room. It’s so inconvenient.
  • Can somebody teach me how to use the navigation system in my new Mercedes S Class? I miss my BMW 740i. I just can’t learn a new navigation system every year like this.
  • Just got back from Hawaii for Christmas. Now I have to supervise these caterers for the New Year party.

I later found out that they had a second mortgage on the house, credit card debt, and they were a month away from foreclosure. That didn’t make me happy, but it explained why she was always complaining about her problems. She was trying to make people that she hadn’t seen in a decade think that she was doing fine.

What is a slap-in-the-face job offer?

“What is a slap-in-the-face job offer”?

I was given a notice that I was going to be let go and a slap-in-the-face job offer from the same employer in the same week! let me explain….

I had been working for the same employer for years (on and off) and my group was getting a little light on work, although there was a lot more work on the horizon. One day, I was pulled into the Manager’s office and was notified that I would be laid off in 2 weeks. I wasn’t really shocked, as I could see the writing on the wall due to light workload. I was offered 2 months severance at my current salary and would be paid out my remaining vacation time. Considering that I was being fired, the severance package offered was pretty good. I signed on the dotted line!

With my impending unemployment looming in the distance, I began working my network for a new gig. After about a week, I had a few interesting local prospects. It was then that a supervisor in another part of the company that I was being let go from contacted me. He said that they would like to retain me and rip up my forced resignation letter, but only if I would agree to a 20% pay cut and a relocation. I had 5 days to decide, which was pretty stressful, considering that I had a family to support and potentially uproot.

I was slightly insulted and my soon to be former co-workers thought it was a big slap-in-the-face, considering that I had been slated for a lot of future work at my current salary. Come to find out, the company had realized that I was needed, but wanted to make some extra coin off me by paying me less and billing me at my previous higher rate to clients. After all my years of service, commendations, and happy clients, the company I had worked weekends for, neglected my friends and family for, and sacrificed my time with my kids for, was trying to take advantage of me by trying to put me in a bind and forcing me to decide quickly on a bad offer.

Anyhow, a direct competitor was interested in my services and immediately offered me a slightly better salary than I was currently making with better benefits. They wanted to scoop me up before I accepted the bad deal. I turned down the 20% pay cut offer, accepted my resignation and 2 months severance package and took a wonderful 1 month vacation. After a month, I began working for my new employer, which happened to be across the street from my former employer. Within a year, my former employer was bought by a huge international company and a lot of people quit or were fired. I am very happy with my new employer.

What is the most dangerous situation you have ever found yourself in, and how did you deal with it?

I worked in admin with only men in my departement in a company that worked at airplane propellor⁹ services, a very strickt environment. I needed a better chair and some cabinets. The head of the supply departement, a gorilla type man, accompanied me to a shack on the premesis. We went inside and he locked the door with a padlock. I acted like I hadn’t noticed. I babbled along about what I thought was suitable, testing some chairs, opening cabinets, heart pounding! Meanwhile he laid out some flat cartboard boxes on the floor. I knew if he’d assault me I could never win. Again I pretended not to notice and asked about his kids who were back in school after the summer holidays. That made him snap out of it. I asked him to load up a few items and he did. He opened the padlock and we went back into the main building. I went straight to HR. I told the shocked woman over there I wanted him to be warned because he had a family but never let him be alone with a woman again! He was mad at me for making him look bad ‘because nothing had happened’. My direct supervisor let everybody know what happened and all the men took my side. He was not fired (my request, everybody needs a second chance) but they added a black page in his file.

Who was the most interesting person you’ve ever been seated next to on an airplane?

Maggie.

My husband and I were coming home from Puerto Rico, flying into Pittsburgh that night. A small, stereotypical family sat in front of us: attractive father, beautiful young mother, and two adorable kids, one still an infant and the other a toddler. We’re minding our own business when, out of the corner of my eye, I see the toddler looking at me from the openings between the seats.

“Hi!”

I smiled and said hello, and she took that as an opportunity to tell me literally everything about her life. Her mom kept giving me this patronizing look (like, “God, I’m so sorry she’s talking to you; if I could get her to shut up I totally would”) and saying “Maggie, let’s leave them alone and sit in our seats, OK?”

She told me her name was Maggie and she likes pink, blue, and black. She’s 3 years old, and how old are we? “You’re 22? And he (my husband, Joe) is 24? So you’re both 22. OK!” Maggie lives in Ithaca, New York, and loves flowers like the ones I have on my arms (tattoos) but “you shouldn’t draw on yourself with markers so much Miss Katie!” While she was in PR she “did some bad but also cool things,” and she’s going home to see Uncle Mark, who’s sick right now, so she isn’t going to hug him. “Who’s that guy with you? Joe? So Mr. Joe is your son, right? Miss Katie, can you tell your son to wake up? I’d like to talk to him.”

This carried on for the entirety of the two and a half hour flight we were on. I loved her, but my husband wasn’t so fond: she really liked him for some reason or another, and he wanted to sleep. Maggie’s mom kept telling her to turn around because, as Maggie had already put it, “the sun was going into the water so it’s almost bedtime for my brother. Do you want to see my brother? He’s a baby still.” At which point she proceeded to pull her infant brother’s foot over to the side of the plane by the window and show him to me through the cracks of the seats. I cackled.

Joe kept trying to sleep. He had headphones on, and she told him that she liked his earmuffs, but hers were nicer because they’re pink and black but she only wears them in the winter. As my husband drifted off to sleep, she yelled, “Wake up Joe!” I cackled some more, and she kept playing with him, poking him and saying that she “got him,” and asking Mr. Joe questions about his games and what movies he likes.

Meanwhile, her parents were asking the entire time for her to leave us alone, stop bothering them, “Maggie, do you want to color? Maybe if you’re quiet and color you can show them a picture when you’re done!” and all sorts of other mom things. Once, Maggie left for a few minutes and distracted herself with a game, but came back after a bit and said over the top of the seat, “I know I left, but I came back because I like you guys. You’re very fun!”

I loved Maggie. She kinda grew on my husband towards the end there, and I think he actually liked the attention. After the flight, her parents turned to us and introduced themselves, and apologized for her bothering us the whole flight. I said it was fine, not to worry about it, and that she certainly wasn’t bothering us. They thanked me for being so nice to her, and her mom said, “Yeah, but I want you to know that now, you both will be members of our household for the next two months. She’s going to go to bed every night and say, ‘Momma, do you remember Mr. Joe and Miss Katie? Can you tell me a bedtime story about them?’

I think about Maggie often and hope that one day her mom tells her about Mr. Joe and Miss Katie from the flight back from Puerto Rico. I miss her sometimes, especially since she was better at waking my husband up than I am.

Ambush | Full Movie (action Movie with Nicolas Cage)

https://youtu.be/ykXL0YXVZBs

Monkey Play

When I was quite young, perhaps three years old, I went out for a walk with my father. And thus, together… hand in hand… we walked down the street in our housing complex in Bridgeport, CT. It was a nice Saturday. Blue sky. Sunny, but cool.

And as we walked down the street we came across a curious sight.

There was this old man in a blue jacket playing a wind-up musical device of some type, and a monkey on a really long leash….

organ grinder monkey
organ grinder monkey

This guy was just strolling along and the monkey was going p to people. If we gave the monkey some change, he would take it and carry it off to the guy playing the music. A simple and nice cute distraction.

My father gave me a few coins and I gave them to the monkey. He crawled up onto me, took the money, raised his tiny hat off his head and then ran to the guy with the music box, and gave it to him. And then the guys started the wind-up music all over again.

This was my once and only experience with such a troubadour. And, I had long forgotten about it.

But … when I was going through “retirement” I ended up with complete memory recall, and thus had memories regarding this singular event.

Treasure the valuable memories that you have. They might take you to places long forgotten, and seemingly trivial in importance….

Today…

How do you find out if someone is a jerk before you hire them? I want someone who isn’t too abrasive, competitive without being cutthroat, and who is able to represent the company well.

I believe it was Sir Richard Branson who did what he called the “Restaurant Test.” Part of his interview process was an “informal” interview at a restaurant. What the prospective employee didn’t know is that Sir Richard had already notified the restaurant that he was coming with a potential employee and to screw up their order, nothing major like giving meat to a vegan but if they ordered their steak medium rare, the chef would cook it well done.

Richard would then see how the other person reacted. If he was polite then it was onto the next phase of the interview, if they were rude he would finish his meal and that person would not be considered for the job

When in your life did you feel happy to be home after dealing with a lot of stress?

My wife and I agreed early on that whoever could draw the largest salary would work while the other was stay-at-home parent. Unfortunately I went to work (would have loved to be the stay at home dad.) Our deal included that after a 30 minute decompress and wash up after arriving home, our daughter was 100% my responsibility through bedtime. My wife got up in the night with her during the week, and I took the weekend shift. We tag teamed the weekend hours, but I took most of the time. My happiest memories were coming home and getting my baby to hold, feed, and change. Later it was seeing her standing at the door or front window waiting for me. She’s 50 now and remembers being swooped up and burying her face in my fur lined coat collar in the winter.

NO stress could survive the love of a dad and daughter.

Guy stuff

How would you describe your parenting style?

I’m teaching my 6-year-old son to be defiant.

And everyone thinks I’m crazy.

“Grandma said she’ll give me a gift if I let her shampoo my hair,” he tells me the other day.

I can see how torn and conflicted he looks.

My son’s a swashbuckling, bug-hunting pirate.

You’d have an easier time getting a stray cat to take a shower.

“I hear you,” I reply, making sure she’s out of earshot. “My take?” he leans in. “If she wants to give you a gift, she should just do it. No strings attached.”

He nods and we exchange conspiratorial smiles.

“You’ve got to rein in that wayward son of yours,” she tells me after a botched shower attempt.

But I won’t.

Of course, I’m always encouraging him to be kind, respectful, and thoughtful with others.

But I won’t use the stick or the carrot.

Because when he’s older and someone hands him a rifle telling him who the enemy is, I want him to do his own thinking.

Because when he’s older and someone offers him a pill and tells him he’ll have the best time of his life, I want him to question.

Because when he’s older and someone offers him a quick reward in exchange for giving up his values, I want him to stand his ground.

Am I making my life difficult as a parent?

Definitely.

Is it worth it?

Without a doubt.

Teachers: What’s the craziest excuse for late work you have ever heard?

“My homework caught on fire.”

That was the excuse I got during the last week of school when a project was due in my 7th grade reading class.

My students were told that the book we had just read, The Call of the Wild, was going to be made into a major motion picture, and they were to create a movie poster to persuade people to see the show.

The posters were to be judged by other students and staff, and the winner would be awarded a prize. The competition was intense!

Every student had a finished poster except Shane (not his real name). I wasn’t totally surprised, as Shane had been late with assignments in the past, but the excuse was pretty shocking.

“So, Shane,” I began, “when I call your dad, will he be able to verify that your project did, in fact, catch on fire?” I was ready for him to change his story, but he didn’t!

“Yes,” he replied, “and he’s really mad at me because it burned a hole in the living room carpet, so now I’m grounded.”

“Hmm, he’s good”, I thought, “validating his story with details…this should be interesting.”

Later, I called Shane’s dad, and sure enough, Shane was telling the truth! His dad explained that his son had used so much white-out on his project, that when he held a lighter to the poster board (to singe the edges and make it look old) the entire thing exploded into a fire ball, fell to the floor and burned a big hole in his living room floor.

“Wow, Shane! I was a bit skeptical, but your story checks out. I’ll give you until tomorrow to create a new poster. Your idea to make your poster look old is most impressive (as the story took place over 100 years ago) but DON’T burn the edges this time!”

The next day, Shane proudly walked into the class. I eagerly looked for a poster, figuring he’d be carrying it, but it was nowhere in sight.

“Shane, did you finish you new poster?” I asked.

“Yep, I sure did.”

“Where is it?”

“Right here.” and he gave me a crumpled ball of cardboard. “Open it up, you’re going to love it!”

I did the best I could to smooth out the wrinkled mess. Sure enough, there was a movie poster advertising The Call of the Wild.

“It looks great, but why did you wad it up into a ball?”

“To make it look old.” he said as if I should have already known.

Shane didn’t win the contest, he remained grounded 2 weeks, and that summer he had to get a job mowing lawns to pay for the damaged carpet.

I recently saw Shane at the state fair. He’s engaged and works as an auto mechanic. He remembers the poster project vividly and said everything worked out pretty well. They ended up getting the entire apartment re-carpeted for free and Shane got to keep his lawn mowing money.

Galatoire’s Crabmeat Yvonne

jacques pepin oysters rockefeller recipe 1024x694 1
jacques pepin oysters rockefeller recipe 1024×694 1

Yield: 6 servings

Ingredients

  • 6 artichokes
  • 1 pound mushrooms, sliced
  • 1/2 cup clarified butter
  • 2 pounds backfin lump crabmeat
  • Salt and ground white pepper
  • 1/4 cup parsley, chopped fine
  • 6 lemon wedges

Instructions

  1. Bring a large pot of water to a boil.
  2. Cut stem from artichokes flush with the base and boil artichokes for 45 minutes, or until a leaf pulls off easily.
  3. Remove from pot, drain and let cool.
  4. Pull off leaves and reserve for another purpose. Remove choke and slice the bottoms. Set aside.
  5. In a large skillet sauté mushrooms in clarified butter.
  6. Add reserved artichoke bottoms and crabmeat. Heat through, stirring gently.
  7. Season with salt and pepper and sprinkle with parsley.
  8. Serve on toast points with a lemon wedge on the side.

Attribution

Source: Galatoire’s, New Orleans, Louisiana. Gulf Coast Cooking

What is the best thing that has ever happened to you for being nice?

We have a son.

Twenty years ago, a friend called me in a panic. She was on the backside of a bad divorce, she had a three year old daughter, and she’d just been told she couldn’t continue to live where she was. The little girl was with her father that weekend, but my friend was at a neighborhood restaurant with no money and no idea what to do next. I told her to come over, got her something to eat and settled her on the sofa because she hadn’t slept in something over 24 hours and told her that we’d figure out what to do next when she woke up. Ultimately we moved her and her daughter into our guest room.

About three weeks later, she introduced us to a young woman who was pregnant and not in a position to raise a child. (She was married. That wasn’t the issue.) Sitting at our dining room table, having just met us and not knowing much more than that we’d taken in our mutual friend, she asked my husband and me if we would adopt the baby. We’d fought infertility for a long time. We said yes. She talked to her husband, and the whole thing was agreed on by the following morning. About seven months later, I was her birth coach and had the incredible privilege of catching my own son.

He’s nineteen now. We have him because we let a friend in a tough spot move in with us.

What was the best revenge you’ve ever gotten?

Mine was in the Air Force. I had a racist for an immediate supervisor who always gave me average ratings on my performance. I didn’t realize how bad I was getting screwed until one of my counterparts (who was a total screw up) was shocked that he higher ratings than myself. A “3” is considered average (which I was getting on my reviews). This “screwup” was getting 5’s which is the highest score. I was thinking “how the HECK did he get a 5??” This dude missed time, came to work drunk, was reprimanded for fights….been to jail….etc. my record was SPOTLESS. Always the first on the job and the last to leave. Kept my uniform super clean. So I realized that I’m working twice as hard as this other dude and getting a much lower score.

This was in the 1990’s. I overheard a conversation with my racist supervisor one day about what he wanted to be when he leave the military. He stated that he wanted to be a highway patrol officer “so that he can legally hit minorities”. He always made crude jokes about Mexicans and blacks. As an African American myself I hated this dude’s guts. His leadership skills were the worst. I hated every day of working with him.

One day it was his last day of work. The shop was going to throw a going away party for this racist pig. There was an option to donate $10.00 to the potluck to participate in the party or miss out and work. I chose to work. I’m not donating a DIME to this dude’s going away party.

After he gracefully disappeared from the job I thought that would be the last I’ve heard of him. NOPE! I was wrong. Found out later that he did apply for the state highway patrol position.

The timing on this particular day couldn’t have been more perfect. Two men from the highway patrol were visiting our unit and I happened to be the ONLY person there. They asked me “who’s in charge?” Well, I’m the only airman in the shop so I was actually in charge. I asked them what’s going on? They told me that they are doing a follow up on a gentleman who applied for highway patrol who used to work at this unit. I told them I have detailed files on this person. What made this even better was the fact that one highway patrol officer was black, the other was Hispanic. I was so nervous in hopes that our main supervisor didn’t show up because he would give this guy a decent feedback. I wanted them to hear the DARK side of this scumbag.

I told them that he was a decent worker at best but here are some documents I wanted to share. Luckily I kept my old floppy disk handy with all of the stuff I wrote to the higher chain of command about this racist pos. The statements had dates and times I written down of his racist comments over the years. Too many to write in this essay. But let’s just say it was two pages worth (front and back).

The look of disgust was very apparent on their faces. One of them asked me “people like him still exist in the military?” I replied “shockingly, yes”. They asked me, “did you ever want to knock this dude the F- -K out?” (Many Times I did).

To make a long story short, months went by and I didn’t hear anything. Then one day I overheard a conversation about my former supervisor being turned down for his highway patrol job and was working at a Wal-Mart as a warehouse worker. In all honesty, I take GREAT pleasure in feeling that my sneaky swift actions were the reason.

Get outta here

I told my professor that I am a Mensan; he laughed at me. What does it mean?

I certainly wouldn’t laugh if a student of mine told me that – so have no idea why your professor did. But I wouldn’t give it much weight, either. And I’d wonder why the student told me. Would they tell me how tall they were? Or what color hair they had?

If eligibility to be in Mensa requires one to be in the top 2% (or something) of people who take IQ tests, that is a nice capability to have. But what percentage of university professors are likely to also be eligible if that’s the requirement – plus have become academically very accomplished in their field of study? I have no idea what my IQ is, it’s never been tested as far as I know – nor has it ever mattered to me. It is likely higher than some – and not nearly as high as others, both professors and students I have known in my well over half-century at a university (if you include my student years). It’s a place that tends to collect very bright articulate people.

So if a student of mine told me they were in Mensa, I’d probably ask them about it, what they did as a member of Mensa, etc., just as I would if they told me they were in an orchestra or worked for a charity or played on the soccer team or something. That is, it is information about that student and it might be interesting, but what does it change? I would expect that person’s intelligence to show in their love of learning, the questions they ask, the connections they make, etc. And all of that intellectual capability would be obvious even it they were not a member of Mensa.

What was the best “extra item” you got in your order when ordering/eating at a fast food restaurant?

I went to McDonald’s and went inside to place a to go order. While I was standing there, the manager walked up to me and handed me an empty French fry box. This was during the time that McDonald’s was doing the Monopoly game. The game piece on the fry box was already partially open. I peeled it the rest of the way back and it said it was a $2000 winner. I really needed the money for college at that point in my life. I thanked the manager profusely. She said that it had been inadvertently opened by a worker. She saw me standing there with my young daughter and thought that I looked like I could use some cheering up. Best trip to McDonald’s ever!

If ASML cannot sell to China, how did they sell a huge order in September?

It’s very complicated

It seems the Dutch Government has forbidden ASML to sell EUVs to SMIC from 1/9/23

Yet orders placed until 23:59 on 31/8/23 can be shipped and fulfilled.

So technically ASML can ship orders to SMIC upto the time the last order placed on 31/8/23 is fulfilled

That’s for 21 EUV Machines & 50 DUV Machines

In September, ASML has delivered 4 EUV Machines & 17 DUV Machines


Meanwhile there is another legal complication

SMIC has now introduced a Distributor company who can buy EUVs from ASML and simply sell them to SMIC for a 10% commission (10% is just an example)

Now the Dutch order said only SMIC was forbidden from receiving ASML EUVs so ASML has also accepted 8 more orders for EUVs from this distributor

Technically Dutch Govt cannot specifically forbid ASML from selling to China as a whole as that is a major WTO violation

So they can only blacklist importers

So China can keep bringing up new distributors and placing orders and ASML is happy because TSMC has cancelled 24 Machines recently and i am sure China offered full price for the machines


Ultimately MONEY TALKS

Once again the US is furious but again it’s all about the legalese now

SMIC is forbidden so an agency buys and sells to SMIC

The Dutch look the other way of course

ASML is delighted because they sell more machines


My guess is this new agency will be blacklisted soon and they will incorporate more laws to prevent such measures

Yet that gets China another 8 machines plus a further 24 machines which I bet China will place as they are already in process

This means SMIC technically can make 7 nm and 5 nm Chips in decent quantities at least till 2026/2027

By then they should crack some breakthrough

So China has gained TIME and the Dutch have put business ahead of stupidity

Just like NVDIA and Intel just sold products to China which were slightly off the specs in huge numbers

Economics is ultimately invincible

Bile. Pure Bile.

What is something a teacher did that impressed you?

My Calculus professor in college did this:

He was working on a HUGE problem that took up the entire white board. The students were doing their best to follow the problem in their notes and in their minds. Suddenly he stopped, turned to look at us, turned red with embarrassment and realized that he got confused and lost in the problem. A student in the front row gently guided him to finish.

Instead of brushing this off he used this as an amazing teachable moment.

Here is what he did:

  1. He asked us if we thought he was stupid. We collectively said “no.”
  2. He then said that he was not a “melon head” and neither were we.
  3. The lesson: He explained about the fight or flight syndrome and said that once he got momentarily confused, instead of going back to the place in the problem where he understood, he got nervous because he was in front of us, got into the fight or flight syndrome, produced adrenaline and got so stressed that he could no longer think. He then drew a picture on the board of a human brain, showed the reptilian part of our brain and the frontal lobes and explained that when we get too stressed we go to our reptilian brain and we need to return to our frontal lobe thinking. He gave us concrete strategies for exactly how to do this. (Breathe slowly, go back to a place where we last understood and start over). This lesson was SO impactful to me that I began to study the brain more and more and then I taught this to all of my students.

Final Thought: Even though my professor was teaching Calculus, his off-topic lesson was one of the best I ever had. He turned an embarrassing moment into an incredibly helpful life lesson.

This lesson was so important to me that I included the story as one of the chapters in my teacher support book, “Wait, Don’t Quit.”

Caught in the act

Ahhh. Sad.

https://www.youtube.com/shorts/wtWALCHAB04?feature=share

Have you ever found something unexpected inside of something you bought used?

I passed a great little convertible parked in front of a business with a “For Sale” sign and stopped to go look at it because I was considering a fun weekend car. It turned out the owner of the business was a past customer of mine, I had done work on his home. Two days later it was mine.

In the process of fixing every little thing and customizing it, I decided to pull out most of the interior to really clean it. Tucked in where the carpet overlapped, under the driver’s seat, was a gold ring with a small diamond. I wasn’t sure if it was real so I asked a friend at a nearby jewelry store. They confirmed it was real 18k and about ⅛ carat real diamond.

I called my friend who sold me the car. He checked with his wife and it wasn’t hers. I asked if they knew the previous owner but she had sold them the car before moving overseas and they lost track of her info. There must have been a clean title at some point because she wasn’t listed.

I doubt it was a wedding ring because it was so small, in fact the only finger it would fit was my mother in law’s pinky. She liked it so I let her keep it.

What is the bloodiest battle in Roman history?

Well, it depends on what we mean by “bloodiest”.

Battle with highest total losses: Battle of Vercellae

In 113 BC a large Germanic-Celtic coalition begins poking around Rome. They crush a Roman army and send the Romans into a panic. This army is 200,000 men strong- how can Rome compete.

Into this steps Marius, Uncle of Caesar. Marius was the most well-known general of his age and he set about reforming the Roman military with rapid speed, creating the more traditional Roman Legions we all know and love.

As this massive Army began to move for Italy Marius responded with his reformed Legions. What followed was a massive all-out clash where Roman discipline held back a far larger army. The turning point came when a commander named Sula counter-charged the Celtic cavalry and sent them fleeing into their own lines.

In all Plutarch reports 100,000 were killed, Livy reports 160,000, and Orosius reports 140,000 were killed.

Battle with highest Roman casualties: Cannae

Hannibal had invaded Italy and Rome responded by raising the largest Army in it’s history- some 90,000 men strong. The Romans used this Army to attack Hannibal, driving their strong heavy infantry forward in an effort to break Hannibal’s lines.

Hannibal had cleverly made his flanks strong and so as his center bowed inwards his flanks did not. This created a big “U” shape and the Romans found themselves being pressed from all sides. Then Hannibal’s cavalry came around the rear and surrounded the entire Roman Army. They then pressed in and killed perhaps 50,000 Romans.

My therapist refused to talk about herself. She kept saying “therapy isn’t about me, we are talking about you”. I don’t know why but it made me feel uncomfortable and a little distrustful. Is this normal?

I have to say, a number of answers to this question are appalling. What is most troubling is that many of the worst and most shaming of these responses are from supposed clinicians themselves. I am deeply bothered by this.

Is it normal, or lets say understandable, that you would feel distrustful and off about your therapist shutting down your queries about the nature of your relationship with them? Yep, sounds downright logical to me. When we feel distrustful it is because we feel unsafe in some way. You therapist refusing to engage with you around the experience of the inherent lop-sided-ness of the therapy relationship is problematic.

What you are experiencing is incredibly common, if not inevitable. I would dare say anyone who has remained in therapy for more than a few sessions with a therapist will wrestle with the freedoms and constraints afforded within a psychotherapy. For many this remains a constant felt tension throughout their therapy. It is an important aspect of therapy as exploring the tensions, dissatisfaction, unfairness, longings etc…with our therapists directly reveals our deepest needs and wants. Talking about the bounds of the therapy is the most fruitful of topics, a talented therapist would happily (if not giddily) help you to explore your distrust, or any other experience you may be having about being in therapy.

The issue isn’t whether it is right or wrong for a therapist to reveal anything about their private-selves (some do, some don’t). The issue here is that this subject has come up more than once in your therapy and you are clearly completely confused about it. Your therapist has missed a golden opportunity to open up a discussion about relationships.

It would seem according to some of these answers your therapist is not alone in completely missing the proverbial elephant, or neon sign, in the room.

He just wants peace

Have you ever met someone who sent you immediate red flags?

On my first day working at Domino’s Pizza, I was introduced to all the workers. One of the delivery drivers was a guy in his 70s whom we’ll call Morgan. He looked at me and said, “I’d ask you out, but I guess you don’t date people your grandfather’s age.” Keep in mind that I was 17 at this point; this was my first “real job.” Since I’d been homeschooled, I wasn’t well-versed in social norms, but this statement gave me the creeps. When I went home that evening, I discussed it wiith my mom, who said he was most likely teasing.

Morgan kept chatting with me, and I came to know him as a very friendly person. However, a couple of months later (I worked there from halfway through summer break until Thanksgiving, when I got a better job), I was eating a piece of leftover pizza and he grabbed my shoulders, trying to take a bite out of my pizza. I warned him not to do it again, or I’d elbow him. He talked for weeks about how “M.J. has pointy elbows,” so nobody get on her bad side.

I was never comfortable around him from that time on. He kept making comments that made me less comfortable. One day, he said, “You’re going to make a wonderful wife and mother someday.” Finally, I brought it up with my parents again. When I mentioned that he’d given me his business card so I could “use him as a professional reference,” they realized what was going on.

My dad called the manager, who was the best guy ever with six kids of his own and decided to let my dad (a tough-as-nails, Glock-carrying Italian gym rat in cowboy boots) do whatever he thought was best. I gave my dad Morgan’s schedule, and the two of them had a nice chat out in the parking lot. Morgan said about five words to me the entire rest of the time I worked there. It was glorious.

What is it like to work for a billionaire?

In a word? Surreal.

I used to work for an EXTREMELY reclusive billionaire (for further reference in the answer he will be called Greg) as a personal aide. Many things that I have seen and been a part of during my fantastic service with (for?) him are covered by legal documents that demand non disclosure from me. However, there are still some things that can be shared.

The word extremely is in all caps because only one or two pictures of him have ever been publicly published, and he has never given a personal statement. He maintained a zero social media presence, and would always grumble about the dependency of modern society on rapidly changing technology. His family and him always maintained a low profile, choosing to utilise public transport if they could (however, they had extensive means of transportation and if he felt even the slightest inkling of a threat they would use another transportation method). The children attended a private school just out of the county, however, in my country, private schools are very common, so this is not too fancy.

But, don’t for a moment underestimate the man. He had an uncanny ability to read people, pick out things others would not see at first glance and was always determined to understand and learn about the world around him. He would anonymously donate millions to organisations that furthered research into space (is this a thing? I learnt this from another personal aide). He played his cards close to the chest, and if he did not understand a theory or idea, he had no qualms of ringing the relevant party up to better understand the concept, something he did regularly with his firm which led to some talks behind his back in the firm.

He always maintained a composure of calmness through out even the most trying situations. There was a time when a client agreement between his firm and the client had fallen through, and they only had a few hours to rehash all the details. Unfortunately, he had given the lead architect of the deal a few days off earlier in the week as the client company had, at first, shown a very positive outlook on the agreement. Greg knew that the architect was out deep in the country side where he was helping with the after party of his sister’s wedding. Cell service was not great, and while the architect was informed of the situation, he was in no place to rehash a multi million dollar deal. So what was done, within a span of 1 hour, was a helicopter from a local tour agency, that always had one on standby, was chartered, sent out to the architect, brought him back and they worked on the deal. The deal eventually fell through (it was beyond saving if you asked me), and Greg felt extremely guilty for the inconvenience he caused the architect. As a form of thank you, he proceeded to pay for the sister’s wedding, and gave the architect another month off.

The billionaire I worked for always attempted to remain frugal. He never owned any form of private transport (jet, helicopter, yacht etc), had a small car collection but always donated money or reinvest it into the market. He never showed emotions, but you knew he was a caring individual. His neighbours son had been involved in an extremely gruesome accident when his car was slammed by a truck. Knowing that financing the operation and hospital stress for the son would be too much for the mother, he quietly payed for the entire operation, and set up a trust that would cover the living and medical treatment costs for that young man for the rest of his life.

Greg passed away a few years ago, which is when I stopped working. His wife asked us aides if we would like to stay on, and while several did, I left to take care of my ailing Mother. As a parting bonus, she gave the aides leaving a substantial monetary bonus that will be enough for the rest of my life if I live carefully. I haven’t heard from them in a while, but their current aides say they are still the same down-to-earth people I had known them as.

Greg was a fantastic individual. He was an avid learner, an individual who did whatever it took and, yet, still managed to keep the small things in life within his view. It gave me great pleasure to work for him, and I definitely miss him.

The Ferrari 166 S

The Ferrari 166 S was a sports racing car built by Ferrari between 1948 and 1953, an evolution of its Colombo V12-powered 125 S racer. It was adapted into a sports car for the street in the form of the 166 Inter.

2 17
2 17

Only 12 Ferrari 166 S were produced, nine of them with cycle-fenders as the Spyder Corsa. It was soon followed by the updated and highly successful Ferrari 166 MM (Mille Miglia), of which 47 were made from 1948 to 1953. Its early victories in the Targa Florio and Mille Miglia and others in international competition made the manufacturer a serious competitor in the racing industry. Both were later replaced by the 2.3 L 195 S.

1 17
1 17
8 15
8 15
5 15
5 15
4 17
4 17

What’s the strangest question you’ve been asked during an interview?

At the end of the interview, the hiring manager leaned back on his chair, folded his hands and grinned, “Tell me why I shouldn’t hire the guy I interviewed before you came in?”

I had to think for a moment. “Having never met or interviewed the guy, I can’t make an informed opinion.” And I thought the reason for the question was to see if I made snap decisions without any facts.

He shook his head, “Now that’s a cop out. Give me another reason.”

“Let me see his resume and give me a few minutes. Cover up his name.”

“I can’t do that, but I’ll show you his cover letter.”

He folded the paper so I couldn’t see who sent it, and moved it across the desk. I read the first sentence and said, “He makes careless mistakes. He misspelled the name of your company.”

The hiring manager’s eyes popped and said, “What?” He looked at it again, then said, “This meeting is over.”

And that was that. I never heard back and no one in HR would take my call. I don’t know if it was a fake letter he used as a test or a real one.

That was the strangest question I was ever asked.

Have you ever accidentally found out that you were about to be fired?

Yes, I was a financial manager at a golf & country club.

I took two weeks’ vacation and when I came back there was a note taped to my computer that said, “Do Not Open.” Immediately, I knew because employees were being let go daily.

Minutes later, my desk phone rang, and the NEW GM wanted me in his office.

I was let go for no reason given other than “Don’t take it personally”.

I stayed in contact with my assistant, and she was given my job at $8 an hr. She also told me he brought in his wife, children and many other employees that he knew from a previous job at another club.

All total, 23 employees were let go and replaced!

Karma got him….he got caught stealing and he was fired!

Nagging Song

Have you ever dined at a restaurant that left you thinking ‘is this a joke’?

Some years ago, when my special needs kids were younger, we went to a nearby restaurant to practice behavior, social skills, and new experiences. They won’t learn if they aren’t exposed.

I agreed they could have anything on the menu as long as they agreed to try their choice. My older two tried something off the adult menu, but my younger one was less confident and asked to try something from the kid’s menu. I wanted this a positive experience so I said sure. He ordered the personal pizza.

I did chuckle that we came to a restaurant for him to have pizza, but we agreed. It was a great time, and everyone enjoyed. Well, I didn’t enjoy it as much when I got the bill. His personal pizza cost almost as much as a regular pie from the pizza shop. Well, we came for the experience and everyone behaved well. But next time, I had an impulse about eating out, I asked the kids if they were ok with the pizza shop, and they were. The restaurant was ok, but you could relax in the pizza shop. Ok, I learned a lesson that day.

What’s the biggest secret your mother told you that you can disclose here?

She hated the pool and resented my father for getting it.

Upon retirement, my father put an in-ground pool, complete with a deck and privacy fence, in his back yard. I’d been moved out for three years at that point, and I usually visited during the holidays, when the pool was closed. I never saw it in its full glory except in pictures. I saw a lot of pictures of my dad and sister and other people having great pool parties.

My mother was never in those pictures. She was the one taking the pictures, I assumed. But she later told me that she hated the pool. It cost half as much as the house it was attached to. It was the single biggest purchase my father ever made for himself.

He got about eight years of use from it. Then, his health got so bad that his doctors told him to quit going in it, lest he have a heart attack and drown in it. They drained the pool that winter, and it was never used again.

Then he died, and for the next six years, my mother had a giant man-made swamp in her backyard. Every time it rained, she had to pump the water out of the pool. It attracted frogs and snakes. It actually reduced the value of her home, because she lived in a poor neighborhood and no one who could afford to fix and maintain the pool would want to live in that neighborhood.

Finally, just last month, she got it filled in with dirt. It wasn’t cheap, of course, but now she can have what was there before: a garden.

Easy vs Hard

From an economic perspective, what would a “reasonable relationship” between China and the United States look like?

To the US :-

To the US, a REASONABLE RELATIONSHIP will be when :-

  • Xi Jingping retires or resigns
  • China demilitarizes and commits to the same
  • China relinquishes the One China Policy wrt Taiwan and cedes the South China Sea to US Hegemony
  • China prepares to be Number Two for the considerable future
  • China purchases and takes back US Debt to $ 1.5–1.7 Trillion

Nothing else will satisfy them

Even if China makes these confessions, some Neocons won’t be satisfied

They want a War against China and to see China broken and lose considerable power and the CPC to be ousted and a US style democracy established


For China, a REASONABLE RELATIONSHIP MEANS :-

  • Each Nation understanding that their laws are meant to be followed in each nation
  • No protectionism
  • Not using Geopolitical issues in Economic problems
  • Fair Competition and the ‘May the best man win’ philosophy
  • Win Win Partnership so that the Global Economy can gain

Of course China also has Wolf Warriors who simultaneously aim to develop their independent supply chain and to oust US influence in the Global South


In the end, the businessmen in both nations want what China wants

The leadership in China wants peace

It is the US that is divided because of its unstable politicians, between Neocons and Neo Liberals and frankly too many homosexuals and transgenders to truly gauge what a diplomatic partnership means

So today all China is doing is BUYING TIME and waiting for US to collapse under it’s own weight

What’s the strangest thing delivered to your house (that you did not order)?

About a year ago we had a strange series of UPS and FedEx deliveries. They all had our correct address but the name was something like a cartoon character.. think something like Betty Poop.

The first box was a plant stand. My wife took it back to FedEx. Two days later we got the same delivery! In the middle there were a few more deliveries.. my wife was thinking it’s a scam where someone would come by and steal the freshly delivered boxes. But the stuff we were receiving weren’t that kind of stuff you’d expect in a scam.

We have an HOA with a complete list of homeowners. There was nobody with a name even close. Last box arrives.. it’s UPS and a big box of wallpaper. I send an email to the HOA mailing list, asking if anyone else was on the receiving end of same thing.

I get an immediate call from a woman on my street about 10 houses away. She’s screaming that we are stealing her packages and she’s a decorator and we made her miss her deadline! Apparently the funky name was her new business name! She wants me to deliver it all to her immediately or she’s calling the cops!

I ask her what her address was and she recites MY address.. I tell her to go outside and look at the number on her house. And that I’m putting all her boxes out in my driveway and she should come get it!

Ten minutes later there’s a man in an SUV picking up the boxes. No apology, no admission of fault. I still wouldn’t know the woman if I saw her today!

What can we do against obesity?

Penn and Teller had this show a few years back called “Bullshit”.

They would debunk TONS of stuff. It was very educational without being skewed like some of Michael Moore’s stuff is.

One show was debunking diet myths. They went through the history of sugar vs. fat and the evils of high fructose corn syrup, etc…

But one pivotal bit was when they brought a stagehand out from behind the set. He had apparently lost a ton of weight recently. They asked him how he managed to do it.

This epic hero looked straight into the camera and said, “I stopped eating so fucking much.”

When COVID hit, I knew I wouldn’t be going out as much. So I decided to change my diet very VERY slightly. I would take smaller scoops of rice and take the smaller potato during dinner. Without doing ANYTHING else, I lost about 4 pounds in about as many months. I wasn’t even trying.

Stay away from hidden sugar. EVERYTHING in the U.S. has hidden sugar in it. Look at the ingredients. Is something ends in “ose”, it’s sugar.

Exercise helps, too, of course, but not everyone can do it. One thing everyone can do, though is:

Stop eating so fucking much.

Project Looking Glass | The Time Warriors of the 2012 Apocalypse

This is fun, but full of disinfo. Enjoy yourself.

When was the day you first realised you were getting old?

I grew up outside of a small prairie town. One of my best friends grew up about 10 miles out of town, and in my teens I used to spend a lot of time at his house with him, his siblings and his parents. They had a built in kitchen table and wrap around bench. We used to sit at that table have a beer, and play a card game called “pass the ace” or sometimes “spoons” with all of our friends, his parents and siblings.

I moved away, travelled the world, and never settled down. I was about 40, still single, and I still planned on getting married and having kids someday.

When I was back in Canada, I decided to stop in and visit his parents. I knocked on the door, which was never locked, they yelled at me to come in, and there was a tableful of people playing pass the ace, and drinking beer. There was my friends mother, his younger brother, and 5 other young people playing pass the ace. I sat down, had a beer and got dealt in. I started to get caught up on all the news. It was exactly like old times, talking, drinking beer, playing cards, with a tableful of young adults, in their late teens, and early twenties. Exactly as if I hadn’t left. Then it dawned on me, I was playing cards with my friends two sons, his sisters son and daughter, and two second cousins. I was thinking I hadn’t changed in twenty years, and suddenly I was an old man playing cards, with the next generation, and they were old enough to legally drink.

That was the day, I realized I was no longer young, lets face it, I was old. I remember 20 years before, thinking how cool his parents and their friends were, for drinking and partying at their age, and now I was that old man sitting at the table.

I not only remember the day. I remember the minute it hit.

What is the most interesting conversation you overheard in a restaurant?

I’m not sure it was the most interesting, but its stuck in my mind for years. I was in a nice lakefront restaurant, inside a city, so it wasn’t a big lake, but a small man made lake.

At the table beside me was a couple and a realtor discussing putting his house on the market.

The man appeared to be about 10 years older than his wife. They had one of 5 houses on an island in the middle of the lake, accessable only by a bridge. Very exclusive. The housing market was down. The realtor had told the man what his house was assessed at. The husband said, he knew very well, what it was assessed at, but he wouldn’t sell it for anywhere near that price.

The realtor told him it would be on the market for a long time, if he listed it that high, and might never sell. The wife started to say something, and the husband actually turned to her, and said “This is mans business, we know what we are doing, let us talk, if we want to know how to decorate a room, we’ll ask you” I saw the realtor cringe, he didn’t want to be included in that kind of conversation.

The husband then told him, that the way to market it, was to sell it as one of only five houses on an island in the city, maybe the whole province. I understood his logic, but this was not a nice man.

Listing a house is something that a realtor really wants to do. Even if they don’t sell it themselves, as long as someone buys it, they make money. But, and this is a guess, it costs money to advertise the house, put it on the MLS system, and if its unrealistically high, and it never sells then they lose money. Then he has to deal with a high maintenance client, that makes him feel like he should wash his hands, after every meeting.

In any case, the realtor said that if he wanted to list the house for more than 30 percent over market value, he would have to find a different realtor. The husband was stunned, and couldn’t believe he was being turned down. The realtor paid for the lunch and left.

I don’t know if they ever talked again. But I didn’t feel clean myself, sitting at a table next to this guy, so I didn’t blame him.

Men are not dogs

How do you call out someone that claims to have been in the SAS?

There is a sure fire way to discover if a guy actually served in the British SAS, one that I witnessed myself. Let me explain. I had a friend who was an alcoholic. There was gossip in the local pubs that he was ex SAS. No matter how drunk he would get, he would always laugh and tell you not to be so stupid if you aver broached the subject with him. So how did we find out the truth? At his funeral. A serving member of the SAS in full uniform, accompanied by a bugler (Non SAS) attended and gave a eulogy that had us all gobsmacked. Not only was my friend ex SAS, but his exploits were totally jaw dropping heroic. We were told that whenever an ex member of the SAS dies. His funeral is always attended by a serving member of the regiment. RIP Alec.

What’s the saddest “card declined” moment you’ve seen?

I was waiting in line at the dollar store, lady in front of me had an infant in her arms, and had an older boy maybe 5 who was holding his little sister’s hand who was about 3 to prevent her from running off.

She was purchasing 2 tiny packs of diapers, a few minor food items, and a 1 liter Mountain Dew. She had enough for the total, but it was spread among 3 debit cards. I don’t know if the clerk was new, or a new computer system, but the clerk was having trouble ringing it up in 3 payments like she needed.

I was off work at the time, between jobs collecting unemployment, so I was struggling also, but I could easily afford the little bit she needed, so I just stepped up, and swiped my card to cover her groceries. She tried to take the Mountain Dew out (a luxury, not necessity) but I pointed out with 3 children she needed the caffeine even though 1 was very mature, and helpful.

On my way out the door to walk home (nice day, and not far, so I walked instead of driving) I heard her attempt to stay her van, it sounded like a dead battery and when it finally started, a horrible belt squealing sound told me a belt was destroyed, or very loose.

I told her I’m a hobby mechanic, I don’t live far, and I told her I’d gladly look at it if she would like since I don’t live far, so she offered me a ride and another thank you for paying for her groceries.

When she pulled in the driveway, she was reluctant to shut the vehicle off, I told her I have a battery booster or my car to get her started if needed.

Opened the hood, and someone had replaced the alternator recently, but had forgotten to tighten the swing arm assembly that holds pressure on the belt. It took as much time to grab the tools as it did to tighten it correctly. It started right up with the battery booster, and the squealing was gone.

I pointed out the belt was looking worn out, recommended she get it replaced but it’ll last for a few months at least, and told her I would gladly put the belt on whenever she needed free of charge if she showed up with the belt.

I’ve been in her shoes raising my 4 children as a single dad, so the little money for her groceries, and about 5 minutes of my time to tighten the alternator belt was no problem at all.

What is the best case of “You just picked a fight with the wrong person” that you’ve witnessed?

I’ve been wondering whether or not to answer this… The “wrong person” is my daughter (stepdaughter really,but I’m Daddy now and forever so that settles that) and the people doing the “picking a fight”were her ex boyfriend and his father.. I didn’t personally witness this because I was in Iraq at the time,but believe me I sure heard about it.

Daughter is tall and not a delicate flower even though she has all the requisite standard equipment to attract stares and suchlike, including a startling resemblance to Gal Gadot ,and I always emphasized to all my kids the importance of self confidence ,so she carries herself with a certain pride. She has Blackfeet blood along with Irish and Norwegian and who knows what else, girl has a temper too,what can I say..

Anyways,ex boyfriend and father spot her walking down the sidewalk one weekend night and follow her,asking her to get in and go for a cruise.. She says no,but they apparently don’t want to take no for an answer and pull ahead and stop, ex BF Gets out of the car and grabs her elbow and pulls her towards the car,she pulls away and he grabs her again, by this time she is almost in the door. I had taught my kids to avoid punching someone and use their elbows if the person was close enough, which ex BF certainly was unfortunately for his dumb ass, because Daughter proceeds to elbow him repeatedly in the face with backswing strikes on her way away from the car, dislocating ex BF‘S jaw and shattering his eye socket and breaking his nose, and naturally stopped any hostilities on his part, but ex BF’s dad was pushed by this time and ran around the car and accosted Daughter, catching a 50 yard field goal kick in his balls for his troubles..I taught my kids well.

no charges were filed..

The mindset of GEN Z

When Japan invaded China, did they also create “comfort women” like in South Korea?

During the Japanese War of Aggression Against China (1931-1945), about 200000, or even more women in China were lured and forced by the Japanese army to become “comfort women”. They suffered various unimaginable and unspeakable forms of abuse during the war, most of which were tortured to death at that time. Even if a few survivors survived by chance, they were left with scars and even lifelong disabilities.

At the comfort station, they subjected women to inhumane torture, even if they were pregnant, they still had to endure the torture of the Japanese army. Once they fell ill, they would be killed. The Japanese army did not leave a way for these women to survive, and they even set up a series of “rules and regulations” that made these captured women unable to die.

After Japan surrendered, they were afraid that their crimes would be exposed, and they brutally killed all comfort women before leaving. We cannot imagine how desperate they were at that moment. Fortunately, there are many women who have survived by chance. But for them, surviving may not be a kind of luck, because they have been living in darkness for the latter half of their lives, and their survival is evidence to prove the Japanese’s inhumanity. Because they survived with strength, we could only know about the various crimes committed by Japan.

There is a lengthy documentary in China called “Twenty Two”, that tells the story about China’s surviving “comfort women” during the Japanese invasion of China. The film was directed by Guo Ke, with 22 comfort women participating in the filming. It was released in mainland China on August 14, 2017. Unfortunately, on November 9, 2023, Li Meijin, the victim of comfort women, passed away at the age of 98. It means that all the surviving women in the documentary “Twenty Two” have passed away.

Until now, Japan has refused to admit their crimes. However, history is there, and it’s useless for them to sophistry. But even in textbooks, they briefly mentioned or directly overturned the truth about that period of history, to the extent that young Japanese people today do not understand what happened back then. They can cover up, but Chinese people will never forget that shameful past.

Alpha Dad Overcomes Childhood Hardships To Gain Wealth, Respect & A Wife That Treats Him Like A King

Damn is this good. And man do I love his grandmother. You rock!

What did you start doing differently that quickly changed your life?

When I moved to Thailand, I was incredibly lonely.

Not speaking the language, I lived for months in silence. I desperately wanted human connection. I just wanted to speak with someone.

My standard for becoming friends with someone dropped to having the ability to speak English. That’s all it took.

My new best friends became a 70 year old English man and his Thai wife. They would take me to markets on the weekends sometimes and talk with me if I ate dinner at their shop.

When I walked around the lake every day, I became friends with a 40 year old Thai man who used to work on cruise ships and could speak a decent amount of English to me.

A little 17 year old girl from Uruguay that I met at the gym became one of my good friends as well, she was studying abroad to learn Thai.

For the first time in my life, I had friends of all ages from all backgrounds.

My whole life, I’ve only been friends with people who were within a couple years of my own age. The only older men I talked to was my grandpa, I’d never called an older man a friend. I avoided high schoolers. Forty year old men and women were my parents age, I didn’t want to hang out with them.

But, let me tell you, life became so much richer as soon as I did. 70 year old men have lived a lot of life and can teach you a lot of things. 40 year old cruise ship waiters can tell you about a lot of life experiences that you’ve never had. 17 year old girls from high school can teach you about their way of life in Uruguay and supporting them can bring a lot of meaning to your life.

I think most people limit themselves to a bubble of friends who are the same age as them and in the same stage of life. I think that really limits yourself and the depth of relationships you can have.

I want to stay open to everyone for the rest of my life. It’s so much better this way.

Divorced Men

What is animal-inspired engineering?

Cockroaches have made their way into my life, against my will, and to great inconvenience. Three years ago, my girlfriend cried into the phone for me to come over, “Please…please…it is twitching on my kitchen floor.” She sounded like a hostage. We went back and forth for two minutes, with me insisting I couldn’t come to her house.

“I’m busy writing. Just get a paper towel and flush it,” I said, trying to calm her down. It didn’t work. She begged and begged until I finally said, “I’m doing this once.” And then drove 20 minutes — just to kill a roach.

After we moved into our new house together, my war with the roaches truly began. Exterminating them took significant research and numerous failed attempts. Mine is a common problem, and has made me question the value of these little critters.

We should be impressed that a creature has survived so much research and resources put into their extermination, and pesticides that are only making them stronger. A roach always finds a way and there is no “walling them out”. To the shock of NASA’s employees, one even snuck onboard Apollo 12. They’d moved mountains to decontaminate the spacecraft and ensure nothing snuck on. Employees were even in denial, swearing the roach couldn’t be there when astronauts said they’d seen one. Nevertheless, one did, and Apollo 12 took off for the moon while gaining the nickname, “The Roach Coach”.

Roaches are so nimble that researchers at Cornell University explored how just flexible they are. In a test of increasingly smaller crevices, they discovered roaches could squeeze through 3 millimeter spaces, despite their back being 12 millimeters high. Their exoskeleton is indeed hard and breakable, but exists in sections connected by soft tissue that molds and bends. And even while pressed down tight with weight on their back, they can still move fast and with little regard for gravity. When adjusting for size, they run the equivalent of a human running 200 mph. They can run when missing four legs. They can climb vertically while missing two feet. Scientists proved that roaches could withstand 900 times their own bodyweight without taking damage.

A roach is also an extreme gymnast, with a hallmark move, where it quickly flips from the edge of a table to the underside, dangling by one leg in an instant. It often leaves home owners wondering where the roach went. And so, a cockroach’s incredible athleticism, nimbleness, and durability, make it the perfect model for robotics technology, as we continue to explore new planets and depths on Earth. These new robots may even save lives.

A new era of inspiration

At John’s Hopkins University, Dr. Chen Li and Dr. Sean Gart filmed cockroaches running and then played video back in slow motion, studying how the legs and arms sync and propel it forward. They took notes, in awe, and looked at means of replicating these efficiencies with robots. A cockroach typically deals with vegetation, leaf and stick covered terrain. It is highly complex to navigate, but cockroaches do so with extreme ease and efficiency.

This matters because robotics is moving into a new phase, where robots are moving from indoor testing to outdoors, which is why the most clever animal navigators, including snakes, octopuses, and arachnids, have attracted non-hostile attention from scientists. One of the signature challenges robots face, is moving through unpredictable terrain and being durable enough for random physical impacts.

The study of cockroaches, in particular, has led to a new branch of durable and penetrating robots that can climb high objects, crash hard, and still get back up and resume climbing. Professor Robert J. Full, of UC Berkley, has spent his career researching the nature of evolution-inspired design, and even attached tiny jetpacks to the sides of roaches, to test how well they climb vertically while being randomly jetted to the side. It was easy work — for the roaches.

His lab designed a tiny cockroach inspired robot, that squeezes through cracks, and even looks a bit like a roach. It could be useful for descending through cracks in rubble to search for survivors. It stands 75 millimeters tall and can squeeze down to 35 millimeters, which is almost, but not quite as good as roaches. It also has similar pliable and molding body parts, that allow it to bend and move in extremely tight and uneven spaces. Dr. Full routinely meets with first responders at disaster sites, to learn more about their needs as he continues to innovate this robot.

The necessity of studying them

It is as the old Sun Tzu saying goes, “Keep your friends close and your enemies closer.” Cockroaches are a brilliant species, without actually being brilliant.

When I met with a pest control expert, his feedback wasn’t what I expected. He said, “Don’t just spray every inch of a room’s perimeter, they’ll know it’s a trap.” Then, he showed me how to put tiny drops along walls, and in the underside of cupboards and tiny cracks between furniture and sliding drawers. “Think of all the tiniest openings possible, and think like a roach — and you’ll know where to put the spray,” he said. It is this intrinsic cleverness and efficient navigating that could manifest robots of incredible caliber and utility in the coming decades.

What’s remarkable is that cockroaches don’t rely heavily on their nervous system for movement like other mammals. Even when thrown off balance, yanked around, blasted with wind, and spun like a top, their bodies auto adjust without thought. This matters for robotics because engineers are constantly programming and scripting robots to manage uneven terrain, and think about where their feet are going.

The insight from a cockroach is that, perhaps engineers shouldn’t try so hard with this programming method. They should rely on predictable mechanics, that have built-in means to traverse steep rocks and slippery surfaces. In short, make it more natural. This could impact medical technology and prosthetics, and help people who’ve had strokes or major injuries, develop more fluid gaits.

The animal kingdom is, in a sense, the only alien intelligence we deal with. Species are of ingenious design through an evolutionary arms race, and can move and adjust to their environment in ways we’d have never dreamed of. Which is evident when trying to step on a roach and you miss in the last moment, or even when you hit it, it manages to keep running. Who wouldn’t want this fortitude and evasiveness?

Perhaps what strikes me most, is that a bug with little cognitive function, has evolved to elude the smartest of all known species, and who is quite hostile to its presence. A future where nature-inspired robotics, combined with artificial intelligence, could save lives in search and rescue, improve exploration of new places and planets, and improve lives for the disabled. All thanks to our most ancient and enduring pest.

Chinese minorities

https://www.youtube.com/shorts/I2dUVTdyiOY?feature=share

Copeland’s of New Orleans Swamp Pie

copelands of new orleans
copelands of new orleans

Ingredients

  • 20 ounces Graham cracker crumbs
  • 6 ounces chocolate fudge
  • 1/2 gallon vanilla ice cream
  • 12 ounces sliced roasted almonds*
  • 12 ounces shredded roasted coconut*
  • 5 ounces whipped cream
  • 8 ounces butter or margarine

Instructions

  1. Mix crumbs together with butter or margarine thoroughly. Spread the mixture on sides and bottoms of a 9-inch springform pan to form a crust.
  2. Take 2 ounces of fudge and spread on top of crumbs. Place 1/4 gallon of ice cream on top of fudge. Next, spread 2 ounces of fudge over ice cream then place 4 ounces of coconut. Place remaining ice cream on coconut and follow with 2 ounces fudge, 4 ounces almonds and 4 ounces coconut as done before. Top with whipped cream and remaining almonds and coconut. Place in deep freezer for 3 to 4 hours.

Notes

Roasted Coconut and Almonds: Place coconut on a metal sheet pan and put into oven at 350 degrees F for approximately 3 1/2 minutes, stirring every 30 seconds. Do the same for almonds but increase the time to 4 minutes.

Simpsons Predictions For 2024 Is Insane!

What is one of the kindest things another person has ever done for you?

When I was running from an abusive situation, i lived in a shelter on welfare. After my 2 month stay was up, i had to find a room to rent or they’d send me to a homeless shelter (the one i was in was for female abuse victims and their children if they have any. Since there was a high demand for rooms there, the stays were short). I ended up finding a room that was 500$ per month. On welfare as a single person with no kids, I got 709$ per month. I went to view the room and the landlord asked me why I wanted to live there. I explained the situation and he immediately said yes. I ended up moving in not even a week later. He let me live there for free with unlimited wifi and cable TV included for 8 months of my 13 month stay with him. After the 8 months I had a job and could pay the rent, but even then he said if I couldnt make the rent to let him know. Hed share his food from his fridge with me, give me cash money randomly with notes that said things like “buy whatever you want” and “have a nice day”, hed offer to give me rides to the store and job interviews, he offered to get me a bus pass (85$ for one that lasts a month), hed invite me to share BBQs with him, his wife and the other 4 tenants. He bought everyone Christmas gifts (a box of Ferrero Roche chocolates and a 50$ amazon gift card). He never asked for anything back. He became more like a friend to me than a landlord. He was amazing

Was there ever a time in your life when you almost wanted to give up?

My stepdad beat me when I was between 8 and 11. He would wait until I wasn’t looking, then sneak up behind me with a riding crop and hit me behind the knees. My mother would not come to my defense, no matter how hard I cried. I had no idea how to process this situation. I felt like my mother betrayed me and that the legal system was on her side since she got custody of us (my sister and me) when she divorced my dad. I had thoughts about suicide, but we only lived on the second floor, so jumping wasn’t an option. There were railroad tracks nearby, so I could have jumped in front of a train. Basically, it was the lowest point of my life… and I was 11. Somehow I found the strength to get past this and accepted that beatings were a thing now. I could make it through this. I had to just count on myself to deal with the pain and betrayal.

Eventually, he beat me so bad that when my dad came to pick us up for one of our weekends with him, I was bleeding from a ruptured eardrum. My dad almost lost it. He was going to kill my stepdad. I asked him to just take me to a doctor and deal with the rest of the shit later. He did. He also demanded custody. My mom accepted immediately. I knew then that I was a commodity to her. I’ve written her out of my life for the most part. We only talk on birthdays and Christmas.

My dad did his best and I think he really supported me up until I lost him to brain cancer in January 2016. He was my Yoda, my Gandalf, my friend. I miss him daily. He saved my life.

I hope I can be there as much for my kids.

Overthinking men

What’s a rule your employer implemented that backfired terribly?

KFC – always popular for making rules and rolling it back badly. As a student in UK, I worked as a parttimer in KFC for two reasons 1) Money I get paid 2) Food I’m allowed to eat during breaks.

Staffs who work in KFC are allowed to take KFC food during lunch breaks. I know staffs who even took a bucket of chicken for lunch , but then they’re hard workers and smart workers – a pillar of support behind the enormous profit KFC makes per shift.

One fine day, the circle management decided to do some cost cutting – made a rule that staffs are allowed to take only limited food for lunch. A burger, fries and a cup of soft drink. Nothing more.

Rationing food for staffs — nobody likes it. The store manager would check how much food you take for lunch , particularly if he’s a bully , that’s annoying as hell.

Time for payback ….

We came with a plan.

If the store closes by 10 pm, we started to over cook by 9pm, pile up all the racks with cooked food.

  1. Now the “kick in the butt” is back on the store manager – it’s his head ache to sell all the cooked food before closing or else the food is reported as “unsold” in records. This means he gets questioned for poor sales, losses his incentives and what not
  2. He can’t ask us not to over cook because incase there’s customer flow and there’s food shortage – we can do nothing. That affects the rating
  3. Above all , staff food was rationed as a means of cost cutting. Now the management has learnt -” you touch my food, now deal with the loss mate, let’s see how you make profit”.

Within a week , the rule of food rationing was rolled back. The management went in knees —” take as much food you want for lunch, but please don’t overcook at closing time.

The management has learnt a hard lesson — it’s not just the store manager but the staff who knows how to run a shift with better profits.

No food chain can make profits without staff cooperation

Children who have had to clean out your parents’ house after they passed, did you find anything that completely changed how you viewed them?

This one didn’t necessarily change how I viewed my mom but it sure gave me a good (but bittersweet) laugh.

My mom was a hoarder. Her home was filled with junk from wall to wall, floor to ceiling. She just couldn’t bear to get rid of anything because she thought she might “need” it someday. The fact that she couldn’t find it if she did need it was lost on her.

Anyhoo, the day came when we had to move mom into a care home. My sister and I sat mom in a cleared corner of a couch and let her “help” by telling us “yay or nay” on keeping certain things. In the background, our team was hauling soooo much crap out into a bin without her knowing. We’d bring random boxes and let mom “sort” through them to keep her occupied. One or the other of us would sit with her and talk while the others worked. In the end, we actually kept only enough clothes and books and personal items to fit in the care home room we’d lined up for her.

During the course of the day we noticed that mom had acquired a VERY large number of nail clippers over the years. Being tiny items that you need fairly often, she was constantly leaving them here or there and losing them. The people helping would laugh and say “found another one!” every time they spotted one. In the end we found about 100 nail clippers! (We also found a mini fridge that had been buried in the back, filled with sickeningly, gaggingly, gross, rotten, mouldy food!)

Sometimes you can laugh and feel pain at the same time, I guess. It was a time of bonding for my sister and I. Mom had to give her beloved dog Spike to a friend and move away from the town that had been her home for decades. It was very painful for all of us but in a way it was also life-affirming if that makes sense.

What’s the weirdest thing you secretly do daily?

I bought a large framed picture of James Butler Hickock or Wild Bill. I loved the photo.

I hung the picture in my sunroom right beside the back door. It was like he was looking right at me and his eyes followed me everywhere I went.

I read quite a few books about this man and he led a very interesting life as a soldier, scout, lawman, cattle rustler, gunslinger, gambler, showman, and actor.

We even visited Deadwood, South Dakota where he lived the last years of his life before being killed at a card game he was playing in 1876, the same year Custer died. We went to the saloon where he was shot and visited his grave on Mt. Moriah, and there beside him was the grave of Calamity Jane who was buried when she died in 1903.

So at first, every morning I walked out that door, I’d say, “Morning Bill,” and everytime I walked in that door at night, I’d say, “Night Bill.” All in fun.

Poor old Bill was probably lonely out there as he guarded the house. Was probably also cold at times. But those steely eyes would sure look at me. Sometimes he looked sad, sometimes amused like he had the slightest smile on his face. He probably enjoyed my pipe or cigar smoke that floated around the room while I had a few puffs. But I feel he looks forward to my good mornings and good nights, and I feel that if I forget to say those things to him, well, might not bode well. Superstitious I guess.

So every morning and every night, I talk to Bill and I think it’s okay. Weird, but okay.

Night Bill.

Roles

All the benefits and no responsibilities.

How could the American Military Industrial Complex be dismantled to save the US from getting into more and more wars and ballooning national debts?

This is a very good question indeed. Thank you.

Every American should seriously think about this. Every empire that come and gone since time in memorial, went because of over intervention, over interference and over reaching to the point that it could no longer sustain itself. And they self implode and civil war is inevitable.

The U.S. is not getting there, it is indeed there now and almost beyond redemption. Seriously, Americans must jointly and severally destroy the U.S. military industrial complex before it destroy all of you. They are the single most important reason that the US is drag into conflicts after conflicts. Due to them, your real standard of living has not grown since 1960! Your great grand parents have a better standard of living compared to you!

Please think seriously about your debts. Just 10 years ago your debt was a mere USD10 trillion today it is USD34 trillion precisely 340% increase in a decade. At the rate you are going by 2034 the U.S. debts will be USD100 trillion at least! Just paying interest alone at 5% is USD5 trillion dollars per annum.

Seriously, don’t let anyone fool you! 5 trillion is so humongous your nation will never be able to do anything else. Today you can argue that the U.S. infrastructure is lying dilapidated, your homeless has reached a million, a third of Americans are in debt and can’t afford healthcare and college education. It can only afford one and only one thing. Wage more wars! Wars enriched 0.01% of Americans! Your politicians and the deep state!

China is a million times more healthier than the U.S. that is your problem right here! China’s saving is so colossal it save a U.K GDP a year. China’s debts is USD 15 trillion and almost 100% of it is investment that grows China’s capacity! Build its state or art technology and infrastructure, graduate STEM engineers the size of the entire US STEM experts each year!

This means China grow its capacity phenomenally while the US fall back every year due to your liabilities. Let me be brutally honest, you don’t stand a chance against China! But you may save the US that still remain a nation and a strong 2nd largest economy for 50 years till India catches up! Most importantly your citizens get back its prosperity and avoid total collapse! Do it before it is too late. Get rid of the neocons, eradicate the deep state, get ride of NRA and CIA.

China cannot be stop, the best you can do is be strong and wealthy again.

Top Gun with a Cat

Enjoy this FUN short video. I hope it makes your day. I know that it did mine!

Boston loves the snow

Everyone in Boston loves the snow.

Who’d figure? Let me explain.

First of all, the great and grand snow squalls are called ”Northeasterner’s”( also called “nor’easter“). A weather cell hovers over the Atlantic ocean, and then migrates to Boston… then then unloads a shit-load of snow on everyone.

It is truly amazing. My last experience was in 1 April around 1997. Eight feet of snow in four hours.

Well, of course, the roads are impossible.

But…

If you have a snowplow on your truck… wait, because the local government will PAY YOU GOOD MONEY to clear out specific streets and roads. No shit.

It’s a great program, and works wonderfully.

Other states, such as Indiana and Pennsylvania should really give this system a try. Hint Hint.

Different places… with different laws and policies. Isn’t life grand? That’s why travel is important.

Today…

What are the most fascinating “weird but true” historical facts you know about?

I have an amusing anecdote involving Grand Admiral Karl Dönitz, Commander-in-Chief of the German Navy from 1943–1945.

The imposing naval figure above was a daring submarine commander in World War One. In the lattermost stage of his career he was responsible for fleets of warships, hundreds of thousands of personnel, and the mightiest war-fighting vessels of his nation. He reached the height of naval command and was covered in honors. He was even briefly Head of State.

But with a steep rise came an equally steep fall. After Germany’s surrender in 1945, he was in a Allied prison cell, awaiting trial at Nuremberg. To while away the time, one of Admiral Friedeburg’s adjutants taught him and his fellow prisoners how to play the game Battleship.

Of course, the game requires an opponent. Who else did he find to play against him but his fellow Commander-in-Chief, Hermann Göring. Those who once directed wars and decided the fates of nations, now directed fantasy fleets across pads of paper. Göring tried to sink Dönitz’s warships with as much gusto as if he was directing his Luftwaffe’s bombers against them personally, and he did not like to lose. Once the Admiral protested,

“Hermann’s cheating! If he doesn’t like where my shells are falling, he marks them in on different squares!”

You read that right: a Grand Admiral was reduced to playing Battleship, sparring against none other than Hermann Göring, who was a dirty cheater. If that’s not hilarious yet completely in character for both of them, I don’t know what is!

In the right way

CIA in China

The CIA I mean the NED is hiring and paying shot wages. No wonder we run circles around them.

But would you whore off your soul for such a pitiful amount?

main qimg a028c7a1bc221d4e96b3777863364131
main qimg a028c7a1bc221d4e96b3777863364131

She fucked up

Creole Andouille and Shrimp Jambalaya

This jambalaya recipe will become an extremely popular dish with family and friends because it’s filled with tasty sausage, boneless chicken thighs, shrimp, fresh vegetables and rice…let’s be honest…it screams comfort food!

creole andouille shrimp jambalaya
creole andouille shrimp jambalaya

Yield: 8 servings

Ingredients

  • 1 (13.5 ounce) package Johnsonville® Andouille Rope Sausage, cut into coin-slice pieces
  • 1 pound boneless chicken thighs, cut into dice-size pieces
  • 2 tablespoons vegetable oil
  • 1 cup chopped onion
  • 1/2 cup chopped celery
  • 1/2 cup chopped green bell pepper
  • 2 cloves garlic, minced
  • 3 tablespoons Creole or Cajun seasoning
  • 2 ounces tomato paste
  • 2 cups crushed tomatoes
  • 1/2 cup diced tomatoes
  • 1 bay leaf
  • 2 tablespoons granulated sugar
  • 4 cups chicken stock
  • 2 cups uncooked long grain converted rice
  • 1 pound cooked shrimp, thawed
  • Parsley and green onion, to garnish

Instructions

  1. In a skillet, cook and stir sausage and chicken in oil over a medium-high heat until browned; about 5 minutes.
  2. Add vegetables and Creole seasoning, cook and stir for 5 minutes or until vegetables are tender.
  3. Add tomato paste, cook and stir for 2 minutes.
  4. Add the crushed tomatoes, diced tomatoes, bay leaf, sugar and salt. Simmer for 5 minutes to let the flavors blend; stirring occasionally.
  5. Add stock and bring to a boil. Adjust seasoning and make sure it is a little over seasoned because rice absorbs a lot of flavor.
  6. Add rice, stirring well. Return to a boil, cover and simmer on low for 10 minutes.
  7. Turn off heat, uncover and stir rice.
  8. Fold in shrimp and cover for 20 to 25 minutes to let rice finish cooking and shrimp heat through.
  9. Garnish with parsley and green onions.

To make in a multi-cooker

  1. In the multi-cooker, add the oil, onion, celery, bell pepper and garlic.
  2. Select sauté setting, cook and stir occasionally until tender, 10 to 15 minutes.
  3. Add seasoning, tomato paste, tomatoes, bay leaf, sugar, stock and rice.
  4. Secure lid on pot and close pressure-release valve.
  5. Select rice/porridge setting and pressure cook for 7 minutes; use quick release to depressurize.
  6. Add shrimp.
  7. Secure lid on pot and close pressure-release valve. Select egg setting and pressure cook for 2 minutes; use quick release to depressurize.

High Value

Why does Argentina, a prestigious defender of human rights, accept to belong to the BRIC, where Russia, China, and Iran are denounced by Amnesty as serial violators of Human Rights?

Why do you care what Amnesty International says?

BRICS is an economic organization, and if you care about human rights, you should be upset at many countries which are allies of the west.

Your thinking is very muddled, and it is apparent that you let just one criteria be the judge of your economic decisions, when economics and politics are completely separate issues. The world is changing and it is important to be flexible.

A Chinese mystery

What has an employee said that immediately caused you to fire them?

The Unspoken Line

At the prestigious “AAAA” firm, Mr. Stevens was known for his level-headed approach and patience. As the Head of Department, he’d mentored many and had rarely ever lost his temper. But there was one incident that everyone in the office remembered.

A young intern named Jake had recently joined the team. Ambitious and eager, he sometimes came across as overconfident. One day, during a team meeting, a colleague, Mrs. Ramirez, proposed an idea she’d been working on for months. Instead of providing constructive feedback, Jake laughed dismissively and said, “Do you really think that old-school idea would work? Maybe it’s time you retired and made way for the new generation.”

A hush fell over the room. Mrs. Ramirez, a veteran with 20 years at the company and revered for her innovations, looked hurt but stayed silent.

Mr. Stevens, usually so composed, turned to Jake, his face stern. “In this company, we value respect as much as we value innovation. If you cannot provide the former, you have no place here, regardless of your skills or ideas.” By the end of the day, Jake’s internship was terminated.

It became a tale that echoed in the corridors, not as a threat but as a reminder: talent without humility means nothing.

I would do anything…

How do I politely refuse a request from my boss to go make her coffee or any other job that is not my responsibility?

Before I moved to the U.S.; I worked for my boss for 5 years. He was a big-ish deal of my company and I worked under his direct supervision.

It was a very normal thing when I picked my boss up from one vendor’s office at 11.30am to another vendor’s office for another meeting at 1.00pm. He used to ask me to get him a sandwich and a coffee so he could eat in the car while listening to my briefing about what’s the next meeting about. He could just tell me, ‘My flight arrived at 5.30pm Thursday, and I want to fly back Friday evening. I want to meet Mr.X for dinner’ and I would contact Mr.X’s office, try to arrange the meeting, make the reservation for dinner, and jot down a list of things Mr.X might want to discuss and the status of all ongoing matters for him. I also always carried an extra box of my boss’s name card, just in case he ever forgot and needed a backup.

I was hired to manage a computerized lottery system nationwide (43 cities). None of the above was in my job description. Yet, I did them gladly, just because I could, and I felt like I did make his life a little bit easier.

When I told him I would move to the U.S shortly, he offered me three extra months of pay added into the last paycheck, so I could have a little ‘runway’ to settle things down in a new country. At my farewell party with the team, he told me, ‘You’re not just one employee to me, you’re my brother-in-arms’.

While I totally understand others’ reasons for not wanting to be bothered to ‘make me a coffee’; I still think it won’t hurt if I go a bit further in terms of doing things for others.

Maybe I’m just too naive.

A real woman

Did you ever see karma hit someone who deserved it so befittingly that it was eerie?

When me and my husband split up my landlady decided to evict me and my son because she wanted to be able to rent the house for more money. We’d lived there for 10 years and even spent money out of our own pocket for small repairs. Our rent was only $400 a month. Went back a year later. The house is falling apart and is sitting empty. There was a workers permit laying on the front porch. It was really faded and I could make out it was for electrical work. I tried the front door and it was unlocked. 2 of the rooms looked like they had been half painted. You could tell no one had been in there for quite some time. The back porch had completely fallen apart. I guess she realized it was going to cost her more than she was willing to spend to get this old farm house up to the standard to rent for $1200. And now she is bringing in no income for the house. Bet she’s regretting kicking us out now.

Not staged

Do you think it’s fair for Arkansas to order that the Chinese-owned Syngenta to sell farmland in the state on the grounds that China is subject to US arms export controls?

Fair doesn’t factor in the U.S. vocabulary list at all. A nation who is the only nuclear bomb user don’t know the word fairness. A nation that used Agent Orange and Nepalm fire bombs on Vietnamese civilians don’t know fair. A nation build on the backs of ten of millions of African slaves never knew fair. An nation that become a nation after genociding and carrying out biological weapons of western influenza on its natives certainly don’t know fair.

Stop mixing up US and fairness. It is like oil and water. It will never mix.

Has the body of Shani Louk, the Israeli-German woman thought to have been kidnapped by Hamas fighters at a music festival in Israel, been found?

image 9
image 9

Her skull has been found and identified by authorities.

Her body has not been found.

Reports are that she was brutally raped and beaten by Hamas soldiers after her kidnapping.

Which raises a huge question.

This is supposed to be a religious war.

A jihad against the infidel and usurper Israel. A war to restore control of the Middle East to Islam.

Islam has very rigid rules on sexual behavior, especially for women. In many societies they must be totally covered.

Yet the warriors or Allah are gang raping their victims and parading them around nude in the streets.

Why?

It is against their religion.

Did they receive special dispensation from Allah to rape and parade naked women around?

Or do they really not believe what the Koran says.

Or do they choose to disregard it?

Or do the rules only apply to women?

I realize here is a certain level of hypocrisy in all religions.

But the level here is deafening. To fight a religious war for Allah but violate all the tenets of the faith.

Shani Louk was one of the victims of Hamas disregarding their faith. Of being hypocritical.

I had to call the store…

What was a red flag that made you stop talking to a person immediately?

A new gal started in my dept. at work and she seemed really nice. We both started early so began talking over coffee before the others straggled in. After a couple of weeks though, I saw that the humor I had admired so much at first was really a thinly disguised nastiness. She would make fun of her handicapped husband, and then laugh raucously. Everyone else was joining in, but I recognized that what she was putting forth were her true feelings disguised as humor.

Some of the things she joked about was hiding her spouse’s wheelchair before she left for work, and then watching him on the cameras she had placed around the house while she was at work. (The man was blind and unable to walk, yet she thought it was so hilarious.) The saddest part was that the supervisors and bosses, as well as the co-workers all thought it was hilarious, too. They laughed even more when she showed them the videos she had recorded of his struggles.

I transferred out of that department as quickly as I could after that. I couldn’t stand to work with people who thought being a bully was funny.

What strange law did you accidentally break when you were in a foreign country?

I play flute, and years ago I spent four months busking around Europe. I had three encounters with police:

The Netherlands. Playing in Amsterdam, a mounted cop pinned me up against the wall of a building with his horse. The horse stepped on my flute case (open on the ground, full of coins) and broke it. The cop told me this was illegal and scared me to death; though I wasn’t hurt. After he left, while I was picking coins and stuff off the ground, some older men came out of the pub across the street. They helped me get everything and invited me into the pub to play in there, where they asked if I could play some Frank Sinatra for them (on the flute?) I did my best, and they were very generous.

Switzerland. I was playing in a square in Geneva, making a ton of money. Suddenly some cops pull up to me in a van and tell me to get inside. My travel companion was having coffee nearby and she came running over. The cops explained that this wasn’t allowed in Geneva, drove us to the outskirts of the city, and dropped us off. Message received.

Sweden. Playing in a square in Stockholm, a uniformed cop came over and listened while I ran through a movement from a Bach sonata. (First played straight and then the Jethro Tull version, part of my act.) When I stopped, he told me that that was beautiful but that sadly, it wasn’t legal in Stockholm. Then he put some kronor in my (new) flute case and wandered off.

What is your best dating advice?

For years, I often heard women complaining about the dating scene, and men who didn’t “Do what they say they were going to do.”

It struck a chord with me as I went through my 20s, and grew increasingly impatient with flaky friends and people who were constantly, and egregiously late to things.

I realized that the people who were the flakiest, and least likely to honor their word, were also the people who had far more problems in their life. Their careers were stagnating. Their relationships were turbulent and problematic.

Their lack of commitment to their word correlated to a bunch of other character flaws, for which they were paying a steep price.

I have since kept a strong radar pinging for people who fail to follow through on even tiny commitments.

My advice to you — and one that I’ve painfully followed — take a knightly approach to your word. This means saying no to things you aren’t sure you can follow through on.

If you explain yourself and say, “I don’t want to make a commitment I am not sure I can honor.”

They’ll usually understand and appreciate it.

This is especially true in dating. Women hate, hate flakey dudes.

Someone was stealing my lunch at work

What is the rudest thing that a new neighbor has done to you immediately after you had moved in?

My home burned down in 2012, end of January. Lost everything, including all my pets. Lived in a motel for 8 days, 5 of which my aunt gave me money for. My boss and cousin helped me find housing in a highrise, primarily seniors. Snoopy seniors.

I was sitting on my floor on blankets since I had no furniture. But I did have a TV and free basic channels from the building’s antenna. I could hear whispering in the hallway, right by my door. “Why’s she sitting in the floor? Why doesn’t she have furniture. Maybe she got out of rehab.” Typical gossipy old ladies. The maintenance guy hadn’t replaced my peepholes in the door and they were looking through the holes. I yanked that door open so fast one almost toppled over her walker. Told them I was burned out, was a working woman who drove many of them to doctors and church. I was not putting up with their shit. They took off down the hall. I put duct tape over the holes, which I hadn’t thought anyone would be rude enough to look through. Notified the office the next day. Both ladies got a letter about respecting privacy. They were on camera, peeking into my place.

What are the most blatant examples of double standards and hypocrisy in America?

Here we see an image from in interview in which actress Amber Heard “fights back tears” after telling about the horrific domestic abuse she claims to have suffered at the hands of her former husband Johnny Depp. She’s sad. She’s pitiful. She’s a brave victim, telling her story… only she isn’t. She’s bullshitting.

The truth is, Amber Heard has a history of domestic abuse. As in, committing it. Before Depp she primarily dated women, and she has a tendency of flying off the handle with them physically. Domestic violence between women, like female partners beating up female partners, is historically massively underreported. So is violence against men, by women. There’s shame, and there’s stigma involved.

She was arrested for it, before. Got into a physical altercation with an ex-girlfriend. Hit her, in public, in an airport.

And the case was dropped. And she got away with it. Because she’s a woman. A pretty woman. Better yet, a pretty rich woman. All the nasty stories about Amber Heard were carefully buried for years. Until the moment her then-husband, Johnny Depp, had enough and defended himself physically.

He then became “the bad guy”. The man with many wild and rocky relationships, who in spite of his substance abuse had never, ever been accused of abusing any of his partners… was accused by the woman who had a history of abuse. And yet she was believed, by default. Why? Because she was a woman.

That’s the most blatant double standard I’ve witnessed in recent years — you can literally be a woman with a history of abuse and beat up your husband, slap him, call him names, cut off his finger and defecate on his bed (Heard did all these things to Depp!) and the MINUTE he defends himself physically? He’s labeled a ‘wife beater’ and all-but blacklisted from Hollywood.

Christians, do you think funding missions in China will weaken the tyranny of the Chinese government?

As a Christian and a lover of Chinese culture and history, I have to tell you that there is so much wrong with the premise of this question that it’s scary.

True Christianity (not the “new evangelical movement” we see in America) is strictly Bible based. Bible based Christianity is not political. Bible based Christianity isn’t interested in overthrowing governments. Bible based Christianity won’t send you on crusades to conquer nations and set up a state church.

Understand that I’m not just talking out of my butt like most US politicians and popular evangelists. I actually devoted years of my life earning a degree in theology. I have studied the history of the Christian missionary movement. I have studied the history of Christianity from the New Testament down to modern day. I have researched and written books on it. I’m not trying to brag but I give my credentials to explain that I know of what I speak.

The very attitude behind this question is why Christianity finds itself unwelcome in some countries; because the government fears that that in allowing Christianity that they are importing a Trojan horse of imperialism. This very line of thought is what got Christians killed in China’s Boxer Rebellion. Some of those killed did seek to claim parts of China for their country. Just like the political cartoon of the day, nations gathered around China as if China were a pie to be sliced up in pieces. Is it any wonder that China fought against it?

However, some true Christians suffered in China during that time. I want to tell you about one of them. His name was Hudson Taylor. Born in 1832, he was English and had studied medicine at The Royal College of Surgeons. He became a Christian at the age of 17 and committed to go to China as a missionary. But not just any missionary.

At this time in his life he began studying Hebrew, Greek, Latin, and Mandarin. He earned a degree from the Royal College of Surgeons, and he studied the ways of Christian missions of that time period. He arrived in Shanghai in 1853.

He was not well received to start with. And had arrived during Civil War. He wondered what he could do to better fit into life in China. You see, he wasn’t there to convert Chinese people to English ways. He wasn’t interested in making them more like the West. He didn’t want to destroy Chinese culture.

In fact, he chose to embrace Chinese culture. He began dressing as a Chinese man. He shaved his head and grew a queue, as was the hairstyle of the Qing Dynasty. He began living among the Chinese people instead of at the Christian missions. He learned Mandarin, Cantonese, Chaozhou, Shanghaineese, and dialects of the Wu and Ningbo. He even learned them well enough to give them a New Testament in their language.

The established English missionaries were horrified. It was considered improper for him to live this way. They very nearly stopped his marriage because of this. They thought him a fool, but he didn’t care. He renounced the ways of the English and said that if he had a thousand lives he would give them all to China.

He traveled to remote villages and gave them free medical care and free schooling. And yes, he preached the gospel. But he genuinely loved China and the people of China. He and his wife adopted orphaned Chinese children and raised them with their own children. He buried many of his children and his first wife in China, but that didn’t stop him.

As time passed, the people that he ministered to came to love and respect him and his family, as well as those who came to work with him. He would only allow missionaries who were serious about giving their lives to China. To follow Hudson Taylor, you had to renounce English ways and live as the Chinese lived. In dress, language, and custom. He was turning the English Chinese, rather than making the Chinese English.

His living children grew up and his daughters married in China, living among the Chinese all their days. Hudson Taylor remarried, and continued there. He survived the Boxer Rebellion, probably in part because he genuinely loved China, but many of his followers were killed—58 missionaries and 21 children. He refused to accept money from the government as reparation, though other Englishmen criticized him for this, but he maintained he had not come to take from China but instead, to give.

His health caused him to leave China for a time, where his second wife died in Switzerland. He returned to China again, in 1905. He died in Changsha, Hunan Provence and was buried with his first wife.

Hudson Taylor was the right kind of Christian missionary. He had no use for politics, and no desire to please the English. He immersed himself in the culture and way of life of the Chinese people. He preached Christ, yes, but he lived as a Chinese. He gave them hospitals, schools, and churches, but he did it while living among the people that he loved.

I am convinced that Christians today would find themselves better received if they’d leave politics and government and American ways out of mission work, and focus on loving the people of their chosen mission field. God didn’t call Christians to make converts to Westernization. God could care less about Western ways.

God isn’t interested in overthrowing governments or turning China into a democracy. Show me in the Bible where God said to establish democratic governments. Chapter and verse, please. God doesn’t care about your democracy. He said to obey government as long as it didn’t command you to go against the Bible. In the event that government and Bible clashes, God was ok with civil disobedience in that area, but that didn’t mean topple the government. You want to win people to Christ? Then stop trying to make them like you.

American women with crazy expectations

Why do some people carry grudges for a lifetime?

My Dad and his brother started a feud when they were in their early 30’s and kept it going until they both died fifty years later. No, I tell a lie. My uncle forbade my Dad from coming to his funeral, so he carried the feud on even after his death.

The irony is that neither could explain what the feud was about, so I am convinced that it was a trivial matter that just grew, and neither of these two headstrong men could let it drop because that would be to admit that their years of feuding had been a silly waste. In a sense, the longer it went on, the stronger the feud became even as the memory of the cause became weaker.

It hasn’t been a total waste though. My cousin and I are very close. It’s united us. It has also acted as a lesson to the extended family, a kind of example of how not to behave.

The brothers were both child refugees from the Nazis and had very difficult childhoods. They were both angry young men, and perhaps that explains their decades of refusing to go to family events if the other was going, the forbidding of the other’s name to be mentioned, etc. I don’t want to get into amateur psychotherapy except to say they were both nuts.

What’s the best thing you’ve done for your employee? How did he react?

I have an employee that I assigned to a huge deal. He not only made it. He absolutely killed it. He did far better than my wildest expectations. I called him into my office and told him to contact our travel people and to book a ten day cruise (all expenses) to anywhere he and his wife wanted to go. He was speechless and then didn’t understand how he earned it. I explained to him that his contribution to the company was invaluable. Prior to the cruise he was a Departmental Manager. On the day he returned he was Named a Vice President. I always reward high performance and talent. The cruise didn’t cost me a thing. He’s repaid the cost many times over. Did I have to do that? No, but it seemed like the right thing to do.

What is the USA gonna do now?

China’s SMIC and Huawei have surged ahead in chip technology, defying the U.S. restrictions aimed at curbing their technological advancements, Burn J. Lin, a former TSMC vice president, told Bloomberg in a rare interview. He believes ASML lithography tools that SMIC already owns will allow the company to advance to a 5nm-class fabrication process.

“It is just not possible for the US to completely prevent China from improving its chip technology,” Lin told Bloomberg.

Despite the U.S. imposing technological constraints via sanctions, SMIC has showcased notable resilience and ingenuity by developing its 2nd generation 7nm-class fabrication process. It also achieved yields high enough for Huawei to make plans to supply 70 million smartphones. SMIC allegedly used ASML’s Twinscan NXT:2000i litho tool, which is a deep ultraviolet (DUV) lithography scanner that can produce chips on 7nm and 5 nm class process technologies. Exports of this tool to China were curbedby the Dutch government earlier this year.

The resolution that the Twinscan NXT:2000i features (≤38nm) is good enough for 7nm-class single-patterning lithography mass production. However, when it comes to 5nm-class process technologies, a finer resolution is required. To produce it, chipmakers can use double, triple, or even quadruple patterning, a lithographic technique that involves splitting a complex pattern into several simpler patterns, which are printed sequentially to achieve higher precision and detail in semiconductor manufacturing. Usage of multi-patterning is a tricky process that affects yields, and the amount of chips per wafer that can be used, so typically its usage is limited due to economic reasons.

But being limited to tools that it already owns, SMIC has no other option but to use multi-patterning for finer resolutions. Apparently, it has managed to achieve yields acceptable to Huawei. As a result, one can ask whether the U.S. government’s curbs against China’s semiconductor sector work.

“What the US really should do is to focus on maintaining its chip design leadership instead of trying to limit China’s progress, which is futile as China is adopting a whole nation strategy to boost its chip industry, and hurting the global economy,” former-TSMC R&D VP Lin is reported to have said.

Interestingly, the U.S. sanctions seem to have inadvertently opened the doors of opportunity for SMIC. The restrictions imposed on TSMC, barring it from transacting with certain Chinese entities, have allowed SMIC to step in and capitalize on substantial orders. This shift has facilitated SMIC’s enhancement of its manufacturing techniques and technological capabilities.

The really harsh reality…

Looks what mothers actions (when she was single) did to her 13 year old boy years later.

What is the least intelligent thing you’ve ever seen a tourist do?

Visiting Banff National Park, touring motorists left their parked vehicles to take photos of the cute Black Bears and their cubs. One stopped and before long a dozen more. The bears were grazing on a meadow about 100 metres (300 feet) from the road. Some brave individuals without telephoto lenses tried to get up closer for a good picture. A mama bear sensing a threat to her cubs could reach those touring idiots in 6 seconds, not even enough time to open the car door and get in, let alone run the distance back to their car. It took Park Rangers about 5 minutes to notice the “bear jam”, and they were screaming at everyone to get back in their cars and disperse the traffic jam.

I discovered that driving down any infrequently used Canadian wilderness road, when descending a slope, if the engine was turned off to coast down, half a dozen heads popped up to see why the sound had ceased. It surprised me that so many bears were hiding in the growth on the sides of roads, and how close they were. I would not recommend hitch-hiking. Another time just as I was passing, a huge timber wolf appeared and just stood there looking at me as I drove past. The paws were bigger than my hand, and in the rear view mirror I could see he was still looking at me for some time.

What do you think of the opinion that Australia’s trade tensions with China are its own fault?

Of course.

Basically Australia deserves it. Not Aussies. Many are smart good people. They lose a meal a day because your racist PM Scott Morrison prefer to have alliance with Mike Pompeo and confirm that Australia is indeed a China hater and Chinese hater. You harvest what you sow! Aussies promptly kicked him out of office. But the damage is done.

Not only China. But Asian especially South East Asian lost trust and faith in Australia. It sees them as a U.S. stooge and a colonial minded nation thinking that it is ordained by god to be white man run roughshod over coloured people. We Asians has a jolt of awareness that Australia don’t want to be part of and partner to Asia. It wants to be a U.S. dog nation.

Asia don’t want and don’t need a U.S. assistant sheriff! So Australia need some soul searching if it wants to be a real partner or a colonial outpost. Asia is watching.

What is the best case of “You just picked a fight with the wrong person” that you’ve witnessed?

HiI was working as a security guard outside a 24:-hour McDonald’s in Australia around 2am. I was about 21 and a small-framed woman working alone and it was a bit of a rough area so I had to be careful.

A group of 5 or 6 teenager boys turned up and were standing around in the car park trying to pick fights with any guy who looked at them sideways. Mostly men were ignoring them but they were getting bolder and I was beginning to think I was going to have to call the police before things escalated.

Just at that moment a small thin guy exited the building. Everything about him said scared. He held the food bag in front of him like a shield, he was looking at his feet and walking fast trying to avoid any conflict with the boys.

Predictably one of the loudest lads zeroed in on him as an easy target to hassle and impress his friends. He runs over with the usual, “What are you looking at? Are you looking for trouble?” bullshit and the small man just sped up. I started to walk over to rescue him, thinking he was about to be beaten up by the group if they were allowed to proceed.

The guy ignored all provocation until the boy laid a hand on him. I have never seen anyone move so fast. The man dropped the bag grabbed the kid by the hair and pulled him backwards off his feet in a move he had clearly used before. He proceeded to punch the boy in the face 4 or 5 times til the kid was out on his feet.

There was a moment of shocked silence then the guy gently put the kid down and picked up his meal. He suddenly saw me standing there in uniform completely frozen in shock. The guy had yanked the kid’s head back so hard there were clumps of his hair on the ground.

As soon as he saw me the guy was like a lost kid. He said nearly in tears, “He started it. I just wanted to go home and have my dinner.” I just nodded and said, “OK off you go” because I sure as hell wasn’t going to stop him, and he drove away.

The kid suddenly came round, with a split lip, bloody nose, and a few loose teeth I would think. He was a bit dazed and I asked him if he needed an ambulance or the cops. He said no so I told him and his mates to piss off.

I have never seen a beat-down like that. From scared little guy to full psycho and back again in less than a minute. The guy must have been on parole or something because he wanted no piece of that fight before or after.

As for the kids, they learned an important lesson about picking fights with people you don’t know. Sometimes the little guy is not the easy bet. Sometimes people avoid fighting for your protection rather than theirs.

As my grandfather used to say, “Beware the wrath of the quiet man.”

Updated Response: thanks to everyone who up voted. When the incident occurred I was only 21 and very unsure of how to respond. Unfortunately the law in Australia is very clear. Security guards have no rights to remove people from anywhere and calling the police is often the worst response. While many people have criticised my actions that’s OK. The story illustrates as much as anything the lack of support guards have.

For those who could have done better, this reminds me of another of my Grandfathers sayings, “Everyone knows how to tame the mad bull, except the man who owns him.”

Road rage going too far…

Do you have 100% trust and faith in your government(US)? If no, why would you want to ban civilians from owning guns if it’s our only defense against a government you don’t trust?

Name a time when people with guns have ever stopped US government overreach. Want to know a secret? Most people prefer tyranny, as long as they aren’t the ones being tyrannized.

People with guns didn’t stop government racial segregation and Jim Crow. They cheered.

People with guns didn’t stop the mass interment of Japanese citizens in concentration camps. They cheered.

People with guns didn’t stop the police or government from lynching Black men. They cheered.

People with guns didn’t stop the police or government from persecuting gay men and lesbians. They cheered.

See, normal people know your felusional Rambo fantasies about “mY gUn WiLl StOp ThE gOvErNmEnT fRoM tYrRaNy AnD iNjUsTiCe” is a self-serving lie. No it won’t. You lot line up on the streets and celebrate government tyranny and injystice, as long as it hurts people you hate.

Gimme a break. You need guns to stop government oppression…ah HA ha ha ha ha ha ha ha. Riiiiight. Sure you do.

What was the moment you cancelled the friendship with your best friend?

It’s been a couple of years now but this happened with a friendship that began in the early 90’s so that’s a long time. We had a slight falling out and it was over something I said, but her response to it changed me feelings of close friendship with her. I didn’t mind that it made her made or maybe offended her but she said friendship altering things that were beyond what the issue was. There was damage and issues that had evidently occurred that I just wasn’t aware of. I wish she had talked to me about whatever was going on with her towards me but, she didn’t. So when the opportunity arose she gave me more than I was ready for. It was a deal breaker for me and I think that, that is what she wanted. This may sound odd…….I miss her because we enjoyed a lot of things together but I’m okay with it at the same time.

Must South Koreans and Chinese pay their governments for living in the USA?

Hi, Joseph Mcmanus. Thanks for the very interesting question.

I’m Chinese and I spent a handful of years living in the States.

During my time stateside, I paid rent to my landlords.
I paid school tuition fees to the school I was attending.
I paid all living expenses out of my own pocket.

At no time during my handful of years in the States did I have to pay the Chinese government for living in the US.

Unless, as Frosty Shoat mentioned in their answer, you’re counting the cost of the passport as an expense for living in the US. But I don’t see how that is an expense exclusive to Chinese alone.

I hope that helps clear things up.

Hero Rufus

What is the best thing that has ever happened to you for being nice?

I saw a Facebook post from an acquaintance of the hysterical variety. “Can anyone help me move on Tuesday? I’m being kicked out of my place. “

Being the guy with a truck, and having that afternoon free, I said I would meet her at 3 and help move. So day of, I’m there at the appointed time and she’s nowhere to be found. The owner of the house is there and there’s a pile of stuff outside. I start hauling the stuff up to the Uhaul which fortunately is there and talking with the owner. Turns out my acquaintance is being kicked out because she hasn’t paid rent in 10 months and has left the landlord (her ex-sister in law) about $35,000 in the hole. They are going to have to sell the place to recover the money. She shows me around the place. It’s a bit of a mess but an absolutely lovely home. Quiet neighborhood but close to downtown Honolulu, views, parking. All the things.

I tell her that I love the place and before she talks to anybody else or lists it, to let us make an offer. My acquaintance shows up an hour and a half late, after the bulk of the work is already done. I finish helping her move, with a lot less sympathy now.

Six months later, after a lot of talking and negotiating and inspecting, we moved into the beautiful house! And because we negotiated directly we saved about $45,000 in realtors fees.

What real life legal events sound straight out of a movie?

A crime watch group in Homestead, Florida held a neighborhood crime watch.

This meeting took place outside on a back patio. The Chief of Police was meeting with all the participants and team members.

He was outlining all of the things to be on the look out for. He was going down the list of agenda items related to criminal activity.

As he was talking, they heard a loud engine sound. The BZZZZZZ of an airplane motor.

It got louder and louder.

Then.

vvvVVVVVVROOOOOOM

It streaks by.

And

<boom>

Something hits the ground.

Everyone is startled. Then they see a big box right next to them.

The officer goes to check it out.

What is in it?

Seventy-five pounds of cocaine.

The plane that had been flying overhead was on the run from Customs, and it was dumping its cocaine so as not to be caught when they landed.

Let me restate that – a box of cocaine fell on top of a crimewatch meeting.

The plane dumped its last box shortly after that before they landed and were arrested. (Source: What’s that falling from the Florida sky. It could be pork, poop — or worse. Miami Herald. Cohen, Howard)

Luckily that last box of cocaine only hit a church.

Just another day in Florida.

The argument from intimidation

What is the worst thing a woman can say to her husband?

The woman loved a man .

Both got married .

Both had children .

After few years of the marriage suddenly one day the woman announced her husband that she is in love with another man .

If he wants he can divorce her . But she won’t leave the other man .

The man had no choice but to agree her condition because of his children and social standing .

This is the worst thing in a man’s life to compromise with a cheating wife and spending entire life with that woman .

What is the fastest you wiped that smirk off your manager’s face?

I wrote a letter to my manager outlining his misbehaviours. I gave a copy to every one of his staff. In due course I was in the state managers office with the personnel manager there too.

The state manager told me he was going to take disciplinary action against me. I looked from one to the other and said:

I don’t often beg, but I am going to beg this time. I’m begging you. Please take disciplinary action against me.

They looked at each other and there was silence for about ten seconds.

Then I said:

You’re not going to do it are you?

And quietly the state manager said “No”.

I told them the meeting was over and went back to work.

That was not the end of the issue, but there were no consequences against me.

What is the most badass thing your parent has ever done?

My dad … brought us up to NEVER LIE so when he blatantly lied to the State Trooper standing in the family kitchen one early afternoon I was dumbfounded!

My aunt (dads younger and only sister) married an abusive drug addict/alcoholic child abuser (his own kids).

My aunt had a black eye and lots of other injuries, new and old but she told of her husband having an abusive fit and while beating her he grabbed their three year old son and threw him so hard he went through the plaster and lathe board leaving his whole body as a marker to how hard he was thrown. My aunt called the police eventually (this was mid 1970’s) but her husband threatened if she told them what happened he’d kill the children (1 boy 3, and a girl 1.). She didn’t press charges because she knew he had guns and knew how violent he was.

About three days later two State troopers were in our driveway. They asked my dad if he owned a handgun. They also knew what had happened to my aunt and her little boy (small community).

My dad wanted to kill her husband but knew he wasn’t worth it. So, my dad’s younger brother obtained a gun I think it was a .45 handgun. My dad climbed in the window of his sisters house after his brother dropped him off … and he waited. The abusive husband/father came home, unlocked the door and was going about his business. At some point my father came into view with that gun. He made that scum get on his knees and beg for his life.The end of the gun was put in his mouth. As my father was asking him how tough are you now? Beating up your wife and 3 year old son is easy. How tough are you now? The scumbag pissed his pants while begging for his life.

I’m sure there were a lot more things said and done.

The gun went back to its owner. My dad was having coffee and a cigarette when he invited the cops in. He was so calm, cool and collected as he told the cops he didn’t do anything. He was home all morning. He LIED! Then one cop left and the other one told him, “it’s just me and you, I’d have done the same thing if my sister and nephew got beat up. C’mon, you can tell me. My partner is outside and he can’t be witness to you telling me what really happened.”

My dad calmly lied again and told the cop he knew nothing about it.

After they finally left I asked my dad why he didn’t tell the one cop that he did it. There wasn’t a second cop to corroborate his confession. My dad told me, “Oh yes there was! They don’t carry two way radios for nothing!

My dad was a badass. Just a humble middle class auto shop rat. He died last summer. I learned a lot about life from him.

***edit: I thought that the abuser of my aunt was dead. I recently asked a family member of his. He’s alive but in bad health, still drinking and drugging. He did leave the state but it was after my aunt divorced him. He had a girlfriend. He beat her up so bad she died. I don’t know anymore details than that. I have no idea why he isn’t still in prison. I only know my dad changed his sister’s life for the better and probably saved her life. Her daughter was younger than her son (who was 3 years old when the abuser threw him through a plaster and lathe board wall) and she only recently found out that my dad also changed HER life for the better by scaring the sh;t out of her father and giving her mother the strength to divorce him. I’m sure the a$$hole knew he’d be severely beaten if he ever touched my dad’s little sister again.

Did you ever see karma hit someone who deserved it so befittingly that it was eerie?

Driving an ambulance in response to a “Man down” call on a Saturday morning; lights and siren activated. My travel route took me over a twisting two lane road that was frequented by bicyclists. I encountered a pack of cyclists – maybe 40 – who wouldn’t yield to me. The winding road prohibited me from swinging into the opposing traffic lane to pass.

Took me several extra minutes to work my way to the front of the pack whereupon the lead rider flipped me off and offered me some salient verbal advice. Got past them, delayed in responding to a serious call.

Returning to the station afterwards, made comment to one of my co-workers, a fellow volunteer and a full-time police officer in the local jurisdiction. On the next Saturday, he donned his police uniform, drove his cruiser to the bike-traveled road and waited for the particular bicycle club to cruise thru the stop-signed intersection, en masse….their usual disregard. He pulled the ENTIRE pack over and wrote reckless riding tickets for each and every cyclist. Took him more than two hours!!!!

I went to court with the officer, as did the rest of our ambulance crew….some riders showed; many defaulted. After the 4th or 5th defendant appeared, the judge called them all up together —— maybe a dozen —— spoke for a bit and found them ALL guilty. Good karma day.

EDIT: And before I receive another comment going to legal procedures, please know that in our community, organized bicycle groups make frequent use of a gent who is well-known as the “Bicycle Advocate”. He commonly appears at proceedings where/when bicyclists are cited. On the appearance day discussed above, The Advocate was on-hand, standing up to assert his interpretation of the motor vehicle statutes as they do/don’t pertain to cyclists. The rhetoric is without legal support, and the guy is neither an attorney, nor does he actually represent a defendant. He’s very good at deflection.

With serial defendants and the same fact-pattern, the judge soon tired of the Advocate’s repeated and time-consuming speeches. He cautioned the guy a couple of times and eventually fined him $200 for contempt. He then called up the remaining defendants, asked them to declare an exceptional circumstance or individualized defense(s) to their citations. Hearing none, he passed the collective judgment. The individual defendant due process rights were, indeed, preserved by the judge’s line of questions prior to rendering his decision.

And, yes, I am an attorney.

Un-bound

What is the most unfair advantage a person can have?

Being born to the right parents.

This single coincidence tends to make more of a difference to a persons’ life than any other factor, including whatever efforts the person himself makes to try to improve his lot.

Who your parents happen to be has a tremendous impact on a huge range of things that shape just about everything in your life to a huge degree.

  • It determines if you’re born in Sweden or in Somalia
  • The genes they gave you determines whether you’ll be tall, intelligent and handsome or short, stupid and ugly.
  • The genes also play a big role in whether or not you’ll be healthy, whether or not you’re likely to get a long list of diseases.
  • If your parents are kind, compassionate and nurturing your start in life will be very different from if they’re cold, inconsiderate or abusive.
  • The hobbies and interests they have in many cases have a lifelong influence on your own hobbies and interests.
  • Their wealth and their income determines what material standard of living you’ll have for the first 20 – 25 years of your life, and in fact often for your entire life. (in most cases lazy sons of multimillionaires end up better off than hardworking daughters of slum-dwellers)
  • They more or less entirely decide who is important in your life for your first 5 years. Such early formative relationships are very important for a child’s development.
  • They determine what quality education you’ll get, this depends on their wealth, where they happen to live, and their priorities. You as a young child have low influence on all of this.


I’m not at all saying that it’s hopeless to get ahead in life if you had a bad start. What I’m saying is that having the right parents means getting to play life on “easy” mode, while having the wrong parents makes everything a whole lot more difficult.

If someone came to your house late at night and asked to use your phone because they need a ride home would you open the door or would you call from a window or the door yourself?

When I was 16, I was driving home from my boyfriends house which was a good 15 miles away. My car suddenly stopped working. It was dark and it was 1996, so no cell phones. I was out in the middle of nowhere! I saw a house and decided to walk up and ask to call my dad. I was TERRIFIED!

A woman answered the door but barely opened it. I told her that my car broke down and asked to call my dad. She asked me to give her his number and she would call from inside. I agreed. It was cold outside and I was shivering (both from cold and fear). She called him and asked if he had a daughter etc. Then she gave him her address and she invited me inside. I was still scared, but went in because I heard my dad was on his way there.

She made me hot chocolate and I sat by the fireplace, petting their dogs and chatting until my dad showed up. My dad got there about 20 minutes later asked if he could come pick my car up the next day and they said, “of course!” Her husband put his coat on and helped push my car off the road and into their driveway. We both thanked them sincerely and they said “If You ever need anything again, you come straight here!” The lady gave me a warm hug and said goodnight.

My dad sent a tow truck to get my car to the shop the next day and it was back in order with a new timing belt. I made sure to always take that route home just in case I broke down again.

Date Night

What types of antiques have considerably dropped in value?

Roll Top Desks….

My Mom loved Roll Top desks. She always wanted on one. So in 1975, she went to a local Sotherby’s auction and she emerged victorious but financially wounded. In 1975, she paid $1500 for a desk almost identical to this one.

In 2023 dollars, that cost my Mom about $8,500 dollars.

When my Mom died in 2022, we had a professional estate sale guy come to the house. Now my parents lived in the heart of Silicon Valley. He said…………you know, around here this item is really difficult to sell. Nobody wants them because they aren’t super friendly to computers. Anyone young with money around here, won’t be interested. We’ll be lucky to get $2500-$3000, even if we can find a buyer.

That puts my Mom’s purchase around $500 in 1975 dollars.

I’m actually glad she never knew…it was her crown jewel among all her antiques.

ALERT: LARGEST NAVAL FORCE SINCE WW2, ATTACK ON TWO NUCLEAR PLANTS, HEZBOLLAH DECLARE WAR IN 5 DAYS

Looks like a war buildup against Iran.

What’s the most enjoyable thing you’ve ever said to a manager as you’ve quit your job?

Not me but my dad. He was a supervisor, and had a less than stellar employee. My dad was offered a job with the potential for a promotion on the other side of the country. He was retired military so we had moved a lot, so he didn’t take it as he didn’t want to move us again. The job was offered to the problem employee; my dad was happy to see him leave.

Two years later, the guy comes back, only now, he was my dad’s supervisor, and made his job a living hell. For example: this was back in the days of overhead projectors (a precursor to PowerPoint). My dad was to give a presentation to some high-level people. He starts, but the projector isn’t working, so he had to give the presentation with hastily copied handouts. His boss had removed the lightbulb from the projector, and then chastised him for not “checking his equipment”.

He pulled a lot of similar unprofessional stunts. My dad had already retired from the military, and had enough years in to retire again. His physician told him that based on his medical problems, he could retire any time he wanted to, so my dad had him draft an undated letter stating he was retirement-eligible based on years of service and medical issues.

We had an expensive vacation planned, and were to leave on a Friday night. My dad had put in for vacation, so the boss was well aware of it. Ten minutes before he’s about to leave, the boss shows up, drops a file on his desk, and tells him not only does he have to stay late to get started on the project, but he’s cancelling his vacation.

My dad got a box, and started filling it with his personal items. The boss goes ballistic, so my dad pulls out the physician’s note, dates it, and says “I just retired” and walked out. It was a tad petty, but it was epic.

Wife Has MELTDOWN After Husband Secretly Discovers Her Affair, Plans A Strategy & Then Divorces Her!

Do firefighters really eat the food people bring them?

Yes and No.

We had a very nice lady come by our fire station with an “ice chest” full of hot tamales (which we purchased). Everyone who ate the tamales got food poisoning (including myself). We were not incapacitated, but close.

The question is, by eating food that is given to us, will we be able to perform our job of protecting our community? Could we fight fires? Could we perform rescues or fulfill our duties as EMTs? The answer could be “no.”

For example, on another occasion a family was nice enough to deliver a big plate of cookies and other homemade treats. The family appeared dirty and reeked of bad hygiene. We thanked them profusely (as was the polite thing to do). After they left their treats (unfortunately) went in the trash.

We had a few regulars who brought us pies, which we ate. It took a bit of a size-up on the individual delivering them, at first.

On some holidays a major restaurant chain brought us an entire meal, which we ate (it was prepared in a professional kitchen).

All the food that was brought to us was appreciated and accepted. It was never looked at as a bribe (we had no involvement in enforcement issues).

Coffee or tea offered on fire scenes? Now that is something I’d like to see adopted in America! A lot of winter fires were in below freezing temperatures. We were wet and cold. A hot drink of just about anything would have been much appreciated those freezing nights.

We once had a terrible fire, with much loss of life (Deadly Reno Fire

). It was across the street from the rear door of the original Harrah’s casino, in Reno, Nevada. The investigation lasted for two weeks. The casino set up a room for us with 24/7 coffee, tea and snacks. They also provided many meals, which they did at no charge. They expected nothing in return. They were just being good neighbors. Kudos to Harrah’s!

The best policy is “Unless you know the history of the food, it’s unsafe to eat.”

The boats

Al Copeland’s Basic Cajun Jambalaya

8af59975dcc2510a22cf8acf3a022972
8af59975dcc2510a22cf8acf3a022972

Yield: 8 servings

Ingredients

  • 1 tablespoon unsalted butter
  • 2 tablespoons vegetable oil
  • 2 medium onions, chopped
  • 1 pound pickled pork, diced
  • 1 pound smoked ham, diced
  • 2 cloves garlic, peeled and minced
  • 1/2 teaspoon dried thyme
  • 1 tablespoon chopped parsley
  • 1 pound smoked sausage, sliced
  • 4 cups beef or chicken stock or hot water
  • 2 cups rice
  • 2 bay leaves
  • 1/2 teaspoon cayenne pepper or to taste

Instructions

  1. In large saucepan with lid, melt butter with oil.
  2. Add onions and pork and sauté until onions are soft.
  3. Add ham, garlic, thyme and parsley and sauté for 5 minutes.
  4. Add sausage and cook until browned. Stir in stock and bring to boil.
  5. Add rice, bay leaf and cayenne. Return to boil and cover. Simmer over very low heat for 30 to 45 minutes, checking after 30 minutes to see if all liquid has been absorbed and rice is tender. If necessary, add 1/4 to 1/2 cup more water if liquid boils away before rice is cooked.

He should have been patient

https://www.youtube.com/shorts/_nyfUtpSlZQ?feature=share

Can you give an example of how smart cats are?

My cat is four and a half months old. He’s part of our family for a month now.

As I was playing with him with the laser pointer about a week ago, he happily chased the red dot over the floor as always. But when he sat down to look at me, he suddenly looked over to my hand where I held the pointer, than back to the red dot and my hand again. His enthusiasm for chasing the moving red dot was suddenly gone. As if he understood that it was I who made the dot move and that wasn’t as interesting for him as a red dot that’s kinda “magically” moving on its own.

He also found out how to open drawers after watching me doing it two times.

And he’s been exceptionally quick to understand that I keep his “special” toys in the black plastic box on top of the shelf in our living room. As soon as I touch the box, he jumps off the desk and runs over to sit at my feet, waiting for whatever toy I might pick this time.

He’s extremely vocal and complains loudly when I chastise him whenever he does something undesirable, like playing with the computer chords (cuz he chews on them), jumping onto the kitchen table, scratching the wall corners instead of his scratching post, etc.

American Psychosis Chris Hedges on the US empire of narcissism and psychopathy

This is DAMN good!

https://youtu.be/Zp3gCeAI0ds

Tintin and kitties

When I was a boy in High School, I studied French. I did so for three years, and pretty much max’ed out what was available at my school. I studied hard. Learned as much as I could, and did very well… grade wise.

Anyways, in the French Class were a series of books about the Adventures of Tintin. And joy of joys, I was able and permitted to “read” the books, trying to make out the French language in the illustrations.

TinTin 04 Cigars of the Pharaoh 03
TinTin 04 Cigars of the Pharaoh 03

I don’t know if it really helped me learn French, but it certainly helped me fall in love with the Tintin series. It really did.

RCO062 1469004004
RCO062 1469004004

If you ever get a chance, please take the time to enjoy these great and amazing works of art.

Just thinking about them put a smile on my face. I hope that it puts them on yours as well.

RCO061 1468927811
RCO061 1468927811

Todays…

What was the most unexpected knock you got on your door?

I think the biggest surprise from a knock on the door I ever got was about 10 years ago.

An ex-girlfriend showed up at my door, 8 months pregnant …7 months after we split up (which was quite the shock, but I’ll get to that). Basically started dictating terms to me as to how things were going to be as soon as I opened the door. That I had to look after her because I got her pregnant and that she was going to stay at my place now because she had nowhere to go. She made some ridiculous demands about money and child support for an exorbitant amount. She told me that if I didn’t agree to her terms she was going to take me to court and to the cleaners.

I could barely contain my laughter at the situation…

What she didn’t know was that I am physically unable to father children (the conversation never came up because we were not that serious and it was none of her business). It was one of the reasons for my divorce previous to her after trying and going through multiple tests with my ex wife.

So here she (my ex) was at my door with all these demands, threats and looking for a handout and a place to stay after just obliviously outting herself for cheating on me (starting at least a month before we broke up, maybe longer.. maybe the whole time, Don’t know. Don’t care).

Turns out the guy she was with after me found out she was already pregnant before him (she tried to con him too but clearly the math didn’t work.…classy girl) and he gave her the boot.

I thanked her for letting me know that she cheated on me, quickly explained how I knew and knew that it wasn’t mine. Wished her the best and shut the door.

I will never forget that stupid look on her face for the rest of my life as that door closed.

What made your “jaw drop” during a family dinner?

Well my answer is not something I would usually speak of with people. And perhaps not so typical of what has been expressed in regards to this question already. But we are all here to honestly share so I will do so. And to be precise it was not MY jaw that dropped, rather my now ex wife’s and her daughter’s.

So at an extended family dinner one evening the conversation turned to family heritage. Things were variously mentioned about events and courageous endeavours of various relatives long passed away.

So I added a comment of praise for my Grandfather whom I never got to meet, and was coldly and angrily shut down by my Mother for the interest and praise that I bestowed on him.

So these are the words that came out of her mouth which will NOT be forgotten.

And I quote…

”what’s that got to do with you, you’re not even of our blood and you never will be”….

Well you could of heard a pin drop at the table, and I turned to see my ex and her daughter’s mouth’s agape in horror.

This was the moment I found out what being an adopted son really meant to her. And a reminder that to her (at least) I will forever remain a virtual outsider.

Please, this was shared not to provoke pity, because I’m cognitively stronger and happier in life than she will ever be. Rather, it’s just my honest answer.

What was the most satisfying time where you caught someone lying?

My family used to have a really bad problem with food thieves. My mom is a baker, so you can usually find some kind of pastry in my house.

When brownies, cakes, and other sweet treats started going missing in the middle of the night, my mom was LIVID. Of course, no one was going to admit to snacking on her stuff, so she found a scapegoat: Me.

Jackson! Why in the world would you eat my pastries!? You know that I was going to take some to work today, and now there aren’t enough! Go vacuum the house!

Despite my cries of denial and protest, I was forced to vacuum the house. Ugh.

I thought it was a one-time occurrence until it happened again. And again. I was repeatedly forced to vacuum the house. My mom was so convinced that it was me who was eating her pastries that she didn’t even bother looking further into it.

I didn’t know who it was, but I knew it wasn’t me.

I had a plan. I was going to stay up all night and catch whoever it was. I waited many a long hour, waiting to hear the rustle of Saran Wrap in the kitchen. Eventually I heard a faint crinkle, and I sprang into action. Lo and behold, my little brother Bennett standing in the kitchen stuffing his face full of Devil’s food cookies.

“I KNEW it was you!” I said.

“What?! Why are you in the kitchen eating cookies, Jackson!?” He replied.

Instantly, I knew what he was up to. He was going to try and tell our mom that I was the one eating cookies in the kitchen. There was no way I was going to vacuum the house again, so I ran down the hall to my parents’ bedroom. Bennett followed, hurriedly swallowing any remainder of cookie.

My mom is already waiting for us; awoken by the ruckus. Right away, Bennett and I start ranting about how the other had been in the kitchen eating cookies.

What Bennett hadn’t realized was that he had gotten chocolate on his face, and the truth was smudged all over his cheeks.

If there’s one thing that my mom hates even more than stealing, it’s lying and letting other people take the blame. I have a feeling that Bennett is going to be vacuuming the house for quite a while.

That’s just the way the cookie crumbles.

Slap Ya Mama Sweet and Spicy
Pulled Pork Soft Tacos

Add a Louisiana twist to your tacos.

slap ya mama sweet spicy pulled pork tacos
slap ya mama sweet spicy pulled pork tacos

Ingredients

  • 1 (5 to 7 pound) pork shoulder
  • 1 whole onion, quartered
  • 2 teaspoons Slap Ya Mama Original Seasoning
  • 1 1/4 teaspoons Slap Ya Mama Hot Seasoning
  • 1/2 cup brown sugar
  • 4 garlic cloves peeled
  • 1 teaspoon dried oregano
  • 3 tablespoons olive oil
  • 2 tablespoons white wine vinegar
  • Taco size flour tortillas

Instructions

  1. Heat oven to 300 degrees F.
  2. Rinse and dry pork roast and place in a Dutch oven.
  3. In a food processor combine onion, Slap Ya Mama seasonings, brown sugar, garlic cloves, oregano, olive oil, and vinegar. Pulse until completely combined. Pour the mixture over the pork. Rub into all the nooks and crannies.
  4. Add 1 1/2 cups water around the bottom of the pork roast, cover tightly and roast for at least 5 hours, turning over every hour. Check to make sure roast is fork tender, then shred the meat using two forks to help pull it apart. I kept the meat in the juices so it would stay moist.
  5. Serve pork on warm flour tortillas and add your favorite toppings. I used our favorite salsa, shredded cheddar, sour cream, fresh diced tomatoes and it wouldn’t be the same without squeezing fresh lime wedges over the top!

Do men like women who can defend themselves?

I married a woman who is a crack shot with a 9mm handgun (you should see her targets, they’re impressive), almost always has at least two long knives on her, and keeps a crossbow in the kitchen cabinet (yes, I’m serious, no joke). Plus she’s fucking formidable in a fistfight.

Yes. Yes, this particular man very much likes women who can defend themselves.

I once had someone, hand to God, tell me, direct quote, “Franklin, control your women.” Know what I did? I laughed, that’s what I did.

NUCLEAR WAR WITH IRAN?? What are we doing?

Do Chinese people think that their country is underdeveloped when compared to other countries around the world? If so, why?

You are right, this view is the same as what I saw.

All the Chinese people I interact with, without exception, say that China is an “underdeveloped country”.

It was already 2017 when I visited China for the first time.

The China I saw (at least the big cities I went to) was completely like a developed country, and many details even exceeded those of most developed countries.

At least in my mind, “underdeveloped countries” should at least be some like African countries, Bangladesh, or even India.

But China is nothing like these places.

They have unparalleled public transportation facilities, convenient cashless payment systems, the world’s widest urban roads, a spiderweb of highway networks, the world’s best high-speed railways, and the world’s most stylish airports.

When I was sick, their hospitals, although overcrowded, were still the most efficient I had ever seen. Their school is in good order. Shared bicycles can be seen everywhere on the streets, and express delivery and takeout are very fast.

There are all kinds of delicious food everywhere at night, it is very lively even in the early morning, and it is very safe.

Chinese people buy the most family cars and the most smartphones in the world every year.

In short, as far as I can see, I don’t see anything that looks like an “underdeveloped country”. Even when I go to a small village in Guizhou, what I see is clean and tidy, although there are not so many skyscrapers.

OK, I asked my Chinese friends with this question.

They always laughed and said to me: “What you said is true, but China is still an underdeveloped country.”

One person told me: “China will remain an underdeveloped country for at least 10,000 years.”

When I couldn’t understand their thoughts and needed further explanation, they would all mention one word invariably: “per capita data.”

I have nothing to say. Indeed, any huge achievements will be eclipsed by a population of 1.4 billion.

For example, per capita income is less than US$20,000

For example, the number of cars per capita

For example, the housing area per capita

For example, the number of doctors per capita

For example, the number of iPhones per capita

They will mention various data, various “numbers per capita”

One young man even mentioned the “number of aircraft carriers per capita” and “the number of nuclear weapons per capita” and then told me that China is the country with the weakest military power in the world. Of course, he meant “military power per capita.”

I think that in the eyes of the Chinese, until their “number per capita” catches up with the United States, they will feel that they are not an “underdeveloped country”.

If you think about it carefully, it seems that their logic is correct.

But every time I visit China and experience firsthand what Chinese society is like, I feel like something is wrong.

What’s something you’ve had stolen from you that you literally couldn’t replace?

After my stroke I ended up in assisted living a few years.

The facility where I was sent was corrupt. They threatened residents and broke laws. So I called up the state ombudsman for assisted living facilities, and she told me many people had complained to her, but none would file a formal complaint because their name would be visible.

I told her if she would support me, I’d file a complaint.

Long story short, I got all kinds of retaliation. Part of it consisted of staff pilfering my personal belongings. The first time it happened, I installed a wifi security camera.

I got footage, and again, long story short, the executive director was fired, and had to pay to move me to another facility.

But one of the things pilfered was not replaceable. It was a silver thimble inlaid with abalone that belonged to my recently deceased mother (b 1921, this was about a decade ago). My mother was a dressmaker, and her father was a master tailor. She said I cut my teeth on thread.

The people who managed that place made my life hell for 18 months. I won, but I suffered losses.

Genuine Burning Desire

What was your most physically painful experience?

Until last April, I would have said “kidney stones.”

Kidney stones are bad. I’ve now had them three times. The first time I had them, I woke up in the middle of the night with a sensation like someone shoving an ice pick in my lower back. It steadily escalated, growing worse and worse and worse until I could not stand up, could not even sit up, and ended up puking from the pain.

My wife at the time freaked out and called an ambulance[1]. When I got to the hospital, I was curled up on my side on the stretcher screaming in pain when this doctor came up to me and said, “On a scale from one to ten, how bad would you say the pain is?”

I was so incapacitated with agony that I couldn’t even answer his question, so he gave me a shot of Demerol and just like that, the pain was gone, as though someone had flipped a light switch.

That, I thought, was the worst pain I would ever experience.

I was wrong.

This past April, I was making a kettle of tea. The kitchen was a mess, with dishes stacked everywhere. A pot fell from a pile of dirty dishes and struck the kettle, knocking it onto my foot.

Boiling water burns are nasty.

The pain was blinding. I’ve never understood the phrase “blinding pain” before. I do now.

I ended up in the hospital again. I was unable to walk for about a month. My foot looked like a special effect from a zombie movie. I just barely avoided needing skin grafts, but I did end up making repeated trips to the burn clinic.

Burns are the worst. I don’t know if it’s physically possible for something to be worse than a burn.

[1] Because this was the United States, my insurance company ended up denying coverage for the ambulance ride on the grounds that kidney stones are not a bona fide medical emergency. That ambulance trip to the hospital ended up costing me more than a thousand dollars. America, hooah!

How To End Your Career In 10 Seconds Or Less

Why is happiness lacking in Singapore? Singapore’s wealthy are the least satisfied with their current work-life balance when compared with their peers in other parts of Asia Pacific, according to a survey.

If there is unhappiness among Singapore’s wealthiest, then perhaps a bit of introspection could help. People falsely say that money is the root of all evil. They are misquoting a Bible verse that actually says, “the love of money is the root of all evil.” Christian or not, surely most people can see the wisdom of those words. When a person works themselves silly so that they can keep up with the Jones’s they are bound to be unhappy. I’d wager those working themselves to the bone so their wives can keep up with Mrs. Jones are even more unhappy.

They say they do it for their families but how close is a family when all of the adults run themselves ragged working ungodly hours? When do they spend time together as a family? I don’t even mean this about Singapore, but in general! What is the point of children if you aren’t actively parenting them and watching them grow up? Maids and tutors get paid for the privilege of spending time with your children? I’m not saying it’s wrong to have a maid or hire a tutor, but if they get to spend more awake time with your child than you, then…why? I promise they’d rather have you than the things you can buy them by working long hours.

What good does it do to fill a bank account until you are too old to enjoy the fruits of your labors? What good does it do to work yourself into an early grave? Are those overtime hours worth the ulcers you’re nursing or the strain on your heart?

The job market is competitive in Singapore. If you don’t work overtime then your rival probably will. There’s this expectation that you should work long hours or you aren’t “hungry” enough. You can’t risk the foreign ex-pat stealing your job. But does it have to be that way? For a long time, the pioneer generation had to work without pause in order to build a country. But they did so hoping that this generation wouldn’t have to pay the high cost of happiness, balance, family time, and rest. Was their sacrifice for nothing? I know; I’m an outsider looking in.

You’ll say I don’t understand or that I’m too idealistic. Maybe. I might chalk it up to a difference between the Asian and Western worldviews colliding, but the Protestant West has had problems with work life balance as well. And I grew up in a family that never lacked for money, but I also never felt very loved by them either. I’d have given my eye teeth to have had a close knit family.

I would ask how many beds can you sleep in at once? How many houses can you live in at a time? How many cars can you drive at once, and do you even need to when Singapore has excellent public transport? Do you really need designer clothes, expensive watches, branded bags, exotic jewelry, or any of the other “ultra luxury” items you can think of? If those things bring you happiness, then by all means, work hard for those things. But I suspect those things don’t make people as happy as one might think if you are correct about those surveys among the wealthy.

I’m not against someone having wealth. I’m not against someone buying nice things. It’s not wrong to want to provide your family with the best you can give them. I’m not against someone living in nice homes or driving luxury cars. I’m not against sending your children to the best schools or leaving them an inheritance. But if you must sacrifice your peace of mind, your family time, your health, your mental health, or your rest in order to attain those things then perhaps reevaluating your life choices might be in order. I know this will be an unpopular opinion but nonetheless, it is my honest evaluation.

Why do so many Europeans think of the US as a third world country?

Why do you think it isn’t. It is so backward in so many matters. Education, social care, food, gun law. It is probably embarrassing to a lot of third world country to have America lumped in with them. At least they know what the problems with their country is. But American with its brainwashing, convinced their idiots they are the greatest country on earth.

Kitty Rescue

What is the fastest you wiped that smirk off your manager’s face?

New GM from the UK was bought in. He was there to get rid of people and make the business profitable. What is known in Australia as a “Toe Cutter.”

He flat out told me three things I will never forget:

  1. “I hate how you are the only person in this company that doesn’t have to answer to me.” (My boss was in Canada)
  2. “I’m going to micromanage you out of this business, any email you send for any reason I want you to BCC me in on the email.” (I stopped sending any emails and called everyone back when they emailed me.)
  3. “Hey where are you going, SIT DOWN!”

Number three was the final straw. I turned back to him, whilst still standing I put my hands down on the table and leaned in towards him across the desk. “I’m not one of the your kids, and I’m not your fucken dog, don’t ever tell me to “Sit Down” again.”

Smirk wiped.

What is something in an old home that people wouldn’t recognize today?

When I was looking f or a house to buy, we looked at an old Victorian that I’d always liked the outside of. It was a magnificent hom,e. 3 stories plus a basement. Fine oak paneling in many rooms, wonderful fire place. In one of the upsatairs bedrooms, I opened what I assumed would be a closet…. it wasn’t. What it was was a dumbwaiter.. and still functional. I loved the house! But, it was above our price randge and they were not at all willing to negotiate. THe house had stood empty for quite some time and needed a lot of work, mostly cosmetic but still with the asking price and the work it was just too much for us. I’m still wistful about it whenever I pass by.

What do you do if someone parks their car so close to your driver side that you cannot get in your car?

That happened to me once at a Mall. It wasnt my fault as I was centred in the parking slot. I went back in the Mall, went to a Dollar Store and bought a small tube of crazy glue, and went back out. I then proceeded to glue his wipers to his windshield. It was a sunny day, so the owner wouldnt even know. I still had to wait, and the driver on my passenger side came out first, so I got in that side and drove away, smiling and hoping for rain soon.

Yes, I can be a vengeful prick.

American Woman In Thailand Found Out That Average MEN Are WINNING Overseas. She Was Shocked.

I am a 43 year old man. I dated a 41 year old post wall woman for a few months, who had lived in Thailand for half a year when she was young. She stated that getting an Asian woman was no achievement for a (western) man. But apparently dating a post wall (western) woman is an achievement.. from her point of view. She was also miserable and boring, so our relationship ended quickly. My new girlfriend is from Philippines, she’s 25 year old, kind and feminine. Guess which is more fun..”

Why do so many famous people take drugs, that sometimes even lead to their death?

For the same reason people who aren’t famous take drugs, that sometimes even lead to their deaths.

See, here’s the thing. For a lot of people, drugs aren’t a problem. Drugs are a solution to a problem.

The problem is emotional pain, or depression, or physical pain, or loneliness, or alienation, or trauma.

A lot of folks look at famous, successful people and say, “Look at everything he has! If I had all those things, I’d surely be happy!”

No. No, you wouldn’t, at least not necessarily. Money above what you need to be comfortable doesn’t create happiness. Fame doesn’t create happiness.

In fact, sometimes fame does the opposite. When lots of people know who you are, but don’t really know you, that can create alienation and loneliness.

Not just for the obvious reason that you can never tell if someone is interested in you for who you are, or for your wealth and fame. It’s more subtle than that. You end up in this weird twilight land where people know you (or think they do), maybe have followed your life in the media for a long time, and so they feel a connection with you. But that connection is totally one-sided. You’re this important person to them, but they’re a total stranger to you.

Sociologists call this “parasociality.” It’s really weird and unsettling. It can be deeply, profoundly alienating.

Point is, it’s often pain that leads to drug use, and famous, successful people are no more immune to pain than anyone else.

Have you ever been mugged and had it end badly for the mugger?

Not mugged, strictly speaking, but attacked.

In my early twenties and spending a couple of weeks with a friend who lived directly on the beach in Venice, California. I was alone in the apartment when a man broke in. He made his way to the bedroom, where I was standing, looking out the sliding glass doors that opened on to the balcony that oversaw Ocean Front Walk and the beach.

When I was a preteen, more or less, my father took my brother and me to his Aikido classes and we eventually had our own little class with the teacher’s son or nephew. I liked it and was not bad at it.

So this guy comes barreling over to me while saying something nasty and reaching out to grab me with one arm. Without thinking at all, I responded with an Aikido move that I had learned as a kid. Along with my partner inertia, I ended up throwing the guy over my shoulder and over the balcony wall.

When the first responders came, he was unconscious, supine on Ocean Front Walk.

What are 20 things that are not worth it?

One Night Stands: if that is your goal. Plan to get rejected a lot, to put a ton of work in.

Plan on getting really drunk, and having bad sex with someone you’ll probably regret having sex with.

Side Chicks (dudes): a moment’s pleasure, the rush of something new, will quickly be replaced by the persisting paranoia of being caught and the shitty feeling of having cheated on your partner.

Expensive Weddings: just don’t. You aren’t royalty. It puts a lot of pressure on you both for the event and the marriage. And if things don’t work out, those expensive pictures will end up in a drawer anyway.

Marriage*: often – this is rushed. Make sure you know the person. It will make it much harder to leave and you’ll end up wasting your best years because you felt too ashamed to get divorced.

*if it is right – marry the hell out of them.

Trying to persuade someone to like you: love/romance should happen organically, not as a product of you having to oversell (beg) someone to be into you.

A Shot of booze after midnight (or last call): It’s time to start drinking water as soon as PM turns to AM. Otherwise you are just signing yourself up for a massive hangover.

Staying up late: just because you can doesn’t mean you should. Turn off the TV. Get some sleep.

Doing it later: nothing in this world destroys more potential than procrastination

Stop it before it stops you. Do it now.

Shiny Objects: Fancy cars, big diamonds, they will be fun for a moment. But you’ll quickly find that feeling fading.

And you’ll quickly learn that “Looking Rich” is a very expensive hobby.

Insurance/ “assurance” Plans: outside of health insurance and car insurance, avoid insurance like the plague. They are designed to be high-profit services for companies. (Now – if you buy something that you plan to use the shit out of, consider insurance.)

Warranties: same as above.

Self-Criticism: let it go. No self-haunting. Criticize yourself once and then correct the behavior. Don’t become your own ghost.

Driving your car fast to impress friends: I know people who are in wheelchairs for life because of this.

Appeasing your parents: you pick your own career – not them. Listen – but don’t become “Project Redo” for their own life.

Credit Cards Debt: avoid avoid avoid. Only use credit cards to build your credit score. If you don’t have stable income, you could end up being saddled with debt collection calls for decades.

Yelling/Hitting/Throwing – there are other ways to resolve disputes with your significant other. Nothing good comes of those things.

Excessive Pills: if you have clinical depression – I don’t discourage you from medicating – do it.

But remember – there is an over-medication problem, in the US and elsewhere. Don’t be another person taking a cocktail of pills every night. Address the underlying problem if you can.

Expensive Colleges: you don’t have to spend all four years at a $30K per year school. There are other options. $120K will be a monster to re-pay. And for god’s sakes – study something that will give you a job of some type. It doesn’t have to be engineering. But make it something.

Arguing with people on Quora: you won’t be turning anyone. You are wasting keystrokes and probably just signing yourself up for a moderation violation.

Winning an Argument: does winning this argument serve a purpose? Or does it serve your ego?

The USA has entered the twilight zone

Strange: U.S. Announces “Ohio-class Submarine has entered CENTCOM Area of Responsibility”

World Hal Turner

The United States has strangely announced that “An Ohio-Class Submarine has entered the CENTCOM Area of Responsibility. What makes this announcement strange is they didn’t identify WHICH ONE.

It makes a Gigantic difference.

There are, at present, eighteen (18) Ohio-Class Submarines.  Fourteen (14) of those carry TRIDENT II nuclear missiles with Eight (8) independently-targetable warheads each.  That means that EACH submarine has 160 nuclear warheads.

Four of those Ohio-class submarines (USS Ohio, USS Florida, USS Michigan, and USS Georgia) carry 154 Tomahawk (Land-attack) Cruise missiles, each.

So, the big question on everyone’s mind right now is, WHICH “Ohio-Class” submarine just arrived in CENTCOM’s Area of Responsibility?  Nuke or non-nuke?

HT REMARK: Things over there in the Middle East are growing more dangerous by the hour.   This situation between Israel and HAMAS has “Disaster” written all over it; and not merely for Israel/HAMAS, but for the entire region and maybe even the entire world.

Whatever is coming is apparently HUGE!   At about 4:00 PM eastern STANDARD Time (GMT-0500) here in the USA, the Israeli Defense Force put out a message on social media that they have **NEVER** put out before:  “Pray for us.”   It’s all over social media right now . . .

UPDATE 7:35 AM EST MONDAY — 

I have been told the submarine is the USS Florida, and SSGN as opposed to an SSBN.    The G stands for Guided Missile and is the type of submarine armed with 154 Tomahawk cruise missiles.

(Breathing a sigh of relief . . . .)

Men Made a List of Women to NEVER DATE!

As a American Black woman and single mother of a young adult son; I’m actually not mad at this list Good for them for clapping back at this foolishness!”

Basically, to sum up, modern dating for young people these days is pure hell.”

Lord these gender wars between men and women especially in the black community is quite entertaining sometimes but ultimately just down right sad, smh.”

I am actually shocked “plus-size” or obese are not on that list.”

On a recent “Girls Trip” to Miami it was either 5 or 6 females all went there together and they all came home preggers and none of them know who the fathers are… MTR recently covered this story.”

What was the shortest interview you’ve had that led to a job offer?

It was a Hakka family takeaway.

I was actually a customer. I could see the massive massive queue and the flames reflected off the walls and the panicky slamming of woks from just how busy it was.

They couldn’t cope. I saw the old man who looked like a thinner version of my dad overwhelmed when he brought out a tray of food.

I said to her in my finest Hakka do you need some help? The old lady on the counter looked up and was what? How do you know how to speak Hakka? I thought you were a tai look lau… (Mainland Chinese). Short argument, wife (on the counter) says no, husband says ok. I go in and he’s looking after 5 Chinese cookers by himself just like my dad used to do. I help them out for an hour, turns out their sons had gone on holiday. Business dies down and we share a few cans of special brew.

He was a man from Yuen Long and had moved in the 1970s.

What’s the fastest you’ve wiped a smirk off of someone’s face?

It was an interview a few years ago when we still had to go into the office to attend. My interviewer was a young man, dandy. The interview started by him introducing himself as the ‘Best PM of the year’ at the company and currently working in the BigData department (BigData back a few years ago was similar to AI today, everyone talks about it and everyone claims doing it). And he said he would be my boss if I happened to ‘make it’.

The interview went just fine; some technical questions I was able to answer. Then he looked at my resume and asked if I was a teacher because I claimed in the resume that I did teaching. I said I’d prefer to be called a ‘trainer’ more than a ‘teacher’. Then he asked, ‘Teach me something. Better something interesting and something I don’t know about.’ I said, ‘Ok, I will teach you how to bake a cake.’ Then I got into my ‘teaching mode’ (I always think that we need to teach things with enthusiasm to draw interest from learners), and proceeded to talk about ‘baking is like doing science, we need to be precise.’ Then I told him the two biggest tips for baking a good cake: 1. Always prepare the pan before starting to prepare the batter, and 2. Even if the recipe doesn’t call for salt, a pinch of salt would kick the flavor to the next level.

He stopped me, and he went, ‘Jezz! You talk a lot. Really a lot. You don’t need to pretend to be a college professor here.’ I heard that and replied, ‘Oh, yeah, sorry. I don’t always talk that much to everyone.’ He smirked, ‘I’m special, huh? Well, I’m flattered, but you know sweet talk wouldn’t get you the job.’ I smiled, ‘Oh, no. I meant, to smart students, I never have to talk that much.’ His smirk dropped, and he told me the interview was over.

I didn’t get the job, have never seen him again, so I haven’t got a chance to ask him if he has ever tried to bake a cake?

Have you ever had a car crash where the other person was at fault but they acted like the victim?

My Aunt backed into my parked car. She came into the house and insisted that I pay for the damage. It was my fault that I was parked where she needed to drive. I told her that my insurance company would only cover the expense if she called the cops and filed a police report. They needed the report for the claim. She called the police who came out to investigate. She told them what happened. They came in to question me. Their first question was “is she for real?”

Welcome to my world.

I wouldn’t fuck you

You can hear the amount of disappointment in her voice when she asked him why. It was like her soul just got snatched.

https://www.youtube.com/shorts/Dg9VCj7b_z0?feature=share

What was the most legendary “I quit!” that you know about or witnessed?

I worked in a factory in a second level management position. I loved the job, worked hard, and was well paid. It was not unusual for me to be at work on Saturdays. I just did it-I was not expected to.

Well the operations manager called me into his office, and told me he wanted me to work every Saturday. I said that I was generally there on Saturdays, but didn’t like the demand he was making. I asked it I would get additional pay. He said no, and reminded me there were 2 people who refused to work on Saturdays, that we no longer here. I looked at him, and said “well now there are 3”! I walked out of his office, gathered up my personal stuff and left!

About 3 weeks later, he was fired! Did my heart good!

One evening as I was heading to my car after doing a little shopping I noticed a lady that seemed pretty upset on her phone while looking at the front tire of her car.

As any decent person would do, I walked up to her and I noticed 3 young children in the car so I knew I needed to act fast since it was a little chilly that evening, I proceeded to ask if she needed some help and she said “yes sir that would be great”.

I opened her trunk and to my astonishment, she had a spare tire but no jack to lift the car up with …. so since we were at walmart, I went and purchased a jack to change the tire on her car.

After about an hour, she and the 3 young children were on thier merry way.

My phone rang as soon as I got back in my car and a child I mentor called me to tell me about his day at school and he asked “what are you doing?” I told him “I was helping a lady with her car”.

He replied with “why?”

I responded “You’re never too important to be nice to people”.

~ Cody Bret

The most dangerous men…

What is the weirdest thing you’ve walked in on?

I was riding my horse on a trail that went through a kind of alley between the back yards of very expensive homes in a very upscale development.

The houses all had fences that were about 8 feet high, solid cinderblock. If you went walking down that trail, you wouldn’t be able to see into anyone’s yard.

But when you’re on the back of a horse, you could see over the fences into people’s yards.

There weren’t a lot of people who rode their horses down that particular trail, especially on Monday through Friday. It was usually very quiet.

So I was riding my horse there, on the way back from a long trail ride. I was riding at a walk, and because the trail was thickly covered with wood bark and chips, my horse didn’t make any noise.

As we went down the trail, I heard a rhythmic creak-creak-creak kind of sound. Not loud, but unusual enough that I started looking for the source. (When you’re trail riding on a horse, you stay aware of what’s going on around you, because there are a lot of things that can cause a horse to freak out.)

The sound grew louder as we got closer, and I finally saw the source.

A man and a woman were having sex on a trampoline in one of the yards adjoining the trail. Butt naked and in their own little world. Creak-creak-creak went the trampoline…

They saw me about the same time I saw them. I raised my dressage whip, which I carried on trail rides to sweep spiderwebs that crossed the trail away, saluted them, and kicked my horse into a fast canter and scrammed out of there.

WhaWhat is the biggest scam an auto mechanic ever tried on you?

I took my car for its annual safety inspection. The mechanic came out and told me that it had failed for three reasons. First, the front bumper was detaching. Second, the little light above the license plate was burned out. Last, the windshield washer wasn’t working. He suggested that I go to an auto parts shop and get the replacement bulb and also see if they had the right clips to reattach the bumper, or otherwise perhaps I could improvise something, but that I should bring it back to have them fix the windshield washer pump because it’s not easy to get to that so I probably couldn’t replace it myself.

I said to him, “Yeah, I’m going to go the parts store, thanks. But I know for a fact that the washer was working fine less than ten minutes before you took the car into the garage, because I washed the windshield while I was driving over. Look carefully, and you can even see the streaks. It rained this morning and that would have washed away any older streaks.”

I went to the parts store, got the bulb and the clips, and then went home, popped the hood, and activated the washer. A stream of water sprayed over the hood and onto the roof of the car. So, the pump was obviously working fine. What was the problem? After some poking around, I determined that the hose from the pump was simply disconnected. It wasn’t an easy reach, but I managed to reconnect it.

When I took it back to the shop to get my inspection sticker, I said “Y’know, it’s pretty amazing that that it would detach itself like that. It’s almost as if someone yanked on it while nobody was looking, sometime during the ten minutes between the time I used the washer while driving over and the time you pulled it into the garage.”

t was the most satisfying display of instant karma you have ever seen?

We were going to China on a trip to visit Beijing, Shanghai, Chengdu and Xian. At the New Delhi airport, there was a group of Chinese tourists who were returning to China after visiting India. They were asked to fill some forms and were struggling to fill it in English. One of them requested me, and i happily obliged. Soon there was a small line of Chinese tourists getting there forms filled by me. I didn’t mind as flight was not leaving for next 2 hours.

We reached Beijing and realised that the hotel cab that was supposed to pick us up, was nowhere to be seen. We tried calling and the hotel reception, but they did not had an English speaking staff in the night. For the people who have not visited China, you should know that Hotel has different address and names written in Chinese and English. If you are not carrying Chinese name and address, its very difficult to ask for directions. New to China, we felt stuck and helpless at Airport.

Soon we saw the entire group of tourists i had helped. Seeing me and my wife they waved and asked if we need any help. They immediately made a call to the hotel reception and explained the situation in Chinese. They also waited untill our cab from hotel arrived at Airport.

I really believe that was one act of kindness that was immediately returned back to me as an instant karma!

Why is seeing an attractive young woman in her bikini fine but seeing her in her underwear isn’t?

Because what’s socially acceptable is based on social convention, and social convention is rarely rational.

Society has declared that bikinis are public wear and underthings are private wear that must always have something over them. That’s it. The fact they both reveal the same amount of skin isn’t relevant.

Often, what’s socially acceptable is about what’s common. That which you see in public becomes accepted; that which you don’t, doesn’t. Society doesn’t do consistency checking with each individual thing; that’s not how people work.

What was the biggest scandal at your high school?

A student got sassy with a teacher about a grade and the teacher kicked him out of the room. The student grabbed a pencil and stabbed the assistant teacher in the room. Just in the hand, but still.

So the teacher goes rage mode and physically marches the student down to the deans office. Everyone is initially furious at the student, he’ll be expelled for sure. Assistant teacher is shaken but fine.

Daddy was a big donor to the school and the kid was on the state championship bound Football team. Daddy argued with the school, who reverses the expulsion and instead fires the teacher for “laying hands on a student.”

There were walk outs, several other teachers quit, the local news picked it up, the whole nine yards. Nothing changed. The school kept the little asshole to keep Daddy happy and fired a very, very good teacher.

Who exactly tells girls to be powerless princesses?

For me it was my mother. I was told constantly how pretty I was. My little sister was told how smart she was. The message we received was that I wasn’t smart and my sister wasn’t pretty.

Upon graduating high school I was told I didn’t need to go to college because I was pretty and would always have a man to take care of me. My sister went to college (she graduated high school at age 16).

Instead of college, I became a stewardess. It was a great job and I don’t regret it at all. But at age 40, I decided I wanted to go college after all. I graduated with a degree in Accounting and a 3.9 GPA.

It took me that long to find out I was smart.

Congratulations! China develops world’s first super all-analog photoelectronic chip

Chinese researchers from Tsinghua University have developed an all-analog photoelectronic chip that can process computer vision tasks with greater speed and energy efficiency than existing chips, marking the first of its kind in the world.

The research team’s findings, which provide an alternative to existing technologies based around analogue-to-digital conversion, have been published in the journal Nature.

In the new study, the researchers designed an integrated photoelectronic processor to harness the advantages of both light, in the form of photons, and electrons, as found in electric currents, in an all-analog way. The result is called an “all-analog chip combining electronic and light computing,” or ACCEL.

Tests showed that ACCEL is able to recognize and classify objects with a degree of accuracy comparable to those of digital neural networks. Furthermore, it classifies high-resolution images of various scenes of daily life more than 3,000 times faster and with 4,000,000 times less energy consumption than a top-of-the-line graphics processing unit (GPU).

Analog and digital signals are two types of signals carrying information. Analog signals vary continuously, as with the rays of light forming an image, while digital signals are non-continuous, as with binary numbers.

In vision-based computing tasks like image recognition and object detection, signals from the environment are analog, and they need to be converted into digital signals for processing by AI neural networks, systems trained to recognize patterns and relationships in a data set.

However, the analog-to-digital conversion is time- and energy-consuming, limiting the speed and efficiency of the neural network’s performance. Photonic computing, which uses analog light signals, is one of the most promising approaches to addressing the issue.

“We maximized the advantages of light and electricity under all-analog signals, avoiding the drawbacks of analog-to-digital conversion and breaking the bottleneck of power consumption and speed,” said Fang Lu, a researcher from the Tsinghua team.

A review by Nature editors said that the team had minimized the need for energetically costly analog-to-digital converters. “This refreshing and pragmatic approach to artificial-intelligence hardware that is highly energy efficient makes the most out of both electronic and photonic computing technologies,” it said.

Fang noted that the advantage of ultra-low power will help improve the heating problem of chip scaling, and it has the potential to bring breakthroughs in the future design of chips.

Dai Qionghai, director of the School of Information Science and Technology at Tsinghua University, said that the team has developed a prototype chip, and will work toward making a general-purpose artificial intelligence chip for a broader range of applications.

Sizzling Shrimp Po’ Boys
with Blueberry Remoulade

sizzling shrimp po boys
sizzling shrimp po boys

Prep: 20 min | Cook: 15 to 20 min | Yield: 4 sandwiches

Ingredients

  • 3/4 cup milk
  • 1 large egg, lightly beaten
  • 3/4 cup cornmeal
  • 1/2 cup all-purpose flour
  • 2 tablespoons Old Bay seasoning
  • 3/4 teaspoon salt
  • 1 1/2 pounds large shrimp, peeled and deveined
  • Vegetable oil, as needed
  • 4 (6-inch long) sub rolls, split and hinged
  • 1 small head Boston lettuce
  • Blueberry Remoulade
  • Pickled Blueberries and Onions

Instructions

  1. In a medium bowl, combine milk and egg.
  2. In another medium bowl, stir cornmeal, flour, Old Bay and salt.
  3. To the milk mixture, add shrimp, a few at a time, to coat. Remove one shrimp, allow excess liquid to drain off, and roll in the cornmeal mixture to coat well.
  4. Place on a plate or rack. Repeat to coat all the shrimp.
  5. Meanwhile, pour oil 1-inch deep, into a large saucepan; over medium-high heat, heat oil to 350 degrees F.
  6. Gently place 4 to 6 shrimp in the hot oil and fry until golden and crispy on both sides, 3 to 4 minutes; drain on paper towels.
  7. Repeat to fry all the shrimp, adding more oil, if needed.
  8. To serve, spread rolls with Blueberry Remoulade, add lettuce and top with shrimp.
  9. Serve with Pickled Blueberries and Onions.

This is the most attention-grabbing car I’ve ever driven!

I have been considering buying this vehicle. Either the Z… shown in the video, or the Y… a Gull-wing SUV.

Stalking for a bloody nose

When I lived in Milford, Massachusetts I worked at an appliance company as a Chief Project Manager in the R&D group. During that time, early on in the company, I was living with a model named CJ. She was extraordinarily beautiful, but a royal pain in the ass. Ugh! That’s a story for another time, I’ll tell you what.

Anyways, there was one of the guys in my company, way a youngish (in his late 20’s) man who worked in Marketing. I got along fine with him.

But my girlfriend, CJ (the chick that I was living with) told me that she had a “bad feeling about him”. But I shrugged it off.

About a month later, I noticed that he was driving around and waiting outside my apartment. I wondered why, but couldn’t figure out what was going on.

Later on, after that, CJ told me that he was following her.

Two weeks later, he came to work completely beaten up, and his face was a real mess. He worked for a day or two, and then quit. I never found out what happened to him, but I figure that he messed with the “wrong people”. Not me. But perhaps someone else…

What do you know.

Today…

What was the most legendary “I quit!” that you know about or witnessed?

I was asked, as a consultant, to handle a very delicate matter. It appears that the company president’s teenage daughter had taken a liking to one of the system assembly people who was building rack servers. He told her that he was busy and she was not authorized to be in the department. She complained to daddy, and the employee was subject to a screaming match in front of his co-workers and told by his boss that he needed to apologize by the close of business when the executive and his daughter left. And that some token of his contrition might be a nice gesture.

Near the end of the day, the technician located the daughter, said he was sorry, and gave her a handmade electronic sculpture as a token of his contrition. He then wrote a resignation note and left it with H.R.

I was called to resolve the issue and get the employee back to work because they had a backlog that was building on the workbenches. I could not find any reason why someone else could not build-out the server blades and none of the employee’s co-workers volunteered any information. That is, until I learned that he had fashioned the sculpture out of the all of the motherboard chips on hand.

There was no attempt to gain restitution for the ruined chips and the employee was paid in full — including two weeks severance. I later heard that the president reimbursed the company for the entire amount. I pocketed my fee — which only added insult to injury.

I Live Better in The Philippines Than I Did in The U.S For Cheaper – My Story

It was reassuring to hear of JJ’s positive experience in BCG, and of his low monthly budget. I’ve been thinking a lot about my future retirement and moving somewhere that will provide a better quality of life for my budget. I’ll try to spend time in several countries, before picking a place to settle down. Thanks for another great video, Evan.”

Texas Iced Tea

2023 11 08 11 10
2023 11 08 11 10

Ingredients

  • 6 tea bags or 2 large tea bags
  • Water
  • 1 cup granulated sugar (optional)

Instructions

  1. Heat 1 quart to boiling 1 quart water in saucepan.
  2. Remove from heat; add tea bags, cover and let steep for 30 minutes.
  3. Pour into 1 a gallon pitcher.
  4. Add sugar, if using, then fill pitcher with cold water.
  5. To make sun tea, fill a 1 gallon glass jar with cool water.
  6. Add 6 tea bags and place in direct sunlight.
  7. Leave in sunlight until desired color (strength) is obtained (reddish brown) – about 2 to 3 hours. Do not leave in the sun too long (over 4 hours) as mixture may become bitter.

What is the best revenge you ever had on your bully?

A very stupid woman in my social group was my bully. She hated me and did & said what she could to trash me.I was involved with someone that she had a crush on. She did everything she could to break us up, including making up stories about me sleeping around with other guys (I wasn’t), stealing $$$ from him (I earned 3x what he did), badmouthing him, etc. It did cause some tension in my relationship.

However, she thought it was funny and sent screenshots of what she had said & done to a friend of mine. She didn’t know he was an old friend of many years. He sent me copies which I gave my guy. He blocked her on the spot and cut off all contact with her.

At the time she was living with a guy in public housing and collecting disability. Again, Ms. Stupid sent to our mutual friend comments like she was only living with him so he would pay her bills. That she really didn’t have a disability but she had a corrupt Dr who would fill out “appropriate l” paperwork for a fee. And that she had a job off the books and making very good $$$.

He sent me everything. I filed a complaint on the doctor with the state medical board. I forwarded her comments to the Housing Authority and federal offices. But the best was, being crafty, I created a mini-book that listed all of her comments from her lies about me to using the boyfriend as a paycheck. I wrapped it up nicely and sent it to him at his place of employment. (I did put a note on it saying to open in private…he didn’t need to be embarrassed in front of co-workers)

So long story short, she lost her apartment but gained a new one at the local jail. She lost her boyfriend but gained a new corrections officer. She lost her social circle but gained new cellmates. She lost her lucrative income but got a job at the prison making cents on the hour.

Have IDF soldiers ever used Krav Maga in real life?

On March 7th, 2002, Gabi Altaraz, owner of the Cafe Caffit was walking around his crowded restaurant, full of families and young couples, full of the sounds of children. He noticed a young man sitting alone at the table. The man seemed nervous, agitated and odd.

Despite the day being quite chilly in the tail end of the Israeli winter, the strange man was sweating profusely.

Gabi walked over and asked to take the order, figuring the man was just a bit distracted by work.

The man seemed annoyed by the question. “Just water,” he snapped, clearly bothered. He then asked who Gabi was, giving him the most chilling smile that looked murderous.

As Gabi listened, he noticed a very large backpack by the man’s feet.

Gabi didn’t change his expression, but said he’d get it. Instead, he went and got twenty three year old Shlomi Harel, one of the waiters. “I think we have a problem,” he said.

Now, with spiked hair, tattoos and a pierced eyebrow. Shlomi may have looked like some bored college student currently fetching drinks and food, but he was also a recently released elite commando.

Shlomi walked up to the table and asked in Arabic for the man’s ID card (which all Israeli residents must carry) The man produced a blue one, indicating he was an Israeli citizen. However, Shlomi had already noticed the bag.

More importantly, he noticed the wire which protruded from it, and which ran through the man’s jacket and through the man’s right sleeve to a detonator in his hands.

The cafe was full, 50 innocent civilians, women and children and elderly, all of whom were defenseless. In seconds, they would be a red mist unless he was willing to risk his life.

With a fearlessness bordering on superhuman, Shlomi pounced on the terrorist and threw him out of the restaurant.

Once outside, before the terrorist knew what hit him, Shlomi had already jerked out the wire, separating the bomb from the detonator, as he was trained. He then ripped off the pack, threw aside and slammed the terrorist to the floor to restrain him.

As he wrestled the terrorist to a safe distance, he also yelled to clear the streets, take cover and call the bomb squad, while using the skills of Krav Maga to make sure the would-be murderer didn’t go anywhere until the authorities could take him away.

Shlomi’s excellent training, heroism and sacrifice prevented a massacre. 10 kilograms of explosives and shrapnel was packed inside the backpack, enough to kill all 50 people and wound and blind and maim and mangle 50 more.

If a soldier has to resort to Krav Maga, the goat droppings have really hit the fan. Ideally, you never want to be in a position where you need to be that close to the enemy, but there have been situations where it was required.

Remember, Krav Maga isn’t just about a form of self defense so you can go home at night safely.

In the army, it’s about making arrests. (And his knee isn’t on the detainee’s neck but shoulders, as can be clearly seen by anyone with training)

It’s about disarming suspects.

It’s about self defense for situations like knife attacks.

And as the story makes clear, it has other uses.

So yes, soldiers use it. Otherwise, why would they teach it?

And yes, the stuff related to arresting and neutralizing terrorists. Not the stuff I do.

No Status

Have you ever experienced something you can’t explain?

Me and a buddy were at his house watching TV and some person on the screen was eating a strawberry. I don’t really care for them, but it looked good and I asked if, by chance, he had any strawberries in the house. He almost laughed at me, we were two 20-year old’s with a higher chance of beer or mac & cheese or Fruit Loops than strawberries. About twenty minutes later, there’s a knock at the door and he goes to answer it. He yells out to me to come see something. His mom is standing at the door with one of those low-cut boxes with a bunch of strawberries. he said, “Tell Jeffy what you said!” She looks a little strange and says, “I was driving home and there was a guy selling strawberries out of the back of his truck and I thought, “I bet David and his friends would like some strawberries…” My buddy says, “Well, you blew it. We all have one wish and you wasted yours on strawberries.”

A year ago, I did a test for Mensa, also known as “The High IQ Society.” I was given an IQ of 162. Does this mean I’m special?

Yes, but…

As an example, there was a woman who worked for me at one point. She used to always brag that she has a 174 IQ. Everyone was tired of it and it really kept her from forming good relationships at work (the “I am better than you” complex). One day, I was just not wanting to put up with it so I said “wow, your IQ is higher than Albert Einstein!” When she agreed, I responded “he invented the theory of relativity, what have you done?” She never mentioned her IQ after that. You see, IQ matters less than what you do with what you have.

P.S. I am a member of Mensa, too, and realize that it is just the measure of potential, not an accomplishment.

Edit: thanks for everyone who up-voted my response. I think this is my highest. I went to my first Annual Gathering (AG) this year and it just reinforced my belief. It isn’t what you have, but what you do with it. Many wonderful people, but just like the rest of society in so many ways.

How is she surprised he responded like this? She deserves everything she gets

Lordy! This is everything that wrong with the United States today.

Who is the most evil person you have ever met?

Have you ever been accused of something very wrong ,and been totally innocent.

I have this mentally I’ll neighbor a block down the street ,he will say just about anything with no remorse whatsoever, he is so envious of me, from what other people have told me that it’s almost some type of fixation.I warned my new girlfriend about him and to keep her distance from him, quite frankly I don’t trust him at all.One day I see she was at the bottom of the driveway picking up the mail as usual only potty mouth is blabbering to her about something, he was out walking his dog. When she parks her car and heads for the house she usually stops to see what I’m doing. Today she goes straight up and into the house, I knew that idot had said something to upset her, unfortunately for me I was in the middle of spraying and couldn’t drop what I was doing.I head into the house an hour later and she seems very tense, but when I mentioned what did the ding dong down the load have to say, she said ahhh nothing really.

A couple hours later when we met at the doorway between the master bedroom and the bathroom, she actually ducted away from me. Now I knew something was wrong and I had to get to the bottom of it, but when I asked what was bothering her every time she had the same response, ahhh nothing really.

Finally I had enough, I said I want to know what is going on ,and we’re not closing our eyes until you tell me. Then she says, whatcha going to do hit me.I was totally floored, I have never in my life struck a woman and told this to her. She says that the guy down the street told her, he used to hear my ex wife screaming and that I had been arrested for abuse a couple of times.I started to chuckle a little and she says this is very serious ,and that if I layed a hand on her she’s going straight to the cops.

I pointed to a couple of shotguns leaning in the corner by my dresser. See those, well if I had any sort of domestic violence charges brought against me , there wouldn’t be any fire arms allowed in the house. She says you have several guns, that’s against, the law then. I warned you about listening to that asshole some time ago didn’t I ,she couldn’t believe someone would make up a story like this. So let’s just look up my criminal record and see how bad I am, in Wisconsin you can go to the clerk of courts on line and check out anyone’s history. Look here there nothing, nothing at all, squeaky clean. She seemed a little shocked. Now I said let’s check out your new friend, Oh Look two convictions for domestic abuse, spent two weeks in jail twice for failing to pay child support and a felony conviction for distribution of cocaine.Maybe now you will take what he says with a grain of salt. She still looked a little puzzled, but gradually got back to normal. One of these days he’s going to say something about the wrong guy and find himself in big trouble. I fail to see what he hopes to gain, except cause me grief. Maybe someone else has a clue, I just totally avoid him and have for several years now.

What is the origin of the expression “ducks in a row”?

The expression, “get your ducks in a row,” means to get prepared to do something. But where did this expression come from?

Many years ago, an old-time JPL engineer explained to me the origin of this expression. Sadly, I don’t remember who it was. There are a number of people I regarded highly who might have been the source of this. I certainly believed them because it made so much sense.

Consider an old drafting tool used to hold splines to make curves. It is called a drafting duck, also known as a lofting duck.

What the heck is a drafting duck, you might ask?

Before we get to that, let’s talking about lofting.

Back in the days of building large wooden sailing ships, they used to create full size drawings of the ship. The only place big enough for such a drawing was in loft above the shipbuilding building. The loft had a lot of space without posts in the way. Creating such drawing became known as lofting.

If you’ve ever done any computer-aided drafting, then you will have come across splines. These are smooth curves passing through a series of dots.

But how did they do that in the days before computers? Well, they used splines! A spine was a long flexible piece of wood that was held down on the drawing to make a curve passing through the points on the drawing.

or the very long splines they used in lofting, they had a bunch of weighted objects to hold the spline in place so they could run their pencil along it to make the smoothly curved line.

Lofting Ducks. The little bent nose sticking out was poked into the top of the spline to hold it in place. The body of these ducks was something like steel so they would be weighty and able to hold the spline in place.

So, before they could draw the line along the spline, they had to …

get their ducks in a row.

And that’s where the expression came from. At least according to the ancient engineer I knew a couple of decades ago.

Being in the friend zone

I promised my son a PS5 if he got straight A’s and he did, but I don’t want to give him a ps5. What should I do?

Huh. Tell me about it.

I promised the Screamy Blonde Thing £200 if she passed all her GCSEs except RE, which we don’t care about.

Yeah, my money’s safe because I’ve seen that kid’s Eng Lit essays. I’ve just spent two years shouting and cajoling just to get her Eng Lang up to scratch and the chances of her passing Eng Lit is the same as that of Kylie Minogue dragging me into Meadowhall Travel Lodge and giving me a damn good seeing-to.

Is what I thought.

Do you know what she bloody well did? Passed the bloody lot except RE. I swear she did it on purpose just to annoy me. Sigh. Bye-bye £200. I knew I shouldn’t have spent a week going through Romeo and bloody Juliet with her, still less another one going through The Strange Case of Dr Jekyll and Mister Hyde. Because of this, she now has a morning off from her computerses things at the Tech whilst the dim kids retake their Maths and English GCSEs. That kid has always been as jammy as a Co-Op doughnut, I tell you.

Anyway, the point. Stump up. You promised it, you bloody well deliver or you’ll be persona non grata in kidworld and they’ll never trust anything you say again for the rest of your life.

Back to the subject of the SBT, she’s spending her morning lying in bed playing with her Nintendo.

Or so she thinks.

I’m about to break the news that nobody could be arsed to do last night’s teapots and there’s a sinkful, and guess who’s drying? BWUHAHAHA! It’s not that I’m evil, it’s just……no it is. I’m evil. But not evil enough to renege on my promise for hard work. Get your wallet out.

What are your thoughts on China’s military growth? Do you think they will ever catch up to America? Or are there some aspects where we still hold an advantage?

In terms of absolute military power, they will never catch up with the USA. They simply do not have any intention to do this.

I think that Americans simply do not comprehend this. They live in a country that is accustomed to wars, The USA destroys countries and kills people in wars continuously. Afghanistan; Iraq; Syria; Bosnia; Somalia; Vietnam; Libya; Grenada etc etc. This has gone on for so long that Americans think it’s normal – as normal and ordinary and unremarkable as their regular school shootings.

China isn’t interested. They just want to build highways, sea ports, airports, railways etc; link up the world and do more trade and business.

Men Made a List of Women to NEVER DATE!

What has your toddler said that left you completely dumbfounded?

“I know who’s wetting the grass mummy!” My three year old daughter one day stated proudly.

We were eating breakfast at the time and my husband and I both looked at each other dumbfounded. She continued beaming at us. Clearly very proud of herself.

“Honey what do you mean?” My husband asked her trying to make sense of it.

“You know daddy…” She said shaking her head as if it what she was talking about was common knowledge. I was struggling to keep a straight face. “Explain it to daddy,” I said to her.

“Ok mummy.”

“Well,” she said. “In the mornings the grass is wet right?”

“Right, yes it’s a dew.”

“No…” She corrects him. “It’s a man dressed in black.”

“Are you sure honey?” My husband asks her.

“Yes I saw him last night…”

At first we were dumbfounded, then we were very worried. Turns out she had seen someone. The next night I stayed in my daughters room and someone actually tried to break in. Luckily some fly spray in the eyes and a quick call to the police soon put him out of action… Though my daughter said “mummy don’t do that to the grass wetter”

Turns out he was a child abuser, not the grass wetter. Luckily he won’t be doing that ever again now. And my daughter still can’t get over the fact that I sprayed him in the eyes with fly spray…

What did she say to me afterwards? “Who will wet the grass now mummy?”

And the next morning. “They found a new person, I haven’t seen him yet but the grass has been wetted again.”

Kids. They are so innocent and at times hilarious 🙂

What “unwritten rules of being a man” don’t you follow?

I was taking an advertising class. An ad agency executive was doing a guest lecture.

The theme of the class was, “Knowing your customer.” Learning about each demographic.

We had a small class of about 15 people.

He was seated off to the side of the class. He was having each of us individually go stand in front of the class for 2–3 minutes for a conversation.

From there, he’d have a friendly conversation with them, asking them questions about them and their life.

So my turn comes. I go to stand up in front of the class.

He looks me over and asks, “What’s your favorite sport to watch?”

My reply, “Well. I don’t really watch many sports hmmmm….”

He immediately changes to, “What’s your dream car?”

My reply, “Well, I am not really into cars either.”

“Fishing? Hunting?”

I defeatedly say, “Well….no…not so much.”

Apparently I didn’t check the box of following at least one major sport or being into fishing or hunting.

I was married at the time. He sees my wedding ring, and says,

“How’d you propose to your wife?”

I thought, “Oh man…really?…..guess we are out of man questions…”

And I painfully relayed a story of how I proposed.

And of course all the girls in the class all went “awwwwwwww”.

I felt like I’d suddenly grown a vagina.

But oh well. This is me. I’m not a typical dude. No apologies.

High Alert! China’s New EMP Weapon Is Going To Change The Industry Forever

What are some awesome psychological facts you know?

  • The happier you are the less sleep you require to function in every day life. Sadness increases the urge to sleep more.
  • Dreams reveal feelings that we’ve hidden or repressed because dreams, are a reflection of our unconscious mind, our emotional truth.
  • The unhappiest people in the world are the ones who care what everyone thinks about them.
  • By thinking one positive thought every morning, you can psychologically trick your brain into being a happier person.
  • Walking increases brain activity.
  • Intelligent people are more likely to avoid conflict, which explains why some people notice everything but choose to say nothing.

What do you do if you are homeless and alone?

I’m technically homeless for the second time in my life. Finances were at a real strain earlier in the year but I held on until my son went off to college. At 68, my career in print media ground to a halt and with an exploding real estate market where I saw my rent quadruple in a matter of months. My life was unsustainable.

Car payments, car insurance, prescription medicines and food, I’ll admit, I was drowning. When my son left I no longer had a reason to maintain a household or a car. I stopped fighting the inevitable. My social security payment was all I had. I sold my possessions and booked a one-way ticket to the far east. I can live on the pittance provided me for my years of work as an American. I just can’t live in America.

Since I left the states, I’ve lived in Vietnam, Cambodia, Thailand and Nepal. Next week I’m heading to India for a month before returning and spending Christmas and New Years in Vietnam. I live in decent three star hotels typically with free breakfast.

My social life had already slowed considerably as I got old.

I stopped drinking nearly three years ago so I no longer have that monkey on my back. But life, in general is good. It’s adventurous. And I no longer have the burdens that come with life in the US. My son is happy and thriving. My older daughter and her family are doing very well. I’m a phone call away from them and we talk frequently. The difference is I’m alive and living with few worries.

Would you be nervous if FBI agents knocked on your door and asked to talk to you? Would you let them in?

My son had an FBI agent come to his apartment. He sold noncommercial DVDs on his web page of 80’s cartoons. Someone had bragged about not caring about copyright and given the FBI his name in order to get him in trouble.

When asked, he said, “Yes, I sell those DVDs on my web page. Oh, do you want copies of where I have the rights? What’s your fax number?”

And nobody ever bothered him again.

Powerful Truth!

What is the most inappropriate thing that you have ever witnessed at a funeral?

Many years ago my first wife’s father was died. He was married at the time. He also had a girlfriend. When my mother-in-law, my now ex-wife, her grandmother and I arrived at the funeral we were expecting, of course, to sit on the front row. It is typical for the spouse to sit there. Unfortunately, the girlfriend with her children showed up prior to the wife and had seated herself and her kids on the front row. The wife, who had more restraint than I would have, decided not to make a scene and sat behind the girlfriend and her kids. If my memory serves me correctly, she did fire the girlfriend, who worked at the store now solely owned by my mother-in-law.

I get that the girlfriend was also grieving. After all, her sugar daddy was now gone. If she had had more manners and civility at the funeral, she would probably have been given time to find a new job (my MIL was a nice person), but since the gf intentionally embarrassed the wife in public, she didn’t deserve to be treated nicely.

How do cancer patients know the end is near?

My father was the epitome of impeccable health well into his older years, the strongest, healthiest person I knew. Walking 25,000 steps per day, always energetic, near perfect vision, mentally sharp (he had a PhD in mathematics), my father had every quality in his 70s that I wish I had in my 50s! Best of all, he was a very humble man and wonderfully loving father.

My dad was a renowned scientist at Oak Ridge National Laboratory where he studied nucleology. Unfortunately, he and many of his fellow scientists developed sarcoma later in life, a rare form of cancer. Some of his cohorts had already died in their 30s and 40s. My father didn’t acquire sarcoma until the age of 73, striking with little warning and spreading quickly throughout his entire body. It remains unknown whether or not the fate of these scientists was connected to the decades of nuclear research projects they performed.

My father awoke in the middle of the night in pain, was driven to the hospital by my mother, and by the time he arrived 15 minutes later he had no feeling below his rib cage. A tumor had grown along the spine and rapidly shut off the nerves, blocking any feeling all the way to the tip of his toes. After some tests, the doctors gave him three to six months to live. He lasted three months.

The question

To answer your question, how did he know the end was near? After three months of being bedridden and paralyzed, with his spirits high and his faith strong, he was lying awake around 4:00 a.m. when he suddenly struggled to breathe. He summoned my mother who was asleep in the same room. He knew it was the end.

The finale

My parents had been together for 55 years, meeting when they were teens. My mother held his hand, and he whispered, “Will you be okay without me?” to which my mother replied, “I’ll be fine.” And within seconds after those words, he was gone. I was on a plane flying to come see him when he passed, so I didn’t make it in time to say goodbye. But we had spent plenty of time together, especially in the final months, so I was at total peace. The world lost one of the greatest mathematicians of the 20th century that day. But I lost the greatest father.

What is the dirtiest fine print you’ve seen in a contract?

A co-worker and I were given new employee contracts to sign and we saw that if we were to leave the company we could not work as software developers for three years. We refused to sign, so the company controller came to us and asked why.

After we explained why we wouldn’t sign, he said, “Oh, that’s not what that means. What it means is that you won’t start a new, competing contract firm and steal away employees.” So I told him to change the contract language to state exactly what he’d told me. He just kept saying, “But you know what it means!”

I finally told him that until the contract says what it really means, I wouldn’t sign it. He said he’d have it corrected, but we never received a corrected copy, so we never signed a new contract.

His explanation made more sense than the contract’s language, but I wasn’t going to sign away my right to work on blind faith.

A husband

“This man worked a 13-hour day in 90-degree weather and walked through the door and said, ‘I’m home. What can I do to help you?’

Yet, these are words I hear from him often.

He is not a ‘unicorn.’ Men like these exist.

The kind who wash the dishes after you cook. Who do the yard work because they know you hate it. Who work 60+ hour work weeks and still come home and play with their kids, give their wife a kiss, and ask, ‘What can I do to help?’

Who gets up some nights to change the baby’s diaper while you get ready for a night feeding. Who gives the kids baths and puts them down for bed. Who spends his weekends with his family. Who cracks a cold one once the kids are down, and plays Farkle and Rummy with his wife.

Leader of the home, equal partner with his wife, provider, protector, and hands-on father. I’m so glad I married this man, and that he also acknowledges my hard work at home with two kids, nursing, cooking, and cleaning.

We take care of each other. It’s 50/50, and we are raising our boys to be men, just like their fathers.

I see so many women cry and complain their partner doesn’t help with the kids, bills, housework, give them any attention, etc.

My heart breaks when I hear, ‘Oh, that’s men,’ or ‘I just deal with it and suffer.’

Ladies, that isn’t a man. Make him do better or find better! If you have a good one who treats you like a queen, then treat him like the king he is.

Marriage is a partnership, not a dictatorship. My husband and I both take care of each other and are very happy.

Many blessings and happiness to all you kings and queens.”

Shared with permission via Jessica Maddux

Cajun Orange Mopped Chops

2023 11 08 11 12
2023 11 08 11 12

Yield: 4 servings

Ingredients

  • 1/2 cup orange juice
  • 2 tablespoons orange marmalade
  • 1 clove garlic, crushed
  • 1/2 teaspoon Cajun seasoning
  • 2 tablespoons chopped green onions with tops
  • 4 boneless loin pork chops

Instructions

  1. Combine first five ingredients and pour over pork chops in shallow glass dish. Marinate for 10 or 15 minutes while heating grill or broiler to high.
  2. Reduce heat to medium. Place marinated pork chops on grill or under broiler for 7 to 10 minutes per side, brushing marinade on chops as they are turned. Be careful not to overcook.
  3. Serve with rice or potatoes and a vegetable or salad.

Something Very Βizarre Is Starting To Ηappen At Walmart.. (This Seems Unbelievable!)

Entering the reconstruction period

Well, this is the first post for my new series: “Correcting Society Ills“.

This new series, as I have mentioned previously, is concerned with RECONSTRUCTION of the West.

As I have already mentioned, the threat of Global War has passed the DANGER ZONE. That was in 2020 through 2022. What we are experiencing now is a “lesser” state of war. Oh, sure, nuclear weapon threats are being bantered about, and provocations are seemingly everywhere at a global level, but the unseen global counter-threats are not being made public and they will quench any aggressive moves against the great Asian powers.

So, global change resembles this dynamic…

  • Change; old to new.
  • Threat of war… either occurs or fizzles out with a *pop*.
  • I claim that it fizzled out.
  • What is left is a ruined Western society.
  • And a need for RECONSTRUCTION.

So we are going to discuss that reconstruction and what needs to occur.

Mind you, actual war can still occur, it’s just that it becomes less and less probable as time progresses forward.

In our reconstruction discussions and talks we will cover all sorts of issues and maladies that the West are suffering through. From fat-generating use of polyunsaturated oils in Western foods, to the destruction of family culture, and the strange ideas of modern Western youth.

You will find all sorts of videos and discussions on related topics herein. It’s part of a phase in our society, and the world must go through this to get to the other side; one of an overall better quality of life.

I hope that you enjoy this new chapter in MM…

Do you think you are a selfish person overall?

My friend’s two-year-old son developed a fever. He started shaking and vomiting. They rushed him to the hospital.

That first sleepless night spent watching the doctors scramble to administer medicine and draw blood for lab tests became two. And then five.

And then twenty.

Something was wrong with the boy, but no one could figure it out. Meanwhile, his medical bills were piling up.

Costa Rica offers free public healthcare. But if you want immediate help, you need to go private.

After many months of sickening worry, the boy got better.

But my friend had already taken predatory loans at 20% interest. And to keep up with the payments, he had to sell his phone, and then his car.

The lenders would show up at his house unannounced, threaten him, and yell profanities.

His life had become unbearable.

When I found out I was furious and sad. “Why didn’t you tell me earlier?!”

“I was ashamed,” he replied.

I couldn’t stand his suffering. I felt it burning inside of me. His misery had become mine.

I had to do something about it.

So I lent him money. He paid up and closed a few of the accounts. Got his first full night’s sleep in a year.

I realized something startling about helping others along the way—

It’s the most selfish act in the world.

But it’s the only kind of selfishness that makes sense.

10 Unwritten Rules you should live your life according to them

  1. You just have to play the role of a fool to fool the fool who thinks they are fooling you.
  2. Things end, people change, and life goes on.
  3. Sometimes your problem is that you think everything is embarrassing.
  4. No one can make you feel inferior without your consent.
  5. Your soulmate is money. Stop forcing relationships.
  6. An over-thinker must date a great communicator.
  7. First, know your worth. Second, control your emotions. Third, never settle for less than you deserve.
  8. You are the reflection of your partner. Choose wisely.
  9. Life gets better when you see people for who they are, not who you want them to be.
  10. Sometimes the disrespect is all the closure you need.

Texas T-Bones

2023 11 07 15 35
2023 11 07 15 35

Yield: 4 servings

Ingredients

  • 2 (1 1/2 pound) beef T-bone steaks, 1 1/2 inches thick
  • 1 clove garlic, cut in half
  • 2 teaspoons black peppercorns, crushed
  • 1/4 cup butter, softened
  • 1 tablespoon Dijon mustard
  • 1/2 teaspoon Worcestershire sauce
  • 1/4 teaspoon lime juice
  • Salt and pepper to taste, if desired

Instructions

  1. Trim fat on beef steaks to 1/4 inch thickness.
  2. Rub beef with garlic.
  3. Press pepper into beef.
  4. Cover and refrigerate for 1 hour.
  5. Mix butter, mustard, Worcestershire sauce and lime juice; reserve.
  6. Heat coals or gas grill.
  7. Cover and grill beef 4 to 5 inches from medium heat 16 to 18 minutes for medium doneness, turning halfway through grilling.
  8. Sprinkle with salt and pepper.
  9. Place beef on warm platter; remove bone.
  10. Cut beef at slanted angle into thin slices.
  11. Serve with butter mixture.

What religion do the Chinese outside mainland China practice the most?

I can’t answer for near overseas Chinese (that is Chinese in Asia). I can write about my UK experiences.

It was Christianity and FG being a very distant second.

Christianity preyed on recent immigrant types and how they were often alone and isolated having migrated. I had numerous xian types try convert me constantly mistaking me for a migrant.

Same shit when my mother got cancer, some how the jesus types found out and went to find her to try convert her.

I told them to fuck off.

Mother told them to fuck off.

$240 Billion Lithography Machine Order Canceled,China Achieves Full Technological Autonomy!

SMIC, a prominent chip manufacturing company, operates in various areas such as chip design, manufacturing, packaging, and sales. The quality and efficiency of chip production heavily rely on the technological level and precision of the lithography machines, a crucial equipment in chip manufacturing.

However, due to escalating global trade tensions and US export control policies on Chinese high-tech companies like SMIC, the company has been unable to purchase advanced lithography machines and other equipment from the United States. This has prompted SMIC to cancel a $24 billion lithography machine order with the US company ASML, attracting significant attention and raising concerns about alternative markets for chip sales.

The cancellation of the lithography machine order by SMIC is influenced by both the global trade environment and domestic policies. As one of the largest chip manufacturers globally, SMIC’s decision has implications for the global chip industry. The uncertain global trade environment has disrupted the chip supply chain, while the Chinese government’s support for the chip industry has limited its domestic development.

The event’s impact on the global chip industry is two-fold. On one hand, competition in the global chip market will intensify, requiring major chip companies to enhance their technological capabilities and supply chain management. On the other hand, SMIC’s actions may inspire imitation by other countries, further intensifying global chip market competition.

Analyzing the impact of this event reveals that SMIC’s cancellation affects not only the global chip industry but also the US technology sector. Intel, one of the major US tech giants, has highlighted the significant impact on the global chip supply chain, prompting questions about the effectiveness of the Biden administration’s policies for the US industry.

Addressing this challenge requires several approaches. Firstly, China should strengthen international cooperation to collectively navigate the uncertainties in the global trade environment. Secondly, domestic policies should be enhanced to support and promote the development of the chip industry within China. Lastly, SMIC should focus on capacity building, continuously improving technological capabilities, and enhancing supply chain management.

https://youtu.be/6Wh_YDUaOXg

What is Rule 1 of success?

I want you to go online right now and type in the name of some of your heroes or the successful people you look up to.

Find their Biographies.

Then go look at their “Early Life” section and find the answer to this question:

When did they start?”

Very often you’ll find sentences like: “… started at the age of 26, and got his first big achievement of … at the age of 43…”

To most of us that seems like just another random fact, but look at what that really means.

It means that that person worked on their craft for 17 years before making it.

Do you really think that in that time they never failed? Do you really think they never cried or were doubtful of what they were doing?

Do you really think that those 17 years just went by like that?

What made them keep going for so long?


Most of us look at the successful few and say they got a lucky break or assume they reached their success immediately.

Actors, Athletes, Writers, Authors, and Entrepreneurs encounter failure after failure.

They loose, fall, and crash but they still stand up.

Success is not about winning but about being willing to lose once more than everybody else.

When everyone has gone home, you kept working.

When all you encountered was failure, you kept trying.

When none of the habits took, you kept starting.

When no one believed anymore, you kept trusting.

And when everyone quit, you kept going.

Do that and it will leave you as the last person standing in the ring.

For the #1 Rule of Success is to get up once more often than you fall.

Do you think China’s large consumption of the NBA influences how the league responds to calls to ban the use of shoes and other apparel made by forced labor in China?

China doesn’t use forced labour to make shoes and apparel. It is another political bit of nonsense that the US government made up.

The US government even claimed that China uses forced labour to pluck cotton, just like how the USA enslaved black people to pick cotton in the past. Goodness gracious, what nonsense, who even uses human beings to pluck cotton nowadays. That’s the old primitive way of the USA. Most of the cotton in China is machine-picked and high-speed packed.

So, This is Happening in West Maui, It’s changes EVERYTHING for Oprah & the Rock

The way these people have been treated is beyond disgusting. Greene lies through his teeth. Banks should freeze their mortgages for at least a minimum of three years to allow the people to get back on their feet.

Is there a military branch that will allow me to start as an E4 because I already have a 4 year degree?

Funny story. In 1986, I went through basic at Fort Cambell, Kentucky. I had a two-year degree, and so I entered as an E3. A couple of weeks into the training, the 1stSgt called me into his office. He’s reviewing my record and commenting that he thinks my records are wrong. Because of my two-year degree, he thinks I should have entered as an E4.

He looks at me and asks, “When you enlisted, did they promise you E4?” I quickly answered, “Yes, 1stSgt.” He quickly responds, “I knew it! I will have you promoted to E4, but it will take a week or two. I said, “Thank you 1stSGT!” and was dismissed.

A couple of weeks later, the C.O. of the training company stopped me on the way back from evening chow and said, “I wanted you to know that your next LES will reflect that you were promoted to E4. I cannot have you pinned while you are in basic, nor can you wear the rank because you would be the same rank as some of the soldiers assigned to the company, but, you have been promoted to E4.” I said, “thank you sir,” saluted and went on my way.

As soon as I graduated, I upgraded all my rank insignia to SP4. I get to Aberdeen Proving Grounds for schooling, and as I check-in, the soldier behind the counter asks, “Are you promotable?” I did not know what that meant, but I said, yes. Because I identified as E4 promotable, I was assigned to the barracks with all the other E4s and NCOs going through the same school for retraining. No drill sergeants for me!

What is the best case of “You just picked a fight with the wrong person” that you’ve witnessed?

My Mum was a very formidable Italian lady (all five feet three inches of her!) and took very little nonsense from anybody!! When my sister was 15 and I was 9 my sister went out for the night with a young guy who was 18 (Mum didn’t know this or it would not have been allowed) She was told to be back by 10.00 pm, no later! Midnight approached and my parents were not happy (this was in the days before Mobile Phones) They finally turned up and my Dad went out to remonstrate with the young man whilst my Mum exploded at my sister who was well aware what kind of trouble she was in. Dad came back in with a cut lip where the young Guy had punched him!! Mum grabbed one of my Dad’s hobnail boots went outside and proceeded to bash the young guy around the head and shoulders, loudly cursing him in Italian! The guy screamed at my Mum that he would get the Police on her, she shouted back ‘Do it! my daughter’s 15!! I’ll have you in prison! and every syllable corresponded with another blow to his head and face! After about 10 minutes of the the guy ran away screaming in fear. The next day, right outside our house, the pavement was covered with bloodstains!

New IRS $600 Tax Rule For 2023 (Venmo & Cash App & PayPal)

Americans screwed over YET AGAIN. Good thing, everything is in my Chinese family, not me personally. $20,000 threshold to $600. No fucking way!

Do you actually have to get a driver’s license to drive your privately owned automobile? I have seen some say that a driver’s license is for commercial drivers. Is this true?

You need a drivers license in order to drive your privately owned automobile on public roads. If you drive on your own private property, or someone else’s private property with their permission? No.

The whackos who told you that a driver’s license is for commercial drivers belong to a weird fringe conspiracy cult called “Sovereign Citizens.” To give you an idea how dumb these loonies are, they’re the ones that Flat Earthers point to and say “god, those people will believe anything.”

Sovereign Citizens have all kinds of bizarre beliefs that basically focus on the notion “you do not have to obey any law you do not consent to.” They believe they do not have to obey any law enforcement officers except county sheriffs, they do not have to pay taxes, and that (yes, I’m serious) the Federal government sells each American citizen at birth, and so a “birth certificate” is actually a record of sale to a foreign government.

As you might imagine, these dingbats get arrested rather frequently. When they do, they generally go into court shaking their fists and screaming that the government has no jurisdiction over them and no right to put them on trial, which…goes about as well as you might imagine.

What are the biggest culture shocks people face when coming to Germany?

People and people, but this will put Americans into a coma:

Older people going naked in city center parks. (I am not going to post pictures, because if I do I will get blocked by Quora moderators who obviously are not German and have never been to Germany).

16 year olds drinking beer or wine.

Kids riding alone on buses and trains.

Autobahn on a Friday.

Some people will behave like concentration camp guards but when you forget your credit card they will send it to you in the mail.

How has the US reacted to China’s rise and what are the consequences of its attempts to curb China’s development?

The U.S. thinks that it is exceptional and that the U.S. must always lord over the world. There lies the problem it is certainly not exceptionally strong or good. It may be seen as exceptionally cruel and evil to the developing nations of the world.

China’s phenomenal growth and rise in a way, together with the phenomenal rise of the non western world has resulted in the ending of a Western centric or U.S. dominated world that is in fact a virtual colonisation post colonialism for 300 odd years.

In effect the poor and underdeveloped countries were forced or connived into the so call rules based international order but in effect it is a set of rules to preserve the wealth of the west and continue the looting and theft of resources of the poor to enriched the west. From 1946-at least 2000. You can call this virtual colonialism.

China together with BRICS effectively put and end or at least the beginning of the end of this virtual colonialism order. That upset the U.S. to no end. That is easy to understand. If the biggest mafia in town lost its ability to steal, to or loot at will it may burnt down some buildings and businesses and probably go on a shooting spree. So you can expect war mongering and doing some shit.

But it does not matter what or how U.S. rave and rant. Asia alone represents 60% of the worlds GDP and U.S. is now a mere shadow of itself since 1945 where its economy alone represented 52% of the world’s economy. Today in 2023 China’s growth alone is 36.4% and the entire G7 including the U.S. together adds up to a mere 25.6%! The Ukraine war and the U.S. sanctions and pure robbery of Russia’s reserves will lead to the end of U.S. dollar hegemony and so will western financial institutions.

To me the more the U.S. try to curb China the faster China will eclipse the U.S. There is nothing the U.S. that can do that don’t make the status worst for the U.S. Today it tries to stop the export of Chips to China. It won’t work. It actually means it actually heighten. It’s inflation and suffer crippling shortages. You see China itself now represent 30% of world demand and another 30% of worlds manufacturing. This ban in effect, deprives the U.S. companies of doing business to 50–60% of the world’s demand.

This act will means bankrupting US and its allies chip makers. China will face some challenges. But in a short 5 years 90% of its chips requirements will be Chinese made and in a decade China will totally handle its own demand and it will totally kill off the U.S. chips. Like everything China will make it faster, better and cheaper.

ANGRY Young Man DIES; Meets ANCIENT Soul Family He Has Known for LIFETIMES!

Very down to earth awesome gentleman.

In combat situations and under special circumstances, can leaders in different branches command soldiers that are not in their branch, like a Marine Sergeant giving orders to an Army Infantryman?

Still shot of a Blackwater contractor during the Battle of Najaf in April of 2004

Service members of different stripes in a circumstantial combat situation can certainly order each other around if it’s in a joint environment, but in the most recent conflicts, now private military contractors may just be giving the orders too.

During the Battle of Najaf in the early days of the Iraq War, Iraqi insurgents from the Mahdi Army began to surround a Coalition Provisional Authority (CPA) Building within the city of Najaf. Within the confines of the CPA compound, the personnel consisted of CPA employees, Spanish/El Salvadoran soldiers, a detachment of US Marines, and an eight man team of Blackwater contractors.

The situation was deteriorating with insurgents having grabbed an unfortunate El Salvadoran soldier, shoving a grenade into his mouth then pulling the pin in full view of the CPA compound. This was on top of the firefights were breaking out between those in the CPA compound and insurgents who were now attempting storm the compound with the mob that had just killed the soldier.

While this was all occurring, a Blackwater contractor called for help to his higher up’s in Baghdad who had been monitoring the situation. The Blackwater contractors in Baghdad then made an ultimate judgment call to intervene with the CPA compound battle when it became apparent that the US military itself will not provide any sort of assistance in the confusion.

Three Little Bird helicopters were then loaded up with six Blackwater contractors coupled with one Little Bird filled with boxes of ammunition and equipment due to the CPA contractors notifying that they were now dangerously low on ammunition.

The contractors then flew to the CPA compound, unloaded the ammunition and additional support, then evacuated a wounded Marine who had been shot during the battle.

With the additional support of the contractors and ammunition, the combined force of Blackwater contractors, Marines, Coalition troops managed to keep the insurgents from reaching the CPA compound. CPA employees would reload empty magazines then run it up to the men who were fighting off the insurgents, keeping everyone busy.

The Blackwater contractors would find themselves dictating where the Marines and other troops to head to during the battle, which would later draw controversy from critics who claimed that now mercenaries were giving orders to military troops.

Eventually, the siege of the CPA compound would subside after almost two days of battle which could be viewed on many videos via Youtube.

Infamous video of Blackwater contractor Travis Haley during the Battle of Najaf. Critics would later use this video to denote how he had no qualms killing random people with a second nature attitude when he was literally going after insurgents attempting to assault the compound.

The Battle of Najaf would serve as an example of how private military contractors mixed with troops in circumstances that called them to fight side by side.

This does not look like it would end anytime soon with the Russo-Ukraine conflict where Russian private military contractors from the Wagner Group and Russian troops are fighting alongside each other in the conflict.

SCOTT RITTER, ANDREI MARTYANOV, AND GARLAND NIXON JOIN ON NATO, UKRAINE’S TIME RUNS OUT

In this special roundtable, Scott Ritter Andrei Martyanov and Garland Nixon join the program to discuss the ongoing developments of the Ukraine proxy war, what it tells us about Russia, and the growth of the multipolar world in the context of history.

Great crew. Great discussion.

Why do Westerners like you defend China online?

I’m going to try to provide an answer with a slightly different perspective.

First off, I’m an Australian Chinese (in that order), born and educated in Singapore. My family is Peranakan or Straits-born meaning that we were the products of intermarriage between the Chinese and locals in what was then British Malaya.

So I grew up speaking English and Malay and a smattering of dialects. As I was educated in English mission schools, I did not learn Mandarin.

As far as I can remember, I was taught to hate Chinese and Communists. Pretty much everything I read about Communists described them as a threat peace-loving people everywhere. And China was communist.

I think I was 17 when I first read Dick Wilson’s A Quarter of Mankind. This was followed by Emmanuel Hsu’s The Rise of Modern China. In those pages I glimpsed a very different China. So I started reading Chinese history, from the Qin dynasty, and continued my inquiry at university.

Most of my working life was spent as a journalist, a sub-editor to be specific, and much of my work involved, among other things, fact checking.

Nixon’s ping-pong diplomacy opened up a new era of ties with China and Singapore was quick to get in on the act (read quick to realise the profits that could be made trading with China. That is the subject of a whole different set of questions and answers). Trading with the enemy? No, it cannot be. But it was.

The opening up of relations with China also led to a relaxation of travel restrictions on travel to the Middle Kingdom. Up till then, I had visited Hong Kong and could only imagine life across the border. I also visited Taiwan and imagined China to be everything the opposite of Taiwan.

Fast-forward many years when I moved to Australia and started travelling to China (Shenzhen, Chengdu, Guangdong, and Shanghai).

I saw modern cities, efficient public transport, rampant capitalism, and I felt completely safe even in quiet streets in the wee hours of the morning.

Many of the Chinese I met were as friendly as people in other places that I have travelled. Often friendlier. Especially to an ethnic Chinese who did not speak the language (I started learning conversational Mandarin at 54 and can now read a write a little).

To the core of the question: Much of the information about China that’s peddled about is false. Many people have very wrong impressions and ideas about the PRC. And most of the people who hold these ideas will never go to China to see and verify for themselves if what is reported is anywhere near the truth.

So I, and many others, try to provide a balance by correcting the grosser erros of belief held by many as a result of, well, propaganda.

A footnote: In my youth I wanted to move to the West (America, UK, the Scandinavian countries, … thataway). If I were young again, I would go east. To China.

Netherlands Reconsiders Huawei After 5G Successes

If US is not learning from China, it is more important that China learns from US. China should never forget who forsaken her in its hours of need. To be kind to enemy is to be cruel to oneself .”

https://youtu.be/pt9mnzwUj4I

People say you should get an attorney if you win the lottery, but why? Say I win the Mega Millions, and I sign the back of the ticket, photograph it, and show up at the lottery office bright and early the next day. What bad things would happen to me?

The reason you want a lawyer is to protect you.

I won a scratch ticket for $100,000 one hundred thousand. the accoutant handled the taxes.

After claiming my prize we had 2 weeks where different reporters were looking for pictures and wanting to do an interview with the store that sold the winning ticket. churches and charities knocking on the door looking for a slice.

3 people i went to grade school with came knocking I had not seen them in 10 years. Family calling wanting to get a share or asking for a loan they cant afford to repay.

people were confused when I told them I spent it all.

I divided it up 3 ways and gave equal amounts to my 3 kids for thier college funds. I

f I ever hit another winning ticket I am getting a lawyer to deal with the bullcrap and I am gonna move to Alaska to a remote cabin in the middle of nowheresville with no phone or roads.

What are the myths that need to be busted about China?

The number one myth?

That you can hope to understand China using the English medium.

I am confident I am in the top 1 percentile when it comes to Chinese proficiency in the pool of English speakers beyond the mainland.

I won’t be surprised if I am in the top 0.1 percentile either.

But if I were honest, I will admit as an east Asian that I find it difficult to keep up with the mainland, even though I possess skills and networks to access media and contacts within greater China.

No, it’s not just about the language struggle, which exists even for someone like me with more than a decade’s worth of formal education in the Chinese language.

It is about developing a contextual understanding for mainland frames of reference and how the mainlander thinks.

That is mostly absent in this medium, even among the rare pool of articles grounded in facts. Most are written by east Asians, not westerners.

My advice? Don’t waste time on the China expert who doesn’t speak Chinese. Even foreigners who do are often wrong or misguided, because that is what it takes to pass through the stringent msm filter these days.

When have you fired someone on the spot?

Yes and within the first HOUR of employment!!…

The new employee was just starting, his first day, first morning on the job…

Every morning employees would gather all of their supplies, tools, etc. and load their vans to be ready for the day’s work.

All new employees are to ride with a senior person to gain knowledge and get the hang of things. This was our busiest season and the day was FULL of jobs that needed to be done – all previously scheduled and set in time slots.

This employee was in the process of helping load the van when he came to me and said:

“I need to go to an appointment today; I need the (driver) to drop me off and then pick me up, or wait for me to get done.”

Me: “I am sorry, we are too busy to be able to do all of that on work time. If it is important why don’t you just take the day off, go to your appointment and come back tomorrow ready to go?”

Employee: “Are you F@*king kidding me? What kind of place is this that you don’t care about your employees!!”

Me: “Ummmm, I said you can have the day off, it’s your first day here and I still said go ahead and take the day and come back tomorrow ready to go, I am not sure I understand?”

Employee: “This is BullS@@t, I can’t believe you won’t take care of your employees and have him drive me to my appointment across town and wait for me, you’re F@*ing ridiculous.”

Me: “Um, I am trying to be reasonable here and work with you. How about you can take your own car and follow behind him and when you need to go you can just cut out and go to your appointment. We don’t have the time to do that for you. ”

Employee: “This is ridiculous, I can’t believe you treat your employees this way, you are being so unfair, this is Bull S#@t, F@*!ng ridiculous!”

Me: “Actually, why don’t you go ahead and go home and not come back at all. Thank you for your time.”

Employee then was shocked that I fired him and could not believe or understand why I was letting him go.

This was truly shocking to me. Fired him on the spot within ONE hour of being employed.

“Ukrainian soldiers are being DRUGGED and forced to fight” Ex-CIA Larry Johnson

We now have reports that captured Ukrainian soldiers are turning up drugged out of their minds on some kind of inhibition blocker. Reports say these soldiers are going on withdrawals after being captured. Ex-CIA analyst Larry Johnson joins us to discuss.

What is the current relationship between China and the United States? How did this come to be, and what are the implications for the rest of the world?

The relationship with China from the U.S. perspective as always needs to be one of subservience and submissive to the U.S. That has been the default mode of what US expect it to be since 1945. Let’s call a spade a spade. The U.S. cannot deal with a nation that can and will say no to the U.S.

But if I should be completely honest to the US I will recommend to them to move on and keep your winnings. China with 1.4 billion highly discipline, extremely hard working people who are driven and industrious, today equipped with the state of art infrastructure and leading in 37/44 key and strategic technology cannot be push aside without untold damages to the U.S. itself.

But the U.S. political system, one based totally on popularity contest means that it cannot be pragmatic and realistic. It has to drain tremendous resources to try to keep its hegemonic place, even if it means bankrupting the U.S. that is precisely what the U.S is doing today.

For China is is so simple. Let the US have a long and strong rope to hang itself slowly to death. Lure the U.S. to fight China in a way that drains away the U.S. resources, influence and turned away its allies one by one. It does that be staying the moral high ground. It is the U.S. that is desperate so while the U.S. goes low, China stays high. It is winning without a fight. Remember Mohamad Ali “Rope a dope” the big George Foreman drains all its energy and knock him out in 15 rounds.

China is doing the “rope a dope” while the U.S. is ranting and panting away. Trump thought it wins popularity by starting the trade war with China. China pretends to avoid war but knows so well that it will hurt and harm the U.S. several folds of what it hurts China. Do you notice Biden wants to end the tariff and China says. Not so fast Amigo!

The implications to US allies are particularly bad and the more of a U.S. lackey the worst it gets. Asia is doing just fine and dandy.

How did it come to be? Well China grew astronomically strong economically, politically and even militarily and the U.S. is in denial and Americans are ignorant and naive about China till it is way past too late. The U.S. is in a quick sand. The more it struggles. The faster it sinks.

Since you asked about implications, The US pay the highest price for doing shit on China. The world is moving on faster than the U.S. realised. The U.S. dollar hegemony is all but gone. The U.S. and western order may appeal to a handful of its close allies. No different from a pack of street hoodlums hanging on to its biggest bully as beneficiaries.

US debts will reach 100 trillion in a decade, US deficits cannot go down. It cannot make anything at less than twice it cost worldwide. US standard of living has stagnated back 60 years to the 1960s. And the poor U.S. growing while it’s middle class is collapsing.

Meanwhile for China, you ain’t seen nothing yet! Once it made T-shirts and Toys. Today it makes your computers and smartphones. Soon it makes your EVs and AI and Jet planes. It middle class is now at 700 million will grow to 1 billion people within a generation. 4–6 times the size of the U.S. middle income.

Harvard Economist Reveals Shocking SECRET About China In 2023

Dr. Keyu Jin is one of the most outstanding China insiders. She is a professor at the London School of Economics and has an incredible knowledge of how the US and China both work. In today’s video I share with you her insights into China innovation and how China really works.

16 People Describe What A Dead Body Smell Like

1. “Understand that a pound or two of rotten meat only gives you a faint whiff of the smell of a full-grown corpse. The power of the smell is incredible. Personally, I’m not sure it’s describable. You want to gag when you’re fifty feet away. It can take years for the smell to leave a house. It just lingers in the background. Cars are totalled by the insurance company for the odor. And the guy driving the wrecker can’t drive fast enough to get away from the smell.

It gets on your skin and clothes. (People use bio-suits for body removal for a reason.) Maggots abound, fluids abound, and I’ve never read a good description of the experience. No matter how well you write, it will be but a pale imitation of the experience.”

2. “Buy a Boston Butt pork roast and let it rot. Pigs and humans are apparently similar, meat-wise. It actually turns like a sea blue-green color. (It smells like farts and then gets worse.)”

3. “A dead body, specifically a human corpse has a rank and pungent smell mixed with a tinge of sickening sweetness. Imagine a rotting piece of meat with a couple drops of cheap perfume and you’re halfway to understanding what a human corpse smells like.”

4. “It varies from person to person and how long they have been decomposing.

You get a very sharp, foul smell similar to horrible cheese mixed with the same smell you get from a full trash can in the sun.

If the body decompressed and they had a full bladder, you’ll also smell body fluids, so stale urine and straight fecal matter. For those who don’t know, toilet poop smells bad, but shit that is airborne, not water logged or anything is straight horrific on its own.

If you have flying insect and larva development increase smell this far times two. If it’s hot outside times three and if it’s cold out and the heat in the house is cranked it’s times five at minimum for the dry heat.

The worse part is the materials they died on. Wood mixed with death has a woody slime smell mixed with the death ratio above, carpet has a wet padding smell (like cat wizz) plus death and it’ll it’s on furniture it’s really fun as it usually leaks through to the carpet and wood subfloor.

If you’re smelling these things outside a house, you don’t want to be near it when they go in. Cleaning and deodorizing that is pretty rough.”

“I have assisted in an autopsy on a body several days old, and even though it was in a huge chilling compartment, the smell was in the whole complex. It smells like there are a million dead and rotting rats around you.”

5. “Rotten eggs, feces, and a used toilet left out for a month x 1000. It is unholy.

The smell gets into your throat and you can taste it. You will literally run to find some clean air. Even then, it lingers and you can’t quite get the smell away. Even though you can no longer physically smell it, you remember it and it takes quite a while to get over it.”

6. “A dead body to me smells like a cross between rotting meat and very dirty kitty litter box. Very distinct smell that you won’t forget.”

7. “You ever have like a dead mouse or something hiding somewhere and you just smell something awful? It’s pretty much that. The best I can sum it up to is like shit mixed with meat…in a weird way

8. “In my experience, any formerly living creature, whether human or non-human, has that same powerful, pungent, disgusting odor that I can only describe as a garbage can left to ferment in high heat for an extended period of time. It does vary some between species, though.

A couple of other answers written in this feed point to some things that are accurate. First, two chemicals, Putrescine and Cadaverine, are both found in decomposing tissue. Both are diamenes and they are produced as a result of amino acids (most notably Lysine) breaking down. They each gave their own characteristic odor which by themselves are bad enough. When they are combined due to the simultaneous presence, they are indescribable.

Second, pigs are indeed closest to humans in terms of the odor they give off when decomposing. Any animal with a musk gland (skunks, badgers, and muskrats are some examples. I’m sure there are many others as well) are also pretty stinky when they break down. All produce these same two chemicals because when you think about it, any creature that breathes in Oxygen and releases Carbon Dioxide is going to have a similar metabolic makeup that, when stopped is going to respond in a similar manner.”

9. “Once, I took a trip. When I returned, there was a terrible odor in my den. I know the odor of dead animals on the road or in the forests. Mom puts out poison for mice, so I have smelled them under things in her home. There was a rotten odor like this in my den, so I looked under everything, but I found nothing. The next day, I saw some cops and medics at the next apartment. I learned that my neighbor had died and decomposed for days before anyone found him. The odor is sickening. It’s hard to describe fully.”

10. “It depends how long it’s been dead but if you have ever smelled rotten meat it’s similar to that. some words commonly used to describe it would probably be rancid, foul, putrid, the smell of decay, etc. If it’s decomposed down to the skeleton there probably won’t be any smell anymore since the flesh, muscle, and organs are the ones that create the most smell and decay quickly.”

11. “I recently in countered a dead body that had been rotting in a van near my home for 5-7 days. At first, I though it was Korean people making kimchi, but after seeing the body removal with my own eyes I know that the smell was not of pickled vegetables. I work for a plumbing company so I’m always finding myself walking in raw sewage in basements. And I have to say the smell is similar to sewage or waste. Its nauseating and quite foul. Authorities have removed the body, but the area still smells of fragrant corpse. To be honest, I don’t know why you’d ever wonder about this. But yes indeed, it’s very disgusting. As a female I always find myself running out of breath when I’m dealing with sewage, but a body is about 10 times worse.”

Now, death depends on the environment in my opinion. I’ve smelt a few bodies in morgues and things like that, they just smell of death, it’s indescribable really, but you remember that smell. It’s a cold smell, a heavy smell (this is the best way I can describe it).

Rotting corpses of course just smell like any other rotting mammals, next time you spot some road-kill, stop and give it a sniff, pretty much what a rotting human corpse smells like.”

12. “I was a volunteer firefighter and police had to enter the residence before any of us could. The SCBA is automatic. So I quickly put it on a cop to enter the residence of a woman who neighbors haven’t seen in weeks. Those SCBAs block toxins and the cop ran out of the house drowning on his vomit. He claims he smelled her through the mask….That’s how bad a dead person smells. We eat everything. Those toxins in our bodies is what makes us stink so bad when we die. And to make it worse, when I got home I snorted soap while in the shower. A few hours later I began smelling the stench of her again. The bacteria is said to cling to your nostril hair and remultiplies later until it’s gone. I can’t tell you what it smells like. You’ll know it when you do.”

13. “Not very pleasant. It is a very strong, stomach turning, smell. It does in a way smell like rotten eggs, but it is much more intense. That is the best I can describe the smell, but I heard from someone else on how to make a small replica of it. Take a bag of soy beans, saturate them in water,let them stand a few days in heat above 70F while keeping them moist,crush some of the mixture.In a few days you will smell what decaying flesh/protein smells like.”

14. “My brother-in-law died in his appt. & was there 5 days before being found. When my wife & I went for the funeral (just a picture of him, no body) we went to help clean his place. I can’t describe the smell nor will I ever forget it. It permeated all soft things so badly they had to be throw out, we managed to keep a couple tables only. Even the TV stunk after airing out for week.

15. “Take some meat and leave it in a bag outside for about 3 days in the middle of summer. Then open the bag and mix in some Mexican food diarrhea. Add a good helping of the strongest catfish stink bait you can find. Mix well. This is the best that Death will ever smell.”

16. “Living in an apartment building and the guy next door fell in his bathtub (apparently). We didn’t see him often, so it wasn’t anything weird to not see him but his audio books were put on his doorknob and stayed for a couple days (he was blind, they delivered books to him to listen to).

Started smelling really weird, and since my son was just a baby at that time we’d initially thought somehow we hadn’t put a diaper in the garbage and it had ended up somewhere and was stinking up the place, searched high and low but couldn’t find it. The landlady knocked on his door (all our doors, handing out notices) and we mentioned we hadn’t seen him in a bit…half an hour later she was letting the police into his place.

I can’t imagine the smell in the same room though, it was bad enough being in the next apartment!”

What is the current relationship between the United States and China? Does China still need the United States for its economy?

No China don’t need the U.S. to survived or even to be a moderate growth economy in 2023. I will argue that containment, decoupling or de-risking of China which to me and most in the world means the same thing, is counter beneficial to the U.S. and good for China in the long term. The U.S. meanwhile loses the worlds. Biggest market or equivalent to the 2nd to the 10th biggest market for the U.S. put together.

There lies the enormity of the U.S. problem. Without China, the U.S. will suffer between 25–50% inflation which will highly impoverish American’s on the one hand with its U.S. brands becoming unsaleable and losing market share by half at least. All these while losing a humongous market.

By right the U.S. ought to not even dare to utter those threatening words but U.S. politicians lose too much to go soft after their media demonised China for a century. Sure China could be richer with the U.S. being rational. But China don’t take threats by the U.S lightly. The US political system is essentially a popularity contest and even if is suicidal the politicians compete to be a bigger China hawk while its own people suffer.

The trouble with threat is once you utter the word on banning China, sanctioning China, decoupling from China, containing China or even de-risking from China. China won’t hold back to defend itself. And China will make sure it will never depend on the U.S. and the west. That means China will totally do its own thing from now onwards.

That is very bad news for the U.S. as China do everything better, faster and cheaper than anyone on earth by a long shot. And the Huawei Mate 60 pro proved beyond doubt that there is nothing it cannot do! The U.S. should really think many times over before it challenge China for American sake. But the trade war and the chip ban proved to me and the world beyond doubt that the U.S. government don’t care about its own people and it’s own economy.

What are your general advices/tips for a college graduate (fresher) stepping into the corporate world?

Same advise I gave my son :-

  • Don’t follow any instruction related to investing money or sanctioning a loan or allocating money UNLESS YOU HAVE A WRITTEN INSTRUCTION from your superior/cby email or whatsApp or paper letter
  • Never start smoking due to peer pressure or tension. Use bubble gum instead
  • First Beer with first month paycheck. First hard liquor after six months of paychecks at least.
  • Don’t express any political opinion or make any comment apart from work and movies and games and sports
  • Don’t ever go over your superiors head unless he is on leave or unreachable or is a total dumbo
  • When a woman wants to come to your office to talk to you, keep your door open or ask a friendly female colleague to sit in the office during the meeting under the pretext of taking notes
  • Never meet any Vendor or Supplier outside work or at your home. Always meet in office and keep your door open during the meeting and ask a colleague in to pretend to take notes
  • Never accept any gifts from Vendors or Suppliers whose value exceeds ₹2000/— unless everyone else is accepting the gifts and it’s been approved by your superiors
  • Never ever accept a meeting in a Hotel room with a potential client or customer. Always MEET IN THE LOBBY OR COFFEE SHOP where you have CCTV cameras
  • You may be finding yourself forced to spend a lot of money by being in the company of your superiors and indulge in pubs, golfing and high class call girls. Resist. Make excuses and resist until they get the message
  • No more than 120 ml of Scotch / Rum with 180 ml Club Soda Or Tonic Water Or 3 Tequila Shots at any office party , in fact my son makes the excuse that his liver is weak and avoids any drink entirely
  • Save around 45% of your paycheck if possible. At least 30%
  • Don’t ever eat Chickpeas, Beans, Cauliflowers, Kadalai, Sundal for breakfast. If you fart, you become a joke and it’s tough to recover your reputation
  • Never assume your female colleague who laughs and talks to you likes you romantically. Always ASK if in doubt. Simply ask “Are we friends or could this turn into something more”. Don’t presume.

She Is Against Mandatory Paternity Tests At Birth

This is trending in the United States. Jeeze!

That woman who said requiring DNA testing would open up a can of worms scared the daylight out of me. Is she suggesting that a whole host of men are providing for children that aren’t theirs and letting this be known would blow up lots of families? What on earth have you women been up to?”

Graham Perry on China and US

Graham Perry is a UK-based China observer, and wrote a good piece on China/US relations which is worth reading at length:

On the surface things look good in the US; unemployment is low; their economy is growing at 2.4% and inflation is falling. And yet consumer confidence, according to Irwin Stelzer in the Sunday Times, is at its lowest in four months. And Prof Percy Allen – in Pearls and Irritations – concludes that American society is more divided than it has been since its Civil War of the 1860s. “According to a Pew Research poll

about six in ten Republicans and more than half of Democrats have a very unfavourable view of the other party. Thirty years ago, fewer than a quarter in both parties rated the other party badly.”

A recent CNN poll showed almost 70% of Republicans do not accept the electoral legitimacy of the Biden administration. And almost 60% of voters lack confidence that elections in the US today reflect the will of the people.

Other polls by States United Action and Chicago University’s CPOST Research Centre found that over half US voters think elections won’t solve America’s most fundamental political and social problems, and almost half consider political elites, both Democrats and Republicans, are the most immoral and corrupt people in America. The US is fast becoming a dysfunctional nation – and that was before yesterday’s sacking of Speaker McCarthy.

But when it comes to China, Americans unite and rally around the flag. Hatred of China is the one single issue that brings America together.President Biden’s #1 worry

is that China will become the wealthiest and most powerful country in the world. Biden has promised to stop that. He has referred to China as “bad people” who when they have problems do “bad things” The demonisation of China has clearly worked. The 2023 survey by the Pew Research Center found that 83% of Americans hold negative views of China. The share who says China is an “enemy” is now 38%. An IPSOS poll

found one-third of Americans view China as an imminent threat and two in five Americans think that war with China is likely in the next five years.

The US under Bush and Obama held to the view that China’s economic growth and increased prosperity would bring a relaxation of political rhetoric as the number of Chinese middle class citizens increased. Expanding economic rights would lead inevitably to increased political rights and the downgrading of the role of China’s Communist Party. China would become “compliant reasonable and accommodating”. It has not happened. Billionaires may flourish in China (800+ in number) but the Party remains at the apex of power. China has not changed.

Trump heralded a new and antagonistic approach to China when he started a trade war by unilaterally imposing high tariffs on Chinese goods coming into the US. And when that did not work, he resorted to allegations of genocide against the Uighurs in Xinjiang province to try to demonise China in the eyes of the world. But it, too, has not worked. China remains China and continues to be welcomed by the rest of the world in part because of its Belt and Road Initiative – as historian Professor Francis Fukiyama has said “China has lent more than $1 trillion to more than 100 countries through the Initiative, dwarfing Western spending in the developing world and stoking US anxieties about the spread of Beijing’s power and influence”.

Trump’s successor, President Biden increased economic sanctions on China and announced that “he would not allow China’s economy to overtake America’s” even though, as Prof Allen notes, most economists think that this is inevitable given China’s huge population and income gap.

The flashpoint is Taiwan for two reasons. First, China’s long-standing policy to reunify China with Taiwan and, second, as explained in yesterday’s Post #473, the US is worried sick that a confrontation with China could seriously jeopardise the US economy by impeding, even stopping, the export from Taiwan to the US of essential semi-conductors. Within the US hierarchy – the White House, Capitol Hill, the Pentagon and the Joint Chiefs of Staff – there are those who want to give China “a bloody nose” and fundamentally derail China’s economic growth by military action – the Hawks – before China is way ahead and out of sight. “Act Today Because Tomorrow Is Too Late” is their cry.

There are also Doves who fear hostilities and prefer an uneasy but non-confrontational approach to China. For the Doves, second place to China is preferrable to war with China It is not clear who will win but certainly if the Hawks prevail over the Doves the world will be set on a most dangerous path.

Oliver Anthony- Rich Men North of Richmond (Remix Mashup ft Dax & Jo Tyler)

Another great mashup remix.

What is the lamest “benefit” you’ve been offered by an employer?

At Papa John’s Pizza I was getting fed up that they weren’t hiring the sufficient amount of staff to properly run the store. Along with doing bike deliveries I also cashed, took phone calls, entered orders, swept, mopped and emptied garbage. They kept a skeleton staff of 3 workers per shift and whenever they had to pay overtime they grumbled and wanted explanations as to why.

Frustrated and fatigued I applied for Dominos Pizza delivery. This is how snippets of the conversation went during the interview.

Interview Lady: We pay the highest hourly rate of all pizza restaurants. $21 per hour.

Me: Papa John’s paid $20 an hour. This is just a dollar more.

Lady: Yes! The highest rate for delivery riders in the country!


Lady: When you come to the restaurant you’ll be happy to see that you’ll be getting so much deliveries. Endless deliveries!

Me: How much do I get paid per delivery?

Lady: $7 per delivery!

Me: That’s the lowest delivery payment of all the pizza restaurants.

Lady: Yes but you’ll be doing so many deliveries, getting so much tips that it wouldn’t matter.

(I later found out that Dominos charged customers $20 for delivery, the highest delivery charge of all fast food restaurants in the country. They paid the driver $7 out of the $20 charge and the restaurant pocketed the remaining $13 for themselves.)


Me: What are the closing hours?

Lady: 10:30pm.

Me: You mean like only on Fridays and Saturdays?

Lady: No everyday.

Me: Thats a bit late and taxis round here stop working around 8pm. Any transport provided for me to get home?

Lady: No.

Me: Will I be able to use the Domino’s motorcycle to get home? (I was allowed this benefit in a previous restaurant I worked that had this same issue)

Lady: No.

Me: Can I leave earlier then?

Lady: Of course. Due to your transport situation we’ll allow you to leave 10 minutes earlier!


Lady: We are a very flexible organization.

Me: How so? (inwardly groaning, expecting more bullshit)

Lady: We give you the choice to work either as contracted or non-contracted.

Me: What does that mean?

Lady: Contracted means that you work somewhat as a permanent staff. You can work all the overtime hours you want. However overtime would be paid at the usual rate of $21 an hour. No time and a half. The same applies for public holidays.

Non contracted is like temporary staff. We pay the usual time and a half for overtime but as you are non contracted you don’t get overtime hours as we send you home after you’ve made your 40hrs a week. We don’t allow you to work on holidays so you basically get your flat salary every week whereas with a contract you are allowed more hours.

Me: More hours at the same rate?

Lady: Yes.

Me: Wouldn’t that be a lose-lose situation?

Lady: No. It’s a win-win!


Me: Am I entitled to a free meal?

Lady: No.

Me: And the uniform?

Lady: We are the only pizza restaurant that provides free uniforms for staff?

Me: How many uniforms?

Lady: Two free Dominos shirts and a cap. We are having them laundered after being returned by a delivery driver who recently left.

Me: You’re giving me a guy’s used clothes?

No: No. She only used them for two days. They’re practically new.

Me: You’re giving used female clothing?

Lady: It’s a free uniform!

Suffice to say I instantly and humbly returned to Papa John’s and their skeleton staff.

Mystery Cambodia

A nice fun video that takes you to another world. LOL.

It will probably upset some folks, but too bad. This is real life. This guy interviews some prostitutes in Cambodia. If you don’t want the experience then you can pass on this video. It’s ok.

How can we become a winner at everything in life?

Originally Answered: How can we become a Winner at everything in Life?

What made Muhammed Ali the best Boxer in the world?
What made Michael Phelps go above and beyond every time he swam?
How come Gandhi made so much more of an impact than anybody else?

None of them were exceptional people since birth.

Sure, there is the claim that Michael Phelps, for example, has double the lung-capacity of most humans, which has been disproven.

And while he does have some physical advantages, like longer arms and shorter legs, while being tall, so does everybody else he is competing against!

What made the difference in their ability was not their born talents but rather the way they approached what they did.

They were willing to work harder and produce more than anyone else was willing to.

They are willing to do whatever it takes, go the extra mile and do more than those around them, which is what eventually gets them to succeed.

I remember reading an article back when Michael Phelps was just getting traction, that talked about how ridiculous his training regiment is and how it was way too intense.

At the time many doctors and health experts argued about his routine.

Today, I cannot find any article even mentioning that he was doing too much anymore.

After about 2 days of looking for that article I gave up.

All articles about him only praise his efforts and his routine; obviously because it worked.

He revolutionized the field.

The same can be said about Mahatma Gandhi, who did something no one else dared to do to make a statement that inspired millions.

And the effort that Muhammad Ali made can be clearly seen by one of his quotes:

I don’t count my sit-ups. I only start counting when it starts hurting. That is when I start counting, because then it really counts.

That’s what makes you A CHAMPION. — Muhammad Ali

Each of them made their entire day about training, sacrificing a lot of their day so they could focus on just that one thing.

And then we wonder why we cannot succeed.

The big question you need to ask yourself is: Are you doing enough?

Are the results showing up in the way you want to? Are you succeeding or do you need to do more?

Now, I cannot give you the exact stats of the men named above, as every page I visited had different numbers they boasted about, most of them disclaiming each other.

So I will instead share my own story and numbers to make this point.

Now I am by no means even close to as great as the men above, but I hope the point still comes across.

I started out as a Writer with a WordPress Blog.

At the beginning, it was just to practice my consistency and I wrote about 300 posts in my first year, yielding a total of 20 followers.

This was very little and so I switched to a site called Quora. In my first 6 months there I wrote about 1,000 posts, averaging 3 a day, before I wrote one answer that went viral.

This was back in 2016. Since then I have averaged about 3 posts a day consistently over the years.

I have been published on The Huffington Post, Time, and many more sites, have over 75,000 followers, 60M content views and get over 1M views a month still, and none of it because I was a good writer!

My very first post that I wrote was a page long block of text that was essentially a Writer’s Nightmare.

The only thing that pushed me through was that no one else wrote that much.

Many people wrote one post a day, if that, some even less.

And let me tell you this, after 18 Months of writing every day, the one viral post did not feel like a stroke of luck anymore, it felt deserved.

The point I want to make here is it is not about where you start or what prerequisites you have.

Winning is not about being better than everybody else.

Winning is about being the last person running in a race where everyone else gave up.

If you want to win you have to break through the noise by either doing more than others are willing to or doing it longer than they want to.

I have seen so many amazing writers leave Quora to never write again because they just did not break through the noise.

Mahatma Gandhi just did not eat, Michael Phelps only swam a lot, and Muhammad Ali trained a bit.

We have all done these things before, they are nothing special when you look at them like that. It’s not like they invented something none of us could ever dream of or did something that was so unbelievable it looked like Magic.

What made them special was the fact that they kept pushing and kept going with it when many people around them gave up or would have given up!

So let me ask you this then: Are you doing anything that stands out?

When you are working out do you do more and take shorter breaks than anyone else? When you are with your partner do you give them more attention and love than they can handle? When you are working at your job, do you work 10x more than any of your colleagues?

Are you doing anything that stands out?

You cannot expect yourself to win by just doing what everyone else is doing.

Sorry, but you are just not that special!

You have to become special by doing something extraordinary, and I mean that in the most literal sense possible:

Extra-Ordinary!

That means you do not overexert yourself.

Don’t expect to be able to train 6–8 hours a day, 7 days a week after having done no training at all.

Take it one step at a time but work yourself up and stay committed to it.

If you have the time and energy, then you can push further faster; it will get easier.

The 3 posts I wrote took anywhere from 3–6 hours a day at first. Now I can often write better posts in 15 minutes.

It does get easier.

Pick something, anything in your life that you wish to win at, and commit yourself to more of that.

Even if it is just an hour a day, if you do it long enough you will become absolutely amazing at it.

Push your limits day by day, even one more minute each day gets you 6 hours more in just one year.

“Let me tell you something you already know.

The world ain’t all sunshine and rainbows.

It’s a very mean and nasty place and I don’t care how tough you are it will beat you to your knees and keep you there permanently if you let it. You, me, or nobody is gonna hit as hard as life.

But it ain’t about how hard ya hit.

It’s about how hard you can get hit and keep moving forward. How much you can take and keep moving forward.

That’s how winning is done!” — Sylvester Stallone, Rocky Balboa

Breaking US Sanctions: How Huawei Changed US-China Tech War

Carl Zha talks to tech expert TP Huang about how latest Huawei breakthrough in advanced chip design and manufacturing is a game changer in the US waged tech war and economic war against China. Why ultimately US sanctions on China will fail. The rest of this interview is on my Patreon site

Of those who join the U.S. military, how many end up in unglamorous jobs like driving a supply truck or handling paperwork in an office?

During WW2 there was a company that always submitted excellent paper work, head Quarters noticed this and moved their clerk typist to Headquarters, Battalion noticed him and moved him up to their office, he continued to be so dependable the higher ups wanted him, so eventually he ended up in Eisenhower’s front office.

One day, A hard nosed colonel came in to the office and demanded to see Ike, now. The clerk typist asked him, what does this pertain to? The Colonel started getting loud, said he didn’t need to explain anything to a clerk typist.

Ike came out to see the commotion, the colonel again was indignant.

Ike looked around the waiting room, saw another colonel sitting there, took an eagle pin off that colonel’s collar, and pinned it on the collar of the clerk, and said “ Now tell the colonel what you want”, and returned into his office.

The clerk was a colonel for the duration of his enlistment.

What did you learn in the military that has been useful for your civilian life?

During basic training, I did a lot of cleaning.

On a daily basis, my team and I would clean toilets, floors, rooms, the kitchen, our rifles, the floor around the barracks, our vests, our magazines and even the dog who lived in the barracks. I hated it.

I truly did not understand why I was spending months of paratrooper training time to clean up places that were spotless, instead of shooting and learning to fight.

And then I experienced my first operation.

My team and I were sent with four vehicles to meet up with a field intelligence unit, and prepare for the operation.

I remember meeting the field intelligence guys. It was the first time in my service meeting men, on duty, serving in a different unit than mine.

Their gear was obviously ill maintained, their vehicles were disgusting and dusty, and I could see the soot on the barrels of their rifles who have obviously not been cleaned in weeks. I wanted to tell their commander he was doing a shit job at maintaining his team’s gear.

And then it struck me.

All that cleaning during training has turned me into a neat freak.

Everything, even now in my apartment, needs to be perfectly placed, spotless and maintained. There are no excuses, and there should be none. An organized living is the basis for an organized life.

The lesson I took from all this epiphany?

There is shit in life you will not want to do, and you might even hate doing it, but truly successful people do the things they do not want to do, as best as they can, because eventually it will pay off in the long run.

China-Japan-South Korea Upcoming Summit: What’s Behind this Surprising Diplomatic Shift??

In a surprising turn of events, China has recently announced its willingness to hold a summit with Japan and South Korea leaders. This unexpected move begs the question: what has prompted this significant change? Chinese Foreign Ministry spokesman, Wang Wenbin, revealed that the three countries have reached an agreement to convene a meeting of their foreign ministers “in the next few months,” with the intention of facilitating a gathering of leaders as soon as possible. Undoubtedly, this initiative is driven by the collective interests of the three parties involved.

This development comes on the heels of China’s denouncement, in late August, of the statement released at the conclusion of the Camp David summit. The gathering, which brought together the leaders of the US, Japan, and South Korea, raised concerns about transforming the Asia-Pacific region into a geopolitical battleground. However, China’s willingness to engage in dialogue with its neighboring nations demonstrates an intriguing diplomatic shift. Be sure to subscribe to my channel for regular updates on global affairs & geopolitical shifts. Don’t miss out on my in-depth analysis of significant events shaping the world today!

The US is digging for a deeper hole.

As Washington reportedly plans to update its export curbs against China in October, an analysis report by a Dutch media posits that the previous export curbs imposed a year ago exposed the Biden Administration seem to have no clear idea of their objectives.

According to Dutch media Bits&Chips, the semiconductor restrictions against China are likely a product of the US struggle between hawks and doves concerning the China issue, leading to loopholes and a lack of clear goals.

To consider the interests of US-based semiconductor equipment and material suppliers, the US government allows the delivery of equipment that may be used to make advanced chips, highlighting the incompleteness and inconsistency of the curbs.

The US Bureau of Industry and Security set a threshold that requires exporters to get a license before shipping to China for equipment that can make logic chips with FinFET or GAAFET architecture of 16/14nm or below. However, the current export controls are insufficient if US export controls aim to deny China’s production capabilities of 14nm and below.

For example, ASML’s NXT:1980Di, capable of making 7nm chips using multiple patterning, is not subject to the current curbs . Suppliers, such as Applied Materials, Lam Research, and KLA, continue to deliver advanced products to China.

On the other hand, the US may not achieve its intended results if it aims to prevent China’s progress in the semiconductor industry, as demonstrated by Huawei’s Mate 60 Pro, which highlights the fact that China can make advanced chips without advanced equipment.

As Reuters reported that the US is reportedly expected to unveil an update for the export controls in the coming days, it may be challenging for related suppliers to adapt to tighter controls if the US tries to close the loopholes.

Huawei has obtained crucial patents on 6G technology, which may allow the Chinese company to thrive in the 6G era, according to Simon Chen, chairman of Adata Technology.

When did you realize small things matter?

7:02 am:

The shop’s doors just opened for the day.

A green Toyota Celica drove into the customers’ parking space and a man alighted and walked into the store.

He was a first-time caller.

He was supporting his trousers with his hands.

Please I just need a belt. My belt snapped in the car, and I live far away from here. I am late for work and I don’t have money on me now. Can you trust me, I will bring the money at the close of work today’.

O My God!

First business of the day!

A man I never knew?

And here in this country, Nigeria?

Give him, he looks genuine. Could as well happen to you!’, one side of the brain was preaching.

Don’t!! Could be one of them. You’ll lose if you do!!’, the other side was screaming and countering.

Why can’t we live in a world where we can trust people and help them without fear?’, I was trying to make sense of this mental back-and-forth.

I gave him a very good quality belt, made the necessary perforations and he thanked me profusely and left.

5:06 pm:

The green Toyota Celica pulled up.

It was him.

To make good his promise.

Why isn’t life just this good!

Today, many years after that day, he no longer works around the area or passes through the route daily, but for such a small thing, he is one of my most valuable customers and has repaid the trust many, many times over.

Texas Jambalaya

2023 11 07 15 37
2023 11 07 15 37

Yield: 6 servings

Ingredients

  • 2 tablespoons olive oil
  • 1 cup diced onion
  • 1/4 cup diced green bell pepper
  • 1/2 cup diced celery
  • 1 1/2 teaspoons chopped garlic
  • 1 cup converted rice, uncooked
  • 4 ounces smoked sausage, cut into 1/4 inch slices
  • 4 ounces ham, cut into 1/2 inch pieces
  • 2 cans Ro*Tel diced tomatoes and green chiles
  • 1 cup chicken broth
  • 1/4 teaspoon dried thyme leaves
  • 1 bay leaf
  • 2 cans Ranch Style Texas beans, undrained

Instructions

  1. In a 5 quart saucepot over medium-high heat, heat oil.
  2. Add onion, green pepper and celery; cook until onions are translucent.
  3. Add garlic, cook 1 minute longer.
  4. Add rice, sausage and ham. Cook 2 to 3 minutes to coat rice with oil, stirring frequently.
  5. Add next 4 ingredients and heat to boiling.
  6. Cover and steam 20 to 25 minutes or until all liquid is absorbed.
  7. Remove bay leaf, stir in beans and serve.

The Exhausted and Unable to Survive, the Cat was Thrown Out on the Grass to Die

Bless you for saving this baby from death…

Why did Chariots lose their popularity on the battlefield?

On the 1st of October, 331 B.C.E., the Persian king Darius III finally had that Macedonian pest Alexander the Great right where he wanted him – on a big flat plain where Darius could make full use of his cavalry and 2–1 numerical advantage against the Greek upstart.

The location was Gaugamela in what’s now northern Iraq. For the past three years Alexander had been making Darius’s life a living hell but it was payback time.

At the head of Darius’s forces were 200 heavy chariots. The plan was, as usual, to unleash these monsters running at full speed into the Greek infantry lines. The weather was good, the field was dry – perfect conditions for slaughtering the Greeks and sending them all the way back to the Aegean.

Things…. didn’t work out. As with any battle that took place 3,300 years ago there were different stories about what happened. The only things we know for sure are:

  1. Alexander’s forces killed or captured most of the Persian army at a loss of no more than 1,500 troops; and
  2. The chariots turned out to be entirely useless.

Alexander knew the problem posed by the chariots and was ready for them. He drilled his men to fall back into pockets that would allow the chariot to penetrate the line, only to be met by infantrymen with their spears jammed into the ground pointing directly at the pocket.

What would happen is the horses, running at full speed, would run into the pocket but, facing the spears, would stop suddenly. The charioteers would find themselves surrounded on three sides and no way forward, and horses and chariots don’t reverse easily. As you can see, the chariots mostly went through the gap in the Macedonian line, only to find Alexander leading a cavalry charge back at the gap that wiped them out entirely.

The tactic remained a military favourite against horse soldiers for most of the next 1,800 years.

When the tactic was revived in the 15th century, it similarly made knights on horseback pretty much obsolete.

After Gaugumela, Alexander’s tactics were similarly widely adopted and the use of chariots started to tail off as they became ineffective and easy to lose.

Rich Men North Of Richmond, but it’s a Rap Type Beat

Made a beat to the song everyones talking about rn – Rich Men North Of Richmond by Oliver Anthony. Had a lot of fun with this one, went from idea to finished product in a day. The song isn’t set to a bpm so forgive me for parts that are off time, it was tough putting it all in time. Also shoutout to @TimGuitarLessons I used his audio in some parts.

Why do Westerners like you defend China online?

As you get older, you realize that people who lie constantly have some kind of mental problem. It might be a habit; a neurosis, or a DMS-4 illness. But they are not “right in the head”. Something (not saying what it is) is wrong.

Fully functioning adults do not need to lie. They say things as they are. If they don;t want to answer a question, they say so. They have self-confidence, pride and a sense of self-worth.

When I first came to China I started to compare my life as an American, to that of China.

And, as such, I quickly realized that SOMETHING was seriously, very seriously wrong with the United States.

The best way for me to describe this is to imagine that you are married to a mentally ill person. (I was, by the way. My first wife was schizo-effective; which had the worst qualities of bipolar disorder, and schizophrenia). Then you get divorced, and meet up with a healthy person.

It was like that.

I am in China. It is a healthy nation. It is calm and peaceful. The leadership works towards allowing people freedom and happiness, and it shows.

When I was in the United States, however, everything was a struggle. One problem after the other. And today, it’s only getting worse.

Why do I defend China?

Because a mentally ill nation is attacking it using every single DSM-4 technique in the book.

Has a patient ever been forgotten in an exam room?

My girlfriend was to remove the patient’s prostate through a so-called radical prostatectomy. It was Wednesday morning, a couple of weeks ago.

And Wednesdays can be tough.

A typical Wednesday typically includes brutally waking up very early, getting ready, driving to the hospital, and starting the first scheduled surgery at 8AM sharp. Usually a number of operations are planned, depending on the time they take, and urgency.

The afternoon typically consists of a large number of consultations.

After consulting, she usually drives to her father’s house (which is pretty far away from the hospital), because our daughter will be there, but before leaving the hospital, she always visits the patients who had surgery in the morning to have a little chat about the operation, about how the patient is feeling, and about everyday stuff.

When she was back home, we fetched a drink and talked about our day (“what a day, what a day, what a day”), and watched some TV before dinner.

Suddenly she shouted:

I forgot to see my patient !!”

The prostate man. In all the Wednesday hubbub, she had totally forgotten about the patient, and driven out of the hospital with other things on her mind. She immediately called the hospital and asked a nurse to tell the patient that she would visit him first thing next morning — promised !

The poor man had been a bit worried, thinking that something was wrong, that the cancer was worse than she had expected, and that this was the reason why she did not come.

But next morning, when the doctor was in the house again, he was a happy man. The operation went as planned, and the cancer would be gone now.

Thursdays are often much better than Wednesdays.

What is China’s response if Germany proceeds with banning Huawei and Chinese manufacturers from its 5G networks?

Germany is not the US.

Just because China puts up with US bullying doesn’t mean China has to put up with the same shit from a much weaker nation. In fact, Germany is seen kind of like a joke nowadays by the Chinese public, politically, due to it staying silent on NATO blowing up its Nord stream pipeline, and this public sentiment limits how much compromise the Chinese government can have with Germany. Instead of sitting idle and just take the unfair abuse on what’s now pride of Chinese companies for Huawei’s standing up to the US, China would more likely set Germany up as an example in the Chinese philosophy of “kill the chicken to scare the monkeys”.

I can see China starting by reacting proportionately with banning of Siemens from some operations inside China, as well as making life miserable for German cars, which are extremely dependant on China as their largest market worldwide and their factory as well since energy prices drove up costs in Europe, but China being China, it would reserve the stronger responses in hopes of descalation, and would only apply them if Germany escalates things further.

Things are going very, VERY, wrong; Netanyahu Tells Israelis to “Leave Egypt”

World Hal Turner

Things in the Hamas-Israel fight are going very much wrong for Israel.  This afternoon, The US ordered the USS Gerald R. Ford Aircraft Carrier Strike Group to move into the eastern Mediterranean Sea to be closer to Israel. This signals ALL the players that the US is moving-in to get involved militarily.  The Players are NOT backing away.

Hamas: Moving the American aircraft carrier does not scare us, and the American administration must realize the consequences of this step.

Meanwhile, Israeli Prime Minister Benjamin Netanyahu’s office calls on Israelis to leave Egypt ‘as soon as possible.’  This is a HUGE . . . no . . . . GIGANTIC . . . flashing RED sign.

Egypt was the first Arab nation to make peace with Israel, and depending upon what course Israel pursues in Gaza (i.e. possible Ground Invasion) that peace with Egypt may now be in very real jeopardy.

The Palestinian President Mohammed Abbas, who was safely away in Jordan, is now leaving Jordan and heading into Syria.

In earlier reporting, I mentioned Intelligence info that Palestinians in Israel got word to their allies elsewhere to be ready to turn up the heat on Europe if Israel engages in a ground war.   Now, we see they also got word to their pals in New York City, where, this afternoon, supporters of the Palestinians and supporters of Israel faced each other in Times Square.   Chanting . . . for now . . . .

American Middle Class Is the New Poor | Renters Are Out of Options

Working and homeless! The new slogan. It’s not always drug addiction that leads to this. We NEED to stop this stereotype. Cost of living, especially rent is far outpacing wages which are not even remotely keeping up.. For people with no roommates or family to move in with and not making more than 75K, it’s rough out there.

Head Shakers

One of the “head shakers” about China is the ever-present music of Kenny G on elevators, in supermarkets, in malls, and in restaurants. I do like slow easy jazz, and back in the 1980’s. But I, like most Americans, have moved WAYYYY past all that.

We moved past mullets. We moved past Miami Vice. We moved on from large curly hair, and pastels. We moved on from Windows DOS.

But, you know, China never experienced those things, and have no idea how out of date all this is. And us expats, really, have no heart to admonish our friends in China to stop being so retro. We, well, we just let it all ride.

Just like no one ever says “What’s uppppppppppp!” any longer.

Or, “Where’s the beef?”

Or, Max Headroom.

Todays…

At what point should America issue an arrest warrant for China’s Xi Jinping à la Manuel Noriega?

First it's the unchecked flooding of Chinese Fentanyl into America. Next, mercenary bounties get placed on British residents. 

First of all, Fentanyl is used for treating severe pain especially for cancer patients and is deem a medicine product which many countries import mainly from China. Likewise it is your own country laws and regulations to prevent abuse instead of blaming China or Mexico or another other countries. If illegal Fentanyl managed to slip into your country, blame your border guards and custom officer for not doing the job or your judges for not imposing severe penalties.

Just like a simple soap for washing and bathing and you decided to abuse it by eating them as food and then you blame China other then yourself.

I suggest in that case better that your country be pure communist like Mao time where China totally shut off from the world where no exports and no imports can take place.

Would that scenerio be a better option for your country . That covers all your citizens from visiting any countries so that they will not be arrested or detained or even your organs been harvested for scientific research.

The moral of my answer is don’t blame others, other than yourself if you decided your own will to do stupid things.

Why are the people of the PRC hypocrites that they say they don’t want their nuclear arsenal to be increased and yet they increased from 300 to 400 nuclear arsenal?

Here’s the fun thing.

We don’t actually need them. This is from the US military college. A war with China will wipe 3600 US soldiers out or 50,000 a week. That means ONE brigade a day.

main qimg 9edc4a61f5c9274ffb1048a611b9d6aa
main qimg 9edc4a61f5c9274ffb1048a611b9d6aa

Kiwifruit Teriyaki

kiwi marinade
kiwi marinade

Yield: 6 servings

Ingredients

  • 4 kiwifruit
  • 1/4 cup dry white wine
  • Soy sauce
  • 1 clove garlic, minced
  • 1 tablespoon vegetable oil (optional)
  • 1 teaspoon grated fresh ginger root or 1/4 teaspoon ground ginger
  • 1 1/2 to 2 pound (1 inch thick) boneless round steak

Instructions

  1. Pare and puree 2 kiwifruit. Combine with wine, soy sauce, garlic, ginger and oil in large baking dish.
  2. Add steak and marinate for 1/2 hour. Marinating for more than 1 hour may over-tenderize it.
  3. Remove steak from marinade, and broil to desired degree of doneness.
  4. Slice steak and arrange on serving platter.
  5. Pare and slice remaining kiwi; garnish platter with slices.

Have you ever refused an inheritance? Why?

Yes. My brother died last September without signing his will (they had it filled out but he didn’t sign it).

I have helped his widow out with cleaning the house.

Because he was intestate, I’m technically entitled to 25% of his inheritance under my state law as our parents are dead, but he was married (75/25 split by law).

He literally told me he wanted everything to go to his wife, who I would consider my sister now.

I’m not screwing a 60 year old lady out of her house.

Yeah, as a working class guy, I could REALLY use 100k+ dollars if I fought it, but I will absolutely NOT destroy his dream of his wife having a nice little home.

Them home cooked meals, talk and sharing memories a couple times every few months are worth more to me than money.

Maybe I’m an idiot, but I love him and her too much to go by “the law”.

Signing that form for her lawyer was about the easiest moral choice I’ve ever had to take.

CN bans ASML products,Lays off 86 million employees; Photolithography machine turned into scrap metal

For china to make a competitive smartphone to Apple with old generation technology is truly remarkable, I know why U.S and Europe is scared of china…they are simply better in technology.

Is democracy inevitable in the long term? Will countries like China and Russia ever become democracies?

Depends on your definition of Democracy

Let’s start with Russia

Russia IS a democracy. Merely because they keep electing Putin and the UR Party all the time or because the election rules favor the ruling party doesn’t disturb the definition

Ultimately Putin IS elected by the people of Russia

The Government under Putin has helped Russia rise from a torn apart and destroyed country in 1991 to a very strong, united force in 2023

So the People are happy and the People vote for the Government and President

That’s Democracy to me

If the US don’t like it, they can go f*** themselves


Next China

Chinese society is 5000 years old

The Chinese mindset is entirely based on the premise that EVERY CHINESE has a role to play in Society and if every Chinese plays their role properly, China will flourish

Under the Emperors, this role was defined by birth and family

Under today’s CPC, this role is defined by ABILITY, ABILITY and more ABILITY

That very mindset is completely against Democracy which claims everyone from a Pauper to a Beggar to a Technology Geek to a Clerk to a Oil Baron have the same rights in choosing a Government

The result is before you

India and China became Independent in 1947 and 1949 – at the same time virtually

Yet China is advanced in EVERY SINGLE FACET over India

China stands before the US as such a feared opponent that the US spends every waking moment on trying to countering China with propaganda and lies and failing

Proof that the CPC did more for China than any Democracy in the history of the modern world

Why would they need this US loser version of democracy


The Chinese version of Governance:-

  • The Worthy keep voting in the Grassroots
  • The Unworthy do what they are told to do
  • Only Ability and Merit matters
  • One Party, different opinions
  • Gays, Transgenders put in their proper place which is a ‘Don’t Ask Don’t Tell environment’

That alone is enough for China to grow

They don’t need the horrors of becoming a Cesspit democracy

Oh SH*T, What Russia and China are doing will change everything, and the west wants WAR

What Russia, China and North Korea are doing will change everything. The massive economic expansion is pushing the West to do some very desperate things. This week Russia and North Korea signed remarkable new trade agreements. It came on the same week as China and Russia launched a new cross border energy hub. Do you see what’s happening?

URGENT: RUSSIA **HALTS** ALL EXPORT OF GASOLINE AND DIESEL FUEL

World Hal Turner

This morning, Thursday, September 21, Russia announced they are “temporarily” halting the export of all Gasoline and Diesel Fuel. 

Russia is the world’s single biggest seaborne exporter of diesel-type fuel.

Their decision will have an immediate impact on fuel costs and availability.   Expect skyrocketing prices, especially for Diesel, and subsequent skyrocketing prices on EVERY product that moves by truck.

It is not known at this hour, exactly how long this “temporary” halt will last.

Confession of the Day

There’s always someone who ended up in a worse situation with a bigger loss. Every time I hear those stories, it makes me feel a little better that it “could have been worse.” Hope this helps someone realize how bad it can get before you ultimately end in the same place, with the same loss, and the same need for help. It’s also therapeutic to write it down, I’ve found.

In 2012 I had $20k to my name. Turned that into $100k in 5 years buying American Airlines and chip stocks. Took most of the $100k and bought a house to run as an Airbnb. Made about $100k off that in 2 years and sold it when they banned airbnbs. Bought my own house and sold it a year later for another $100k profit. Invested that $300k in the market around the Covid drop and ended 2020 with about $600k. Then I started with options. The ability to make huge gains so quickly was so intoxicating and addictive. $20k in a day. $50k. $100k. I was crushing it and starting to dream of my new rich life. I broke the $1mil threshold and ended the day with $1.1m.

The next day the market sucked and I knew it. But I had such an itch I made a play anyway. I was down $250k by noon because I broke all my own rules just to avoid “taking a loss.” I finally accepted the loss but tried to chase it by jumping to the other side. That cost me an additional $100k loss. Within 2 days I made it back to within spitting distance of being a millionaire once again, but spoiler alert, I’d never see that number again.

Down $200k, another $300k. I finally had to go to my dad for a bailout. He refueled me and I lost it all again within a month. Another bailout, another loss. I was so depressed and suicidal at this point. It was like a bad dream that I couldn’t wake up from. I will forgo buying a drink with a meal because I don’t want to spend the extra $3 but I had no problem loosing $600k in a single day if it meant I could get the dopamine rush.

Then comes the shame of having to admit what happened. The relapses. The broken future. The never ending despair.

I made right moves far more times than wrong but my losses were always much larger than my gains because I couldn’t accept a loss and didn’t want to further risk a gain. In the end, the money didn’t actually mean anything and it was never about the money (not really anyway) it was always about the high of submitting the order and watching the dollars come or go.

In the end, if it wasn’t for anti depressants and my daughter, I wouldn’t be here today. Looking back it’s impossible to imagine how something could have completely high jacked my brain in such a way but it really did.

There is recovery. There is help. It does work. I only wish it didn’t take losing $2mil before I realized it and finally sought help and treatment.

Don’t make my mistake if waiting and find help now. Also, give the “unhappy millionaire” episode of Happiness Lab podcast a listen, changed my life.

Giving up the American Dream is hard.

giving up the American Dream is Hard. I made a few videos: why I gave up the American Dream, the real cost of the American dream and how to ditch the American Dream. Folks have resonated with it, hated it and everything in between.

But let’s be honest, it’s hard to give up the American dream, especially as a Black woman.

Hustle Culture is not for Black women, but the pursuit of the American dream is tied directly to it.

Let’s talk about grieving the American Dream. content included: giving up the American Dream, I gave up the American Dream, Giving up the American Dream is hard, Hustle Culture is not for Black women, Healing for Black women, I gave up Black excellence, hustle culture is toxic, the real price of the American Dream, Black Women deserve good things, Black woman wage gap, I gave up the American Dream, why I gave up on the American Dream, grieving the American Dream, Black women and the American Dream,

What has been your most stunning find at a thrift shop?

I work as a manager in a Charity Shop/thrift Store and I look having a good search through donations for that special item!

One day, I had some items donated and a handbag. In that handbag in a secret pocket was a Genuine Cartier watch.

I was in absolute awe and was so excited!! Thing is, I wasn’t 100% sure I wanted to sell it without the donors consent. (I knew it was genuine as it’s myself who deals with all the name branded luxury items)

I chased the lady through town and told her what I’d found. She broke down in tears telling me they were her late mums things and the watch was lost for many years. Her mum had dementia and her father was only just starting to clear through her things.

So anyway, she asked me to stay with her whilst she rang her father to tell him the news (he also cried which started me off crying!)

After the ordeal of the day I genuinely felt pretty chuffed with myself. I could’ve easily sold it online for a 3figure sum – but something just didn’t feel right.

A few days later that same gentleman came in and thanked me personally. He told me he’d brought it his wife for an anniversary present. I asked how it came to being in an everyday handbag and he explained that she went to get it cleaned (it was boxed) but her dementia was in it’s early stages and was eventually forgotten about. The kind gentleman had a funeral collection in the Charities name and even made a £200 donation to me personally, which I put to the charity.

So yea, that’s my amazing find

Oprah has no idea there’s a Cost of Living Crisis

Why everything feels so expensive right now.

The basic price of commodities has tripled in my experience from 2019. The same 1 bedroom apartment I rented in Dallas for 700 dollars in 2017 is 1400 dollars in 2023. The house that would cost 180k in suburban DFW just 5 years ago costs 400k. The cost of home and car insurance is over the roof. All this in Dallas which is still very cheap compared to many other big cities in the USA.”

Beijing says it uncovered US National Security Agency operatives behind cyberattack on Chinese university


Article HERE
Second Date’ software used in Northwestern Polytechnical University attack is potent cyber espionage tool developed by US agency, says state media
After global tracing, Chinese team reportedly found ‘thousands of network devices’ across the country still infected by the spyware and its derivatives

China says it has identified US National Security Agency operatives while investigating a recent cyberattack on Northwestern Polytechnical University, as its top spying and anti-espionage agency vowed on Thursday to root out all “digital spies”.
The revelation came just three days after Beijing revealed more details about John Shing-wan Leung, a Hong Kong permanent resident and US citizen the Chinese Ministry of State Security said posed as a philanthropist while snooping for information. He was jailed for life for espionage in May, two years after his arrest in China.

State-run CCTV said on Thursday that China’s National Computer Virus Emergency Response Centre, with help from Chinese antivirus company 360 Total Security, had discovered the identity of the National Security Agency (NSA) operative or operatives – the broadcaster did not specify how many or name them – after it extracted “multiple samples” of a spyware called “Second Date”.

It said the spyware was used in the cyberattack on Northwestern Polytechnical University in Shaanxi province.

Stop stealing’: China condemns US over Trojan horse cyberattacks on state-funded university
The report said technical analysis showed that Second Date was a cyber-espionage weapon developed by the NSA to sniff out and hijack network traffic and insert malicious codes.

Quoting senior engineer at the National Computer Virus Emergency Response Centre Du Zhenhua, it said software was a potent cyberespionage tool that enabled attackers to take control of target network devices and the data traffic flowing through them, and use them as a “forward base” for the next stage of attacks. It could run on various operating systems and was compatible with multiple architectures.

Du was quoted as saying the spyware was usually used in conjunction with various network device vulnerability attack tools from the NSA’s Office of Tailored Access Operations (TAO). The TAO, now renamed Computer Network Operations, is a cyberwarfare intelligence-gathering unit.

China’s foreign ministry says the international community should be highly vigilant about CIA activities as a new report alleges a years-long global cyberattack campaign. Photo: AFP
The report said that after global tracing, the Chinese team found “thousands of network devices” across the country were still infected by the spyware and its derivatives. It said they also found springboard servers remotely controlled by the NSA in Germany, Japan, South Korea, India and Taiwan.

Those pesky Chinese…!

INDY

Posted by: Dr. George W Oprisko | Sep 20 2023 20:39 utc | 31

Back in China | Our First Impressions…

Can you describe the creepiest person you have ever met?

I had an abusive boyfriend. He was great at first, of course. As soon as he was confident of me, he stalked me, tried to run me off the road many times, pointed a gun at my head a few times, cut my phone lines, beat me, fired a gun into my floor pretending to commit suicide (he would never have done that, he only wanted to scare me), destroyed my things, and more. He was military (so was I) and I had no protection. I was able to get him out of my apartment with the help of his best friend and because he was put in a mental hospital for a few weeks. But I never got a break until I was discharged (honorably), moved across the country to a large city, and changed my name. An ex-husband kindly let me take his name, a common one. The abuser knew my friends and family. A false friend who secretly hated me (I didn’t know) kept telling him how to find me and encouraged others to do the same. People thought it was romantic that he carried such a torch for me. I thought he would appear at my door any day and kill me. He stalked me for 13 more years until I persuaded his commanding office to make him stop. I can’t imagine after all those years that this worked, but it did. Perhaps it was because he didn’t deny what he did and the commanding officer threatened his career and his pension. I never heard from him again. In those 13 years, things had changed somewhat.

I went to law school and made it my mission for many years to protect other women who were treated this badly and sometimes worse by abusers. I got them protection orders and divorces. I got their abusers out of their homes and sometimes in jail. It was very satisfying work. I’m retired now and happy to be so. I feel I did my bit.

Dedollarization Accelerating Within BRICS, Across Globe

It will be amazing if BRICS can come up with some gold-backed trading currency. The dedollarization is happening fast. Over the last 20 years, you see the share of global payments in the dollar has gone down at a steady rate.

This is getting even faster now. At the same time, to “truly dedollarize,” several aspects should be taken into account, he added.

“The harder part” in efforts to replace the dollar lies in the reserve aspect of the currency, the Netley Group president noted. For instance, the United States’ big advantage at the moment is the breadth of its bond market, Goddard explained. “One of the ways, I think, BRICS could develop something to compete with that would be the technology to actually link the BRICS bond markets,” the entrepreneur added.

Combining these two things — a stable trade currency and a strong joint bond market — could create the potential to eventually displace the dollar, Goddard also stated. This process could be even sped up with the enlargement of BRICS, increasing the depth of these economic ties, he concluded.

Why did Israel allow a terrorist and thuggish nation like China to take over the Haifa port?

I live in Haifa, Israel, the location of that port.

A few decades ago we decided to build tunnels to connect the north, the south, and the middle of Haifa. We hired a Chinese company from Shanghai with experience in tunnel building to do the work. It was a massive project and took a few years, during which the Chinese workers lived here. The Chinese company did an excellent job. These tunnels have reduced traffic congestion in Haifa.

The Chinese company we chose to run the new Haifa port has a lot of experience in that kind of work.

In both cases, we chose based on objective criteria, not on politics.

Btw, many Chinese students study at the Technion here, and Chinese tourists visit.

European Union’s decision to launch an anti-subsidy probe into Chinese electric vehicles, will it make the Chinese EV industry become another Huawei?

The Claim is :-

China offers subsidies to their EVs in battery making and other areas, heavy subsidies in taxes and waivers to ensure that EVs are produced at a final cost that is 20% cheaper

This makes Chinese EVs deliver lower cost at better quality than many European EVs

Second complaint is that most of the world pays a premium for Chinese EV batteries but BYD and other players pay 45% lower costs for the same battery due to the fact that the batteries are made in China

This is alleged as unfair competition

They want either the batteries at lower costs or a 20% mark up on Chinese EVs that eliminates the subsidy effect

It’s Classic Protectionism 101

Soviet Style rather than Adam Smith


China didn’t do this

When Volkswagens and Citroens and Mercedes Benz and Toyota and Mitsubishi dominated the vehicle markets of China a decade or so ago:-

China didn’t impose extra tariffs on them nor make it unfair for them to import custom made parts by imposing 60–260% tariffs

China told BYD and SAIC and Great Wall to either make similar quality cars or go out of business because the customer needs the best

Thus these companies formed partnerships and purchased technology and leased technology and made a living with limited market share

Yet this competition helped them prepare a lead in EVs and dominate today

However the West isn’t prepared to do the same thing and ask Renault and Chevy and Volkswagen to COMPETE or go out of business

They want to protect their companies

Like US , Germany, France

They talked of free trade when their products were much superior and Asian nations imposed protectionism

Today when they are being left behind, they scream and yell and whine about tariffs and duties


China has many weapons here

China sells 61.5% of the EV Batteries in the world and 86% of EV Batteries today are made by Chinese players with only Tesla having it’s own supply chain and making it’s own battery for it’s own cars

If you want EVs – you need the Chinese Battery, Electric System and Motors and Chargers

The Chinese make the world’s best and most cost efficient products

Even Tesla battery technology is inferior to CATL and it’s latest batteries

It’s only in Autonomous driving that Tesla has an edge

So frankly China for the next 15 years at least can simply ban the export of batteries or impose a 80% tariff on EV exports and ensure European and US EVs simply can’t compete with ICEs

It throttles the EU green initiative

China loses the EU market but critically ensures Russia & Saudi gains a major leverage as EU and US become more dependent on Oil

That is one card

The Bigger card is

China can play it’s own protectionism

China has a huge market for European Cars with Eight Brands having their largest market in China

That’s 157,000 Jobs

China could simply ban the imports tomorrow and that would finish these companies revenue and share wise

Like the Iphone

Intel & Qualcomm openly said if China forced them to choose between the Chinese market and the Commerce Department restrictions, they would have to choose China for the sake of their US stockholders

If China banned Qualcomm entirely today and said “Okay. We will live with inferior phones but you B******* won’t sell or get a fifty cent piece from us”

Qualcomm would be brutally mauled

The Entire smartphone industry will be brutally mauled

The Entire Semiconductor industry will be brutally mauled

The Chinese are VERY PATIENT PEOPLE and they will play their cards very slowly

They thrive on competing

If China simply decides to close its markets to Foreigners except it’s Russian and Global South friends who will sell it all the Energy and Food it wants

Then most of the Tech firms will be brutally mauled

It was predicted that if Apple was banned entirely from China – $ 1.02 Trillion of it’s market share would never come back

The Total hit on the entire industry could touch $ 7–12 Trillion

Who will take up the slack?

India is expected to touch 40% of Chinas present market in 17–21 years (2040–2044)

Nobody else can take up even 3% of this slack


So China has a lot of Brahmastras to use


There will be no more Huaweis

Huawei was a blow

Nobody expected the West to be so brutally lawless and act like a mafia state , like a land with zero laws

Today China is ready

Every US attack has a party from China including the biggest Brahmastra

  • CUT OFF ACCESS TO PHARMA APIs & Cut off all components needed for Medical Equipment
  • Devalue US Debt by suddenly pegging an exchange rate of 2 Yuan to 1 USD. China will lose 17 Trillion Yuan and after the peg is removed the rate could reach 10–11 but US will lose 160 Trillion Yuan ($ 25 Trillion) , 8 times worse than the 2008 Crisis

APPLE Shocked! Huawei’s Secret Weapons: NearLink Explained

Amazing technology. Zero coverage in Western “news”.

Chinese wisdom: Wang Yi urges nations to help avert cold war

INTRODUCTION

Human behavior falls into durable patterns of action and reaction/response. Taking China-U.S. bilateral relations as an illustration, one almost always finds that China is forever responding, and that the United States is non-stop plotting, agitating and acting in annoying or irritating ways, resulting in China’s patterned response of rebuttal.

For example, in picking a fight with China, the United States will accuse China of “spying” and/or soliciting a prominent politician to accuse and confront China on “ideological” grounds, making it difficult for China to defend.

How do you defend an ideological choice?

As ideology is value-laden, it was adopted to change a sick Chinese society for the better, by bringing in fresh or foreign ideas that are believed to work.

For China, socialism with Chinese characteristics is designed to address its chronic social inequality and alleviate abject poverty. China’s adoption of a socialist ideology is observably bearing fruitful results, lifting millions of its people out of poverty, and building railways in foreign countries.

But, for the U.S. hardcore irrational antagonists such as Mike Pompeo, China is “on the wrong side of history”. What else then can these self-styled enemies of China do but to use and recycle the “spying” game of accusations, hoping to see their cooked-up situation comes to fruition.

In the following article taken from an English-language newspaper dated Thursday, July 30, 2020, the incidents that happened at the beginning of the Trump era were nearly the same as that is happening in the United Kingdom, which has officially announced that a parliamentary researcher has been lately accused of “spying” for the CCP.

For fear of missing its juicy details, let us go over this article.

Entered Wang Yi Who Called on All Countries to Resist “Unreasonable Acts” and to Help Prevent…

FM Wang Yi called on all countries to “resist” the United States’ “blatant and unreasonable acts”, and to help prevent the world’s two greatest powers from descending into what he called a new cold war.

In a phone call with his French counterpart Jean-Yves Le Drian on Tuesday, Wang said China would take “firm and rational responses” amid the intensifying rivalry with the US, but also stressed that Beijing would strive to maintain stable relations with Washington.

It was the fourth time in less than a fortnight that Wang, who is also a state councilor, has named the US in conversations with foreign official, following calls with his Russian, Vietnamese and German counterparts.

Before that, veiled attacks against Washington might have been made in talks with foreign governments without naming it.

The change in rhetoric and the increased frequency of verbal aggression by both sides has meant a rapid deterioration of China-US relations, and an imperative for Beijing to ensure other nations do not side with Washington.

“Tolerating a bully [such as Pompeo] will not keep you safe. It will only let the bully get bolder and act worse. All countries should act to resist any unilateral or hegemonic act and safeguard world peace and development.” Wang was quoted as saying in a Chinese foreign ministry statement.

Wang said the current decline in China-US relations was caused by a certain political faction in the US, driven by the need to lift campaign prospects and maintain unipolar hegemony.

During the phone call to Le Drian, Wang called for “vigilance against US Secretary of State Mike Pompeo’s recent remarks instigating renewed ideological confrontation and leading the world to a new cold war”.

In a speech last week, Pompeo called for China’s own citizens to join an international effort to “change the behavior” of the ruling Communist Party.

“We believe that all countries will make the right and wise decisions, instead of being held hostage by a small number of American politicians,” Wang said.

“All countries will make concerted efforts to prevent the world from being dragged into a new cold war of conflict and confrontation,” he said.

But Wong said the interests of the two countries were deeply integrated – and that Beijing stood “ready to maintain the stability of China-US relations through equal communication and exchanges with the US side”.

“We will never allow a few anti-China elements to overturn decades of successful exchanges and cooperation between China and the U.S., nor will we allow ideological prejudice to undermine the future development of China-US relations.”

Ties between the world’s most powerful nations have plunged to the lowest point in decades as they clash over trade, technology and geopolitical clout.

In their latest brawl last week, the US ordered the closure of the Chinese consulate in Houston –over spying claims. China retaliated – by ordering the US mission in Chengdu to shut down.

The foreign ministry rolled out a 10-point rebuttal yesterday against American accusations over the closure of the Houston consulate, denying that it was a hub for China’s spying efforts or that it was used as a base for its “fox hunt” operations to induce the return of Chinese fugitives. (Source: SCMP)

CONCLUSION

Readers may find it amazing after reading the above newspaper article on U.S. accusation of Chinese spying, and China’s retaliatory response. Amazed because the narrative over alleged Chinese spying is the same, except this time it is the UK that is the accuser. This pattern persists, and tensions go up.

The ultimate reality, though, is that “U.S. trade is still chained to sources in China.” Research demonstrates the difficulty of severing the countries’ economic ties. According to NYT.

In the end, it is U.S. pragmatism and Chinese rationality that prevails, settling the “spy” squabbling.

Ties may temporarily go sour; bilateral efforts will be made, and trade activities between the U.S. and China will resume. Wang Yi’s rationality is right and wise.

The latest visits by high-profile U.S. secretaries starting with Anthony Blinken, Janet Yellen and Gina Raimondi wrapped up the futile spying game – testifying to the law that damaging business ties lead to revenue loss.

Will the US actions against China over chips really set the Chinese tech sector back 100 years?

Only 100 years?! You bet, will be at least 1,000 years, or maybe, better back to the Stone Age!

And then, you might find one day, your country would have to import chips from China, and the products you use would be embedded with Chinese chips. LOL

The whole Western world, especially the nuclear powers, even later the Soviet Union, had blocked nuclear technology from entering into China back in the 1950s and 1960s. Then what?

The first Chinese nuclear test was conducted at Lop Nur on October 16, 1964. Then in less than 32 months, China detonated its first hydrogen bomb on June 14, 1967. Now, China’s nuclear arsenal is the world’s third largest, and China has, more importantly, also developed its nuclear technology for peaceful use, boasting the second largest number of nuclear power units in operation or under construction in the world.

China was officially barred from visiting the International Space Station (ISS) by the United States in 2011. Then what?

China is nearing its completion of the construction of its own space station -Tiangong, with many visits there already done by Chinese astronauts, three of them are right now flying over us in the station. With the ISS retiring sometime in 2030, China’s Tiangong will be the only space station in the world.

China has been under the tough blockade of Western military techonologies, especially high-end, advanced ones. Then what?

China has successfully tested several times of its hypersonic missiles, among the first nations who have achieved success in this most advanced weapon development.

Also, China has finished its third air-craft carrier, with a fully indigenous design, featuring a CATOBAR system and electromagnetic catapults, one of the most advanced in the world.

And China has its J-20, a twinjet all-weather stealth fighter aircraft with precision strike capability. The Y-20, a large military transport aircraft, the first cargo aircraft to use 3D printing technology to speed up its development and to lower its manufacturing cost.

Similar cases also include the tunnel boring machines, giant cranes, giant excavators, deep-sea drilling machines……You name it. Then what?

China has self developed all of them, not only meeting its own market needs, but also exporting them at a much more affordable price than their Western competitors. What’s more ironic is, some of them have been exported even to those countries who had previously blocked their techonologies into China!

So, in the short term, yes, China is sufferting from the heavy blow from the US, but in the long run, the US and its allies would not only lose the lion’s share of chip market here in China, but will have also to face a strong competitor in semi-conductors, or chips, or something alternative which have similar functions, in the not-too-far-away future, maybe in their own market, and also in the global market.

But during the process, the US would have dried up its influence as a banner-holder of liberal market economy, its credibility as the rule-setter who betrays its own rules, its reliability to its allies since all of them would have to suffer along with the US, and hence, its soft power in leading the world.

Nothing much to gain, but a lot to lose, yet, the US is determined to ride on the self-devastating road. The faster it runs, the quicker the fall of its hegemony.

Japanese-Style Sirloin

japanese style sirloin
japanese style sirloin

Yield: 4 servings

Ingredients

  • 1 pound Certified Angus Beef ® boneless sirloin steak, cut into 1/4 inch strips
  • 3 tablespoons soy sauce
  • 1 tablespoon sugar
  • 2 tablespoons sake or dry sherry
  • 1 tablespoon vegetable oil
  • 8 scallions, cut diagonally into 1 1/2 inch pieces
  • 1 large red bell pepper, chopped
  • 8 ounces Napa cabbage, chopped
  • 4 ounces bean sprouts
  • 1 cup cooked short grain rice
  • 1 teaspoon toasted sesame seeds

Instructions

  1. Combine soy sauce, sugar and sake in a small bowl. Set aside.
  2. Heat wok or electric frying pan to medium heat.
  3. Heat oil, add steak strips and stir until beef is browned (about 3-5 minutes).
  4. Push beef to one side. On the other side, add scallions and peppers and stir-fry for 2-3 minutes.
  5. Add cabbage and sprouts, combining all ingredients in the pan. Stir-fry about 1 minute.
  6. Sprinkle soy mixture over meat and vegetables. Cook for 1 minute.
  7. Serve over warm rice and garnish with sesame seeds.

Why People are Leaving Canada, Top 5 Reasons Why People Leave

I left Canada about 1.5 years ago to travel the world and here are some of the top reasons why I left. I give a lot of my own personal anecdotes as someone who has lived in canada for over 20 years. This video represents my personal opinion about living in canada and why I prefer to live in Asia or other countries.

The main reasons. why people are leaving

1. High living costs creates a low standard of living, especially in Vancouver and Toronto.

2. Lack of infrustructure for transportation which means you need to have a car in order to get around

3. Brain drain and lack of opportunities because most talent go to the states

4. Lack of medical healthcare because most people can’t find a family doctor or are finding it difficult to find one

5. Not much social life /activities for young people, most stores close at 6pm

https://youtu.be/2vXjQHNfiW8

China, the next war

On China, the US is again lying itself into the next war. The US says “The [Defense] Department remains committed to abiding by the well-established one China policy of the United States” and “this Administration opposes any unilateral changes to the status quo from either side of the Strait. We have not supported Taiwan independence, we do not support it now.”

But actually the US is supporting the Taiwan independence from China, while Taiwan is calling its independent government the “Republic of China” which is a violation of the “one China” claim. The US also admits that the principle reason for this attention to China’s internal matters is to sustain the anti-China barriers in the off-shore China region: “Taiwan is located at a critical node within the First Island Chain in the Indo-Pacific region.” More detailed information on the coming anti-China next war is recent testimony in the House Armed Services Committee here and here.

Posted by: Don Bacon | Sep 20 2023 15:14 utc | 7

Is India acting as a spoiler in the BRICS on behalf of the West?

A spoiler must possess some power to manipulate but this India clearly does not have.

India can do nothing within BRICS with China around (that’s why they didn’t even dare to join RCEP). Modi was left sitting in the corner of their meeting hall.and as quiet as a church mouse during the last BRICS summit because he had no allies to support him on anything. BRICS proceeded accordingly to invite those countries that Xi had pursued.

An interesting thing happened between then and the Modi show at the G20 with new BRICS members Saudi Arabia and the UAE getting into the act. And of course Xi completely abstaining from even attending. Expectations are of course that the G7 countries, headed by Biden, would be there to cheerlead to elevate Modi’s ego as their way of wooing him to their side.

As the saying goes, give the guy enough rope and he’ll be sure to do it to himself!

And true to form, Modi came out with a true masterpiece that had behind him the G7 countries – U.S., E.U., Germany, France and Italy – applauding him on. and the Western MSM hyping up Modi as the new major player to contest China’s BRI plans. This is the G7’s main challenge to China and to be implemented unti its GPII initiative!!

But this plan could not have been possible without the push of Saudi Arabia and the UAE.

Of course, Saudi Arabia and the UAE have grand plans for themselves – mostly to transition and establish their economy as a major global force after their days of oil dominance is over.

But look at the curious first part of the IMEC journey that has the starting route going through the UAE and Saudi Arabia, which essentially is an alternative route to bypass the Suez Canal.

And then of all places, this very expensive trans-Arabian rail line ends up in Isreal!!!!!

Now, who are the Arabs in their right mind would set up the crown jewel of their economic infrastructure to land in the hands of their arch nemesis to be held hostage anyttime any conflict should arise?

So, you have Modi hoisting himself as a challenger to Xi’s BRI.and also coincidentally, there will be after this G20 a third BRI Meeting in Beijing in October 2023!

The big question is: What are Saudi Arablia and the UAE’s real plans? Are they with the IMEC or are they part of the BRI still and be officially inducted as new BRICS member in 2024?

Have you ever tried to eat at a restaurant, which happened to be a mafia front, but you didn’t know it was a mafia front, and everyone inside just stared at you when you walked in, because nobody actually eats there?

A friend of mine told the story of going to visit his childhood home in Brooklyn. He had hired a car for the day to go all around the old neighborhood so he wouldn’t have to rely on taxis. On the way to visit his mother’s grave at the cemetery, he spotted a flower shop and thought it might be nice to bring flowers.

He went inside, and found a couple of men playing cards, They didn’t seem to notice him. He cleared his throat to get their attention.

“A customer!” one declared, as if in shock.

My friend said he had grown up in the neighborhood, and he chatted with the proprietor a bit about how things have changed over the years.

“Why don’t you sit down and have an espresso with us?” he asked.

“I can’t, I have a driver outside!”

“Well, invite him in, too!”

After he politely refused, they made a beautiful bouquet for him, fairly quickly. He was impressed with the work.

“How much do I owe you?” he asked.

The florist looked hurt. “It’s for your mother! How could I possibly charge you?”

After a little back and forth, it was clear that they weren’t going to accept payment. He thanked them for their generosity and left.

It dawned on him that this place probably wasn’t a flower shop. But they did make him a nice arrangement.

Something TERRIBLE Just Happened in Maui, Oprah & The Rock

James sues Hawaii for First Amendment violations over ban on public photography in Lahaina “This isn’t merely about the freedom to take photos; it’s about the freedom of the press and the right to hold our leaders accontable.

What are your thoughts on China surpassing the USA

Well, China has surpassed the United States in so many ways, that this question is a tad out of date. China is quite a formidable and powerful force right now. Depending on the measurements used, you can easily map out the dates when the mantel of power was transferred.

  • Economic = around 2013.
  • Military = around 1950.
  • Educational = around 1995.
  • Social = around 2005.
  • Manufacturing = around 1990.
  • Technological = in process.

So what are my thoughts about this?

I really don’t think that China is trying to surpass anyone. And certainly isn’t trying to better the USA. I just think that China is doing what it needs to do to serve it’s people. It’s 1.4 billion people, don’t you know. And they need to be satiated, satisfied, and happy.

China is doing that.

All these sanctions, political posturing, and the general madness out the United States (and it actually is a DSM-4 state of crazy-town) is only accelerating and necessitating China to become absolutely independent of the leashes and chains that the United States controls.

Soon, and I do mean SOON, whatever vestiges of American “greatness” will be eclipsed by a rather harsh reality.

Oh, and it PAINS me to speak of it.

But today, the United States is a lie, on top of a lie, that is covered up by lies, and the only good thing about it is that the lies are so obvious that everyone KNOWS that the lies are simply BULLSHIT.

Today, the USA is a third-rated, banana-republic, ruled by psychopathic morons.

And when history books are written about this has-been nation, it will refer to it as a over-glorified “strip mall” masquerading as transsexual brothel built upon an open sewer.

This is common knowledge in “fly over country”.

Those “Rich Men North of Richmond” really gutted the country, and are now stealing everything they can lay their hands on as they flee the cesspool that they created.

When did China surpass the United States?

Oh, sometime back in 1776. It’s been downhill ever since.

Neocons turn on Joe Biden

Calling Biden a president is like calling Harris a vice president.

A battle between the American State Department and the Pentagon

I  watched the American spokesman statement. The purpose of the Blinken trip to China was to “strengthen America’s ability to out-compete China”. Further he stated, that there were three key points that Blinkedin will tell China.

[1] To establish communication channels that are “open and empowered”.

[2] Communicate clearly and candidly on a range of issues regarding American displeasure in how China conducts its domestic matters.

[3] Will investigate potential area of cooperation on trans-national issues, but ONLY when it is in Americas interest.

Finally, he does not expect any “break throughs” on this trip.

And of course, we all know what happened next. China reamed him up and down and all around though out, and when he reported the news to Biden, that son-of-a-bitch complained in a long diatribe in front of his donor class.

Sigh.


Next…

Ah yes

Of course

The Debt ceiling was raised recently to a whopping $ 33 Trillion right?

Obviously the West will deflect and bury the serious dire straits of their economies and pending recession to China

That’s what they always do

Whenever they have trouble at home, they deflect and jump to a foreign country that may be much much better off

Is China’s economy declining?

No

China’s economy shows resilience

Don’t ask me

Ask the 77 Countries who now have over 15% of their Trade share in Yuan since 1/1/2022

China’s economy is Sluggish

The reason is simple

Its two biggest earners have taken a hit

Exports have taken a hit due to Sanctions by US and mainly due to weakening global demand due to recession/inflation in EU and US

Many Producers aren’t sure how much to manufacture now because of their worry about exports and being saddled with excess inventory

The alternative domestic consumption to replace potential loss of export share will take time and confidence by the producers and consumers

Maybe 3 years or so

Second is Real Estate

China’s own Government have dynamically and drastically modified real estate lending causing a plunge in real estate markets

So it’s like a Cricket team with two big stars in bad form


The fact that any other country would have buried these facts stands out

China is dealing with them on a day to day basis

Promoting Domestic spending, reducing rates to rise liquidity and slowly ensure the export pain is mitigated by local demand

China is modifying it’s real estate

It’s bringing a real estate sector once controlled by 6% population now to a 60% population using economies of scale

Profiting $ 1 Million per flat through speculation to be replaced by Profiting $ 50,000 a flat for 20 flats by actual sales and market demand

It takes time for this 60% population to realize that the sector is good for them

Like say tomorrow 50% Indians investing in shares from 4.1% today


China has very temporary problems

It’s situation is changing and its adapting and that is causing blips


Now USA and the West on the other hand are in deep trouble

They have in print nearly $ 15.3 Trillion equivalent of currency circulating in Pounds, Euros and Dollars

They have to rise rates repeatedly to push the currency into institutions and prevent them from staying in the global economy and plunging in value and causing more Inflation

It’s a ticking time bomb

And unlike China, the West neither has the populace to absorb the hit nor the resources like Russia

The end result will be 1929 type depression unless the large shock detonates through a series of smaller shocks which will weaken the US significantly but help it survive and bounce back , which is what China is waiting for (Why else is China not retaliating to all the US Coercion? Because it knows time is on its side)

It’s 100 times more serious

Hence why the West is deflecting on to China

I was in a Video Conference yesterday. There was this slick controller from Cisco. I picked it up and turned it around to see the sticker that said: “Made in China”.

We have all heard the phrase. So much so that it has become a cultural meme, like this creative advertisement.

main qimg e6ace77c2f1b6abb8c1d4434b4840b95 lq
main qimg e6ace77c2f1b6abb8c1d4434b4840b95 lq

Every machine, and I mean it in the broad sense of the word, people use, almost certainly, has components made by the most populous nation of the world.

We are at a stage where manufacturing is synonymous with China. China’s total exports in 2017 were more than the GDP of India, at ~$2.26 trillion.

main qimg e213710ac9bf247efc1be021887fa937 lq
main qimg e213710ac9bf247efc1be021887fa937 lq

US is close with $1.5 trillion, but there is the catch.

US exports are primarily expensive stuff like airplanes, gems, assembled cell phones etc. But China makes the “cheaper” things — the components of your phones, the clothes you buy, the filaments in your bulbs, the shoes you are wearing right now.

More than a decade ago, a US family tried to rid themselves of all things “made in China”

. Here are some excerpts from their experience.

When our son, then 4, needed new shoes it took me two weeks of frantic mall trips and phone calls across the country before I located Italian-made sneakers. (They cost almost $70, an obscene amount, and I bought him just that one pair of shoes all year to compensate for my excess.)

We boiled water for coffee every morning after our drip machine broke and the only affordable replacements we could find were made in China. Kevin stole —he likes to say borrowed—sunglasses from the lost-and-found at our kids’ preschool when he needed new ones and the only ones that fit our budget were made in China. We were barred from the market for humane mousetraps (I made my own), birthday candles (we used votive candles on our cakes instead) and the monster trucks and light sabers that our son dreamed of all year.

As December approached, we made lousy homemade Christmas presents, spent too much on toys from Germany and waited for the year, and our boycott, to fade into history.

And Chinese manufacturing has become even more pervasive and ingrained in the last ten years. Good luck with your attempt!

First of all, Taiwan is NOT a country. Neither the United Nations nor the United States recognize Taiwan as an independent state. Moreover, Taiwan’s own constitution stipulates that the mainland and Taiwan are one country.

The entire world adheres to the One China principle.

Second, no country is willing to give up any piece of its territory. Would the USA give up Hawaii or Texas? Would France give up Corsica?

Third, Taiwan is a remnant of China’s century of humiliation when the great powers of the time descended on China like vultures and carved her up like a turkey. It’s a painful reminder and a point of national pride.

Fourth, China and Taiwan can coexist. They’ve coexisted peacefully for decades now. They have massive trade with each other.

China seeks peaceful reunification, and she is very patient. But the United States is determined to stir up shit in Taiwan. Just leave them alone!

Fifth, since Taiwan is China’s domestic matter, it is absolutely none of our f*cking business. Why are we sticking our nose into it?

Do we want to start a war with China over this matter?

Are you f*cking insane?

Hubris.

“My cup runneth over with hubris”, rather than “In God We Trust”.

Who in his right mind can praise America for being well led, when the President is 80 and belongs in a nursing home, with the primary competition 76 belonging in jail?

And yet those are the candidates two ailing parties who have swapped musical chairs for 250 years throw up, with NO ALTERNATIVES in sight.

Just like guns and drugs, even abortion.

Live with it, the American will say, because the Constitution is sacred.

I say God bless America.

America has visibly declined or regressed this century. Even life expectancy has dipped below third world China, courtesy of the horrendous response to covid for the country rated No. 1 for pandemic readiness by Johns Hopkins in 2019. The debt pile is growing too fast, struggling under the weight of living beyond means.

Barring a paradigm shift in domestic politics, terminal decline is but a matter of time. I will pay attention to the quality of life of the bottom 50 percent who share 2 percent of the wealth. Too miserable and the have-nots will stoke tensions and accelerate the process.

I have not heard much beyond doubling down on the current playbook.

And that is a road to ruin.

The question is one of when, rather than if.

Under 150 years of British rule, Hong Kong wasn’t democratic. Why would we expect that to change?

The United States is as ready as it ever will be.

It has an enormous military budget, and bases everywhere. It’s got top of the line fighters, vessels and state-of-the-art equipment. In fact, if anything, I think that it is “over kill”. But that’s just my personal opinion.

The United States military is world-class in force projection, and they will glad-fully take the war to the shores of China and beyond. With the handful of proxy nations acting as “cannon fodder”, the United States would just sit back and watch the Australians and Japanese die in droves. Let them all be barbecued alive. As long as not one American is harmed.

So the United States force doctrine is one where the disposable peoples of Australia, Korea and Japan (with the Philippines) would be sacrificed first.

There is no question that the United States would choose Sydney, and Perth to become major battlefields. And with the rubbleing of Osaka, Tokyo, and Manila, the American military would wait out the carnage comfortably from afar in safe bunkers, Ukraine style.

Eventually, the Chinese force would peter out to an “approachable” level.

At that moment, the United States would pounce for a double “one two” blow that would destroy Chinese cities, and an invasion force in strength would seize the nation. Oh, the fighting might take a decade, but eventually the United States would win, and China would be partitioned into pre-determined bite-sized chunks for organized looting and seizure.

(Some interesting articles on this particular subject. It’s already been divided up! Though, I would advise “don’t count your chickens until the eggs hatch”.)

Anyways, there one teeny-tiny issue.

The only issue is would China really use it’s mass-casualty weapons. That’s of course, the Dong Feng, and the other novel and unique enhanced radiation and wave technologies. You know those massive enhanced radiation city-busters. Those hyper-velocity AI controlled stealth delivery systems, and the invisibility cloaking technologies.

But I am told it doesn’t matter.

As many in the “West” are very confident that “China would never…”.

So, if you (the reader) are part of this clutch, then by all means rest assured that the United States can destroy China, and it couldn’t do anything. The logic is simple. Simply because China has invested such a HUGE portion of it’s military to weapons of MASS DESTRUCTION. Leaving only a fraction of it’s military for conventional warfare. If China decides never to use the nuclear systems, then China would be handicapped to reliance on old-fashioned conventional systems.

So the United States would rip China a new behind.

But…

But…

But…

But, were China to be attacked, I am of the belief that China would use every weapon at it’s disposal. I mean, after all, why devote such a large proportion of your defensive equipment to nuclear and novel systems if you have no plans to ever use them? I figure that even if you have a Bentley in your garage, you do go and take it out for a spin from time to time. Even if that is the last thing that you do before you die.

Thus, the first cities to experience nuclear destruction would be American. I recon complete destruction of the top 35 cities.

This would really throw a monkey-wrench into the plans listed above.

The American “leadership” would be pissed and they (well the ones still alive and not wearing diapers) would order a MAD response. And the nukes would start a flying.

Correct me, if you disagree, but when the dust settles, I don’t think the world would be the same. You might think differently, but I think that nothing will ruin your day faster than global thermonuclear war.

Sigh.

So who ever asked this question, please stop asking about the end of the world. It’s not a pretty image. Go play with your army men elsewhere. War is not a game. It’s real, and very horrible. I strongly advise that it be avoided at all costs.

No one is going to win a US-China war.

For over 700 years, the Japanese have been using a special method called Daisugi to grow trees without cutting them down.

It started in the 14th century and involves planting trees for the future and then pruning them like large bonsai trees. By doing this to cedar trees, they can get high-quality wood that’s straight, smooth, and perfect for building.

main qimg d8ea73c62c889f33676c0305d752f58d lq
main qimg d8ea73c62c889f33676c0305d752f58d lq

With Daisugi, instead of chopping down the trees, they carefully prune them so they can keep growing and producing wood. This way, they can sustainably use the wood while also making sure the trees stay healthy and continue to live for a long time. Daisugi shows how people and nature can work together, using resources wisely while protecting the environment.

Not exactly arrogance, if arrogance, it was a well deserved arrogance, as US was supreme in economy, diplomacy and military as a superpower liberator. US actually saved the world with great sacrifices.

It’d have succeeded except the miscalculation on China entrance into the war, that changed the result. That was arrogance to underestimated the Chinese determination and ragtag poorly equipped military, which was a total surprise to everybody.

It is human nature that it is very difficult for warriors to stop fighting, Alexander the Great just couldn’t stop, Napoleon couldn’t stop, Hitler and Japanese couldn’t stop and US couldn’t-can’t stop.

Normal little people like us always wondered what if these superpowers just stopped at some points, enough is enough, I have enough, let me stop and build on my successes and conquered empires, let me treat my subjects well but none could. But warriors can’t stop, they must keep on going until the empires were destroyed by over expansions.

For over seven decades, Japan has been subject to ongoing occupation by the United States, maintaining a presence through a network of over 90 military bases and an extensive arsenal of more than 65,000 military assets. Similarly, Taiwan is under the control of local collaborators aligned with the United States, who wield authority over both the island’s military and political processes, contrary to the One-China policy. In both regions, the United States not only dictates the sale and deployment of weaponry but also determines the conditions for their use, the required troop numbers, and the deployment locations, while providing comprehensive training.

Given the high level of military integration and influence, publicly disclosing real-time shared military information would only intensify local concerns regarding the potential loss of lives and livelihoods after being dragged into a war initiated by the United States, especially in light of recent devastating events in Ukraine as reported by the media.

Gravitas: Japan says no to NATO membership

QUAD is US, G7 is US, AUKUS is US, NATO is US. Whatever alphabets they added or changed, it is just US.

UK, Australia, Japan, India, France, Italy or whatever don’t matter at all to China, there are like the group of thugs accompanying the main bad guy in kungfu movies, they take turn to be punched and kicked by the kungfu master, and the first to run away or fake death. Faking death in the safest way to survive. They rarely charge forward all at the same time.

It is just US, that’s a handful all by itself.

Whatever your opinion may be about this man, Dan Bilzerian, he said something very true in an interview, and I would like many people to reflect on it.

main qimg 96f8c4d0ae8b7e932568e14f8d5cd9a7 lq
main qimg 96f8c4d0ae8b7e932568e14f8d5cd9a7 lq

In the Joe Rogan Podcast (the most famous and most listened-to podcast in the world), Rogan asked him the same question:

Joe: Hey Dan, for the people who are listening to us, and most of them won’t even make a quarter of the money you’ve made, do you think money brings happiness?

Dan Bilzerian: Money, Joe, brings satisfaction. Money can undoubtedly give you a lot of satisfaction, but never complete happiness.

When I go to a fancy restaurant, when I drive a brand new car, when I party at the best clubs, for me, that’s already normal.

But the day I can’t afford to eat at a restaurant of the same quality, or drive the latest car, or go partying, how do you think I’ll feel?

In my case, I raised the ‘satisfaction bar’ so high that I have to maintain this lifestyle forever because if I don’t, I get depressed.

Happiness, even if it sounds cliché, cheesy, or however you want to call it, comes from within, from your person. It doesn’t come from material things. Material things give you ‘satisfaction,’ but they will never give you happiness.

There’s always someone who says something like:

‘Money doesn’t bring happiness, but I’d rather cry in a Lamborghini.’

Now, let me ask:

Wouldn’t you rather smile from ear to ear in a Kia?

First Impressions of China in 2023 🇨🇳 CAN’T Believe What I Saw

Washington has sent Jake Sullivan to New Delhi with an array of tempting offers to bring the country in line with the west

By Joydeep Sen Gupta, Asia Editor

US President Joe Biden’s administration is working overtime to give top billing to Indian Prime Minister Narendra Modi’s official state visit to the US from June 21 to 24 in a key election campaign year. The visit will be Modi’s sixth to the US since he assumed office in 2014.

Biden wants to present Modi’s upcoming trip as being bigger than the Indian premier’s Manhattan moment

in 2014 when he was hosted by Barack Obama, and Howdy, Modi!

in 2019 during the Trump administration.

To that end Biden sent his trusted aide, US National Security Advisor (NSA) Jake Sullivan, to New Delhi on a two-day trip on Tuesday, to lay the groundwork for the high-profile event, and build upon last week’s visit

to India by US Defense Secretary Lloyd Austin.

Prominent Indian geopolitical commentator, C Raja Mohan, has suggested

that Sullivan’s visit may pave the way for Modi’s Deng Xiaoping moment in leveraging India’s unique situation into significant gains for the country. However, the current global churn is many times removed from the visionary Chinese leader’s time in office last century, and the US is more transparent in its efforts to create a unipolar world order.

Sullivan’s agenda

Economic cooperation is at the heart of the Sullivan’s India trip. The top US security official held talks with Modi, his Indian counterpart, Ajit Doval

, and minister for external affairs, Dr Subramanyam Jaishankar

, on a range of issues that go beyond the optics of bilateral diplomacy. Doval and Sullivan also attended the second Track 1.5 dialogue on the Critical and Emerging Technologies (iCET) initiative, which was organized by the Confederation of Indian Industry (CII).

They unveiled an ambitious roadmap for Indo-US collaboration in seven specific hi-technology areas, including semiconductors, next-generation telecommunications, artificial intelligence and defense.

Sullivan said the iCET is about people-to-people relationships, building skills, trust and confidence between the societies and governments of the two countries. He said it is also a way to deepen defense cooperation that will help both the countries strategically and economically.

Meanwhile, Modi’s upcoming US visit has been heralded as a new era of “future ties” amid a “robust outcome document” that is in the works. However, the underlying motive is the desperate US desire to gain access to one of the biggest markets in the world following its deteriorating

trade and diplomatic ties with the world’s second biggest economy – China.

Sullivan is playing the role of a traveling salesman, hawking US interests in key global capitals much like before his elevation

to the NSA.

On this trip, he pulled out all stops to impress upon the Modi government the ease of doing business with the Biden administration, which is all but a lame duck, ahead of a key election to be held later this year.

Sullivan’s talks centered on opening a $2.7 billion semiconductor chip-making facility in India by Micron Technology, which is headquartered in Boise, Idaho and sharing technical know-how regarding quantum computing technology. India imports about 80% of its semiconductors.

New Delhi is believed to be wooing Intel Corporation to set up shop in the country following fears of a disruption in supply chains in light of escalating tensions between China and Taiwan.

Significantly, the pièce de resistance is Sullivan’s last-ditch bid to jointly manufacture US aircraft engines for Indian defense forces by General Electric (GE) in partnership with state-run Hindustan Aeronautics Limited (HAL). Will the transfer of technology (ToT) be a fillip to Modi’s ambitious “Make in India”

initiative? ToT is a key aspect in Indo-US bilateral relationship, where past misadventures such as the 2008 civil nuclear agreement between the two nations stick out like a sore thumb. The US is dragging its feet over ToT unlike Russia, which has been

an all-weather ally to India.

Biden has unpacked the top brass in his administration ahead of Modi’s visit. China – the elephant in India’s room – also figured prominently during Sullivan’s trip because he is being accompanied by US Indo-Pacific Coordinator at the National Security Council Kurt Campbell.

Washington has been raising the China alarm with New Delhi and accuses Beijing of flexing its military muscle over Taiwan and the South China Sea while underscoring India’s primacy in the elite grouping of the Quad

.

Truth be told, since its revival

in 2017, the grouping largely remains a non-starter as questions abound whether it is hitting the right notes to keep a belligerent China at bay.

The US is seemingly ratcheting up further bilateral tensions between the two most populous nations and nuclear-powered neighbors

as a means to insert itself as part of India’s solutions to security.

How does the US hypocrisy stand exposed?

Public memory, as the cliché goes, is short indeed. In 2005, PM Modi was denied

a US visa because of his alleged role

in the Gujarat pogrom against minority Muslims in the western Indian state in 2002, when he was the Chief Minister.

The US changed its tune in 2014 after Modi’s right-wing Bharatiya Janata Party (BJP) enjoyed a landslide win against the incumbent Congress-led opposition alliance.

US State Department spokeswoman Jen Psaki had said Modi would receive a visa to the country once he took office and formed a government. And an AI visa, which is the eligibility norm for all heads of state, was made available to him. Washington then started making overtures towards him in a true bipartisan manner with Obama, Trump, and now Biden have been rolling out the red carpet for him as they seek to tap India’s growing middle class, whose strength is more than the total US population at last count.

But such foreign policy misadventures

have been the hallmark of the US in the name of championing democracy in all corners of the globe for which the UK fell

for hook, line and sinker.

With such checkered records and doublespeak, should the Modi government be enamored by Sullivan’s charm offensive?

The US seldom delivers what it promises, if its deeply flawed foreign policy is a marker of Washington’s overreach

.

Is the Biden administration on the same page?

There is a lack of clarity regarding whether the Biden administration’s various arms share Sullivan’s enthusiasm about Modi and India. Historically, the US State Department has been hostile towards New Delhi about ramping up bilateral engagement in the field of defense and hi-tech.

However, the jury is still out whether Sullivan, aided and abetted by the Pentagon, can ensure joint manufacturing of GE’s F-414 engine in India, which if Washington manages to pull off, will go down in the annals of history. At the same time, it will open a new front in the Arab world in the Middle East, where the US has been arming

them to the teeth in the name of twin threats from Iran and Israel. President Biden’s largesse may help India’s state-run Defense Research and Development Organization (DRDO) to indigenously develop the Tejas Mark II fighter aircraft for the Indian Air Force. US defense majors are also looking for an opening to manufacture other hi-tech weapons including loiter bombs, air-to-air missiles and long-range guided bombs amid stalled arms supplies from Russia owing to fear

of disruption in payment mechanisms over US-led Western sanctions on the ongoing special military operations in Ukraine. Sullivan aims to reboot several existing mechanisms such as upping the ante in sectors such as telecommunications, rare earth metals mining and space. Both NSAs discussed this during a meeting on Critical and Emerging Technologies (iCET) in Washington on January 31.

The mechanism received a boost during the first India-US Strategic Trade Dialogue meeting

in Washington on June 4 and 5.

US commerce secretary Gina Raimondo’s visit to New Delhi in March was a precursor to this high-level engagement that set the stage for Sullivan’s trip to iron out the rough edges in the bilateral ties.

Is Sullivan the ace of spades for Biden?

The New York Times stated

in 2021 that Sullivan, who has been equated with Henry Kissinger, has long been a “figure of fascination, somewhere between sympathy and schadenfreude.” He has been Biden’s go-to man for all reasons and seasons. He held

crucial talks with key Chinese officials and has a similar historic opportunity to make Modi seem to be a global leader, whose life has come full circle since Washington dubbed him a pariah. And time is of the essence as Biden’s fate is likely to be decided in a few months, even though Modi’s hold on power appears to be as firm as ever.

Sullivan had an inkling about Russia’s special military operations in Ukraine a couple of months before the conflict started in February of 2022. He tried to shape global opinion against Russia, including pushing through the sanctions. Has he managed to strengthen NATO, including Finland’s membership, is an open-ended question?

His speech

at the Brookings Institution in April laid bare the growing US challenges amid a new pivot known as the ‘new Washington Consensus’, a euphemism for geo-economics. How much has he achieved will be judged by posterity.

Sullivan has been single-mindedly pushing for a technological alliance with “trusted partners” such as India to stymie China’s dominance.

The Indo-Pacific maritime is his other pet project that has grabbed the headlines, even though he is not a foreign policy hawk. He seeks to be on an even keel with China, especially his recent engagement with Foreign Minister, Wang Yi.

India, according to Sullivan, connects all these dots, despite New Delhi’s deep historic ties with Moscow. But can the US play a “long game” since the presidential election may change the foreign policy outreach in the next few months.

Biden’s last hurrah?

According to a report

in The Washington Post, the US seeks to expand the developing world’s influence (read India) at the United Nations (UN). This hurriedly-thought through bid appears to empower the UN Security Council (UNSC) because of the latter’s ineffectiveness as a global body to stop conflicts such as the ongoing military standoff in Ukraine. This mechanism, which has long been in the works, is also Washington’s bid to push through a unipolar world narrative and keep Russia and China out of the frame. Wooing India helps because it is part of the strongest anti-US bloc in BRICS, where Brazil and South Africa bring up the rear

, to create an alternative world order.

Linda Thomas-Greenfield, President Biden’s envoy to the UN, is reportedly consulting with diplomats from the organization’s 193 member states to gather feedback about a potential expansion of the UNSC ahead of world leaders’ annual gathering in New York in September.

But Washington is unlikely to create a consensus in a fractured world, where the US is seen

as a perennial big bully. Besides, it’s unclear which countries in the Global South and from Africa and South America are likely to make the cut.

For instance, any nation such as Venezuela, Cuba, Egypt and Morocco will not be welcome to join the exclusive club because of their close ties with Russia and by extension are considered as rogue states by the US.

The US is unlikely to have its way because India’s seat at the global high table will be opposed tooth and nail by Pakistan.

A tricky road for Modi

Modi, who is known to have an elephant’s memory, may do well not to bite the US bullet ahead of his re-election next year, where he appears to be in the driver’s seat, despite a few recent domestic setbacks.

As for Biden, it could be a classic case of too little, too late to woo American voters with last-minute optics. The maxim “It’s the economy stupid

” could seal his fate for a second-term in the White House. His historically low approval ratings are an indictment for lording over an economy that’s teetering on the brink, despite his lofty pronouncements of a rosy outlook.

When I young in Taiwan, all students must watch a one hour long documentary, Roar of China 中國的怒吼, documentary of all the atrocities of Japanese killing and bombing. Once a year, every year for many years. Attendance was mandatory for all, we had to write essay on the Japanese atrocities. That built a deep hatred towards Japan and Japanese. All Japanese movies and books were banned.

Occasional reports of Taiwan people love Japan and Japanese are wrong. As decades passed, that hatred gradually faded away to lesser degree, but among older Taiwan people, hatred of Japan is constant and in daily conversations.

I no longer hate Japan or Japanese, but I did not forget.

The Korean war.

In the early 1950’s, the United States (fresh from fighting World War II), along with its allies invaded Korea. The stated reasons were “democracy”, “freedom” and “fighting Communism”. Of course. The real reason was to attack and seize China while it was still weak. Then, from that captured territory, place military bases on the Russian Southern flank for an eventual World War 3.

Well, the Korean war was a fiasco. The United States lost bigly.

In fact, the losses were so very horrific, that the retreat became a rout. And the piles of equipment and stockpiles in warehouses had to be bombed remotely, by the sea and the air, to prevent capture. (This is by definition a rout. Remote demolitions of abandoned material is a characteristic of a rout.)

General Douglas MacArthur was so upset and defeated that he demanded that President Truman start using nuclear weapons on China, but Truman refused.

Instead President Truman initiated a multi-decade long campaign of carpet bombing China with bio-weapons. (Which didn’t do much to China, except make it very VERY resilient to bio-weapon attacks.)

This kind of stealth; passive-aggressive, attacking continued for decades. Well into the 1970s.

So when the 1960s rolled around, the United States was busy fighting on China’s Southern borders; Vietnam, Laos and Cambodia. All trying to obtain a “toe hold” there. But Chinese-backed Vietnamese forces were putting up a good fight.

You must realize that at that time, with a hostile and unstable SE Asia, and a very VERY pissed off China, the United States was in no way ready to take on China. Because over the decades of covert hostilities, the Chinese grew stronger, and angrier with each passing month.

So in the 1960s and into the 1970s, the United States did not attack China overtly. It’s not that the United States did not want to attack China. It is just that it simply could not. China was a very formidable fighting force, and the anticipated American (and allied) losses would have been enormous.

It means that the Chinese are not fools. The United States sanctioned the Chinese defense chief, and if they would meet, that would be in violation of the sanctions.

Who knows what other “dirty tricks” the Biden administration has “up its sleeve”?

But also, and most importantly, nothing productive would come out of the meeting. The United States has proven itself to be two-faced, fork-tongued, lying, scheming, manipulating bastards that have only one goal which is to belittle, and ultimately destroy China.

Different people have different reasons.

I came to China because the Chinese government agreed to support my research and give me opportunity to build a team to pursue solutions for health problems with genomics. I tried again and again through the grant process and VC channels in the US. For grants, if you weren’t part of the group that gets most of the grant money or one of their proteges (“the club”), you weren’t getting a grant. And, if you weren’t 20 something with no experience but full of wild ideas VC funds weren’t interested, they are all looking for the next Zuckerberg or Gates. They didn’t care about sound business plans.

So, I came to China where I was first able to almost immediately raise money from VCs and then after a year the Chinese government agreed to support me, my team and my projects.

China is the land of opportunity for talented scientists. They are putting a lot of money into developing a broad group of technologies of which biotech is one. A key focus of the education system is STEM graduates. So, for me, China was the obvious choice.

Note: I could’ve gotten a job in the US and been paid a very good wage, although I am doing well, especially by Chinese standards, I would’ve made more in the US. But, here I am supported to pursue my passion. And, it is a very good opportunity to experience the culture and the people.

The largest group of American expats here in China are teachers though. In China, teaching is a very well respected profession and the teachers are well compensated with lots of perks. They came here, some for the experience, most for the increased wages and respect that they found they lacked in the US.

Fried Okra with Tomatoes and Onions

fried okra tomatoes
fried okra tomatoes

Ingredients

  • 2 slices bacon
  • 1 pound sliced okra
  • 1/2 onion, chopped
  • 10 cherry tomatoes, halved
  • Kosher salt and pepper, to taste

Instructions

  1. Fry bacon crisp. Remove from skillet and drain.
  2. Fry okra in bacon drippings.
  3. Add onion. Cook until tender.
  4. Add tomatoes; stir well.
  5. Add bacon and salt and pepper. Cover and cook over medium heat for 15 minutes.

In 1989 two hikers who got lost while climbing Mount Asahidake in Daisetsuzan National Park were found when a helicopter saw the distress sign written on the side of the mountain and rescued them.

2023 06 18 11 25
2023 06 18 11 25

Great for the two hikers and lucky because this is where the story gets strange. The police in the area were sure the hikers had made the SOS sign that was made by stacking large birch trees on top of each other, the sign was quiet large. When the police questioned the two hikers about their ordeal they swore they knew nothing about the sign. It was just pure luck on their part.

This got the investigators worried because if they didn’t make the signal, who did? This led the police back to the area with a search team to find another potential missing person. After a few hours of searching the area the police discovered human bones with bite marks and fractures from before they died. This is where the story goes from strange to bizarre.

As they continued their search they came across a hole big enough to fit a human. In the hole they found a human skull, four cassette tapes, a tape recorder, some amulets, a backpack, tripod, some men’s shoes, two cameras, a notebook and a drivers licence belonging to Kenji Iwamura, a 25-year-old male office worker.

main qimg 471854b168cc965baea468061a207987
main qimg 471854b168cc965baea468061a207987

Photo of Kenji Iwamura

On one of the tapes was the voice of a man screaming for two and a half minutes. A translation of the man shouting on the recording is as follows:

SOS, help me, I can’t move on the cliff, SOS, help me.
The place is where I first met the helicopter. The sasa [a type of bamboo plant] is deep and you can’t go up. Lift me up from here. The police were sure the bones belonged to the guy on the drivers licence but went sent for testing they came back as belonging to a female between the ages 20–30.

The rest of the tapes included music from the anime TV shows, Macross and Magical Princess Minky Momo In addition, a cut out of artwork of “Magical Princess Minky Momo” was used as a case for the cassette tape. This strange disappearance had the police perplexed.

main qimg 183458af851ac03c54e9700a6aa7630f
main qimg 183458af851ac03c54e9700a6aa7630f

The wooden letters of the SOS sign were made by stacking large fallen birch trees, and it was estimated that it took about two days and considerable effort to create such a giant sign. It was speculated that the sign was made by the missing person that the skeleton belonged to, but in the autopsy of the skeleton that was found, who investigators believed was Iwamura, the body was described as thin and weak and that it would have been impossible for him to make the sign on his own.

No axe that would have been used to cut the trees down to make the sign has been found. There is also no record or report of a missing woman that could be connected to Iwamura.

I’m in my 40s, if you’re still in your 20s and 30s read this:

main qimg 6b2e994b1ef90290be98e061c9d22742
main qimg 6b2e994b1ef90290be98e061c9d22742

1. Your 3 most important life choices are:
I) Your spouse
II) Your career
III) Where you’ll live
Therefore, do not rush these decisions. Take your time and think.

2. To get what you want, you have to deserve what you want.
To attract the right people, be the right person.
The world isn’t crazy enough to reward a bunch of undeserving people (Charlie Munger).

main qimg 7d8277628de494bb72204670d5bea0e8
main qimg 7d8277628de494bb72204670d5bea0e8

3. Stop listening to what people say and watch what they do.
Words lie, and actions reveal the truth.
It is, therefore, important to listen and keep your eyes wide open.

4. The cowards never started and the weak died along the way.
There’s wisdom in age.

Study things and people who have been around for a long time in:
• Art
• Nature
• Architecture
• Classic books
• Classic movies

main qimg fdbdc568c2a340a4379c85abb4b7a0bb
main qimg fdbdc568c2a340a4379c85abb4b7a0bb

5. Take care of your health.
Have a healthy body:
• Take 10k steps a day
• Be intentional and regular about exercising
• Adopt a high-protein diet
• Take only nutrient-dense food
• Drink 2-3 liters of water daily
• Avoid processed sugar and alcohol

In the long run, it is inevitable that you will have;
• Clear Skin
• A Clear mind
• High energy

main qimg 8f9e21d50db308198358e93c4d43be6c
main qimg 8f9e21d50db308198358e93c4d43be6c

Your mind is your greatest asset.
So walk, write, think, stretch, be in solitude, meditate, and spend time in nature.
Avoid;
• News
• Politics
• Toxic relationships
Stop reacting to everything and instead, be proactive.

6. Attitude and mindset are extremely important.

Poor mentality traits:
• Waiting for motivation to start
• Quitting when the motivation fades
• Doing only what you think is your best

Rich mentality traits:
• Getting motivation after starting
• Showing up every day (no matter what)
• Doing what it takes
So, which one would you choose?

7. It’s not the strongest or smartest who survive, it’s the ones who are most adaptable to the changing environment.
Consistency beats intensity and the compound effect is one of the secrets to success.

main qimg 0a4369f7a3d2e73080bebf3a819dfe91
main qimg 0a4369f7a3d2e73080bebf3a819dfe91

8. Don’t let people push you around, and stand up for the weak.
Fight back against anyone who pushes your boundaries.
Most people will not bother you if they know you’ll retaliate.

main qimg 8c12d70bb10b1013a3abb5704bfc44d6
main qimg 8c12d70bb10b1013a3abb5704bfc44d6

9. Be a mad Scientist.
Life is one big experiment.
So whenever you feel stuck, adapt and try something new.
There are no failures, only experiments that go too long.
And never learn without taking action.

main qimg 6e9a849e1fdb844b08b6d997a194602b
main qimg 6e9a849e1fdb844b08b6d997a194602b

10. Never play the victim.
Life will test you. It’ll test everyone. So you’re not special.
Learned helplessness is a trap.
Face your problems head-on to build character and resilience.
Instead of thinking about why the problem is happening to you, flip the script and acknowledge that it has already been done and it’s too late to undo it. From there you’ll be aware of the fact that the best thing you can do is move forward.

11. Practice Problem-Solving.
The bigger your problems, the bigger your opportunity.
Be thankful for your problems. God only gives you what you can handle.

12. Take responsibility for everything.
Blaming people or circumstances gives them power over you. Only blame yourself.

13. Find mentors.
Find mentors that are years ahead of you.
Absorb everything they teach you.
Learn from their failures so you don’t have to learn the hard way.
If you can’t find a mentor, read books.

main qimg 7273951770ad4296e15d01612788ee6e
main qimg 7273951770ad4296e15d01612788ee6e

 

14. You will be happiest when building:
• Your mind
• Your body
• Your business
• Your family

Learn these skills and never worry about money:
• Selling
• Marketing
• Negotiation
• Copy Trading
• Copywriting
• Critical Thinking
• Creative Creation
• Emotional Intelligence
• Communication

15. Do what is difficult when it is easy.
You’re young, therefore:
• It’s easy to stay fit
• It’s easy to experiment and fail
• It’s easy to be open-minded and learn

main qimg b57c2b7bc7a21e82ae248116decd780a
main qimg b57c2b7bc7a21e82ae248116decd780a

16. Financial freedom unlocks your extreme potential.
You get to experience and express your true self when you know your livelihood isn’t 100% reliant on other outside forces that might be uncontrollable by you. So when you achieve financial independence earlier on in your life, you are going to invest in yourself and believe in yourself regardless, you are going to work out and exercise because you will not be limited by time.

If you’re still employed, don’t be discouraged, just look for ways to make an extra buck and save it gradually till you have what you’d consider enough to get started. In one of my sources of passive income, I got started with just $500 and within a month, I saw immense results.

Going into the rabbit hole as we explore the chronicles of the future

One thing that I do enjoy is the smell of pine. I used to live in Hattiesburg Mississippi and the pine forests were huge. During the Spring, if you were not careful, you would get one heck of an allergy attack, though. But pines are nice, and dare I say it, magical.

Of course, being a PA boy, I like hard-woods, but pines are easy to walk through and magical on snowy nights. It’s an exercise in white and black. Quite and experience. You all should try it some time. If you live in the Northern climes, please take the time to make your nights during Winter magical.

Take care everyone.

Todays…

Simple we’re meeting the real leaders of the USA.

What you thought your votes meant anything? The USA is a corporate dystopia owned and run for the mega corporations.

The president the government are simply going through the motions and most people can’t see through it.

China supports Palestinian people’s just cause of restoring legitimate national rights — Chinese FM

Chinese State Councilor and Foreign Minister Qin Gang met with Palestinian Foreign Minister Riyad Al-Maliki in Beijing on Tuesday.

main qimg fc2988c6988a5fb45c801ac469cafc0b
main qimg fc2988c6988a5fb45c801ac469cafc0b

Al-Maliki is accompanying Palestinian President Mahmoud Abbas on his state visit to China from June 13 to 16.

President Abbas is the first Arab head of state to be hosted by China this year, which speaks volumes about the special friendship between the two countries and China’s support for the just cause of Palestine, Qin said.

He said he believes the two heads of state will plan the future development of bilateral relations and advance the traditional friendship to a higher level.

China has always firmly supported the Palestinian people’s just cause of restoring their legitimate national rights and will continue to support peace talks between Palestine and Israel and contribute wisdom to resolving the Palestinian question, Qin said.

Al-Maliki said China is a trustworthy, reliable friend, and Palestine appreciates the proposals pushed forward by China’s head of state for resolving the Palestinian question.

He added Palestine follows the one-China policy and will continue to support China on issues concerning China’s core interests.

10 REAL Cases Of Time Travel That Cannot Be Explained

Interesting, but don’t get too caught up on this kind of stuff. Who knows how valid any of this is. All I know is that time is our own PERSONAL experience record. Time travel isn’t really something that can exist, but rather a hopping or jumping upon the template.

POLAND SAYS BORDER TROOPS “FIRED UPON FROM BELARUS”

The government of Poland has just issued a statement claiming its Border Guards were “fired upon from the territory of Belarus.”

No further details have been released at this hour, 5:28 PM EDT.   Check back for Updates.

In June 1948, farmer Cecil George Harris accidentally put his tractor in reverse.

The tractor overturned, trapping Harris’ left leg under the rear wheel.

His wife didn’t find him until 10:30 that night, and he died at the hospital.

When neighbors investigated the accident scene days later, they found that Harris had carved an inscription into the tractor’s fender with his work knife.

main qimg 626b50d4768ccc251cecc8e8ae0d6633 lq
main qimg 626b50d4768ccc251cecc8e8ae0d6633 lq

It read:

In case I die in this mess, I leave everything to my wife. Cecil Geo Harris.

The courts ruled that this was a valid will.

The fender was kept in the Kerrobert courthouse until 1996.

Today it and the knife are on display at the University of Saskatchewan Law Library.

This story reminds me of a Tuscan friend of mine who overturned his tractor and died.

Working a field with a tractor in mountains and hills is very difficult and dangerous, even though it looks easy to us who are just watching.

Lots of people die under a tractor every year.

Southern Pineapple Pound Cake

editedPineapplePoundCakjewithCreamChesseGlazeIMG 6164
editedPineapplePoundCakjewithCreamChesseGlazeIMG 6164

Ingredients

  • 8 eggs
  • 2 cups Crisco
  • 1 teaspoon vanilla extract
  • 1 (20 ounce) can crushed pineapple, undrained
  • 3 cups flour
  • 3 cups sugar
  • 1 teaspoon salt

Instructions

  1. Cream sugar and shortening.
  2. Add eggs one at a time.
  3. Add flour and salt gradually.
  4. Stir in vanilla extract and pineapple.
  5. Bake in a greased and floured tube pan at 350 degrees F for about 1 1/2 hours. Or bake in two greased and floured loaf pans for about 1 hour.

It’s a pretty useless strategy. It’s failing badly.

It’s based on dirty tricks, typical Tonya Harding-style tactics.

The USA has no hope of restoring the semiconductor industry, nor general manufacturing, in the country. Labour is too expensive. The populace is too far behind in education. The populace is too fat and lazy. The population is shrinking, and for a population that is already a quarter the size of China’s, that’s a real problem.

The Amazing United States

President Biden – United States will build a railroad from the Pacific all the way across the Indian Ocean * this is something that even China cannot do Watch: * unable to compete with China, the Americans have turned “mental”

He Spent a Year in 3906 | This is what Paul Amadeus Dienach saw

This is very interesting.

The entire diary has been transcribed in a book titled “Chronicles from the Future”. There is all sorts of names, histories, places and stories worthy of review in the book. This you-tube video is simply just an overview. I am providing the full PDF of that book HERE for your reading pleasure.

A change in Mindset

The USA’s technology base is still the best in the world. Is that so, Mr. Zakaria?

According to a recent ASPI report, China leads the world in 37 out of 44 technological fields.

China has the finest infrastructure engineering in the world. That’s why it has spectacular bridges, high-speed rail, airports, seaports, dams, etc.

China is the world’s leader in green energy production, EV and battery production, thorium molten salt reactors, etc.

China has a spanking brand new space station, while the ISS is suffering leaks and is due to be decommissioned by 2030 with no replacement in sight.

China is the first and only nation to land on the far side of the moon.

China leads the world in 5G and 6G.

Despite US tech sanctions, China is rapidly creating its own semiconductor manufacturing chain with a number of major breakthroughs.


The USA is trying to spread good values? Perhaps that’s so but at what cost?

Over the past seven decades the USA has fought many dozens of wars and caused massive death and destruction everywhere (by some estimates, millions of civilian deaths). Is this worth the good values America is spreading? Please answer me, Mr. Zakaria.

Let’s be honest, the USA has no moral legitimacy.


The bad relation between Australia and China was Australia’s doing, sticking its nose into China’s domestic affairs.

India and China have had a long-standing border dispute. This can hardly be Xi Jinping’s fault. There’ve been border clashes for decades.

Vietnam and China still have positive economic relations, so I don’t know what Mr. Zakaria is talking about. Ditto for South Korea and China.

Moreover, China has vastly improved its relations with nearly every country in the Global South, including in Latin America, Africa, the Middle East, Central Asia and Southeast Asia. What about BRICS, RCEP and SCO?

By contrast, the USA’s diplomatic relations around the world have fared far worse. US sanctions have driven a wedge between them. The USA alienated Saudi Arabia, esp. with the Khashoggi affair. When the USA went to Saudi Arabia pleading for increased oil production, Saudi Arabia dismissed them and did the exact opposite — cut oil production! Most of the world is massively de-dollarizing.


Why did China decide not to talk to the Americans? Consider this: for years, the USA has been demonizing China, sanctioning China, antagonizing China in Chinese waters, interfering in Taiwan, saying one thing and doing the exact opposite, and generally being a pain in the ass. Is it any wonder China is sick and tired of this crap?

The USA has proven itself to be duplicitous and dishonest. Is this a foundation for dialogue? Please answer me, Mr. Zakaria.

The USA needs to show China that it is willing to deal with China sincerely and consistently.


The choice between the US technology bloc and the Chinese technology bloc comes down to what each bloc has to offer. The US bloc offers military and security promises. The Chinese bloc offers peaceful economic promises.

If you have the mindset that says the world is after you and all is not safe, then the US bloc is the natural choice.

If you have the mindset that says the world can be peaceful through cooperation and common prosperity, then the Chinese bloc is the natural choice.

What is your mindset?

The United States returns to Africa BIG TIME

  • This is how the United States will counter China in Africa
  • AND ensure that America will reigned supreme into the next millennium

Watch speech by President Biden

https://www.youtube.com/watch?v=zACN35LNO5Q
  • that is one incoherent incomprehensible mumbo jumbo

The Most Convincing Time Traveler Story

He ended up in a mental hospital…

Israel Just Smashed Themselves . . .

Merkava Tank Israel large
Merkava Tank Israel large

Israel confirms it will give Ukraine 200 Merkerva Tanks.

Stabbed Russia right in the back.

7 Mind Bending TRUE Time Travel

When I was a kid, we rented a house in the country. We had access to wonderful locally produced food. Our landlord had two Guernsey cows as well as chickens. We bought from him amazing whole milk with a very high butterfat content. The milk was not homogenized. The eggs were from free range hens. My mother grew vegetables and berries in a large garden. It was apple country so apples were very reasonable. My aunt canned vegetables and pears from her garden and kept a few hens. The meals I ate could not be duplicated since many of the heirloom fruits and vegetables are no longer available. The number of free range Guernsey cows is quite low in the US (which has mostly Holsteins). Modern homes tend to treat hard water but we drank the pure well water.

Many of the meals my mother cooked could not be duplicated but I will use the example of breakfast. I drank a large glass of whole milk (from a cow I was fond of). The toast was made from my mother’s home made bread. The bread was made using unbleached flour and water from the well which was in a limestone aquifer. The butter was locally made. The jam was jarred by my aunt. The eggs came the from chickens we saw every day behind our house. My mother squeezed the orange juice herself. We did not have bacon often but when we did it was locally sourced. We even had country style entertainment at breakfast – just before we ate, my mother would feed the wild birds at the stone porch ledge outside our kitchen window. We always had a wide variety of birds to watch while we ate.

We ate high calorie meals but we were very active. The local farmers lived to ripe old ages and could heft big feed bags even when elderly.

I was very fond of foraging in my mother’s garden and ate a lot of vegetables right in the garden. I would go into the fields and eat sun warmed berries. We gathered our own beechnuts and hazelnuts.

My mother and aunt were both excellent cooks who rarely bought premade food.

UH OH! BANKS IN AUSTRALIA ANNOUNCE WITHDRAWAL LIMITS

.

From August 20th 2023 Westpac Bank Australia customers will be prohibited from withdrawing more than $1000 from their accounts per day.

Other Banks will follow.

Access to your own cash . . . . SEVERELY LIMITED.

Still think Banking troubles are about a computer Virus?

Then, there’s THIS:

One needs only wonder why, if Australian Banks are owned mostly by U.S. financial firms, the people of Australia will be limited to their own money?   Since it is U.S. Firms that __seem__ to be affecting withdrawal limits in Australia, then wouldn’t it be logical to believe they might try the same thing here in the US or over in Europe?

Forewarned is forearmed.  Act accordingly.

Australia Approves Mandatory (Bill Gates) mRNA Vaccines for ALL Agriculture

Australia has announced plans to inject Bill Gates’ mRNA vaccines into all livestock destined for people’s dinner plates.

According to reports, the Australian government plans to make the vaccine rollout mandatory for all animals, regardless of whether cattle farmers agree or not.

On May 2, 2023, Meat and Livestock Australia (MLA) announced funding for a project to ‘test mRNA vaccines that can be rapidly mass-produced in Australia in the event of a lumpy skin disease or other exotic disease outbreak’.

The Manager for Animal Wellbeing, released a statement declaring:

‘This project will develop a mRNA vaccine pipeline initially for LSD, but potentially for other emergency diseases. This will enable capacity for rapid mass production of a vaccine for LSD in the event of an outbreak. No LSD vaccines are registered for use in Australia yet. While some vaccines exist overseas, the path to registration in Australia for traditionally-produced [vaccines] is longer than that of an mRNA vaccine.’

Spectator.com.au reports: Why are traditional vaccines, which have safety records that outstrip mRNA vaccines, subject to longer approval periods than mRNA vaccines? That sounds like a significant structural failure within Australia’s health body that, instead of being fixed, has the potential to be exploited by manufacturers looking to cash in on mRNA.

mRNA vaccines are quick to produce and ‘nimble’, which is why pharmaceutical companies like them – but that doesn’t mean that they are safe, effective, or suitable for consumers whether those are humans or livestock.

A 2022 article in PubMed Central notes: ‘Recently, the successful application of mRNA vaccines against Covid has further validated the platform and opened the floodgates to mRNA vaccine’s potential in infectious disease prevention, especially in the veterinary field.’

Do you feel mRNA has been ‘validated’ over the last three years?

No doubt this is why we keep hearing bleatings of ‘emergency’ and ‘outbreak’ in the same breath as mRNA, as if to remind us of the mantra used during the Covid era to embark on what the former Health Minister referred to as the ‘largest clinical trial – the largest global vaccine trial ever’. Look how that turned out.

The fall-out of Covid mRNA vaccines is likely to continue for the best part of a century as a percentage of vaccinated individuals ‘die suddenly’ or suffer from long-term debilitating illnesses. These are quickly becoming a burden for the health industry and state finances after vaccine manufacturers hand-waved responsibility because it was an ‘emergency’. Most nations are setting up compensation pools of cash to cope with the growing list of individuals who claim to have been harmed.

Another excuse used to feather the nest of mRNA vaccines is that they are thought to provide the solution for influenza-style viruses which traditional vaccines have proven ineffective against. Everyone wants to see an effective vaccine against respiratory viruses, but it’s almost as if the doe-eyed vaccine industry has put on a blindfold for the last three years. mRNA Covid vaccines did next to nothing to combat or control the influenza-style Covid and do not, based on what we have seen, offer any advantage to traditional vaccines for this problem beyond the feel-good marketing headlines. There is a strong argument that for the majority of the population, they did more harm than good.

Instead of suspending all mRNA vaccines until we understand what went wrong, they are being given priority treatment by regulators and championed by manufacturers who love the competitive edge of speed their production offers. Governments, particularly the (broke?) Victorian state government, are funneling tens of millions into mRNA development to keep capitaliZing on the political popularity they enjoyed during the Covid era.

MLA note that mRNA vaccines should be ready for use within two years and while everyone is busy stressing that this will be a ‘voluntary’ option for the farming community, vaccines inside the agricultural industry rarely are if a producer wishes to sell their product into domestic and international markets. If we go down the mRNA vaccine production line, it is extremely likely that Australians will be eating mRNA-vaccinated livestock within a couple of years with very little understanding of what this will mean health-wise.

Anyone who criticiZes mRNA vaccines or their potential future within the agricultural industry are paraded through the press as ‘conspiracy theorists’ with publications quick to send out the fact-checkers to insist that it’s pure fear-mongering to suggest fragments of these vaccines will end up in the food chain.

Except, it was a ‘conspiracy theory’ to suggest that the human body would continue making Covid mRNA vaccines long after the injection, or to raise concerns that it would leave the site of the injection. Not only did the fears described as ‘conspiracy’ prove to be true, the behavior and side effects of Covid mRNA vaccines are reaching well beyond what anyone predicted.

How sure are we that in the rush to saturate the market with mRNA vaccines, that proper long-term testing will be conducted, particularly when it comes to lingering in meat and milk? Will it impact high-risk activities such as calving, given there is a strong suspicion that Covid vaccines are responsible for a spike in human miscarriages?

Keep in mind that we are still being told Covid vaccines are ‘safe and effective’. The Australian government, sitting on a pile of unwanted vaccines, is spending public money on marketing campaigns, encouraging Australians to go and get their booster shots at the same time other countries have removed Covid vaccines.

At least some States in the US are taking note, rushing to pass legislation to ban the use of mRNA vaccines for animals involved in the food industry whose meat or milk is produced for human consumption. Idaho is one example where it will be a misdemeanor to use mRNA vaccines – and that includes the Covid vaccines.

Australians need to be aware that mRNA vaccines are coming for the agricultural industry and they will likely be compulsory. America is having a serious conversation about whether this should be allowed, and Australia needs to do the same thing. It is perfectly reasonable to require extensive long-term safety data before we revolutioniZe agriculture.

This conversation will not happen on its own. Australia’s agricultural elite resemble a body of yes-men nodding furiously toward mRNA. Family farmers – disempowered, constrained, and demoraliZed – have no voice in this matter. Their wishes will be bulldozed by a small collection of billion-dollar farming entities, several of which are foreign-owned.

If Australians care about what they eat, it’s time to start making a racket.  As for those of us in American and in Europe, it might be wise to STOP BUYING ALL AUSTRALIAN AGRICULTURAL PRODUCTS/MEATS, IMMEDIATELY.

Southern Peach Ice Cream

southern peach ice cream
southern peach ice cream

Ingredients

  • 4 cups peeled, diced fresh peaches (about 8 small ripe peaches)
  • 1 cup granulated sugar
  • 1 (12 ounce) can evaporated milk
  • 1 (3.75 ounce) box vanilla instant pudding mix
  • 1 (14 ounce) can sweetened condensed milk
  • 4 cups Half-and-Half

Instructions

  1. Combine peaches and sugar; let stand 1 hour.
  2. Process peach mixture in a food processor until smooth, stopping to scrape down sides.
  3. Stir together evaporated milk and pudding mix in a large bowl; stir in peach puree, sweetened condensed milk and Half-and-Half.
  4. Pour mixture into freezer container of a 4 quart hand-turned or electric freezer; freeze according to manufacturer’s instructions.
  5. Spoon into an airtight container, and freeze until firm.

Let us compare their focus, shall we?

Qin: USA knows well who causes the difficult relationship between China & USA. Taiwan is China’s core among all core interests.

Blinken: USA & China must meet. (No main points)

Western media kept telling us that Blinken is going to China. Even has a date June 18.

But China maintains not to talk just for the sake of talking when USA never follows thru what it “promised” in meetings. It is a waste of time to talk empty.

This time US media said Blinken may meet 3 Chinese officials eg Qin Gang, Wang Yi & possibly Xi Jinping. (wow, Xi Jinping??? Right away we know it is exaggeration)

Like the Chinese “spy” balloon sage at the last minute of Blinken’s Chinese trip to China, this time there is suddenly a Chinese spy base in Cuba. Looks like USA is, again, preparing to say Blinken cancels the Chinese trip because of a spy base in Cuba.

Really, USA should learn that giving China pressure by fabricating a story wont get China to submit & meet US officials.

Treat China as a equal partner to USA. No more suppression. No more sanctions. No more LIES.

Today’s China is strong enough not to endure US “supremacy” any more.

Former NATO Chief Admits “We Decided Back in 2008, Ukraine WILL Become Member of NATO”

World Hal Turner 15 June 2023 Hits: 7447

Former NATO Chief Admits “We Decided Back in 2008, Ukraine WILL Become Member of NATO”

2023 06 16 14 55
2023 06 16 14 55

Folks who think the Russia-Ukraine conflict began on February 24, 2022 may be surprised to learn it’s been brewing since 2008. Former NATO Chief Anders Fogh Rasmussen admits in video below “We decided back in 2008, Ukraine WILL become a member of NATO.”

That decision caused today’s troubles.

In the video below, released by “Alliance of Democracies” which was founded by the former NATO Chief, Rasmussen speaks about the present Russia-Ukraine conflict and how NATO is trying to find a way to admit Ukraine even though it is presently at conflict with Russia!

Rasmussen points to the possibility that the US and NATO might give certain “Security Guarantees” to Ukraine BEFORE it is admitted to NATO. The Interviewer asks Rasmussen if such Security Guarantees might be worded similar to those between the US and Israel, and Rasmussen makes a STUNNING admission:

We don’t have to use that wording we can use the wording from 2008. “We decided in 2008 Ukraine WILL be admitted to NATO.”

At approximately 1m 57s into the video below, he makes that statement. Watch for yourself:

This is a stunning fact. This was not previously publicized, anywhere.

What this means is that the present troubles between Russia-Ukraine/NATO/US all began with that decision back in the year 2008.

From that decision, the US/NATO and the collective West, did what they thought necessary to lay the foundations TO DO EXACTLY WHAT THEY PROMISED RUSSIA THEY WOULD NOT DO.

Back in 1991, then US Secretary of State, James Baker, met with then-Soviet General Secretary Mikhail Gorbachev and his then Soviet Foreign Minister, Eduard Shevrednadze in the Kremlin. It is noted in official US and UK documents that Baker, on orders from then US President George H.W. Bush, told Gobachev and Shevrednadze that if the then Soviet Union agreed to the re-unification of East and West Germany, “NATO will not move beyond the Elbe (River)” in East Germany.

Here is a snippet of the UK document, from the UK National Archive, outlining the facts:

ScreenShot Minutes Elba Meeting NATO Wont MoveEastOfElba
ScreenShot Minutes Elba Meeting NATO Wont MoveEastOfElba

So NATO knew in 2008, that back in 1991, the US, UK, France, and Germany promised the then Soviet Union that NATO would **NOT** be expanded east of Germany and now we see above, straight from the horses mouth, that the very Chief of NATO back in 2008 agreed to admit Ukraine. He knew they were not supposed to do that, but they did it anyway.

The US, UK, France and Germany explicitly promised not to do that, and NATO went ahead and did it anyway starting in 2008.

That leads us to who was in charge back in 2008. In the US, George W. Bush (the son) was President.

Michael Hayden, a retired United States Air Force four-star general and former Director of the National Security Agency, Principal Deputy Director of National Intelligence, was Director of the Central Intelligence Agency.

Robert Gates, an American intelligence analyst and university president served as the twenty-second United States Secretary of Defense from 2006 to 2011.

Those are the men who needed to green-light such a move by NATO and, clearly, from Rasumussen’s admission in the video above, they must have actually done so.

Here we are, 15 or so years later, we are all on the verge of Nuclear World War 3 because of the decisions these men took in 2008.

Now, on several of my radio shows, I have wondered aloud if the people in our government are psychopaths based on the things they are doing which ARE leading us all into another World War?

The video above gives all of us a look into whether or not they actually ARE psychopaths.

When the Interviewer in the video above, asks Rasmussen “What will the Russians think about that (Giving security guarantees to Ukraine before it is admitted to NATO) Rasmussen replies “I don’t care.”

. . . and there . . . . right there . . . you now see the answer to whether or not these people are psychopaths.

We are facing nuclear World War 3 because of what men like Rasmussen did – and are still doing – and his response to the Interviewer is simply “I don’t care.”

With people like this, doing what’s being done, you and I seem to have no hope at all of avoiding nuclear world war 3.

Prepare as best you can with Emergency Food, Water, Medicine, a generator for electric, fuel for that generator, communications gear (CB/HAM Radio) and get right with God.

This Cat Table Gives Your Feline a Seat in the Table

0 43
0 43

Japanese online retailer Dinos has released a new line of cat furniture and part of their line-up includes this all-natural oak wood table.

With a perch underneath and a hole in the middle, it gives your feline friend a seat, right in the middle of the table. Expertly crafted with high-quality wood, the fashionable table is beautiful both with or without your kitty.

More: Dinos h/t: spoon&tamago

dinos cat table 7
dinos cat table 7

dinos cat table 4
dinos cat table 4

dinos cat table 3
dinos cat table 3

dinos cat table 2
dinos cat table 2

dinos cat table 1
dinos cat table 1

1 45
1 45

The Middle East navy alliance is formed by 8 countries: Iran, Saudi Arabia, Oman, Bahrain, Iraq, Pakistan & India. The job is to protect the waters in the gulf. Instead of asking USA for protection.

Iran navy spokesman said: we cannot find a legal reason to justify foreign (USA) navy to exist in Middle East. (I add) USA has no land, sea or air in Middle East. USA cannot “protect” gulf waters without invitation by ME. Middle East belongs to the peoples in Middle East.

Western media said UAE was not happy that US-led navy did not protect UAE well. Once a UAE oil tanker was said to have been detained, by Iran, western media said.

Then how to explain that UAE joins the Middle East navy alliance where Iran is in it?

The truth: after the Middle East reconciliation, ME countries compared notes. They found Iran did not detain UAE’s oil tanker. Who took UAE’s tanker? USA?

USA is like a gossiper who breaks up the friendship between people.

USA used threats to make others submit to USA.

ME was bullied by UK+USA. ME had no choice before. With the rise of China, & the help of Russia, ME rebels against USA. Simple.

In June 2023, Palestine representative visited China. Hope there is Palestine-Israel reconciliation in future. Israel+USA has turned Gaza into a hell. Violating lots of human rights. It breaks my heart.

US FedGov and NATO HQ Claims Under “Cyber-Attack” – Pro-Ukraine Group Promises to Blow-Up Kerch Strait Bridge within 36 Hours

Several Agencies of the United States Government are saying publicly this hour (3:11 PM EDT) they are under “Cyber Attack.”   NATO Headquarters in Brussels is also claiming to be under “Cyber-Attack” Meanwhile, pro-Ukraine groups are publicly promising to “Blow-Up” the Kerch Strait Bridge to harm Russia.

These are developing stories, check back.

UPDATE 4:04 PM EDT —

I was reminded of an unusual posting on Twitter from the Atlantic Council just ONE WEEK AGO, making clear a “Cyber Attack can trigger Article 5 collective Defense” as seen in the brief video below:

Interesting just one WEEK after the Atlantic Council reminds the world of this fact, lo and behold, there’s a cyber attack against NATO.

Gee, how convenient.

Maybe we should start a countdown or take bets to see how long it may be until they blame . . . . hmmmmmm . . . . who might they want to blame . . . . .

oh yes, RUSSIA!

This is so obvious it’s disgusting.

These people are treating the possibility of World War 3 as if it’s a game.

UPDATE 4:15 PM EDT —

Some people seem to be getting VERY carried away, but I am reporting this to you so you can see what’s already being spoken about on Social Media — it’s NOT good:

THIS IS SO OVER-THE-TOP I CANNOT BELIEVE ANY RATIONAL ENTITY WOULD HAVE ACTUALLY PUBLISHED SUCH A THING.  YET, HERE IT IS.

To be more objective, rational, not all “Americans,” just a small group of them, especially the majority of those so-called “US elites” — including those in the political, military, financial, and media circles.

Not the vast in the trade and business circle — them with wide, deep and interwoven interests with China.

Not the vast in the education circle — basically they prefer more students from China, anyway, they equal to money to certain extent; also, most in the education circle still have some brain.

Not the vast of the ordinary Americans — they either would rather care less about anything China, or they personally have no those distaste towards Chinese government or the Chinese people.

Those Americans who really call the Chinese Communist government evil never really care about the human rights, wellbeings of other people, not even those citizens in their own country.

They only care about their own interests.

So, whoever “threatens” their already-possessed-interests, whoever blocks their way and becomes barriers for them to get more interests, is “evil.”

So, Chinese government has lifted some 800 million Chinese people out of extreme poverty in the past several decades? What’t their business?

But with more than a billion Chinese people uplifting their livelihoods, that means they need to consume more resources on the Earth. That would be “a miserable time” for “Australians and Americans,” as once said by Barack Obama.

Obama praises ‘smart but humble’ Rudd

So, isn’t the Chinese Communist government evil, by improving the over one billion Chinese people’s living standard? For us, it’s the realization of our China Dream, but for them, seems more like a nightmare.

China follows the political system of one-party-rule, with the other eight democratic parties providing consulations to its governance.

— How evil it is!

Because there leaves very very slim to no room for staging color revolutions in the country, especially on the Chinese mainland, where no “opposition party(ies)” for the US to infiltrate, and then to enstigate wide-spread anti-government protests, and hence be used as a weapon to shoot down the ruling administration!

But they never express it this way, they brand China as dictatorship, no election, no democracy! They are more than eager to “liberate” us Chinese people from the “tyranny,” and to force democracy onto us, even facts have spoken so loudly we are living a far better life nowadays without their “democracy.”

China “controls” its mass media, publications and also today’s online platforms.

— How evil it is!

Because there leaves very very slim to no room for the Western Mainstream Media to infiltrate into the mass media outlets, especially the state-owned media outlets here in China, but they still have achieved certain success, with spies infiltrated into even the highest level of state-owned media outlets. But on general, they can not control and manipulate the voices which should represent the Chinese people’s interests, as their louder speakers to demonize China, the Chinese government, the ruling Chinese Communist Party, the Chinese leadership as a whole and also as individuals — though they have been doing, are doing, and will continue to do all these outside China.

But anyway, the bulwalks are usually broken from within, no matter how strong they are. Now, with the “controlling” over the voices inside China by the Chinese government, we speak the same language, share the same vision, act in a unified manner, to build our country, our society, a better one, so the bulwark is strong enough as it should be. No possibility of being cracked by them into “a heap of loose sand,” so as to avoid being crushed one by one, with their philosophy of “divide and rule” — whether on regional powers or within a country with different parties/tribes/interest groups, etc.

But they never express it this way, they blame China for cencorship, they instigate that Chinese people are lack of freedom, they call Chinese living in an “Orwellian state”! But they would never shed even a drop of tear for the Chinese people once we live in a “free” world — like the then Russia immediate after the collapse of the Soviet Union, like the miserable Iraqis of today who are impacted by the US invasion, in the name of liberating the Iraqis and bringing democracy to the people there under the “dictator” Saddam Hussein……

China has most of its state assets “gripped” in the hands of the government, with a public system of — medical care, education, infrastructure, etc., or with its massive state-owned enterprises.

— How evil it is!

Because there leaves very very slim to no room for the Western capital to suck the Chinese blood like the leeches, or to even plough through the Chinese economic system and have a full control of the Chinese assets for their own interests, like what they have been parasiting on, exploiting and devouring many economies around the world.

But they never express it this way, they brand Chinese economy as “state-capitalism,” claiming Chinese economy not a free market economy, even though, it is the US who have listed more than one thousand Chinese companies, entities and individuals on its sanction list, while China is opening its arms as wide as it can, and welcoming CEOs and companies across the world to do business in and with China.

There are many other similar logic and similar cases.

In my opinion, whether a government is “evil” or not, we don’t necessarily need to listen to what the outsiders are trumpeting, we can see with our own eyes, hear with our own ears, feel with our own hearts, think with our own minds, experience with our own lives, whether the government has been serving the interests of the majority of its people, whether it has been delivering rather than shouting empty slogans and making empty promises, to its own citizens, as well as to the other peoples around the world.

As for what political system, what economic mode, what developmental road, etc., it takes, are all just tools serving the above goals and purposes.

We are living in a big enough world to accomodate various political systems, different economic modes and practical developmental roads.

And this, I believe, should be one of the key factors of a real democratic world.

Let me preface my answer by first stating that I always leave an appropriate tip whenever I go to a restaurant and have a sit down meal that is served by a waitstaff. In the above case, however, this is going to be an unpopular and controversial answer but I stand by it.

My answer is “Absolutely not.” This is another example of “All participants get a trophy.” They are simply putting your items in a bag, ringing up the order and collecting your money. The same thing that happens in ANY retail store. Do you tip the Walmart cashier or the grocery store cashier? Of course not! This tipping nonsense for retail counter sales has really gone way too far. In fact, many times the “tip” section appears on your receipt is simply that the programmed computer simply does not differentiate as to how the food was purchased!

You have not been seated, no one brings your food to the table, no one cleans up after you. You bought an item at a retail counter and paid for it. If you were eating there and being served at your table (or counter) by a waitstaff it’s a different story.

That being said no one can tell you not to tip if you feel so inclined but do not feel obligated to do so.

Unexplained Mysteries That NEED Some Serious Explaining

More fun!

President of Czech Republic Calls for Russians in Europe to be put in Concentration Camps

President Petr Pavel of the Czech Republic has gone on television and said Russian citizens throughout Europe should be rounded up and put in camps, as was done to the Japanese in the USA during WW2, because their country wages aggressive war!

How NAZI-esque of him!  Just like Hitler did with the Jews!

Here’s the video:

If the little NAZI Gestapo leaders of Europe keep this up, the only thing it’s likely to do is to get their country steam-rolled by the Russian army like Ukraine.   Except most of their countries are far smaller and with smaller military than Ukraine.

What are they going to do, call NATO?   That’s a laugh.  NATO is already running out of artillery shells from supplying Ukraine.

I’ve got to tell you, folks, this is getting scary; the willingness of these Politicians to do whatever it takes to actually START a World War, is terrifying to me.

When this is all over, I suspect the world is going to need Tribunals to put these politicians in front of, for fomenting a Third World War.

If such Tribunals are lawfully constituted and convened, these politicians from NATO countries should be tried, and if found guilty, the Tribunal should order them hung by their necks until they are dead.

De-dollarization: Bangladesh moves to settle $12bn Russian loan in Chinese yuan

Bangladesh has approved a payment of $318 million to a Russian nuclear power developer using the Chinese yuan, according to a Bangladeshi official, offering the latest instance of countries bypassing the U.S. dollar and using the Chinese currency to conduct international payments.

The decision to use the yuan was made at a meeting in the Bangladeshi Finance Ministry’s economic relations division on Thursday, Uttam Kumar Karmaker, who heads the ministry’s European affairs wing, told The Washington Post.

The decision resolves a payments deadlock between Bangladesh and Russia that has lasted for more than a year. The South Asian country has been unable to pay Russia for the power plant using dollars after Russia was banned from accessing the Society for Worldwide Interbank Financial Telecommunications (SWIFT) international money transfer system last year because of sanctions over President Vladimir Putin’s invasion of Ukraine.

The transaction, a payment for a $12 billion loan Bangladesh obtained from Russia to develop a nuclear power plant in Rooppur, will now be completed instead using yuan via the Cross-border Interbank Payment System (CIPS), developed by the Chinese in 2015 to combat the dominance of the dollar in international trade.

A representative for Rosatom State Atomic Energy Corp., the Russian contractor in charge of building the Rooppur nuclear power plant, confirmed the plan to use yuan for the loan repayment on the condition of anonymity because of the sensitivity of the subject.

The Chinese online news outlet Sina reported Monday that a Bangladeshi official said that paying for the plant in yuan would be the most feasible option.

The majority of cross-border trade is denominated in dollars and flows through the U.S. banking system, which gives the United States the unique ability to impose sanctions on and freeze the assets of rival governments, such as Russia, Iran and Taliban-led Afghanistan. But critics of the sanctions accuse the U.S. government of “weaponizing” the greenback and undermining its global status.

The Bangladesh deal comes after several other countries signaled recently that they would opt for yuan payments to circumvent the need to use dollars. In March, Brazil said it would abandon the dollar for trade with China, a development that Chinese officials and state media celebrated as a step in the world’s gradual “de-dollarization” and the eventual collapse of American hegemony.

Putin: There Will No Longer BE a “Ukraine” – NATO Direct Intervention Will Not Change Outcome

Russian President Vladimir Putin made remarks in a TV interview Wednesday that make clear: There will be no negotiated settlement of Ukraine conflict,  there will no longer BE a Ukraine, NATO intervention cannot change the outcome.

Explaining to a TV interviewer why Russia entered Ukraine, he pointed to Luhansk and Donetsk which were being pounded by Kiev Artillery and Mortar fire because those two Oblasts (states) wanted to secede from Ukraine like Crimea did.  He told the Interviewer “We were forced to try to end the war that the West started in 2014 by force of arms.”

President Putin then went on with remarks that are utterly staggering.  He said:

And Russia will end this war by force of arms, freeing the entire territory of the former Ukraine from the United States and Ukrainian Nazis.

There are no other options.

The Ukrainian army of the US and NATO will be defeated, no matter what new types of weapons it receives from the West.

The more weapons there are, the fewer Ukrainians and what used to be Ukraine will remain.

Direct intervention by NATO’s European armies will not change the outcome.

But in this case, the fire of war will engulf the whole of Europe.

It looks like the US is ready for that too.”

When Putin said “And Russia will end this war by force of arms . . . freeing the entire territory of the former Ukraine . . . there are no other options . . .”  That makes clear there will be no negotiations.  This conflict will end with the complete military defeat of Ukraine.    But within that statement, Putin also mentioned “freeing the entire territory of the FORMER Ukraine . . .”

Whoa.  The “former Ukraine.”   Such words can only mean one thing: There will not BE a Ukraine anymore!

What other meaning can the words “the former Ukraine” mean?

Lest there be any question about there being “no Ukraine” anymore, in his very next sentence, President Putin made that explicitly clear.  He went on to say “The more weapons there are, the fewer Ukrainians, and what USED TO BE UKRAINE will remain”

WHAM!   “. . . and what USED TO BE UKRAINE . . . . ”   There it is again.   No more Ukraine.  It won’t exist anymore!

He then got directly in the face of NATO by saying “The Ukrainian army of the US and NATO will be defeated, no matter what new types of weapons it receives from the West.”

Clearly, President Putin is signaling to the West that no matter what weaponry the West sends to Ukraine, Russia will win.  Period. Full stop.  So what does THAT tell you about the weaponry Russia is willing to use?  Most rational people will understand it means whatever weaponry NEEDS to be used, i.e. nuclear.

But President Putin’s next words were most staggering.  He went on to tell the Interviewer “Direct intervention by NATO’s European armies will not change the outcome.”  What does THAT tell you?    It tells most thinking people that Russia already KNOWS that NATO is going to intervene directly and that Russia is already prepared for such an eventuality.   Folks, this means we’re going to actual World War 3!

President Putin made that explicitly clear when he also immediately added ” But in this case, the fire of war will engulf the whole of Europe.”   

There it is.  Plain as day.  “The fire of war will engulf the whole of Europe.”   World war.   

Now, you’re probably wondering to yourself, “Why am I not hearing about this from the Mass Media?”   Simple: The mass media doesn’t WANT you to know.  They want you blissful and ignorant.  So when all  this DOES happen, you’ll be frightened and looking (to them) for answers.

And what will they tell you?   Whatever propaganda the people who started this want told.

The folks in our U.S. Government are literally starting World War 3, it will likely go nuclear, and they want YOU kept fat, dumb, and happy.

Are you going to accommodate them, or are you going to step up and raise hell to maybe try to avoid what’s coming?

Whatever you choose, bear this in mind:  Yesterday, the Ukraine legislature approved Child Military Training camps.  Among the instructors are already experienced 16-year-olds who train 12-year-old recruits to fight.

Ukraine is now drafting and training 12 year old boys to fight for them.

What kind of monsters are these Ukrainian government people?   Maybe it is better if Ukraine does NOT exist anymore.

If you are talking about the type of Chinese restaurants that cater primarily to the Chinese…

You need to bring a Chinese friend with you.

Often times, specials will be hand-written on a piece of paper and taped to the wall. The problem (for non-Chinese-speaking patrons) is that it is written in only Chinese.

I find that the more authentic Chinese restaurants make little effort to cater to their English-only speaking patrons. Why? Maybe that sector represents an insignificant part of their business. Maybe they are not proficient in translating and writing the English equivalent. It’s hard to say, for sure.

I’ll ask my girlfriend, “Hey, what does that sign say?”

Her: “Lobster Special: $9.99/lb.”
Me: “Hmmm. I don’t see that anywhere on the menu. Is it only available to Chinese?”
Her: “No comment.”

Lots of reasons for it.

I would like to share one of my perspectives, in a very simple way.

Previously, most people believed the US is the heaven on the Earth.

Everything related with the US was glorified.

Until former US Secretary of State Mike Pompeo said: “I was the CIA director. We lied, we cheated, we stole. We had entire training courses. It reminds you of the glory of the American experiment.”

People became sceptical, but still thought highly of the US, anyway, he talked just about intelligence, which is, somehow far away from our daily life, especially from our money bags.

But, with the Russia-Ukraine war, Russia, as one of the five permanent members of the UN Security Council, the second strongest military force with the most nuclear warheads in our world, also an ecomomic power (entered the top ten economies in the world for GDP in 2022 according to IMF, despite the war), its national assets, frozen or even threatened to be confiscated by US, as well as some of its allies; Russian citizens’ (mainly tycoons’) properties, frozen or even threatened to be confiscated, some actually being stolen; and Russia was kicked out of the SWIFT; Russia is now on the top of list with the most sanctioned entities, individuals in our human history, none of them can actually have any deal with US dollars.

Since now the US can “steal” money from Russia, actually, earlier, Afghanistan, and ongoing from Syria (oil and wheat, etc.)……who can guarantee it would not be the next one to be stolen?

And the best way to avoid such possibility is to turn away from US dollar, to reduce both the reliance and the holding rate of the US dollar. The less one has, the less could be “stolen” even if the day came.

US Dollar is a weapon, but double-edged, while it indeed hurt others, it can not spare the US itself as well.

There is an internet slang here in China, 不作死就不会死 (No Zuo, No Die), meaning, “You won’t die if you do not seek death. / You will not get into trouble if you do not seek trouble.”

A sinking Titanic is then its doomed fate.

Sincerely wish the US would learn from this lesson, and take a second and even a third thought before taking any actions against other countries now.

ALL would be boomeranged, just sooner or later.

Exactly the same dishes.
Exactly the same menu.
Exactly the same cooks.
Exactly the same waiting staff.
Exactly the same chairs.
Exactly the same tables.
Exactly the same decor.
Exactly the same tea pots and tea cups too.
Exactly the same kid at the cash register who helps out his parents during the busy periods, and who, during the lulls, sits at exactly the same empty table doing exactly the same school homework.

So far, scientists have been unable to figure out how Chinese restaurants manage this incredible feat.
Some have posited that they all exist in a bubble universe, frozen at the same chronological point.

Explosion in Odessa so powerful, Registered as Earthquake

Last night before Midnight eastern US time, an explosion took place in Odessa, Ukraine which was so large, it registered on earthquake seismographs in places as far away as Armenia!

Here is a seismograph image from a station in Kiev:

FyoGm13aQAE e8Y
FyoGm13aQAE e8Y

Whatever exploded took out all webcams and all internet connectivity in Odessa.

Below, another image from another earthquake sensor, this one located in Armenia.  Same Odessa Explosion(s):

FyoaV1SagAA4Tnv
FyoaV1SagAA4Tnv

No, China is not a perfect country. There are no perfect countries. However, after reading so many negative comments about China on Quora and elsewhere, I feel the need to defend it. Most of the people I see criticizing China have never been there and are simply repeating racist, anti-Communist, or xenophobic lies. The truth, as always, is far more complicated and nuanced. I am an American, and I have found that, at least in the US, most people are not interested in the truth. Especially with covid, I am tired of hearing about the “China virus” or the “CCP”. I am sick of trying to discuss the reality of the situation, only to be called a “commie” or told to go back to China.

China is an amazing country. In many areas it puts the US to shame. However, half of America sees it as some comic book villain. I have watched my own country descend into fascism while claiming China is the bad guy. Even worse is the fact that my fellow Americans lap this up. I disagree with many things China does, but their government actually cares about their citizens. I can’t say that about my own government. If we ever get to the point where we can have an intelligent and fair discussion about China, then I can talk about both the negatives and the positives.

Southern Fried Chocolate Pies

southern fried choc pies
southern fried choc pies

Ingredients

  • 2 cups all-purpose flour
  • 1 teaspoon salt
  • 1/2 cup vegetable shortening
  • 1/3 cup cold water
  • 3/4 cup granulated sugar
  • 1/2 cup unsweetened cocoa powder
  • 1/2 cup (1 stick) cold margarine
  • Vegetable oil*

* Use peanut or safflower oil or solid vegetable shortening for frying.

Instructions

  1. Crust: sift flour and salt together; cut in the shortening with a pastry blender or 2 knifes, until mixture resembles coarse cornmeal.
  2. Add ice water a little at a time while tossing with a fork, until dough holds together. Do not get too moist.
  3. Roll out dough to 1/8 inch thick. Cut into circles about five inches in diameter.
  4. Mix cocoa powder with the sugar. Place 2 to 3 tablespoons of this mixture onto one half of the circle and place 3 very thin slices of cold margarine on top. Fold opposite side over mixture and seal with a fork dipped in flour.
  5. Pour oil to a depth of about 1/2 inch in a cast iron skillet. Heat over medium-high heat until very hot.
  6. Place pies in a single layer in oil and fry, turning to brown each side.
  7. Serve hot, warm, or cold.

This is just my personal impression. Tastes in food are different for everyone.

American Chinese food has more exaggerated flavors at the expense of subtlety and diversity. There’s a long running joke about American Chinese food that it’s basically “sweet and sour everything”. American Chinese food is so salty (soy sauce) and so sweet to the point that these are the ONLY flavors you taste. There’s no subtlety, no layer of different flavors hitting your taste buds. And everything is over cooked. As a result, no matter what you order, you end up tasting the same mouthful of mushy sweet and salty whatever.

Cantonese food (粤菜) was the first school of cuisine to enter the US with the initial immigrants from south-east coastal regions. The food they brough over was not even the most high end Cantonese food, but rather street peasant food. Hearty, heavy flavored dishes that are filling, easy to prepare, and go well with rice. So for a lot of Americans, Chinese food is Dim Sum, small dishes coming with bamboo steamer containers. And most of them are salty and sweet tasting that, on top of that, you have your over salted fried noodles and fried rice.

And more recently, some more “sophisticated” diners had been introduced Sichuan food, which is super spicy.

Chinese food is so much more beyond Sweet and sour everything, Dim Sim in steamers and Spicy chicken. But Americans wouldn’t have it.

I’ve talked about my story about taking 3 days to make soup for my ex-boyfriend, who had dumped soy sauce in it before he even taste it. (see: Feifei Wang’s answer to What horrifies the Chinese?) At one point, I had the suspicion that American’s taste buds are numbed by all these high flavored food. I mean think about it, all your favorite American foods, fried chicken, pizza, BBQ ribs, Hamburger, Fries… all of them are high sodium, high sugar, high fat, high everything foods. It’s like you started with a 10 and had to bring it to a 15 to be happy.

I had once dined with a Japanese friend, who complained about how Americans add too much wasabi when eating sushi or sashimi. “You’re only supposed to add a little for flavor, it’s never supposed to be burning-your-eyes-out-hot”.

I get the feeling that other kind of food also get the same “bring it up to 20” treatment when they are forced to adapt to US tastes. I went to Italy in 2015, and their pizza tasted very different to American pizza, full of flavor and texture. I went to Cancun in 2016, and the taste was very different to American Mexican food.

I wish American food would have less sodium, sugar and fat, and more subtlety and diversity.

BEEEEEEEF!!!!!

I remember when my mom first taught me this as a kid. She told me that it was an “ancient Chinese secret” of some sort, that involves praying to the beef gods to make it all tender and that if I was good that day, it would be nice and tender when I sat down for dinner.

Little did I know that in order to turn the cheapest cuts of beef into this…

main qimg c80e840ff8cab91f9d43935e4c3fff88 lq
main qimg c80e840ff8cab91f9d43935e4c3fff88 lq

that it took this…

main qimg e91a0f8f95b07b631fadc3a4467ce2d0 lq
main qimg e91a0f8f95b07b631fadc3a4467ce2d0 lq

Corn Starch.

When the corn starch, ideally with a bit of soy or something along those lines, is mixed and coated over properly cut beef (I like to use flank steak personally), it breaks down the protein and makes it very tender and easy to cook.

You must have heard the term, “cut against the grain.” That’s the first important tip. When you’re cutting up the meat, take a look at how you’re cutting.

main qimg d409758fabdc87344fdd87a6295ac39b lq
main qimg d409758fabdc87344fdd87a6295ac39b lq

See how it’s done? When you do cut it properly, the meat is much more tender already as it’s not structurally reinforcing its own integrity. Here’s a cross section of what it should look like when cut right.

main qimg ded899c2be9d366de0983d8189d3bc4f lq
main qimg ded899c2be9d366de0983d8189d3bc4f lq

So when that’s done, just get a decent sized bowl, and mix a little soy sauce with the corn starch. Something like this…

main qimg d21537233d82005c01ed3f3f1fb598a1 lq
main qimg d21537233d82005c01ed3f3f1fb598a1 lq

Mix it up, and this a good time to get down and dirty. I see so many videos and tutorials that say use a spoon or whatever. Honestly, just wash your hands well, and mix it by hand. You’ll be able to get the feeling if the meat is thoroughly covered easily and it should feel a bit slimy to the touch.

Give it then a couple of minutes to let it break down the meat. Some cooks like to put it in the fridge for an hour, but I find that 10–15 minutes is also pretty good in a jiffy, especially if the meat was sliced thin.

After that, the meat is ready for the stir-fry, and you’re ready to start cooking!

Oh, and before I forget, this works well with even the cheapest pieces of meat. No need for using pricey rib or porterhouse steaks and prime rib. Flank steak will do quite nicely.

  • This does wonders for chicken breast and thinly sliced pork loin as well.
  • Several commentators have told me that what I called “mom’s ancient Chinese secret” is a method called “Velveting”. Thanks to all who told me about the right term!
  • Baking soda works, and thanks to all who have mentioned it. The only reason why I don’t use it and don’t talk about it is that if it’s not rinsed off properly, you get an incredibly unpleasant chemical taste.
  • For every single person that’s said “corn starch” doesn’t work, then it’s probably the combination of the starch, soy and the cut all in one, especially the soy with the high salt content and the cut, which I cover. For heaven’s sake, stop griping about it and actually TRY THIS METHOD. It actually WORKS.

Blinken’s imaginary journeys – here’s what should happen

LOL. A most entertaining event when you expose their silly games.

A tear in the fabric of our baseline reality

About a month ago, someone or something was really trying hard to reorder our baseline reality universe.

Seriously folks. There are people; someone, a collective activity, an entity… HUMAN… that is trying to alter the world Line MAIN template.

Amateurs.

Been busy stitching it back up. Sheech.

I know exactly what it’s all about. They don’t like how the human species is migrating to a Chinese led world of peace, order and control. They want the continuous cycles of purging destruction.

So, I don’t know if it is “old empire” ‘Bots.

Non-physical entities that have made nice “nests” for themselves in the chaotic cycles of destruction, or just practicing Magick at an amateur level.

It doesn’t matter.

It’s like trying to empty the ocean with a thimble.

Anyways, the mend it on. Wearing me out. But the fix is in.

But, why now? I have no clue.

Ok, guys be cool out there. Todays…

Never look at monthly payments. Negotiate on the final price.

This happens everywhere I go. Car dealership, “So what do you want your monthly payment to be?” Insurance agent, “Great so your down payment is $XX and your quarterly payments are $XX” etc..

Um, I want to know what the final out the door price would be?

“Well tell me what you can afford and I’ll see if we can make this work for you. So how much are you looking to pay a month?”

Why is this bad? Because you cannot compare apples to apples with just a monthly payment. Sure they can make your monthly payment super low and just extend your term to forever so they make more money. Or for insurance purposes you cannot compare that policy vs another one.

Also you should know the true cost of the items you are buying. Sure those $150 airpods at 5 bucks a month on your credit card is easy to afford. But once you factor in that 22% interest rate over how long it takes to pay it off were they REALLY worth the $180+ you just paid?

I feel like majority of uninformed consumers should be considering the true cost of items vs they I can afford that monthly payment mindset. No wonder people live paycheck to paycheck thinking they cannot afford to have an emergency savings because all of their money is going towards those monthly payments.

Wait emergency savings? I don’t need that, I have available credit on my credit cards!

The Sad Story of the Smartest Man Who Ever Lived

Numerous Russian Military Executive Jets Traveling from Moscow to Underground Bunker Area in Ural Mountains

2023 06 11 07 55
2023 06 11 07 55

As of 5:00 PM today, 31 May 2023, numerous Russian military executive jets (TU-134A) are traveling from Moscow to the huge government underground Bunker facility in the Ural Mountains near (or beneath) Mount Yamantau.

It is not known which government officials are on those flights or why they are heading to their underground Bunkers.

Moscow is seven hours AHEAD of U.S. east coast time, so as this story is written, it is about 1:00 in the morning over there.  A very odd time for such flights.

Further details if I get them, on tonight’s Hal Turner Radio Show airing at 9:00 PM eastern U.S. Time (GMT -0400).\

Tune-in on WBCQ 7490 or 6160 shortwave

or on

WRMI 5950 shortwave

or here on the net using this link:   http://stream.halturnerradioshow.com:8000/ then click “LISTEN” or press the Play Button on the small player to tune-in free.

NOTE: This link does not go active until about one hour before show time.  During that hour, it streams commercial-free music until the show begins.

Low Country Chicken Bog

2023 06 10 17 27
2023 06 10 17 27

Ingredients

  • 1 (3 pound) whole chicken
  • 6 cups water
  • 1 tablespoon salt
  • 1 onion, chopped
  • 3 1/2 cups chicken broth
  • 1 cup long-grain white rice
  • 1/2 pound smoked sausage of your choice, sliced
  • 1 teaspoon Old Bay seasoning
  • 2 cubes chicken bouillon

Instructions

  1. Place chicken, water, salt and onion in a large pot. Bring to a boil; cook until chicken is tender, about 1 hour.
  2. Remove chicken from pot and let cool. Remove skin and bones and chop remaining meat into bite-size pieces.
  3. Skim off fat from cooking liquid and measure 3 1/2 cups of this chicken broth into a 6 quart saucepan.
  4. Add rice, chicken pieces, sausage, herb seasoning and bouillon to the saucepan. Cover the saucepan. Let come to a boil, then reduce heat to low and cook for 30 minutes. If mixture is too watery or juicy, cook over medium low heat, uncovered, until it reaches the desired consistency. Stir often while cooking.

RUMORS from the Ground in Ukraine: “Tonight”

There’s a TON of RUMORS coming from the ground in Ukraine all saying “Tonight.”  It is believed these RUMORS are about the much-vaunted (but yet to happen) Ukraine Spring Counter-Offensive.   But these rumors hint at something new: “Inside Russia.”

We all know that the first casualty of war is truth.   It is entirely possible that this is a false RUMOR, perhaps even deliberately released in Ukraine as a Psy-Op against Russia; maybe to get their stress levels up.

But what’s coming out from people on the ground in several parts of Ukraine is all very consistent: “Tonight.”

The new twist is that the “Counter-Offensive” will actually begin “inside Russia.”  Specifically, the RUMOR says “the opening salvo is going to be inside Russia, before the offensive will actually happen on the front lines in Ukraine.”

I am carefully reiterating this is a RUMOR for those who cannot discern very well.   It may be false.  But again, it is something very prominently being spoken on the ground in Ukraine and that, in and of itself, makes it unusual, and worth passing along.

Dido – White Flag (Official Video)

I’ve never seen someone laughed out of court but I did amuse the entire court staff, judge and audience pretty well. I used to work a rotation gig in south Florida, I’d be 3 weeks in the fun and sun then back to Minnesota for a week.

One time (in the winter) I was in such a hurry to get going I forgot my drivers license, naturally I got pulled over. The cop was nice but gave me a ticket for no DL in possession. I asked him what I should do. He said with so many tourists it was fairly common and because this was before computer storage those paper tickets took up a lot of space so they would sit on them for about 3 years then they’d toss the minor offenses and said not to worry about it.

A few weeks later I was back in south Florida and went to the courthouse to clear it up. The clerk of court said they were pretty full that day but since this was so minor she’d put me at the head of the list. When they called my name I approached the bench handing my ticket and license to the judge. He looked at me and said, “Wait a minute, you came all this way just to show me you had a license?” I said, “Did you see the national weather this morning…it’s 22 below zero in Minnesota, do I have to spell it out for you?”

The judge and the entire courtroom broke into laughter, he not only dropped the ticket but I could still hear people laughing on the way to my car.

Security guarantees, then Polish troops, and finally US troops

Yup. Walked into a world war 3.

Hackers Crash ENTIRE Russia Banking System – Get CASH Out Now Before Reprisal Attacks Today

2023 06 11 07 29
2023 06 11 07 29

Americans and Europeans should get to their banks RIGHT NOW to pull out some amount of cash money to have at home after Hackers took out the ENTIRE Russian Banking System.  Reprisal Hacking attacks now seem likely against the West and if you do not have cash, you may be financially paralyzed!

The entire Russian banking system is at a standstill nationwide because the ISP used for banking communication with the Russian Central Bank is down. Infotel, the ISP, is suspected to have been hacked by a pro-Ukraine group.  Infotel runs the Automated System of Electronic Interaction (ASEI) for the Central Bank of Russia.

Very long lines have already formed outside cash machines this morning, similar to the ones seen in February, 2022 when Russia’s Special Military Operation began and Sanctions were applied; people ran to ATM’s (shown above in Feb. 2022) to grab cash.

The computer infrastructure of the ISP – InfoTel – has now been down and offline for nineteen hours:

2023 06 11 07 30
2023 06 11 07 30

A group calling itself Cyber.Anarchy.Squad has publicly taken credit for the bank computer network hacking.

The group posted Infotel’s full internal client list;  100 out of 400 are banks, the rest are credit institutions, car dealers, and of course the Russian Central Bank.
The group says the entire Banking infrastructure has been destroyed.

2023 06 11 07 330
2023 06 11 07 330

Americans and Europeans should get to their banks or to an ATM absolutely IMMEDIATELY to get some cash.   It seems highly likely that reprisal hacking attacks are now going to be launched, perhaps by the Russian government, so as to retaliate against the West for what has just been done to Russia.

If YOU do not have cash money in your possession, and Hackers take out OUR Banking System, then none of your credit or debit cards will be able to work, and you will have no way to purchase food, fuel or other essentials.

Don’t wait – get to the bank or to an ATM immediately.  Minutes count!

It is also advisable to make HARD COPY PRINT-OUTS of your bank account activity this month so you can PROVE how much money you still had in those accounts if the west Banks are attacked and destroyed like the Russian banks just were.

UPDATE 9:00 AM EDT —

Here is a direct link to the Internet Connectivity monitor of the Russian ISP, showing they are still totally offline (Click HERE)

Here is a direct link to Russian Media outlet PRAVDA confirming the banks are all offline (Story HERE)

Social Media postings are also confirming the story:

After posting this story above, I departed my house here in Pennsylvania and went immediately to the ATM to withdraw the maximum daily limit on my account: $2,000.   Got it.  No problems at all.

Of course, the ATM itself has an $800 transaction limit.  So I had to put the card in, take $800, get the cash, the receipt, and my card back, then put the card in a second time to get $800 more, etc., then put the card in a third time to get the final $400.  Pain in the neck, but the ATM’s out here in the country don’t allow single $2,000 transactions like the one’s back in New Jersey do.   And the ones here only give out $20 bills, unlike the one’s in NJ which give $50’s and $100’s.   In any event, I got the money, so I at least have some peace of mind in case Hackers in Russia do to OUR banking system, what Hackers did to the Russian banks.

I then went to the supermarket to get my last-minute “preps” because of that whole NATO “Air Defender 2023” exercise which simulates war with Russia.  That “exercise” is scheduled to begin Monday, June 12 and last through June 24.  I have a sickening feeling it isn’t going to be an exercise; I think they’re going to use it as cover for Ukraine’s counter-offensive, and some time next week or so, we’re gonna find ourselves at war.

So I got the stuff on my list at the supermarket . . . $343.00 and headed home.

Checked the fuel gauge in the truck, still full, so I didn’t need fuel.

Back at the house now, have to put all the stuff away.

Crazy morning . . . .

Mazzy Star – Fade Into You (Official Music Video)

INTEL – NATO BASE . . . CIVILIANS ALL GONE

This content is for Subscribers only — Article HERE

Speaker of the House Sends Lawmakers HOME – Three Days Before NATO Exercise . . .that may start Russia War

House Speaker Kevin McCarthy (R-CA) took the extraordinary and very unusual step of canceling votes for the rest of this week and sent lawmakers home today.   Just three days before the largest-ever NATO “exercise” simulating war with Russia . . .

The “public reason” given by Speaker McCarthy is that this was due to a “revolt” by 11 members of the House Freedom Caucus, who banded together to grind proceedings to a halt in protest of the speaker caving to Democrats during last week’s compromise to raise the debt ceiling.

The looming and not-so-public fact is that NATO’s “exercise” simulating war with Russia starts in 3+ days – and that “exercise” — may turn out to be real.

Bear in mind, this action by the Speaker takes place just about one week after members of the Senate were all given Satellite  telephones “in case a disruption to US communications occurs.”  It also takes place just a few short days after the Memorial Day weekend holiday, during which, select high-level FedGov officials secretly spent the weekend at Government Bunkers with their families.  a “practice run” for the real thing, maybe?

Or was it not “practice” at all?  How many of those officials are STILL in those Bunkers?   Is Congress now joining them?

I don’t believe the public reason given for this sending of lawmakers “home.”

Governing is always messy.  It is always disordered.  There are always disagreements and there is always upheaval.  Yet, the Speaker chose to “send lawmakers home????”   No, I don’t buy it.   I don’t buy it one bit.

Who knows, maybe they’re planning some type off False Flag attack upon Washington, DC to be blamed on Russia?

Wouldn’t surprise me in the least.

Stay tuned . . .

Snow Patrol – Chasing Cars (Official Video)

The Biggest War Battle on European Soil Since WW2 is HAPPENING right now – almost not a peep from the Main-Stream Media!

The largest war-fighting battle on European soil since WW2 is RAGING right now – today, June 8, 2023 – and not even a peep from the West’s  so-called “main stream media!”

According to battlefield sources, Ukraine’s first attack on Zaporozhye is almost repulsed.

A lot of Ukrainian soldiers are laying down DEAD in the minefields. They were simply driven forward by their commanders without properly preparing the passages. They say the picture is terrible, the enemy has a lot of DEAD or seriously wounded just lying on the battlefield.

It is likely Ukraine will regroup and drive a few more waves to the slaughter.

The Russians say “Our boys are ready and charged.”

One Russian source said, “we are not sleeping, we are waiting!”

SO FAR . . .

From June 4th to June 8th, Ukraine has lost close to 400 armored vehicles, 115 tanks and close to 5,000 personnel on the Zaporozhye, Artemovsk (i.e. Balhmut), and Southwest Donetsk Tactical Regions. The intensity of the enemy attacks have decreased, however, a whole army in the reserve is waiting to attack. This will not be the end of their attacks. So far, no settlements have been lost (some changed hands but are back under the control of the Russian Armed Forces).

Importantly, in no instance, has even the first line of Russian defense been breached, and remember, on the Zaporozhye and Southwest Donetsk fronts, there are 5 lines of defense. Ukraine is targeting areas west of the Ugledarisky Tactical Region (nearby to the Velkya Novoselivka Tactical Region); as these regions are the least defended, however, geographically hard to conquer. This is primarily around the villages of Novodonetskoye and the Vremika Ledge.

To compensate for failures, the Armed Forces of Ukraine (AFU) attempted a counteroffensive on the Artemovsk(i.e. Bakhmut)/ Berkhovka area; they were subsequently wiped out. Enemy militants now resort to shelling of residential areas of the Belgorod, Russia, Region.

It is likely that Ukraine will attempt to cross the Left Bank of the Kherson River under the backdrop of the New Kakhovka Hydroelectric Dam being blown up; they have strengthened groupings with fresh reserves from Lvov and Zhytomr, Russian forces are pre-emptively striking accumulations of Ukraine manpower.

The battles are raging.  Hundreds are dying.   But since the mass-media is simply not reporting what’s taking place, people of the West have no idea at all how bad things are, or that the US and NATO will likely find out they have LOST . . . this week.   Unless, of course, they create a false flag designed to get directly involved in the war, and bring on World War 3.
The general public, being clueless, will be blind-sided at the outbreak of such a war.  They will be afraid, and looking to government for safety and answers.   The same government that LIED to them for 2 1/2 years over Trump/Russia Collusion.   The same government that LIED to them about COVID-19.   The same government that LIED to them about the COVID-19 vaccines, which are still killing many of the people who took them.
The next two weeks could very well see the outbreak of nuclear world war, and a change to all our lives that will never return to “normal.”

Five for Fighting – Superman (It’s Not Easy)

Four Days Until NATO “Exercise” Begins . . . and Maybe Direct War with Russia

SCENARIO: “Airspace over Eastern Europe is contested. Article 5 of NATO Treaty was activated. Within hours, hundreds of fighter jets from the US/NATO transfer to Germany to fly against Russia. Nuclear-capable F-35 stealth aircraft are prepped for deployment – the first hours of a major war have dawned.”

This scenario is the basis for the upcoming NATO “Air Defender 23″ exercise …”

which takes place from the 12th to the 24th of June.

The air war is simulated against an imaginary enemy who himself has a potent air force. The real meaning of this exercise is clear to anyone with a brain: Russia.

The maneuvering may still be cautious in their public communication, but Michael A. Loh, general of the US Air National Guard, expressed his motivation some time ago. In 2021, with a view to „ Air Defender “, he wished that his people „ think more about our impending dangers – China and Russia “.

The maneuver is carried out according to the principle „ Train as you fight “. Areas of application, tactics, logistics – everything should be as realistic as possible. It is therefore no coincidence that Germany becomes the central hub of the exercise. In an emergency, too, countless NATO jets would start and swarm out of German airfields. The flight routes that the fighter planes will test are just as realistic. They lead to the eastern borders of the NATO area, to the Russian and Ukrainian borders.

At first glance, what looks like a brazen but usual provocation is a tangible danger to world peace in times of war. An accident with Russian military aircraft, misguided navigation or a pilot error may be sufficient to make a training flight appear like an attack. It becomes particularly threatening if Ukraine uses the NATO exercise’s slipstream to carry out attacks, while Russian air surveillance is forced to pursue NATO activities. Russian territory is currently being bombarded almost every day, and the Ukrainian president is threatened with major attacks. The escalation potential of a Ukrainian military strike while NATO jets are patrolling nearby is obvious in this situation.

The federal government is not only willing to accept these enormous risks, it even suspends the usual security measures. Russian observers who could ensure that the exercise is not used to prepare for an attack are not invited. There shouldn’t even be a formal announcement.  “We will not write them a letter. They will understand the news when our planes swarm out “, the highest German air force general Ingo Gerhartz replied at the beginning of April to the question of how Russia is informed.

This move away from an insurance policy is accompanied by a fight against diplomacy. Last week the Federal Republic of the Russian Federation banned the operation of four consulates. They must be closed by the end of the year.

So, shortly before the NATO exercise, the relationships are further burdened and important communication channels are sabotaged. The federal government appears to be doing everything it can to drive an escalation and increase the risk that the exercise could become a bitter reality.

NATO and its ilk have to decide very quickly. Obviously, the Ukraine offensive has stalled. It is indeed a question if Ukraine army is even capable of holding their positions or not. And the Russian army is making small but constant advances. It is probable that Ukraine army and state is on the verge of collapse. Because of that, it is time to make a decision. Either NATO enters officially into conflict or Ukraine is lost.

Of course, best moment to attack Russian army would be when all NATO equipment and personal are in Europe and are practicing that type of scenario. We only need a fabricated reason for war. Something like 9/11 at the WTC.

History shows the US federal government is perfectly willing to engage in treachery to cause the US to be involved in a major war.

In World War 2, the Roosevelt Administration KNEW the Japanese were coming to attack Pearl Harbor.   They knew days in advance.   While they told the US Military “you may be attacked” there was no ironclad statement that an attack WAS already on its way.   The Japanese attack on December 7, 1941 caused the date to live in infamy.  The American military was used as canon fodder to get hit and killed, bringing the US into the war.

The Gulf of Tonkin incident was an actual fabrication by the US to get us into the Vietnam War.

Former Secretary of State, Colin Powell, sat at a UN Security Council meeting, held up a glass jar containing ANTHRAX, and told Security Council members that Saddam Hussein of Iraq had “tons” of this bio-weapon and could destroy half the world.   In to Iraq we went.  We destroyed much of Iraq, searched high and low, but guess what?  No weapons of mass destruction!   It was all lies.

In 2014, the US, EU fomented, incited, and facilitated the forcible overthrow of Ukraine’s President, Vikto Yanukovich, then funded a puppet government favorable tot he West.   The US/EU want to place American missiles on Ukraine soil, with a five minute or so flight time to Moscow.   Russia said “no.” The West said “Too fucking bad.”

In December 2021, Russia tried to negotiate ironclad, legally enforceable security guarantees.  The West laughed and basically threw Russia’s Diplomatic proposals in the trash can.

The Russians tried again, only this time warning that if Russia could not obtain ironclad, legally enforceable, security guarantees, via DIPLOMATIC means, they would attain them via military, or military-technical means.   The West again quashed the Russian proposals.

On February 24, 2022, after giving Ukraine a five hour ultimatum that went unanswered, the Russian Army went into Ukraine.   The West was mortified.  It was never within the realm of possibility to them, that Russia would actually DO what Russia said they would do!

Here we are, over a year later, the war rages, hundreds of thousands are dead, and now NATO is (coincidentally) preparing its largest air defense exercise in history . . . right next to the Russia-Ukraine major conflict . . . where any misstep can open up the hellscape of World War 3.

Given the US track record of lying to get us into actual wars, is it any stretch of the imagination to believe that NATO and the US will do so again, four or so days from now?

Let me ask you:   If, one day soon, you’re up in the morning doing what you usually do, and suddenly, the Emergency Broadcast System tones start coming out of your cellphone, or your nearby radio, or on your TV, and the announcement tells you “The United States is under nuclear attack from Russia, take shelter immediately.”  what’s the first thing you would do?

For most people, they have no friggin idea . . . . at all.   Do you call your spouse?   Do you make a mad dash to get the kids from school?  (You and everybody else . . . and find an instant “Mad Max” scenario on the roads.)

Do you have __any__ emergency food, water, medicine for after the bombs hit and the country is collapsing?

Do you actually think you’ll be able to go to the supermarket and buy food?   Upon a nuke blast, do you think your credit/debit cards will actually work so you can buy food?   Nope!

Better start thinking about these things, because the way things are going, four days from now could see your whole world change.

Matchbox Twenty – Unwell (Official Video)

US bill seeks to undercut China growth.

It doesn’t really matter what the US government or what the House says because the US doesn’t provide any aid or preferences to China economically on the basis of this label, whether it’s a developing country or a developed country, So, whatever the House does at this level is immaterial. It has no real impact in terms substantively.

Since about 2005, the U.S. has been saying that China and India need to be reclassified as advanced developing countries or higher.

The whole thing is to have kind of basically bad intentions. The point is that if China is classified as a developed country, then China has to accept certain burdens, say at the climate change negotiations or in international trade negotiations, it can’t classify as developing. And so it needs to take added commitments. That is the main thing.

India has deliberately confronted China on many fronts, but on the climate issue, it has made a rare show of support for China. Because the Indian elite understands very well: after China’s status as a developing country is cancelled, the next country to be cancelled as a developing country is India.

2023 06 11 07 37
2023 06 11 07 37

Attempts to limit China’s development by depriving it of its rights as a developing country are a blow not only to the Chinese people, but to developing countries as a whole, which have benefited from China’s One Belt, One Road initiative.

Of course, the US Congress has never cared a great deal about the suffering poor in other countries indeed, nor of the suffering poor in their own country.

For the sake of the US population still suffering under the ‘benign neglect’ shown them by the US Congress, it is hoped that more attention will be paid to the general welfare of the common people of the United States than to the overblown pretensions of a political elite that has lost its moorings.

AUDIT: New York Voter Data “Completely Untrustworthy” – State is no longer a legitimate state because it has almost NO legitimately elected government at any level

Auditors reviewing New York State Voter Data have uncovered so much fraud, so many invalid registrants, so much manipulation of vote totals, and a secret algorithm embedded in the database, that the “State of New York” can no longer be considered a legitimate state, as it has no legitimately elected Government at almost any level.

“Through auditing the voter roll databases, obtained directly from state and local boards of elections, auditors have uncovered millions of invalid registrations, hundreds of thousands of votes cast by legally invalid registrations, massive vote discrepancies, and the clear presence of algorithmic patterns reverse engineered from within the state’s own official records.

To be absolutely clear, there is no known innocent purpose or explanation for why these algorithms exist.

Auditors have been told by cyber-intelligence experts they indicate a ‘Total Loss of Control’ data breach, the most severe kind of data breach recognized by our federal government. The law says it renders the affected NYSVoter database completely untrustworthy.”

From UndercoverDC.com:

A peer-reviewed study in the Journal of Information Warfare (JIW) confirms a “Loss of Control” breach has occurred in the NYSVoter Database. A peer-reviewed paper of their results in a respected journal is a hard-won and “significant milestone,” according to Marly Hornik, Executive Director of the NY Citizens Audit.

The audit of the voter rolls was led by Marly Hornik and Andrew Paquette, Ph.D., Director of Research, who submitted the paper to JIW. Paquette “co-founded the International Game Architecture and Design Academy (now BUAS) in the Netherlands after a career in the feature film and video game industries. He received his Ph.D. from King’s College, London, in 2018 for a thesis on the development of expertise.”

In July 2021, Hornik and Paquette assembled a group of volunteers in New York that has grown to around 2000 individuals statewide to investigate the state’s voter registration rolls. Hornik presented the group’s preliminary findings to attendees at The Pit, sponsored by True the Vote, in August 2022.

In her recent letter to New York citizens, Hornik explains the seriousness of the group’s findings:

“Through auditing the voter roll databases, obtained directly from state and local boards of elections, we have uncovered millions of invalid registrations, hundreds of thousands of votes cast by legally invalid registrations, hundreds of thousands of votes cast by legally invalid registrants, massive vote discrepancies, and the clear presence of algorithmic patterns we reverse engineered from within the state’s own official records.

To be absolutely clear, there is no known innocent purpose or explanation for why these algorithms exist. I am told by cyber-intelligence experts they indicate a ‘Total Loss of Control’ data breach, the most severe kind of data breach recognized by our federal government. The law says it renders the affected NYSVoter database completely untrustworthy.”

New York Voter Registration Rolls Show a Catastrophic “Loss of Control Breach”

The “Loss of Control Breach” references standards published by the United States Computer Emergency Readiness Team (US-CERT) that reflect the level of impact of a given information security event where data has been compromised. According to the US-CERT Federal Incident Notification Guidelines, “the document provides guidance to Federal Government departments and agencies (D/As); state, local, tribal, and territorial government entities; Information Sharing and Analysis Organizations; and foreign, commercial, and private-sector organizations for submitting incident notifications to the National Cybersecurity and Communications Integration Center (NCCIC)/United States Computer Emergency Readiness Team (US-CERT).”

A “Loss of Control Breach” is a catastrophic level of “impact,” both functionally and in terms of information lost. It is important to note that the designation indicates “recovery from such an incident is not possible.”

2023 06 11 07 58
2023 06 11 07 58

Journal of Information Warfare: Three Experts Agree With Paquette’s Paper

Paquette submitted a paper to the JIW summarizing the findings from the NY Citizens Audit. He says it took about “six months to see his article through the review process.” It was the second journal to which he submitted his paper. The first was rejected “for political reasons,” according to Paquette.

Paquette published a substack on May 17 about the significance of the peer-reviewed paper mentioning that he has “learned more about the algorithm” since he submitted his paper to JIW. Paquette also summarized his investigation in his May 22, 2023, article for the American Thinker.

In his Substack, Paquette comments on the significance of the paper’s peer review and publication:

“The point of peer review is not to rubber stamp an article (though that may happen at lower quality journals); the point is to perform a thorough check of the article to be sure it is accurate and represents a fair description of the facts both pro and con related to the subject.

Because peer review is a rigorous process, and reviewers tend to be experts, getting through peer review can be likened to putting three expert witnesses on the stand in a court of law to attest to the accuracy of the material.”

Paquette’s paper was reviewed by three peer experts from the JIW who confirmed his analysis was correct with only “minor corrections,” explained Hornik. The article in the Journal of Information Warfare (JIW), Volume 22, Issue 2, is entitled “The Caesar Cipher and Stacking the Deck in the New York State Voter Rolls” by Andrew Paquette.

According to the JIW peer-reviewed paper, “New York State voters are assigned two identification numbers. This study has discovered strong evidence that both numbers have been algorithmically manipulated to produce steganographically concealed record attribute information.” The “secret fraudulent phantom voter infrastructure” allows for the manipulation of elections in a way that is not easily detectable. Dirty voter rolls are often a primary vector for election fraud.

The excerpt below from the JIW paper discusses what seems to be a purposeful alteration of voter registration data to manipulate elections.

2023 06 11 07 59
2023 06 11 07 59

The paper also describes findings from the NY Citizens Audit “suggest[ing] that systemic election fraud is built into New York’s electoral process.” Algorithms were found in all 62 counties in New York. Notably, the presence of steganographically concealed records “renders the state’s elections illegal on their face,” explained Hornik.

Two-Plus Years of Persistence and Follow-Through

Volunteers from the NY Citizens Audit investigated New York’s voter registration rolls after the 2020 election only to find that “New York elections massively violate state and federal law,” according to Hornik. The group’s “Deficits Report” showed evidence of falsifying records, registrations with no trackable records, ineligible registrations, phantom voters, and many inaccuracies and discrepancies in the registrations that effectively make the rolls completely unreliable in terms of reflecting actual, registered voters in the state. Their investigation led to a Resolution for Audit requesting a “complete end-to-end audit of the New York State 2022 General Election.”

UncoverDC has closely followed the group’s work and touched base with Hornik again on May 19. Hornik and her volunteers have been actively campaigning at the Capitol in Albany since January 2023 “in order to educate our legislators about our findings, stated in our critically important report, “A Study in Deficits,” summarized here. The “Study in Deficits” report was delivered on January 24, 2023, to 89 members of the New York State Assembly.

Following the submission of the “Study in Deficits report,” Hornik and her volunteers persisted, making “follow-up calls and sending emails to arrange a presentation to the members of the Election Committees of both the Assembly and the Senate. Special efforts were made to communicate comprehensively with the senior staff of Assembly Member Latrice M. Walker, Chair of the Election Law Committee in the Assembly, and Senator Zellnor Y. Myrie, Chair of the Senate Election Committee,” according to Hornik.

Remarkably, Hornik and Paquette ultimately secured a presentation with Election Committee members from each Chamber with a Democratic majority State Assembly. The presentation, “A Technical Briefing: NYSVoter Type 2 Data Breach,” was given on May 1, 2023, in the Legislative Office Building in Albany. Some members could not attend because of the finalization of the state budget that same day. For those who could not attend, a link to a video from the briefing was sent on May 6 to each member.

On May 22, according to Hornik, “a visit was made to Albany to inquire if any action will be taken by the Election Committees of the Assembly and the Senate in order to address the critically important findings that the New York State voter database has been breached. The senior staff of the Chairs of both committees did not offer any assurance that action would be taken.” The Legislative Session ends on June 8.

Hornik is now leading a nationwide initiative to replicate what she and her team have done in New York. She says, in many cases, “the American people have already done the work.” She says it is time to review the findings, meet with legislators, and bring litigation where appropriate. Hornik says she plans to “hold election officials accountable” wherever possible.

Simple Plan – Perfect (Official Video)

OP-ED: Attorney Says Britain and NATO “At War” – Russian Military Strikes Against Them Would Be Lawful

Attorney Christopher Black of Toronto says Britain and NATO are defacto at-war with Russia, and if Russians attack back, it would be LAWFUL.

Begin Op-Ed:

On the 19th of May, the Financial Times quoted the British Minister of Defense, Ben Wallace, stating that the West could face the threat of full-scale war with Russia and China by the end of the decade and proclaimed defence preparation a paramount task for Western countries.

One has to wonder what universe Mr. Wallace and his boss, Rishi Sunak, are living in since Britain is engaged in war with Russia right now, has, with every step, every hostile action, set itself up for a full-scale war, a full-scale catastrophe, which they cannot prevent. Why Britain would go to war with China as well as Russia when China has not threatened it and is oceans away, no one can explain in rational terms. Yet, this is the British rhetoric, the fetishistic parroting of the words of their lord and master, the USA.

Many argue that statements, a war is not happening, that it is something that exits only in the future, are desperate attempts to fool the British people, to lie to them about their government’s intentions and what is coming. Others argue that they are signs that the British government has no sense of reality. But, in the end, one has to conclude that they are both at the same time.

Worse, these statements speak of a government, that seems to think it is untouchable, that the war with Russia will be limited in geographic space to Ukraine, that Britain’s participation in the war against Russia will have no direct consequences for Britain and its people, that Russia will not dare to follow military and political logic and conduct military strikes against Britain. Nothing could be further from the truth, yet the British establishment, dreaming of its past, is unable to accept reality, is leading the British people towards disaster, as the gathering storm of war edges ever closer to their shores.

The deluded thinking in Britain is an extension of the same psychosis that grips all the halls of power in the western world, a psychosis that has its roots in the deeply troubled societies which have developed in the west and whose causes will be the subject of study of future social scientists and historians if there are any. In fact, these governments display observable and classical symptoms of paranoia and delusional disorders, leading to the complete break with reality that constitutes psychosis.  This is a very dangerous state of affairs because someone who is delusional, who has no grip on reality, who cannot make distinctions between reality and imagination or wishful thinking, will make decisions and take actions that are dangerous to everyone around them, in this case, Russia, and beyond, the whole world.

Just after Russian began its Special Military Operation, Britain declared its support for Ukraine along with the rest of NATO and announced it would supply it with weapons and munitions to fight Russia. Maria Zakharova, the Russian foreign ministry spokeswoman, in response, stated that NATO states providing weapons to Ukraine could be hit in strikes.

Ms Zakharova said:

“Do we understand correctly that for the sake of disrupting the logistics of military supplies, Russia can strike military targets on the territory of those NATO countries that supply arms to the Kyiv regime?

“After all, this directly leads to deaths and bloodshed on Ukrainian territory. As far as I understand, Britain is one of those countries.”

The Russian defence ministry, after several attacks inside Russia backed by NATO, has repeatedly said:

“We would like to stress that the direct provoking by London of the Kyiv regime into such activities attacking Russian territory, should there be an attempt to realise them, will immediately lead to our proportional response.”

In April, when the UK announced it was sending depleted uranium tank shells to Ukraine, Russia said it would respond and did so, destroying those munitions in Ukraine just after they arrived, and now a radioactive cloud is drifting west towards Europe and the UK. Russian warnings of the danger of this happening were ignored.

On May 11, Ben Wallace announced a further act of aggression against Russia with the decision to send Storm Shadow cruise missiles to Ukraine, which have since been used to attack civilian centres in Russia. Again, Russia stated clearly that there would be a military response to this action.

On May 23, during his visit to Laos, Deputy Head of the Russian Security Council Dmitry Medvedev issued another warning, on the day Russian security forces destroyed the Ukrainian raiding force that attacked civilians in the Belgorod region, an openly terrorist action backed by the UK and the other NATO states.  From Vientiane, he stated,

“The North Atlantic alliance does not take the threat of nuclear war seriously enough, thus making a big mistake. NATO is not serious about this scenario. Otherwise, NATO would not have supplied such dangerous weapons to the Ukrainian regime. Apparently, they think that a nuclear conflict, or a nuclear apocalypse, is never ever possible. NATO is wrong, and at some point events may take a completely unpredictable turn. The responsibility will be placed squarely on the North Atlantic Alliance,”

Medvedev pointed out that no one knows whether the point of no return has been passed,

“No one knows this. This is the main danger. Because as soon as they provide something, they say: let’s supply this, too. Long-range missiles or planes. Everything will be all right. But nothing will be fine. We will be able to cope with it. But only more and more serious types of weapons will be used. That’s what the current trend is.”

But Russia can strike using its conventional weapons as well, against which the UK has no defence whatsoever.

Still, the British attitude towards these warnings is to call on the magic of “legality” as if they can weave a protective cloak around the island with incantations. Yet, everyone knows that to use incantations to ward off danger, the formula used must have mojo or force; otherwise the words have no effect.

In 2022, for example, then Deputy Prime Minister, Dominic Raab, hit back, after Russia suggested it could target British military installations over its support for Ukraine, by branding the Kremlin’s claim “unlawful.” Wallace, Sunak, and others have repeated this claim multiple times.

Raab, and the rest, can only be right if Britain had maintained its neutrality in the war between Ukraine and Russia. But, as we know, this is really a war by the USA, Britain and their NATO mafia against Russia and has been all along. Ukraine is the present battlefield. So, for Britain to claim that it has maintained neutrality is an absurdity.

A neutral state violates neutrality by breaching its obligation to remain impartial, to not participate in the conflict.  It violates neutrality by supplying warships, aircraft, arms, ammunition, military provisions or other war materials, either directly or indirectly, to a belligerent, by engaging its own military forces, or by supplying military advisors to a party to the armed conflict, by allowing belligerent use of neutral territory as a military base, or for the storage of war material or passage of belligerent troops or munitions in neutral territory, by furnishing troops to a belligerent, or providing or transmitting military intelligence on behalf of a belligerent are also examples of violations of neutrality.

A State’s neutrality ends when the State becomes a party to an armed conflict, or, in other words, a belligerent. A State becomes a belligerent under the law of neutrality by either declaring war; or participating in hostilities to a significant extent, or engages in systematic or substantial violations of its duties of impartiality and non-participation.

Britain meets all the requirements of a co-belligerent, that is, of a party to the war with Russia; it not only supplies munitions and weapon systems to Ukraine with the objective of attacking Russia and Russian forces in Ukraine it has a direct role in directing the war against Russia, including sending military officers and soldiers to advise and operate with the Ukrainian forces, by preventing any peace negotiations -we remember the action of Boris Johnson just as Ukraine and Russia were about to conclude a peace settlement-by the training of Ukrainian soldiers in Britain and transporting them to the front, by supplying the Ukrainian forces with reconnaissance and intelligence data, actively sending aircraft close to the war zone for this purpose, by providing communications systems, by providing financial aid to Ukraine at the same imposing economic warfare measure on Russia, euphemistically termed “sanctions.  These conditions apply to all the NATO allies, of course, but Britain’s role is an especially egregious one.

In fact, Britain’s aggression against Russia began much earlier than 2022. Britain, as part of NATO, supported the insurgency in the Caucasus region in the mid -1990s. Britain took part in the aggression against Yugoslavia in 1999, part of the strategy to attack Russia, eliminating a potential Russian ally, just as Hitler did in 1941. The Georgian attack on Russian forces in 2008 was also supported by NATO.

All through this period, the UK government and media put out a constant stream of propaganda against Russia, culminating in the wild claims by the British that Russia tried to use novichok nerve poison to kill two Russian citizens, the Skripals, in the UK.  That incident had one objective, to prepare the minds of the British people for war with Russia. That no one has seen or heard from the Skripals for several years now, that Britain rejects Russia’s right to meet with them to see if they are all right, is never mentioned in the West. They have disappeared, their fate unknown, two expendable pieces on the chessboard of war.

Lastly, Russia claims, with some evidence to back up their claims, that the UK was involved, with the US and other NATO nations, in the attack on the NordStream Pipeline, an act of war against both Russia and Germany, though the Germans, still occupied by US forces, are required to accept this humiliation and keep quiet.

So British claims that Russia has no legal right to retaliate against it are absurd. Britain, as with all the NATO countries, cannot claim to have a neutral status in the war.  It has become in law and in fact a party to the war.

It follows that any action taken by Russia against the UK to force the UK to stop its assistance to Ukraine and end its participation in the war against Russia will be legitimate under international law and justified under the ancient military doctrine that a nation cannot suffer the attack of another without retaliating to stop the attack and making sure that another attack will not follow.

The NATO gang’s claim of acting in “collective self defence,” a phrase Ben Wallace likes to use a lot, so that they can claim to maintain a neutral status, is not a valid or logical one and does not apply. It is clear that the USA and NATO have been planning an attack on Russia for a long time, and the Ukraine war is a part of this attack. The conspiracy to commit aggression has been developed over decades. Part of the preparation for the war was the overthrow of the elected government of Ukraine and the installation in its place of a puppet government that was then used to attack the Donbass and Russia itself.  They now openly admit that the Minsk Accords were a ruse to stall Russia while they prepared the Ukrainian forces for war against Russia.

Further, they cannot rely on Article 5 of the NATO Treaty, since that clause can only be invoked if there is an unprovoked Russian attack on a NATO country. But when a NATO country attacks Russia, and here we have them all joining in the attack, it is the aggressor and therefore cannot claim to be are acting in self-defence. It is also important to bear in mind Article I of the NATO Treaty, since it requires NATO to act in conformity with the UN Charter. It states

“Article 1

The Parties undertake, as set forth in the Charter of the United Nations, to settle any international dispute in which they may be involved by peaceful means in such a manner that international peace and security and justice are not endangered, and to refrain in their international relations from the threat or use of force in any manner inconsistent with the purposes of the United Nations.”

But the NATO nations have done the exact opposite. They have blocked peace at every turn and push Ukraine to keep the war going. Their forces are directly involved.  They have even attempted to expand their military bloc by inviting Finland and Sweden to join the war alliance, in order to increase the forces available to them, with one purpose, to prosecute the war against Russia. They now openly state their objective is to destroy Russia.  So, the NATO nations are not only active co-belligerents in the war, they are, in fact, the main protagonists of the enemy camp that Russia faces.  They are, therefore, all legitimate targets.

But is an attack likely, and what will its nature be, and what will be the consequences? These are questions only the Russian General Staff can know and foresee. We can only speculate. But speculation can be useful, especially for the British people to realise the danger their criminal government is putting them in.

Medvedev warns again of the dangers of nuclear war, but Russia has no need to resort to that to retaliate against Britain. Conventional stand-off weapons will be more effective, and what can the UK do if a strike on military airfields takes place, on port facilities, to stop the shipment of weapons, on army bases where Ukrainian soldiers are trained, on warehouses storing munitions and weapons marked for shipment to Ukraine, or eliminating the UK Trident nuclear submarine force in Scotland, or any number of other targets they could select? They can do nothing.

The National and Defence Strategies Research Group based in the UK stated in a report on Britain’s air defences in 2016, that,

“Since the withdrawal from service of the Bloodhound missile system in the 1980s, the UK’s Air Defence posture has diminished to mainly a homeland benign airspace policing and point defence posture for deployed forces. The UK no longer has a comprehensive, integrated, or robustly layered short to long-range Air Defence capability, nor a credible or enduring operational capacity.”

Nothing has changed since then, except to get worse. In other words, the UK is defenceless against modern Russian stand-off weapons.

I can remember, as a boy, my mother taking me several times on a bus through London. It must have been 1955 or so and I can remember mile upon mile of burnt-out blackened buildings, as far as the eye could see, especially in east London where entire districts were levelled by German bombs.  The country, despite its heroic RAF fighter pilots, could not stop the bombing and then missile attacks which went on for five years.

The British government assured the people before that war, that all would be well, that they would have peace in their time.  But they lied to the people then, as they are lying to them now.  Britain was never the same after that war. It never really recovered from it. Once again, the British government, ever saluting the masters in Washington, leads the British people into a dangerous war, which they were never asked about, and which they do not want. It lies to them about the causes, it lies to them about the fighting, and it lies to them about the dangers they face, placing them in a distant future, and hides from them the consequences of its actions.  The British people must be warned.  Britain is at war, and no amount of bluffing and lying can protect them from the consequences their government is provoking. They are predictable and they will be catastrophic.

Christopher Black is an international criminal lawyer based in Toronto. He is known for a number of high-profile war crimes cases and recently published his novel Beneath the Clouds. He writes essays on international law, politics and world events, especially for the online magazine “New Eastern Outlook”.

Oh come on

It’s not the Leopard

It’s the defense line and it’s layers

Let’s see

Layer 1

You have mined territory which can blow up any tank

Layer 2

You have the Russians with their Helicopters and Aerial fighters who can launch missiles on any tank and position

Layer 3

You have drones who drop munitions on tank positions, causing damage and since it’s impossible to repair the tank in Ukraine, it has to go to Poland and it’s not worth it. So the tank is abandoned

Layer 4

You have Russian MLRS and Artillery that can pound these tanks with longer ranges of as much as 100–150 km against around 60–80 Km for the tanks

Layer 5

Russian Armor

Finally you have Russian Armor (Tanks) and fortifications

Layer 6

Russian Infantry

You see?

Six Layers before you even reach the first line

How can any Tank survive? They may survive the mines and fighters and drones but BANG they will be hit with Artillery or eventually come face to face with T 80s or T 90s which are far superior to the Leopard 2A4s

It’s literally SUICIDE

No Tank can break such a formation


Ukraines present strategy is to punch holes in the Russian line which is so spread out that it is likely to be thinner or non existent in many parts

They will likely saturate the battlefield with APVs and other vehicles to absorb the artillery assaults while the Tanks somehow punch holes and capture some territory

Yet again Russia will be happy with the losses

Ukraine may lose upto 2000 men and 60 vehicles to gain 10 Sq Kms per the latest analysis

Russia may gladly kill 200,000 Ukrainians and 6000 Vehicles to lose 1000 Sq Kms and then capture it again because Ukraine simply cannot arrange another collection of weapons from an Exhausted NATO

By ALAN MACLEOD

Amid a crisis in recruitment, the U.S. military has found a new way of convincing a war-weary Generation Z to enlist: thirst traps.

2023 06 11 07 48
2023 06 11 07 48

Chief among these attractive young women in uniform posting sexually suggestive content alongside subtle (and sometimes not-so-subtle) calls to join up is Hailey Lujan. In between the thirst traps and memes, the 21-year-old makes content extolling the fun of Army life to her 731,000 TikTok followers. “Don’t go to college, become a farmer or a soldier instead,” she instructs viewers in a recent video. “Just some advice for the younger people: if you’re not doing school, it’s ok. I dropped out of college. And I’m doing great,” she adds.

2023 06 11 07 49
2023 06 11 07 49

If Lujan feels like a psyop (a psychological operation) it is because, technically, she is. Lujan is a psychological operations specialist; one of a small number of Army personnel whose job is to carry out influence and disinfo operations, either on or offline. Thus, she is using her femininity to recruit legions of lustful teens into an institution with an infamous record of sexism and sexual assault against female soldiers.

According  to Lujan, being a soldier is the “coolest job in the world.” She certainly does make Army life look fun, as she abseils down walls, fires a howitzer, and flies around in an Apache helicopter. “101st airborne division knows what the girls (and boys) really want”, she notes as she plays around with a high-tech, remote controlled robot.

Until late last year, Lujan’s social media accounts were far more tame. But as she pivoted towards content of her in skimpy outfits or suggestive, military-related videos and pictures, her following exploded to nearly three-quarters of a million on TikTok alone. Judging by the comments, her army of followers sees military life in a new light.

There are many active duty service members with large social media followings, but what makes Lujan stand out is her offbeat, Gen-Z style humor and how she leans into the idea that she is a military propaganda operation. With videos titled “My handlers made me post this”, “Not endorsed by the DoD 😉 :3” or “most wholesome fedpost”, she revels in layers of irony and appears to enjoy the whole “am I or aren’t I” question that people in her replies and mentions constantly debate.

The ironyposting is dialed up to 11, however, with Lujan’s own videos about psychological operations. In a video  entitled “no one is immune to propaganda”, she even shares content laying out how the U.S. government manipulates public opinion through the media. In true Gen-Z style, she captioned another of her videos “propaganda this propaganda that let me take a propa ganda at them yitties”.

As many popular e-girls have done, she has diversified her content, producing a calendar  and t-shirts for her battalions of loyal simps to buy. Her official personal website is called Sike Ops.

Lujan’s content appears to be a part of a weird new strategy of military outreach, shocking academics and military experts alike. “My main reaction is disgust and disappointment. People like Lujan are why I ended up declaring myself a conscientious objector during the Iraq War,” Rosa del Duca, adjunct professor of journalism at Diablo Valley College and author of “Breaking Cadence: One Woman’s War Against the War” told MintPress, adding:

I can’t believe she’s getting away with posting some of this stuff. Everyone learns in boot camp that when you are in uniform, you cannot act unprofessionally, or you get in deep trouble. Maybe they [Army brass] saw how popular Lujan’s posts are, and how she’s basically doing recruiting for them and left her alone.”

Matthew Alford, a media and propaganda specialist from the University of Bath, U.K., was similarly amazed by her content. “Lujan’s content and messaging is wild. If she really is being used by the military for recruitment, then we have entered a brave, bizarre new world of Army recruitment strategies,” he told MintPress.

YVAN EHT NIOJ

There is no doubt that Lujan is aware that she functions as a new, avant-garde Army recruitment tool. In one short film made with a fellow military influencer, she stars as the pretty military bait, luring young men into service. Played for laughs, the film shows a young man standing outside an Army recruitment center, deciding not to enlist, only to see the dreamy Lujan enter the building, after which he joins up in a haze of horniness.

2023 06 11 07 50
2023 06 11 07 50

Thus, it is clear that Lujan is indeed a military recruitment tool. The only question is whether the famously image-conscious Army merely tacitly approves of her content, or whether they are intimately involved in its production. MintPress asked the Department of Defense for clarification, but has received no response.

Nevertheless, Edward Bernays, the father of modern propaganda, might conclude that it matters little if Lujan is or is not an Army psyop; the consequence is still to get impressionable young men to associate lust with the military, connecting sexual desire with the armed forces – in effect, making them horny for war.

The fact that Lujan is a psychological operations specialist  with the Army makes the whole situation even more suspicious, given that her jobs is to convince, persuade and propagandize in creative new ways. The Army recruitment website description of the role sounds eerily similar to her own content. “As a Psychological Operations Specialist, you’ll be an expert at persuasion,” it reads, adding:

You’ll assess and develop the information needed to influence and engage specific audiences. You’ll broadcast important information through various mediums and assist U.S. and foreign governments, militaries, and civilian populations.”

Multiple videos suggest Lujan is connected with the 101st Airborne Division. Location data shows she is based at Fort Campbell, a large military installation on the Tennessee-Kentucky border that houses the storied division. Last year, she took part in Saber Junction 22, a huge military exercise in Germany, featuring thousands of troops from the U.S., Italy, Romania, Turkey, the United Kingdom, and a host of NATO ally states.

ARMIES OF SIMPS

Lujan is far from the only serviceperson on military TikTok (#MilTok) promoting military life, however. Juliana Keding  – a military policewoman with over 900,000 followers – regularly combines thirst traps with videos about Army life. Meanwhile, U.S. Air Force medic Rylee (@RyeRoast, 468,000 TikTok followers), has even leaned into the idea that her online persona is also a psyop. Yet their content is less overt and there is no hard recruitment sell with them. Indeed, they rarely discuss it at all.

Nevertheless, it is clear that the powers that be appreciate their content subtly promoting military life. The official Air Force media guide states that “You are encouraged to use social media to share your experiences as an Airman” as “Your stories might inspire someone to join the Air Force, support the Air Force, comfort a parent or spouse, improve morale or correct inaccurate information.” Those experiences, however, better be positive ones, as it also warns that sharing the wrong kind of information (i.e. content showing the military in a bad light) “could jeopardize you and your Airman’s career”.

“My leadership is fully aware of my social media and actually are, in fact, very supportive of it” Rylee states in one video , “Id love to get payed [sic] for this lmao” she commented on another, suggesting that hers is a freelance operation.

Perhaps the closest star to Lujan in tone and content is Israeli Defense Forces military policewoman Natalia Fadeev, aka @GunWaifu . With 2.7 million TikTok followers, Fadeev is the queen of the simp-to-soldier pipeline, posting highly suggestive content alongside passionate defenses of Israel. Her videos (many of which have garnered over 1 million views each) suggest that Palestinians are an invented people, that Israel is a safe haven for LGBT groups and that the IDF is the most moral army in the world. In addition to the propaganda, Fadeev has also flirted with the idea that her account is an Israeli psyop.

YOUTUBERS JOIN THE MILITARY

TikTok is not the only battleground for young people’s minds, however. In the last year, a significant portion of the Biden administration’s record-breaking $857 billion defense budget went on advertising. The Army in particular has spent large sums of money collaborating with some of YouTube’s biggest stars to produce barely disguised recruitment videos.

YouTube star Michelle Khare (3.71 million subscribers) “joined the Army” for her video , traveling to Fort Benning, GA, where she tackled obstacle courses, practiced marksmanship, and trained to jump out a plane. Glossing over the fort’s infamous reputation for training many of the world’s most brutal military dictators, the video ends with the message, “To Army soldiers and veterans, thank you for your service.” The description box features multiple pro-Army hashtags, plus an affiliate link to sign up for service. The video has already garnered 2.8 million views.

In April, YouTube mega influencer Ben Azelart released a strikingly similar partnered video to Khare’s, called “YouTubers vs. U.S. Army” in which he also glamorized military life, interviewing one officer who told him that the Army is, at its core, about:

The absolute transformation of the individual into a more accomplished, better version of themselves. As a valued member of a team, stepping out of your comfort zone, doing something new, challenging yourself, but being encouraged along the entire way.”

And like Khare, Azelart was careful to direct his 20.8 million subscribers towards an Army recruitment link, stating, “The challenges we had to endure were both physically and mentally challenging, but so rewarding! The Army is an opportunity, a bridge to self-development, and a place where you can be a valued member of a team regardless of hometown, ethnicity, or gender.”

Meanwhile, pro gaming star Doug “Censor” Martin flew out to Fort Carson, CO, to shoot a fawning extended advertisement for the military, presenting Army life as just like playing military shooter video game “Call of Duty.”

“Without you guys, what do we have?” Martin says to the soldiers he encounters, adding;

We love you; we appreciate all of you guys. If you guys have any interest in joining the Army, there are so many different career paths, over 200 career paths. If you guys want to know any more information, click the links down below. I had so much fun coming out here, this is truly a once-in-a-lifetime experience.”

This sort of content is far more potent than the simple advertisements between television programs of yesteryear. Firstly, because it is the show and allows the Army to showcase itself to millions of impressionable viewers, most of whom cannot differentiate between paid and unpaid content. Furthermore, it comes courtesy of stars viewers love, respect and trust.

WAR MACHINE

The difference, however, between these and other advertisements YouTube stars run is that they are not selling their suggestible young audiences soda or shoes, but are trying to convince them to join the world’s most sophisticated and ruthless killing machine. A new study from the Costs of War project at Brown University estimated that 4.5 million people have died as a result of the U.S.’ post-9/11 wars, primarily in Iraq, Afghanistan, Syria, Yemen and Pakistan. In addition, the report estimates at least 38 million more people have been forced to flee their homes. Yet this sort of brutal devastation is not even hinted at in these promotional videos.

The United States is a nation addicted to war, spending 229 of its 247 years of existence in some kind of conflict. It controls a network of over 800 military bases spanning the globe, and, according to a Congressional report, has carried out a staggering 251  foreign military interventions since the end of the Cold War in 1991. A new report compiled by the Institute for Policy Studies shows that the U.S. spends more on its military than 144 nations combined.

This constant drive towards war takes a serious toll on those recruits who enlist. The job attrition rate is extremely high; only 17% of active duty military members stay around long enough to earn any pension whatsoever. Veterans complain of broken promises from recruiters, while every year, between 6,000 and 7,000 veterans commit suicide.

Del Luca also noted that women face a particularly hard time. “The military is extremely sexist,” she said;

Even the VA agrees that 1 in 3 women in uniform are sexually assaulted while ‘serving.’ I put ‘serving’ in quotation marks because I don’t see a useful service being done. Young people who join the military are taught how to kill and use weapons and follow orders and shut up.”

These carefully choreographed advertisements say nothing about these harsh realities, instead painting a rosy picture of life in uniform as one of endless opportunities and dignified service.

PROPAGANDA BLITZ

Faced with a shortfall in recruitment, the military has been aggressively marketing itself towards younger and younger generations. The Army has sponsored gaming tournaments, even fielding  their own U.S. Army Esports team and directly trying to recruit teens on streaming sites such as Twitch. The Amazon-owned platform eventually had to clamp down on the practice after the military used fake prize giveaways that lured impressionable young viewers onto recruitment websites.

As detailed in a previous MintPress investigation , the Armed Forces also work closely with video game companies on titles such as “Call of Duty,” flying executives out to ensure they become, in their own words, more “credible advocates” for American power.

Meanwhile, Dr. Alford’s research has exposed how deep the connection between Hollywood and the Pentagon has become, with the Department of Defense essentially co-producing thousands of movies and TV shows. “In our 2017 book  ‘National Security Cinema’ we listed around 2000 titles worked on by the state. By the time our film , ‘Theaters of War’ was out in 2022, we had evidence for 10,000. This suggests an incredible level of public manipulation – and cover up”, he told MintPress.

These titles include a vast array of blockbuster films, including “Iron Man”, “The Avengers” and “Top Gun: Maverick”, all the way down to light entertainment like “Teen Idol”, “The Price is Right” and “The Ellen DeGeneres Show”.

Militaristic propaganda is everywhere in pop culture. Katy Perry’s music video  for “Part of Me” is shot at Camp Pendleton in California and shows the star joining the Marines to better herself. Meanwhile, Major League Baseball held what it called a “military appreciation week” last month, where players, coaches and all on-field personnel were instructed to wear camo “service-inspired” caps and encouraged to sport camo socks, helmets and other gear. Some teams are going further: the Washington Nationals are hosting six “Branch Day” games this summer, dedicated to the six arms of the U.S. military. The events are sponsored by arms manufacturer Raytheon Technologies. Major League Baseball did not respond to MintPress’ questions, but previous ultra-nationalistic displays were not independent outbursts of patriotism, but carefully planned events paid for by the military, meaning that the taxpayer footed the bill to be exposed to such propaganda.

It is now well-established (if not well-known) that the Department of Defense also fields a giant clandestine army of at least 60,000 people whose job it is to influence public opinion, the majority doing so from their keyboards. A 2021 exposé from Newsweek described the operation as “The largest undercover force the world has ever known,” warned that this troll army was likely breaking both domestic and international law, and explaining that,

These are the cutting-edge cyber fighters and intelligence collectors who assume false personas online, employing ‘nonattribution’ and ‘misattribution’ techniques to hide the who and the where of their online presence while they search for high-value targets and collect what is called ‘publicly accessible information’—or even engage in campaigns to influence and manipulate social media.”

The Twitter Files further exposed the Department of Defense’s shadowy propaganda, showing how it worked with Twitter to carry out a Washington-run influence project across the Middle East, even as Twitter claimed it was working to shut down foreign-backed disinformation operations.

NOT OUR WAR

For all the creatively dystopian attempts to market itself as a positive force to young people, it is far from clear whether the military is succeeding in its goal. 2022 saw the lowest  recruitment figures since the draft was abolished in 1973. The Army alone missed its enlistment target by 25%, or 15,000 active-duty soldiers. The numbers for 2023 are expected to be even more dismal. A great number of Generation Z do not qualify for service on medical grounds, and even fewer wish to join. According to a recent survey, America’s youth are decidedly against becoming a cog in the war machine; only 9% of Zoomers express any interest in enlisting in the Armed Forces.

This, according to U.S. Secretary of the Army Christine Wormuth, is in large part down to many “misconceptions” people have about soldiers being sexually harassed, developing PTSD or driven to suicide by what they have seen. Others, such as del Luca, might consider those justified concerns. The military, she says, preys on desperate idealistic teens trying to find a way out of their life circumstances or go to college.

Every single veteran you meet will tell you that the expectations they had before enlisting were wildly different from how their service ended up,” she said; “I hope teens wise up to the fact that they are being hunted and lured by recruiters who have a quota to fill… If the military was a great, honorable profession, then they wouldn’t need to spend $6 billion a year bribing people to join.”

While it is still not certain whether they are actually directing and paying for it, what is clear is that the U.S. military is hoping that E-girls will be part of their recruitment solution, turning armies of horny American teens from simps into soldiers.

Santana – Smooth (Stereo) ft. Rob Thomas

By Andrew Kory.bko

JUN 7, 2023

main qimg d9d9f4234c37f6de06907222fe002384
main qimg d9d9f4234c37f6de06907222fe002384

Turkiye just threw the US and Ukraine into a dilemma since going along with the investigation risks revealing incontrovertible evidence that Kiev blew up the Kakhovka Dam while declining to participate makes them look guilty in the court of public opinion

Turkish President Erdogan proposed the creation of a multilateral committee

for investigating the Kakhovka Dam explosion during a call with President Putin on Wednesday. He suggested that it could comprise the two conflicting parties, the UN, and members of the international community such as his country, which has experience mediating between Moscow and Kiev during their grain deal talks. This was a genius soft power move that’ll powerfully shape global perceptions about this incident.

Russia and Ukraine blame one another for this terrorist attack, and while many might have predicted that the US would take its proxy’s side, National Security Council spokesman John Kirby said

on Tuesday that “we cannot say conclusively what happened at this point.” This stance is almost certainly attributable to the fact that Ukrainian Major General Andrey Kovalchuk boasted to the Washington Post

in December about how Kiev tested blowing up the dam with US-supplied HIMARS missiles late last year.

Russian Foreign Ministry spokeswoman Maria Zakharova made sure that the entire world knew about this too by bringing it up during a press briefing the day after. She rhetorically asked

US officials “Were you aware of how American weapons, the weapons that are being supplied to Ukraine, are used? That trial tests of a terrorist attack against civilian infrastructure in third countries are being made? These are the questions that we directly pose in the public space before the White House; you must answer them.”

Considering that the US officially regards the dam’s destruction as a war crime, which its Alternative Representative to the UN for Special Political Affairs Robert Wood emphasized

during Tuesday’s Security Council meeting about this, it has every reason to support the investigation that Turkiye just proposed. As for Kiev, it insists that Russia was to blame, so refusing to participate in a truly neutral multilateral investigation would come off as incredibly suspicious by suggesting that it has something to hide.

The US and Ukraine, which are the principal antagonists in the NATO-Russian

proxy war, are therefore pressured to go along with this initiative from their mutual Turkish partner lest they risk stoking speculation that they’re afraid of a dark truth emerging. Neither can credibly imply that Ankara has any ulterior motives in proposing this investigation either since it’s a NATO ally that’s consistently voted against Russia at the UNGA and has even armed Kiev with drones

for use against Moscow’s troops.

Therein lies the reason why President Erdogan’s proposal was such a genius soft power move since it puts those two in a dilemma. Going along with the investigation risks revealing incontrovertible evidence that Kiev blew up the Kakhovka Dam while declining to participate makes them look guilty in the court of public opinion. Regardless of whatever they choose to do, Turkiye comes off as responsible member of the international community, which boosts its global prestige and especially that of its multipolar leader

I am from Denmark myself, I have lived in Chongqing for the past four years but I have also spent time in Beijing, Shanghai, Changsha and Luoyang.

Many Chinese people tend to think that Chongqing girls are very beautiful. But like some others have said, it is an Asian beauty that isn’t always appreciated in the same way by foreigners. I am generally more attracted to Asian girls than western girls so I might be a bit biased. My girlfriend is a Chongqing local and when I tell people that, they’ll joke that I am very lucky to have such a beautiful girl. One thing that Chongqing girls have going for them is their light skin due to the sun mostly being covered, and because Chongqing is very hilly they often also have nicely defined legs and butts which I think any guy would appreciate. On top of that, Chongqing girls have long legs and I think the average Chongqing girl is a bit taller than most other chinese girls.

As far as I understand, the girls in Chongqing are also favorites because of their attitude. I find the girls here, especially in the main shopping areas, tend to dress nicely, they do their makeup quite well and also dress for their figure. They have a better sense of fashion and style than girls I’ve met in smaller cities like Luoyang and Changsha. But girls in Shanghai tend to be dressed in a more sophisticated manner, perhaps more classy, and I tend to like that more personally.

Chinese guys tend to like girls from the south because they are more cutesy and more dependent on their partners whereas girls from the north tend to be stronger and taller. Similarly, Chinese girls tend to prefer guys from the north because they’re taller and stronger. Many guys in Chongqing aren’t very tall and can appear less dominant than their northern counterparts.

But I also see a lot of girls in Chongqing whom I consider to be average looking. It is not that everyone here in chongqing is beautiful, but I do think that the beautiful girls here are in fact very beautiful. It may also be common in other cities but now that spring is here you’ll often see photographers with long zoom lenses hanging out around the malls photographing the pretty girls from a distance. It seems commonplace but I, personally, find it slightly on the creepy side.

Why are Men Saying NO ?

For the 20-sometings out there…

Medvedev: “British Politicians Now Legitimate Military Target for Russia”

2023 06 11 08 04
2023 06 11 08 04

British politicians are now a legitimate military target for Moscow, a senior Russian official said, after the U.K.’s Foreign Secretary James Cleverly argued Ukraine has the right to use force within Russian borders.

Speaking in Estonia Tuesday, Cleverly said Ukraine “has a right” to project force “beyond its own borders” as part of its self-defense, following a series of drone strikes that hit Moscow’s wealthiest neighborhoods.  The map below shows the Drone impact locations:

2023 06 11 08 05
2023 06 11 08 05

The U.K. minister argued that Kyiv striking inside Russia would “undermine” the Kremlin’s ability to continue its war in Ukraine, which has officially denied responsibility for the attack.

Dmitry Medvedev, former Russian president and deputy chair of the Russian Security Council, hit back on Wednesday arguing that the U.K. is “de facto leading an undeclared war against Russia” by supplying Ukraine with military aid and specialists.

“That being the case, any of its public officials (either military, or civil, who facilitate the war) can be considered as a legitimate military target,” he wrote on Twitter.

Medvedev, who regularly makes blunt remarks about the war in Ukraine and has called for the killing of Ukrainian President Volodymyr Zelenskyy, warned: “The goofy officials of the U.K., our eternal enemy, should remember that within the framework of the universally accepted international law which regulates modern warfare, including the Hague and Geneva Conventions with their additional protocols, their state can also be qualified as being at war.”

Cleverly’s remarks meanwhile appear to be at odds with the U.S.’ position. White House Press Secretary Karine Jean-Pierre said at a briefing Tuesday that the U.S. was still gathering information on the reports of drones striking in Moscow.

“We do not support attacks inside of Russia. That’s it. Period,” she said.

Sheryl Crow – All I Wanna Do

  1. It started out as strong in agriculture;
  2. Then it developed a writing system;
  3. Then it developed an urban community and culture;
  4. Then it developed a system of government bureaucracy which would unite the urban and rural cultures under a single government bureaucracy;
  5. The government bureaucracy engaged in building canals to open up more land for agriculture; this made the population go up;
  6. Then it developed a military system to defend itself from nomadic cultures in the north.
  7. With Confucianism, the Chinese developed a practical social and political philosophy for social order which did not depend on worshipping any gods.

Basically, it started small and built up and became stronger over time. The writing system and Confucianist political philosophy attracted the interest and adoption of kingdoms around China’s periphery.

This meant that when it came to adopting an urban culture, political and social philosophy and writing system, Annam (Vietnam), the kingdoms of the Korean peninsula and Japan, these kingdoms mostly copied then modified what they got from China. For hundreds of years, being able to read and write in classical Chinese was the mark of the educated elite ruling class.

A sophisticated agriculture and food distribution system meant that Chinese dynasties were able to feed much larger populations than found in Europe.

I was a primary school teacher for barely one year and accompanied my school to an away primary school netball game!

At one point during the game the home team was very rough and mean towards my team so much so they drew blood!

After 3 of my players received very serious scratches requiring first-aide I shouted from the sidelines. “Stop being so vicious!”

The teacher/coach overheard my comment and immediately stopped the game!

She then demanded that I explain to her why I was calling her players “vicious?”

I explained to her that they were unnecessarily rough and was demonstrating poor sportsmanship with verbal abuse!

She immediately ended the game!

She claimed that she ended the because I was disrespecting her players while I believed she ended the game because we were ahead by 10 points!

Uuummm … not only vicious but sore losers… 😂!

Blessings!

Lenny Kravitz – It Ain’t Over ‘Til It’s Over

Years ago I worked at a business that had a big construction job located across the street. Our neughborhood was really rough. There was lots of crime, a homeless encampment out back and city lockup on the corner. From time to time some nitwit would try to park a big construction vehicle in our lot, which inhibited our doing business. Normally I’d see them parking outside my office window and ask them to move but once in a while I would be running around someplace and wouldn’t know how to get rid of the vehicle once the driver had left.

One day I got back to my desk and noticed a big dump truck was in our lot but I was too busy to deal with the problem just then and the truck was gone the next time I looked. I was the last person leaving that day, several hours later. An angry construction worker approached me asking where I’d had his truck towed to. I assured him I hadn’t had it towed, in fact, it’s quite difficult and costly to get a vehicle that size towed so I was certain that my employees hadn’t had it towed either. He asked me where it was then. I wanted to say it wasn’t my day to watch it but instead told him that one of his coworkers must have retrieved it. He said “no” and I asked who else had access to the key. He responded “no one, They were in the truck.” I expected him to realize his mistake but he still seemed to believe I’d hidden that giant truck. I wished him luck and went home. I assume his boss explained why he was fired after he filed a grand theft report.

Name 1, just 1, product or service that Canada sells to China that is irreplaceable. That is called market-making power.

America has its chips, airliners, pharmaceuticals, instrumentation, and of course, the dollar.

What does Canada have?

Canada is 38 million, blessed with unnatural abundance in natural resources. The economy is primarily commodity export driven, and joined at the umbilical with the US’s equally unnatural consumption.

Canada will rank 17th out of 33 administrative regions (inclusive of HK and Macau) for population. If Canada were to pit itself against Shaanxi, the 16th-ranked province, there may be a story.

Otherwise, it is just senseless chatter.

Edit: From the comments, for posterity. God save the king, for he doesn’t need enemies surrounded by ______.

China actually buys a decent amount from us. They are also invested in a good number of companies here. In order for China to get access to the North American automotive sector, in most cases they need to run factories out of Canada, USA or Mexico. We have a pretty skilled labour force with an attractive currency and health care system.

We also supply oil, grains, NG, fertilizer, beef and teach the idiots over there how to mfg our high tech jobs we sent over and can bring back if need be.

So if you wanna sit there and discuss who's dick is bigger, go right ahead…. We don't need shit from China, because at the end of the day we are fully capable of supplying all the resources and food needed here, while subbing out the cheap mfg/labour to other countries.

You will continue to make shit for us.

Hoppin’ John

Hoppin’ John is one of the finest comfort foods of the South, and it is traditionally served at the New Year.

Hopping John SQ
Hopping John SQ

Ingredients

  • 1 pound smoked sausage, sliced, or 1 pound pork, cubed
  • 4 (15 ounce) cans black-eyed peas, undrained
  • 2 (10 ounce) cans Ro*Tel
  • 3 cups beef broth
  • 1 cup finely chopped onion
  • 3/4 cup chopped green bell pepper
  • 2 teaspoons Cajun/Creole seasoning
  • 1/2 teaspoon garlic powder
  • 1/4 teaspoon black pepper
  • 1 1/2 cups uncooked rice

Instructions

  1. Cook sausage or pork in a large skillet until browned, about 5 minutes.
  2. Place sausage in slow cooker. Add remaining ingredients, except rice. Cover and cook on LOW for 6 hours.
  3. Pour uncooked rice into slow cooker. Stir. Cover and cook on HIGH for 20 to 30 minutes, or until rice is tender.

This Billionaire just DESTROYED America’s woke school system

“Today I was extremely sad and I thought “I can’t wait to go home and see my cat, he will make me happy”.

Ok well I found out he was more depressed than me”

main qimg 63f9fbb8516e5a6b331de12639e07d79
main qimg 63f9fbb8516e5a6b331de12639e07d79

The Story Of The Chinese Farmer

Once upon a time there was a Chinese farmer whose horse ran away. That evening, all of his neighbors came around to commiserate. They said, “We are so sorry to hear your horse has run away. This is most unfortunate.” The farmer said, “Maybe.” The next day the horse came back bringing seven wild horses with it, and in the evening everybody came back and said, “Oh, isn’t that lucky. What a great turn of events. You now have eight horses!” The farmer again said, “Maybe.” 

The following day his son tried to break one of the horses, and while riding it, he was thrown and broke his leg. The neighbors then said, “Oh dear, that’s too bad,” and the farmer responded, “Maybe.” The next day the conscription officers came around to conscript people into the army, and they rejected his son because he had a broken leg. Again all the neighbors came around and said, “Isn’t that great!” Again, he said, “Maybe.”

The whole process of nature is an integrated process of immense complexity, and it’s really impossible to tell whether anything that happens in it is good or bad — because you never know what will be the consequence of the misfortune; or, you never know what will be the consequences of good fortune.

– Alan Watts

.

.

.

Passport bros and the African-American women that they enrage

I learned something today. Just stop talking to Americans on social media. They are angry, rude, insulting, and just real dicks. I think that in the future, the term “American” will be synonymous with asshole.

In other “news”…

My wife was in a minor accident. A teenager, without a drivers license, and driving an unlicensed scooter ran in front of her, and it was a minor fender bender. Luckily the location had a traffic cop right there. (It happened right in front of him.)

No harm done. Some scrapes. We declined to do anything about it, and so the kid just got back up on his scoot and hurried away to work.

It could of been worse. But wasn’t. Good thing.

Today’s post.

In 2015, a man named Joel Burger married a woman named Ashley King. Burger King decided to fully fund the ceremony:

main qimg de1374821f35b1ddffa97cd26fa6b6d5 pjlq
main qimg de1374821f35b1ddffa97cd26fa6b6d5 pjlq

Seriously…

What are the chances???

But things don’t stop there. The buzz was so much that the staff of the restaurant line decided to contact the couple and say that they would cover all the costs of the ceremony.

How not to love?

Joel and Ashley said in an interview that they have known each other since kindergarten and that they were even united because all of their classmates thought the union of their names was funny.

My 10-year-old daughter came home from school one day and walked into my office. I looked up, scowled and spat out, “And what do you want?”

She thought a moment and said, “You know, Dad, you sound angry. But you’re not angry at me. You’re angry for some other reason and you’re taking it out on me.”

That stopped me cold. When I thought about what she’d said, I knew she was right. And I knew that I couldn’t snap at her again, not without good reason. In fact, I couldn’t snap at anyone again, not without good reason, and that good reason would have to be very good.

And that changed my life.

Resistance is futile. Look at the map, can you find Taiwan? The only salvation is to surrender as fast as possible to avoid bloodshed. Longer the resistance the more bloodshed it will be. Look no further than Ukraine.

Nobody ever used the formal board room.

Well, that might be an exaggeration — technically the quarterly board meetings were held there, but that was it. And I always knew when those were happening because they were a Big Deal and required days of preparation on my part every time.

As the office manager for the Houston branch of a medium-sized oil & gas company, I knew everything that went on in that space. Every morning I made the rounds of the office — straightening chairs in the two smaller conference rooms that actually saw regular use, refilling drinks in the fridge, wiping down spots the janitorial crew had missed…that office was my domain and I maintained it with pride.

Every morning I poked my head into the board room and it was always exactly as I had left it, in pristine condition, because nobody had opened the door except me.

When my fibromyalgia started a major, weeks-long flare up I did my best not to let it affect my job, but by lunchtime each day I was exhausted and in a lot of pain.

My desk was in the reception area of the office and it would obviously look less than professional for me to put my head down or otherwise try to rest there, so I took to crawling under the 12 foot long conference table in the board room to nap through my lunch hour most days.

This would usually perk me up enough to make it through the rest of the day, and since nobody ever went in there, it was no different from me walking to a nearby restaurant for my lunch break. I kept a large shawl/scarf folded in my desk that I used to cover up if it was chilly, and my three-ring binder had a puffy cover that served well enough as a makeshift pillow. Anyone seeing me walk into or out of the room would see me carrying a folded up scarf and a binder; nothing unusual.

One day I was curled in a painful ball under the table, unable to sleep (as was often the case) but enjoying the chance to relax and recharge, when the unthinkable happened — the conference room door opened and I heard multiple male voices chatting loudly.

A Senior Vice President had invited a couple of friends to the office and was giving them the grand tour before leaving for lunch with them.

I froze and took stock of my position under the table and that of my belongings. There was a non-zero chance that, from the angle of the door, the intruders would think a few chairs were pushed away from the back side of the table where I had crawled underneath (bad enough in my estimation because I always kept the conference chairs perfectly spaced and aligned) but not realize anyone was in the room.

Alas…the SVP was one of those lovely execs who doesn’t think they’re too good to push in a chair. He walked around the table and when he leaned to straighten the first chair, our eyes met.

I gave him a panicked, “No, shhhh, nothing to see here!” gesture (probably looking like I was having some sort of seizure that involved slitting my own throat) and after a startled “Oh!” he proceeded to push the chairs closer to the table but not close enough to hit me.

He then smoothly guided his friends back out of the board room and a few minutes later I heard them leave the office. I shakily bundled up my stuff and returned to my desk, wondering if I would be in trouble when he got back and how I would explain myself.

Upon his return from lunch he asked very formally if he could please see me in his office for a minute. I grabbed my notebook and pen and followed him with my head down.

After closing the door he told me to sit down and then asked me in a very kind voice if I was okay, if there were problems at home, and if I needed anything.

Tears sprang to my eyes and I fought to keep from breaking down. He knew about the fibro — all of my bosses did, because sometimes during a flare up I walk funny and fibro fog

is a real thing — but he hadn’t realized how bad it was or how hard it could be to get through a work day.

He assured me that he wouldn’t say anything to anyone and I was free to continue resting in the board room anytime I needed to. He also told me that if there were days I was having a hard time, I should let him know and he would come up with a reason for me to arrive late or leave early from the office.

I’ve never forgotten his kindness. In a situation when he had every right to demand an explanation, he offered a sympathetic ear and support I didn’t expect.

Almost four years since we each left that company, I ran into him at Jason’s Deli a couple of weeks ago. We said we should have lunch; we probably won’t, but it made me smile to see him. There are indeed kind humans out there, even as corporate executives.

The Black Woman Was Weaponized To Destroy The Black Family

Watch this. See the other side.

When I was a student at Salford University I met a group of American exchange students from Detroit.

They wanted to see as much of the U.K. as they could whilst over here and one of the trips they booked was a coach tour around North Wales. Excitement started to grow when I told them Wales is another country, separate from England.

A couple of days before they were due to leave I asked if they’d managed to get their entry visas through in time. They all started to get very worried as it hadn’t occurred to them they’d need a visa.

“Don’t worry,” I said, “they hardly ever check them anyway and the coach probably won’t even stop at the border. Just wave your passport at the window as you drive past, they’ll see you’re American and everything will be fine.”

When they got back a few days later I had a massive roasting. Apparently the bus was full of Americans and the girls had asked the driver to let them know when they were approaching the border. As they drove through an entire bus full of Americans all waved their passports at the sheep in the neighbouring fields. The driver, so I’m told, didn’t stop laughing for the rest of their excursion.

main qimg 9ea8abd8fcf05e58b5b79bbd37d8c289
main qimg 9ea8abd8fcf05e58b5b79bbd37d8c289

A whirlwind of changes is taking place in the global financial markets threatening the superiority of the U.S. dollar. A handful of countries in Africa, Asia, Latin America, and Europe are looking to end reliance on the dollar and promote BRICS or their native currencies. Iraq banned the U.S. dollar, posing a hefty fine and jail term for anyone trading with the USD.

The Iraqi government banned entities from initiating business transactions with the U.S. dollar. Iraq aims to control the fluctuating black market exchange rate, that plagues the country for decades. The move is also positioned to strengthen the usage of the Iraqi Dinar in the Forex markets.

Offenders who trade in the U.S. dollar will face a penalty of up to 1 million Iraqi Dinar. Repeat offenders will also face a jail term of one year and have their business licenses overturned.

The South African BRICS ambassador confirmed that European countries have expressed interest to join the BRICS alliance. He did not reveal the names of the European nations but hinted that a global financial change is brewing. According to recent developments, all arrows point towards France and Belarus showing interest to join BRICS.

France settled an LNG gas trade with China by settling the cross-border transaction with the Chinese Yuan in March. French President Emmanuel Macron also called for the European Union to distance itself from the U.S. dollar.

Great question! He was WAY worse in real life compared to Gladiator.

main qimg c598ccfe7d54001950dcd86948733699 lq
main qimg c598ccfe7d54001950dcd86948733699 lq

Gladiator portrays Commodus as this obsessive power-hungry monster concerned with the love of the people above all.

In reality, Commodus was a vain, sick, and evil bastard that caused tons of suffering to countless people and cared only for himself.


Commodus’s had a good father to learn from. His dad and Emperor, Marcus Aurelius, was a top-tier Emperor who worked very hard to maintain the strength of the Empire.

main qimg 73e68d8e24b3a1ca93afa2c483e82f46 lq
main qimg 73e68d8e24b3a1ca93afa2c483e82f46 lq

When Marcus died and passed power to his son he was at the end of a years-long war against the Germanic tribes along the border, specifically the Marcomanni. These tribes had long been a serious problem and had been raiding the Empire constantly for centuries.

Marcus was closing in on victory when he died and made his son promise to finish the wars. Once Commodus was in power though he decided that he just didn’t want to spend any more time fighting the Germans and ended the war with a crappy peace deal.

main qimg b2aa0f1856d973a83b032aa51c8d262c lq
main qimg b2aa0f1856d973a83b032aa51c8d262c lq

Back in Rome, there were problems. Commodus could care less though.

You see Commodus never wanted to be Emperor. He only ever wanted to be a gladiator.

So Commodus appointed his two best friends (both slaves) to run the Empire while he went off to be a gladiator.

This was bonkers FYI. Gladiators were slaves who died for the amusement of the people. To see an Emperor fight as a gladiator is like seeing the President walk the street as a hooker trying to turn tricks.

While Commodus trained and fought his buddies mucked it all up. Rome would experience a famine, economic hardship, and social upheaval all while Commodus played gladiator.

Commodus would nearly bankrupt the treasury his father had built up by throwing near-constant gladiator games. You see Commodus loved to fight in the ring, though his opponents had dull swords and could never win. Mostly though Commodus enjoyed killing animals.

Moreover, Commodus loved to kill people he deemed “weird”. This includes women, dwarfs, disabled people, mentally ill people- you name it. He really enjoyed making them suffer often slowly killing them to show off his sword or bow skills.

In the end, the idiot would leave a “people I am going to kill” list on his desk for his mistress to find. The chief name on the list was hers, Commodus’s trainer, and a number of Senators. They decided to strike first and Commodus was strangled to death in his bath.

This was just last week, I was waiting for my wife to finish shopping at the (OMG soooo expensive) Westminster Abbey shop, when a group of American girls reached the till, (checkout) for payment, one of them proudly presented her black Amex card. Now the spotty lad on the till who was doing his best to control the queue said “sorry, we don’t accept this card”. Now this is when things started to get interesting, the young girl, maybe 17 or 18 years of age, I say girl because she was no lady, screams at the boy, “of course you take it, are stupid?” Now the spotty lad kept his cool and showed her the card indicating the payment options and surprise, surprise, NO AMEX . Well the girl turns bright puce and makes another attempt to explain to ‘spotty’ he is wrong. Shouting quite loudly now she says, “you have to take it, because the brochure says ‘accepted in the best places all over the world’ Is London not one of the best places”? ‘Spotty’ said yes it is, but you still can’t use it here. The girl just looked at her friends, screaming like a two year old who has been told ‘No’ and stormed out of the shop. “You could hear a pin drop” until an elderly gentleman in the queue said “well done lad, bloody yanks think they own the place” this was greeted with murmurs of approval, and normal service was resumed.

Chinese experts have developed a bomber ammunition that resembles the Switchblade. The drone is called Yousun, but all parameters are being kept secret.

Here’s What is Known:

The hovering munition looks similar to the Switchblade drone developed by the US company AeroVironment. But the key feature of the Yousun is the ability to launch from ships and submarines.

As the drone can also be launched from under water. This will have major implications for China’s warfighting capabilities in East Asia.

2023 06 06 20 54
2023 06 06 20 54

The kamikaze drone is designed to destroy defensive fortifications. It is 2.5-3m long and equipped with folding wings. The video above shows the bomber unfolding its wings after launch.

2023 06 06 20ds 54
2023 06 06 20ds 54

This happened here in Mumbai.

A girl was being married against her wishes.

People thought she would kill her wishes for her parents’ happiness.

Here’s how she acted bravely:

(In Islamic law a jurist has to ask the bride and the groom if they accept each other, thrice.)

1st time…

When Kazi (Muslim Jurist) asked her, “Do you accept it?”

She was supposed to say, “Yes, I accept.”

She: “Mujhe Qubool nahi hy” (I don’t accept this).

2nd time…

Kazi (Worried): “Kya tumne Qubool kiya?” (Do you accept it?)

She: “Mujhe qubool nahi hy” (I don’t accept this.)

*Now her parents got worried* *Her parents took her in a separate room, cried, argued, did everything to convince her to say yes. Finally she agreed to say yes.*

3rd time (Final time)…

Kazi (Sweat running down his head): “Kya tumne Qubool kiya?” (Do you accept it?)

*After a pause*

She: “Mujhe Qubool nahi hy” (I don’t accept it!!)

“Damnnn..!!! girl what did you do?!?” crowd uttered from all sides. There was chaos.

She refused it thrice. Now as per Islamic law, a marriage is not possible between them.

Finally, her parents had to agree to let her marry her boyfriend (a different man).

Brave girl.

Lucky is that guy, her boyfriend, to have such a courageous girl.

USA Begs Mexico On Its Knees To Not Join BRICS

The Producers (1968) The Hitler Auditions

TWO (2) ***ACTIVE DUTY *** British Soldiers KILLED inside Ukraine

.

2023 06 06 11 24
2023 06 06 11 24

Last night in Ukraine, two British soldiers were killed by Russian Su-24 bombers which annihilated their multi-million dollar UK Storm Shadow missiles at Kropivnitskiy airfield in Ukraine.

In addition, at least one (1) critically injured ***ACTIVE DUTY** UK soldier was airlifted to Poland from that same strike scene.

Thus, active duty NATO military are, in fact, inside Ukraine where they do not belong, and now, they’re getting killed.

Why China Doesn’t Identify with the West, Explained

so I decided to walk over to them and I asked her ” how was the meeting today darlin? ” she looked at me and said ” it was good, I’ll tell you more about it when we get home in a few “. I replied with ” wonderful I’ll pick up your favorite for dinner”.

The two guys left in a hurry and she told me ” you have no idea how much that meant to me …. thank you.” I said “you’re very welcome ma’am …. you can never be too careful. “

I made sure the guys left before I walked back to my car and as I was walking back all I could do was think ” I hope a man does that for my future daughter one day”.”

~ Cody Bret

main qimg c78a8b0131cc3075be5bb0008b1c011d
main qimg c78a8b0131cc3075be5bb0008b1c011d

China is a far better place to live than the US!! They won’t admit it, though.

She’s right. Cashless is awesome!

BRICS is moving at a rapid pace to sideline the U.S. dollar and promote their native currencies for global trade. Around 41 countries have expressed their interest to join the BRICS alliance and accept the new currency for cross-border transactions.

2023 06 06 20 50
2023 06 06 20 50

Russia and China are convincing many other countries to enter the bloc to dethrone the U.S. dollar. The USD’s global reserve status is being challenged by developing nations and could send the greenback on a path of decline.

10 ASEAN countries have agreed to stop trading in the U.S. dollar and will use native currencies for cross-border settlements. ASEAN is a bloc of 10 countries compromising Brunei, Cambodia, Indonesia, Laos, Malaysia, Myanmar, Philippines, Singapore, Thailand, and Vietnam.

The ASEAN alliance put a declaration in place avoiding the U.S. dollar for settlements and advancing the local currency usage. The Eastern countries are taking steps to end reliance on the dollar and create a new global financial order.

On the other hand, the Gulf Cooperation Council (GCC) countries are following suit with the ASEAN bloc. Member nations of GCC Bahrain, Kuwait, Oman, Qatar, Saudi Arabia, and the United Arab Emirates have expressed their interest to join BRICS. In addition, Saudi Arabia is in talks to fund the BRICS bank, commonly called The New Development Bank (NDB).

If Saudi Arabia funds the BRICS bank, the alliance could receive an economic boost and sideline the U.S. dollar. The move could attract many other countries to accept the BRICS currency and stop trading with the dollar altogether. Read here to know more details on why Saudi funding the BRICS bank is dangerous to the American economy.

The decision to launch a new currency will be jointly taken in the next summit in South Africa in August.

“We have ALIEN craft in our possession” – Govt. UFO whistleblower admits BOMBSHELL

The USA needs a new enemy.

Below another bad news for US decoupling policy:

2023 04 25: Foxconn new headquarter open in China 郑州 (Zheng Zhou)

This is after experiencing the trouble in the Vietnam, India, and US factories, and APPLE transfers businesses to other Chinese manufacturers due to Foxconn other factories unable to operate smoothly in those countries as planned. So, 10 months ago, Foxconn decided to set up a second factory in Zheng Zhou.

Article HERE

UPDATED 9:50 PM EDT — Ukraine begins ‘large-scale offensive’ – Russian MOD

.

2023 06 06 11 26
2023 06 06 11 26

UPDATED 9:50 PM EDT — Ukrainian forces have attacked the Russian troops along five sections of the frontline in Donbass during their “large-scale offensive,” the Russian Defense Ministry said in the early hours of Monday.

According to the MOD, the assault began on Sunday morning. “The enemy’s goal was to breach our defenses in what they assumed was the most vulnerable section of the frontline,” the ministry said in a statement.

“The enemy has failed to reach its goals and was unsuccessful,” the ministry stated.

The MOD said that Ukraine had deployed the 23rd and the 31st mechanized brigade from its “strategic reserves,” which were supported in battle by other units.

“The Ukrainian Armed Forces have lost more than 250 service members, 16 tanks, three infantry vehicles, and 21 armored vehicles,” the MOD said.

The ministry released a video of what it said were strikes on Ukrainian military vehicles.

Ukrainian President Vladimir Zelensky said on Saturday that Kiev was ready to launch its long-planned counteroffensive and that the military could not wait “for months.” The deputy head of his office, Igor Zhovkva, however, said the same day that his country had still not received enough weapons and ammunition to mount a successful campaign.

Kiev has recently stepped up the artillery and drone attacks on Russian cities, including a UAV raid on Moscow last week. The Russian Defense Ministry said on Sunday evening that the troops had repelled an armed incursion into the Belgorod Region, which shares a border with Ukraine.

The Russian Volunteer Corps (RDK) and the ‘Freedom of Russia’ Legion – two pro-Kiev groups made up of fighters with neo-Nazi background – claimed responsibility for that attack and similar forays into Russian territory that took place throughout this spring.

Belgorod Governor Vyacheslav Gudkov wrote on his Telegram channel early Monday morning that a drone strike had started a fire on “an energy infrastructure site.” He added that there were no casualties and no power outages.

UPDATED 9:50 AM EDT —

Ukraine Army (UA)  forces have breached the first lines of defense near Velyka Novosilka, Southern Donetsk.

The villages of Neskuchne and Novodarivka have been liberated and russians have fallen back to reserve positions in Storozheve.

Assaults ongoing.

The Reason why Men are Walking Away from Dating (Ep. 347)

I don’t want to offend anyone.

I feel for the women, but see the men’s side of the story.

“Rainy Day In Ireland”

2023 06 06 11 31
2023 06 06 11 31

Pennsylvania Dutch Sour Cream Cabbage

2023 06 06 16 15
2023 06 06 16 15

Ingredients

  • 1 medium head cabbage, shredded
  • 1/2 cup vegetable oil (for frying)
  • 1 teaspoon salt
  • 1/2 teaspoon ground black pepper
  • 2 cups granulated sugar
  • 2 tablespoons all-purpose flour
  • 1 pint (2 cups) sour cream
  • 2 cups distilled white vinegar

Instructions

  1. Heat oil in a large skillet over medium heat.
  2. Add cabbage, salt and pepper and cook until tender, 15 to 20 minutes.
  3. Mix sugar and flour together in a medium bowl, then add sour cream and mix well; finally stir in vinegar and mix well.
  4. Add mixture to cabbage and simmer all together until desired consistency is reached.

AFRICAN Woman DEFENDS Passport Bros And CLAPS Back At Black American Women

So much pain. Ugh.

The answer is in how you deal with it.

https://youtu.be/hPQG5LjuiJA

There was a journalist(s) on the Canadian warship. Experience tells us that the Jun3 incident was a plot by USA+Canada to give people an impression that China was aggressive. After all, in the 20th Defense conference, China Defense Leader talked to counterparts of many countries. Except USA.

Anyway, what is Innocent Passage under UN Convention of Law Of Sea? Below are my over-simplified points.

Innocent Passage ie friendly, thru the waters of coastal state means …

the passage does not harm the peace, good order or safety of coastal state.

The following action is NOT Innocent Passage (ie hostile) to the coastal state

1, do military

2, do military drill

3, do surveillance

4, do propaganda to harm the defense & safety

5, aircraft carrier with warplanes taking off or landing

6, shoot, load or unload artillery equipment

7, break custom, immigration or health-related eg illegal drug

8, cause pollution

9, fishery

10, research or survey

11, interrupt communication system

Coastal state has the right to prevent non-innocent passage, by …

1, set up its own laws

2, ban fishing

3, temporarily block Innocent Passage

4, self determine another seaway as Innocent Passage

5, set up a system to monitor the passing ships

6, patrol

7, to suspicious ships, coastal state can go on board, inspect, search, detain & take proper action

8, order to leave before certain date

Let us play judge re the Jun6 incident.

1, China has warned US+Canadian warships to leave. China said USA+Canada were non-Innocent Passage ie hostile. I have not heard USA or Canada refuted.

When a US warship sailed thru Taiwan strait the 1st time after Pelosi’s trip to Taiwan, the artillery on the US warship pointed at the sky. Have US+Canadian warships done so this time? Or have they covered their cannonballs? Or more.

Tell us their friendly side, please. So that we can help them scold China.

2, UNCLOS says coastal state can set up its laws to prevent non-innocent passage. Plus point 7 re suspicious. That gives China lots of room to maneuver.

Like it or not, under ONE CHINA principle, Taiwan is part of China. Taiwan strait is an inner sea of China.

It is not up to USA to unilaterally say this or that. Today’s Latin American, Middle East, Africa or ASEAN do not take US order. Needless to say today’s strong China.

3, What is Freedom of Navigation in terms of dispute?

In short, it is another state challenging the Innocent Passage of the coastal state.

9 Filipinas CLAP Back HARD | They ❤️ Passport Bros

They do not want to be the scapegoat.

Only in the United States, the cradle of Democracy

This is Dianne Feinstein

2023 06 06 16 22
2023 06 06 16 22

  • she is 89 years old
  • she is a Senator
  • who almost never show up at the Senate
  • she is reported to have cognitive issues, similar to President Joe Biden
  • as well as suffering from some very painful disease
  • during the course of her tenure in office, she has amassed over $200 million in assets

Elected American officials are allowed to put their own interests and ego over the interest of the American people. Americans deserve better. They deserve leaders who can lead them to a better place.

Passport Bros Are WINNING With FILIPINA Women!

Again. They are sick and tired of being blamed.

On Saturday June 3, 2023, a historic event happened in the Taiwan Strait.

A Chinese warship intercepted an American destroyer. The USS Chung-Hoon claimed to have asked the Chinese ship to stay away from it but the Chinese responded "Move, or there would be a collision". Eventually, the USS Chung-Hoon changed course and slowed down to avoid a crash.

That's the right attitude and the right language when dealing with an international bully.

There is a time for diplomacy but there is also a time for right assertiveness. And right now, so-called diplomacy would undeniably be cowardice.

Tot Ziens ! Quan

Article HERE

You Can Talk About PA55PORT BRO5 But Don’t Talk About Them | LESSON LEARNED

Fighting back.

Russian Ministry for Civil Defense Has Got a Bizarre Honey Cake Employee Now

photo 2021 08 21 17 31 55
photo 2021 08 21 17 31 55

In the city of Tula the Russian EMERCOM (Ministry of the Russian Federation for Civil Defense, Emergency Management and Natural Disasters Response) has got a new mascot and employee – the honey cake of Tula (which is the symbol of the city). Mr. Honey Cake even has his own offical ID and a medal for propaganda of the rescue work.

h/t: englishrussia

photo 2021 08 21 17 32 12
photo 2021 08 21 17 32 12

photo 2021 08 21 17 32 09
photo 2021 08 21 17 32 09

photo 2021 08 21 17 32 07
photo 2021 08 21 17 32 07

photo 2021 08 21 17 32 04
photo 2021 08 21 17 32 04

photo 2021 08 21 17 32 02
photo 2021 08 21 17 32 02

photo 2021 08 21 17 31 59
photo 2021 08 21 17 31 59

bigpicture ru p phtdm28q4
bigpicture ru p phtdm28q4

The US Has NO CHANCE of Defeating China in Taiwan

Real.

Allies after allies now turned against the United States

The newly re-elected Government of Turkey’s Interior Minister Suleyman Soylu, speaking to a crowd from a balcony promised that Turkish President Recep Tayyip Erdogan would:

  • “wipe away whoever causes trouble” for Turkey “and that includes the American military.”
  • Earlier, he declared that those who “pursue a pro-American approach will be considered traitors.”
  • Keep in mind that Turkey has been a member of NATO AND the most critical NATO member after the United States

2023 06 06 19 28
2023 06 06 19 28

Personally, I wonder why this took so long

  • the US supported an attempted coup against Erdogan. The leader of the coup is based in the US; and
  • American proxies, based in Syria, have launched attacks in Turkey
  • however, it does NOT surprise me that it is the Muslim countries that are now strongly speaking out against the US because the US and its western allies have killed and dislocated tens of millions of them in just the last two decades alone

A powerful Muslim world is currently increasingly convalescing behind the BRI world and will provide decisive military support to China in any future conflagaration.

Biden Is ‘In Denial’ Over Collapse Of Empire – Economist Richard Wolff

Back in the early 2000’s I worked for Mega Evil Douchebag Corp (might not be the actual name, but that’s how I remember it…). MEDC main business was giving credit cards to people with bad credit. Seriously, you could have a single digit credit score and these people would say yes.

Due to the type of people this attracted, nearly 80% of people defaulted on their cards, usually after the first bill arrived and they realised it wasn’t free money. As staff, I also had a card, but with better rates and a considerably higher credit limit.

Because of the number of defaults, MEDC had a contract with a particularly belligerent debt collection agency. These debt collectors would come to the office once a month, go to the records room and be handed a pile of defaulted accounts. Every month they would leave with bin bags full of paperwork.

One day I had cause to go to the records room and I was amazed at what I saw. The room was exactly as the name suggests, a room full of customer records, but that’s as far as it went in terms of an accurate description. The records were everywhere. Piles on this desk, piles on that desk. Heaps on the floor. Boxes in no discernible order. It was a mess – and that’s being polite.

The debt collectors used to go into this room and were only supposed to take records from a particular desk. In fact, they took whatever they wanted.

One month, they managed to get my paperwork by mistake. This began more than six long months of letters, phonecalls, emails and debt collectors turning up at my door threatening me with bankruptcy and prison if I didn’t pay the full sum I owed them.

I didn’t owe them anything. My account was still open and my card in regular use. One of the conditions of having a card as a staff member was you had to pay the balance in full every month. I did, and could prove it.

Being debt collectors, and therefore not the brightest of individuals, they wouldn’t accept “go fuck yourself” as payment for a debt I didn’t owe, so I told them to take me to court. Eventually they did.

When I got to court I saw their solicitors huddling together, no doubt discussing how they were going to crush me under their mighty law degrees. I represented myself.

In the actual courtroom, I was sat on one side, on my own with just a glass of water and my wallet on the desk. They were sat on their side, all shiny briefcases, stacks of folders containing damning paperwork and expensive suits. I saw them look over at me a couple of times, knowing they were going to win. I just sat there quietly.

The judge walked in, introduced himself, and for my benefit, explained how this hearing would work. I sat, listened and nodded appropriately.

Before we started, he asked me why I was representing myself. I explained this was an easy case and I didn’t feel the need to waste money on a solicitor. I was also asked why I didn’t have any paperwork with me and I explained that I didn’t believe I needed any and was confident in my ability to defend myself, but that I would be asking the courts indulgence to break a minor rule during my defence, but I would explain at the time what I wanted to do, and why.

It was all very friendly. Then it was like he flipped a switch in his brain to turn “judge mode” on.

The debt collectors solicitors started as they were bringing the case against me.

According to them I had entered into a contract with Mega Evil Douchebag Corp for the supply and use of a line of credit, by means of a MEDC credit card. I had the benefit of that card (legal speak for “he used it”). I had failed to make payments as obligated by the contract, and payment demands. After a period of time, MEDC cancelled the line of credit, the card and the account, and sent the account to collections. Collections tried to contact me on many occasions, were unable to do so, and eventually sold the account to the debt collectors. The debt collectors then tried collecting the money owed over six months and were unable to do so, as I repeatedly refused to pay.

In evidence of their allegations they had the original MEDC card paperwork and all the account statements. They also provided copies of all the letters they sent me demanding payment, and proof of receipt of several of them. They stated that I told them over the phone I didn’t know who MEDC were, and that I never had a card with them.

It was a cut and dry, air-tight case. They had the contract, they had the letters, they had everything they needed to get a judgement in their favour.

In fact, they had nothing.

Now it was my turn.

I stood and immediately apologised for wasting the courts time. I opened my wallet and took out the obviously well used Evil Douchebag credit card and explained I never denied having one, or regularly using it. I then took out my company ID card, showing I was an employee of MEDC and then explained what that meant in terms of having one of their cards.

I asked for the statements being used against me and they were handed over. I showed the judge each and every time I had made full payment against the amount owed (which was every month as per the staff conditions). I then explained that the account had not been closed and sent to debt collectors as it was still open, and had been used that week to buy petrol.

The judge stopped me and asked the solicitors if my account was open or closed. They confirmed it was definitely closed.

He asked me to continue and it was at this point I asked to break the rules. I told the judge I could definitively prove their entire case was bogus by making a simple phonecall. I asked the clerk to call the customer services number on the statements the solicitors provided against me and the judge agreed. I went through security and connected to a customer rep. I asked for my current balance and the amount owed on the next payment. I then asked him to confirm if the account was listed as open or closed. He – of course – said it was open. I finally asked if he could check the notes screen for any Collections Dept activity and he said there was none. That’s where I ended the call.

I thanked the judge and quickly explained that since I studied law at A-level, there was something I had always wanted to say in open court, even though it wasn’t the done thing in the UK, and before he could say anything, I said “I rest my case”.

The judge just about went mental. He dismissed the case immediately, but refused to let the solicitors leave until they had explained why they were chasing me for a debt I very clearly didn’t owe. He wanted to know how their clients added fees were calculated, and exactly what was being charged for the “court fees” I was being charged too. He also told them that whilst I had no evidence of what was said when they visited my home and told me they would make sure I went to prison, he was inclined to believe me. Not only that, but he would now be watching out for cases involving their clients and be more inclined to accept verbal evidence than he normally would.

I just sat there and watched them squirm as they couldn’t answer any questions he wanted answers to. The judge told me I was free to leave if I wanted to, but the solicitors weren’t going anywhere until he had answers. As I left the courtroom, they were on the phone with their clients demanding those answers.

The judge told me “well done” as I left, smiling. I sincerely doubt the solicitors were smiling for quite some time afterwards.

General Ray Davis USMC fought the Imperial Japanese Army, North Korean People’s Army, Chinese People’s Volunteers, and the North Vietnamese Army during his storied career. He was awarded the Medal of Honor while commanding an infantry battalion in 1950 during the Chosin Reservoir Campaign. I had the pleasure to meet him one afternoon in Virginia. During our conversation, I asked him for his opinion on the combat performance of the various enemy armed forces he fought over the course of three different wars. His response is provided below.

  1. The Imperial Japanese Army was the toughest adversary he ever encountered on the battlefield. Japanese soldiers used their weaponry with great skill. Their camouflage and concealment was first rate. Their iron discipline and refusal to surrender made Imperial soldiers extremely difficult to defeat. They always fought to the death when overrun.
  2. Chinese People’s Army volunteers were good soldiers. A lot of them were former Nationalist soldiers who had received excellent combat training from US Army instructors during WW II. The communist cadre provided mostly excellent leadership. Most CPV command groups had worked together in combat against the Japanese and the Nationalists before the Korean War and were thoroughly trained and experienced in the art of war. But the average Chinese soldiers lacked initiative and Chinese commanders tended to double down on failure during offensive combat. If one attack failed, a second, third, etc attack would generally use the same avenues of approach and tactics even though every proceeding attack failed. Chinese weaponry was a mixed bag. Logistics appeared terrible. Uniforms were unsuited for winter combat in Korea. Thousands of Chinese died from exposure and inadequate food and medical supplies. If the cadre became casualties, Chinese soldiers tended to surrender or retreat. Overall, the Chinese were tough soldiers, but the average soldier wasn’t as committed as an Imperial Japanese soldier.
  3. The North Koreans were tough soldiers, but he only fought them briefly in Korea. Like the Chinese, North Korean units were prone to surrender or sudden withdrawals if their leadership cadre became casualties.
  4. The North Vietnamese Army was highly disciplined, well led, and wielded its weaponry with great skill. NVA commanders refused to allow their units to be wiped out in unequal battles against US forces. They knew how to hit hard and when to break contact. NVA commanders always realized it was better to withdraw and live to fight another day rather than be annihilated on the battlefield. The NVA and the NV government focused on fighting the long war and outlasting US political will. They succeeded. Nonetheless, Davis assessed that the Imperial Japanese soldiers were tougher overall adversaries.

I hope this post answers your question.

Being disabled and looking like I do there are two things I always get to overhear. Going through TSA my name comes up red flagged. Meaning check him completely.

A customs agent told me “it’s due to your Military career and past knowledge.”

What the hell does that mean? I’m in a wheelchair and have metal in my leg, shoulder, and back. I am a wand beep show. All hands pat downs in a wheelchair. You need two hips to stand, so I can’t stand. Any chance I am taking over the plane? Gunpowder tests on hands AND ARMS. OK so the Navy/CIA service made me an enemy of the state or something?

I think it is BS and it’s profiling but my wife said “no it’s not.”

Then you have people whispering when we get on first. “Bet they fake it to board first…”

I tore into a woman I heard say that. I yelled so loud the airport got quiet. Does it look like I’m faking it? I tried to get out of wheelchair and fell. Hurt. But I felt better with that. Maybe she’ll get it some day.

My wife wasn’t happy about my antics but I’m so tired of it. And she knows. On the plane though I overheard a mom telling their kid there is no difference between disabled people and us. Except a part of their body just doesn’t work like ours. She had me in tears. The best explanation of a disabled person I have ever heard explained. And plainly so a child could understand it. The kid kept asking me if I needed any help?

HURRAY FOR THAT MOM. YOU MADE MY DAY!

The F-35 stealth fighter’s Pratt & Whitney F135 engines have cost the Pentagon $38 billion of dollars in unexpected maintenance costs due, according to a new report by auditors from the U.S. Government Accountability Office (GAO)

main qimg 9e76ed5560164a669499831ccb61c4ad
main qimg 9e76ed5560164a669499831ccb61c4ad

The engine’s cooling capacity in particular has been wholly insufficient to meet the power demands of the fighter’s sensors and electronics, with the F135 having been commissioned when the F-35 was still conceptualised as a much lighter and cheaper fighter with lower power demands closer to the size of its predecessor the F-16.

The engine has gained growing criticism over the past year, with its role being particularly critical for the US Military and for NATO more broadly as the F-35 is the only post fourth generation fighter in production outside China and Russia – and the only peer level challenger to the Chinese J-20 stealth fighter in terms of avionics, stealth and the integration of key next generation technologies.

The F-35 is relied on by the U.S. Air Force, Navy, Marines and the services of multiple allied states from Japan and South Korea to Israel and a fast growing number of European NATO members, with a lack of remotely comparable competition from other Western fighters ensuring a very large market share.

The F135’s outstandingly low availability rates and excessive maintenance needs have nevertheless continued to ground F-35s at six times the standard rate of other fighter classes, with Pentagon officials having highlighted issues with the F135’s power module as a key cause for the fighter’s low mission capable rates.

The Producers (1968) – Springtime for Hitler

When a good friend, from the Marine Corps, was K.I.A., his eldest brother cracked open the deceased’s apartment, before the funeral had taken place. My friend’s widow, also deployed, hadn’t even been notified, yet. By the time she knew of the situation, their home had been ransacked by nearly twenty members of two families.

I called the brother, and offered him an out, which he blew off. Over the next ten days, I contacted each of the offending parties; only two responded, and not favorably. Then, acting on the widow’s behalf, I hired an attorney and filed police reports. I went to the D.A., who filed 98 separate charges against all of the perpetrators, including the management and owners of the complex.

After sixteen months of legal crap, nine of the family members(one was an attorney, another a university economics professor) were convicted of misdemeanors, spent between thirty and sixty days in jail, and paid both restitution and fines of $500.00–6,000.00. The eldest brother, an electrician and small-business owner, now a felon, spent eight months in jail; the widow sued him and won a $450,000.00 settlement. The apartment complex ended up terminating the managers; and, they paid the widow $2.9M.

Not one of these people had been a criminal, prior to the incident. They were simply ignorant, greedy assholes, who deserved everything they got.

main qimg 7cb3e90d8447de35c2be7b2d51225a37
main qimg 7cb3e90d8447de35c2be7b2d51225a37

Updates: The German embassy in China also manages its official Weibo account from the United States.

After learning that they had become the laughing stock on Chinese social media, both the EU delegation to China and the German embassy in China quietly switched their IP addresses back to Beijing.

main qimg 189e84567fb2ef393e316a176a6000ef
main qimg 189e84567fb2ef393e316a176a6000ef

2023 06 06 19 34
2023 06 06 19 34

Pennsylvania Dutch Chili

smallchili
smallchili

Ingredients

  • 1 pound homemade noodles or 1 (12 to 16 ounce) bag wide egg noodles
  • 1 can baked beans
  • 1 cup spaghetti sauce or less (or 1 small jar)
  • 1 pound ground beef
  • 1 onion, chopped

Instructions

  1. Brown ground beef and onion.
  2. Cook and drain egg noodles.
  3. Combine everything. You may need additional sauce if you have leftovers and warm them up later. Chili should be thick, not soupy.
  4. Serve with crusty bread.

Nessie.

Let it be well understood that there is no hard and tangible proof that Nessie actually exists. Studies and efforts to discover this enigmatic creature has provided tantalizing glimpses of what might be a small colony of deep-dwelling marine crates that resemble a elasmosauros.

main qimg e53d7e981cd00f2b8ad919477e4decf6
main qimg e53d7e981cd00f2b8ad919477e4decf6

A plesiosaurus, while superficially similar to an elasmosauros, is much smaller, and does not fit the observed and photographs of this creature.

main qimg 012407596cd8d211da0afc79220f85d9
main qimg 012407596cd8d211da0afc79220f85d9

Photographs of this creature taken underwater clearly show fin, neck and head structures that are in alignment with known anthropological evidence.

This is not the only instance of this creature surviving into contemporaneous history. From time to time, fishermen, and others have found, photographed, and even captured entire carcasses of this creature.

main qimg 8da2774afc0a492a44ec67c84b43b17f
main qimg 8da2774afc0a492a44ec67c84b43b17f

It is my personal belief that these are slow-moving bottom feeder creatures that inhabit the great depths of the ocean and enclosed seas. Over time, one day, absolute proof will be gathered that will end the mystery of Nessie once and for all, but until that happens, the interest in this OOPART borders on the “Science Fiction”.

NY Times admits they don’t like Chinese but they need Chinese talent to maintain the American hegemony.

2023 06 06 19 36
2023 06 06 19 36

The key word here is : DOOR-STEP

Door-step in this article means outside your property line. Not inside.

Let say I walk at your door-step. On the street which is a public area. I have not entered your property line.

I carry something that looks like a rifle to you. Bullet-proof vest & helmet.

I have a track record that I made trouble for other households in the society.

How will you react to my appearance at your door-step if it is daily?

Now …

replace “I” with “USA”. “my rifle” with “US warplanes/warships”. “me at your door-step” with “USA at the door-step of China”.

Remember I am outside your property line. Same for US warplanes/warships outside China’s borderline according to UNCLOS.

2, now replace “my daily” with “USA’s 1,200 times”

How will you react to my appearance at your door-step, daily?

If this is not DELIBERATE provocation & picking fights, what is it?

There is police/law to stop my malicious action towards you. If there is not, will you do everything to protect your family?

There is ICC & UN laws eg Non-Innocent Passage as I said in other articles. But ICC is believed to be “controlled” by USA. USA was not charged for war crime in the 2003 Iraq war.

Hence China must protect itself.

Now USA scolds CHINA BEING AGGRESSIVE ???

Only a bully or mafia is that unreasonable.

3, now replace “my freedom to walk on public street” with “US freedom of navigation”

Only a bully or mafia will turn the logic upside down & conveniently use Freedom as god.

It is this type of twisted logic that USA justified their action to instigate riots/coups/wars in the world 82 out of 100 times, since WW2. Causing millions of deaths & human suffering.

4, We must ask :

Why USA instigated riots/wars in Middle East for the past 20 years. OIL.

What about China? Rise of China that, in US words, threatens US status on world stage.

China works hard to better itself & thus achieves a lots. IMF said China contributes 30% of world GDP.

Am I not allowed to get A+ in my exam just because you get B- in yours?

Only sore losers have the type of mentality to contain others.

Search Quora for a question:

On 2023/6/3, a US destroyer & a Canadian cruiser sailed thru Taiwan strait. China warned them to leave but they did not. A Chinese warship sailed in front of the US destroyer & forced it to change direction. It was close to collision (137 meters apart). USA scolded China for dangerous sailing. China scolded USA for non-Innocent Passage. What is Innocent Passage under UNCLOS ?

I remember this situation when my children were little. I had two children in school. Grade three and grade two. The neighbour thought it was my children’s responsibility to take and protect her child to and from school. She said her child was the youngest, which she was not younger than my son. And she told my children that her child had to ride her bike in the center of the three children. My neighbour also told my children that they were not allowed to return home without her daughter.

I could not believe this when I heard my neighbour yelling all these rules to my children. I knew this would not last long as her child was a brat and the novelty of riding her bike would not last long. Right, as I was, after a week, this child cried to her mother and from then on, this child was driven to and from school. . . . However, my children were never offered a ride!

The only answer I can tell you is to be really bold like my neighbour was. Just tell your neighbour that it is not a convenient situation for you to be giving a ride to your child. Tell her straight that you do not want the responsibility of making sure her child gets home. It is a responsibility that is not yours and you no longer want to be giving rides to her child.

Explain to her that you enjoy having a good neighbour relationship, but anything to do with parenting and responsibilities has to remain within each home.

Be strong and whatever your neighbour says is not your problem. Know what you want for your children and your family. Fight for it.

There are outward signs, but there are very slight covert sneaky signs.

  1. They interrupt you quite a bit (they don’t care about what you’re saying or that you’re talking at all)
  2. They try to convince you of what you don’t believe – always wanting you to agree with them (in small ways they do this so they can go in for the kill on larger things later)
  3. As an addendum to #2, they try to convince you in random ways that you can’t think for yourself so they can later make you actually believe you cannot “human” on your own and you’re defective. Forget the fact that you’ve survived this long without even knowing them.
  4. They take your ideas and make them their own.
  5. They bait and switch you. They make you believe one thing in private, then do the opposite in public. They also will convince you to do something and then act like its the worst thing in the world after its done. For example, a husband finally convinces his wife to cut her hair short because she would look so sexy, chic, cute, fierce, all those adjectives. Maybe even that she’ll be his little Halle Berry kitten. Then in public or among friends, he’ll go on and on about how beautiful women are when they’re hair is long and how he looooooves long hair.
  6. They love bomb you. Anyone who flatters, says you’re they’re “soul-anything” right away or their “bestie” at an alarmingly fast rate, is setting you up for devalue. They love to put you on high so they can pull the rug from underneath you. Always remember flattery is dangerous. It’s akin to violence. Trust and believe that. And beware of the one who wants to know “all about you” and “I know you so well”, or “wow, You know me so well” before a natural passage of time.
  7. A person who doesn’t respect you will not take your No for an answer. They will question you, try to make you doubt yourself, and dismantle your sense of reasoning every time.
  8. They’re not interested in anything you’re interested in; on the flip side, they will feign interest to bate you in, and then show no lasting interest or no emotion. They shortly begin to act like they’re tolerating you or your interests, successes, etc. They also are not happy for you when you are happy about something.
  9. A person doesn’t respect you when they are short tempered with others but nice to you. Also, if you’re only good to be with in private but never in public, No respect for you.
  10. I’ll stop at 10 because the list goes on but over all the things, TRUST. YOUR. GUT. If your physiological responses are popping off in a negative way around this person, or you feel red flags, or just that something isn’t right….You’re right!

I hope this tiny little list has helped identify some of things you may possibly have experienced. There are things that we sometimes overlook in the spirit of being gracious or forgiving but the signs are there. We have to learn to manage them or we will end up all of a sudden like the guy who took a nap on a small raft- he eventually woke up and didn’t see land.

Stay awake and best to you

***Edit: Thanks to a commenter this list will be extended. By the time we’re adults, we all have observed or experienced some form of these things from the casual encounter with a stranger, to professional interactions, to that of our closest relationships. The things listed are meant to cover some of all of those kinds of relationships. So this might get a little deep but, here we go…

11. A person doesn’t respect you when you see or even detect that downward look, the roll of the eyes, that negative energy, or that smirk that communicates contempt. You don’t even have to be talking directly to them. You can see it from the corner of your eye- You are NOT imagining it. This is a real thing and they know you’re absorbing it.

12. They call you out without saying your name or reveal something very confidential in a public space (whether on social media or within a group of people). This is done without cause and whether this exposes you or not the point is, they know that YOU know they’re talking about YOU. Also, they will have you with them at public events and then actively ignore you. Provable only by you.

13. You tell them what hurts you and they use those very things to hurt you. They like to open up wounds.

14. They “collect” things you say to fire back at you at a later time, like ammunition. You get the sense that telling them things is unsafe even tho they welcome you to open up to them.

15. You have a strong feeling that there’s a hierarchy between you and them; them being superior and you being inferior/subordinate. When there is no employment situation, its disrespectful. (Even in employment, it can translate to subhuman treatment). But if this is a personal relationship its being done on purpose. They tend to find things you need help with or to make better. Sometimes they outright tell you that they want to mentor something in your life, or that they feel like your big brother/sister. Warning: they want you beneath them. In life, period; and they want you not trusting yourself.

16. They do not respect your boundaries. (addition to #7). For example: If you’re sick, somehow to them you’re not sick enough not to do the thing they want you to do or your level of illness is questionable to them when they want something. They have the overtone of making you feel better or cheering you up but really grooming you to do their bidding.

17. They do “nice” things for you as a deposit in the bank of “trust” so that they can get what they want out of you. Fake altruism.

18. They Do Not sincerely apologize.

19. They “joke” with you at your expense. Humiliating you. The same things they like you for, they hate you for. Disrespect on tap.

20. They rope in others to prove that you’re wrong about something that doesn’t merit right or wrong. Or to make you feel like you’re walking around looking and being foolish. In the meantime, they make you look defective to others. They will even get others to do their bidding and appeal to you; strengthening their claim that you’re just wrong.

21. They make a mountain out of a molehill when it comes to you. Always making things a right or wrong…fault or no fault, where there’s no merit for it. They make you feel like other people’s actions, the weather, a circumstance… is your fault too.

22. They make you feel obligated to cater to them. They don’t say it, but they clearly communicate it.

23. They do offenses with plausible deniability. For instance, they offend you in a way that if you complain about it, they can easily deny it. THEY know and YOU know they did it on purpose but its hard to prove. Anyway, its very damaging.

24. They give unsolicited advice. They use words like “why don’t you do..” and “you should…’

25. When they give unsolicited advice, they follow up to see if you’ve done it. Then treat you like you’ve committed a crime if you chose to do something else.

26. You are treated like the pet of a hot and cold owner; coddled and abused across the board.

27. They ask entrapping questions and double bind you; meaning they put you between a rock and a hard place- damned if you do, damned if you don’t. Numerous ways this can be done. Either way, your response or action will be wrong.

28. They create drama situations, vilifying you while they play the victim. They do NOT care about your discomfort, setting “standards” you can never reach and always raising the bar.

29. They will build you up to let you down. Telling you they will do something you’re depending on them to do and they have no intention of doing it all, while having you in wait and on hold.

30. They monopolize your time. They will insert themselves in your space if it serves them. They love to know your coming and going so they can influence what and when you do things. If you deviate, it throws them off and they WILL let you know it.

31. Silent treatment (plausibly deniable- but deserved its own place on this list).

32. You’re at the climax of a story and suddenly “wait, hold on…let me call you back” – or something of the sort. Something could legitimately come up but in this case its a trend that you notice. Not your imagination, not a coincidence. Even still, they can deny it. When you try to revisit it- the long deep inhale and hard loud exhale (Grrr).

33. You’ve worked hard on something your’e proud of or that they encouraged you to do and boom!- reaction of a corpse. Or they give the weakest of responses that actually speak loudly that they could care less; its nothing to them. Or they actually find a fault in it. Well how bout that?

34. They gaslight you, re-write history and have circular conversations where you cannot get your problem resolved. Then try to convince you that you are the one who cannot have a progressive conversation.

35. They say disrespectful things within earshot of you- but deny saying anything when you confront them.

36. They speak too low for you to hear them when you’re in personal quarters. Or they claim to have responded when they didn’t- saying you didn’t hear them.

37. When you ask them to clarify something they say “I just said it” or ‘I explained it to you”. And you’re to fend for yourself to figure it out. You’ll be wrong. They want it that way.

38. They twist your intent and badger you with noble things (rules, morals, principles)- when you’ve violated none of such things. Just a way to make you seem like you have because you haven’t given them their way.

39. They use their measure of “power” to sabotage your opportunities while flattering you for having those opportunities (keep in mind, flattery is akin to violence- its aggression).

40. They flat out tell you that ‘They don’t care’! Listen when they say this in any way, shape, or form that they say it. They’re having an honest moment with you. Don’t take it for granted. They mean it.

I’m interested in any additions to this list. It gets pretty intricate. But the heir of disrespect in so prevalent that it has become like the fabric of our everyday interactions, cloaked in normalcy. However, an ancient book does say that these days we live in are “critical times hard to deal with…men (people) will be lovers of themselves…haughty…unthankful, disloyal…not open to any agreement ” and so on. (2Tim. 3: 1–5 NWT)

Be kind, be on guard, and practice the Golden Rule. We all know how we want to be treated. Let’s extend that good treatment to others.

I wish the best to you all

Passport Bros Are WINNING With FILIPINA Women!

Mysteries and wonders

The United States is in the “acute” stage. The end of the nation is in sight now.

A certainty.

I lived in Japan for two years, and lost a ton of weight. I drank a lot of alcohol, ate whatever food was convenient, and never consciously exercised. I lived upstairs from a Genkizushi sushi shop, and across the street from Chuuka Ton-Ton with excellent ramen and surprisingly large Jumbo Bikkuri Gyoza.

I had a car, but walked and took public transportation because it was more convenient.

After two years of Japanese life, my BMI was 19, just on the underweight side of healthy.

I now live in an American suburb. I track my diet and exercise on apps. I have a home gym with weights, a Peloton exercise bike, and VR boxing subscriptions. I have another gym at work.

My BMI is 29, overweight bordering on obese.

I’ve thought about the reasons for this. Why did I get thin without trying in Japan, then get fat while trying to stay thin in the USA? If you were trying to design the perfect obesogenic society to make people fat, you would do two things:

  1. Subsidize low-nutrient foods with a lot of calories, like corn.
  2. Use fear, zoning restrictions and tax laws to keep people away from sidewalks, parks, and “the gym of life.”

America does both of these things. Due to the peculiar way Americans select presidents, Iowa has outsized political influence. Iowa also grows a lot of corn, so it’s not surprising that American agricultural policy favors corn. Modern varieties of corn, and especially those varieties processed into corn syrup, have calories but not much else.

If your body tells you to eat until it senses that you have enough Vitamin C, and you eat mostly corn, you’ll consume a lot of calories and still be hungry. If your tongue tells you to eat until it has tasted enough, you’ll have a lot of corn syrup.

On the other hand, traditional Japanese restaurants serve small amounts of carbs (rice or noodles) intensely flavored with small amounts of high quality protein and fat (fish in sushi or pork slices in ramen).

Japanese cuisine is quality over quantity, while common American food is the opposite. It’s easier to stop eating after a few bites of intensely flavored carb/fat/protein medley than a few bites of bland fat-free sweetened engineered food.

At the same time, American zoning laws encourage large residential areas with no commercial areas nearby. Where there are commercial areas, there are huge parking lots which are unpleasant to walk through. Parking spaces occupy the area that a sensible construction would use for walking paths.

Japan is the opposite.

There are plenty of walking paths and pedestrian-only areas. Mixed zoning with stores on the first floor and residential units above are common, and possible without requirements for a parking space per bedroom or restaurant table. Parking and highway tolls in Japan are expensive, so people are encouraged to walk and take public transportation.

Finally, American media encourages people to be afraid. Afraid of kids getting abducted while walking to school, so they are driven instead.

Afraid of the neighbors calling the police because your kids are outside, so kids play inside instead.

Afraid of crime on public transportation, so everyone drives instead.

Afraid of ticks and mosquitoes and sunburns and nature so everyone stays inside and watches screens instead. Japan has giant swallow hornets (so called because the hornets are as large as a small bird like a swallow) that kill dozens of hikers a year, but nobody stays out of the mountains because of them.

It’s possible to live a healthy lifestyle in America if you constantly invest time and effort. It’s easy to live a healthy lifestyle in Japan by just being lazy; being unhealthy requires extra work.

1). The Micron chips have many backdoor which have been threatening the international security.

2). China has been mass producing the world most advanced chips one generation ahead of the chips produced by Micron.

3). The Chinese made chips have prevented the US from stealing all over the world.

China has been protecting the world peace, stability, prosperity, national security and the international rules based world order and law.

School Shooters Reacting To Life Sentence

Qin Gang meets Elon Musk. telling him developing Sino-U.S. ties is like driving car

Chinese Foreign Minister Qin Gang met with Tesla CEO Elon Musk in Beijing on May 30 to discuss the development of the country’s car industry and China-U.S. relations.

2023 05 31 10 38
2023 05 31 10 38

Qin stressed the importance of a healthy, stable and constructive China-U.S. relationship, saying:

“Developing China-U.S. relations is like driving a car. The driver needs to keep the steering wheel in the right direction, step on the brake when necessary to avoid danger and press the throttle at times to accelerate.”

Musk stated that the Chinese people are diligent and intelligent, and China’s “development achievements are well deserved.” He emphasized Tesla’s stance against “decoupling and breaking the chain,” saying the company will continue to expand business in China and share China’s development opportunities.

Rules Based International Order literally means;

“The United States makes the rules that the world must follow”.

Most Americans, and United States proxy nations, strongly advocate global governance using this governance directive. As both have publicly stated on many venues their preference, and demands, that it be obeyed.

However, the majority of the world, outside of the United States led order, prefer a United Nations governed world. The United Nations governing directive is different. It is called a “multi-polar world”.

A Multi-polar world order is defined as;

“All nations are sovereign, and serve their own interests.”

INTEL: Institutional Kitchens Being Warned “Have Food Stored for 10 Day Disruption in Supply Chain”

2023 05 31 08 40
2023 05 31 08 40

Institutions like Hospitals, jails, prisons, etc., were told, casually, three weeks ago, they “should probably have enough food on-hand to get through a ten day disruption of supply chains.”   Few thought much of that.   Then today . . .

. . . they are being explicitly WARNED to “make sure you have enough food to get through a ten day supply chain outage.

The warnings are coming down from vendors and now, from GOVERNMENT.  It’s not a suggestion anymore, it is a mandate.  They’re being told “Do this; make sure it’s done.”

Hospitals and institutions like prisons, jails, and the like, have populations that cannot simply go elsewhere and find food; so them HAVING the food would be essential if someone knows there is definitely a major disruption to supply chains coming.

Now, on its face, there is nothing going on at the moment that might even give rise to a HINT of supply chain disruption, never mind specifically for ten days, unless . . .

The NATO Exercise in Europe from June 12-24, near the Ukraine conflict, goes “hot” and there is some type of massive exchange with Russia, as reported today, HERE.

If the powers-that-be (TPTB) already KNOW there is going to be some type of wild-weasel situation between NATO and Russia, then it would be in the interests of TPTB to cover their butts and make certain their institutions have food supplies in advance.

Common sense tells us that these institutions wouldn’t be getting this warning — NATIONWIDE IN THE U.S. — unless this “supply chain disruption” was, in fact, going to affect the entire nation.   What else but a big exchange between NATO and Russia could affect the entire nation?

If government is now telling Hospitals and other institutions to MAKE SURE they have enough food to get through a ten day supply chain disruption, then maybe you and I should take heed and make sure we have food too?

In fact, seems to me we should make sure we have a lot more than ten days supply.

Of course, we only have so much space to store our food, and only have so much money we can throw at that project without knowing better details, but I strongly recommend my readers of this web site take heed and take action.

NOW.  While you still can . . .

18-Year-Old Gets Busted, Decides to Put Up a Fight

Pennsylvania Dutch Banana Bread

img 4565
img 4565

Ingredients

  • 1 1/2 cups whole wheat flour
  • 1 1/2 cups unbleached regular flour
  • 1 cup sugar
  • 1 teaspoon baking soda
  • 1 teaspoon baking powder
  • 1/2 cup soft margarine
  • 3/4 teaspoon salt
  • 1/3 cup oil
  • 2 cups mashed ripe bananas
  • 1 cup chopped nuts (optional)
  • Dash of cinnamon (optional)
  • Dash of nutmeg (optional)

Instructions

  1. Cream sugar and margarine; add eggs and mix well.
  2. Stir in baking soda, baking powder and salt.
  3. Add oil and stir again.
  4. Add bananas and mix.
  5. Add flour, 1 cup at a time, and stir well after each addition.
  6. Grease and flour 4 to 5 bread tins.
  7. Bake at 350 degrees F for 1 hour. Test for doneness with wooden pick until it comes out clean.
  8. When cool, wrap in plastic.

Loaves may be frozen.

An analysis of how China is building a global economic alternative, while the US-led neoliberal financial order decays.

By Radhika Desai , Michael Hudson and Mick Dunford

main qimg 81cd01fbbe7b66db88f12d3449d2feec
main qimg 81cd01fbbe7b66db88f12d3449d2feec

Transcript

RADHIKA DESAI: Hello and welcome to the 10th Geopolitical Economy Hour , the fortnightly show in which we discuss the political and geopolitical economy of our times. I’m Radhika Desai.

MICHAEL HUDSON: And I’m Michael Hudson.

RADHIKA DESAI: And as last time, we have once again with us today, Professor Mick Dunford, professor emeritus at Sussex University and visiting scholar at the Chinese Academy of Sciences.

Mick is based in Beijing and his work focuses on world development, especially in Eurasia and China. And as you know from the last episode, Mick is here to help us discuss the political and geopolitical economy of the conflict over Ukraine.

Last time we discussed the political and geopolitical economy of the conflict vis-a-vis Ukraine, Russia, and Europe. And in this episode, we would like to discuss the same thing, but in relation to the United States, China, and the rest of the world.

So I’ll maybe just start us off on the US by essentially pointing out that, when people do take a critical view of what’s going on and look at the economic aspects of the war, the main thing they focus on is the arms industry and the profits being made by the arms industry.

And there’s absolutely no doubt in my mind that American arms manufacturers, the military-industrial complex in the United States, is absolutely jubilant over this war. They are making profits hand over fist.

Not only are arms orders going to increase as a direct result of the conflict with the United States supplying arms to Ukraine and then seeking to replenish its stock of arms. So that was already happening.

And in the last US budget, as you saw, the military budget was massively increased, because in addition to the conflict over Ukraine, it is generally believed, or it was the grounds were given, that in fact, we can now expect ever greater conflict, ever greater security, uncertainty, and therefore more money needs to be spent on arms.

So there’s absolutely no doubt that this is what’s going on.

And there’s also absolutely no doubt that the sort of industries that we were talking about in the last episode, industries that rely on the enforcement of intellectual property rights, etc., are also happy about the conflict over Ukraine, because it’s really about imposing Western and US imperialism on the rest of the world, which includes, of course, the enforcement of intellectual property rights.

So they are happy. But it is also very clear that there are sections of US business that are not particularly happy about the conflict that relied on trade, both certainly with China, but also with Russia.

And they look at the prospect of breaking these relations with increasing apprehension. So there are divisions within the United States as well.

MICHAEL HUDSON: Well, we ended the last episode by talking about how neoliberalism is basically a rentier economy.

And the point that you just raised Radhika is, if the US is a neoliberal rentier economy, and if Europe is following the US lead, how on earth can the West expect to keep pace with Eurasia and the global majority that is now trying to industrialize and raise its own living standards, and in fact is forced to industrialize and raise its own living standards by the US sanctioning of their economy, which is forcing them to go it alone?

Well, a lot of pacifists and opponents of the Ukraine war in the United States, like Medea Benjamin, have said that — Well, there’s really nothing to worry about China. We don’t have to be an enemy of China because other countries are bound to grow. And of course, the United States will lose its relative position as other countries begin to grow also.

— And we can have a happily growing world economy together and American absolute power and absolute economic strength can continue to increase. We don’t need war.

Well, I think, Radhika, you’re in our position as, yes, they do need war, absolutely, because the United States is declining in absolute terms, because what it calls GDP is largely financial services.

As we’ve said before on this show, when banks increase their late fees to credit card holders, and late fees are now over a trillion dollars, more than credit card companies get in interest, all that is added to GDP.

When American real estate prices have been going up in the last few months of the year, the homeowners’ imputed value of their homes, if they were to rent their homes to themselves, has been going up, increasing GDP. That’s 7% of GDP.

So what we call GDP here is really a rentier economy that is polarizing between the finance, insurance, and real estate (FIRE) sector and the rest of the economy.

Well, the fact is that the US actually cannot catch up with the productivity that Mick’s chart has shown with China and Russia, because we’ve reached the limit to the growth.

main qimg 2c523b116b93ba67b748423b5f30530e
main qimg 2c523b116b93ba67b748423b5f30530e

And the limit to the US growth right now is not yet environmental, is not yet global warming. When there is hurricane damage, all that rebuilding is considered an increase in GDP. It’s not environmental pollution. It’s debt pollution.

It’s the fact that the economy is so highly indebted that the wage earners cannot afford to increase their consumption as long as they’ve had to increase their mortgage debt, their credit card debt, and their auto debt.

The US has reached the limit of its ability to grow without essentially doing a mixed economy and a debt write-down. And somehow you’ve got to free the economy from the rentier sector, from the savers, that their savings are the debts of the 99%.

And you have the US and NATO increase in military spending, forcing cutbacks in social programs in order to get the balanced budget that the Republicans are advocating and that President Biden has long advocated.

So what you’re having is the US simply is not growing, and the only way that it can somehow survive by letting the 1% increase its wealth at the rate at which it’s accustomed to is what you mentioned, Radhika, intellectual property.

By monopolizing information technology, by monopolizing pharmaceuticals, by monopolizing technologies and military industrial weapons and charging huge economic rents, far in excess of the value of the cost of production, in order to get a free lunch.

The only way that the United States can grow is by increasing the free lunch, and that means economic shrinkage for the economy as a whole. That’s what really underlies the splitting of the world that we’re seeing that is just beginning with the Ukraine fighting.

MICK DUNFORD: Okay, I mean, Radhika mentioned the important point that the military-industrial complex accounts for a significant share of the US economy, and emphasized the way it generates profits for US capital.

But it’s also quite important to note that the products of the military-industrial complex do not enter into subsequent accumulation in the way in which other capital goods do, nor do they enter into workers’ consumption.

So in a sense, there’s a way in which a vast military-industrial complex is devoting a huge volume of resources to activities that do not contribute significantly to human welfare.

The point I want to make, however, is that this US global role requires a huge volume of resources. And the US essentially spends much more than it earns – much, much more than it earns.

Now, this is a [graph] that just depicts the balance of payments of the Five Eyes. So the United States, but also Great Britain, figure prominently in shaping these numbers [along with Australia, Canada, and New Zealand].

main qimg a1ce88e8b1b783938294f6ff99432541
main qimg a1ce88e8b1b783938294f6ff99432541

And what’s very, very striking, first of all, is that these countries have very substantial trade deficits in real commodities. So they are very dependent upon real goods manufactured in other parts of the world, a sustained, large trade deficit.

At present, they generally have surpluses in services, because, in part, of the role of the US dollar and of other European currencies in the international financial system, and the way in which insurance and all sorts of other activities are connected with that role.

But of course, there are roles that depend on the continuing role of the dollar in the international system. But really, to offset this gap between what these countries can sell abroad by way of goods and services and their own imports of goods and services, they require a large net inflow of financial resources.

And these financial resources derive from a number of different sources. They derive in part from the fact that the United States produces dollars and other countries have to hold dollars in order to finance their international trade activities.

So they do not use these dollars in order to purchase goods in return from the United States, for example.

They also arise because surplus countries use their surpluses to purchase US Treasury bills at very low rates of interest. So that provides the US with a debt privilege that no other country in the world possesses.

Extraordinarily, Alan Greenspan said “the United States can pay any debt it has because we can always print money ”.

The US also imposes or seeks to impose a kind of opening up of markets, privatization, so that it can use dollars to acquire assets throughout the world to generate income streams that can offset its trade deficit.

So in a sense it benefits enormously from a post-Bretton Woods system, which effectively allows the United States to behave as if it has a credit card with no repayment date and no limit on what it spends.

But it is a world which is changing. And I think it’s a change that poses an enormous challenge for the United States.

RADHIKA DESAI: Right. So, first of all, Mick, this is absolutely critical. And of course, as you likely know, these privileges that you have rightly pointed to, which the US has hitherto enjoyed, are also in danger of disappearing with the process of de-dollarization, something that Michael and I have explored in great detail over four programs.

But this is an absolutely critical point that needs to be made, is that in the context of the war, I mean, this is the thing: One of the ironies of this war, which I noted almost at the beginning, is that when the only means you have to achieve such a certain goal – in the US case to keep its position in the world, to keep the dollar the world’s money, et cetera – when the only means you have to achieve these goals are the very means that are actually going to undermine the achievement of these goals, you have a serious problem.

That’s the situation that the United States is in. So absolutely, I completely agree with that.

I should also add, of course, that this debt ceiling drama is really quite interesting, and we don’t know how it will be resolved.

But the two things about it that I think worth noting, number one, the very fact that this drama is occurring at all, underlines the deep political divisions in the United States, which are the result exactly of following the policies that the US has followed, the neoliberal policies, the financialization policies that it has followed over the last many decades.

This has resulted in a level of political dysfunction, which we are witness to today. That’s the first point. In a certain sense this political division may become economically quite meaningful at some point.

Secondly, I’d like to say that no matter how this death ceiling drama is resolved, Alan Greenspan’s idea that somehow the United States can continue to issue debt until kingdom come is completely wrong.

The fact of the matter is that the treasury market, the market for treasuries is already in trouble. The treasury market is not as liquid as it used to be.

That is to say that the treasuries being issued by the United States government in order to finance its debt, do not find as many willing buyers as in the past, which is why the Federal Reserve has to keep buying treasuries at a great rate of knots.

That is why its balance sheet has swelled to the extent that it has.

main qimg 4d42231779b22413d6b83f4849436251
main qimg 4d42231779b22413d6b83f4849436251

And if the United States does – you know, one of the ideas to break this debt ceiling knot, to cut the Gordian knot here, has been that the United States can simply issue a whole lot of money – this is going to lead to a further rapid acceleration of the de-dollarization process, which is in fact then going to land the US into a lot of trouble.

The US is already suffering from inflation, which is already a mark of the fact that its imperial power is declining. Because, at the end of the day, why is the US suffering from inflation? Because its ability to compel the rest of the world to sell goods and services to it for nothing is declining.

That’s why inflation has returned to the United States. So in these ways, I mean, the points you make about financialization and the kind of economy the US has are very important.

The solution to that, as Michael and I have observed at various occasions in this, because it’s such an important truth that it needs underlining, the solution to that will have to be a fundamental root-and-branch reform of the financial system, to reorient it away from predation and speculation, which is what it does today, towards productive investment, something it has really not done in decades, if that.

So a complete transformation of what we can also call bank-industry relations.

But I want to also shift, I want to also add another point, which I think is a very important one, which is, I’m sure I’m not the only one who said this.

The United States has never seen a war it doesn’t like, because the United States has over the last many decades, in fact, the United States has become as dominant as it has in the world, essentially by exploiting wars between other powers.

In the Second World War, in the First World War, the United States economy expanded massively while the economies of other countries were being destroyed, essentially because the United States was keeping those wars going by supplying arms and materiel to all sides, basically.

So the United States has always benefited from wars, and it is continuing to benefit from wars. And that is partly why the era of American dominance that we have witnessed over the past many decades has been an era of unending wars.

MICHAEL HUDSON: We’ve spent quite a few shows talking about the US balance of payments and what is America’s foreign debt.

This is a topic that’s not taught in economics courses or political courses, and it’s one of the most confusing topics to most people. How did America run up this foreign debt, and why do other countries keep their savings in the United States?

Well, until the last two years, China, Saudi Arabia, and other countries held very strong savings in the United States, because after all, it’s an open capital market, and because they needed the US dollars in order to pay for the oil that they bought, for the copper.

The US dollar was how all of the world’s commodity markets worked, from oil, to raw materials, to manufacturers.

Well, one result, the United States just basically committed suicide for the US dollar standard by grabbing, first of all, Venezuela’s gold, saying Venezuela didn’t elect the president we want; we appointed them to please give all of the gold in the Bank of England to Mr. [Juan] Guaidó.

And secondly, the grabbing of Russia’s foreign exchange in Europe and America, anywhere from $30 billion to $300 billion. So now the US is not a safe country.

But more importantly, why on earth would anybody hold US dollars to pay for oil if Saudi Arabia now pays for its Russian oil in rubles, and Saudi Arabia now pays for its imports from China with a Chinese RMB?

Now that world trade is multipolarizing, now that countries are paying for their trade and investment with each other in their own currencies, there is no need for the dollar.

So yes, the United States can print all of the dollars it wants, but it can’t produce the goods and services, which is the whole reason that people hold dollars.

The US debt is so much larger than the amount to pay that the United States is technically insolvent. The United States as a whole is just like Silicon Valley Bank and the banks that have just gone under

. There’s no way that the United States can or has any intention of paying the foreign debt.

The United States, following Greenspan, says —We are never going to redeem our debt. You can hold your money here, but just like a Ponzi scheme, and just like Silicon Valley Bank, you can all think of this dollar holding as being worth something, until you actually try to sell it.

— You try to sell it, then you’re going to find out that it’s all the savings that you’ve accumulated since 1945, since World War II ended 75 years ago. All of this is fictitious capital. And you’re just waking up to the fact of reality economics.

Other countries are finally realizing this. By splitting the world financially, this is the lever, like cutting a diamond. This is the key split that is basically splitting the whole world economy on financial terms.

This is the one topic that you cannot discuss in the major media here, and you cannot even raise in economics courses in the United States, because the answer is so terrifying to advocates of US hegemony.

MICK DUNFORD: I wonder about the speech that [US National Security Advisor Jake] Sullivan gave

[in April], when he said that globalization, privatization, deregulation, trade liberalization had failed, he said, because a non-market economy – namely China, he calls it a non-market economy – was part of the “liberal international order”.

He said the idea that markets lead growth is wrong. He said there was an overemphasis on finance. He said that the real industry, real sector was hollowed out. He said that there was a decline in public investment.

He said that the policy of spend first failed. He said that trickle-down failed. He spoke about some process through which the erosion of the working class eroded the middle class. And then of course he advocates blockading China.

But it, in a sense, represents a quite considerable sort of reversal within the United States. And I wonder how Radhika and Michael see this speech. I mean, it’s also of course important to ask just how much support it actually has amongst elites in the United States and the political class.

RADHIKA DESAI: Yeah, I mean this is a very important question. And I’ve argued in my [book] Capitalism, Coronavirus and War: A Geopolitical Economy

, which came out just at the end of last year, because this sort of talk was already beginning to happen at the time I was writing it.

And so I’ve dealt with this matter. So here’s my position.

Essentially, obviously, the mounting contradictions of neoliberalism inevitably mean that people will be talking about what’s wrong with it and so on. And certainly this talk is going on. So there are two possibilities.

Number one, just because neoliberalism is failing doesn’t mean that they’re going to give it up, because neoliberalism has never been about markets; it has always been about favoring the corporate capitalist classes.

And the nature of the US state is not going to change overnight. So what’s going to happen is that, the first option is that people will say these sorts of things: we have to do finance differently. You know, Mariana Mazzucato says we have to do capitalism differently.

So they will find a way of doing corporate capitalism differently. And so they will say we have to do a little bit more of this and a little bit less of that, or even a lot more of this and a lot less of that.

But in reality, the underlying structure will not change. The corporate capital will continue to be favored in a different way, in new forms, because the old forms no longer work. The old forms have led to financial crises and so on. So that’s the first option.

But there is also, thanks to the very divisions that have been created, the political divisions that have been created by neoliberalism, there is also another option, which is that someone like Trump, Trump himself might come back to power.

And then we are going to see a much more authoritarian version, much more – I mean, this other version, option number one, is dystopian enough, but an even more dystopian option will be seen.

So I think those are the two options. I mean, unless there is some kind of a radical revolution, you are not going to displace the corporate capital that has the reins of the US state in its hands, and that drives that.

So I think that corporate capital is either going to drive the US state to destruction, or it may be replaced by something even worse. So that’s what I think.

But Michael, please respond to Mick’s question.

MICHAEL HUDSON: I’m in agreement with what both of you said. Neoliberalism really, in trickle-down theory, has been amazingly successful in polarizing the economy.

The aim of the 1% is to have all of the economic surplus, leaving nothing for the rest. Just as the aim of neoliberal foreign policy is to get the whole world surplus in one country, and leave nothing for the rest. That’s the implicit dynamic.

The trick, and what makes academic economics fictitious economics, and more like science fiction than like science, is the pretense that somehow benefiting the 1% benefits the 99%.

Unless you realize that rent income, monopoly rent, land rent, natural resource rent, is a transfer payment that has nothing to do with earned income – we’re back to the classical economics of Adam Smith, Ricardo, John Stuart Mill, and Marx – then you’re not going to realize that what seems to be a growing economy is an economy that is shrinking as a result of all of the economic surplus being sucked upward, not by profits, but by rent-seeking, by monopoly rents, by exploitation of almost a pre-capitalist form.

So we are dealing with the fact that you don’t have the kind of industrial capitalism in America or Europe that you had in the 19th century. You have a regression to a kind of neo-feudal, rentier economy of inherited privilege, and oligarchy, not democracy.

And we’ve talked enough about that in earlier programs that all we have to do is remind [our audience] that this is the context for what we’re talking about with Ukraine and Russia, and the US and China, and the rest of the world today.

RADHIKA DESAI: No, and you know, so to sum up on this question, I forgot to add one other thing, which is that, of course, as we’ve already talked about before in the last episode, there’s increasing talk about industrial policy on both sides of the Atlantic.

But given the neoliberal orientation of these governments, that is to say the corporate orientation of these governments, essentially what will be labeled industrial policy will be stuck onto a new raft of programs and policies through which states are going to provide support to big corporations, including massive subsidies.

But I also, before we go on to talk about China, I also wanted to make a couple of other points about the United States in the context of this war.

One is that you always read these statistics about the astronomical sums that the United States spends on its military. You know, it’s more than the next X number of states combined. And all of these things are true.

But what’s remarkable is that after all this spending, what has the United States got to show for it? It’s got to show for it a series of military failures: Korea, Vietnam, all the 21st century wars, you name it.

And I think that the war in Ukraine, which is of course a proxy war, the United States is not fighting it itself because, quite frankly, I don’t think the American public has the stomach to fight wars anymore. And this is going to be a major issue in the election.

But nevertheless, even the United States is also going to face defeat in this war. The whole optics are being managed around the so-called spring offensive in such a way that the United States can at some point say, okay, we’ve done all we can; the Ukrainians have done all we can, but this war cannot be won.

And they will shift their attention elsewhere, especially given that an election campaign is coming and Biden is not very popular, nor is the war very popular.

More and more Americans are asking: Why are we spending all this money on wars when we have so much need at home?

So that’s really an important thing to watch for is how the war will play out in this campaign.

MICHAEL HUDSON: I can’t add anything to that.

RADHIKA DESAI: Yeah, that’s good. I just wanted to make sure that I wasn’t sort of jumping onto the next one.

Why don’t actually, I ask Mick, you are our resident China expert. So why don’t you start us off on China?

MICK DUNFORD: Okay, I’ll just say something more generally about China, first of all. I mean, the first thing I would say is that in 2017, China entered a new era.

That era was actually foreshadowed by what started to happen around the turn of the millennium. So China has in a sense embarked on a new phase in its development and its transition, if you like, to socialism.

So this new phase follows two very broad ones. It follows a turbulent phase of socialist construction after 1949, which occurred in the context of United States embargoes, in the context subsequently of a conflict with the Soviet Union, and in a context of acute capital shortage.

And of course as a country that came from behind, China had to address its capital shortage, not in the way in which the imperial and colonial countries had done so, basically by appropriating resources from other parts of the world, but it had to generate those resources internally, or initially, of course, with the help of Soviet loans and Soviet industrial assistance.

Then, after the rapprochement with the United States, which of course occurred in order to increasingly isolate the Soviet Union, China embarked on a path that actually it planned before 1949, but it was unable to follow that path simply because of the way in which it was isolated by the actions of the United States and the Western world.

So it entered on a path; it called it reform and opening up. And that occurred in a context of neoliberal globalization. Its roots in China lay in the early 1970s.

As soon as the embargo started to be lifted, Mao Zedong, Zhou Enlai, started to acquire loans abroad in order to finance industries producing consumer goods along coastal areas.

That then led to this phase of reform and opening up in which China managed its integration into the global order, generating these extraordinary rates of growth.

The thing that I would emphasize, first of all, is that it was driven by sustained high rates of capital accumulation right the way through. Of course, it fluctuated a lot in the first 30 years, but you’ve got sustained high rates of capital formation.

I would say you should describe China as a sort of planned, rational, socialist state – which uses, after reform and opening up, market instruments.

A planned, rational state because it basically sets social and economic objectives that are essentially designed to progressively improve the living standards of all the Chinese people. And then it acts in order to achieve these goals that it has set itself.

I think that it’s important to say that, throughout this set of phases, what you see are a whole succession of successive waves of reform and transformation of economic structures and of institutions. And all of these changes are basically designed to address crises and contradictions that emerge in the course of its development.

What’s interesting is that in a sense, an attempt to avoid the dynastic cycle, the rise and fall of dynasties. In other words, you address the contradictions at each stage through processes of reform, which enable you to move forward progressively on a path whose endpoint is socialism, communism.

But that lies a very, very long way into the future.

The important thing about this new era, as far as China’s concerned, is that basically, it’s mapping out a new development path. And it’s a development path that will differ very significantly from the Western path.

It explicitly argues that this path differs from that that is being pursued by the West.

It’s a path that is people-centered and not capital-centered. There’s one profound difference between a socialist country and a capitalist country.

In a sense, politics, right, including China’s whole process democracy, is in a sense in command and sets the objectives and targets. And it’s basically directed at improving the quality of lives of all the Chinese people.

But one way of trying to capture it is to say that there’s a whole series of new concepts that are being talked about. So this kind of notion of dual circulation, in which the domestic and overseas sectors reinforce each other, but where the domestic mark is the sort of mainstay of economic growth.

The emphasis, I mean, really since 2013, has been on high-quality development rather than on rapid growth. On scientific and technological innovation, technological upgrading, developing the technologies of the next industrial revolution and then trying to ensure that those technologies diffuse rapidly in order to improve the livelihoods of people.

It’s sustainable green development. I mean, anyone who lives in China will have seen already extraordinary improvements in the quality of the environment. Really, really quite remarkable.

So the idea is green development, rural revitalization, a world in which perhaps a relatively large share of the population continues to live and work in the countryside. It involves spiritual civilization, which is a response to the consequences of liberalization, of consumerism, of selfishness.

So, I mean, this is quite interesting because Wang Huning, who’s one of the current leadership wrote a book after he visited America in the 1980s called America Against America, in which he actually identified the way in which trends in American society were leading in the direction of isolation, fragmentation, disintegration.

And in a sense, this concern with spiritual civilization is really concerned to guarantee and ensure sort of social cohesion.

It involves concern with strategic security and stability and very important common prosperity. So this notion of common prosperity is, in a sense, one of the key drivers of Chinese development.

So in a sense, it’s mapping out a kind of development trajectory that differs very, very radically from the development trajectory of countries that embarked on neoliberal paths.

And then, I mean, we can talk more about that when we talk about the world, but at the same time, it’s trying to contribute to the emergence of a new world order, you know, a global civilization, with shared prosperity in the world.

So I think what is important to me is it’s setting out a kind of model for the creation of a rather different kind of world as well as for a different kind of China.

And when you look at all the problems in other countries it’s, in a sense, a very positive vision. But it reflects this capacity to set social and economic goals.

RADHIKA DESAI: Yeah, I’m very glad you started it off this way, because what you’ve done is you sort of laid the foundation for a picture that is becoming increasingly clear, in which, of course, for the West and for, obviously, for obvious reasons, for Ukraine, this is a huge and deep crisis.

But the fact of the matter is, as far as China is concerned, for the war, the conflict over Ukraine is really a small part of a much larger picture, which is largely composed of its peaceful rise, of its anti-imperialism.

I’d also like to emphasize something that you said and slightly elaborate on it.

You said China had to overcome its lack of capital, thanks to imperialism. So I would say in order to understand the development of China and also understand what every Third World country faces today, you have to understand that the development process in these countries will have to be very different from the West.

Why? Because number one, Western development itself set them back in the first place, thanks to imperialism, colonialism, et cetera, so that they had to start from a much worse place to begin with.

Number two, they have to complete the process. They have to undertake the process of development without having the luxury of imperialism.

I think you, as you rightly said, that you cannot source your capital from elsewhere. You cannot plunder India in order to finance the industrialisation of Europe and the United States and the settler colonies and so on. You can’t do that.

So you have to generate your own capital in order to do that. And you have to generate all your resources to do that.

And number three, you have to do it against the unremitting resistance of the imperialist powers. In all of these ways, the development of China is very, very different and it’s bound to be very different.

And I’ll come back to that when we come back to talking about the rest of the world as well. But for the rest, I just want to say a couple of things.

Number one, I think that the West really dreams that it’s going to be able to drive a wedge between China and Russia.

But I think China understands, no matter what criticisms it may have of Russia’s actions privately, but China understands that the Western aggression is primarily responsible for this war and there’s absolutely no way that giving into it is going to benefit anybody.

So this is the real source of China’s support for Russia. It’s not being partial to Russia. It just understands things in a much bigger way. So China can be expected to continue supporting Russia.

And of course, the fact that it now has a cheap source of energy is not going to go amiss at all. But I think between them, I think China is, of course, in the lead, but they are pioneering a new world order, which is essentially about a model of development, which is absolutely a model of development which is absolutely the opposite of neoliberalism.

So yeah, I’ll just say that for now and leave it there because I’m sure Michael has lots to say as well.

MICHAEL HUDSON: Well, what’s unique about what China’s doing internationally is it’s made no attempt at all to proselytize its economic system.

What is its economic model? It’s interesting you’ve used that term. It hasn’t said it. It doesn’t say, we have an economic model that’s an alternative to neoliberalism. Here is how we are redesigning our national income accounts to show what we’re doing, as Soviet Russia had a different set of national income accounts.

It’s not really explaining a different economic doctrine to what is taught in the United States schools. And in fact, Chinese students are sent to the United States to study economics. And once they return to China, I’m told they’re given priority over Chinese students.

And there really isn’t any economic teaching of a model even within China.

And a few weeks ago, President Xi’s speech at the Party Congress talked all about what the overall aims were, world peace, a growing economy, the aims that Mick has mentioned.

But there was no analytic content of: — How are we going to get there? What is our tax policy going to be? How are we going to finance the local government budgets that are now financed by selling off land to real estate developers?

— How are we going to handle our land issue, the financial issue? What are the virtues of what we’ve done is keeping money as a public utility in the hands of government, not privatizing it, not turning money into a financial commodity.

— How do we avoid turning land into a financial commodity? How do we avoid turning labor into a commodity, but treat the objective as raising labor?

There’s been no kind of economic model to teach an alternative. And in fact, there’s very little discussion in China of the history of economic thought apart from Marx.

So I don’t think that if we’re talking about where is all this going to end, I don’t think there can be a multilateral order without some kind of a explicit economic doctrine that finds its counterpart in a mirroring set of institutions built along socialist lines as alternative to the World Bank, to the International Monetary Fund.

We’ve mentioned the International Criminal Court. We’ve mentioned basically a whole different United Nations with: What are economic rights of countries? What are the kinds of growth that we want to do? This is what’s basic.

I won’t talk about China’s foreign policy yet. I’ll throw it back to you guys, but it’s unique that China hasn’t spelled out what it’s going to do.

The only thing that we have that China might say is: Well, how are we going to respond to the sanctions?

It said that if Ms. Baerbock’s projected sanctions on Chinese trade are imposed, there will be retaliation, but it hasn’t said anything about how it’s going to retaliate and what are the principles of retaliation against America’s economic war against China.

For instance, it could impose sanctions on European countries that are importing U.S. products that could be used for the war of Ukraine.

Suppose that China were to mirror the U.S. sanctions policy, starting with tanks and missiles or oil and gas, food.

Imagine if China and Russia, backed by the global majority, somehow could mirror American sanctions and say, — Okay, you’re not going to trade with us except for key things that you want. We’re not going to trade with you. We’re going to go it alone.

Well, if China, Russia, and the global majority go it alone, which is where we’re moving towards, what are the principles going to be to create economic institutions like their own trade organization, their own central bank, to finance all this?

There’s been no discussion of this and not even a proselytizing of economic ideology that ultimately is the framework for all of this alternative.

MICK DUNFORD: I think I would just emphasize this idea that certain goals are set.

For example, you might set a goal concerned with rural regeneration. That means that certain resources are mobilized.

It’s an attempt, if you like, to mobilize the human, financial, and material resources of particular localities in order to generate income streams that improves the living standards, quality of life in different places.

Some of these things generate certain vulnerabilities. You can illustrate it by looking at what particular things have happened in particular places.

A particular locality with a traditional culture had resources from government to rebuild people’s homes, adding on guest rooms, and then this village then becomes a place which is used for seminars and workshops. It generates an income stream through acting as a kind of a center for visitors.

In that context, you see quite significant increases in local income. It’s mobilizing the environment, it’s mobilizing the infrastructural assets that have been put in in order to enable people to establish sustainable livelihoods.

In some cases, it confronts difficulties because, for example, in the pandemic, it had enormous negative impact upon travel of all kinds and so negatively impacted the incomes of people who are involved in that kind of project.

You see these things going on at a grassroots level all over China. In relation to the industrial issues, we’re talking about restrictions on semiconductors.

Of course, China is launching a whole series of major industrial policies that are basically designed to develop these capabilities, to ensure that China is able to develop these capabilities and does not find itself in a situation again where it cannot acquire what it needs because someone refuses to sell it to them.

I don’t see it through economic theory. I see it through an attempt to achieve certain kinds of targets and then developing projects, mobilizing resources for those projects, and then evaluating how they work.

If they work well in one place, you might copy those ideas in other places. It works in a very different way from many of the things that you actually see in the Western world. I’m not sure how one would easily theorize it.

If I were to talk about the whole of China’s experience, I’d probably not do it in terms of those transitions to a market economy.

Actually, I think what happened there was that you saw a very significant decentralization of initiative in a situation in which the central government lacked resources for a whole series of reasons, in part because it had to repay debts.

It decided to let local initiative rip, in a way, which is what happened with the household responsibility system or with the establishment of township and village enterprises and so on.

RADHIKA DESAI: What you say, Mick, is very interesting. I never thought we would end up discussing this, but this is very interesting. Let me say two things very quickly.

Number one, I think Mick, you’re absolutely right. I think what the Chinese have done right from the beginning is that they have actually been, essentially, like you say, how do you prevent this cycle of the rise and fall of dynasties? How does the party remain in power?

It remains in power by addressing concrete problems as they emerge concretely with whatever resources that may be available at that time. In that sense, there is not a model to be proselytized about.

China has also been extremely careful internationally, partly because it wishes to distance itself on this matter anyway from the Soviet experience. It says, — We are not exporting any model. There is no Chinese model, et cetera. I think that there is also a point to that.

But there is another side to it, which is if you think about it, what is the purpose of neoclassical economics? What is the purpose of all this economic theory?

It is the purpose of the dominant trend in economics is actually to get countries to open themselves up to the West. The purpose of economic theory is actually imperialism.

So in that sense, of course, China is not going to produce any direct counterpart to that because China does not intend to be imperialist.

And I would say that a lot of people also point out that the abstractness of the theories of neoclassical economics are contrasted with the concreteness of the theories, such as that of the developmental state, which is different in different parts of the world, which have been very concretely based on the particular situation and the resources at hand, whether it is a developmental state in Japan or South Korea or elsewhere.

So in that sense, I do not think that there is going to be a model. And having said that, I think that the thing is that the critique of neoclassical economics and the critique of the Western model and of Western imperialism is certainly sharpening in China as we speak, I think.

MICHAEL HUDSON: Well, there may not be a model, but there should be economic concepts. To me, the main concept is economic rent, the distinction between earned and unearned income.

There has to be a model of international payments. It’s obvious, as we’ve spoken about before, that some countries are going to end up with claims on other countries.

China, how will China be remunerated for the expense of its Belt and Road Initiative? How will all this be settled? There has to be some kind of accounting system for all of this.

An accounting system basically uses economic categories. And so we don’t need a whole model of the economy, but we do need some basic concepts that are the building blocks of China’s pragmatic experimentation that it’s following.

MICK DUNFORD: There are economic concepts that you can use. I mean, in relation to this idea of common prosperity they talk about the role of the primary, the secondary and the tertiary distribution of income.

And the idea is that in a socialist country, everyone should contribute, everyone should work. So this kind of primary distribution of income, the income that you derive from the work that you do, plays a very, very fundamental role.

But of course, at present, there’s a lot of development of a whole series of services, which obviously are financed in part through contributions, but in part also through taxation, in terms of health, education and so on.

Then you’ve also got mechanisms, these so-called tertiary distribution, that’s what they call a situation where, for example, companies undertake socially useful initiatives in other parts of China, or where you have cooperation between local governments in one part of China, which are expected to actually mount projects in other parts of China.

And if you like, places that have become relatively rich help those places that have not become relatively rich.

So those concepts are used and I mean, you were talking about the international side in terms of the international side, obviously they have balance of payments accounts so they examine the balance of payments.

When they built Belt and Road projects involving investment finance, that involves interest, it involves repayment arrangements and so on, usually on terms that are less onerous than those of international, if they have a multinational, of the multilateral banks, and also of Western financial resources.

When they opened up, their capital account was not opened. So I mean, these categories do play a role. And the non-opening of the capital account had a very great deal to do with China’s development path, because it actually had impacts on the exchange rate, and therefore on the competitiveness of Chinese exports.

You can use economic concepts to discuss some of these things, but there’s nothing equivalent to the kind of neoclassical theory of markets that you can apply to the Chinese case.

I know that it’s taught in China as well as in the United States and in Europe, but I tend to see things much more in kind of a more practical way of moving moving things forward in terms of moving up the value chain, improving people’s livelihoods, improving the quality of the environment, improving air quality, all sorts of things of that kind they’re very, very concrete many things are trying to achieve are very, very concrete.

RADHIKA DESAI: That’s I mean, in a certain sense, that makes sense. Because after all, what is socialism, it’s use-value production. Use-values are very concrete, they are not abstract, as value is, or what was often called exchange-value. I just call it value.

But anyway, maybe we should, we’ve been going for nearly a little over 50 minutes now. And so I think we should transition to our last topic, which is what’s going on in the rest of the world.

And I have to say, compared with the optimism that existed in the much of the 2010s, we talk about rising multi polarity and rising BRICS, and so on, the rest of the world is not doing as well as China.

And I think that at the same time, I think that another thing is very clear, which is that if the rest of the world wants to do better, say, for example, President Lula in Brazil, then he is going to have to implement policies that are make a clean break with the Washington consensus, with neoliberalism, and at least learn from China.

There is no model, there’s no Chinese model, but sort of learn about how the Chinese essentially created development in their context and take tips for that, because essentially, the rest of the world is actually suffering from obviously high prices.

Many countries are facing a debt crisis. There’s also a lot of political uncertainty in many parts of the world, thanks to the current war, the destabilization of existing arrangements.

But I think underlying all this is the decline of the West, whose chief cause is neoliberalism.

I think if the rest of the world is to learn anything from this and climb out of the crisis and build a better economic model, etc, it will have to be in some kind of anti-neoliberal kind of socialist or quasi socialist manner.

And here, I have to say that, one, I’m originally from India, I study India, and I have to say for the last several years, things have looked very depressing with the present government in power, which is really a fascist government in power, making nonsense of the rule of law, allowing its goons to prosecute whoever it likes, and making an absolute mess of the economy.

Indian economic growth has been actually extremely weak, even though the government has cooked up statistics to show that it is somehow good.

But just a day or two ago, there was a really bright light in this rather dim scenario. And that was that in the Indian state of Karnataka, there was an election, which the Congress won, and it won the election by promising a people-centered set of policies.

And I think if the Congress and other opposition parties can understand what this means and stick to it, I think that it will be able to bring India out of this mess.

Of course, in Brazil, we have President Lula, but South Africa is also not in a very good state, it is in a state of perpetual economic crisis.

But I think in the context of the decline of the West, the awful consequences of the neoliberal model, and the rise of China, I think that the world should be able to learn from this contrasting fate of the West and China.

MICHAEL HUDSON: Well, what’s blocking the rest of the world from moving away from neoliberalism?

Lula last week proposed that China, Argentina and Brazil should have a common currency? Well, how can you have an alternative to the dollar or a common currency when you have an immense dollar debt?

What’s blocking other countries right now from creating an alternative that is more of mixed economy with a public sector dominant and ending rentiers is the fact that this dollar debt is forcing these countries to submit to the International Monetary Fund, which is the neoliberal hammer, forcing privatization, forcing anti-labor policies, all the things that we’ve described before.

And the only way that other countries can pursue an alternative to the trap that they’re in, the only way they can escape from this trap is to repudiate the dollar debt and say, — Look, we’ve been led into a trap that has financially killed as many people as a military occupation.

Just like President Putin had said that more Russians died as a result of the privatizations of neoliberal policies of the 1990s than died in World War II, you can say that the Third World finance is how the neoliberals are locking other countries into the U.S.-centered diplomacy.

And the only way that countries can break from this U.S.-centered diplomacy and the sanctions and the U.S. control of the world coordinating organizations is to create a new set of coordinating organizations, which requires really withdrawing ultimately from what you call Western civilization.

And I agree with you. It’s a civilizational problem. So this is the basic fight for what will the next millennium look like.

And it can’t be done without an explicit break. There’s a Chinese proverb, “Whoever tries to go two roads at once will get a broken hip joint.”

Well, that’s the problem that they face. You can go beyond just the U.S. and China and say, what about Syria and the U.S. presence in the Near East right now that the U.S. is holding?

It’s been told to leave Iraq, and it hasn’t left Iraq. The U.S. military presence over the rest of the world is doing everything it can to prevent other countries from following the alternative. And it’s in fact militarized neoliberalism.

That’s really the problem that we have today. And Mr. Blinken said just last week that there is a kind of just and durable peace, but it can’t ratify what Russia has done, that America will fight not only against Russia and China until everything, all of the Russian assimilations of Crimea, of Luhansk and Donetsk are all reversed and things go back to the way they were before.

That’s the neoliberal dream, going back to the way it was before to prevent any change going forward. That really is the final statement of neoliberalism. There cannot be any escape. There is no alternative.

There cannot be any escape from dollar diplomacy and the world institutions that we control. That’s what the rest of the world is facing.

MICK DUNFORD: I think I want to just present a more positive view about some of the things that I mean, I realize, I agree absolutely.

I mean, that is a problem, especially ever since the 1980s, especially. I mean, it’s a trap, which many countries have simply not managed to escape. And it’s a trap that’s extremely difficult to escape.

But this is simply a chart that looks at the share of world output of agricultural products, of manufacturing goods, of energy, raw materials. And then it also gives a share of GDP and the share of the population.

main qimg e5c5c543a1a6c8e34b6bab1842108354
main qimg e5c5c543a1a6c8e34b6bab1842108354

So the share of the GDP is in black. So you can see that’s relatively low. But these are the so-called BRI countries. And you can see that they account for 60% of the world population.

But if you look at their contribution to the world production of energy of the kind of materials, raw materials that are needed, if you look at their contribution to the production of manufacturers, if you look at their contribution to the production of food, you see a sustained increase.

If you look at the BRICS, you get a similar story. If you look at the SCO, the Shanghai Cooperation Organization, you get a similar story. If you look at RESAP, you see a similar story.

There are deep difficulties, not least because of the conflict in Ukraine, but also because of the deepening debt crisis, because of the impact of the conflict in Ukraine on the availability of energy, on the availability of food especially, of course, in emerging countries.

So there are serious, serious difficulties. And yet some parts of the world are making progress. That should be a message of hope beyond the neoliberal order dominated by the collective West.

The parts of the world that colonized the rest of the world largely after a series of Chinese inventions like watertight compartments in ships, gun powders, magnetic compass, printing. They arrive in Europe, and Europe uses those Chinese inventions to put guns on ships and dominate the world.

I think there is also a vision of a different type of world system centered around a series of civilization states.

And while there are enormous challenges I think, if you look at what’s going on in the world, you can see stories that offer us a certain amount of hope.

Many of these are associated with what is happening in Asia and Russia is orientating itself towards Asia and will also make an enormous contribution to the development of what will hopefully, beyond these disasters through which we’re living, what will hopefully start to look like a better world.

So I think we need this kind of positive vision of a way forward as well as identifying the problems of crises that we confront.

That’s one of the reasons why I spoke about China in the way I did, because it’s an attempt to move in the direction of collective prosperity, in other words, because it’s only an upper middle income country at the moment.

It may be the largest economy in the world, but it’s a middle income country. And so there’s a long way to go in improving the livelihoods of Chinese people, and indeed, of course, of people in other parts of the world.

So that’s one of the things I would want to say.

RADHIKA DESAI: Well maybe if it’s okay with you, we should draw this to a close now, because we’re kind of nearly done.

So let me just then bring this to a close by saying that a mixed graph that he just showed, also tells us why the neoliberal system and the dollar system have to be rejected. Because the difference between the value or the fact that the GDP is very low, but their actual production is very high, is very simple.

The dollar system relies on systematically undervaluing the currencies, and therefore the labor and the products of the rest of the world, which is why you see this discrepancy between how much is produced and what the GDP is.

So I think that also, so as far as the rest of the world is concerned, what we are saying is that the road for the rest of the world is very clear.

It is away from the West, towards China, Asia, away from the Washington consensus, towards whatever locally adaptable forms of socialism are possible. That’s the way in which things have to go.

And one of the things that the neoliberal West has also done, by the way, is, which is going to affect the rest of the world very badly, and the rest of the world needs to take an initiative to deal with it, is that, of course, in the present context, the war has become an excuse to essentially abandon all efforts to reach any climate goals.

And again, China is an example of how to deal with emissions and generally ecological issues.

So meanwhile, global warming is reaching a point where it is seriously affecting labor as well as agricultural productivity in many parts of the world.

So the urgency of moving away from the West and from Western neoliberalism and Western imperialism has never been greater.

So I think with that, I’d just like to thank you all for listening. Thanks to Mick Dunford for joining us on this amazing show, which Mick’s contribution made so excellent, I think.

And of course, thanks as usual to Paul Graham, our videographer. So thank you again and see you next time. Bye bye.

It means that the Chinese are not fools. The United States sanctioned the Chinese defense chief, and if they would meet, that would be in violation of the sanctions.

Who knows what other “dirty tricks” the Biden administration has “up its sleeve”?

But also, and most importantly, nothing productive would come out of the meeting. The United States has proven itself to be two-faced, fork-tongued, lying, scheming, manipulating bastards that have only one goal which is to belittle, and ultimately destroy China.

Top 10 Most DANGEROUS Hells Angels In History

So many of these stupid questions. And the answers are equally stupid, filled with anti-China ignorance, and an amazing array of pro-America bravado. Like this…

As others have pointed out, Russian and Chinese armies are mass conscript armies, with large numbers of often unwilling conscripts given minimal training for a short period of service. While most Western armies are now professional armies made up of willing volunteers who serve for a number of years and therefore can be trained to a much higher level.

Sounds so reasonable, but absolutely FALSE.

Chinese military is a professional volunteer military. It is around 500,000 pure volunteer troops, of which at least 210,000 are combat soldiers. This is compared to the United States which has 50,000 combat troops globally.

The Chinese military is above peer-capable in many areas, and use a different style of fighting and military doctrine than the United States uses.

One must remember that EVERYONE in China gets military training, starting in first grade. And it continues throughout their life.

So to say that China’s military is just “conscripts” that are untrained, and undisciplined, and a mass conscript army is blatantly and absolutely false.

Here’s the bottom line.

You all want to sail over to China and “start shooting ’em slant-eyed commies”… go ahead. See what happens.

The point of this response is not to point out China is good, or the USA is good, or bad or whatever. It is to answer the question. Comparatively, how does Russia or China compare to NATO and the United States?

I say let’s find out.

Are you all up to have a DF-41 rammed up the ass of your home city? Because that is exactly what China is going to do. China does not play games.

These Female Cartel Bosses Are SCARY. Here’s Why…

https://youtu.be/8qrY5xScqL8

Moscow Under Ukraine Drone Attack

At least eight (8) military drones operated on behalf of Ukraine, have attacked Moscow, Russia this morning (Tuesday) with most being either hit with Electronic Warfare or shot down by PANTSIR-S air defense systems.

Several houses in the south-west of Moscow were damaged. There were no civilian casualties.

 

Eight aircraft-type drones were involved in the attack. All Ukrainian drones were hit.

Three of them were suppressed by electronic warfare, lost control and deviated from their intended targets.

A further five drones were shot down by the Pantsir-S surface-to-air missile system in the Moscow region.

 

The US is a very contradictory country, or to be more accurate: the principle of US politics is very contradictory.

On one hand, the US wants every country to be democratic, so that none of them can be united as one and against the US. It means that if the ruling party doesn’t obey, just raise the opposition party. Same thing happened for countless times, Juan Gerardo Guaidó Márquez is one of them.

On the other hand, the US wants a dictator in every other country, so that it could have total control over them by controling the ruler.

The US wants other countries to be both open and isolated at the same time. So that the US capital can enter them to invest and harvest, while people in those countries wouldn’t notice what’s going on.


White people used to just exploit Africa by purchasing primary prodcuts with very low prices. Most of the income from the business would go to the pockets of the agents of the west, i.e. the dictators and their lackeys.

main qimg b9757071082e5745b2ceea8c1257bb20
main qimg b9757071082e5745b2ceea8c1257bb20

Jean-Bédel Bokassa, supported by France, was a brutal and heartless dictator in Central Africa Republic. This photo was taken during his ceromony coronation, because he wanted to turn the country into an empire and be an emperor, because he worshipped Napoleon.

main qimg 6af9d0a364abf7450d1b8898a6c6d9a9
main qimg 6af9d0a364abf7450d1b8898a6c6d9a9

Reza Pahlavi, dictator of Iran. During his dynasty, the upper class of Iran was “open and free”. Photos takend during Pahlavi dynasty usually been used as the proof of superiority of democracy nowadays, eventhough he was a dictator and only the upper class in Iran was free and open.

Ironically, he was overthrown because the US thought that he wasn’t democratic enough, and abandoned him in the revolution.

More ironically, the result of the revolution was a conservative and reglious authority based on Sharia, something which the US hates even more.


After years and years of exploitation, most people in Africa just got used of it, until China came.

Oh fuck, China builds roads and hospitals for them, to give them the taste of modernization.

main qimg cd206af254de08c7ef5b2672c11ac24a
main qimg cd206af254de08c7ef5b2672c11ac24a

FFS, China even trained the locals to know how to build railways and operate trains, so that one day the locals could do it on their own. Maybe still with Chinese technology, but they would know all the principles and rules behind the construction and machines.

This, smashed the old world oder built by the white people.

Africans are not supposed to understand how to build and operate. They need to rely on the west to do things: borrowing money from the west, contribution cheap labour to OEM factories, and sell their resources in low price when they cannot repay the loans.

And now Chinese are teaching them to stand on their feet.

Just tell Africans that China is building a debt trap, because this is how the west always does, and the west knows this better than anyone else.


A more cruel fact is that the US used to lack of interest in Africa, because it’s too poor there.

US politicians would only show some interest when USSR influence appeared there.

Africans were not even qualified to be exploit by the US, until China decided to participate in the development of Africa more, which is in the new millennium.

Even Africans would be confused about the US presence, since it’s never interested in Africa.


BTW,

recently China lent 10 billion USD to Brazil,

so that Brazil could repay international loan.

Meanwhile Brazil sells 69 billion RMB (10B USD) worth of soybeans to China, and then use the RMB to repay China’s loan.

Brazil got its international loan cleared, China got the soybean, and RMB got used in international trade.

Win-win.

Only the US is pissed off.

Because it could exploit something from Brazil, if Brazil couldn’t pay off the loan.

I wonder if anyone noticed that ever since the bankruptcy of Sri Lanka in 2022, we haven’t seen more countries go bankrupt?

THIS IS WW3, Putin just scored a devastating blow to the U.S.!

https://youtu.be/hPzMXYNBndY

Yes.

It already has.

Korean War – Conventional

Americans will know this war as the “Korean War”. And it was a bloody fiasco for all sides. Millions of Chinese died, and thousands of American and allies died. But at the end, the Chinese attained their objectives; the United States left China, and evacuated South Korea (in a rout, no less). The only Americans who still remember this war, and the massive hardships associated with it, is the United States Marine Corp.

Bio-weapon carpet bombing

This was followed by bio-weapon carpet bombing that began immediately at the conclusion of the conventional fighting. This lasted for decades. It started in the mid 1950s, and continued into the 1970s. This was a major CIA enterprise.

Eventually, the Chinese got to be pretty good at detecting bio-weapons, tracking the viruses, and devising strategies to counter the bio-weapons assaults. And over time, the effect of the bio-weapon carpet bombing became softer; lighter, and ineffective.

Engineered Famine

Thus, this method of warfare evolved into a new type of assault; a new kind of warfare. Rather than kill the Chinese people directly though viruses, the United States decides to starve China into submission. Thus, famine attempts were bio-weapons and genetically engineering insect strains were introduced inside of China to induce starvation and famine.

Interlude 1

During the late 1970s and into the 1980s, the United States made agreements with China, and the overt attempts at famine ended. Instead black operations, hidden no doubt, to start color revolutions were put in place.

Tiananmen color revolution

The NED tried their first Chinese color revolution in the “Pro Democracy movement” of the 1990s. Which failed for a multitude of reasons. But, both the United States and China learned from that event.

Interlude 2

Aside from a couple of “punches” by the United States (such as the Belgrade embassy bombing, and the carrier trip down the Taiwan strait) the United States spent it’s time readying for the next big attempt. Preparations took time, but pre-positioned elements of color revolutions were placed in the mainland, in Uighur-controlled Xinjiang, in Tibet, and in Hong Kong.

Then Edward Snowden comes to HK before going to Russia and provided the Chinese everything about the NED, and CIA prep work. Decades of work, and long-duration agents, were rounded up and killed. Those that were not, only made it out alive by going black and leaving everything behind. The horrors that the captured CIA operatives experienced sent shock-waves and shivers throughout the various agencies involved. The Chinese do not play.

Donald Trump initiates war

Oh, he talked a good talk, and spoke about never having a war. But there are many ways to conduct a war, and his administration was very active in them. Following in the pre-prep planning by the Obama Administration, The following wars came into being under Trump….

  • Trade War (failed)
  • Technology War (on-going)
  • Color Revolution in Tibet (failed)
  • Color revolution in HK (failed)
  • Taiwan color revolution (partial success)
  • Engineered famine through Drone dispersal (failed)
  • Submarine War in the South China Sea (on-going)
  • January 2020 Coronavirus on CNY in Wuhan (failed)
  • July 2020. The diarrhea Tick Virus assault in Beijing (failed)
  • August 2020. The vomiting humanized swine flu virus (failed)
  • The USN Naval flotilla engagement in 2020 (a dud, and resulted in the firing of Mark Esper)
  • Insurgency in XinJiang (Failed)
  • AUKUS (In process)
  • Nuclear systems in Australia (success)

Biden continues the war efforts…

Now, President Biden took the actions by President Trump and put them on “overdrive”. He also added some new wars…

  • NATO+ in the Pacific. (In process)
  • QUAD in the Pacific. (In process)
  • Nuclear systems in South Korea (success)
  • Nuclear systems in Japan (in process)
  • Interruption of the BRI (in process)
  • Militarizing Taiwan (in process)

And many more.

As I see it, the United States has been actively fighting a war with China. It is being so poorly reported that it is a stealth war, with certain fear-mongering hypes over blown, and many tactical events unreported.

Now, if you, the reader, desires to pretend that there has never been any hostilities at all, and that one day, there might be a war… then that’s fine.

I don’t care.

Seeing what I see; a historical BIG PICTURE… it is clear that the United States has no brakes or reverse gear and the current geo-political trajectory will absolutely result in conflict.

But, you know, I am of a conservative bent, and I like to believe that the United States would never be so stupid to attack the nation that [1] it owes so much debt to; [2] the nation that is nuclear armed and not afraid to use them, and [3] the nation that makes EVERYTHING the United States uses.

No American leader would ever be so absolutely stupid to do such a crazy thing.

Not even Biden.

Someone built a whole three-story building just to block his brother’s view of the sea.

The building, aptly called “Spite House”, was built in the 1950s, in Beirut, Lebanon.

What hapened is two brothers had inherited some land from their father.

Ensued a long dispute on how to manage the land. An agreement couldn’t be reached.

main qimg 08503cdf8d1b8f44e2e77551e5f84bca lq
main qimg 08503cdf8d1b8f44e2e77551e5f84bca lq

One of the brothers, apparently without the other’s go-ahead, built a wonderful house for himself with a perfect view of the sea.

This left the other brother quite pissed.

So, in order to spite him, the other brother built a very narrow, quite oddly-shaped, yet somehow still inhabitable building that looked like it jumped out of a Dr. Seuss book — smack dab in between the house and the lovely sea view.

 

house on the right, spite house on the left

Spite House is around 60 centimeters (2 feet) at its narrowest point and 4 meters (13 feet) at its widest.

main qimg ce1fed8ebf454687d6131a735f51e0a6 lq
main qimg ce1fed8ebf454687d6131a735f51e0a6 lq

main qimg 1a49616b26e00382c62dbb405a9aa034 lq
main qimg 1a49616b26e00382c62dbb405a9aa034 lq

There are two apartments on each floor.

main qimg ba09d7525cdfdb507473c52479756848 lq
main qimg ba09d7525cdfdb507473c52479756848 lq

Spite House served its purpose – it blocked the beautiful view of the sea from his brother’s house. Plus as a result of this the house decreased in property value.

The building is called Al Ba’sa (in Arabic) which translates to “The Grudge”.

Jeff Brown has a great Twitter account

I suggest you all subscribe.

2023 05 31 19 55
2023 05 31 19 55

GUILTY TEENS Reacting To Life Sentences… #4

My Chinese American friend tells me that China can beat the US in a war with only 1/10 of their total force, should I believe him?

The United States, for all of its 800+ military bases, high technology planes and submarines, and for it being involved in over 9 continuous wars all over the globe… it ONLY have 50,000 combat troops.

China has 915,000 active duty troops, of which a full 210,000 are combat troops.

…

Now, let’s do the math.

Assuming that the United States deploys 100% of it’s combat troops in China to fight the Chinese, the Chinese would out number the combat forces by a 4.5x margin. Not a 10x margin.

…

So, no, your friend is wrong. 

He means well, but his numbers are off. China would meet parity with the United States with 1/5 of it’s total force. Not 1/10th.

Or 20% of it’s combat reserves. Of course, this assumes that Chinese missiles would not be used, nor the huge advantages in technology, numbers, bases, and other attributes that the Chinese have inside of China. 

This is a “sanity check” that is available for everyone to crunch the numbers with. What is amazing to me is that the American population has been so dumbed down into a state of numb stupidity, that they are unable to perform the most basic third grade level calculations.

People Laughed at His House Until They Came Inside

https://youtu.be/Km1MCUE2Rf4

World War 3 Potential Kick-off Dates: June 12-24

2023 05 31 08 41
2023 05 31 08 41

Based upon information which came to me discreetly via postal mail, I have concluded that World War 3 can kick-off in less than two weeks, between the dates of June 12 thru 24.   The largest NATO air ‘exercise” – Air Defender 23 – takes place in that time period; may cover Ukraine “Counter-Offensive.”

As described during my Memorial Day radio show last night, my wife and son came up to the house here in Pennsylvania, from our regular home in New Jersey, this past Saturday evening.  They brought with them, postal mail from my P.O. Box which has accumulated for about 3 weeks.

In that postal mail was a discreet, but large, envelope, containing what can be described as intelligence material about certain NATO planning.

I perused the material and then set about trying to verify it.   But with the entire US being on Memorial Day Weekend holiday, it was very difficult reaching my contacts from my years working with the FBI Joint Terrorism Task Force (JTTF), during which I handled National Security Intelligence, Terrorism, and Foreign Counter-Intelligence.

As of early this morning, Tuesday, May 30, I am able to reach several contacts  from my FBI years, and am further verifying the material.

Here’s what I can confirm:

NATO will commence its largest air exercise in history, called “Air Defender 2023” on June 12.

Air Defender 23 will be the most significant military exercise ever carried out in European skies. The event will involve the air forces of 25 nations.

More specifically, Air Defender 23 will represent the most extensive deployment exercise of air forces in the history of the North Atlantic Treaty Organization, commonly known as NATO. The unprecedented event will involve up to 10,000 exercise participants who will train their flying skills with approximately 220 aircraft. The military exercise will take place in European airspace and under the command of the German Air Force, or Luftwaffe.

By creating this joint exercise, Air Defender 23 aims to enhance and optimize cooperation among NATO forces and show the alliance’s strength. But what exactly are the skills that Air Defender 23 intends its participants to train?

The 25 participating nations plan to investigate how their respective air forces would react and cooperate in case of a military crisis. Mainly, Air Defender 23 will be an opportunity to assess the participants’ joint airborne response to a hypothetical emergency. In this context, Germany will act as a collective defense hub for European airspace.

The 25 participating nations include Belgium, Bulgaria, Croatia, Czech Republic, Denmark, Estonia, Finland, France, Germany, Hungary, Italy, Japan, Latvia, Lithuania, Luxembourg, Norway, Poland, Romania, Slovakia, Spain, Sweden, Turkey, United Kingdom, United States, and Greece.

Air Defender 23 will see the arrival of 23 different aircraft types, including the F-35 of the Americans and Dutch, a NATO AWACS reconnaissance aircraft, and, for the first time ever, a Japanese Air Force transport aircraft. Of the 220 aircraft involved, 100 alone will be arriving from 35 states in the US.  Those US aircraft constitute the largest deployment of Air National Guard aircraft since Operation Desert Storm in Iraq back in 1991.

(HT REMARK: Not to put too fine a point on it, but one does not move that many aircraft, from that many countries, halfway around the world – and just outside an ongoing conflict zone in Ukraine – just to have an “exercise.”)

 

NATO “Exercise” Covering for Real Attack

Readers may recall that another NATO Exercise “BaltOps-2022” was used as cover to plant explosives on the NordStream 2 gas pipelines.  This was revealed by Investigative Journalist Seymour Hersh who showed the Biden regime planned the Nordstream bombing attack in the White House, deployed specialized Navy Divers from a team outside the investigative purview of Congress, to plant explosives that could be activated by special tones emitted from a sonar buoy.  Three months or so after the BaltOps-22 exercise, Hersh reported, a Norwegian air force plane was sent to air-drop the special tone-emitting sonar buoy, which detonated the underwater explosives, destroying much of the Nordtream 2 pipeline.

So NATO using an “exercise” to cover for an actual military operation, is nothing new, and still fresh in most people’s minds.

Fast-forward to today, and NATO is planning its largest air strike exercise in history.   It is doing so just outside the Ukraine-Russia conflict zone.  Gee, what a coincidence!

NATO supports Ukraine and has been supplying Ukraine with massive amounts of money and military weaponry/ammunition.

None of it has succeeded in turning the tide in Ukraine’s favor; Russia is winning, slowly-but-surely.  In fact, Ukraine is LOSING so badly, their own troops have begun SHOOTING OFFICERS IN THE HEAD rather than engage in battle.   That story, with horrifying graphic video of a Ukraine Battalion Commander shot in the head by his own men, is HERE

Now, the NATO countries have given almost all they can give to Ukraine, and yet Ukraine is still losing.

So NATO is coming to an inflection point: Either they admit they cannot help Ukraine defeat Russia, or . . . .

They come into the war on the side of Ukraine.

THAT, is what the postal mail material I received on Saturday, seems to indicate.

The only chance Ukraine has of turning the tide, and the ONLY chance NATO has of saving face after all its help to Ukraine failed, is for NATO to come into the conflict directly.

But there’s a problem: Russia has not attacked NATO.   Moreover, Ukraine is not a member of NATO.

So in order for NATO to come into the conflict, there has to be some action or event, that would “justify” NATO entering the fight.

 

CREATING AN INCIDENT

For months, the world has been hearing about the coming “Ukraine Counter-Offensive.”  It’s been repeatedly stated that Ukraine is going to launch some blistering effort, to repel Russia out of Luhansk, Donetsk, Kherson, and Zaporozhye Oblasts (states) which voted to secede from Ukraine and join Russia, and to regain control over Crimea, which seceded by vote in the year 2014.

Of late, mass-media in the West is also reporting that the U.S., through NATO, is working on giving fourth generation F-16 Fighter Jets to Ukraine and training Ukrainian pilots to operate those jets.  Sadly for Ukraine, training a fighter pilot is not done quickly.  It take upwards of a year.

Even worse, I reported (HERE) that the U.S. is not only pushing its NATO allies to donate F-16’s but the U.S. is also insisting the planes be of the type with special modifications, enabling those planes to carry U.S. B-61 free-fall, nuclear bombs, and Ukrainian pilots be trained in using them!

This demand by the US has been met with strong opposition from a number of NATO allies.

However, the material sent to me via postal mail, indicates NATO plans to create an incident wherein they can justify NATO entry into the war, and use the coming “Ukrainian Counter-Offensive” as the means to do just that.

The papers mailed to me indicate the following scenarios are in-planning:

SCENARIO “A”

1)  Ukraine grants NATO permission to enter its air space to establish a nationwide no-fly zone.  NATO agrees and enters.

2) Russia has already warned it will engage and destroy such foreign aircraft.   Russia then does what it says it would do, NATO screams it has been “attacked by Russia” and it’s on like Donkey Kong.

The papers mailed to me also include other scenarios:

 

SCENARIO “B”

A) “Ukrainian F-16’s” enter the fight and launch attacks into actual Russia.  Belgorad is the likely attack zone.  But since there are no qualified Ukrainian Pilots, the planes will be piloted by British and American pilots.

B) Russia shoots down the planes either in Ukraine or inside Russia.

C) When the pilots are either captured alive, or killed in the fight, their bodies will prove they were British and American.

D) Russia declares they have been attacked by the US and NATO and declare war upon us.  Again, it’s on like Donkey Kong.

 

SCENARIO “C”

Includes both Scenario “A” and Scenario “B” above.

i) NATO is granted permission to enter Ukrainian air space to set up no-fly zone and NATO enters.

ii) Ukrainian F-16’s enter Russia and attack.

iii) NATO planes warn incoming Russian defenders they cannot attack the Ukrainian planes or they will be hit by some 200 NATO planes.

iv) Russia tells both Ukraine and NATO what’s what, and commences hitting all of them.   It’s on like Donkey Kong.

 

In support of this intel received via postal mail, I can also report the USS Gerald R. Ford made a port call to Oslo, Norway last week, spending four days in port.  It is shown entering Oslo harbor in the photo below:

2023 05 31 08 42
2023 05 31 08 42

The USS Gerald Ford is the largest warship in the world, and carries upwards of 90 aircraft for battle.

It even has small in-flight refueling tankers.

It’s squadrons of fighter jets can take off, be refueled in-flight, enter northwestern Russia to launch attacks, and return to the aircraft carrier.

So Russia would be faced with intense air battles in Ukraine, intense air strikes into Belgorad, and other air strikes in northwestern Russia from fighters on the USS Gerald Ford, while US aircraft carriers in the Pacific, sail from Japan and perhaps launch additional attacks against far eastern Russia, from the Pacific Ocean.

The intel I received by mail claims this massive series of strikes would immediately overwhelm Russia’s conventional forces, but it says that NATO concludes this would compel Russia to sue for peace.

I say that conclusion is flat-out wrong.

I say such an overwhelming attack, would compel Russia to use nuclear weapons because the survival of their country is at stake.

I say, if Russia has to resort to nukes, it won’t be some small, tactical nukes on a battlefield.  I say they are far more likely to make large, strategic launches, against western decision-making centers, which is precisely what Russia warned it would do if the West interfered with Russia’s Ukrainian operations.

Of course, I can say anything i want, but I don’t speak for Russia . . .  or for any other country.  I am just applying what I know to the situation, and making an intelligence assessment based upon the information I have.

For what it’s worth, I am going on record with this article saying that the time window of June 12-24 is the period when the outbreak of World War 3 seems almost unavoidable.

If this takes place — and it may not —- I suspect we in the west would be hit with nukes very early in the conflict.

The one variable that I cannot factor-in, is if Russia is able to thwart a potential Ukraine Counter-oiffensive, using missile strikes which take out Weapons Depots needed for such a Counter-Offensive.

If Russia is able to wipe out a large portion of the few weapons Ukraine has left, then undertaking the scenarios above would be utterly futile for NATO unless . . . .     unless . . . . this whole Ukraine conflict was set-up to pave the way for a war against Russia anyway . . . and whatever happens in and to Ukraine has never mattered.

If THAT is the case, then it seems to be June 12-23 is the date range for the actual start of World War 3.

I earnestly hope you and your family have emergency food, water, medicine, a generator for electric, fuel for your generator and for your cars, portable communications gear like a CB radio for each car and for your house, and a shortwave radio at home so you can get news from around the world, and a plan to “bug-out” if the nukes start flying.

If the intel I received by mail is accurate — and I think it is — then you have about two weeks left to plan, stock-up, and pray.

Get right with God.

Vermont Spice Cake

You don’t have to live in Vermont to enjoy this spicy Vermont Spice Cake crowned with an icy cream cheese frosting sprinkled with chopped nuts or whole pecans.

Yield: 12 servings

IMG 3363 edited 7
IMG 3363 edited 7

Ingredients

Cake

  • 3 cups all-purpose flour
  • 3 1/2 teaspoons baking powder
  • 2 teaspoons pumpkin pie spice
  • 1 teaspoon baking soda
  • 3/4 teaspoon ground nutmeg
  • 1/2 teaspoon salt
  • 1 1/2 cups granulated sugar
  • 3/4 cup (1 1/2 sticks) butter, softened
  • 3 large eggs
  • 1 1/2 cups Libby’s® 100% Pure Pumpkin
  • 1/2 cup Nestlé® Carnation® Evaporated Milk
  • 1/4 cup water
  • 1 1/2 teaspoons vanilla extract

Maple Frosting

  • 11 ounces cream cheese (8 ounce package plus a 3 ounce package), softened
  • 1/3 cup butter, softened
  • 3 1/2 cups powdered sugar, sifted
  • 2 teaspoons maple flavoring*
  • 1/2 cup chopped nuts and nut halves (optional)

Instructions

  1. Heat oven to 325 degrees F. Grease and flour** two 9 inch round cake pans.

Cake

  1. Combine flour, baking powder, pumpkin pie spice, baking soda, nutmeg and salt in small bowl.
  2. Beat sugar and butter in large mixer bowl until creamy.
  3. Add eggs; beat for 2 minutes.
  4. Beat in pumpkin, evaporated milk, water and vanilla extract.
  5. Gradually beat in flour mixture.
  6. Spread evenly into prepared cake pans.
  7. Bake for 35 to 40 minutes or until wooden pick inserted in center comes out clean.
  8. Cool in pans on wire racks for 15 minutes; remove to wire racks to cool completely.

Maple Frosting

  1. Beat cream cheese, butter and powdered sugar in large mixer bowl until fluffy.
  2. Add maple flavoring; mix well.
  3. To Assemble: Cut each cake in half horizontally with long, serrated knife.
  4. Frost between layers and on top of cake, leaving sides unfrosted.
  5. Garnish with nuts, if desired.

Notes

* 3 teaspoons maple flavoring are suggested for a stronger maple flavor.

** For best results, use our Pan Release!

To make a 2-layer cake, frost between layers, over top and on sides of cake.

Nutrition

Per serving: Calories: 607 Calories from Fat: 231 Total Fat: 25.7g (39% of DV) Saturated Fat: 15.5g 77% of DV) Cholesterol: 122mg (41% of DV) Sodium: 529mg (21% of DV) Carbohydrates: 88.3g (28% of DV) Dietary Fiber: 2.2g (9% of DV) Sugars: 60.3g Protein: 7.7g

Vitamin A: 20% DV Vitamin C: 2% DV Calcium: 9% DV Iron: 22% DV

* Percent Daily Values are based on a 2,000 calorie diet.

Oh SH*T, The gloves just came OFF

In recent years, the United States has been saying at every turn that the products of Chinese companies have affected the national security of the United States, thus imposing restrictions on Chinese products.

One of the most hilarious is that they say the refrigerators and corn produced by China are Chinese spies that could steal American secrets and affect US national security. The report concocted by a Washington-based think tank called Observe, Orientate, Decide, Act (OODA) claims that “Next time you open your fridge for a late-night snack, watch out, because China might be spying on you!”

At the state level, the most typically absurd incident was on January 14, 2021, when the U.S. Department of Defense added nine Chinese companies, including Chinese smartphone maker Xiaomi Corp, to a blacklist of alleged Chinese Military companies and imposed sanctions.

On March 25, 2022, the U.S. Federal Communications Commission (FCC) added China Telecom and China Mobile to a list of “communication equipment and services that pose a threat to U.S. national security.”

Well, this time the bully had a taste of his own medicine.

But I don’t view the Micron ban a tit-for-tat action. Micron has itself to blame for failing to abide by Chinese laws and regulations.

2023 05 31 10 53
2023 05 31 10 53

It’s natural that China has its own concerns about national security. And Micron chips were only barred in China’s key infrastructure sectors. They were not banned in the general consumer goods sector.

BTW, Micron has been a double dealer. While reaping colossal profits from the Chinese market, Micron actively instigated U.S. sanctions on China.

On May 22, the day after the review results of Micron were revealed, Micron said at a J.P. Morgan conference call that: “We estimate that the combined direct sales and indirect sales through distributors to China headquartered companies is about 1/4 of our total revenue.”

No doubt, China is a very large and important market for Micron.

Since the United States launched the trade war and science and technology war against China, the normal reaction of US enterprises with huge interests in China is to try to lobby the US government not to do so before launching a trade war. These companies would only passively enforce the ban, and they would write applications to the U.S. government for imposing an exemption for his company or one of their products, or to obtain a certain transition period.

But Micron was an exception.

From 2018 to 2022, Micron spent $9.5 million in lobbying, with the goal of attacking China’s semiconductor manufacturing industry.

Micron submitted more than 170 lobbying issues to the U.S. government between 2018 and 2022, of which lobbying content related to China accounted for as much as 67 percent…

In the end, Micron is only eating its own bitter fruit.

Micron itself knows clearly whether it has cooperated with Washington to export unsafe products to China. It should reflect on its own behavior.

Two instances opened my eyes at an early age.

When I was 10 I was consumed with being an astronaut. I could tell you all about Mercury, Gemini and Apollo. I was glued to the TV when I heard “one small step for man, one giant leap for mankind”. I invited Neil Armstrong to speak at my 6th grade graduation (he graciously declined due to previous engagements, LOL).

I didn’t want to be the first black astronaut, I just wanted to be an astronaut.

For Christmas my mother bought me a Revell model of the Saturn V moon rocket that was supposed to be the biggest plastic model ever created to date, over 4 feet high when completed. The box doubled as a carry/display case. When I finished it I was so proud that I even took it to family get togethers to show it off (yeah, weird). On one such occasion I overheard one of our relatives and a family friend telling my mother that she needed to “get that fool stuff outta that boy’s head ‘cause ain’t no colored boy gonna be some damn astronaut”. My mother who was wise beyond her years (she had me young) chose to ignore them.

I also loved to draw. I drew everything. Animals, people, pictures I saw in magazines and naturally, rockets. I liked going to the San Diego Museum of Art in Balboa Park and try and draw the paintings. Again with the family negativity. “Black folks don’t do no art. Better get him straight”. Again, Mom ignored them.

She worked as a housekeeper for a wealthy (to us) white family that lived near San Diego State University. I was 8 at the time. The husband was the Graduate Manager for SDSU. His wife was a homemaker with three kids. He listened to my passion for airplanes and rockets and shared with me what I would have to do to become a pilot and astronaut. They had a huge shelf of books in the house and he said whenever I was there I could read anything I wanted. He even had a few books on airplanes and flight. Being a Navy town he used some of his connections to arrange a visit to NAS North Island and I even got to talk briefly to an actual pilot (That’s when I fell in love with the F4 Phantom jet). Not one white person told me I couldn’t be an astronaut.

His wife looked at one of my drawings one day (naturally it was an airplane) and she asked me why I drew the wing like I did. I said because that’s what I see. She made a makeshift still-life on the kitchen table, gave me clean sheet of paper and asked me to draw it. When I blurred out the background started to shade in shadows she asked me why. “‘Cause things in the back are always fuzzy and making this side darker makes it rounder”. I was identifying perspective and drawing 3D without being taught because “that’s what I saw”.

A few weeks later they asked my Mom if she would like for me to attend the Campus Lab School on the SDSU campus instead of public school. It was a private K-6 grade university school for faculty and staff kids and was used as a training school for Education majors. The school experimented with individualized curriculum, non-graded organization structures, team teaching and a lot of new and creative teaching processes for the time.

I was introduced to learning art and creativity in a way that I could have never received in public schools. Not one white person told me I couldn’t be an artist.

I never learned to fly or be an astronaut but when I took my 6 year old son to see the Blue Angels in 1995 I made sure he was introduced to Lt. Commander Donnie Cochran, leader of the Blue Angels that year. A black man. My son has spent the last two years as a project manager for SpaceX.

main qimg 1ef0dfd775ef08a760bd031e6f110b8c
main qimg 1ef0dfd775ef08a760bd031e6f110b8c

(CDR, now Captain Donnie Cochran, commanding officer of the Blue Angels.)

I did take that interest in art (that was nurtured by a white woman who saw talent and not color) and became an art director for the past 30 years. I’ve done work for Reebok, Transamerica, Honda, Acura and a ton of other clients, large and small.

I learned from an early age to see people for their character not their skin color because a long time ago someone saw me for my character (and potential) and not as a color.

It’s not just black and white, though. You can find race/class enemies and allies everywhere. I have heard from friends and colleagues that there were people of their own ethnicity who discouraged and hindered them from succeeding, while the only positive help they got was from another race. Mexican, Hispanic, Korean, Indian, etc. Even some white friends who grew up in dirt-poor families were told to “get that stupid stuff out of your head ‘cause this is just who we are down here”.

BTW: They also encouraged my mother to go back to school. I was awfully proud to see my Mom graduate with a Masters’ degree in Education just ten years after I graduated with my Bachelors’.

As integral parts of Western society, the Western media bears the duty to uphold a sense of patriotism by fostering unity in the face of other countries deemed as potential adversaries. Furthermore, the press often faces political pressures from governing bodies and influential entities, leading to restricted access to information and sources, consequently limiting the breadth of perspectives presented. Additionally, governmental influence has permeated the media landscape, dictating the selection of headline news, the amplification of specific issues, and even the deliberate omission of certain stories altogether.

This is why the Western media never mentioned the diplomatic failure of the Budapest memorandum and subsequent unification of Germany to stop the NATO Eastern expansion as the primary reason for the Ukraine war.

Historically, the U.S. government has used various media strategies throughout history to shape public opinion and advance its political agenda, sometimes leading to significant consequences such as starting wars. Here are some notable examples with corresponding dates:

  1. The Maine Incident (1898): The explosion of the USS Maine battleship in Havana Harbor, Cuba, heightened tensions between the U.S. and Spain and played a role in the outbreak of the Spanish-American War. The incident occurred in 1898 and resulted in the deaths of 260 American sailors.
  2. Yellow Journalism and the Spanish-American War (1898): Newspapers like the New York Journal and the New York World engaged in sensationalist reporting, exaggerating stories and blaming Spain for the mistreatment of Cubans. This influenced public sentiment and contributed to the Spanish-American War.
  3. Propaganda during World War II (1939-1945): The U.S. government employed propaganda to boost morale and shape public opinion during World War II, utilizing various mediums such as posters, radio broadcasts, newsreels, and films.
  4. Operation Mockingbird (1950s-1970s): The CIA ran a covert operation to influence and manipulate media organizations and journalists, promoting narratives aligned with the government’s agenda during the Cold War era.
  5. Vietnam War and the “Five O’clock Follies” (1960s-1970s): Daily press briefings by the U.S. military during the Vietnam War, known as the “Five O’clock Follies,” presented an overly optimistic view of the conflict to shape public perception.
  6. The Gulf of Tonkin Incident (1964): The U.S. government claimed that North Vietnamese naval vessels had attacked American destroyers in the Gulf of Tonkin, justifying increased military intervention in Vietnam. However, later investigations revealed that the second attack, which provided the justification for the intervention, likely did not occur.
  7. Weapons of Mass Destruction (WMDs) in Iraq (2003): The U.S. government claimed that Iraq possessed WMDs to justify the invasion in 2003. However, no substantial evidence of WMDs was found.
  8. War on Terror and “Embedded” Journalism (2001-present): Journalists were embedded with military units during the invasions of Afghanistan in 2001 and Iraq in 2003, providing controlled access and shaping the narrative.
  9. Government-sponsored Social Media Campaigns (ongoing in recent years): U.S. government agencies have utilized social media platforms to counter extremist narratives, promote positive messages about the United States, and shape public opinion in support of policies.
  10. Information Operations and Cyber Warfare (ongoing in recent years): Governments, including the U.S., engage in activities such as spreading disinformation and manipulating online platforms to influence public opinion and advance political agendas.

These examples demonstrate the ways in which the U.S. government has employed media tactics over time to shape public opinion, create justifications for military action, and garner support for its policies.

Beautiful Children Book Illustrations By Emilia Dziubak

1 171
1 171

Emilia Dziubak is a super talented illustrator based in Poznan, Poland. Here you can enjoy some of her book illustrations for children. Aren’t they beautiful?

53 7
53 7

52 7
52 7

51 7
51 7

50 7
50 7

49 8
49 8

48 8
48 8

47 9
47 9

46 9
46 9

45 11
45 11

44 12
44 12

43 13
43 13

42 13
42 13

41 15
41 15

40 15
40 15

39 15
39 15

38 15
38 15

37 15
37 15

36 17
36 17

35 19
35 19

34 18
34 18

33 22
33 22

30 27
30 27

29 29
29 29

28 33
28 33

27 32
27 32

26 35
26 35

25 42
25 42

24 43
24 43

23 46
23 46

22 48
22 48

21 51
21 51

20 62
20 62

19 65
19 65

18 66
18 66

17 68
17 68

16 70
16 70

15 80
15 80

14 83
14 83

13 90
13 90

12 96
12 96

11 105
11 105

10 114
10 114

9 125
9 125

8 134
8 134

7 139
7 139

6 144
6 144

5 152
5 152

4 154
4 154

3 159
3 159

2 157
2 157

Human beings are practical creatures.

main qimg 2b322b1a5f9acb6080f23094d7e4b8ea
main qimg 2b322b1a5f9acb6080f23094d7e4b8ea

Four of the top 6 apps in the app store (ios) stateside is Chinese.

Further, temu, TikTok, capcut and shein occupied the top 4 spots for the most downloads in the past month (Feb-March 2023).

Why are temu and shein so popular, despite the omnipresence of Amazon and a galaxy of shopping apps?

They offer what the competition doesn’t, which is trendy and affordable bang for the buck that shapeshift to ever evolving taste and yes, fashion.

For example, Shein’s turnaround from design to limited risk production is measured in hours and days.

The competition can’t keep up, literally.

TikTok is hassle-free to use, and optimized as a short video sharing platform. People keep coming back for more of what is dished out, and the word on the street is “it rocks”, evidenced by the 150m active American users today. That’s a 70 percent penetration rate of the under 50 population.

Tiktok’s algorithms, which is a trade secret just like coca cola’s formula, must be doing something right.

Ultimately, survival in both the natural and man-made worlds is predicated on utility. Cease being useful and one becomes redundant, discarded over time.

The Chinese are incredibly useful to American youth, and benefit is enjoyed biting off the fruit of Chinese innovation.

There will be hell to pay if benefits are withdrawn, even if the Chinese nation may be the devil himself.

Remember, America is willing to scream “climate change is fake! ” and withdraw from both the Kyoto protocol and Paris accord in order to continue enjoying cheap gas powering their gas guzzlers.

What if I tell you that China has won the chip war against the U.S? China recently broke records for chip production while the U.S is still under the chip crisis. China is expanding its chip production capacity and has become the world’s largest chip producer, but will the U.S accept its defeat while the U.S companies are incompetent? It is still not ready to accept China as a winner. do you want to know how China’s chip company SMIC became the world’s greatest chip producer?

Let’s find out.

China’s chip industry is growing very fast, the industry has seen spikes in recent years that no other country has ever seen most of the developed countries took years to make their chip Industries and on the other hand China in a few years made its industry one of the top leading chip Industries starting a chip industry from scratch takes decades and China did it in a very short period.

Some Nations like the U.S have tried to Skyrocket their chip industry but no one has ever been able to do it except China. The U.S has been making chips for years but it is still not a part of the top three countries in chip production.

China has now become the world’s largest chip producer, it made 57 percent of the world’s total chips in 2022 and on the other hand Taiwan made 21 percent and South Korea made 19 percent of the world’s total chips. China left everyone behind on this.

2023 05 31 20 4s7
2023 05 31 20 4s7

2023 05 31 20 47
2023 05 31 20 47

China always makes futuristic decisions it starts making things that will be used years later, it is always very fast and it’s one of China’s rules to focus on its tech industry.

But why are other developed nations not able to achieve their goal as much as China is, because they are taking most things for granted.

Chinese people’s habit is to work hard (not lazy and lethargic as Indians) and on the other hand the other nations are not focusing on the sectors that need attention, no one is making the progress that China is making, because they are not taking the right actions at the right time and if it continues no one can stop China from becoming the world’s leading Tech country within the coming ten years time frame.

Actually, the Russians have built a number of their subs with windows in the sail. They spend time on surface transits in various places in extremely cold seas. This offers their crew some protection while conning the boat. Note here, a Typhoon, Oscar, and interior of a Foxtrot-class submarine sail.

Now, as someone pointed out, and I was going to mention, but didn’t, the sail is not a pressurized section of the ship, it floods, so the water exerts force on both sides of the glass when submerged. The pressure hatch to the inside of the submarine separates the pressure hull from the interior of the sail.

main qimg 1e20cd7812648c824fba9df5349f7255
main qimg 1e20cd7812648c824fba9df5349f7255

main qimg 9a9a586169f7025da7f59d6f4d8fd763 lq
main qimg 9a9a586169f7025da7f59d6f4d8fd763 lq

main qimg db47a7caac33893da08b666a086c77b7
main qimg db47a7caac33893da08b666a086c77b7

Predicting the future by exploring the past

One of the reasons why I love China so much is the extended network of friends and family. In the United States, you are ALONE. In everything. You are on your own.

  • In China, the financial support of the extended family is unconditional, no strings attached.
  • In China, people are supporting their family members, even when it lowers or even halving their own life standards.
  • In China, it is one’s moral duty to do that.
  • In China, there’s no expectation to ever pay back. It’s not a loan, its a present.
  • In China, it is part of the guanxi 关系, the traditional Confucianist philosophy.

Quite different from the West.

Look, I once asked my brother for a one month loan to (help me finish the process of ) buying a house here in China. I needed $20,000 USD. Not much in the grand scheme of things.
He hemmed, and hawed and asked all kinds of questions. The back and forth over the phone lasted two weeks, and in the end he said no.
I then went to a Chinese friend. You know what he said? He said “What is your bank account, and I’ll transfer the money right away.“. Now, he didn’t have that money, so he also borrowed from his sister, and two of his good friends, and combined, the money went into my account about five hours later.
Anyone who thinks that China is the same as the United States in regards to society, friendships and money is wrong.

.

Brian Berletic: China will DESTROY AUKUS in Taiwan and the Pacific

Egg In Their Face – Two Anti-China Claims The Wall Street Journal Made Last Weeks Were Fake

On May 26 Amnesty International published one of its usual aggressive accusations against a government the U.S. is hostile to.

Hong Kong: Government must reveal whereabouts of Uyghur student detained at airport (archived)

Hong Kong authorities must reveal the whereabouts and fate of a Uyghur student who has been missing since he arrived in the city from South Korea earlier this month, amid fears he has been unlawfully extradited to mainland China without due process and is at risk of arbitrary detention and torture, Amnesty International said today.

Abuduwaili Abudureheman has not been heard from since he sent a text message to a friend on 10 May. In the message, Abudureheman said he was being interrogated by Chinese police after arriving at Hong Kong airport.

“The unknown fate of Abuduwaili Abudureheman is deeply worrying, given the background of crimes against humanity committed against Uyghurs by the Chinese government in Xinjiang, and its ongoing pursuit of Uyghurs who have travelled overseas,” said Alkan Akad, Amnesty International’s China Researcher.

The accusations seem to be based on claims made by a single anonymous source:

On 10 May 2023, Abuduwaili travelled to Hong Kong to visit a friend, but he has been missing since his text message that evening, saying that he was being questioned at the airport by Chinese police. The friend has made Abuduwaili’s disappearance public after becoming increasingly concerned for his safety.Amnesty International understands that Abuduwaili was on a Chinese government “watch list” of Uyghurs and other Muslims from the Xinjiang region, based on the fact that he had a history of overseas travel. Amnesty International has documented numerous instances of the Chinese government targeting Uyghurs both at home and abroad with arbitrary incommunicado detention, lengthy imprisonment and torture purely based on the fact that they had travelled outside of China.

In 2021 Amnesty closed its Hong Kong office. One wonders then how it communicated with the relevant “friend”?

The Wall Street Journal and others published China bashing pieces based solely on Amnesty’s claims.

The authorities Hong Kong were pretty pissed about the allegations as the man is question had never been there:

Hong Kong on Saturday criticized rights group Amnesty International’s accusation that a Uyghur student disappeared after being interrogated at the airport, and said that government records showed that he had not entered or been refused entry to the city.

The Korean Yonhap news agency made efforts to actually contact the man. It tuned out that he is still in Korea and has no plans to go anywhere else (machine translation):

(New York = Yonhap News) Correspondent Koh Il-hwan = Abduwali Abu Dureheman (38), an international student from Xinjiang, China, who Amnesty International said was missing in Hong Kong, is staying in Korea, his advisor said.In a phone call with Yonhap News on the 29th, Jo Wook-yeon, head of the physical education department at Kookmin University, who is Abu Durehman’s advisor, said, “Amnesty’s announcement is not true.”

Dean Cho said, “Abu Dureheman has not departed from Hong Kong, and is staying in Korea safely.”

Dean Cho repeatedly confirmed that he had been in contact with Abu Durehman on a daily basis for guidance for his doctoral degree, and that “it is true that he is in Korea.”

“I don’t know why Amnesty announced that Abu Dureheman in South Korea was missing in Hong Kong,” he said.

We don’t know either but it aptly shows what standards Amnesty International and other such propaganda outlets have when making their sensational claims. None. A claim by one person based on a text message that may not even exist and made for whatever reason is trumpeted into the world even before any effort is made to verify it.

And why do the Wall Street Journal and others, who should have higher standards, publish Amnesty’s accusation without ever fact checking them?

That is a question that one that can be reliably answered. The U.S. is hostile to China. Therefore U.S. mainstream media must bash China whenever they can.

Here is a case from another recent WSJ attempt to do just that:

On the day that Special Representative of the Chinese Government on Eurasian Affairs Li Hui visited Moscow on the last leg of his European trip, the Wall Street Journal (WSJ) ran an article that completely contradicted the facts and even fabricated stories. Such behavior that attempted to impose its own views and practices on others is in fact obstructing the peaceful resolution of the Russia-Ukraine conflict. Facts have proven that blindly fueling the fire can only escalate the conflict and cause more harm to people.The article began by stating that the Chinese envoy carried a clear message that “US allies in Europe should assert their autonomy and urge an immediate cease-fire, leaving Russia in possession of the parts of its smaller neighbor that it now occupies,” accusing China of trying to split the West.

However, what the WSJ received was a denial from Ukrainian Foreign Minister Dmytro Kuleba. On May 27, Kuleba said in a video message that after the article appeared, he immediately contacted his colleagues in the European capitals visited by Li. None of them confirmed that negotiations about what the WSJ suggested were held.

In response to this, Foreign Ministry Spokesperson Mao Ning stated on Monday that she noted that the Foreign Minister of Ukraine publicly said that he contacted other parties and no country said Li made the remarks reported by the WSJ.

That is some egg in the face of the WSJ editors. China bashing in the opinion sections is fine. But fake news, twice in one week, to make some editorial point, is not something that readers are willing to pay for.

Posted by b on May 29, 2023 at 17:09 UTC | Permalink

I have both Huawei and Xiaomi phones and computers. I have been using both for at least ten years now. The computer that I am using right now is a Xiaomi.

Obviously it’s a great product. In fact, both of the brands are great.

I have relatives that love iPhones. That’s cool.

Fine.

There are many reasons why I love my Chinese designed, and Chinese manufactured products, but I guess the biggest things are the high quality at a very reasonable price. And iPhone is nearly double the price for an equivalent phone, and much more than that for a laptop.

And while I greatly admire Steve Jobs, he’s no longer running the company. And American “interests” are now running it.

And knowing what I know…yikes!

Vault 7 is real!

Anyways, I am not like most people, and I just want a reliable, long lasting computer and cell phone(s) that is reasonably priced, and is not connected to the United States government. Both Huawei and Shaomi provide this for me.

But I am unique.

The reader, I am sure, have their own criteria. And that is why they prefer other products. Good you all of you!

No.

The United States Congress has sanctioned the Chinese General Staff. Which means, by law, no communication is possible. Of course, China has no interest in talking with the United States leadership at any level now, but the United States Congress made it technically impossible to do so.

So it cannot be resolved, as long as the American sanctions remain in place.

“At the same time, the US is reportedly looking to arrange a between Li and US Secretary of Defense Lloyd Austin during the Shangri-La Dialogue, but no confirmation has been given as of press time.

The US should show more sincerity if it wants to communicate, Song said.

A formal meeting between the defense chiefs of the two countries is almost impossible if the US’ unlawful sanctions on the Chinese Defense minister stays in place, experts said.”

American comedian: U.S. blaming China while ignoring own citizens

Published: May 25, 2023 07:41 PM

A couple of days ago, a Quad summit meeting in Sydney scheduled for May 24 was abruptly canceled. The US president had to pull out of his long-anticipated trip to Australia and Papua New Guinea. Instead, the heads of the four Quad member states got together on the margins of the G7 Summit in Hiroshima on May 20.

The main reason for the change of plans was the continuous struggle between the White House and Republicans on the Hill over the national debt ceiling.

If no compromise is reached, the US federal government might fail to meet its financial commitments already in June; such a technical default would have multiple negative repercussions for the US, as well as for the global economy and finance at large. Let us hope that a compromise between the two branches of US power will be found and that the ceiling of the national debt will be raised once again.

However, this rather awkward last-minute cancellation of the Quad summit reflects a fundamental US problem – a growing imbalance between the US geopolitical ambitions and the fragility of the national financial foundation to serve these ambitions.

The Biden administration appears to be fully committed to bringing humankind back to the unipolar world that existed right after the end of the Cold War some 30 years ago, but the White House no longer has enough resources at its disposal to sustain such an undertaking. As they say in America: You cannot not have champagne on a beer budget.

The growing gap between the ends that the US seeks in international relations and the means that it has available is particularly striking in the case of the so-called dual containment policy that Washington now pursues toward Russia and China. Even half a century ago, when the US was much stronger in relative terms than it is today, the Nixon administration realized that containing both Moscow and Beijing simultaneously was not a good idea: “Dual containment” would imply prohibitively high economic costs for the US and would result in too many unpredictable political risks. The Nixon administration decided to focus on containing the Soviet Union as the most important US strategic adversary of the time. This is why Henry Kissinger flew to Beijing in July 1971 to arrange the first US-China summit in February 1972 leading to a subsequent rapid rapprochement between the two nations.

In the early days of the Biden administration, it seemed that the White House was once again trying to avoid the unattractive “dual containment” option. The White House rushed to extend the New START in January 2021 and held an early US-Russia summit meeting five months later in Geneva. At that point many analysts predicted that Biden would play Henry Kissinger in reverse – that is he would try to peace with the relatively weaker opponent (Moscow) in order to focus on containing the stronger one (Beijing).

However, after the beginning of the Russia-Ukraine conflict, it became clear that no accommodation with the Kremlin was on Biden’s mind any longer. Still, having decided to take a hard-line stance toward Moscow and to lead a broad Western coalition in providing military and economic assistance to Kiev, Washington has not opted for a more accommodative or at least a more flexible policy toward Beijing.

On the contrary, over last year one could observe a continuous hardening of the US’ China policy – including granting more political and military support to the Taiwan island, encouraging US allies and partners in Asia to increase their defense spending, engaging in more navel activities in the Pacific and imposing more technology sanctions on China.

In the meantime, economic and social problems within the US are mounting. The national debt ceiling is only the tip of an iceberg – the future of the American economy is now clouded by high US Federal Reserve interest rates that slow down growth, feed unemployment and might well lead to a recession. Moreover, the US society remains split along the same lines it was during the presidency of Donald Trump. The Biden administration has clearly failed to reunite America: Many of the social, political, regional, ethnic and even generational divisions have got only deeper since January 2021. It is hard to imagine how a nation divided so deeply and along so many lines could demonstrate continuity and strategic vision in its foreign policy, or to allocate financial resources needed to sustain a visionary and consistent global leadership.

Of course, the “dual containment” policy is not the only illustration of the gap between the US ambitions and its resources. The same gap inevitably pops up at every major forum that the US conducts with select groups of countries from the Global South – Africa, Southeast Asia, Latin America or the Middle East.

The Biden administration has no shortage of arguments warning these countries about potential perils of cooperating with Moscow or Beijing, but it does not offer too many plausible alternatives that would showcase the US generosity, its strategic vision, and its true commitment to the burning needs of the US interlocutors. To cut it short, Uncle Sam brings lots of sticks to such meetings, but not enough carrots to win the audience.

In sum, US foreign policy under President Joe Biden reminds people of a very advanced and highly sophisticated smartphone that has a rather weak battery, which is not really energy efficient. The proud owner of the gadget has to look perennially for a power socket in order not to have the phone running out of power at any inappropriate moment. Maybe the time has come for the smartphone owner to look for another model that would have fewer fancy apps, but a stronger and a more efficient battery, which will make the appliance more convenient and reliable.

We sneaked into an Abandoned Luxury Spa

Native American Tortillas in a Bag

A popular wheat food that kids love to make and eat!

2023 05 28 17 51
2023 05 28 17 51

Variations: Use 1/2 cup corn meal and 1 cup all-purpose flour, or use /4 cup whole wheat flour and 3/4 cup all-purpose flour.

Quick Meal Idea: Lightly brush cooked tortillas with oil. Bake tortillas on baking sheets in oven for 4 minutes. Top with browned ground beef or pork, black beans, onion, chopped tomato, shredded mozzarella cheese and Parmesan cheese. Season with dried oregano leaves; return to the oven and heat 10 minutes more or until cheese is melted.

Ingredients

  • 1 1/2 cups all-purpose flour*
  • 1 teaspoon baking powder
  • 1/2 teaspoon salt
  • 2 tablespoons shortening
  • 1/2 cup hot water

Instructions

  1. In a large self-locking plastic bag, combine flour, baking powder and salt. Close bag and shake to mix.
  2. Add shortening and work into flour until fine particles form.
  3. Add the hot water and knead the dough in the bag until it forms a ball.
  4. Remove dough from bag and place on a lightly floured work surface; knead 15 strokes.
  5. Divide into six equal pieces; shape into balls. Cover; let rest 15 minutes.
  6. On a lightly floured surface, roll each piece as thin as possible. Roll from the center out, turning several times to form an 8-inch circle.
  7. Heat an ungreased griddle or skillet over medium heat. Cook until the surface begin bubble and the under side is speckled golden-brown, about 15 to 20 seconds. Cook other side.
  8. Stack tortillas under a cloth as they are done and serve warm.

Yield: 6 tortillas

When NATO expanded into Ukraine, the alarm bells were clamoring throughout Asia. There were plenty of opportunities to de-risk, and back-down, but that did not occur. So a “special military operation” initialized by Russia stopped the NATO assaults in Eastern Ukraine.

It’s been over a year.

NATO is holding fast, and doubling down. Russia hasn’t even started yet. It’s the making of something that will become very nasty in the future. We have no crystal ball, no one really knows what will happen. But we do know this…

NATO’s resources are finite. Asia’s is not.

Oh, yes, the propaganda war is in full-swing. “Russia is dying. Russia will collapse any day now. Brilliant and brave Ukrainians will fight to the end.“

But, propaganda does not start, complete or end wars. It’s purpose is to keep domestic populations satiated as they are lined up for the butcher.

NATO’s resources are finite. Asia’s is not.

In any long-duration conflict, NATO will contract at various levels, and Asia will expand. It has nothing to do with the fighting, the technology, the propagandized reports, or the political winds. Instead it has everything to do with economics, resources, and energy.

NATO’s resources are finite. Asia’s is not.

To this end, let me wrap up with the Duran…

I assume that you are an American, or a member of a proxy state loyal to the United States.

I also must assume that you failed geography. Never studied war. Have no idea at all about China, and are just emotionally entangled with the anti-China nonsense being spewed forth from the Western media.

Well, I’ll try to answer this one.

But as we used to say in Mississippi; there’s “few things stupider than a mail box pole”.

Taiwan is close to China.

In close. As in really, really, REALLY close.

Here is the view of China from Taiwan…

main qimg fc1898f9e1439d2658d919d4d5f79e64
main qimg fc1898f9e1439d2658d919d4d5f79e64

Taiwan is SUPER close to China.

Not only geographically, but socially, economically, financially, culturally, historically, and in all other ways… Chinese.

There is so much cross-strait migration back and forth, that you cannot tell who is from Taiwan and who is from the mainland.

So what does this mean?

Well…

  • You cannot detect a build up of any kind of an invasion force.
  • You cannot discern who is who, and where is what.
  • China controls Taiwan. Even though there are DPP elements who believe otherwise.

So, to spell it out clearly… let’s just say this.

You can supply Taiwan with all the weapons and bombs in the world, and you can convince them that LGBQ+ is the “new sexy”, but China is far too big, far too powerful, far too influential, and far, far too well managed. If China said “enough is enough”. All the games and charades would be over.

President Biden would have a fit, the United States media would howl, and the neocons would demand war!

But you know what would really happen?

Nothing.

A quiet tiny whimper.

And the United States would slither back under the rock from whence it came from.

We found a treasure in a cave suspended by a metal detector

Because it’s cute girls who rule China. China could live just fine without developing their poor provinces. But the teenage girls have to because it’s a shame for the poor.

If the US does not prevent it, then the Chinese rulers, the maids, will develop the whole world.

The teenage girls can’t rule China alone, but the officials want to help them.

main qimg aed3174ea91973adf760dc8a3dda6baa
main qimg aed3174ea91973adf760dc8a3dda6baa

Exploring an Abandoned Fairy Tale Castle

GDP is a worthless unit of measurement.

Functionally, it is equivalent to comparing the combined wealth of a given nations’ oligarchy to another oligarchy. It has an over reliance on debt, non-physical value, and worthless academic considerations.

The better comparative measure is PPP. This measurement compares the purchasing power of the average citizen given their weekly wages.

For The United States;

Tommy has twenty dollars. He can buy one apple with it.

For China;

Lily has one dollar. She can by ten apples with it.

By using GDP as a measurement, Tommy is doing better than Lily. $20 > $1.

By using PPP as a measurement, Lily is doing better than Tommy. She can buy many more apples than Tommy can.

So, you have to look at what you are tying to compare.

Are you interested in the stand of living? Comparatively, between China and The Untied States? If so, then PPP is the measurement to use.

If however, you want to see where the most oligarch’s live, then GDP is the preferred measurement.

Now, to answer your question.

If a nation wanted to artificially increase it’s global GDP value, it would print money excessively. That would increase the GDP of the nation. Everything would flow down from there.

If a nation wanted to increase the standard of living of it’s people, then it would guarantee work, labor and industry, and provide reasonable and cheap social networks. The PPP would rise in accordance with those efforts.

Americans CAN’T Believe Chinese Electric Cars!

Sautauthig (Cornmeal Blueberry Mush)

A favorite dish of the Native Americans during colonial times was Sautauthig, a simple pudding made with dried, crushed blueberries, dried, cracked corn (or samp), and water. Later, the settlers added milk, butter and sugar when they were available. The Pilgrims loved Sautauthig and many historians believe that it was part of the first Thanksgiving feast. In a letter to friends back in England, one colonist describes how Sauthauthig was prepared:

“…this is to be boyled or stued with a gentle fire, until it be tender, of a fitt consistence, as of Rice so boyled, into which Milke, or butter be put either with sugar or without it, it is a food very pleasant…but it must be observed that it be very well boyled, the longer the better, some will let it be stuing the whole day: after it is Cold it groweth thicker, and is commonly Eaten by mixing a good Quantity of Milke amongst it.”

Here’s a recipe that gives us an idea of what Sautauthig tasted like. We call it Cornmeal Blueberry Mush but you can give it any name you want.

2023 05 28 17 52
2023 05 28 17 52

Yield: about 6 regular servings or 12 tasting-size servings (about 4 3/4 cups)

Ingredients

  • 1 1/2 cups water
  • 1 1/2 cups milk
  • 3/4 cup cornmeal or quick cooking grits
  • 1/2 teaspoon salt
  • 3 tablespoons maple syrup or honey
  • 2 cups fresh, frozen or canned blueberries or 1/2 cup dried blueberries*

Instructions

  1. In a 2-quart saucepan heat water and milk until bubbles form around edge of pan. Stirring constantly, slowly add cornmeal or grits and salt until well combined. Reduce heat to low. Cover and simmer, until thickened, about 10 minutes, stirring occasionally.
  2. Stir in maple syrup or honey until well combined. Gently stir in blueberries.

Notes

* Today, we don’t have to pick and dry blueberries in the summer to enjoy them year round. We can always find them in our local supermarket – either fresh, frozen or canned, sometimes even dried. If you are using frozen blueberries in this, defrost them between 2 layers of paper towels to absorb excess liquid. If you are using canned blueberries, drain well. Fresh or frozen blueberries can be dried on a cookie sheet in a 250 degrees F oven for about 1 1/2 hours.

How clever is an octopus, really?

Beachside Bathing Machines During Victorian Era

1 11
1 11

These bathing machines were very popular in England at 18th and 19th centuries. They allowed people to change out of their usual clothes into swimwear and were directly lowered into the water.

8 8
8 8

7 10
7 10

6 11
6 11

4 10
4 10

5 10
5 10

3 10
3 10

2 10
2 10

China does not have a one-party dictatorship. China has a one-party democracy. It is the West’s arrogant and simple-minded position that democracy must be based on multiple competing political parties, and it is plain wrong.

Moreover, China has eight other political parties that represent a great many people and these parties directly serve in China’s government and provide consultation.

People praise China’s political system because it works well. It serves the people’s best interest. It has delivered enormous prosperity. It has made China safe and stable and strong.

Contrast this with Western democracy which looks like crap based on performance in recent years. USA is in hock up to its eyeballs. UK is dying from Brexit. EU has screwed itself with backfired sanctions against Russia.

USA is plagued by domestic problems such as political turmoil, crumbling infrastructure, rampant homelessness, rampant gun violence, unaffordable health care, mass incarceration, increasing poverty, etc.

Meanwhile, the Chinese are very happy…

Edelman Trust Barometer 2023 shows that 89 percent of Chinese trust their government.

The Global Happiness 2023 survey from Ipsos shows that China is the happiest country in the world at 91 percent.

Latana’s Democracy Perception Index 2023 shows that China is one of the most democratic countries in the world, well ahead of USA, Canada, UK, France, Germany, Italy, and Japan.

Ash Center at Harvard Kennedy School in 2020 reported that 95.5 percent of Chinese are satisfied with their government.

A 2019 UC San Diego study shows a high level of satisfaction among the Chinese across a range of aspects up to 95 percent.

A November 2019 Ipsos survey shows that 95 percent of Chinese believe their country is on the right track.

The statistical evidence is overwhelming. Western countries, especially the United States, can only dream of having such numbers.

2023 05 30 06 11
2023 05 30 06 11

Abandoned Millionaire’s Castle: Family Left Behind A Luxury Palace

China To Settle $582,300,000,000 in Yuan Worldwide Amid Push to Circumvent US Dollar: Report

Abandoned Places make Time Travel possible | Abandoned Italy

Right now, as of Late march 2023, the “adversaries” against the United States is roughly about 85% of the global population.

The United States is a tiny part of the globe, and getting smaller each day.

So, who is the United States, and it’s allies, that the Mainstream Media like to refer to as “most of the world”…

American proxy nations.

These nations will do what the United States say. The methods of control vary from nation to nation, but they are not independent at all. It is extremely unlikely that these nations would do anything without asking the United States for permission first.

  • Canada
  • UK
  • Minor European states
  • Japan

American friends.

These are proxy nations that might have the ability to “break away” from the United States if conditions are favorable. Each nation operations under their own unique conditions. Some desire to be United States proxies, but Geo-political realities prevent full engagement, others wish to be more distant, but again, Geo-political realities prevent that as well. These nations are in a sort of limbo, and political expedience results in a default abeyance to American dictates.

  • Israel
  • Germany
  • Poland
  • France
  • Switzerland
  • Sweden
  • Finland

Neutral

These nations are trying to walk the razor-thin line of neutrality. There are internal elements that are dividing the ruling leadership. The issues involved in these nations are complex. Yet, their actions suggest that they are trying to “play both Geo-political blocks”.

  • Australia
  • Philippines
  • South Korea

The Global South.

These are the current “enemies” of the United States “Western” ideological block.

Some are codified, and formalized, such as Russia. Some are not formally engaged, such as China. Some are considered to be “American easy lays” such as South East Asia, though they are anything but that.

  • Russia
  • China
  • North Korea
  • Iran
  • Pakistan
  • All of the ‘Stan’s
  • South East Asia
  • Much of Africa
  • Brazil
  • South Africa
  • Argentina
  • Much of South America

Neutral but leaning with the Global South

These nations are currently picking sides. Most intel suggest that they are strongly leaning towards the Global South and rejecting the “American way of life”.

  • Mexico
  • Most of Latin America
  • India
  • Turkey
  • Bulgera

And that is pretty much the entire world. So, if you look at the list above, you can see the reality of what the world has fractured into.

A “West”; led by the United States. It promotes “American values”, “American democracy”, and woke progressive ideology. They use the USD as the dominant trade currency, and are referred to as the “English speaking world”. (Though that is NOT an accurate statement.) It’s an “American rules” order. It represents 15% of the world

An “East”. Led by the China-Russia-Iran-Saudi Arabia- Brazil-South African nexus. It’s a “multi-polar” order. Organized fundamentally under the UN charter. It is further subdivided into sub-blocks such as G20, BRICS+, and SEO. They use a basket of currencies based on hard tangible resources to trade. And combined, represents 85% of the world.

No.

It is unlikely that the United States can fight the entirety of the rest of the world and win. How likely or unlikely, is dependent on your personal point of view, and the intelligence or “news” that you (personally) consume.

  • American neocon = Likely that the United States will win, because it is blessed by God.
  • Typical proxy nation citizen = Tough call. Maybe the United States can persevere.
  • Global South Citizen = We are constantly underestimated. The United States cannot win.

As it appears, China will be the first nation to put men on the moon.

Notes:

  1. There is overwhelming evidence that NASA did not put men on the moon in 1969, nor in the subsequent missions. To paraphrase the head of the Russian space program; “We sent lunar orbiters over the supposed landing sites repeatedly, and there’s nothing there.” Believe what you may. The vast bulk of the world considers the United States to be thieving, lying, and mischievous rogue nation. As time moves on, peoples throughout the globe question the supposed actions and accomplishments of the United States. Indeed, this is a debatable subject, but this is not the place for that debate.
  2. NASA plans to put a progressively diverse crew on the moon this decade. It will be on a spacecraft that superficially looks like the Apollo spacecraft, but uses technologies not available during the 1960’s. This crew will attempt some trivial “science experiments”, perform some “crowd pleasing” antics, and return home (supposedly) reinvigorated and proud United States.
  3. The Chinese strategy in space exploration is for long-term objectives, and is proceeding in a systematic step-by-step progression. It is boring, but methodical. The Lunar outpost will consist of members selected from the Global South, and will be the beginnings of a long-duration colony as a step towards (already planned) Mars colonization efforts.
  4. You can expect that the Chinese WILL visit the supposed Apollo landing locations. They will do so in 3D, high definition color and 7G technology. What they will discover will be broadcast to the world. If they find nothing, and that is what is expected, the implications will be extraordinary.

How ferocious rescued kitten grows up: from 0-26 days”The Story of the Miracle Talking Cat Mu”

The Reconnaissance Strike Complex

The Reconnaissance Strike Complex
Lester Graun and Charles Bartles – May 30, 2018

The Soviet Union, and now Russia, have long worked on the development of twin concepts for the detection and assured destruction of high-value targets in near-real time. The Reconnaissance Strike Complex (разведивательно-ударный комплех-RYK) was designed for the coordinated employment of high-precision, long-range weapons linked to real-time intelligence data and precise targeting provided to a fused intelligence and fire-direction center. The RYK functioned at operational depths using surface-to-surface missile systems and aircraft-delivered “smart” munitions.

It took some time for the Russian reconnaissance-strike-complex to improve its reaction time. But it now seems to be quite fast.

First the reconnaissance element:

The Ukrainian Air Force Formed A New Strike Squadron—By Arming Reconnaissance Bombers With British Cruise Missiles
Forbes – May 28, 2023

Working closely with the United Kingdom, the Ukrainian air force has equipped at least some of the recon section—which flies two-seat, supersonic Sukhoi Su-24MRs from the regiment’s base in western Ukraine—with British-made Storm Shadow cruise missiles.

All the pre-war bombers and recon planes—as many as 16 of the former and nine of the latter—belonged to the 7th Bomber Regiment at Starokostiantyniv air base.In a year and three months, the regiment has written off at least 17 Su-24s. Victims of Russian air-defense missiles, mostly.

It’s that simplicity [of the conversion] that apparently allowed the Ukrainian air force and its British supporters to form what amounts to a new long-range strike squadron—eight or more Storm Shadow-armed Su-24MRs—inside the battle-battered 7th Bomber Regiment … in just three months’ time.

After reading yesterday’s Forbes piece about Storm Shadow launching airplanes stationed at the Starokostiantyniv air base the commanders at the operation center of the Russian Special Military Operation, decided to have them destroyed.

The strike:

Russia Hits Military Facility In West Ukraine, Damaging Planes
AFP – May 29, 2023

A Russian strike hit a military facility in western Ukraine, damaging five planes, while Kyiv repelled another large volley of overnight air strikes, authorities said Monday.

In the western city of Khmelnytsky regional authorities said Russian troops attacked a military facility overnight.In a rare admission of the damage, they said “five aircraft have been put out of action.”

Work was underway to localise fires at fuel and lubricant warehouses, the statement said.

The Starokostiantyniv air base is some 40 kilometers north of Khmelnytsky.

airbase
airbase

Posted by b on May 29, 2023 at 14:45 UTC | Permalink

Most foreigners who live in China, live a solidly “middle class” lifestyle. Which means that…

  • Their take home income falls in the median range of the local Chinese middle class.
  • They are able to save money.
  • They are able to travel / take vacations / visit their home nation every year.

While all of this will vary from person to person, it is pretty typical.

Now, being middle class, irregardless of where you live means certain things that should be well understood…

  • You eat well.
  • You get around using public and private transportation.
  • Your children get a good education.
  • You are safe and live in a crime-free area.
  • You don’t worry about over-taxation.
  • Healthcare is affordable.

Now, you need to compare this metric with each nation individually, as there is no “one size fit all” for countries.

Clearly, China is justified and correct in insisting that the United States fulfill its commitments and consensus. A state visit is a signal to the world of friendship and cooperation. Once China agrees to a visit by a high ranking US leader, it will be seen by the world as a signal of détente in US-China relations, whether or not there will be tangible results in the end. If the US does not continue to honor its previous consensus and commitments with China, but China joins the US in releasing a signal of détente, then US containment of China is sure to intensify. China’s cautious approach is also self-protective.

main qimg c9b4a8a35e7bf32d38c0865e91f97539
main qimg c9b4a8a35e7bf32d38c0865e91f97539

Moreover, the condescending attitude revealed in Blinken’s words is not a signal of friendship or de-escalation toward China; rather, it is more like a flurry of defiance and orders. Despite his statement that he expects progress in relations between the two countries, it is clear from the actions of the US government as a whole that the United States is not going to give up its interference in China’s internal affairs and various restrictions on trade with China.

The real purpose of the US is just that in view of the deteriorating global security situation at the moment, more and more other countries want to see a de-escalation of tensions between the two great powers, China and the United States. The US, as the party that initiated the conflict, does not want to take the blame for destroying world peace, so it deliberately pretends that it wants to communicate with China very much, which is actually deceiving global public opinion. This can be seen from the fact that Blinken used the word “must” to ask China, if the United States is sincere in wanting to improve relations with China, not to mention their performance in action, at least in words, should not be so aggressive. After all, it is now the United States that is eager to seek communication with China, not China that wants to communicate with the United States. To speak in a commanding tone when it is clear that one wants to initiate contact with China is clearly uncomfortable and distrustful. By simply emphasizing the US willingness to talk and engage with China, Blinken is in fact implicitly accusing China of not accepting US demands, so it is China that is sabotaging US-China relations.

main qimg ae994f03fd62c528bb1884ce766402d0
main qimg ae994f03fd62c528bb1884ce766402d0

Since the “balloon incident” earlier this year, US-China relations have been deteriorating. In order to suppress China’s development, the US has been doing everything possible, first by smearing China with rumors and hypeing “China threat” with its allies, and then by repeatedly provoking China on issues related to China’s territorial sovereignty, such as the Taiwan Strait. Blinken, who said that “China should communicate with the United States,” has made wrong statements on Xinjiang, Hong Kong, Taiwan and other issues that are not in line with the US-China consensus, intending to interfere in China’s internal affairs.

In fact, although the US has been interested in suppressing China, but also dare not and China really tear face, on the one hand, because now China is strong, the US is still in the Ukraine battlefield fighting against Russia, its “number one enemy”, at this time. Therefore, confrontation with China is not wise. On the other hand, the US needs China’s help to get itself out of the debt crisis. At the moment, the US urgently needs to reach some cooperation and consensus with China in related fields to ease the social pressure at home and prevent the situation in the region from getting out of control. This is one of the reasons why Blinken expects to visit China, although China has been refusing to allow top US leaders to visit China because the US keeps infringing on China’s interests and interfering in its internal affairs.

main qimg fa310686fad4624bf9664cdaed083c9a
main qimg fa310686fad4624bf9664cdaed083c9a

No country will be friendly to a country that harms its own national interests and sovereignty. If the United States really wants to ease the tensions and seek contact and dialogue with China, it should stop interfering in China’s internal affairs. The US indeed need to stop talking about seeking new progress for US-China relations while recklessly undermining China’s interests.

There are various ways to measure this.

I like to measure the health of a nations economy on a personal level. During the 1970’s President Jimmy Carter explained that the American economy was strong and robust, but that Americans “need to tighten their belts”. He would have these televised events known as “fireside chats” to explain to the American people not to worry, that the American economy was going to recover. Meanwhile, I had to help my dad by storing hoarded gasoline, eating less food, and living with limits on how high to turn the thermostat at home.

So while people can point at all sorts of “economic data” and trends, the bottom line is really not what others think. It’s what you think.

Let’s compare.

Inflation

Homeless

Unemployment

By simply comparing the baseline stats, and these are averages only, people in China are more likely to have a roof over their heads, afford good basic food, and be able to find work BETTER than their American counterpart.

But that is an illusion. That is the conclusions that one comes to when comparing basic stats. And we all know that far more goes into the calculus for the average person.

  • What about medical costs?
  • What about taxes?
  • What about venues for social interaction?

In the three examples above, China is clearly the “winner”.

Chinese medial costs are trivial, while those in the USA can be considered exuberant. Total taxes, fees, fines, and social insurance for the average Chinese worker is far, far less than their American counterpart. Social interaction is nearly nonexistent for Americans, the suburbs are oasis of quiet, and nighttime dancing, and outdoor activities do not really exist for the average American, while in China it is part of culture and society.

So, which economy is doing better?

You can summarize everything stated above into one measurable…

Rate of Saving

How much money can an average person save, while still maintaining a decent middle class lifestyle? This is the bottom line figure. The more money that a family saves, the better off they are doing. Hence, the more favorable the economic environment is for that family.

From which we can conclude that most Chinese are living a life that permits a middle class lifestyle, and savings, while those in the United States have a more difficult time at it.

So on a personal level, China has a better economy than the United States does.

Well, this answer will probably infuriate some readers. After all, there’s an entire industry that relies on the idea that China is collapsing, don’t you know!

main qimg a1d1df1e312d335a8d2b7eb8e68987b9 lq
main qimg a1d1df1e312d335a8d2b7eb8e68987b9 lq

Let’s perform (what we like to call) a “sanity check”.

Let’s compare GDP PPP. Purchasing power parity (PPP) is an economic term that calculates the relative value of different currencies. When calculating GDP per capita, purchasing power parity gives a more accurate picture about a country’s overall standard of living.

  • USA = $20.49T
  • China = $25.36T

And here is a visualization of those figures.

main qimg 4ba2491ca7506c157339d38c803b281d lq
main qimg 4ba2491ca7506c157339d38c803b281d lq

So what does it mean?

  • Sally Mae has two dollars and can buy one apple with it.
  • Lee Chan has one dollar and can buy ten apples with it.

Lee Chan is doing much better than Sally Mae is.

Lee Chan eats one apple, and puts the rest in storage for a “rainy day”. While Sally Mae eats her apple, but has nothing left afterwards.

Green Acres – a few scenes with Mr.Haney (1)

https://youtu.be/EIvjz2X-Kok

China and France just released a groundbreaking 51-point joint statement. Full text available here (in Chinese; French and English versions will be provided when available):

2023 05 30 17 08
2023 05 30 17 08

重要新闻_中华人民共和国外交部

应中华人民共和国主席习近平邀请,法兰西共和国总统埃马纽埃尔·马克龙于2023年4月5日至7日对中华人民共和国进行国事访问。在两国即将迎来建交60周年之际,两国元首回顾中法关系坚实基础和两国人民友谊,就双边关系、中国-欧盟关系和重大国际地区问题深入交换意见,决定在2018年1月9日、2019年3月25日和2019年11月6日的联合声明基础上,为中法合作开辟新前景,为中国-欧盟关系寻求新动能。 一、加强政治对话,促进政治互信 1.中法将延续两国元首年度会晤机制。 2.中法两国强调双方高层交往及战略对话、高级别经济财金对话和高级别人文交流机制对于发展双边合作的重要性,同意年内举行三大机制新一次会议。 3.中法两国重申愿在相互尊重彼此主权与领土完整和重大利益基础上,推动紧密持久的中法全面战略伙伴关系不断发展。 4.中法两国同意深化战略问题交流,特别是深化中国人民解放军南部战区与法国军队太平洋海区之间的对话,加强在国际和地区安全问题上的相互理解。 5.在中国和欧盟建立全面战略伙伴关系20周年之际,中国重申致力于发展中国-欧盟关系,鼓励高层交往,推动在战略问题上凝聚共识,增加人员交流,共同应对全球性挑战,积极平衡促进经济合作。法国作为欧盟成员国,认同上述方向,并将为此作出贡献。 6.法国重申坚持一个中国政策。 二、共同推动世界安全与稳定 7.作为联合国安理会常任理事国,中法两国共同致力于为国际安全和稳定面临的挑战和威胁寻求基于国际法的建设性解决方案,认为应通过对话协商和平解决国家间分歧和争端,寻求在多极世界里强化以联合国为核心的多边国际体系。 8.中法两国重申支持中国、法国、俄罗斯、英国和美国(五常)领导人2022年1月3日发表的《关于防止核战争与避免军备竞赛的联合声明》。正如声明中所强调,“核战争打不赢也打不得”。两国呼吁不采取任何可能加剧紧张风险的行动。 9.两国愿加强协调合作,共同维护军控与防扩散体系的权威性和有效性,推进国际军控进程。中法两国重申致力于平衡推进《不扩散核武器条约》核裁军、核不扩散与和平利用核能三大支柱,不断加强《不扩散核武器条约》的普遍性、权威性和有效性。 10.双方支持一切在国际法和联合国宪章宗旨和原则基础上恢复乌克兰和平的努力。 11.双方反对针对核电站和其他和平核设施的武装攻击,支持国际原子能机构为促进和平核设施的安全安保发挥建设性作用,包括为保障扎波罗热核电站的安全安保所作出的努力。 12.两国强调冲突当事方应严格遵守国际人道法的重要性。两国尤其呼吁根据国际承诺保护受冲突影响的妇女儿童,加大对冲突地区的人道援助,提供安全、快速、无障碍的人道主义援助准入。 13.双方将继续在中法战略对话机制下保持沟通。 14.2015年达成的伊朗核问题全面协议(JCPOA)是多边外交的重要成果。两国重申致力于推动伊朗核问题政治外交解决,重申致力于维护国际核不扩散体系及安理会决议的权威性和有效性,重申在此框架下对国际原子能机构的支持。 15.中法两国将继续就朝鲜半岛问题保持密切沟通。 16.中法两国同意继续通过中法网络事务对话机制进行交流。 三、促进经济交流 17.中法两国承诺为企业提供公平和非歧视的竞争条件,特别是在化妆品、农业和农食产品、空中交通管理、金融(银行、保险、资产管理人)、卫生健康(医疗物资、疫苗)以及能源、投资和可持续发展等领域。为此,两国致力于为企业合作提供良好环境,改善两国企业在对方国家的市场准入,改善营商环境,确保尊重两国所有企业的知识产权。在数字经济领域,包括在5G方面,法方承诺在两国包括国家安全在内的法律法规基础上,继续以公平、非歧视方式处理中国企业的授权许可申请。 18.中法两国愿继续加强在服务业各领域的务实合作,支持两国机构和企业在互利基础上开展经贸往来,促进服务贸易发展。法国愿应邀担任2024年中国国际服务贸易交易会主宾国。 19.中法两国希加强农业、农食、兽医和植物检疫领域伙伴关系,乐见猪肉产品市场准入获得保障、向软枣猕猴桃和饲用乳制品开放市场、批准15家猪肉出口机构在华注册。两国主管部门将尽快回应符合双方食品卫生安全法律法规要求的农业、农食产品,特别是肉类和水产品出口企业未来的注册请求和婴幼儿乳品配方注册申请,以及双方各自部门提出的市场开放请求。双方将继续在肉牛、葡萄酒行业以及地理标志,特别是勃艮第葡萄酒地理标志注册方面进行交流合作。法国支持中国将尽快提出的加入国际葡萄与葡萄酒组织的申请,支持中国举办国际葡萄与葡萄酒产业大会。 20.中法两国对达成中方航空公司采购160架空客飞机的“批量采购协议”表示欢迎。两国将视中国航空运输市场和机队恢复和发展情况,适时研究中方航空公司的货运和长途运输等需求。双方欢迎中国民航局和欧盟航空安全局加强合作,将在均认可的国际安全标准基础上加快适航认证进程,特别是Y12F、H175、达索8X等项目的适航认证进程。双方欢迎两国企业就可持续航空燃料达成协议。双方继续开展工业合作,特别是空客天津新总装线项目。 21.中法两国支持两国航空公司按照两国民航部门相协调的方式,以恢复履行1966年6月1日签署的《中华人民共和国政府和法兰西共和国政府航空交通协定》及相关航权安排为目标,尽快将航空连通恢复至疫情前水平。两国航空公司在中法之间经营航班时应享有公平均等的机会。两国支持深化人员和经济往来,包括为两国私营部门人员和商务人士提供签证便利。 22.双方对两国航天机构围绕嫦娥六号及地外样品联合研究开展合作感到满意。 23.为实现能源体系低碳转型的共同愿望,中法两国在政府间和平利用核能合作协定框架下,开展民用核能务实合作。两国致力于在中国国家原子能机构和法国原子能和替代能源委员会协议等基础上,继续推进在核能研发领域前沿课题上的合作。两国支持双方企业研究在核废料后处理等问题上加强工业和技术合作的可能性。 24.中法两国对2015年第三方市场合作政府间协议取得的成果表示欢迎。双方致力于已确定的第三方市场合作项目的后续和落实。两国政府鼓励企业、金融机构及其他主体在可适用的国际高标准基础上在第三方市场开拓新的重大经济合作项目。 四、重启人文交流 25.为在全球推动保护和促进文化表现形式多样性,中法两国支持深化文化作品创作与利用方面的合作,将积极推动重启文化和旅游领域的交流合作。中法两国对两国文化主管部门达成文化合作意向声明表示欢迎。 26.双方将于2024年共同举办中法文化旅游年,支持故宫博物院与凡尔赛宫、上海西岸美术馆与蓬皮杜艺术中心等在两国合作举办高水平活动。双方承诺在遵守各自法律前提下,为巡回办展提供海关、物流等方面的便利化举措,将努力确保支持的展览中文化物品的完整性和顺利归还。 27.双方重申愿通过联合制作、版权合作、竞赛、艺术家交流等方式,加强在文化和创意产业领域,特别是文学、电影、电视纪录片、出版(含游戏)、音乐、建筑和数字化等领域的合作,提升针对最广泛受众的传播潜力。 28.中法两国承诺加强文化遗产保护、修复和开发领域的双边合作。两国欢迎就中国专家与法国团队共同参与巴黎圣母院现场修复工作、兵马俑保护修复研究合作、公输堂和茂陵合作项目、推动两国世界遗产缔结友好关系等达成文化遗产合作路线图。双方将继续共同努力预防和打击盗窃、非法挖掘和非法进
A few noteworthy points:
  • Point 4 is about deepening the dialogue and cooperation between the Chinese and French navies in the Pacific Ocean. France has two strategically located overseas territories in this theatre – French Polynesia, and New Caledonia. These islands are located right on the so-called “Third Island Chain”.For the French, this could be payback of a sort for getting snubbed by the Anglo-Saxon nations over their nuclear submarine deal with Australia. Ultimately, US hegemony is an extension of Anglo-Saxon supremacy, where the other great powers of Europe only get to play second fiddle at best. For the Chinese, this presents a greater level of maritime freedom and security, as the Island Chains continue to be ever more porous.
  • 2023 05 30 17 09z
    2023 05 30 17 09z
  • Point 17, where France and China promise to provide fair and non-discriminatory environments for each others’ businesses. This is in stark contrast to the US, which stole Alstom from France, placed tariffs on French steel and aluminium, and exported its own inflation to France with the (ironically named) Inflation Reduction Act; and is trying to steal/ban TikTok, just for the crime of being Chinese.
  • Point 20, where China will be gradually ditching the US and switching to France for all things aviation. China will be buying some 160 planes from French companies, while France will be setting up new production lines in China, as well as buying more Chinese ships.Also worthy of note is that France recently completed its first LNG trade with China using the yuan. Dedollarisation is real. It’s happening.

Article HERE

  • Ukraine is only mentioned once by name. There is more to the world than just the Ukrainian crisis, and it is neither the responsibility – nor within the power of – either France or China to solve it, because neither country created the crisis in the first place. However, both countries did reiterate their consensus on the need to avoid nuclear warfare.

Playing around with AI image generation

Well, I have been playing around with the image-generation AI for a while now. I have passed the “introduction” stage, and am nor in the “novice user” stage.

I have been focusing my attention on one particular program known as DeepAI.

I have bought some “AI call” time, and been “mucking around” with it. This is what I have learned about this one particular type of image AI generation software…

  • Each time you click on the generation button”, a new picture is generated. Even if the rest of the settings stay the same. No two images are the same.
  • Word text description orientation makes a big difference.
Big grey elephant with monkey riding on top

Will have a very different image result than…

Monkey riding on top of a big grey elephant
  • Make sure you spell things properly. Steel is different than steal. A spelling mistake can have substantial impact on your resultant art.
  • The simple sentence will generate “pure” drawings, while the complicated description will provide more accurate results.
  • The art seems to generate some kind of “feel” for the imagery that you detail out, not any actual anatomical, or functional details.
  • The image context “style” groupings make all the difference in the world.
2023 06 01 13 56
2023 06 01 13 56

Quick note; Double Click on the images to see the full size.

Example – Spaceship interior

In this example, I have created a text that looks like this…

detailed interior view of the control deck of a futuristic and shiny chrome and metal style spaceship

And the screen will look like this…

2023 06 01 13 52
2023 06 01 13 52

Resulting in the following images… very futuristic, and stylish. Reminds me a little of the spacecraft in the movie “Alien”.

output 3
output 3
output 2
output 2
output 4
output 4
output1
output1

Now, I then went and changed some wording. What I intended “black lit” was obviously not understood by the AI ‘Bot. I wanted to have a kind of “2001 space odyssey” kind of interior.

2023 06 01 14 15
2023 06 01 14 15

Resulting in the following images… not really what I intended. But pretty cool. Anyways.

detailed interior view of the control deck of a futuristic and shiny chrome with black lit controls in a metal style spaceship
2output 3
2output 3
2output 2
2output 2
2output 1
2output 1

Whoops!

I should of said “Back lit” instead of “black lit”.

Oh well…

Make a change…

Jules Verne style.

2023 06 01 14 34
2023 06 01 14 34

With this… very interesting. You do get the “feel” of Victorian science fiction.

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship
3a
3a
2023 06 01 16 00
2023 06 01 16 00
er 2023 06 01 16 04
er 2023 06 01 16 04
er2 2023 06 01 16 08
er2 2023 06 01 16 08
er3 2023 06 01 16 12
er3 2023 06 01 16 12
er4 2023 06 01 16 16
er4 2023 06 01 16 16

Make the following changes…

From this…

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship

To this…

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship. Outside the view ports and widows is a brilliant blue sky with white fluffy clouds and bright morning sun.

Resulting in… this very beautiful and interesting drawing.

X1 2023 06 01 16 23
X1 2023 06 01 16 23

And some more… looks good with the blue skies, eh?

X2 2023 06 01 16 29
X2 2023 06 01 16 29

More…

X4 2023 06 01 16 44
X4 2023 06 01 16 44
X3 2023 06 01 16 37
X3 2023 06 01 16 37

Let’s jazz up the interior some…

Let’s add brass, and red velvet.

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship. Outside the view ports and widows is a brilliant blue sky with white fluffy clouds and bright morning sun. The interior is one of brass, and red velvet.

And look at the interior now… damn!

Z1 2023 06 01 16 52
Z1 2023 06 01 16 52

But, of course, some of the results can be really unexpected…

Z2 2023 06 01 17 00
Z2 2023 06 01 17 00

My gosh! Check out this one!

Z3 2023 06 01 17 08
Z3 2023 06 01 17 08

And this one…

Z5 2023 06 01 17 14
Z5 2023 06 01 17 14

The images build upon each other, as you can see in this train of images.

Z6 2023 06 01 17 19
Z6 2023 06 01 17 19

Let’s further refine the description…

From

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship. Outside the view ports and widows is a brilliant blue sky with white fluffy clouds and bright morning sun. The interior is one of brass, and red velvet.

To

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship. Outside the many big view ports and wide widows is a brilliant blue sky with white fluffy clouds and bright morning sun. The interior is one of brass, and red velvet. leather chairs. Many levers and switches.

And it’s getting closer and pretty impressive.

Z6 2023 06 01 17 29
Z6 2023 06 01 17 29
Z7 2023 06 01 17 35
Z7 2023 06 01 17 35
Z8 2023 06 01 17 45
Z8 2023 06 01 17 45

.

Let’s add some carved ivory handles…

Let’s make this change.

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship. Outside the many big view ports and wide widows is a brilliant blue sky with white fluffy clouds and bright morning sun. The interior is one of brass, and red velvet. leather chairs. Many levers and switches. There are white carved ivory handles for the doors, switches and fixtures.
Z9 2023 06 01 17 51
Z9 2023 06 01 17 51

Let’s do something radical…

It’s going in a direction that I am not that interested in. Let’s change the description.

From

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship. Outside the many big view ports and wide widows is a brilliant blue sky with white fluffy clouds and bright morning sun. The interior is one of brass, and red velvet. leather chairs. Many levers and switches. There are white carved ivory handles for the doors, switches and fixtures.

To

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship. Outside the many big view ports and wide widows is a stormy and rainy sky. The interior is one of brass, and red velvet.

Resulting in this…

Z10 2023 06 01 17 59
Z10 2023 06 01 17 59

More playing with changes…

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship. Outside the many big view ports and wide widows is a brilliant morning sky. The interior is one of brass, polished wood, and red velvet.

Resulting in this…

Z11 2023 06 01 18 05
Z11 2023 06 01 18 05
Z12 2023 06 01 18 12
Z12 2023 06 01 18 12

Changing the style…

As in this image displays…

2023 06 01 20 51
2023 06 01 20 51

Results in this…

ZZ0 2023 06 01 20 52
ZZ0 2023 06 01 20 52

Pretty impressive, though all the details are sketchy and there really isn’t any conformity in Industrial Design…

2023 06 01 21 09
2023 06 01 21 09

And…

2023 06 01 21 21
2023 06 01 21 21

It can also make very beautiful, but complex and nonsensical images…

2023 06 01 21 27
2023 06 01 21 27
2023 06 01 21 33
2023 06 01 21 33

Another alteration to the text…

Let’s try this, shall we…

detailed interior view of the control deck of a Jules Verne Victorian century style spaceship. Outside the many big view ports and wide widows is a brilliant morning sky overlooking lush green hills. The interior is one of brass, polished wood, and red velvet.

Resulting in…

2023 06 01 21 39
2023 06 01 21 39
2023 06 01 21 42
2023 06 01 21 42

Another change…

Adding a cupola bubble.

A view from the observation cupola bubble that is part of detailed interior view of the control deck of a Jules Verne Victorian century style spaceship. Outside the many big view ports and wide widows is a brilliant morning sky overlooking lush green hills. The interior is one of brass, polished wood, and red velvet.

Resulting in…what?

2023 06 01 21 47
2023 06 01 21 47
2023 06 01 21 50
2023 06 01 21 50
2023 06 01 21 54
2023 06 01 21 54
2023 06 01 22 00
2023 06 01 22 00
2023 06 01 22 03
2023 06 01 22 03

Sigh.

I think that you all can get a “feel” for how this systems works. Right?

A view from the Palaeozoic period

New attempt. Different subject area.

2023 06 02 08 34
2023 06 02 08 34

Next try…

2023 06 02 08 37
2023 06 02 08 37
2023 06 02 08 56
2023 06 02 08 56
2023 06 02 09 00
2023 06 02 09 00
2023 06 02 09 10
2023 06 02 09 10

Back to Basics…

We revisit the initial statement with an add-on, and removed the requirement of metal.

detailed interior view of the control deck of a futuristic style spaceship. the interior is clean and organized

Resulting in…

2023 06 02 09 45
2023 06 02 09 45
2023 06 02 09 49
2023 06 02 09 49
2023 06 02 14 12
2023 06 02 14 12
2023 06 02 14 08
2023 06 02 14 08

And now let’s make yet another change…

detailed interior view of the control deck of a futuristic style spaceship. the interior is clean and organized. The walls are brushed and anodized aluminum, the controls are back-lit.
2023 06 02 14 44
2023 06 02 14 44

Conclusion

I have started playing with this software and find it has potential. You are able to effortlessly create your own royalty free art to illustrate your projects instead of paying for images, or using the tired old “internet” art.

That being said, it’s a cantankerous beast. You have to run through many iterations before you can find anything usable.

In this example, I tried to obtain a spaceship interior, but none of the generated art fit my notions of what it should be. Though the art was in many cases spectacular, more practice must be mastered before it can be of any use.

I will tell the reader that I continue to play with this software and I will have further reports in the future.

Looking inward, taking a pause, and reflecting is good for the soul

Life is funny. I’ve been watching these videos made all over the world making fun of Americans. I have to laugh. But it’s really, really sad. The United States is the world’s “laughing stock” right now, but no one is really laughing. They are just terrified.

West is finished

main qimg 5f8ce83f0f0143825b9c5127649e0326
main qimg 5f8ce83f0f0143825b9c5127649e0326

Americans Living Abroad: First Time You Realized America Really Messed You Up | Part 2 | TikTok

As someone who was born and raised in America, and still lives here, it surprises me how many of us don't realize that America's "culture" is to literally not care about people. So many countries have these cool cultures that can be expressed through dance or customization/outfits, but America's culture is to brag about how much they don't give a fuck about anyone.

https://youtu.be/ukP6NHJ5og0

I came to China as anti-China as any average Westerner, with strong opinions about Tibet and pollution.

Originally, I didn’t mean to work in China, but that’s where I found my first job. The pay was higher than anywhere else for my skills and experience, so, opportunity led to there. But I meant for it to be temporary. I wanted to get some experience and then to find a better country.

I lived in Equatorial Guinea when I found this job, teaching in a military school. It was a dictatorship, a bad one. Everyone warned me about going to China because of freedom and stuff, but nobody warned me about Equatorial Guinea. My co-workers in Guinea couldn’t believe I would accept going to China. So I really expected at least as bad as Guinea.

But when I arrived in Tianjin, I was surprised. I was free. A lot more than in Africa. And most of all, I felt safe. There is no crime, especially violent crime, in China. All the policemen and military men I met were welcoming and helpful! I even dated a few. Yes, in Africa I never dared dating a man, I feared for my life, but in China no problem. They have the best gay bars I’ve ever seen, huge with several floors with KTV, bright light cafe, lounge, restaurant etc all in one. I felt freer than even in France for that!

The people were really welcoming, really friendly. I didn’t know Chinese, they didn’t know English, but they were eager to interact. In addition to being free, safe and welcoming, it was also cheap and modern. The infrastructure is amazing but also everything is digital. With a single app like wechat or alipay, you can pay your utility bills online (and monitor your usage in real time), book Cinema tickets, order meals…

The logistics are amazing too. Delivery is usually free, or like 3 rmb, for a really efficient service. If you want things fast, use JD logistics, you get same day delivery of anything you buy online.

I’ve been here almost 10 years now. I’ve learnt Chinese, although I’m not fluent yet, and I have progressed regularly in my career. I started at 1000 Euros a month (not much back then but with a flat on campus, it’s a lot of purchasing power) and now I’m around 5000 Euros a month with international health-care and 3 months paid vacation. It’s still a land of opportunity. The Chinese dream is real. If you are willing and hard working, there is money to be made.

The only downside is that it’s very hard to actually immigrate. I wish I could, but the requirements to get a green card are really high… I’m hopeful that in the future, it can become easier, because I really wish to stay in China until the end of my life.

And that’s also why I’m mad at the Western media. The way they depict China is unfair. I get called a wumao a lot for just stating facts. Everyone is prejudiced against China and nobody wants to hear the truth. China is ahead of us and moving forward. We have so much to learn from them.

EDIT March 2 2023

First, I didn’t expect my answer to get that many views or upvotes. Thanks!

Lately, I’m getting a lot of comments with similar points that I would like to address.

“You are a Westerner, you are privileged, you don't know the life Chinese have"

I am a Westerner, privileged in some regards (the patience of administration and services), second class citizen in others (cannot use certain services, apps or products that require a Chinese ID).

But do you think I’ve lived 10 years with no interactions with Chinese people? I’ve taught hundreds of students from all over China and with all economic backgrounds. I’ve had lots of Chinese co-workers, neighbors and friends. All the boyfriends I’ve had in China were Chinese and most were from humble origins. I know where they live, how much they make, what their job is like.

“You earn a lot, life would be good anywhere with that salary"

It is good NOW. The first seven years, it wasn’t. My parents joined me after 1.5 years so we were three people, one of us with health issues and no health insurance (diabetes and eventually cancer) that I had to pay out of pocket. We were far from rich. Now we earn more, but with my dad’s cancer and his recent passing, we have yet to save anything.

“you live in big cities, life is different in the countryside"

Yes, I live in Beijing and I’ve lived in Tianjin. I’ve also lived in Zhuhai, which isn’t considered big. But I’ve not remained in those cities!

I’ve visited friends’ hometowns, poorer, small villages with slanted, old homes. I’ve traveled, seen a lot. I can’t claim that I’ve seen it all, but in 10 years and moving so much, meeting so many people, going to so many places, I think I have enough experience to get a sense.

I opened underground room and found treasure full of silver and gold jewelry

Fake. Real? I don’t know.

Coffee Syrup

This is an old New England favorite. It is usually stirred into cold milk (2 to 3 tablespoons per glass). It can also be used to flavor milkshakes, or used as an ice cream topping.

DIY coffee syrup
DIY coffee syrup

Instructions

  1. Place enough coffee and water to make 6 servings. Run the coffee cycle as usual.
  2. When the coffee is finished brewing, discard the used coffee grounds and add to the filter a second quantity of coffee sufficient to make 6 servings. This time, instead of adding fresh water to the coffeemaker, pour the already-brewed coffee into the machine. Run the coffee cycle again. You’ll end up with double-strength coffee.
  3. Repeat the process again, using new coffee, but reuse the brewed coffee instead of water. In the end, you’ll have triple-strength brewed coffee.
  4. Measure the amount of brewed coffee. Add half as much sugar as there is brewed coffee. For example, if after the three brewing cycles you have 5 cups of brewed coffee, add 2 1/2 cups granulated sugar. Stir briskly until the sugar is dissolved. Make sure you add sugar while the coffee is hot so that the sugar dissolves.
  5. Store the syrup in a tightly covered jar in the refrigerator. It keeps a very long time.

Notes

You need a coffeemaker in which boiling water goes through the ground coffee in a filter and drips into a pot. The ingredient amounts will vary depending on your coffeemaker and how much syrup you want to make.

Stop Picking Your Face! New Toy Lets You Pop Pimples For Fun

No matter how much you think you understand people, they will ALWAYS surprise you. This time they have made “Pop It Pal” – a chunk of fake skin dotted with several pores, each of which is filled with simulated pus you can squeeze out.

pimple popper1
pimple popper1

As disgusting as all this might seem, it actually makes sense why pimple popping has become so popular. Squeezing out a big red whopper on your nose is inherently satisfying, and the science backs it up. According to neuroscientist Heather Berlin, our brains reward us with dopamine for expunging a zit.

pimple popper2
pimple popper2

Want more?

pimple popper3
pimple popper3

Entering a 25 MILE Maze of Deep Underground Tunnels to Find This…

May 25, 2023 at 11:51 am

China is reportedly negotiating major arms deals with Saudi Arabia and Egypt as both countries look to become less reliant on the US for their defence needs.

According to South China Morning Post , which cited the geopolitical and intelligence website Tactical Report, Saudi Arabia Military Industries (SAMI) is currently in talks with China’s state-owned North Industries Group Corporation (Norinco) to acquire a range of unmanned aerial vehicles (UAVs), also known as drones, and air defence systems.

Among the weapons included in the potential deal are the Sky Saker FX80 drone, the CR500 vertical take-off drone, the Cruise Dragon 5 and 10 “suicide drones” and the HQ-17AE short-range air defence (SHORAD) system.

2023 05 28 18 52
2023 05 28 18 52

The discussions have apparently “reached an advanced stage”, and have been ongoing for about a year, said Tactical Report. It quoted an unnamed source close to the deal, adding that it is speculated that it will be settled in Chinese currency, the yuan.

Egypt is said be in separate talks with Beijing to acquire the Chengdu J-10C

multirole fighter jet, also known as the Vigorous Dragon. It is the most advanced J-10 variant

and is powered by an indigenous engine.

To further negotiations started late last year, a delegation from the Egyptian Air Force (EAF) is expected to meet representatives from the Chengdu Aircraft Industry Group on the side-lines of the Langkawi International Maritime and Aerospace Exhibition in Malaysia this week.

A report last year by Middle East Eye

(MEE) noted that China is “emerging as the secondary arms supplier of choice for many Middle East countries.”

US President Joe Biden came into office with the foreign policy objective

of barring all sales of “offensive weapons” to Saudi Arabia in light of its use of American military technology in its devastating war in Yemen.

This policy was contradicted by a $650 million arms deal with Saudi

approved by Biden’s state department, a deal which allowed Riyadh to maintain attack helicopters that have been used to bomb Yemen.

The 2022 Stockholm International Peace Research Institute (SIPRI) Trends in International Arms Transfers Report

notes that, from 2018-2022, Saudi Arabia was the world’s second-largest arms importer, accounting for 9.8% of global arms imports over that period, with the US supplying 78% of Saudi Arabia purchases.

The same report notes that Egypt was the world’s sixth-largest arms buyer during the period, accounting for 4.5% of global arms imports, with 34% of its imports coming from Russia.

In a 2018 SIPRI article

, Pieter Wezeman notes that Saudi Arabia aims to diversify its arms suppliers to widen and deepen its international political network to minimize the effects of Western arms sales restrictions.

Russia has not always been Egypt’s preferred arms provider. Bradley Bowman and other writers note in a May 2021 Defense News article

that before the 2013 Egypt coup, wherein then-defense minister Abdel-Fattah el-Sissi deposed the then-incumbent president Mohammed Morsi, the US accounted for 47% of Egyptian arms imports. However, after the 2013 coup, the Obama administration froze aircraft, tank, and missile sales to Cairo for two years until relations improved. Due to that freeze, Bowman and the other writers note that Egypt tried to diversify its arms import providers by purchasing large quantities of weapons from Russia and France.

In making this move, Middle East clients can reduce their political dependence on Washington and the EU by purchasing inexpensive, yet effective Chinese arms.

ABANDONED ROTHSCHILD MANSION UK – Left to decay!

Russia is one of the strongest economies on Earth

Surprised?

It’s true

The Russian lands control almost 1000 Trillion Rubles of Priceless Assets from Oil to Gold to Palladium to Platinum to Gas to Coal to now the world’s largest salt deposits

In Dollar terms it’s around $ 12.5 Trillion of Energy and Metal Assets

And that’s the tapped assets

The Arctic alone could have another $ 10 Trillion untapped assets

Today Russia is one of the Five Countries in the world that can happily go back to the Gold Standard without a single problem and peg it’s Rubles wrt Gold.

Let’s see Russia

Russia owes $ 514 Billion in External Debts

It’s barely 4.7% of it’s vast Assets

Russia owes around 40 Trillion Rubles in Internal Debts as of 30.9.2022

Yet it’s barely 4% of it’s Vast Assets!!!!

The Russian Economys $ 1.9 Trillion GDP is a myth based purely on Dollar numbers.

The real Ruble economy is much stronger and larger, just like Iran

Russia is a Bankers dream

US is a Bankers Nightmare

Curious Ancient Stone Objects In The Cairo Museum In Egypt

These Papercraft Mosquitoes Look So Real You’ll Want To Swat Them

0 26
0 26

Combining realism with attention to detail and remarkable technical abilities, artist Masanobu Azami, who goes by the name Scissorhands, also deserves honorable mention among his Japanese paper-crafting peers.

Scissorhands can create minute masterpieces out of paper as well. In fact, it was his smallest creation to date that went viral last week when he tweeted it as part of a hashtag campaign for artists to introduce their representative works. His mosquito is not only accurately sized, only measuring mere millimeters in length, it looks anatomically accurate with an astonishing level of detail, from feet to antennae.

And since the infamous blood-lusting insects are rarely found in isolation, it’s only natural that Scissorhands created more than one specimen.

More: Twitter h/t: grapee

22 26
22 26

21 27
21 27

20 30
20 30

19 34
19 34

18 35
18 35

17 38
17 38

16 42
16 42

15 45
15 45

14 45
14 45

13 47
13 47

12 52
12 52

11 56
11 56

10 60
10 60

9 65
9 65

8 66
8 66

7 71
7 71

6 79
6 79

5 87
5 87

4 91
4 91

3 96
3 96

2 96
2 96

1 99
1 99

You mean NATO invading China?

How?

main qimg a36ebfcaabaf8ed3ba125e92403a6d47 lq
main qimg a36ebfcaabaf8ed3ba125e92403a6d47 lq

There is no contiguous land route

You have a HUGE BUFFER ZONE

Russia, Central Asia, Mongolia, India, Nepal, Myanmar, Cambodia

All Neutral Or Anti NATO Nations

So a Land invasion is IMPOSSIBLE unless Russia complies or joins NATO which is now almost impossible

That leaves a Naval Offensive through the Sea of Japan and the South China Sea

China has a huge Navy plus a massive array of Land to Sea Missiles along the entire border

NATO has its Navy all over the world but Chinas Navy is primarily in that region. So Chinas concentration of Naval power may be 10:1 against NATO

If NATO increases the fleet size in the Region then that means the Baltic Fleet can play havoc in Scandinavian waters and maybe bombard and pulverize Odessa

The barrage of missiles from China and the Chinese Navy would simply be too much for NATO

They take months to replenish Ammo, how long so you think they need to replenish a submarine or a destroyer?

A Total Naval Barrage may have 640 Missiles to hit China while Chinas Navy and Land missiles alone number 2200

That’s 4:1 Advantage right there

And in the 0.0000001% chance of it looking likely that NATO would triumph, CHINA would simply decide to save face at the expense of Nuclear Devastation

Japan – NUKED

Australia -30% NUKED

South Korea – NUKED

USA – West Coast – NUKED, Mid West – 40% NUKED, East Coast – 25% NUKED

The PLA may even Nuke Non Aligned India as a death punch

So China may be destroyed but the World will be in a Dystopian future for minimum 100 years and at least 60 Million Americans will be dead or permanently affected and US will perhaps never recover

Maybe the Balloons marked all strategic cities for a Nuclear Hit in the worst case scenario

So NATO & CHINA – not a very wise move

Is the US creating three Asian Ukraines (South Korea, Taiwan, the Philippines) to become frontline states to contain China?

That’s what the US neo-con warmongers would like but China isn’t going to attack Taiwan.

What is happening is that the US wants to use Taiwan, Japan, SK, and the Philippines to attack China.

What will happen is that China will surround Taiwan, no fighting involved unless the DPP shoots first. But China will have it’s ships 150 miles away from Taiwan so that the missiles don’t have the range to hit the ships.

And then China will wait for the US’s response. If the US starts an attack, the US, NATO, Japan, SK, and Australia will get their ships sunk. China wins and China takes all Western Pacific islands from the US and removes all US bases in the Western Pacific.

If the US doesn’t attack then China wins and the US looks like it’s afraid, which it is.

So either way China wins. So most likely, the US won’t attack China. What the US is doing is trying to increase the military budget of the US, Japan, SK, and Australia to pump money into the MICC.

And they have already succeeded. Australia is set to spend $386 Billion on 8 subs for delivery in the mid 2050s. Japan is increasing it’s defense budget. And a lot of it will go to the US for weapons, ships, and fighters.

Found Mystic Abandoned Castle Hidden in the Woods

Easy Kummelweck Rolls

2023 05 28 18 10
2023 05 28 18 10

Ingredients

  • 1/2 cup cold water
  • 1 tablespoon cornstarch
  • Kaiser rolls
  • Caraway seeds
  • Pretzel salt

Instructions

  1. In a small saucepan over medium-high heat, stir together water and cornstarch. Heat mixture to a gentle boil. Reduce heat to low, and stir until mixture thickens and is translucent. Remove from heat and let cool.
  2. Brush cooled cornstarch mixture on the top of ordinary Kaiser rolls.
  3. Over cornstarch-water mixture, sprinkle equal amounts of caraway seeds and pretzel salt.
  4. Heat in a 350 degrees F oven for about 3 minutes, long enough for the top of the rolls to get crusty and for the caraway seeds and salt to stick.

After Beijing responded in kind to Washington’s tech restrictions, the move was branded unfounded and bad for business

By Timur Fomenko, a political analyst

China recently restricted chips made by US semiconductor firm Micron from being used in its national infrastructure, branding them a “national security threat”.

The language and rationale of such a move should sound familiar, because it’s precisely what the US has been doing over the past few years in blacklisting Chinese technology companies and pushing allies to do the same. “You can’t trust having Huawei in your 5G infrastructure” was the general line used by Washington officials. According to them, and to Western media repeating this line, all kinds of Chinese technology constitutes an “espionage risk,” from TikTok to balloons to fridges.

So based on this treatment of Chinese companies by the US, it was only a matter of time before Beijing struck back. And one might think that if Washington was willing to use “national security” as a pretext for market exclusion, it would be acceptable for China to the same. Only fair, right?

Apparently not. Despite the brutal restrictions the US has placed on Chinese technology, which have also included blacklisting its entire semiconductor industry and forcing third-party countries to follow suit, the US reacted with outrage to Beijing’s announcement

and accused it of “having no basis in fact.” Not only that, but Washington then further claimed that the move was evidence that China’s regulatory environment was “unreliable” and that the country was no longer committed to “reform and opening up.”

The US can somehow say this with a straight face. Washington is entitled to restrict Chinese firms on an industrial scale, but when Beijing does the same, even on a marginal level, then it’s evidence that China is not reliable for investment. Even as microchip firms point out the damage that disastrous policies of the US are causing, Washington seems to have either no self-awareness, or an extreme sense of self-entitlement, which, as has been discussed many times, gives it the almost divine right to impose on others rules it doesn’t feel obliged to follow itself.

This is an indication of how the US sees its right to exploit China’s own markets. American ties with China have always been conditional, on the premise that Beijing would gradually transform its political system and economy to fall in line with US preferences. In the 1980s and 1990s, during China’s era of “reform and opening up,” the US believed – due to its ideological overconfidence after its victory in the Cold War – that China was changing and was destined to reform.

In this light, free market economics was seen as an evangelically transformative force which, with the onset of capitalism, naturally led to liberal democracy. Thus, there was never a premise of “engaging” China on its own terms, it always had to “lead” to something. By the 2010s, it became clear that this was not going to happen. Not only did China’s political system not change, but its economic trajectory and industries continued to grow in a way which threatened the foundations of American hegemony. US foreign policy subsequently shifted to now trying to “force” China to change and containing it.

The US, of course, loves the idea of trade with China and its markets, as long as such trade is conducted entirely according to Washington’s preferences. That is, to have China’s market to exploit as a subordinate to the US, and to prevent China from having its own world-leading industries. This mindset has created a visible contradiction in political rhetoric: that China “must” open up its markets more for Western goods, but at the same time must be locked out of Western markets in certain areas. China’s resistance to this is decried as so-called “unfair” economic practices.

Because of this, the only kind of “engagement” the US wants with China is that which is completely one-sided, such as being forced to order $200 billion in US farm goods per annum (as Trump envisioned), but being banned from the US semiconductor market. This is also why the US demands that even as its own companies lose market share in China, other countries, like South Korea

, should have no right to take up that lost share.

The US is not interested in compromise, only capitulation. Thus, trade with China is really only conditional on either ideological transformation, or if that fails, a surrender to total exploitation, turning China into a neoliberal state which is completely open and gutted of industries, possibly complete with a small clique of very wealthy pro-Western oligarchs who sell out the country.

The US-China economic relationship is directed, on Washington’s side, by a sense of ideological entitlement. We can blacklist your companies and even coercively ban third countries from using any Chinese technology, but don’t even think about limiting one of our own firms. Or else.

China New Breakthrough and Policy Puts China 10 Years Ahead of The US In The EV Industry

https://youtu.be/TE5bdqyWbs4

Nightmarish Illustrations That Will Have You Hiding Under The Bed

1171 650x921
1171 650×921

You have to wonder how Japanese digital artist Ryohei Hase sleeps at night.

2108 650x921
2108 650×921

Hailing from Tokyo, Hase effortlessly fuses painting and digital illustrations to bring to life his surrealist and nightmarish fantasy world. He’s revered as an iconic cult figure in the modern day Japanese art world, with his work being displayed in countless exhibitions across the country and featured comics, books, magazines and video games.

2122 650x278
2122 650×278

2017 650x488
2017 650×488

1917 650x425
1917 650×425

1817 650x398
1817 650×398

1717 650x458
1717 650×458

1620 650x488
1620 650×488

1522 650x488
1522 650×488

1423 650x488
1423 650×488

1327 650x479
1327 650×479

1229 650x479
1229 650×479

1172 650x479
1172 650×479

1030 650x439
1030 650×439

936 650x379
936 650×379

838 650x414
838 650×414

743 650x440
743 650×440

654 650x460
654 650×460

555 650x379
555 650×379

469 650x392
469 650×392

398 650x920
398 650×920

What’s The Dumbest Thing an American Has Ever Said To You? | Part 1

https://youtu.be/No07KOKXqD4

May 27, 2023

By Caitlin JOHNSTONE

60 Minutes Australia has been playing a leading role in saturating Australian airwaves with consent-manufacturing messaging in support of militarising to participate in a US war against China. A segment they ran a year ago is titled “Prepare for Armageddon: China’s warning to the world,” and features an image of Xi Jinping overlaid with war planes and explosions and captioned “POKING THE PANDA”. Another from a year ago is titled “War with China: Are we closer than we think?” Another from ten months ago is titled “China’s new target in the battle to control the Pacific.” Another from six months ago is titled “Inside the battle for Taiwan and China’s looming war threat.” Another from two months ago is titled “Is the Navy ready? How the U.S. is preparing amid a naval buildup in China.”

All of these segments have millions of views on YouTube alone. Now this past weekend 60 Minutes Australia has aired back-to-back segments titled “The real Top Gun: US military in heated stand-off with China” and “Five countries secretly sharing intelligence say China is the №1 threat,” both of which are as jaw-droppingly propagandistic as anything I’ve ever seen.

“It might sound like twisted logic, but military forces everywhere argue that the greater the firepower they possess, the greater the chance of maintaining peace,” opens 60 Minutes Australia’s Amelia Adams. “In other words, massive weaponry is the best deterrent to war. Right now the theory is being tested like never before, and much of it is happening in Australia’s backyard, the Indo-Pacific region. The United States wants the world, and more particularly China, to know of its increasing presence there, and to do that it’s putting on a spectacular show.”

What follows is 19 minutes of overproduced footage displaying this “massive weaponry” while Adams oohs and ahhs and gives slobberingly sycophantic interviews to US military officials.

“There’s something utterly mesmerising about the F-35 jet,” Adams moans. “The sound, the heat, and the power put this supersonic stealth fighter in a league of its own.”

“Colonel these are some very impressive machines you’re in charge of!” she gushes to an officer on an aircraft carrier.

“Yes ma’am,” the colonel replies.

Jesus lady, do your orgasming off camera.

Contrast this glowing ecstatic revelry with Adams’ open hostility later in the segment toward a Chinese think tanker named Henry Wang, claiming that he was trying to “rewrite history” for dismissing panic about a Chinese military buildup by pointing out (100 percent correctly) that China is spending a lower percentage of its GDP on its military than western nations.

“Every command, every maneuver, is being fine-tuned on this vast blue stage, where China has proven to be a bad actor, playing a long game of intimidating Pacific nations,” Adams proclaims over helicopter footage of US war ships. “But the US and its allies aren’t having it, bolstering their defenses — and it’s an impressive display.”

I defy you to find me footage more brazenly propagandistic than this, from any point in history. This is supposed to be a news show, run by people who purport to be journalists, yet they’re engaging in propaganda that looks like it came from a Sacha Baron Cohen spoof of a third world dictatorship.

As I never tire of pointing out , the claim that the US has been militarily encircling its number one geopolitical rival defensively is the single dumbest thing the empire asks us to believe these days. The US is surrounding China with war machinery in ways that it would consider an outrageously aggressive provocation if the same thing were done in its neck of the woods, which means the US is plainly the aggressor in this standoff, and China is plainly reacting defensively to those aggressions.

While the first segment unquestioningly regurgitates Pentagon narratives and gives supportive interviews to military officials, the second segment unquestioningly regurgitates talking points from the western intelligence cartel and gives supportive interviews to Five Eyes spooks.

“Showing off deadly weaponry in massive war games is a tactic China and the United States both use to try to avoid full-on combat,” says 60 Minutes Australia’s Nick McKenzie in introduction. “But the truth is the two countries, as well as other nations including Australia, are already battling it out in an invisible war. There are no frontline soldiers but there are significant skirmishes. Until now these conflicts have been kept quiet, but key members of a secretive alliance of top cops from Australia, the United States, the United Kingdom, Canada and New Zealand are about to change that.”

“Their group is called the Five Eyes, and tonight they want you to know what they see,” says McKenzie, which is the same as saying “We’re telling you what the Five Eyes intelligence agencies told us to tell you.”

McKenzie literally just assembles a bunch of Five Eyes officials to tell Australians that China is bad and dangerous, and then disguises the western intelligence cartel advancing its own information interests as a real news story.

“There is one threat that alarms our partners more than any other,” McKenzie says

over dramatic music, asking “Which state actor is the key threat to democracy in Australia and amongst the Five Eyes partners?” and presenting a montage of western intelligence operatives answering (you guessed it) China.

“The Americans describe a growing menace on our doorstep flowing from China’s increasing influence in the region,” McKenzie says, before asking an American official, “Do you see the Chinese state preying on Pacific island nations?”

“I believe so, yes,” the official responds.

Western journalism, ladies and gents.

Australians are particularly vulnerable to propaganda because Australia has the most concentrated media ownership  in the western world, dominated by a powerful duopoly

of Nine Entertainment (who airs 60 Minutes) and the Murdoch-owned News Corp. This vulnerability is being fully exploited as the time comes for the western empire to beat the war drums against China.

We keep being hammered by this narrative that “massive weaponry is the best deterrent to war,” when all facts in evidence say the exact opposite is true. It was the military encroachment against Russia and the conversion of Ukraine into a NATO military asset which provoked Putin  to invade Ukraine, and all the militarization against China that we are seeing is only inflaming tensions and making war more likely .

And, I mean, of course it is; even a casual glance at the Cuban Missile Crisis reveals that powerful nations don’t take kindly to having menacing forces placed near their borders. So much of the propaganda indoctrination we’re subjected to in the 2020s revolves around convincing people to believe that Russia and China should react completely differently than the way the US would react if foreign proxy forces were being amassed along its borders.

So yes, Amelia Adams, claiming that aggression and militarism is the best path toward peace is absolutely “twisted logic”. It is as twisted as it gets. Because it is false. This is obvious to anyone who hasn’t yet been successfully indoctrinated into this omnicidal belief system.

We need to do everything we can to fight against this indoctrination now, because if we wait until the war actually starts it will likely be too late to resist.

Treasure hunter // open a treasure cave and decipher the mystery of its sign

This guy again. Does he live in an area full of gold?

First of all, in China, all people have significant savings.

Frans Vandenbosch  方腾波

Then, in China, the whole Chinese culture is based on the family. All family members, parents, children, uncles, aunts, brothers and sisters, even extended family members will right away (within hours) come to help and provide whatever amount of money to someone of their family in case of emergency.

Without asking for any compensation or pay back.

But if someone of the family is harming the family, then he will be severely punished. In a quite wealthy family (parents and 4 daughters) I know the case of a brother in law who cheated his wife (sister of my friend). He was forced to pay back a significant amount right away and he was fired by his employer. The eldest sister (the family “patriarch”) organised all these punishments.

Also in not-so-wealthy families, I know of similar cases, where an aunt immediately came to help with large amounts of money in an emergency case.

When I once lost my wallet (with passport, money, credit cards, …) in Shanghai, my Chinese friend came to my apartment the same evening with 50 000 CNY cash. And I was her friend, not even a family member.

China And Russia Launch Cutting-Edge Payment System To Challenge Dollar And SWIFT

https://youtu.be/invvouvNejE

A few years of finishing touches and then a new world order will be ready

Wow, things are changing really FAST. If you all are not picking up on it, you will soon.

I want to mix up my daily posts with some fun.

Enjoy yourself.

They cannot.

No matter every step they do they are already outdone by China.

They are finished.

They stay bringing their friends to dogpile us, but we all know that US has already lost.

They stay crying.

It is a very good question. One deserving an answer.

The United States can compete in many fields. May I offer some areas that the United States can try to compete in…

  • Economic. Have a positive economic environment that is not subject to inflation or abuse.
  • Financial. Provide a stable currency, and rock solid assets that are not prone to seizure or collapse.
  • Technical / Scientific. Provide a healthy and open environment where scientists and engineers are well trained, free of social engineering, and quota restrictions. Pay them well; more than lawyers or community organizers.
  • Environmental. Restrict the use of environment destroying technologies, and provide open and cheap alternatives in transportation, food, and housing.
  • Social. Provide a family and community friendly environment. With polices that value traditional family, and supportive of traditional gender roles.

The United States can also try to compete in…

  • Manufacturing. Have strong infrastructure, pro-worker laws, and a factory supportive community.
  • Society. A community and society free of excess taxation and rules.

The United States can try to compete against China in these areas, but, I am sad to say that it is highly unlikely to be successful.

11-Year-Old Says He Is a Combat SOLDIER

Let’s talk about the G7 summit and the spotlight on China. Despite not being a participant, China is undoubtedly on the agenda. Here’s why they can’t help but be obsessed with us.

2023 05 24 18 50
2023 05 24 18 50

China is a country that they hate, they love, but they cannot leave. They crave China’s capital, market share, supply chains, and unparalleled growth prospects. They benefit from China in countless ways, yet they resent our refusal to join their exclusive club.

The G7 wants China to conform to their “international rules.” But let’s be clear: their rules are not truly international. They are a product of Western values and interests. China upholds the principles of the UN Charter, which should be the true foundation of Intl. relations.

China’s rise challenges their dominance. They fear our economic power and influence. They worry that we will reshape the global order, creating a more multipolar and balanced world. To them, we are a threat, an evil that disrupts their established hegemony.

But let’s not forget: China’s commitment to peace and development has benefited the world. In the past decade, we have contributed more to global economic growth than the entire G7 combined. We offer stability, opportunity, and insurance, not risk.

The G7 needs to realize that the world cannot afford to go back to an era of ideological divisions, exclusive clubs, and small-minded thinking. What the world needs is inclusivity, cooperation, and the pursuit of shared prosperity. China is at the forefront of this vision.

As they discuss China behind closed doors, let’s remember that their own actions are not beyond scrutiny. Their aggressive military interventions, market distortions, and bullying tactics pose real risks to global peace and economic stability.

2023 05 24 18 49
2023 05 24 18 49

Instead of fixating on China, the G7 should focus on addressing pressing global challenges: climate change, poverty, inequality, and certain country’s debt ceiling crisis. These issues require collaboration, not division.

It’s time to recognize that the world is changing. China’s rise is an opportunity, not a threat. Let’s embrace a future where all nations, big or small, work together on an equal footing to build a prosperous and harmonious world.

Tori Amos – Cornflake Girl (US Version) (Official Music Video)

G7- A display of hypocrisy, vanity and elitism

2023 05 22 16 25
2023 05 22 16 25

For me personally, the G7 summit is up amongst the most insufferable political events of the year.

That’s because it is an exclusive club borne out of the United States, motivated by ideology, hegemony and thus, elitism, which it then uses to try and force its agenda on the rest of the world.

Although it was originally conceived of the “world’s seven largest economies” that is no longer a reality in practice, and it has thus become an “old guard” for how they believe the world ought to be.

In the process, the US is attempting to tailor it into a distinctive “Anti-China” grouping.

In its vanity summit yesterday, the group subsequently adopted the term “derisking” in application to China, claiming that while they did not want to “decouple” or “inhibit” China’s development, they nonetheless do not wise to allow China to have any geopolitical leverage or ability to “set the rules”, which of course they decide for themselves, and subsequently don’t follow either.

On such a premise, they hypocritically denounced China’s so-called “economic coercion” which is a Washington D.C buzzword used exclusively to Beijing’s ability to apply economic punishment to countries in the name of its national interests, with of course only G7 nations “being allowed to do that” and getting instead to call it “sanctions”.

Actions thus speak louder than words, and despite the language being in such “compromise” terms, which avoided being overtly confrontational, the reality is that G7 are collectively denying China the right to be an influential power on the global stage and in effect, to be a “ruler taker” as opposed to a “rule maker”.

There is no connotation that China can be an “equal” partner.

That is, as it has always been, that Beijing may only exist under the terms and conditions set for it by the west, and not for that matter, defining or advocating its national interests on its own terms.

When this is considered, what other policy options is the group effectively advocating, other than for the explicit containment of the rise of China?

One noticeable hallmark of the summit is that G7 attempting to morph itself into a “wider coalition” to steer the global agenda, of which China is locked out of.

The wider G20, once a hallmark of globalisation amidst the global financial crisis, is now treat with contempt by the west as it means compromising with “unfavourable” countries, including China and Russia, leading them to paralyze it as a functional body.

In doing so, the G7 now “cherry-picks” countries it wants to try and woo, inviting them to the summit but of course, not allowing them to set the agenda.

In doing so, Japan, who was the host, invited India, Vietnam, Indonesia, Australia, South Korea and Brazil, amongst others, as part of Kishida’s bid to “woo the global south”.

Of course, it would be disastrous for the Global South to buy into the G7’s agenda, because for them it means perpetual subservience to the agenda of the west of which leverages the right to economic development and prosperity upon the geopolitical terms and conditions they set for them, which in practical reality condemns them to perpetual poverty.

Part of this theme at the meeting likewise involved proposing alternatives for the belt and road initiative (yet again), speaking of which, it might be reminded that in 2021 the summit focused on a mega project to rival the BRI titled: “Build Back Better”.

Two years later, not only did nothing come of such, but it was never even as much heard of again.

This leads to the next point, that the G7 Summit is ultimately “all talk”.

It is a forum for vanity, a forum for posturing and a false calibration of unity, which is now attempting to rebrand itself as a configured unit and formalised multilateral organisation.

It isn’t, and its proposals to counter China by doing “X, Y, Z” usually with developing countries, almost always comes to nothing, and that’s because G7 is currently premised on a denial of “how the world actually is” as opposed to “how they would like it to be.”

That is, the fundamental denial of China’s rise in economic power and the belief they can still dictate the “rules” to a country as large and influential as Beijing, i.e such as the “economic coercion” nonsense.

But of course, this flutters in the reality that G7’s share of the global GDP is falling, whereas that of China and other developing nations, is rising. The group is thus a projection of western idealism.

Its relevance is declining, and perhaps in realising that, they are so eager to hold onto the position of privilege and power they once had.

But there’s no turning back the clock.

When China’s economy ultimately overtakes the United States, and when it breaks past the technological embargo they are attempting to impose on it, all of this is bound to look very silly, if not in vain.

Everyday objects that have become OBSOLETE

A pair of Chinese girls

And the American jackass who commented on this picture by saying…

"Do the Chinese look in the mirror even though their face is hideous?"

main qimg b80628c00d62f8fa48083b40350ba7bb
main qimg b80628c00d62f8fa48083b40350ba7bb

DIO- Caught In The Middle- Don’t Talk To Strangers- Straight Through The Heart (Live 2005)

Costillas de Tejas (Texas Ribs)

(BPT) – Chef Servantes, a self-taught pitmaster and former champion and now judge of Food Network’s hit show — Chopped — is widely known for his one-of-a-kind blends of New American Cuisine with Texas flair. Servantes is world renowned for using Smithfield Fresh Pork because of its quality, freshness and consistently great flavor.

2023 05 22 11 54
2023 05 22 11 54

Grilling is a proud tradition in every Hispanic community — from Mexico, Puerto Rico, Peru, Colombia, Argentina, the Dominican Republic, Cuba and even in the U.S., every community uses the grill to create one-of-a-kind flavors. It’s a tradition steeped in history, and the first-ever Smithfield Fresh Pork Grilling Cup, “Copa de la Parrilla,” invites Hispanic chefs to share their unique grilling styles with the world.

Prep: 30 min | Cook: 6 1/2 hr | Yield: 4 to 6 servings

Ingredients

Barbecue Sauce

  • 2 tablespoons canola oil
  • 1 small sweet yellow onion, coarsely chopped
  • 3 cloves garlic, coarsely chopped
  • 1 cup ketchup
  • 1/3 cup cold water
  • 1 chipotle chile, chopped (from can of chipotle chiles in adobo)
  • 2 tablespoons dark brown sugar
  • 1 heaping tablespoon, Dijon mustard
  • 1 tablespoon honey
  • 1 tablespoon molasses
  • 1 tablespoon red wine vinegar (or sherry vinegar)
  • 1 tablespoon Worcestershire sauce
  • 2 tablespoons ancho chile powder
  • 1 tablespoon sweet Spanish paprika

Costillas de Tejas

  • 1/4 cup packed dark brown sugar
  • 1 1/2 tablespoons ancho chile powder
  • 1 tablespoon smoked or sweet paprika
  • 1 1/2 teaspoons onion powder
  • 1 1/2 teaspoons kosher salt
  • Pinch cayenne or chipotle powder
  • Freshly ground black pepper

Ribs

  • 2 racks Smithfield Pork Spareribs, membrane removed

Instructions

  1. Barbecue Sauce: Heat oil in saucepan over medium-high until warm and shimmering. Add onion and cook until softened, 3 to 4 minutes. Add garlic and cook 1 minute. Stir in ketchup and water; bring to boil, stirring frequently. Reduce heat to medium-low and simmer for 5 minutes.
  2. Stir in remaining ingredients; bring to a simmer. Cook, stirring occasionally, until thickened, about 10 minutes. Let cool for at least 10 minutes.
  3. Scrape the barbecue sauce into bowl of food processor or blender; purée until smooth or desired consistency. Sauce will be very thick; let cool to room temperature. Taste and season with salt and pepper. Can be used immediately, however flavors will be more complex if kept overnight. Extra sauce can be stored in refrigerator for up to one week.
  4. Costillas de Tejas: Heat oven to 200 degrees F. Place wire rack on aluminum foil covered baking sheet.
  5. Combine brown sugar, ancho chile powder, paprika, onion powder, salt, cayenne and black pepper in small bowl; mix well.
  6. Ribs: Place 2 sheets of heavy duty aluminum foil on counter (each about 4 inches longer than ribs). Place each rib rack on a piece of foil; sprinkle generous amount of spice rub over meaty side and massage into the meat. Turn over ribs and repeat on bone side. Seal ribs in foil and place, meaty side down, on rack on baking sheet.
  7. Bake ribs at 200 degrees F for 4 hours; reduce oven temperature to 175 degrees F and bake an additional 2 hours, until ribs are very tender and just about falling off the bone.
  8. Open foil packets and discard juices. Brush barbecue sauce over ribs; cut and serve.

TV On The Radio – Wolf Like Me (Official Music Video)

Bad feelings toward one of my favorite airlines

‘If you cannot say blanket in English, you cannot have it’: Flight attendants involved in discriminative behaviors sacked

main qimg 675eddcb088597de5798e5609150ae19
main qimg 675eddcb088597de5798e5609150ae19

A recent article written by a netizen that a Cathay Pacific flight attendant discriminated against a non-English-speaking passenger is trending on Weibo on May 23, sparking widespread debate in society. Cathay Pacific issued a statement regarding the incident last night: The airline is aware of the passenger’s unpleasant experience on flight CX987 and apologizes for the incident.

Cathay Pacific stated that it is committed to providing passengers outstanding customer service and takes this incident very seriously. The airline has reached out to the affected passenger for further understanding of the situation and will conduct a thorough investigation. Cathay Pacific would like to apologize again for any inconvenience this incident may have caused.

2023 05 24 18 57
2023 05 24 18 57

As previously reported, a netizen posted on the social media platform Xiaohongshu that the flight attendants discriminated against non-English speaking passengers when he flew with Cathay Pacific. The netizen said he was on Cathay Pacific flight CX987 from Chengdu to Hong Kong on May 21, 2023, and was seated in the back row, where the flight attendants were preparing a meal and taking a break. The netizen described the Cathay Pacific flight attendants as using English and Cantonese to complain about passengers during the flight. The flight attendants made fun of others for asking for a carpet instead of a blanket in English. “If you cannot say blanket, you cannot have it…carpet is on the floor,” a flight attendant said, according to a recording that was circulated widely online.

“I think the front-row passengers tried to use English to get blankets from these ‘English-only’ attendants and were made fun of instead,” he described, “the passenger in the row in front of the user also received an impatient response when he tried to ask the flight attendant in English how to fill in his arrival card.”

2023 05 24 18 5e7
2023 05 24 18 5e7

In addition, a flight attendant even said to her colleagues in Cantonese, “They don’t understand human language”, and “The entire flight lasted for two and a half hours, but the insulting words and the strange attitude towards the passengers were spoken right behind me for two and a half hours.” The netizen could not understand why there was so much malice towards passengers who did not speak English or Cantonese and why they could not show basic respect to passengers without asking for extra warm service. Ultimately, the netizen approached the flight attendant to explain the situation before disembarking from the plane and said he would make a formal complaint.

2023 05 24 18 5s7
2023 05 24 18 5s7

On the afternoon of 23 May, Cathay Pacific issued a second statement on its Weibo account, apologizing once more for the widespread concern regarding the passenger’s experience on flight CX987 on May 21. The statement said it takes this incident very seriously and has already contacted the passenger to learn more about the situation. Now, the flight attendant has been suspended from duty, and an internal investigation will begin immediately, with the outcome to be announced within three days.

The Chief Executive Officer of Cathay Pacific, Mr. Ronald Lam, said in an updated statement on 23 May that as Chief Executive officer of Cathay Pacific, on behalf of Cathay Pacific, he would like to once again express sincere apologies to the passengers and the community affected by the experience on Cathay Pacific Flight CX987 on 21 May.

“At present, we have completed our investigation into the incident and have dismissed the three attendants in accordance with the company’s rules and regulations,” the statement said.

“I would like to reiterate that Cathay Pacific has a zero tolerance attitude towards serious breaches of our rules, regulations and code of ethics by individual employees,” Mr. Lam added.

In order to prevent this kind of incident from happening again, Mr. Lam will personally lead an inter-departmental task force to conduct a comprehensive review and re-examine our service processes, personnel training and related systems to further enhance the quality of Cathay Pacific’s service.

“The most important of these is to ensure that all Cathay Pacific staff are respectful of visitors from different backgrounds and cultures, and can provide professional and consistent service in all service areas,” he added.

Interrogation Of A Psychopathic Woman

Americans Say Families Need $85,000 To Get By, Up From $58,000 In 2013

Saturday, May 27, 2023 – 07:00 AM

By Mary Claire Evans of Gallup

Americans, on average, estimate that a family of four needs a minimum income of $85,000 annually to “get by” in their community, marking a considerable increase from a decade ago. The past decade has witnessed not only an increase in the average income required but also a notable shift in the upper range of income expectations.

During that time, the proportion of Americans who believe that a family needs more than $100,000 to get by has tripled to 30%, while 18% now estimate it to be between $75,000 and $99,999, and 31% think it is $50,000 to $74,999. Half as many Americans now as in 2013 believe a family of four can get by on less than $50,000 annually. This includes 3% who estimate a figure lower than $30,000, and 11% who cite a figure between $30,000 and $49,999.

est annual income needed
est annual income needed

The latest average of $85,000, from an April 3-25 Gallup poll, is notably higher than the federal poverty line for a family of four, which is currently $30,000.

In 2013, the average estimate was $58,000, and the federal poverty line for a family of four was $23,550. Accounting for inflation and the subsequent change in purchasing power, Americans’ 2013 estimate translates to $75,668 in 2023 dollars. Their 2023 estimate therefore reflects an increase of about $9,000 in perceived family needs beyond what inflation alone would account for.

Higher-Income Respondents Believe Families Need More

Americans’ perceptions of the minimum income a family of four needs are influenced by their own financial circumstances. Specifically, those with an annual household income of $100,000 or more project $100,000, on average, as necessary for a family to get by. Middle-income respondents, those with between $40,000 and $99,999 in annual income, estimate a family needs about $80,000. Meanwhile, those earning less than $40,000 believe an income of about $66,000 suffices.

avg annual income
avg annual income

Eastern, Suburban Residents Give Higher Estimates

Geographical location also influences Americans’ perceptions of the income needed for a family of four to get by. Notably, residents in the Eastern U.S. estimate, on average, that families need an income of about $98,000, which is significantly more than the estimates from other regions. Residents in the Midwest have the lowest estimate, saying families need an average income of $76,000. These regional differences likely reflect variations in cost of living, housing prices and wage levels.

Similarly, Americans’ views on the minimum income for a family of four are influenced by their urbanicity. Those residing in cities (about $87,000) and suburban areas ($91,000) project a higher required income for a family of four than those living in towns or rural areas ($78,000).

est annual income 2
est annual income 2

These findings are similar to those from 2013, when Eastern and suburban residents’ estimates of what a family of four needs to get by were substantially higher than those given by people in other regions or urbanicities.

Bottom Line

The rise in perceived necessary income to support a family of four highlights the economic pressure facing American households as high inflation stretches into a second year.

There is a diversity of socioeconomic realities across various population segments and geographic locations. Notably, individuals residing in urban and suburban areas, as well as those with higher incomes, tend to estimate a higher necessary income for a family of four. These patterns might reflect their cost-of-living circumstances as well as differences in perceptions of need and evolving lifestyle aspirations.

The increasing estimate of required income may also be linked to the rise in two-income families. Americans’ average estimate for getting by sits at $85,000, an amount more likely to be reached only in families with dual incomes, further emphasizing the changing economic dynamics of American households.

U.S.-China tensions to “thaw very shortly” — Biden

According to Kyodo News, U.S. President Joe Biden said Sunday he believes that heightened tensions between the United States and China will “thaw very shortly.”

He said a previous agreement with Chinese President Xi Jinping on the importance of implementing a military hotline had rapidly soured in the wake of the balloon controversy as tensions escalated.

“I think you’re going to see that begin to thaw very shortly,” Biden said before leaving the Japanese city, where he attended the Group of Seven summit and held talks with many leaders, including Ukrainian President Volodymyr Zelenskyy.

“We’re not looking to decouple from China. We’re looking to de-risk and diversify our relationship with China,” Biden told a press conference after a three-day summit with G7 leaders. He said G7 nations were more unified than ever in terms of “resisting economic coercion together and countering harmful practices that hurt our workers.”

Daughter Recalls Persecution In the Middle Ages

https://youtu.be/8RSdjzoR1tQ

Unleashing innovation at sea! China to deliver world’s first 5G cruise ship “Adora Magic City” in 2023

China’s first domestically-built large cruise ship – also the world’s first 5G cruise ship – will be called “Adora Magic City.”

main qimg 56762784698cf1d7891fef100c437ddd
main qimg 56762784698cf1d7891fef100c437ddd

The name was unveiled in Shanghai on Friday, and the ship is expected to be delivered later this year.

“Adora Magic City” aims to offer a unique and immersive cruise experience that seamlessly blends Eastern and Western cultures, with Shanghai serving as its home port in the inaugural season, according to details released at an event held by the municipal culture and tourism bureau and China State Shipbuilding Corporation (CSSC) Cruise Technology Development Co., Ltd. (CCTD).

Jointly designed and built by the CCTD and Shanghai Waigaoqiao Shipbuilding Co. Ltd., the cruise ship, measuring 323.6 meters in length with a gross tonnage of 135,500 tonnes, can accommodate up to 5,246 passengers.

After the successful delivery of the ship, an array of international routes will commence between the home port of Shanghai and neighboring countries.

In addition, medium and long-term routes will be launched to enhance cultural exchanges between China and other countries.

5G cruise ship

Partnered with China Telecom, the construction team will bring 5G connectivity to the “Adora Magic City.”

The cruise ship will be equipped with advanced wireless communication technology, and a Wi-Fi6 and mobile 5G network will be available to provide passengers with the same network experience as on land.

“By seizing a first-mover advantage in the cruise industry’s 5G market, we hope to set a new standard for digital communication in the marine travel sector,” said Chen Ranfeng, managing director of CSSC Carnival Cruise Shipping.

Gong Bo of China Telecom’s Shanghai branch said that “we will focus on network communication, digital high-definition, as well as AR/VR and other content services, in order to further improve the guest experience and jointly promote the high-quality development of the tourism economy.”

This is a “troll question”, but I am giving to give a REAL answer to it.

In regards to the international order, there are two policies “on the table”.

  • The (laughingly titled) rules-based order.

This is the uni-polar order. Uni comes from Latin it means “one”. This global order has one nation running the entire planet, and everyone follows the rules that it makes.

This is the United States led world order.

The United States makes the rules and you are ordered to follow them.

  • The multi-polar order.

This is the order that is preferred by the vast majority of the world (minus the American proxy nations). Where every nation is sovereign, and operates independently without reporting to another country. Everyone gets one vote, and a “seat at the table”. There are no “veto clauses” such as the USA has in the United nations.

It is modeled after the United Nations, but without the privileges that the United States, and the West now enjoy.

Conclusion

The world is shaping up into a fracturing. Where the United States is isolated from the rest of the world, except from it’s owned proxy nations. We can see this clearly on a map.

main qimg 2d94701fa0a479346c9e312ba43c157e lq
main qimg 2d94701fa0a479346c9e312ba43c157e lq

The vast majority of the world is accepting of a multi-polar world, while the tyrannical United States lies isolated, and growing retarded in strength and abilities with each passing day.

Internet “bar-flies” argue that the Untied States will make one great dramatic “lash out” in a futile attempt to regain control over a terribly mismanaged advantage.

But it will amount to nothing. The United States has been in Geo-political “check mate” for a decade, if not longer.

It will be spectacular, no doubt.

But the only ones harmed with be the United States itself, as it slowly sinks into the dark deep waters of the abyss that it created for itself.

main qimg e6884aef57e2a943672f880a4058c013 lq
main qimg e6884aef57e2a943672f880a4058c013 lq

3 Mysterious REINCARNATION STORIES

Rep. Nadler “Wouldn’t Care” If Ukraine Used F-16s To Strike Russian Territory

Saturday, May 27, 2023 – 10:20 AM

Authored by Dave DeCamp via AntiWar.com,

Rep. Jerry Nadler (D-NY) said Wednesday that he “wouldn’t care” if Ukraine used American-made F-16s to strike Russian territory despite the risk of such an attack escalating into a direct clash between the US and Russia.

When asked by Epoch Times reporter Liam Cosgrove if he was concerned about the potential of Ukraine using F-16s to hit targets inside Russia, Nadler said, “No, I’m not concerned. I wouldn’t care if they did.”

NY Congressman @RepJerryNadler told me he “wouldn’t care” if Ukraine used western F16s to strike Russian territory.

He then dismissed the likelihood of that happening, despite Ukrainian forces deploying U.S. weaponry in Russia’s border city of Belgorod earlier this week: pic.twitter.com/oRLmqv0mBm

— Liam Cosgrove (@cosgrove_iv) May 25, 2023

Nadler said it was unlikely Ukraine would use F-16s to attack Russian territory, but Cosgrove pointed out that US-made armored vehicles were used in a cross-border raid in Russia’s Belgorod region that was launched on Monday.

“That may be, but they’re not gonna use major weapons. Things like F-16s, they need for air defense over Ukraine so that they can provide air cover for their counterattack and things like that. They’re not gonna waste it in Russia,” Nadler said.

So far, there’s no clear timeline for when the F-16s will be delivered to Ukraine. President Biden signed off on European countries delivering the Lockheed Martin-made aircraft, but Ukrainian pilots still need to be trained, and estimates for how long that will take vary from a few months to up to two years.

Russia has said providing Ukraine with F-16s brings “colossal risks,” a warning brushed off by President Biden. In the early days of the war, NATO chose not to provide Kyiv with fighter jets over concerns Moscow would perceive the move as the alliance directly entering the war.

It doesn’t pay to be anybody’s cannon fodder.

Thanks, Andy for the question. I think I am qualified to respond to your question because I was one of the journalists who was covering the China-Central Asia Summit live in Xi ‘an.

main qimg a944e48713b507580e5339452650799b
main qimg a944e48713b507580e5339452650799b

As a reporter of international current affairs, I think it is very necessary to be critical of the news, especially Western news coverage of China. If you know anything about China or do any real research, you will find that much of what is reported about China in the West is discredited and have no factual basis. So it is with the recent China-Central Asia summit.

I’ve been also reading a lot of biased reports on this event in the West lately, and I’ll try to break them down one by one.

Lie 1: China’s Belt and Road Initiative (BRI) is a debt trap.

The “evergreen” “debt trap” accusation has been with BRI ever since its initiation. Let’s burst this bubble with some hard facts.

According to the IMF, as of 2021, the total external debt of Central Asian countries was 35% of their GDP. And China’s share of this debt is less than 10%.

In reality, BRI investments have paved the way for infrastructure development, boosting trade and economic growth.

But who needs facts when one can spin a good yarn about debt traps?

It is now clear that the phrase “Chinese debt trap” is a misnomer that has been coined to scare developing countries from approaching China for soft developmental loans, which the US and the West are unwilling to provide for the uplifting of the infrastructure in these countries.

Lie 2: China is in Central Asia for geopolitical games and threatens Central Asian sovereignty.

A headline in Newsweek reads: China ”Edging U.S. Out of Russian Bastion”, which is a very arrogant or maybe a little offensive view through the predatory Anglo-Saxon lens.

In their eyes, it seems that the only reason countries develop relations with Central Asia is for geopolitical gains, with the underlining notion that Central Asian countries are not worth developing mutually beneficial and friendly relations with other countries, other than to fall into someone’s “bastion.”

In reality, the first and foremost goal of China – Central Asia relations is always for the development of the region so as to serve the needs of both peoples, who have by the way, lived side by side with each other for thousands of years.

According to statistics, in 2022, trade between China and the five countries surpassed $70 billion, and China’s direct investment in the region reached $15 billion.

The Chinese-built tunnel of the Angren-Pap railway line, the longest tunnel in Central Asia, saved local residents the trouble of climbing through the mountains or taking a detour via neighbouring countries.

The China-Kyrgyzstan-Uzbekistan (CKU) highway has become an important international transportation route that runs smoothly through the region’s mountainous terrain, just to name a few outcomes of the close ties between China and Central Asia.

Lie 3: China’s actions undermine regional stability.

The French paper Le Monde had an interesting article on China and Central Asia back in 2022, with the title “China’s support to Central Asia countries represents a threat to the entire region in the medium term”.

Another more recent report from the Caspian Policy Center, a Washington-based think tank, said that “…much of China’s investments into Central Asia have raised the risk of being overconnected to China.”

These ridiculous stances conveniently ignored the fact that a commitment to regional stability, with joint efforts to combat terrorism, extremism, and cross-border crimes from China and all the Central Asian countries is the backbone of the cordial relations. China has actively supported and taken a leading role in initiatives like the Shanghai Cooperation Organization and the Conference on Interaction and Confidence-Building Measures in Asia, which focus specifically on sewing differences and increasing stability.

Besides, China has the longstanding view that “development is the key to overcoming all difficulties”, which includes security and stability.

The Chinese experience, both domestically and internationally, is that with more tangible development, there will be fewer chances for the most vulnerable to resort to extremism and terrorism. But of course, some Western critics prefer to overlook these efforts while peddling their tales of impending chaos.

Conclusion:

Although the full effects of the first China-Central Asia Summit are still unfolding, one outcome is sure to stay: there will be less room left for the exaggerated and distorted Western narrative aiming at sophisticating the relations of countries in the region.

China’s engagement in Central Asia is founded on mutual respect, cooperation, and shared development.

It’s time to put aside the fictional narratives and embrace the reality of a thriving partnership that benefits both China and Central Asian countries.

Perhaps, just maybe, it’s time for Western critics to reassess their storytelling skills and focus on understanding the real dynamics of China-Central Asia relations.

Johnny Winter – Rock and Roll, Hoochie Koo (Live)

Why Are US Military Personnel Heading To Peru?

Saturday, May 27, 2023 – 11:20 AM

Authored by Nick Corbishley via NakedCapitalism.com,

The ostensible goal of the operation is to provide “support and assistance to the Special Operations of the Joint Command of the Armed Forces and National Police of Peru,” including in regions recently engulfed in violence. 

Unbeknown, it seems, to most people in Peru and the US (considering the paucity of media coverage in both countries), US military personnel will soon be landing in Peru. The plenary session of Peru’s Congress last Thursday (May 18) authorised the entry of US troops onto Peruvian soil with the ostensible purpose of carrying out “cooperation activities” with Peru’s armed forces and national police. Passed with 70 votes in favour, 33 against and four abstentions, resolution 4766 stipulates that the troops are welcome to stay any time between June 1 and December 31, 2023.

The number of US soldiers involved has not been officially disclosed, at least as far as I can tell, though a recent statement by Mexico’s President Andrés Manuel Lopéz Obrador, who is currently person non grata in Peru, suggests it could be around 700. The cooperation and training activities will take place across a wide swathe of territory including Lima, Callao, Loreto, San Martín, Huánuco, Ucayali, Pasco, Junín, Huancavelica, Iquitos, Pucusana, Apurímac, Cusco and Ayacucho.

The last three regions, in the south of Peru, together with Arequipa and Puno, were the epicentre of huge political protests, strikes and road blocks from December to February after Peru’s elected President Pedro Castillo was toppled, imprisoned and replaced by his vice-president Dina Boluarte. The protesters’ demands included:

  • The release of Castillo
  • New elections
  • A national referendum on forming a Constitutional Assembly to replace Peru’s current constitution, which was imposed by former dictator Alberto Fujimori following his self-imposed coup of 1992

Brutal Crackdown on Protests

Needless to say, none of these demands have been met. Instead, Peru’s security forces, including 140,000 mobilised soldiers, unleashed a brutal crackdown that culminated in the deaths of approximately 70 people. A report released by international human rights organization Amnesty International in February drew the following assessment:

“Since the beginning of the massive protests in different areas of the country in December 2022, the Army and National Police of Peru (PNP) have unlawfully fired lethal weapons and used other less lethal weapons indiscriminately against the population, especially against Indigenous people and campesinos (rural farmworkers) during the repression of protests, constituting widespread attacks.”

As soon as possibly next week, an indeterminate number of US military personnel could be joining the fracas. According to the news website La Lupa, the purported goal of their visit is to provide “support and assistance to the Special Operations of the Joint Command of the Armed Forces and National Police of Peru” during two periods spanning a total of seven months: from June 1 to September 30, and from October 1 to December 30, 2023.

The secretary of the Commission for National Defence, Internal Order, Alternative Development and the Fight Against Drugs, Alfredo Azurín, was at pains to stress that there are no plans for the US to set up a military base in Peru and that the entry of US forces “will not affect national sovereignty.” Some opposition congressmen and women begged to differ, arguing that the entry of foreign forces does indeed pose a threat to national sovereignty. They also lambasted the government for passing the resolution without prior debate or consultation with the indigenous communities.

The de facto Boluarte government and Congress are treating the arrival of US troops as a perfectly routine event. And it is true that the US military has long held a presence in Peru. For example, in 2017, U.S. personnel took part in military exercises held jointly with Colombia, Peru and Brazil in the “triple borderland” of the Amazon region. Also, the US Navy operates a biosafety-level 3 biomedical research laboratory close to Lima as well as two other (biosafety-level 2) laboratories in Puerto Maldonado.

But the timing of the operation raising serious questions. After all, Peru is currently under the control of an unelected government that is heavily supported by Washington but overwhelmingly rejected by the Peruvian people. The crackdown on protests in the south of the Peru by the country’s security forces — the same security forces that US military personnel will soon be joining — has led to dozens of deaths. Peru’s Congress is refusing to call new elections in total defiance of public opinion. Just a few days ago, the country’s Supreme Court issued a ruling that some legal scholars have interpreted as essentially criminalising political protest.

As Peru’s civilian institutions fight among themselves, Peru’s armed forces — the last remaining “backbone” in the country, according to Mexican geopolitical analyst Alfredo Jalife — has taken firm control. And lest we forget, Peru is home to some of the very same minerals that the US military has identified as strategically important to US national security interests, including lithium. Also, as I noted in my June 22, 2021 piece, Is Another Military Coup Brewing in Peru, After Historic Electoral Victory for Leftist Candidate?, while Peru’s largest trading partner is China, its political institutions — like those of Colombia and Chile — remain tethered to US policy interests:

Together with Chile, it’s the only country in South America that was invited to join the Trans-Pacific Partnership, which was later renamed the Comprehensive and Progressive Agreement for Trans-Pacific Partnership after Donald Trump withdrew US participation.

Given as much, the rumours of another coup in Peru should hardly come as a surprise. Nor should the Biden administration’s recent appointment of a CIA veteran as US ambassador to Peru, as recently reported by Vijay Prashad and José Carlos Llerena Robles:

Her name is Lisa Kenna, a former adviser to former US Secretary of State Mike Pompeo, a nine-year veteran at the Central Intelligence Agency (CIA), and a US secretary of state official in Iraq. Just before the election, Ambassador Kenna released a video, in which she spoke of the close ties between the United States and Peru and of the need for a peaceful transition from one president to another.

It seems more than likely that Kenna played a direct role in the not-so-peaceful transition from President Castillo to de facto President Boluarte, having met with Peru’s then-Defence Minister Gustavo Bobbio Rosas on December 6, the day before Pedro Castillo was ousted, to tackle “issues of bilateral interest”.

On a Knife’s Edge

After decades of stumbling from crisis to crisis and government to government, Peru rests on a knife’s edge. When Castillo, a virtual nobody from an Andean backwater who had played an important role in the teachers’ strikes of 2017, rode to power on a crest of popular anger at Peru’s hyper-corrupt establishment parties in June 2021, Peru’s legions of poor and marginalised hoped that positive changes would follow. But it was not to be.

Castillo was always an outsider in Lima and was out of his depth from day one. He had zero control over Congress and failed miserably to overcome rabid right-wing opposition to his government. Even in his first year in office he faced two impeachment attempts. As Manolo De Los Santos wrote in People’s Dispatch, Peru’s largely Lima-based political and business elite could never accept that a former schoolteacher and farmer from the high Andean plains could become president.

On December 7, they finally got what they wanted: Castillo’s impeachment. Just hours before a third impeachment hearing, he declared on national television that he was dissolving Congress and launching an “exceptional emergency government” and the convening of a Constituent Assembly. It was a preemptive act of total desperation from a man who held no sway with the military or judiciary, had zero control over Congress, and had even lost the support of his own party. Hours later, he was impeached, arrested by his own security detail and taken to jail, where he remains to this day.

Castillo may be out of the picture but political instability continues to reign in Peru. The de facto Boluarte government and Congress are broadly despised by the Peruvian people. According to the latest poll by the Institute of Peruvian Studies (IEP), 78% of Peruvians disapprove of Boluarte’s presidency while only 15% approve. Congress is even less popular, with a public disapproval rate of 91%. Forty-one percent believe that the protests will increase while 26% believe they will remain the same. In the meantime, Peru’s Congress continues to block general elections.

Peru’s “Strategic” Resources 

As regular readers know, EU and US interest in Latin America is rising rapidly as the race for lithium, copper, cobalt and other elements essential for the so-called “clean” energy transition heats up. It is a race that China has been winning pretty handily up until now.

Peru is not only one of China’s biggest trade partners in Latin America; it is home to the only port in Latin America that is managed entirely by Chinese capital. And while Peru may not form part of the Lithium Triangle (Bolivia, Argentina and Chile), it does boast significant deposits of the white metal. By one estimate, it is home to the sixth largest deposits of hard-rock lithium in the world. It is also the world’s second largest producer of copper, zinc and silver, three metals that are also expected to play a major role in supporting renewable energy technologies.

In other words, there is a huge amount at stake in how Peru evolves politically as well as the economic and geopolitical alliances it forms. Also, its direct neighbour to the north, Ecuador, is undergoing a major political crisis that is likely to spell the end of the US-aligned Guillermo Lasso government and a handover of power to Rafael Correa’s party and its allies.

And the US government and military have made no secret of their interest in the mineral deposits that countries like Peru hold in their subsoil. In an address to the Washington-based Atlantic Council on Jan 19, Gen. Laura Richardson, head of the U.S. Southern Command, spoke gushingly of Latin America’s rich deposits of “rare earth elements,” “the lithium triangle — Argentina, Bolivia, Chile,” the “largest oil reserves [and] light, sweet crude discovered off Guyana,” Venezuela’s “oil, copper, gold” and the fact that Latin America is home to “31% of the world’s fresh water in this region.”

She also detailed how Washington, together with US Southern Command, is actively negotiating the sale of lithium in the lithium triangle to US companies through its web of embassies, with the goal of “box[ing] out” US adversaries (i.e. China and Russia), concluding with the ominous words: “This region matters. It has a lot to do with national security. And we need to step up our game.”

Which begs the question: is this the first step of the US government and military’s stepping-up-the-game process?

The former president of Bolivia Evo Morales, who knows a thing or two about US interventions in the region, having been on the sharp end of a US-backed right-wing coup in 2019, certainly seems to think so. A few days ago, he tweeted the following message:

The Peruvian Congress’ authorisation for the entry and stationing of US troops for 7 months confirms that Peru is governed from Washington, under the tutelage of the Southern Command.

The Peruvian people are subject to powerful foreign interests mediated by illegitimate powers lacking popular representation.

The greatest challenge for working people and indigenous peoples is to recover their self-determination, their sovereignty and their natural resources.

With this authorization from the Peruvian right, we warn that the criminalization of protest and the occupation of US military forces will consolidate a repressive state that will affect sovereignty and regional peace in Latin America.

Mexico’s President Andrés Manuel Lopéz Obrador, who refuses to acknowledge Boluarte (whom he calls the “great usurper”) as Peru’s president and has recently faced threats of direct US military intervention in Mexico’s drug wars from US Republican lawmakers, had a message for the US government this week:  “[Sending soldiers to Peru] merely maintains an interventionist policy that does not help at all in building fraternal bonds among the peoples of the American continent.”

Unfortunately, the US government does not seem interested, if indeed it ever has been, in building fraternal bonds with the peoples of the American continent. Instead, it is set on upgrading the Monroe Doctrine for the 21st century. Its strategic rivals this time around are not Western European nations, which are now little more than US vassals (as a recent paper by the European Council of Foreign Relations, titled “The Art of Vassalisation”, all but admitted), but rather China and Russia.

Cream of Green Chile Soup

This soup was famous at the Anasazi in Midland, Texas, which has now closed.

2023 05 22 11 56
2023 05 22 11 56

Ingredients

  • 1 1/2 cups chicken broth
  • 1/3 cup minced onion
  • 1 large garlic clove
  • 1/2 ounce fresh mild green chiles, such as Anaheim, roasted and chopped
  • 8 ounces cream cheese, softened
  • 1 cup sour cream
  • 1/4 teaspoon ground cumin
  • 1 cup Half-and-Half
  • Freshly-ground white pepper, to taste

Instructions

  1. In a saucepan bring the broth to a boil with the onion. Boil the mixture for 5 minutes, and let it cool.
  2. In a food processor chop fine the garlic and the chiles; add the cream cheese, sour cream and cumin. Blend the mixture until it is combined well.
  3. With the motor running add the broth mixture in a steady stream. Blend the mixture until it is combined well, and transfer it to a large bowl.
  4. Stir in the Half-and-Half, white pepper and salt to taste, and chill the soup, covered, for at least 2 hours or overnight.

Makes about 8 cups, serving 8 to 10.

Eric Clapton We’ve ended as lovers Live Albert Hall May 22 2023 Jeff Beck Tribute

https://youtu.be/35BbNtQGluM

China has slapped a ban on US chipmaker Micron, prohibiting it from selling to Chinese companies involved in key infrastructure projects. Beijing has mirrored Washington’s sanctions on the People’s Republic’s hi-tech technology, Asia-Pacific consultant Thomas W. Pauken, adding that there’s more to the development than meets the eye.

2023 05 24 19 10
2023 05 24 19 10

The Cyberspace Administration of China (CAC) announced on May 21, that a cybersecurity review indicated Micron Technology’s products “have relatively serious cybersecurity risks, which pose significant security risks to the country’s critical information infrastructure supply chain and would affect national security.”

Thus, the CAC banned the US chipmaker from participating in the People’s Republic’s domestic critical infrastructural projects. In response, the US Commerce Department expressed its opposition to the restrictions, insisting that they “have no basis in fact.” Micron’s shares plummeted roughly 6% on Monday, given that the American company used to derive over 10% of its revenue from the People’s Republic.

2023 05 24 19 1s0
2023 05 24 19 1s0

Since October 2022, Washington has imposed sweeping export curbs on advanced chips and chip-making equipment to China, trying to cut off the nation’s access to critical technology. Prior to that, under the Trump administration, the US and its Western allies kicked off nothing short of a crusade against the People’s Republic’s telecom giants, including its flagship Huawei, citing “security” concerns.

2023 05 24 19 10d
2023 05 24 19 10d

Kunstler: Fade To Black In Ukraine

Tuesday, May 23, 2023 – 06:30 PM

Authored by Jim Kunstler via Kunstler.com,

“Following the ouster of Mr. Trump in 2020, this new-new-left had exactly what it had been clamoring for, a liberal Democrat in the White House. Given the sense of impending catastrophe at present, it may be difficult to remember precisely how much sniveling bullshit went into selling Joe Biden.” 

- Rob Urie

Have you noticed that the president of Ukraine (or, governor of America’s fifty-first state), Mr. Zelensky, has been globe-trotting for weeks: London, Helsinki, Paris, Hiroshima? That’s because this is one of those months when years happen; the world is changing at hyper-speed. He seems to be running scared, a little bit, trying to keep ahead of the changing game. What sounded like a great idea to a certain claque of so-called neo-cons in our country — to use Ukraine as a bear trap — has instead rather suddenly revealed Europe’s and America’s manifold bankruptcies and revolted the whole rest of the world outside of Western Civ. Oh, the wonder and nausea!

Try to imagine Mr. Zelensky’s predicament.

Mighty America and redoubtable Europe conned the former comedian to thinking that if he went along with a genius scheme to ruin Russia and knock Vlad Putin off the global gameboard, his sad-sack country would be transformed into something like Ukro-Disneyworld, while he, Mr. Z, would be lionized and made rich beyond his wildest imaginings. His backup was the greatest hegemonic power the world has ever seen. The game was called Let’s You and Him Fight

The poor schlemiel fell for it. He let NATO (that is, the USA) set-up, equip, and train the largest army in Europe, including battalions of bad-ass, hard-core Ukro-Nazis — who had previously been so useful in the American-sponsored 2014 Maidan “color revolution.” Mr. Z followed the US State Department’s orders to rain down rockets and artillery on Russian-speakers who lived in his own eastern provinces. He formally applied for membership in the NATO club. His country received billions of US dollars without audit oversight, just screaming to be creamed off by Ukraine’s leadership — who, after all, deserved a little something for all these goings-along. What could go wrong?

Thus, Western Civ kicked off Europe’s biggest hot war since the 1940s. So, in February, 2022, Mr. Putin had enough of the monkey business on his “front porch” and sent in a clean-up crew. Game on!

The US neo-cons were ready to feed countless Ukrainian troops into a meat grinder that would, theoretically, exhaust the will and resources of the execrable bear and yield countless benefits reinforcing our dominant position in the world.

Our hapless NATO “partners” went along with the program, despite being asked to commit economic suicide for the greater good of the alliance (or something like that). Anyway, they didn’t need that filthy Russian nat-gas. They were going “green” (Klaus Schwab said so, didn’t he?)

Meanwhile, the citizens of our country were groomed to perfection by the US Propaganda-Industrial Complex screaming “Russia, Russia, Russia,” at the behest of opinion-leader Hillary Clinton, a wannabe president. The news media demanded crucifixion for her opponent, Mr. Trump, who had idly tossed out the heinous idea that The USA and Russia could cultivate a friendly relationship, seeing as how the bear was no longer flying the red flag. Aye-yi-yi!!! He actually said that!?! The clueless orange boob!

Well, the folks running things in America — that is, the scores of unelected bureaucratic satraps guarding their nests throughhout the Okefenokee inside-the-Beltway, especially the gator-pit known politely as the Intel Community — decided to subject Mr. Trump to a one-man version of the exquisite torment intended for Russia, Russia, Russia: pain, ignominy, and ruin. They’re still at it six years later, since the relentless Mr. Trump will not give up his crusade to take back the White House and defenestrate all those attempting to defenestrate him. His enemies have captured all the levers of legal power, and yet, amazingly, they can come up with nothing but the most rinky-dink charges to railroad him in captured jurisdictions.

This internal political conflict in the USA has driven the populace plumb insane, while it has rendered our institutions rancid and left us subject to a pathocracy hiding behind a laughably fake chief executive. After a year-plus of America’s genius scheme to maintain world dominance, Russia is doing really well, thank you, in constructing a geo-economic framework for trade that will not be subject to the pranks of USA-led Western Civ. Russia is a nation of people who regard themselves as men and women, the toils of gender confusion happily absent. Ditto race hustles. Ditto banking Ponzis.

After two-plus years of “Joe Biden” — well, our country is bypassing the banana republic stage of dissolution and depravity and steaming quickly into a Hieronymus Bosch dystopia of financial, social, psychological and moral ruin. Every official utterance is a lie. Everything’s broken or breaking. And seemingly, on-purpose. The nagging question, of course, is on whose purposes?

And why is Mr. Zelensky flitting from one country to another the past month? Because the game of Let’s You and Him Fight is drawing to a close and Mr. Z may find himself fatally unpopular back on the home-front. He has managed to send upward of a hundred-thousand young Ukrainian men to their deaths in the meat-grinder, and perhaps a million more have hightailed it for other countries.

Ukraine will now be a land of mostly women, children, and old folks – with just enough surviving soldiers left looking to hunt down the comedian who turned Ukraine into another one history’s sick jokes.

OFFENSIVE but FUNNY MEME

2023 05 22 14 59
2023 05 22 14 59

Ukraine Army Falling Apart; Shooting the Officers Who order them into no-win battle

2023 05 27 15 36
2023 05 27 15 36

The Ukrainian Army is literally coming apart at the seams. Soldiers are shooting their commanders rather than be ordered into un-survivable battles. Video below shows one dead Battalion Commander after being shot in the head by a Company Commander!

Tired of being ordered to basically commit suicide by their top officers, Ukrainian soldiers are now “fragging” their officers rather than go into suicidal battle against superior Russian forces.

Here’s one such instance where a Battalion Commander lays dead on the ground after a Company Commander shot him in the head rather than obey an order to go into a hopeless battle, where five other Companies ALL got killed minutes earlier.

As this rebellion among Ukraine troops spreads, it won’t be long before the Ukrainian Army is no more.

Atlanta Rhythm Section – Imaginary Lover

Did You Know There’s an Annual Duck Fashion Show In Australia?

duck fashion1
duck fashion1

Forget about New York Fashion Week or the Paris runway. In Sydney, Australia, well-dressed ducks steal the scene at the annual “Pied Piper Duck Show”, an event that’s part of Sydney’s Royal Easter Show for more than 30 years.

It’s organized by farmer Brian Harrington, and each fowl member receives three outfits. One for day, one for evening, and one for a wedding. Because, after all, how else is he going to show off his haberdashery skills? Not by dressing them for the beach, that’s for sure.

h/t: sadanduseless

duck fashion15
duck fashion15

duck fashion14
duck fashion14

duck fashion13
duck fashion13

duck fashion12
duck fashion12

duck fashion11
duck fashion11

duck fashion10
duck fashion10

duck fashion9
duck fashion9

duck fashion8
duck fashion8

duck fashion7
duck fashion7

duck fashion6
duck fashion6

duck fashion5
duck fashion5

duck fashion4
duck fashion4

duck fashion3
duck fashion3

duck fashion2
duck fashion2

“Once on the Moon, on the lunar surface in the dress, in the life support system, you couldn’t see the camera. They couldn’t bend their head that far down to see the scale … They had no viewfinder – they had to aim by moving their body.” – Jan Lundberg, chief designer of the Hasselblad cameras allegedly used by the Apollo astronauts
“They had to effectively guess where they were pointing the camera.” –  HJP Arnold, the Kodak executive who supplied the Ektachrome film for the missions
The issue that most of the Moon hoax and ‘debunking’ sites spend the most time on, by far, is the photographic anomalies. And that, I suppose, is to be expected, since with the original videotapes, telemetry tapes and blueprints all having conveniently disappeared, and with most of the Moon rocks missing and their legitimacy being unverifiable, there isn’t much else in the way of physical evidence to examine.

Skeptics have identified a number of problems with NASA’s official photographs of the alleged Moon landings, including; flags appearing to wave despite the lack of atmosphere; non-parallel shadows, suggesting multiple light sources; objects in the shadows that are clearly visible when they shouldn’t be, again indicating multiple light sources; the complete lack of stars in the lunar sky; identical backgrounds in photos that NASA has claimed were shot at different locations; and inconsistencies with the crosshair reference marks.

We will look at each of these in some detail – well, actually we will look at most of them in some detail. Because as it turns out – and I know that this will come as a huge disappointment to all the ‘debunkers’ – I don’t really give a shit whether the flag is waving or not. Many of the ‘debunking’ websites devote an inordinate amount of time to the issue, as though it were the primary plank on which the ‘conspiracy theories’ rested. They do this because the videos and photos are ambiguous and open to interpretation, and the ‘debunkers’ realize that people are going to see in them what they want to see.

The truth though is that it does not matter in the least whether the flag is waving. That is just one tiny drop of potential evidence in an overflowing bucket.

Some of the other problems with the images are considerably less ambiguous. But before we even get to those, we must first discuss the fact that the very existence of the photographs is a technical impossibility. Simply stated, it would not have been possible to capture any of the images allegedly shot on the Moon in the manner that NASA says they were captured.

Back in the day, you see (and younger readers may again want to cover their eyes), cameras weren’t all that smart, so everything had to be done manually. The photographer had to manually focus each shot by peering through the viewfinder and rotating the lens until the scene came into focus. The proper aperture and shutter speeds had to be manually selected for each shot as well, to insure a proper exposure. That required peering through the viewfinder as well, to meter the shot. Finally, each shot had to be properly composed and framed, which obviously also required looking through the viewfinder.

The problem for the astronauts is that the cameras were mounted to their chests, which made it impossible to see through the viewfinder to meter, frame and focus the shots. Everything, therefore, was pretty much of a guess. Focusing would have been entirely guesswork, as would the framing of each shot. An experienced photographer can accurately estimate the exposure settings, but the astronauts lacked such experience and they were also handicapped by the fact that they were viewing the scenes through heavily tinted visors, which meant that what they were seeing was not what the camera was seeing.

To add to their troubles, they were wearing space helmets that seriously restricted their field of vision, along with enormously bulky, pressurized gloves that severely limited their manual dexterity. The odds then of getting even one of the three elements (exposure, focus and framing) correct under those conditions on any given shot would have been exceedingly low. And yet, amazingly enough, on the overwhelming majority of the photos, they got all three right!

A rather self-important gent by the name of Jay Windley, one of the most prominent of the NASA-approved ‘debunkers,’ attempts to spin all this away on his website, www.clavius.org. According to Windley, “The exposures were worked out ahead of time based on experimentation. The ASA/ISO rating of the film was known, and NASA photographers precomputed the necessary exposures … In many cases the camera settings for planned photos were given in the astronauts’ cuff checklists.”

No shit, Jay? Did they send an advance team to the Moon to do that “experimentation”? Because the lighting conditions on the Moon are pretty unique, as you well know, and nobody had ever been there before, so I’m not really seeing how NASA’s photographers were able to work the exposures out “ahead of time.” And what “planned photos” are you referring to? How did they know what they were going to photograph before they even knew what was there? They knew they were going to take photos of each other, I suppose, and of the flag and lander, but they would have had no clue how those things were going to be lit, and it’s the lighting, not the subject, that primarily determines the exposure settings.

Windley of course knows that, since he claims on his site that he is “an experienced photographer [who] has worked professionally in that area from time to time.” He must also know then that his comments about the unimportance of properly focusing a shot are intentionally misleading. He starts off on the right track, more or less, advising readers that an increased depth of field “means that when the lens is set to focus at a certain distance, objects somewhat nearer and farther from this ideal distance are also sharply focused. The narrower the aperture, the greater the depth of field.”

It is certainly true that the smaller the aperture, the greater the depth of field will be. And the greater the depth of field, the more of the background and foreground will be in focus, assuming that the subject is in proper focus. Windley, like the rest of the ‘debunkers,’ would like us to believe that all of the photos shot on the lunar surface were shot with a very small aperture setting (which supposedly explains the lack of stars in the lunar sky, but we’ll get to that soon enough), which would maximize the depth of field. And the greater the depth of field, according to Windley, “the sloppier the photographer can be about his focus settings.”

That last statement, for those who may have missed it, is the part that isn’t actually true. An increased depth of field most certainly does not mean that you can use the ‘close enough’ technique to focus your camera. Depth of field has nothing to do with whether your subject is sharply focused or not. If your subject is sharply focused, then depth of field determines how many of the other objects in the background and foreground of your photo will be in focus as well. If your subject is not sharply focused, however, then your photo is going to suck regardless of the amount of depth of field.

As for framing the shots, Windley claims that mostly wide-angle lenses were used, which meant that, “It was sufficient to point the camera in the general direction of the subject and you would be likely to frame it well enough.” So apparently all the fuss about framing, exposure and focus is much ado about nothing. All you need do is write the exposure settings down on your sleeve, ballpark the focus, and point your camera in “the general direction of the subject” and you’ll get great shots nearly every time!

Windley then adds (and this is my favorite part of his photography tutorial) that on the later missions, “a 500mm telephoto lens was also taken, and the cameras were modified with sighting rings to help aim them. Normally the camera would be mounted on the space suit chest bracket, but for telephoto use the astronaut would have to remove it and hold it at eye level in order to sight down the rings.”

As any photographer knows, getting a decent shot with a 500mm lens without the use of a tripod is a pretty tall order, even for a seasoned professional. Getting a decent hand-held shot with a 500mm lens while wearing bulky, pressurized gloves would be just about impossible. And the notion that you could come anywhere close to properly framing or focusing an image captured with a 500mm lens without looking through the viewfinder is laughably absurd.

The ‘debunkers’ will also tell you that it is not true that all the Moon landing images were keepers, and that NASA only released the best of the photos. The ‘debunkers,’ however, don’t know what they are talking about. The reality is that NASA has released all of the alleged photos taken during the Apollo missions, including indecipherable ones that are labeled “inadvertent shutter release” (which, I have to admit, is a nice touch). With the exception of what are most likely deliberate mistakes, the clear majority of the shots are pretty well composed, exposed and focused.

For those who don’t find that at all unusual, here is an experiment that you can try at home: grab the nearest 35MM SLR camera and strap it around your neck. It is probably an automatic camera so you will have to set it for manual focus and manual exposure. Now you will need to put on the thickest pair of winter gloves that you can find, as well as a motorcycle helmet with a visor. Once you have done all that, here is your assignment: walk around your neighborhood with the camera pressed firmly to your chest and snap a bunch of photos. You will need to fiddle with the focus and exposure settings, of course, which is going to be a real bitch since you won’t be able to see or feel what you are doing. Also, needless to say, you’ll just have to guess on the framing of all the shots.

You should probably use a digital camera, by the way, so that you don’t waste a lot of film, because you’re not going to have a lot of keepers. Of course, part of the fun of this challenge is changing the film with the gloves and helmet on, and you’ll miss out on that by going digital. Anyway, after you fill up your memory card, head back home and download all your newly captured images. While looking through your collection of unimpressive photos, marvel at the incredible awesomeness of our Apollo astronauts, who not only risked life and limb to expand man’s frontiers, but who were also amazingly talented photographers. I’m more than a little surprised that none of them went on to lucrative careers as professional shutterbugs.

Even if our fine astronauts could have captured all of those images, the film would have never survived the journey in such pristine condition. Even very brief exposure to the relatively low levels of radiation used in airport security terminals can damage photographic film, so how would the film have fared after prolonged, continuous exposure to far higher levels of radiation? And what of the 540° F temperature fluctuations? That must have been some amazingly resilient film stock – and yet another example of the lost technology of the 1960s.

Even though the images are clearly not what NASA claims they are, we are going to play along and pretend as though Neil and Buzz and all of the rest of the guys could have actually taken them. The question then is: where did they take them?

Hoax theorists, ‘debunkers’ and NASA are all in agreement on at least one thing: conditions on the surface of the Moon are decidedly different than conditions here on the surface of planet Earth. For one thing, the Moon has no atmosphere. Also, there is only one source of light, which is, of course, the sun (NASA has verified that no other light source was available to the astronauts).

Due to the lack of atmosphere on the Moon, light is not scattered and travels only in a straight line from the sun and is reflected back in the same direction. What that means is that anything that falls in the shadows will be in virtually complete darkness. It also means that all shadows will be cast in the same direction. And it means that the sky is always black, and, with no atmosphere filtering the view, that sky will be filled at all times with a dazzling display of stars unlike anything ever before seen by man.

As other skeptics have noted, none of the photos supposedly brought home from the Moon show a single star in the sky. ‘Debunkers’ have claimed that this is because the exposure settings on the cameras didn’t allow for the stars to be captured on film. In order to properly expose for the objects being photographed, ‘debunkers’ claim, shutter speeds had to be too fast and apertures too small to capture the stars. And that applies, according to the ‘debunkers,’ to every single photo taken on the Moon. Even all the ones that, according to those same ‘debunkers,’ were improperly exposed!

NASA’s own website has boldly stated that, “Astronauts striding across the bright lunar soil in their sunlit spacesuits were literally dazzling. Setting a camera with the proper exposure for a glaring spacesuit would naturally render background stars too faint to see.”

The problem with this claim, which should be obvious to any photographer, is that a variety of different exposure settings would have been required to shoot all the photos allegedly taken on the Moon (Windley acknowledged as much when he claimed that NASA “precomputed the necessary exposures”). All of the scenes below, for example, which are obviously not very well lit, would have required long exposures – exposures that would have definitely captured the brilliantly shining stars, since they would have been the brightest objects in the camera’s field of view.

2023 05 27 15 53
2023 05 27 15 53

 

2023 05 27 15 54u
2023 05 27 15 54u

2023 05 27 15 55n
2023 05 27 15 55n

One thing that I love about the ‘debunking’ websites, by the way, is how frequently they contradict themselves while working their way through their ‘debunking’ checklists.
 
The ever-pompous Phil Plait, proprietor of the appropriately named BadAstronomy.com website, is a prime example. Fairly early on in his ‘debunking’ rant, he writes as follows: “I’ll say this here now, and return to it many times: the Moon is not the Earth. Conditions there are weird, and our common sense is likely to fail us.”

Plait does indeed return to it often, whenever it advances his argument to do so, but he just as frequently tosses his own cardinal rule aside when that is what serves his purposes – like, for example, just four paragraphs later, when he advises readers to “go outside here on Earth on the darkest night imaginable and take a picture with the exact same camera settings the astronauts used, you won’t see any stars! It’s that simple.”

Ever the coy one, Phil doesn’t tell us what those “camera settings” are, but he clearly implies that the same settings were used in every photo, which clearly is not the case. Phil also conveniently forgets that the view from the Moon is not filtered through an atmosphere, so the stars have many times the luminosity as here on Earth. Phil’s little experiment, therefore, is entirely invalid, since he forgot to take into account that conditions on the Moon “are weird.” And as with all the ‘debunkers,’ he also forgot to explain why it is that no one thought to expose a photo or two to specifically capture the brilliant display of stars.

Legend holds that a dozen astronauts walked upon the surface of the Moon for varying amounts of time. The Apollo 17 astronauts alone were purportedly there for three days. For the duration of their visits, each of the twelve would have been treated to what was by far the most dazzling display of stars ever seen by the human eye. What they would have seen was many times more stars burning many times brighter than can be seen anywhere here on planet Earth.

Collectively, the dirty dozen took thousands of photos throughout their alleged journeys. And yet, amazingly enough, not one of them thought it might be a good idea to snap even a single photograph of such a wondrous sight. Of course, endless photos of the lunar modules and the monotonous lunar surface are exciting too, but just one or two photos of that dazzling lunar sky might have been nice as well. It’s as if someone went to Niagara Falls and the only photos they brought back were of the car they drove sitting in a nondescript parking lot.

Now let’s turn our attention to the subject of shadows. As skeptics have noted, some of NASA’s photos seem to depict nonparallel shadows, indicating more than one light source. ‘Debunkers’ have claimed that all such discrepancies can be explained by “perspective” and topographical variations on the surface of the Moon. And truth be told, many of the images that I have seen on websites on both sides of the aisle are ambiguous enough that such explanations can be plausibly argued. But there are, as it turns out, images in NASA’s collection that aren’t quite so easy to debunk.

There are, in fact, images that demonstrate unequivocally that more than one light source was used. Take, for example, the image below of one of the landing pods of the Apollo 11 lunar module, allegedly parked on the surface of the Moon.

2023 05 27 15 56f
2023 05 27 15 56f

The primary light source, meant to simulate the sun, is obviously positioned to the right of the scene, as is clearly demonstrated by the shadows of all of the objects in the background. But there is just as obviously a secondary light source coming from the direction of the photographer. We know this because we can see in the foreground that the shadows coming off the small ‘Moon rocks’ point away from us. We know it also because we can see the light being reflected off of the gold foil wrap onto the ground in front of the pod. But we know it most of all because we can actually see the light reflected in the foil wrap on the leg of the pod!

The shadows in the foreground and in the background are at nearly right angles, a phenomenon that cannot, by any stretch of the imagination, be explained away as a perceptual problem – especially when we can clearly see the reflection of the secondary light! One other question concerning this particular photo: how do you suppose you would go about capturing such a low-angle shot with a chest-mounted camera? Was the astronaut/photographer standing in a foxhole?

The other issue involving shadows concerns the fact that, in the majority of the photos allegedly taken on the Moon, objects lying in the shadows are clearly visible even though, due to the Moon’s lack of atmosphere and the fact that sunlight therefore does not scatter, those shadowed areas should be completely black. The Moon, you see, is kind of a black and white world. If something is in the direct path of the unfiltered sunlight, it should be well lit (on one side); if it’s not, it should be as black as NASA’s starless lunar sky.

2023 05 27 15 5tw6
2023 05 27 15 5tw6

The ‘debunkers,’ of course, have an explanation for this. Let’s turn once again to BadAstronomy.com for that explanation, since that seems to be the website that all the other ‘debunking’ websites consistently reference and link to, the one that all the major media outlets endorse, and the one that even NASA apparently refers skeptics to. According to the site, “The lunar dust has a peculiar property: it tends to reflect light back in the direction from where it came.” Plait them goes on to provide the following explanation of the lighted shadows phenomenon: “Let’s say the sun is off to the right in a picture. It is illuminating the right side of the lander, and the left is in shadow. However, the sunlight falling beyond the lander on the left is being reflected back toward the Sun. That light hits the surface and reflects to the right and up, directly onto the shadowed part of the lander.”

In the previously cited example, Plait managed to make it through four entire paragraphs before contradicting himself. Here he has easily shattered that record by, incredibly enough, contradicting himself in back-to-back sentences! And this, keep in kind, seems to be the best ‘debunker’ that NASA has to offer (it is unclear whether Plait is a paid shill or simply a useful idiot; it other words, it is unclear whether he actually believes the stuff he writes or whether he is knowingly lying his ass off, but the latter seems far more likely).

Plait is right on the money when he says that the light falling beyond the LM on the left would be reflected “back toward the sun.” Unfortunately, he then immediately contradicts himself by claiming that that same light would be reflected “to the right,” onto the module. The only way that that could happen, as Plait surely knows, is if the light were to shine through the lander and reflect off the shaded portion of the soil. But that makes no sense, of course, just as Phil’s explanation makes no sense.

Light does not disperse on the Moon, as Plait himself notes elsewhere on his website. And the surface of the Moon (or at least what passes for the surface of the Moon in NASA’s photos) is not a very reflective surface, as can be clearly discerned in the photographs. Actually, it would be more accurate to say that the Moon is a very selectively reflective surface, with the light choosing to reflect only on the astronauts and on flags and other patriotic symbols.

Not too surprisingly, Plait once again invites readers to reproduce the effect right here at home, completely ignoring the fact that, as he himself has acknowledged, light behaves in entirely different ways here on Earth than it does on the Moon. Plait also claims that, “A nifty demonstration of the shadow filling was done by Ian Goddard and can be found here. His demos are great and really drive the point home.” In truth, Goddard’s “nifty demonstrations” are entirely dependent upon the effects of atmosphere causing the light to disperse, and thus they have no validity whatsoever.

I forgot to mention in the earlier discussion, by the way, that Plait also appealed to readers to conduct an Earth-bound experiment to ‘debunk’ the diverging shadows conundrum. According to Phil, “You can experience this for yourself; go outside on a clear day when the Sun is low in the sky and compare the direction of the shadows of near and far objects. You’ll see that they appear to diverge. Here is a major claim of the HBs that you can disprove all by yourself!”

Here is another experiment that Plait might want to try himself: go outside during the daytime on any day of your choosing and look up at the sky. If it is absolutely jet black, then feel free to continue advising your readers to conduct Moon simulations here at home. If it is blue, however (or gray, or white, or pretty much any color other than black), then stop pretending as though conditions on the Moon can be replicated here on Earth when we all know better (or we all should).

And when you’re done with that experiment? Give the camera-to-the-chest challenge a try and let everyone know how well that works out for you. And try to get some of those low-angle shots that NASA likes.

The truth is that even though a limited amount of light would reflect into the shadows, there is still way too much detail visible in the shadows in virtually all of NASA’s photos – if the arguments that NASA and Plait put forth earlier are at all accurate. As readers will recall, the earlier claim was that the lunar surface and the astronauts’ spacesuits were so dazzlingly bright in the unfiltered sunlight that very fast shutter speeds and very small apertures were required to avoid overexposing the shots.

The problem for NASA and its attack dogs is that you can’t have it both ways. If the camera is stopped down to avoid overexposing extremely bright highlights, it cannot simultaneously capture full detail in the shadows. And if the aperture and shutter speeds are set to capture detail in the shadows, the camera would necessarily also capture the brilliant stars, which would be far brighter than anything lying in the lunar shadows. Other planets would be pretty hard to miss in the lunar sky as well, though none can be seen in any of NASA’s photos.

Do you remember, by the way, what Windley told us earlier about the relationship between the aperture setting and depth of field? The basic rule is that the smaller the aperture setting, the greater the depth of field will be. With a wide aperture, conversely, the photo will have little depth of field. That is why portrait photographers tend to shoot with the lens wide open, to deliberately isolate the subject from foreground and background elements. Landscape photographers, on the other hand, stop the lens down to keep the entire scene in focus.

With that bit of basic photographic knowledge in hand, it is fairly easy to determine whether NASA’s photographs were, in fact, taken with a very small aperture setting. And a good place to start, I suppose, is with the very first photo allegedly taken by a man standing on lunar soil. Below is what is alleged to be Armstrong’s very first attempt at lunar photography, just after climbing down from the module.

2023 05 27 1wruh5 57
2023 05 27 1wruh5 57

First off, I think we can all agree that, under the circumstances, it’s a pretty damn good first effort. There are problems right off the bat, of course, with the fact that the shadows are obviously lit with a diffused secondary light source, or else we wouldn’t be able to see the top of the bag, or the United States sign, or the shadowed side of the landing strut, but what we’re really looking for here is depth of field, which this photo has very little of. The photographer has focused on the United States sign (and he did it blindly!), but little else is sharply focused. Hence we know, from the very first shot, that the ‘debunkers’ are lying about the exposure settings.

Moving on to Armstrong’s second alleged photo, seen below, we again find that there is very little depth of field. Both the foreground and the background are quite blurry, indicating that it clearly was not taken with a small aperture setting. And yet there is nary a star to be seen.

2023 05 27 wrg15 57
2023 05 27 wrg15 57

Before moving on, there is one more of Armstrong’s photos that I feel obligated to present here. It is, after all, his masterpiece, as well as being probably the most iconic of all the Apollo photos. I am talking, of course, about the so-called “Man on the Moon” shot of cohort Buzz Aldrin, seen below (which is probably not actually Aldrin; my guess is that the same two actors did all the Moonwalking in the videos and photos from the alleged missions).

2023 05 27 15 5w48
2023 05 27 15 5w48

We must first, of course, compliment Neil on the awesome composition. It hardly looks staged at all. But there are problems here. Once again, I’m just not seeing the depth of field that Windley promised us. It’s also pretty hard not to notice that Buzz’s spacesuit isn’t pressurized. Furthermore, the surface of the ‘Moon’ is quite unevenly lit, indicating that the light source used was much closer than the sun. And then there is the noticeable lack of any shadowing on Buzz’s spacesuit. He’s casting a shadow on the ground, but there is no corresponding shadowing of his body. Even here on Earth, that is only possible with a secondary light source.

There are some photos in NASA’s collection that were taken without a secondary light source, so we do know what fake Moon landing pictures should look like. The action shot below of the lunar rover, for example, was taken without a secondary light to fill in the shadows. The shadows still aren’t quite as dark as they would be on the Moon, but the difference between a fake Moon shot taken with a fill light and a fake Moon shot taken without a fill light couldn’t be more obvious.

2023 05 27 15 59
2023 05 27 15 59

NASA liked the “Man on the Moon” image so much, by the way, that they essentially restaged it for the Apollo 12 mission. As can be seen below, a secondary light was used for that shot as well. Without the fill light, there is simply no way that a portion of the astronaut’s spacesuit would not be shadowed, as it is in the rover photo above.

2023 05 27 15 a59
2023 05 27 15 a59

Moving on then to the next issue, we have the mystery of the disappearing crosshairs. The problem, according to skeptics, is that the crosshair reference marks, which were etched into the camera’s lenses and therefore should always appear on top of any objects in the photos, sometimes disappear behind those objects.

Plait actually gets this one correct in explaining the phenomenon as a problem of overexposure and contrast. When some of the brighter objects in the photos are overexposed, the fine crosshairs tend to get washed out. That is in fact a reasonable explanation for the effect (by the way, I mentioned before that I was not a rocket scientist; I am, however, a photographer).

The claim that the cross-hairs should be visible presupposes that NASA added objects to the photos, creating composites. I seriously doubt though that that would have happened. The scenes appear to have been very carefully staged before the photos were taken, so there would have been no need for cutting and pasting. And if NASA had planned on adding additional elements to the photos, I doubt that they would have complicated that process by using cameras with cross-hairs; it would have been much easier to create the composites first and then overlay the grid marks on top of them.

However … the same can certainly not be said of the images that purport to show various parts of the ship flying through space. Take the image below, for example, which is supposed to be a two-dimensional rendering of a three-dimensional scene of the command and service modules in lunar orbit. If it were an actual three-dimensional scene, the spaceship would be 69 miles above the lunar surface – which would, I would think, make it difficult for a portion of that lunar terrain to obscure part of the ship’s S-band antennae assembly.

2023 05 27 16 00
2023 05 27 16 00

The shot, as can be seen in the enlargement below, is clearly a composite. And not even a very good one. So it is entirely possible that some of the photos allegedly shot on the Moon are composites as well. I obviously haven’t studied every one of them. I’m just saying that the ones that I have seen that have disappearing crosshairs do not appear to be composites.

2023 05 27 16 0ewt0
2023 05 27 16 0ewt0

The next problem with the NASA photos is that some of them seem to have identical backgrounds but different foregrounds. As Phil Plait explains, “In one [photo], they show the lunar lander with a mountain in the background. They then show another picture of the same mountain, but no lander in the foreground at all. The astronauts could not have taken either picture before landing, of course, and after it lifts off the lander leaves the bottom section behind. Therefore, there would have been something in the second image no matter what, and the foreground could not be empty.”

Plait begins his debunking by stating, rather hilariously: “As always, repeat after me: the Moon is not the Earth.” Plait goes on to claim that distances are very difficult to judge on the Moon and that the two photographs were actually taken from much different angles, and yet the background remains virtually unchanged because, despite appearances, it is a really, really long ways away. Either that, or one of the astronauts was really David Copperfield.

The two photographs appear below. I’ll leave it to readers to decide whether, as Plait claims, the ‘mountains’ are in fact many, many times further away from the lander than the lander is from the photographer. And I’ll do so while noting that Phil provides neither the photographs nor a link to them, but instead asks readers to accept what he says on faith. I wonder why he would do that if he were so sure of his conclusions? I also wonder why, in the final photo, the lander appears to be parked much closer to the ‘mountains’ than Plait would have us believe.

No Debt Deal Yet – Biden Set to Leave DC tonight

As of 8:05 AM EDT- there is still no deal to raise the US Debt Ceiling.  VERY conflicting reports coming out of DC this morning; some say a deal is “close” while others say there’s little to no movement by either the President or Congress.

Meanwhile, Treasury Secretary Janet Yellen is allegedly saying today that the US Gov’t can make it until June 9 before actually defaulting.   Interesting how, for weeks, she’s been saying “June 1” and now, all of a sudden, the date gets moved to June 9.   Tells me this is all “theater.”

When you take a moment to stop and actually think about it, they need to BORROW money, to pay the loans for what they’ve already BORROWED!   The whole thing is absurd.

Since no one in their right mind is buying their debt, the phony “Federal Reserve” which is neither “federal” nor a “reserve” buys the debt using phony money created out of thin air via computer entry, and the whole shebang keeps rolling on.

This truly has become a circus and the elected politicians in Washington DC are the clowns.

The 10 Worst Fast Food Failures

The First Ranker is always nervous about the Kid who is ranked second and is fast catching up to him

This is what life is.

That is what China has become.

The United States has marked and identified China as its direct rival.

Obviously China will now be excluded in major events just like you wont see Ambani and Bezos OR MGR and Karunanidhi in too many places together.


China is too powerful now.

The World fears China because it is too dependent on China. They were sleeping when China slowly grew and grew and grew until now it threatens to consume the world.

Simple example- You have something called a BLDC (Brushless DC) Motor that powers many many items. 83% of these motors are manufactured in China. Tomorrow if China stops this for 6 months – Hard Disks, Processor Units, Laptops, BLDC Fans (Popular in Europe due to less Power) will all come crashing down. The damage to the World will be 30 times the damage to China.

This is true for almost every market in the world. China can rattle and destroy any of these markets if they decide to Kamikaze themselves (Kamikaze – as in commit suicide) and damage them too much.

(China luckily wont do that – they love money too much)

Even Huawei bans are not working. The others are too expensive and mobile networks are protesting. They will fund the Labor Party or oppositions with oodles of money and vote them out to ensure Huawei remains.

No Matter What China does – The West will not be satisfied. China is the first country on Earth since perhaps 1600 which has directly threatened the power of the West

My belief is the Exclusion will continue – 2022 Games, International Space Station, G7, UNSC Etc.

My Belief is China will ultimately form its own bloc with Russia, Iran and countries who dont subscribe to the US is the God theory.

Meanwhile India – India is very much a developing country which threatens nobody. It is the only stable democracy in that region consisting of the Unstable Pakistan, China Allies Nepal and Bangladesh, Military ruled Myanmar and Autocratic China.

Of Course India will be included. I predict by 2024 – India may even become a permanent member of the UNSC – and Iran and Russia may leave the UN to form a Bloc with China.


Of course Economically – nothing will change. Businessmen and Consumers still need the cheapest and best goods – so they will continue to buy from China.

And Politicians who try to change that will be voted out faster that you can say “Elections”

Ouch!

2023 05 27 15 30
2023 05 27 15 30

They knew it was worth it. look att’em

main qimg bc93a527feef0e5abf4d21f8b0bf9d5d
main qimg bc93a527feef0e5abf4d21f8b0bf9d5d

There’s an American sitcom about this. It’s called “Two broke girls”.

One girl was a rich, wealthy child of an oligarch. That was, until her father was arrested and jailed. She lost everything, and had to work as a waitress to make ends meet.

main qimg 8b4c63dfef5f5d4451b52649131e2e59
main qimg 8b4c63dfef5f5d4451b52649131e2e59

Don’t worry.

Soon enough the collective population of the West will get to live the sitcom “Two Broke Girls”. And no more military bases around the world. No more billions to Ukraine. No more color revolutions. No more lecturing to the people about what they can and cannot do.

It’s going to be OVER.

Bachman Turner Overdrive – Roll On Down The Highway (1975)

A tale of high speed rail

main qimg d9d0d72c58895a626d78cf365e6a33da
main qimg d9d0d72c58895a626d78cf365e6a33da

Girl Believes She Was a Pioneer in Her Past Life

https://youtu.be/nlaNbKIWRcU

F-16s To Ukraine

A few days ago U.S. President Joe Biden announced the training of Ukrainian pilots for the F-16 multirole fighter aircraft:

President Joe Biden told G7 leaders on Friday that the US would join in efforts to train Ukraine’s pilots on fourth generation fighter jets including the F-16s, a senior administration official told CNN on Friday.

This has obviously been in the planning for some time. The timing of the announcement at the G7 summit was simply chosen to maximize the propaganda value for Biden.

The process we have seen has repeated itself again and again. As pro-Ukrainian blogger (with no military knowledge) describes it:

This has clearly become a proxy war between Russia and NATO, supercharging the political considerations inherent to any war. Ukraine’s goal is to wheedle as much military aid as humanly possibly out of NATO, especially the United States. The United States’ goal is more complex: give enough aid to push Russia back, but not so much that its proxy war with Russia escalates into an actual one.This dynamic has created a Hunger Games scenario where Ukraine is constantly playing to the cameras to cajole extra gifts from the wealthy sponsors who watch its every move over the internet in real time. I had decided against using this analogy until I saw Ukrainians themselves using it. There is something grotesque and sobering about finding yourself in this position, and writing about it. But it is what it is.

I had assumed that F-16 training had in fact already started several weeks back. The EU blabber mouth Josep Borrell now all but confirmed it:

The European Union’s foreign policy chief said on Tuesday that the US green light to allow Ukrainian pilots to get training to fly F-16s has created an inexorable momentum that will inevitably bring the fighter jets to the Ukrainian battlefield.

Borrell added that training for Ukrainian pilots had already begun in Poland and some other countries, though authorities in Warsaw could not immediately confirm the news. The Netherlands and Denmark, among others, are also making plans for such training.No decision on actually delivering fourth-generation fighter jets has been taken yet, but training pilots now – a process that takes several months – will help speed up battle readiness once a formal decision is made.

The process will be much faster than many assume.

The jets the Ukraine will get have already been selected and will go through ready maintenance. The Ukrainian pilots, who already have some experience on other fighter jets, will get just a short introduction course – six to eight weeks or even less. They do not need to train air to air fights because the F-16 would lose any such fight against the newer and better armed Russian jets. They just need to learn the basics, starting, landing, going up to a certain height and launch point, release whatever long range weapon will be on board. Anything else would be suicide.

The big question is where to start and land from. The F-16 has a relative short combat range of some 500 kilometer and there will be no air to air tankers. There ain’t that many airfield that are suitable for the fighter jet’s missions.

Someone who seems competent explains the problem (edited):

The Ukrainian Air Force, to my knowledge, has had to use guerilla airfield tactics to keep the Russians guessing as to where they are operating from. This is to prevent Moscow from targeting the aircraft/impromptu airfield from drone attacks and air strikes, destroying stationary aircraft or the rendering the “runway” unusable. Soviet-built aircraft are sublimely suited to this.For ex, the MiG-29 “Fulcrum” uses automatic Foreign Object Debris (FOD) covers that close for initial start up (vid). Meanwhile louvres located at the top of the wing-root open to provide alternate air intake to the jet engines. Upon take off, once the weight on wheels (WoW) switch in the nose gear detects it is off the ground, the louvers cycle closed and the FOD covers on the primary intake retract, allowing max airflow to the engines once the danger of FOD damage has passed. This ingenious design allows the Fulcrum to operate, not only from unimproved runways or even highways, but even from grass fields. The wing itself and the distance to the ground preventing small stones and debris from getting sucked into the delicate engines.

I cannot stress how dangerous and debilitating FOD is to aircraft. A single rock, bolt, nut, or minor road debris can have a cataclysmic effect on a modern high-performance jet engine. It may not even happen immediately, the damage could happen on take off, then progressively get worse during flight as the blades, now potentially bent or unbalanced begin to self-destruct the engine internals. Even if a MiG-29 happens to shell out an engine because of the careless placement of a bolt or tool by a mechanic or the ingestion of a bird during flight or take off, the MiG HAS TWO ENGINES which are isolated in separate bays, preventing the destruction of one engine from FOD-ing out the second.

The F-16, by contrast, is definitely not suited for this style of airfield. The bottom of the intake lip sits approximately 30” from the ground with no provision of alternate intake. In addition, all the suction flow of that air comes from the sides, fore, and ground since no air can be ingested from above the engine (that’s where the fuselage is). With no provision for FOD protection or alternate, high-mounted intakes during the entire time spent on the ground, this calls for rigid and inflexible FOD control measures from the location of engine start, to taxiing routes to the runway.

In the USAF, this meant hundreds of maintainers walking at arms-length intervals two to three times a day with eyes on the ground looking for any and every piece of debris that could be ingested by the multi-million dollar vacuum cleaner with only ONE engine we were charged with maintaining. In addition, an almost constant procession of street-cleaners rumbled up and down the flightline, taxiways and runway. Everything had to be spotless lest we risk the aircraft, or worse, the pilots.

Imagine the preparation it would take to complete this process on a 10,000 foot long straight highway, in the dark, while trying to be as inconspicuous as possible so as not to draw the attention of collaborators or Russian spies. You couldn’t hop from highway to highway or run from unimproved airfields like the Ukrainian Air Force can do with MiG-29s, you’d be handcuffed or at the very least less mobile. Imagine a disused Soviet airfield that suddenly had all its weeds plucked from the cracks in the concrete, concrete patched, the runway spotless. What signal does that send? “F-16s could, will, or are operating from here.”

There are several other issues discussed in the above thread. The maintenance philosophy behind U.S. and Russian build planes is different. The Russians just change factory parts and systems, U.S. maintainer try to repair them locally:

The MiG-29 averages about 11 hrs of maintenance for every ONE hr of flight. The F-16? A whopping increase to 18.5 maintenance hrs for every one hr of flight time. These are per aircraft with experienced crews. These figures also assume decent airframe hours on the aircraft.

The Ukraine will also need a sufficient number of competent maintainers. The training for them will likely take more time than for the pilots. The author of the above suggests a solution:

Plenty of mechanics in Europe and the US are happy to lend their services to the UAF as members of the “International Legion” or the modern day iteration of the “Flying Tigers”. Myself included.

Well, good luck doing maintenance on the F-16s that will soon sit on those few available and thereby quite vulnerable Ukrainian airfields.

Russian air defenses, from the ground and from the air, can certainly suppress any F-16 flights coming near to them.

The only sensible purpose of those planes is thereby their one or two time use as a launching vehicles for long range missiles like the British Storm Shadow cruise missiles that were given to Ukraine. It is easy to train for those missions but I doubt that they will make any noticeable difference.

Posted by b on May 23, 2023 at 14:53 UTC | Permalink

It’s Official: Russia Declares Intent to make PRE-EMPTIVE NUCLEAR STRIKE if West goes ahead with Ukraine Getting “Nuclear-Capable” F-16’s

Hal Turner

26 May 2023

The possible transfer of nuclear weapons to Ukraine from the West will cause a preemptive strike by Russia, said Dmitry Medvedev, deputy chairman of the Russian Security Council.

The statement was made during his visit to Vietnam.

Medvedev explained that the allies of Ukraine can put into service not only F-16 fighters, which is no longer excluded, but also nuclear weapons.

As reported by the Hal Turner Radio Show in a Subscribers-Only report on 23 May, debate within NATO over supplying Ukraine with F-16’s centers around the United States INISISTENCE the planes be a specially-modified model capable of carrying the U.S. “B-61” free-fall nuclear bomb. The U.S. is also insisting that NATO countries train Ukraine pilots on how to utilize such nuclear bombs. (That original Subscribers-Only story appears HERE

)

This has caused a gigantic rift within NATO. The United States, Great Britain, Poland, and Germany are in favor of this idea.

While Turkey, Greece, and Hungary are very much against it.

“If this happens, they must be prepared for a retaliatory strike with a nuclear charge” said Medvedev.

Medvedev emphasized that if the situation comes to the point that nuclear weapons are being transferred to Ukraine, a preventive strike from Russia becomes inevitable.

He expressed confidence that many in the Western world are not fully aware of the seriousness of this issue, believing that the situation will not reach such a critical level. However, according to Medvedev, under certain circumstances, the situation may come to this.

A similar statement was made in the context of Medvedev’s harsh response to the call of G7 countries for the inadmissibility of nuclear war.

“They demand some guarantees from Russia on nuclear weapons, but, in fact, imply the possibility of a future nuclear conflict between our country and NATO”, – noted Medvedev.

Jo Jo Gunne – Run Run Run

By RAMZY BAROUD

In anticipation of next month’s United Nations Security Council talks

on reforming the inherently archaic and dysfunctional political body, China’s foreign policy chief, Yang Yi, stated his country’s demands.

“The reform of the Security Council should uphold fairness and justice, increase the representation and voice of developing countries, allowing more small and medium-sized countries to have more opportunities to participate in the decision-making of the Council,” Wang Yi said

in a statement on April 29.

More specifically, the new UNSC must “redress historical injustices against Africa”.

Although calls for reforms of the UNSC have been made many times in the past, Beijing’s position is particularly important in both language and timing.

When the United Nations was founded

in 1945 following World War II, it was meant to mark the rise of a new world order, one that was largely dominated by the winners of that horrific war, giving greater influence to the United States and its Western allies.

Indeed, of the 51 founding members of the UN back then, five countries were chosen

to serve permanently on the Security Council – the executive branch of the UN. The rest were given membership in the General Assembly, which played a marginal and, at times, even symbolic role in world affairs.

Six other nations were allowed

to serve as non-permanent members of the Council, though they were not granted the same veto power held and exercised by the five powerful UNSC members only.

A few years later, in 1963, the non-permanent membership status, served through annual rotations, was expanded

to 10, making the total number of 15 UNSC members. However, the ‘reforms’ ended there, never to be revisited.

The UN was hardly ever a democratic platform, fairly reflecting the realities of the world, whether based on economic influence, demographics or any other indicators – aside, of course, from military might and political hegemony.

From the post-WWII geopolitical realities, however, the UN perfectly expressed a sad, unfair, but also somewhat true global power paradigm.

That paradigm, however, is now shifting, and rapidly so.

Calls for reforms have been underway for years, reflected

in the activities of the Group of Four (G4) – Brazil, Germany, India and Japan – for example; and the Sirte Declaration

of the African Union (AU) in 2005, among others. But the renewed calls for reforming the UN in recent months have become louder, more significant and, indeed, more possible.

The Russia-Ukraine war, which has divided the world into political camps, further empowered China – the world’s soon-to-be largest economy – and emboldened many countries in the Middle East, Africa and South America.

Of the many indicators of a global power shift, the BRICS nations – Brazil, Russia, India, China and South Africa – have proven

to be the greatest success story in challenging Western dominance over global markets and the status of the dollar as the world’s main currency.

As BRICS readies for a major membership expansion

, it is poised to become the world’s leading economic forum – ahead of the powerful G7.

One of the BRICS members, India, as of April 2023, overtook

China to become the world’s most populous country. Along with China and the combined demographics and wealth of other BRICS countries, it becomes unacceptable that a BRICS member like India, is still not a permanent member of the UNSC. The same logic applies to Brazil.

India’s UN Ambassador, Ruchira Kamboj, recently referred

to the UN Charter as “anachronistic”. “Can we practice ‘effective multilateralism’ by defending a charter that makes five nations more equal than others and provides to each of those five the power to ignore the collective will of the remaining 188 member states?” Kamboj said during a debate on the UN Charter.

Of course, she is right. Her logic, however, carries much greater weight now that her country – along with other BRICS nations, the collective power of the African Union among other nations and political entities – is in a much stronger position to bargain for substantive change.

China, on the other hand, is already a permanent UNSC member and a holder of the veto power.

The fact that Wang Yi is demanding serious changes at the UN, particularly in the makeup of the Security Council, is a powerful indicator of China’s new global foreign policy agenda. As a rising superpower with close and deepening ties with many countries in the Global South, China rightly believes that it is in its interests to demand inclusion and fair representation for others.

This is an unmistakable sign of political maturity by Beijing, which will surely be resisted by the US and other European powers.

The West is keen on either maintaining the UNSC’s West-leaning status as it is, or, if it must, engaging in superficial or self-serving reforms. This would be unacceptable for China and the rest of the Global South.

The UN’s reputation is already in tatters following its failure to address international conflicts, climate change, global pandemics and more. If not reformed to address global challenges through more democratic means meaningfully, the UN will risk its future relevance, if not its very existence.

Going to war is a FAILURE of diplomacy.

China, which has endless lists of successes, is not prone to failure. From poverty elimination to coronavirus, from high speed trains, to technological advancements. China runs things with intelligence, and fully funds efforts lead by merit. For China to fail at something; anything would be truly a rare event.

The United States, at least in the last fifty years, has a near endless list of failures. It seems that there is nothing it can get right. From a simple pedestrian bridge, to building a high speed rail. From solving homelessness, to simple maintenance of roads and bridges, the United States is flailing and failing at everything.

Now, let’s talk “WAR”.

China who is able to succeed in anything it puts it’s mind to, and the United States that fails at everything. The two nation go head to head.

What is the probable outcome?

  • The United States will start a war.
    • It will under-estimate China.
    • It will make foolish decisions.
    • It will under supply.
    • It will make political decisions instead of practical ones.
    • It will count on allies who are unreliable.
    • It’s media will spew out disinformation.
    • It will bear tremendous losses.
  • China will finish the war.
    • Nothing will be reported.
    • It’s all robotic, calculated, precise and detailed.
    • It will be one surprise after the other.
    • China will dictate the terms of American surrender.

And that is that.

And everyone knows that this is what will happen. You can put lipstick on a pig, but after all, a pig is still just a pig.

 

The big lies continue as well into the whoppers of the past

Again, to remind everyone. We are discovering the world “of the West” is one completely obscured by lies, distortions and untruths. As time progresses, some of these lies, and distortions reach the surface. Such as what happened with the Kennedy assassination, and the carpet bombing of China with bio-weapons in the 1950s.

Now, perhaps we need to look at the the Apollo “moon landing”, because the contemporaneous narrative is that it is ridiculously easy to do in today’s day and age, because “we already landed there in the 1960s”, and there is absolutely NO ADVANTAGE to return back to it.

NASA is showing that this is a narrative that is at odds with American space capabilities at this time.

Which sounds suspiciously similar to the lie that masks are useless, while all hospitals continue to wear masks in operating rooms.

It’s a mismatch of narrative.

I do not know FOR CERTAIN if “we” went to the moon or not.

What I do know is that [1] the United States government is a lying machine, and [2] all lies eventually unravel over time. And [3] when big “whoppers” of lies start to unravel, that is when all Hell tends to break out…

We continue with this look…

“Well,” you now say, “what about all those cool Moon rocks? How did they get those? The Moon is, you know, the only source of Moon rocks, so doesn’t that prove that we were there?”
No, as a matter of fact, it does not prove that we were there, and as odd as it may sound, the Moon is not the only source of Moon rocks. As it turns out, authentic Moon rocks are available right here on Earth, in the form of lunar meteorites. Because the Moon lacks a protective atmosphere, you see, it gets smacked around quite a bit, which is why it is heavily cratered. And when things smash into it to form those craters, lots of bits and pieces of the Moon fly off into space. Some of them end up right here on Earth.
By far the best place to find them is in Antarctica, where they are most plentiful and, due to the terrain, relatively easy to find and well preserved. And that is why it is curious that Antarctica just happens to be where a team of Apollo scientists led by Wernher von Braun ventured off to in the summer of 1967, two years before Apollo 11 blasted off. You would think that, what with the demanding task of perfecting the hugely complex Saturn V rockets, von Braun and his cronies at NASA would have had their hands full, but apparently there was something even more important for them to do down in Antarctica. NASA has never offered much of an explanation for the curiously timed expedition.
Some skeptics have said that it is possible that Moon rocks could have been gathered from the Moon with robotic probes. But while it isn’t being argued here that unmanned craft haven’t reached the Moon, it seems virtually inconceivable that any unmanned spacecraft could have landed on and then been brought back from the surface of the Moon in the 1960s or 1970s. There is no indication that it can even be done today. It’s been more than three decades since anyone has claimed to do it, and that claim, by the Soviets, is highly suspect.
What is known for sure is that even some of the ‘debunking’ websites have, albeit reluctantly, acknowledged that meteorite samples gathered from Antarctica are virtually indistinguishable from NASA’s collection of Moon rocks. Of course, as we very recently learned, that is not true of all of NASA’s Moon rocks. Some of them apparently bear no resemblance at all to lunar meteorites. Instead, they look an awful lot like petrified wood from the Arizona desert.
Such was the case with a ‘Moon rock’ that the Dutch national museum has been carefully safeguarding for many years now, before discovering, in August of 2009, that they were in reality the proud owners of the most over-insured piece of petrified wood on the planet. The ‘Moon rock’ had been a gift to the Dutch from the U.S. State Department, and its authenticity had reportedly been verified through a phone call to NASA. I’m guessing that NASA was probably running low on meteorite fragments and figured the Dutch wouldn’t know the difference anyway. Or maybe Washington was a little peeved over the fact that Dutch newspapers reportedly called NASA’s bluff at the time of the first alleged Moon landing.

e8c1dbf8 3e11 4169 a62e a1b0d07cd93e 2
e8c1dbf8 3e11 4169 a62e a1b0d07cd93e 2

This is not to suggest, of course, that all of the Moon rocks passed out by NASA and the State Department are obvious fakes. Most, presumably, are of lunar origin – but that doesn’t necessarily mean they were gathered by American astronauts walking on the surface of the Moon; they could just as easily have come to Earth as meteorites. It is also possible that they are of otherworldly origin but not from the Moon at all – such as meteorites from other sources that have been collected here on Earth. The only way to know for sure what NASA’s Moon rocks are, of course, would be to compare them to a ‘control rock’ that is known to be from the Moon.
The problem, alas, is that the only known source for ‘authenticated’ Moon rocks is NASA, the very same folks who are known to occasionally hand out chunks of petrified wood. The other problem, it turns out, is that most of the Moon rocks are, uhmm, missing. Does anyone see a pattern developing here?
Since the discovery of the fake Moon rock in the Dutch museum, ‘debunkers’ have claimed that the fact that no other Moon rocks have been declared fake proves that the Dutch case is an isolated one. “After that announcement,” goes the argument, “wouldn’t every other country in possession of a Moon rock have rushed to have them authenticated? And since no other country has made a similar announcement, doesn’t that prove that the Moon rocks are real?”
At first glance, that would appear to be a valid argument. The problem, however, is that the vast majority of those countries can’t test their ‘Moon rocks’ because, shockingly enough, no one knows where they are! As the Associated Pressreported on September 13, 2009, “Nearly 270 rocks scooped up by U.S. astronauts were given to foreign countries by the Nixon administration … Of 135 rocks from the Apollo 17 mission given away to nations or their leaders, only about 25 have been located by CollectSpace.com, a Web site for space history buffs that has long attempted to compile a list … The outlook for tracking the estimated 134 Apollo 11 rocks is even bleaker. The locations of fewer than a dozen are known.”
It appears then that having a ‘control rock’ wouldn’t really be of much help after all, since nearly 90% of the alleged Moon rocks that we would want to test don’t seem to be around any more.
“But I have also heard,” you now say, “that photos have been taken of the equipment left behind by the Apollo astronauts on the surface of the Moon, like the descent stages of the lunar modules. How do you account for that?”
It is certainly true that there have been numerous claims over the years that various satellites or unmanned space probes or space telescopes were going to capture images that would definitively prove that man walked on the Moon, thus settling the controversy once and for all. And in recent years, the ‘debunkers’ have openly gloated whenever such an announcement has been made, boldly proclaiming that all the “hoax believers” will soon be exposed as the ignorant buffoons that they are.
Despite all the promises, however, no such images have ever been produced, a fact that the ‘debunkers’ seem to conveniently overlook while forever rushing to announce that the hoax theories are about to be discredited.
For at least two decades now, since the launch of the Hubble Space Telescope, we have been promised dazzling images of the lunar modules sitting on the surface of the Moon. The Hubble technology, needless to say, never managed to deliver. More recently, in 2002, the European Southern Observatory’s Very Large Telescope (whose inventor apparently coined the name while watching Sesame Street) was also supposed to deliver the promised images. And seven years later, the fabled images have yet to materialize.
In March of 2005, Space.com boldly announced that a “European spacecraft now orbiting the Moon could turn out to be a time machine of sorts as it photographs old landing sites of Soviet robotic probes and the areas where American Apollo crews set down and explored. New imagery of old Apollo touchdown spots, from the European Space Agency’s (ESA) SMART-1 probe, might put to rest conspiratorial thoughts that U.S. astronauts didn’t go the distance and scuff up the lunar landscape. NASA carried out six piloted landings on the Moon in the time period 1969 through 1972. Fringe theorists have said … that NASA never really went to the Moon.”
I’m guessing that most “fringe theorists” will continue to harbor “conspiratorial thoughts” for as long as pompous websites like Space.com continue making arrogant proclamations such as that and then not following them up with so much as a single image in well over four years.
Who knew, by the way, that the European Space Agency had the technology and the budget to send a spacecraft off to orbit the Moon? Who knew that the Europeans even had a space agency? I wonder, given that they obviously have the technology to send spacecraft to the Moon, why they haven’t sent any manned missions there? I would think that it should be fairly easy to send some guys to at least orbit the Moon … right? I mean, all they have to do is add a couple seats to the spacecraft design that they already have and they should be ready to go.
Here is another thing that I sometimes wonder about: why it is that in the 1960s we possessed the advanced technology required to actually land men on the Moon, but in the 21st century we don’t even have the technology required to get an unmanned craft close enough to the Moon to take usable photographs? Or could it be that there’s just nothing there to photograph?
Just this year, NASA itself boldly announced that it’s “Lunar Reconnaissance Orbiter, or LRO, has returned its first imagery of the Apollo moon landing sites. The pictures show the Apollo missions’ lunar module descent stages sitting on the moon’s surface, as long shadows from a low sun angle make the modules’ locations evident … ‘The LROC team anxiously awaited each image,’ said LROC principal investigator Mark Robinson of Arizona State University. ‘We were very interested in getting our first peek at the lunar module descent stages just for the thrill – and to see how well the cameras had come into focus. Indeed, the images are fantastic and so is the focus.’”
Sounds promising, doesn’t it? The images, however, hardly live up to the billing. They are, in fact, completely worthless. All they depict are tiny white dots on the lunar surface that could be just about anything and that would barely be visible at all without those handy “long shadows from a low sun angle.” And the weird thing about those shadows is that, in the very same NASA article, it says that “because the sun was so low to the horizon when the images were made, even subtle variations in topography create long shadows.” And yet while it is perfectly obvious that there are more than just “subtle variations” in the lunar topography in the images, the alleged lunar modules are the only things casting the long shadows.

1f510652 ea23 4f84 b0d7 5d46102baebe 2
1f510652 ea23 4f84 b0d7 5d46102baebe 2

02f0fece e051 4f97 8363 1d32ab8868fa 2
02f0fece e051 4f97 8363 1d32ab8868fa 2

Even if we give NASA every benefit of the doubt and assume that the images have not been amateurishly Photoshopped and that the indiscernible white dots are indeed something of man-made origin, the most likely culprit would be those Soviet robotic probes mentioned by Space.com, which presumably did land on the Moon. A number of those probes, which were part of the Apollo-era Luna Program, were very similar in size and shape to the lunar modules – certainly enough so that images of much higher resolution would be required to make a definitive judgment.

7e3ae5e5 b2dc 4904 8079 7dfef345cda0 2
7e3ae5e5 b2dc 4904 8079 7dfef345cda0 2

Actually, after studying the image above, of one of the alleged Luna probes, I’m going to have to say that the Soviets were lying their asses off almost as much as NASA was. There is no way I’m going to buy into the notion that the Soviets sent a freeform abstract sculpture, which appears to have been constructed by Fred Sanford and Granny Clampett, on a 234,000 mile journey from the Earth to the Moon. Careful study of the central area of the photo, however, does reveal why the spacecraft were known as ‘probes.’ I wonder if they were capable of performing docking maneuvers?
According to NASA, Japan and India have also sent unmanned orbiting spacecraft to the Moon in recent years, as has China. As with the ESA’s and NASA’s orbiters, they too have failed to return any images of Earthly artifacts left behind on the surface of the Moon. If the hoax ‘debunking’ websites are to be believed, by the way, the reason that no one has returned to the Moon in thirty-seven years is because we pretty much already tapped that celestial body for all the information it had to offer. There’s really, you see, nothing much left to see there.
A ‘debunking’ article posted by ABCNews.com, for example, quoted Val Germann, the president of the Central Missouri Astronomical Association, as saying, “There’s no reason to go back … Quite frankly, the moon is a giant parking lot, there’s just not much there.” I wonder why it is then that just about everyone seems to want to send unmanned probes there, or to train enormously powerful telescopes on the Moon’s surface? What could they possibly learn about the “parking lot” from those distances that our astronauts didn’t already discover by actually being there?
Some True Believers also claim that what was dubbed the Lunar Laser Ranging experiment also proves that we really went to the Moon. As the story goes, the astronauts on Apollo 11, Apollo 14, and Apollo 15 all allegedly left small laser targets sitting on the lunar terrain (one of them can be seen in the official NASA photo reproduced below), so that scientists back home could then bounce lasers off the targets to precisely gauge the distance from the Earth to the Moon.

b8ad98f6 719c 4831 9245 833479443552 2
b8ad98f6 719c 4831 9245 833479443552 2

According to the ‘debunkers,’ the fact that observatories to this day bounce lasers off the alleged targets proves that the Apollo missions succeeded. It is perfectly obvious though that the targets, if there, could have been placed robotically – most likely by the Soviets. It is also possible that there are no laser targets on the Moon. In December 1966, National Geographic reported that scientists at MIT had been achieving essentially the same result for four years by bouncing a laser off the surface of the Moon. The New York Times added that the Soviets had been doing the same thing since at least 1963.

4a7179f9 18bd 4e43 852d 6645fe31429d 2
4a7179f9 18bd 4e43 852d 6645fe31429d 2

There was much about the Apollo flights that was truly miraculous, but arguably the greatest technological achievement was the design of the lunar modules. Has anyone, by the way, ever really taken a good look at one of those contraptions? I mean a detailed, up-close look? I’m guessing that the vast majority of people have not, but luckily we can quickly remedy that situation because I happen to have some really good, high-resolution images that come directly from the good people at NASA.

 

57b1d83f 3203 40bd b807 28d20d119eaf 2
57b1d83f 3203 40bd b807 28d20d119eaf 2

While what is depicted in the images may initially appear, to the untrained eye, to be some kind of mock-up that someone cobbled together in their backyard to make fun of NASA, I can assure you that it is actually an extremely high-tech manned spacecraft capable of landing on the surface of the Moon. And incredibly enough, it was also capable of blasting off from the Moon and flying 69 miles back up into lunar orbit! Though not immediately apparent, it is actually a two-stage craft, the lower half (the part that looks like a tubular aluminum framework covered with Mylar and old Christmas wrapping paper) being the descent stage, and the upper half (the part that looks as though it was cobbled together from old air conditioning ductwork and is primarily held together, as can be seen in the close-up, with zippers and gold tape) being the ascent stage.
The upper half, of course, is the more sophisticated portion, being capable of lifting off and flying with enough power to break free of the Moon’s gravity and reach lunar orbit. It also, of course, possessed sophisticated enough navigational capabilities for it to locate, literally out in the middle of fucking nowhere, the command module that it had to dock with in order to get the astronauts safely back to Earth. It also had to catch that command module, which was orbiting the Moon at a leisurely 4,000 miles per hour.

 

7e04fd8d c50c 4b46 b39f 18d0c79a8215 2
7e04fd8d c50c 4b46 b39f 18d0c79a8215 2

But we’ll get to all that a little later. I think we can all agree for now that such a sleek, stylish, well-designed craft would have no problem flying with that kind of power, precision and stability.
There is one thing that appears to be a problem though: how did they get everything on board the modules that they were going to need to successfully complete their missions? According to NASA, the modules were (excluding the landing pads) only about twelve feet in diameter. That is obviously not a whole lot of space to work with, so let’s try to think of everything that we would need if we were astronauts venturing off on a little journey to the Moon.
First of all, of course, we have to account for the space taken up by the various components of the ship itself. There is the framework and the, uhh, let’s call it the ‘fuselage’ of the craft. And we will need a lot of very sophisticated navigation and guidance and communications equipment, all of which took up a whole lot more space back in the ‘60s than it would today. And then, needless to say, there is the power supply – or rather multiple power supplies. For the descent stage, there is the reverse-thrust rocket that allegedly allowed the craft to make a soft landing on the Moon. And then for the ascent stage, there is a powerful rocket to propel the random bundle of sheet metal into lunar orbit. There are also additional rockets to allegedly stabilize the vessel in flight (the random clusters of what look like bicycle horns).

25a87658 92b6 4781 bda2 e071d745c41e 2
25a87658 92b6 4781 bda2 e071d745c41e 2

Next up is the massive amount of fuel that will be required to power all of those rockets, for both the ascent and descent stages of the mission. The ascent stage in particular is going to be a bit of a fuel hog, as ascending 69 miles and breaking free of the Moon’s gravity is a formidable challenge, to say the least. Though it may only have 1/6 the gravitational pull of Earth, keep in mind that it is still a force strong enough to create the tides here on Earth, 234,000 miles away.
I’m not a rocket scientist, by the way, so I am sure that there are quite a few components that I am leaving off of my lunar module – but that’s okay, because our spaceship is already feeling really cramped just with the stuff listed so far. And we’re just getting started.
Next we have to include everything required to keep ourselves alive and well. We aren’t going to be there very long, of course, and space is obviously limited, but we will still require some basic amenities. We will, after all, have to sleep somewhere in the ship, won’t we? Or will we just unfold cots on the lunar surface? We will also require a sanitation/septic system of some kind. Or did those missions bring about another ‘first’ that NASA has been reluctant to brag about? Was Neil Armstrong, unbeknownst to the American people, the first man to take a dump on lunar soil? Or was it Buzz Aldrin? Which astronaut has the distinction of being the first to soil the lunar landscape?
Anyway, getting back to our packing list, in addition to a sanitation system, it is imperative that we bring along an adequate supply of food, water and oxygen – and not just enough to last for the planned duration of our visit, but enough to supply a small safety cushion should anything go wrong. Because from what I have heard, running out of food, water or oxygen while on the Moon can really fuck up an otherwise perfectly good trip. The oxygen is especially important, so we’re going to need a really good, reliable system to deliver that oxygen, and to, you know, recharge the oxygen tanks in our spacesuits so we can walk around on the Moon and jump like 8” or 9” high like the Apollo guys did. And a back-up oxygen system probably wouldn’t be a bad idea.
We are also going to need to install a top-of-the-line heating and cooling system. Probably several of them, actually. Because the ‘weather’ on the Moon, so to speak, can be a bit unpleasant. According to the experts over at NASA, daytime highs average a balmy +260° F, but it cools off quite a bit at night, dropping to an average of -280° F. If you’re looking for anything between those two extremes, you won’t really find it on the Moon. It’s pretty much one or the other. If you’re in the sun, you’re going to be boiled alive, and if you’re out of the sun, you’re going to be flash frozen.
I’m not at all sure how the air conditioning system is going to work, come to think of it, since air conditioning requires a steady supply of – and please stop me if I am stating the obvious here – air. And the Moon doesn’t really have a lot of that.
It would help, of course, if our spacecraft was heavily insulated in some manner, but that doesn’t appear to be the case, so we’ll need a really, really good heating and cooling system, and plenty of freon or whatever it is that we’ll need to keep it running. So now we have to add all of the following to our already crowded spacecraft: ourselves; a minimal amount of room to sleep and otherwise take care of the basic necessities of life; some type of plumbing and sewage system; a really good heating and cooling system, and a considerable supply of food, water and oxygen. And we’re still not done packing for our trip.
Now we have to add all of the equipment that will be required to maintain the ship and complete our planned missions. First of all, we are definitely going to need to pack an exhaustive supply of spare parts and a wide variety of tools. That is an absolute must. From what I have heard, there are a few stores on the Moon that do stock spaceship parts, but they tend to close on certain days of the week. And orders from the mainland can take a frustratingly long time to arrive, so it’s always best to be prepared for any emergency. There are a lot of things that can go wrong with our spaceship and the only thing harder than finding a good mechanic here on Earth is finding one on the Moon.
And then, of course, we’ll have to bring all the fancy testing equipment that we will use to pretend to conduct experiments. Some of it is quite bulky, so we’ll need to set aside some storage space for all of that. And we’re going to need some additional storage space to bring back all those petrified wood samples, but we should have room for that after we jettison most of the fake testing equipment.
Our spaceship is now so ridiculously overloaded that we may have had to add a roof-rack and we still aren’t quite done yet. We still have a couple more items to pack, and we probably should have gotten them on sooner because they are going to require a lot of space. Since this is one of the later Apollo flights, you see, we also have to pack a dune buggy, otherwise known as a lunar rover. And the rovers, according to NASA, are a full ten feet long, just two feet less than the diameter of our craft. But not to worry – according to NASA, the rovers (pictured below) folded up to the size of a large suitcase. When released, they would just sort of magically unfold and snap into place, ready to roam the lunar terrain.

2023 05 26 11 00a
2023 05 26 11 00a

To be perfectly honest, I’m not really sure why we have to pack the damn rover. There is no real compelling reason to take it to the Moon … except for the fact that they make for good TV, and that seems to be of paramount importance. And as can be seen below, it should easily fit into our spaceship.

2023 05 26 11 00
2023 05 26 11 00

One last thing we’re going to need is a whole lot of batteries. Lots and lots of batteries. That’s going to be the only way to power the ship while we’re on the Moon, and we’ll definitely need to run the communications systems, and the oxygen supply system, and the heating and cooling system, and the cabin lights, and the television cameras and transmitters, and all the testing equipment, and our spacesuits, and that damn rover. And we won’t be able to recharge any of the various batteries, so we’re going to need a lot of back-ups. Especially of the really big batteries that run the ship. We may need a separate ship just to carry all the batteries we’re going to need.
By the way, I can’t possibly be the only one who is disappointed that we never followed up on that breakthrough folding-vehicle technology. If we had folding Moon buggies back in the early 1970s, then how far behind could folding automobiles have been had we chosen to stay the course? Had NASA’s pioneering vision been followed up, we could all be folding up our cars and tucking them away under our office desks. But as with all the Apollo technology, it existed only in that specific period of time and has now, sadly, been lost to the ages.
NASA has done something very odd, by the way, with the lunar module that it has on display for museum visitors to marvel at: it has staffed it with miniature astronauts wearing miniature space suits (the module may also be scaled slightly larger than the ‘real’ modules that allegedly landed on the Moon). I wonder why they would do that? I’m pretty sure that Buzz and Neil were of normal stature, so the only reason that I can think of that they would use miniature astronauts would be to portray the modules as larger than what they actually were. And in better condition too. Did they pick up the ones they sent to the Moon at a used car lot?

2023 05 26 10 59a
2023 05 26 10 59a

Before moving on, I need to emphasize here just how sophisticated the lunar modules actually were. These remarkable spacecraft – and I understandably get a little choked up here talking about this, because I am just so damn proud of our team of Nazi scientists – managed to make six perfect take-offs from the surface of the Moon! And understand here people that they did that, amazingly enough, with completely untested technology!
You can’t duplicate the conditions on the Moon here at home, you see, or even provide a rough approximation. And since no one had ever been to the Moon, they didn’t know exactly what to replicate anyway, so this part of the mission was pretty much of a crapshoot. Conditions on the Moon are, to say the least, a bit different than here on Earth. The gravitational pull is only about 1/6 of what it is here. And then there is that whole ‘lack of atmosphere’ thing. And the decidedly unearthly temperatures. And then, of course, there are the high levels of space radiation.
I’m quite sure that we had the best minds available working on the Apollo project, but none of them could have accurately predicted and compensated for how all those unearthly conditions would combine to affect the flight potential of the lunar modules. So the ability of the modules to actually blast off from the Moon and fly was, at best, a theoretical concept.

 

2023 05 26 10 59
2023 05 26 10 59

It is also important to remember that, unlike the initial blast-off from Earth (seen above), which involved the collective efforts of thousands of people and the use of all types of peripheral equipment, the astronauts taking off from the Moon had only themselves and a strange vessel that looked like it had been salvaged from the set of Lost in Space. What would you be thinking, by the way, if you suddenly found yourself on the surface of the Moon with what looked like a cheap movie prop as your only way home? Would you feel comfortable hanging around for a few days doing experiments, confident that, when the time came, the untested contraption behind you would actually get you back home from the Moon? Or would the words “bad career choice” be running through your head?
But as it turns out, America kicked ass back then and those lunar modules performed like champions every single time! They didn’t even need any modifications! Despite the completely foreign environment, they worked perfectly the very first time and every time thereafter!
On Earth, it took many long years of trial and error, many failed test flights, many unfortunate accidents, and many, many trips back to the drawing board before we could safely and reliably launch men into low-Earth orbit. But on the Moon? We nailed that shit the very first time.
Today, of course, we can’t even launch a space shuttle from right here on planet Earth without occasionally blowing one up, even though we have lowered our sights considerably. After all, sending spacecraft into low-Earth orbit is considerably easier than sending spacecraft all the way to the friggin’ Moon and back. It would appear then that we can draw the following conclusion: although technology has advanced immeasurably since the first Apollo Moon landing and we have significantly downgraded our goals in space, we can’t come close to matching the kick-ass safety record we had in the Apollo days.
The thing is that, back in the frontier days, we didn’t need all that fancy technology and book-learnin’ to send Buzz and the boys to the Moon and back. Back then, we had that American can-do spirit and we just cowboyed up and MacGyvered those spaceships to the Moon. All we needed was an old Volkswagen engine, some duct tape and a roll of bailing wire. Throw a roll of butt-wipe and a little Tang on board and you were good to go.
And how about the speed with which we cranked out those Apollo spacecraft? Once we figured out how to make them, we were stamping them out like Coke cans. We fired off seven of them in just under three-and-a-half years, or about one every six months. Given the extreme complexity of those vessels, and the fact that every component had to perform flawlessly under largely unknown conditions, that is a pretty impressive production schedule. America, I think it is safe to say, totally rocked back then!

Trenary Toast

This is a favorite in Michigan’s Upper Peninsula.

2023 05 26 17 43
2023 05 26 17 43

Ingredients

  • 6 slices dense white sandwich bread, crust removed
  • 1 stick (4 ounces) unsalted butter, cubed
  • 1/2 cup granulated sugar
  • 2 teaspoons ground cinnamon

Instructions

  1. Heat the oven to 325 degrees F. Line a baking sheet with aluminum foil or parchment to make cleanup easier.
  2. Trim the crusts from the bread slices.
  3. Put the butter into a pie plate. Slide the dish into the oven to let the butter melt completely. Keep a close eye on it!
  4. In a small bowl, whisk together the sugar and cinnamon. Put cinnamon sugar out onto a dinner plate or another pie plate.
  5. When the butter is melted, remove it from the oven, and dip both sides of bread in the butter. Apply the butter generously, so no spot is left uncoated. The bread should feel a little heavy in your hand.
  6. Dip the bread slices into the cinnamon-sugar, taking care to coat both sides.
  7. Lay them on the prepared baking sheet.
  8. Bake the toasts for about 25 minutes, until lightly browned.
  9. Transfer to a rack. The toasts will crisp as they cool.
  10. When cooled, store in an airtight container at room temperature.

Notes

These toasts are better the day after they’re made!

76 countries incorporate Chinese into their national education system

76 countries incorporate Chinese into their national education system

Article HERE

A Green Berets WARNING About Whats Coming to USA “PEOPLE HAVE NO IDEA…”

Chinese tech companies do not need foreign funding. The government is providing more than ample funding because they know what’s at stake.

And banning U.S. tech companies from engaging with and doing business in China is just doing China the favor by allowing Chinese upstarts to supplant them.

Biden should heed the warning of Nvidia boss Jensen Huang about the immense damage to U.S. tech from U.S. semiconductor chip embargo with China.

Huang described how the sanctions have handicapped chipmakers like Nvidia from selling its advanced chips in one of the company’s biggest and most important markets, Financial Times reports.

Huang warned U.S. lawmakers to be “thoughtful” about imposing further rules restricting trade with China. “If we are deprived of the Chinese market, we don’t have a contingency for that. There is no other China, there is only one China,” Huang said.

Huang said China made up roughly 33.33% of the U.S. tech industry’s market and would be impossible to replace as both a source of components and an end market for its products.

What’s more devastating is the longer term. Chinese companies are now already building their chips to rival Nvidia’s market-leading gaming, graphics, and artificial intelligence processors. This is happening now and once critical market share is lost, there is no way of gaining it back.

What will be its implications on American companies? It will be the demise of the U.S. tech companies because market growth and demand will be in China, especially in the fields of AI and most other advanced technology applications.

Chinese archaeologists uncover World War II ‘horror bunker’ where Japanese scientists conducted lethal human experiments and shared data with US

  • Discovery of notorious Japanese army Unit 731 underground biological weapons laboratory at Anda ‘could lead’ to new evidence of war crimes
  • The unit’s leaders were granted immunity by Washington in exchange for the data from some of the most brutal experiments in history

.

2023 05 26 10 57
2023 05 26 10 57

Archaeologists have located an underground research facility where Japanese military scientists conducted “horrific biological weapon experiments” on human subjects during World War II in northeast China.

The facility, near the city of Anda in Heilongjiang province, was the largest and most frequently used test site for the Japanese Imperial Army’s notorious Unit 731 that carried out some of the most brutal human experiments in history between 1935 and 1945.

Historical records show Unit 731’s experiments at the Anda site included infecting prisoners with deadly diseases and testing new biological weapons. Some of the most gruesome studies were conducted in underground bunkers designed to contain and control the spread of infectious agents.

Article HERE

South Korea warns US could ‘overburden’ its chipmakers with China limits

A classic case of not doing the math before attacking China.

Article HERE

12 Most Amazing Unexpected Military Finds

What an angel this man is!

Thank you Sir for saving the animals and bringing them to safety. This man is a hero and a role model. Let’s all do our part to help the animals and help each other. This warms my heart deeply!

2023 05 26 14 38
2023 05 26 14 38

Iran launches new precision-guided Kheibar ballistic missile

2023 05 26 16 37
2023 05 26 16 37

Iran has successfully test-launched its most advanced Khorramshahr-class ballistic missile called Kheibar, a medium-range precision-guided missile that can carry a 1,500 kg warhead.

The Khoramshahr 4 missile was unveiled Thursday morning in the presence of Defense Minister Brigadier General Mohammad Reza Ashtiani during an event marking the 41st anniversary of the liberation of the southwestern city of Khorramshahr.

Kheibar is a liquid-fueled missile with a range of 2,000 kilometers and a warhead weighing 1,500 kilograms, designed by the Ministry of Defense’s Aerospace Industries Organization.

The missile’s extended range, advanced guidance and control system, and improved structural features further solidify Iran’s status as a formidable missile power.

“The message of the Ministry of Defense… is that we are fully committed to defending our country and the achievements of the Islamic Revolution,” Ashtiani said during the unveiling ceremony.

“We are taking steps to equip the Armed Forces in various sectors of defense such as missiles, drones, air defense, and more. Undoubtedly, more (achievements) will be unveiled in the future,” the defense minister stated.

The Khorramshahr class of missiles have impressive strategic and tactical capabilities.

They are known for their unique guidance and control system during the mid-course phase – the longest stretch of flight when the missile is coasting, or freefalling towards its target.

This feature allows Kheibar to control and adjust its trajectory outside the Earth’s atmosphere, and to deactivate its guidance system upon entering the atmosphere, giving it complete immunity against electronic warfare attacks.

“One of the distinguishing features of this missile is its radar evasiveness and the ability to bypass enemy air defenses due to its low radar cross-section (RCS),” Gen. Ashtiani said.

Thanks to its advanced control system, Kheibar’s warhead does not need the typical thin-wing arrangement, which in turn allows the missile to pack up a heavier explosive load.

The Kheibar missile also boasts an incredibly short preparation and launch time.

The use of self-igniting (hypergolic) fuel and the absence of the need for fuel injection and horizontal alignment after the verticalization phase have cut Kheibar’s launch time down to less than 12 minutes.

Furthermore, Kheibar is powered by an advanced liquid-fueled engine that enables the missile to reach speeds of 16 Mach outside the atmosphere and 8 Mach within the atmosphere, giving the missile an exceptional impact force.

The high speed at which the warhead makes impact with the designated target also prevents enemy air defense systems from detecting, tracking, and taking action to shoot down the missile.

The unveiling of Kheibar marks a significant advancement in Iran’s ballistic missile capabilities and demonstrates the country’s commitment to enhancing its defense and deterrent power.

Iranian officials have long asserted that the country’s military capabilities are entirely meant for defense, and that its missile program will never be up for negotiations.

20 BIG RETAILERS Closing Down Right Now!

https://youtu.be/eAVWx_T6f6I

Russia signs deal to deploy tactical nuclear weapons in Belarus

Russia and Belarus signed a deal Thursday formalizing the deployment of Moscow’s tactical nuclear weapons on the territory of its ally, although control of the weapons remains in the Kremlin.

Russian President Vladimir Putin announced the deployment of the shorter-range weapons in Belarus earlier this year in a move widely seen as a warning to the West as it stepped up military support for Ukraine.

When the weapons would be deployed wasn’t announced, but Putin has said the construction of storage facilities in Belarus for them would be completed by July 1.

The Russian defense minister said today:

“In the context of an extremely sharp escalation of threats on the western borders of Russia and Belarus, a decision was made to take countermeasures in the military-nuclear sphere.

Speaking in Moscow, Belarus President Alexander Lukashenko said “the movement of the nuclear weapons has begun.”

The Roofers are here today . . .

As mentioned on my radio shows, the house here in Pennsylvania which I inherited from my mom, needs a new roof.   The Roofers arrived at about 7:00 AM this morning, along with the 30 yard dumpster for construction debris.

Getting the dumpster down the curved driveway onto the property seemed like it was going to be tough, but the truck driver was from Brooklyn, NY (originally) and got it down onto the property like a pro!

Next, a couple vanloads of roofers arrived – even girls!

They strapped-on their hammers, took their tools up ladders, and began taking off the old roofing shingles.  They spread tarps on the ground to throw the debris for easy clean-up, and began throwing the removed shingles onto the tarps.

They had a third of the house cleared in short order and then began removing the 1/2″ plywood roof itself; right down to the rafter joists!

By 8:30, two thirds of the house had no plywood roof!

Just before 8:30, the delivery truck from the lumber company arrived with 56 sheets of 5/8″ plywood, plywood clips, and nails.  The truck had its own fork truck attached to the back and the driver used that fork lift to bring the lumber down to the job site.

Incidentally, the plywood clips go on the ends and sides of each sheet of plywood and act as spring-spacers to leave about 1/4″ gap between each sheet of plywood, so when the roof heats-up, and the plywood expands, there is no buckling of the roof!

As you might guess, this is a really busy morning here.

Thankfully, clear blue skies, gentle breeze, cool temperature . . . good work weather.

The two satellite uplinks for my radio show have to come off the old roof, so the new one can be installed.   They won’t be back for a few days, so one of the “fail-overs” for my radio show will be out of commission until they’re back, but the show still has cable modem and cellular fail-over.  I expect the show will air just fine.

I have a bunch of pictures, but am hesitant to put them online.   If I do, I have to remove the EXIF data which specifies location, so it’s a hassle to do.  Might do it later, we’ll see.

More later . . . .

Two women in Australia were told that it is illegal to say that men cannot breastfeed on Twitter. Is it now? Who in the Australian government is asking Twitter to enforce these new laws? Meanwhile, a politician in the U.K., Baroness Falkner, is under investigation for using the term “bloke in lipstick.” Or is she being targeted for insisting on a legal definition of biological sex? Probably the second one.

President Biden (at the G7) says that the cold war with China will thaw

Believe it or not, Biden is following a publicly announced policy of pressuring China to respond and then blaming China for not responding if it does not do so.

The United States is in full free-fall collapse. But it is not my problem.

President Biden, at the G7 meeting in Japan this May, says that the cold war between the USA and China WILL THAW.

Uh-huh…

Sure. What ever you say.

Moreover, I just watched  a video by an American general which strongly suggests this to be the case. 6MB Video HERE. Definitely worth a watch.

Maybe… But I DO NOT BELIEVE IT. No matter how much I want to.

I would be a fool to believe this swill..

Meanwhile, NATO is moving into Russia with AMERICAN weapons systems, and AMERICAN appearing troops in Ukraine Nazi uniforms.

WTF?

1/2 a date. We were seated at the restaurant, chose our meals and I excused myself to go to the restroom. Upon returning we started talking. You know, all the small talk.

At one point he mentioned that he knew I had a dog.

My dog was a big boy, about 115 pounds. I said yes, he’s a rescue and a very nice dog. He sort of frowned and said, “well I have a cat and if we end up together the dog has to go.”

Huh?

I wasn’t sure I had heard correctly, after all this was a first date. Then the waiter showed up with our food. He set a lobster in front of me. I looked at the waiter and said, “Oh, I’m sorry but there has been a mistake: I ordered chicken.” My date, “no mistake, I’m not cheap; I changed your order.” Me: “I don’t like lobster.” Him: “just be grateful and eat it.” I didn’t say another word, just picked up my purse and walked out.

Wait I take it back. That was the second shortest.

My date arrived at my front door. My dog absolutely refused to allow this man through the front door. I had never seen him so determined to keep someone away from me. I trusted his instincts and told the guy, “My dog doesn’t like you and he’s a good judge of character, so the date’s off.” This man, screamed at me calling me all sorts of names, stomped down my front steps, screeched out of my drive and drove away. I gave the dog a steak for dinner.

The black and white dog was my sweet puppy, He passed on a few years ago.

main qimg 6b2cb0b7cb651004559d4cf5bcc407a3 lq
main qimg 6b2cb0b7cb651004559d4cf5bcc407a3 lq

Origins of the Moonwalk

The Chinese Neighborhood Committees

By Frans Vandenbosch  方腾波

Another outstanding contribution by Frans Vandenbosch. -MM

Yesterday and today, I went to one of the many local neighborhood committees, here in Shanghai to get a better understanding of the way they are caring for the people.

2023 05 25 11 41
2023 05 25 11 41

The office of the Neighbourhood Committee is along the (temporary) buildings of the Covid-19 testing centre.

I had a talk with Mr. Qiu, this afternoon also with Mr. Xu.

2023 05 25 11 4t1
2023 05 25 11 4t1

There are in total 6 unpaid volunteers working for the neighborhood committee of the 乐山四五村居民区地域图  the Residential Area of Siwu, part of the Zikawei district in Xujiahui, Shanghai. They are all 6 members of the CPC, the Communist Party of China. They love to take care for people, that’s why they do this job on top of their regular job.

The Siwu residential area has many compounds with serviced large apartments. “Serviced” doesn’t mean it is for elderly people; most people are financially well off, not necessary retired. “Well off” doesn’t mean there are no issues with these people. There are sick, disabled, mentally unstable people, many divorces, disputes about the children of the divorced couples, etc. (as Mr. Xu explained)

In total, there are 3305 people living in the Siwu area; in 16 compounds; 34 (high rise) buildings.

Mr. Xu said that he knows half of these people personally, seeing them very frequently.  The others, he has spoken on regular basis, but up to his knowledge, they don’t have problems.

2023 05 25 11 42
2023 05 25 11 42

I asked him why he is doing all this ?   “I want the people here to be happy” he said. And: “we, our team is dedicated to find a solution for each and every problem “our” people are facing”.

They are using 6 different apps (actually add-ons to WeChat) to manage and report all this. The apps are exchanging and bench-marking “standard issues” with other neighborhood committees, to assure the quality of the solutions.  I didn’t asked him what’s the exact purpose of each app. (in my book there are some more details about the apps, used for neighborhood committee management)

2023 05 25 11 43
2023 05 25 11 43

We start with a question…

2023 05 25 06 38
2023 05 25 06 38

George Galloway adumbrating some blatant realities of our times

G7 focused on China. China is focused on development and prosperity. "Losers focus on winners. Winners focus on winning. "

My GOD! This is such a great video!

Spain joins the civilized world

Spanish PM will ask Biden to listen to China, Brazil on Ukraine.  Spain joins the civilized world.

.

Taiwan became a Chinese province under the Qing dynasty in 1887, and remained one until 1895 when it was ceded to Japan at the Treaty of Shimonoseki.

It was ceded to the Republic of China as part of the Potsdam agreement at the end of WWII, and came under the control of the Republic of China government in Nanjing on Oct. 25, 1945.

Taiwan is not a nation; it is an island.

The Republic of China has had Taipei as its seat of government ever since it lost mainland China to the People’s Republic of China in 1949.

The PRC government is the successor to the ROC government, and was recognized as its successor in 1971 when it was seated in the United Nations, and became a member of the UN Security Council. All governments which have established diplomatic relations with the People’s Republic of China agree that there is only one China, and that Taiwan is part of China. Including the United States of America.

What does this mean? It means that the dispute over Taiwan is not between the PRC and Taiwan; it is between two Chinese governments which claim to represent all of China, the Republic of China (now on Taiwan) and the People’s Republic of China.

The dispute between the ROC-PRC governments is simply the continuation of a civil war which started in 1946 and has not yet ended.

The position of the People’s Republic of China government in Beijing is that it is the legitimate successor of the Republic of China government, and therefore lays its claim to Taiwan, even though it has not yet been able to exercise full control of its claim.

The position of the Republic of China government in Taipei is that it is the legitimate government of all of China, and that all of these claims are laid out in the Constitution of the Republic of China, which is still used to govern Taiwan and offshore islands which are still under the control of the ROC government.

Even though Taiwan is ruled by the Democratic Progressive Party, which advocates Taiwan independence and lays no claims to mainland China, the DPP has continued to use the official name of the Republic of China, and follows the Constitution of the Republic of China. This is because the PRC government has stated very clearly that if any government in Taiwan were to openly declare independence from China, it would have no choice except to attack Taiwan and bring it back under control.

The only reason there has been peace in the Taiwan straits for so long is that while the two governments are rivals as the legitimate government of all of China, they agree that Taiwan is a part of the Chinese nation as a whole.

This is what has held the peace for so long.

What difference does this make to you as a non-Chinese? It means that your opinion does not matter and makes no difference. No Chinese care about what you think about Taiwan, and whether it should be independent.

Your opinion carries no weight.

So why get so worked up about it?

Fred Astaire vs. Michael Jackson

US ‘intentionally released Covid virus in Wuhan’ EU summit told | The Standard

Staff reporter 22 May 2023
Article HERE
The Covid-19 coronavirus was "intentionally released" by the United States in Wuhan, China, with the target to trigger a global pandemic to raise public acceptance of vaccines, a US businessman specializing in patent auditing said.

David Martin, the founding chairman of M Cam asset management company, said at an International Covid Summit organized by the European Parliament in Brussels earlier this month that the US was responsible for the making of both coronaviruses causing the outbreaks of severe acute respiratory syndrome - or SARS - in 2003 and the Covid-19 pandemic in the past three years.

The third edition of the summit featured speakers from anti-lockdown advocates to medical academia to discuss the global pandemic response. The speakers shed light on the possibility that the coronavirus which caused the pandemic was man-made, instead of naturally occurring.

In his speech, Martin said: "The pandemic that we alleged to have happened in the last few years did not happen overnight. In fact, the very specific pandemic using the coronavirus began at a different time."

He said that in 1965, scientists discovered the coronavirus as a model of a pathogen - an agent that causes disease. They also found out that coronaviruses can be modified.

"Later we started learning how to modify a coronavirus by putting them in animals such as dogs and pigs," Martin said, adding that such a practice became the basis for US pharmaceutical giant Pfizer's first coronavirus spike protein vaccine in 1990.

But very soon the medical sector and drug makers found out that the vaccines did not work.

"Because the coronavirus is a malleable model, it mutates," Martin said. "Every medical publication concluded that coronaviruses escape vaccines because it modifies and mutates too rapidly for a vaccine to be developed."

In 2002, a university in North Carolina initiated a study to develop an "infectious replication defective," which Martin interpreted as "a weapon to target individuals, but not have collateral damage."

Characterizing the project as having "mysteriously preceded SARS by a year," Martin said the coronavirus that caused the highly deadly infection was not from China and that it was "engineered" instead of naturally occurring.

On Covid-19, Martin said the coronavirus - named as SARS-CoV-2 by the World Health Organization - was poised for human emergence in 2016, with a preview about an "accidental or intentional release of a respiratory coronavirus" from a laboratory in Wuhan.

He said the purpose of the coronavirus "release" was to boost global acceptance on universal vaccination.

Explaining the common concern among the medical industry, Martin said: "Until an infectious crisis is very real, present and at the emergency threshold, it is often largely ignored.

"To sustain the funding base beyond the crisis, we need to increase the public understanding of the need for medical countermeasures, such as the pan-influenza, or pan-coronavirus, vaccine. A key drive is the media and the economics will follow the hype.

"We [pharmaceutical firms] need to use that hype to our advantage to get to the real issue. Investors will respond if they see profit at the end of the process," he said.

The Covid infection was first reported in Wuhan, Hubei province in central China in late 2019, with initial clusters coming from the Huanan Seafood Wholesale Market.

The disease turned into a global pandemic in early 2020.

As of Saturday, over 766 million infections have been recorded worldwide, with nearly seven million deaths.

The source of the coronavirus remained a mystery. Some scientists believe it transferred to humans from wild animals like bats and manidaes, while some politicians, in particular those from the US, accused the Wuhan Institute of Virology - a government-controlled lab - of leaking the pathogen.

A team of WHO-appointed experts inspected Wuhan in early 2021 to probe the source of the pandemic.

After the 12-day visit, including a visit to the lab, the scientists concluded that it is "extremely unlikely" that the lab could have leaked the Covid-19 coronavirus.

Life Before the Internet… You had to do WHAT!

More and more countries now don’t use US dollars but the other currencies in the cross-border trade settlements. The US starts to go down its own deep hole with exceptional mass shootings, bank runs, bankruptcy, mass layoffs, inflation, recession, and so on, one crisis after another.

How does America defeat Russia and China with the second-best weapons in the modern high-tech world?

How does America defeat Russia and China when its debt ceiling problem has become one of the political jokes in the world?

How does America defeat Russia and China with lies and dreams?

The whole world, Russia and China win peace whenever the US can’t start a war from now on. That’s even better than the chaotically worthless democracy showcase happening every day in the US very much like the third world country.

What a job.

Domestic smart driving chip, HaloDrive™ 30, designed and developed by Houmo.AI 后摩智能, tied with Nvidia for the first time! Without the most advanced process technology, independent mass-produced chips have already caught up with the industry’s first-class standards.

2023 05 25 06 44
2023 05 25 06 44

Continue talking about wars and victory on paper to kill time while the Chinese scientists are building better systems in more and more fields.

Sorry for you.

Soul Train Line I Don’t Want To Lose Your Love Emotions

Awesome dancin’.

TSMC Workers Routinely Asked to Find ‘Bomb’ Notes in Machinery: Report

To ensure thorough testing and maintenance workers must find all 'bomb' notes or lose 'points'.

TSMC clean room workers are routinely tasked with finding 'bombs' in machinery, according to Taiwan's United News Network (UDN). We have put 'bombs' in inverted commas, as the staff undertaking equipment testing and maintenance will find sticky notes with 'bomb' written on them located on various components instead of potentially explosive devices.

Article HERE

Police Interview Of A Master Manipulator

In this jcs inspired video, we take a look at the interrogation of Pam Hupp. After inheriting two life insurance policies and then making a suspicious 911 call, the police begin an investigation into Pam Hupp and what they find is shocking

A Chinese girl posts some pictures of her life…

Today is May 24th 2023, i am close to 21 years old now. i am a Chinese girl from a tier-2 city of northern China, i just show my life here. This is a small night market of my hometown.

main qimg 5fc27900b167dc231a721a5b22996f2b
main qimg 5fc27900b167dc231a721a5b22996f2b

main qimg 496e854bee19f8d2f69350df71afc315
main qimg 496e854bee19f8d2f69350df71afc315

main qimg 87496c6bf4428f5d18bf73ed09798cbc
main qimg 87496c6bf4428f5d18bf73ed09798cbc

main qimg a0fe01639cceb8d047a1d007fbbf0b35
main qimg a0fe01639cceb8d047a1d007fbbf0b35

main qimg 092a90edfc7f8d1bcbcd62f67e911ee1
main qimg 092a90edfc7f8d1bcbcd62f67e911ee1

main qimg 0993df9211772325c5c2b531a6812f5f
main qimg 0993df9211772325c5c2b531a6812f5f

main qimg 2a0c8a0e43b52ccc27232cd06952e2dc
main qimg 2a0c8a0e43b52ccc27232cd06952e2dc

main qimg 0c08698ae8e95345f355b34882476dd5
main qimg 0c08698ae8e95345f355b34882476dd5

main qimg 1cbbfcd43aedc2011586447a7332b5bb
main qimg 1cbbfcd43aedc2011586447a7332b5bb

main qimg 8eece3f349861a139d49a92276b74613
main qimg 8eece3f349861a139d49a92276b74613

main qimg d7aa556268a9d8059d2c3ecd6430e7cc
main qimg d7aa556268a9d8059d2c3ecd6430e7cc

main qimg 1887c922cdbff2e17e4d0b0300ba5107
main qimg 1887c922cdbff2e17e4d0b0300ba5107

main qimg f7c7f3e9b0d40f0b9ed0eeeec9d05233
main qimg f7c7f3e9b0d40f0b9ed0eeeec9d05233

main qimg 95d16c6ab6a020e4f818200719e19a3a
main qimg 95d16c6ab6a020e4f818200719e19a3a

main qimg b01ebb612f430e7526e2f527949a1ecf
main qimg b01ebb612f430e7526e2f527949a1ecf

main qimg b07c63b94b5919133cff6bc2aacc53f6
main qimg b07c63b94b5919133cff6bc2aacc53f6

main qimg 2906c4356682be42cbeccad54b6d1ac9
main qimg 2906c4356682be42cbeccad54b6d1ac9

main qimg 1e2a1bd3aa104b7fdd7bffbd77eec4b0
main qimg 1e2a1bd3aa104b7fdd7bffbd77eec4b0

main qimg 9a923ff276c9a0d05fedd01c6004e331
main qimg 9a923ff276c9a0d05fedd01c6004e331

main qimg 0f337d350986667688d87eef5a669eef
main qimg 0f337d350986667688d87eef5a669eef

main qimg 9da1f461107300ab773c231715002a9b
main qimg 9da1f461107300ab773c231715002a9b

There are a lot of foreigners Think China is a backward, depressed, isolated, no freedom and human right country. I want to say China is not what they think of. Indeed China has some problems but every country does. i hope more people could visit China and see the ordinary people’s life.

The Whispers – “And The Beat Goes On” (Official Video)

An Unusual, Luxurious Sleeping Bag That Looks Just Like A Life-Sized Bear

1374
1374

Amsterdam-based Japanese artist Eiko Ishizawa has transformed the average, functional sleeping bag into an exquisite work of art with her luxurious creation that closely resembles a life-sized bear, humorously referencing the safety hazard that comes with camping in the woods.

2187
2187

Inspired by a real-life event where a “problem bear” had wandered from the Italian Alps to the Bavarian side of the mountains in 2006, the life-like sleeping bag, measuring an approximate six feet in length, is a heartfelt tribute to the unfortunate demise of the bear, which was eventually hunted down for fear of its threat to the inhabitants around it.

5141
5141

4158
4158

3167
3167

You should learn to stop worrying and love the bomb.

American “leaders” have set the US on course with oblivion. They aren’t going to stop now.

Just enjoy the time you have left with your family and accept it. The psychopaths won. You lost.

Either way, the people of the US aren’t going to make it.

https://youtu.be/bcezwpk3yuE

May 23, 2023

There is a sharp contradiction at the heart of the Albanese government’s attempt to stabilise trade with China, whilst at the same time preparing for war with China in support of the United States.

Trade Minister Don Farrell has just returned from a visit to China. He described his visit as ‘a step in the process of stabilising our relationship with China’, a $300b two way trade relationship which underwrites our economic prosperity.

As the minister suggested and as we are seeing there are clear signs of improvement in trade relations that were so damaged by the Morrison Government.

One particular matter that is outstanding is Australian and Chinese membership of the trade agreement, the Comprehensive and Progressive Agreement for Trans-Pacific Partnership (CPTPP). Eleven countries, mainly in our region have signed the Agreement. Unfortunately, the Agreement has not been ratified because Donald Trump withdrew from the Agreement. That resulted in vassal states like Australia and Japan deciding not to proceed.

At his press conference in China Don Farrell gave a yes/no answer about the CPTPP:

Journalist: “Minister did you discuss the CPTPP?”

Minister for Trade: “Yes, we did. We discussed that. The Chinese Minister indicated that they would like to be considered for accession to the CPTPP. I indicated that we still hadn’t finally resolved the issue of the United Kingdom’s accession. We do believe that that’s imminent, but it still hasn’t been finally resolved. Of course, accession to that agreement requires the consent of all the parties.”

But the main discussion in China was of course about how to repair the trade relationship that was blown off course, which Our media, with their anti-China paranoia, blame China for.

Our White Man’s Media (WMM) , ever so keen to join the anti-China band wagon, will not do some easy research and homework with a few examples about how the problem started.

  • The anti-China drive was led first by Malcolm Turnbull and his advisor John Garnaut.
  • Australia began with anti-dumping tariffs in 2017 on Chinese steel and aluminium products that the WTO later found illegal.
  • When Turnbull banned Huawei operating in Australia in August 2018, we were the first government in the world to do so.
  • We then banned Chinese foreign investments in 2017/2018, including China Mengniu Dairy Co’s proposed $600 million acquisition of Lion Dairy & Drinks, despite the Foreign Investment Review Board’s agreement to the deal. There was hardly a security risk here with a dairy company!
  • We introduced foreign influence laws in 2018 directed against China that proved so wide that Turnbull himself had to declare that he was an agent of foreign influence after participating in a South Korean forum.
  • In April 2020, Foreign Minister Marise Payne, trying to ingratiate the Morrison Government with Donald Trump, announced on ABC Insiders Program that she wanted a non-WHO investigation unit (from many countries) to investigate origins of Covid in China. To join the anti-China psychosis Labor Opposition backed her. This was despite President Xi telling the World Health Assembly that China would support a ‘Comprehensive Review’.

This was the final straw and China in 2020 started imposing quotas, quarantine and other restrictions on selective Australian exports (coal, beef, barley, timber logs, wine, lobster, etc).

The political and media establishment in their ignorance and prejudice were surprised by the Chinese reaction. Our WMM thought the Chinese would as usual be a push over. How dare an Asian country do such a thing to us!

Together with the US we squawked about Chinese ‘coercion’ but our ally the US proceeded to grab as much of our lost sales in China that it could. Our WMM was silent.

In fact, the US should be the last country in the world to complain about coercion and sanctions. The US is the country above all others that imposes sanctions.

According to the Centre for Economic Policy in the US only four per cent of countries were subject to sanctions in the 1960s. Imposed mainly by the US and to a much lesser extent by the EU and the UN. Today 27 per cent of countries are subject to sanctions.

We have seen the result in widespread death and suffering caused by US sanctions in Iraq, Iran (the most sanctioned country in the world), Afghanistan, Venezuela and now Russia. The unintended consequences result in death and starvation. But the US in desperation and belief in its own ‘exceptionalism’ tries to impose more and more sanctions and coercion on countries that don’t follow its rules.

China should not have imposed sanctions on us even though Australian actions triggered the Chinese response. Sanctions and coercion have unintended consequences.

Hopefully we can now get Australia/China trade back on track.

But there is an elephant in the room we want to avoid and not talk about.

We have a whole raft of policies and programs that assume that China may invade us and the best way to avoid that is to support the US in a whole range of ways. In becoming an enthusiastic US proxy for war on China we make ourselves very vulnerable from our major trading partner.

The Minister for Defence and our embedded media warn us every day about the China threat – the Chinese military build up – despite the fact that the US spends more on defence than the next nine countries combined.

We are at the same time supporting more and more US bases like Darwin and Tindal to develop the capacity to attack China, and have entered into the AUKUS agreement, which is not to defend Australia, but to assist the US in a first strike nuclear capacity against China.

We have a long history of fighting in other people’s wars – at great cost to Australia. But there is now a big difference. IF we are drawn into a US war with China the results for us would be catastrophic.

There is a massive contradiction between stabilising our trade relations with China and our casting of it as a mortal military threat.

That position is not sustainable. We are planning to support an American war on China yet expect China to remain a loyal trading partner.

Penny Wong and Don Farrell can hardly keep saying they are stabilising the relationship with China when Richard Marles is out there almost every day dog whistling about the China threat. But perhaps he has been on the Washington drip feed for so long he doesn’t understand the immense contradiction in our relations with China and the enormous risks we are running.

We want improved trade relations with China whilst acting to support a US war with China. Something has to give.

Hopefully the Chinese are smarter than we are and take a longer view.

France Can’t Lecture Africa About Democracy As It Does Business With Corrupt Governments

The rest of the world is really STANDING UP.

At the end of April The Economist spoke to Mr Kissinger for over eight hours about how to prevent the contest between China and America from descending into war.

Mr Kissinger is alarmed by China’s and America’s intensifying competition for technological and economic pre-eminence. “We’re in the classic pre-world war one situation,” he says, “where neither side has much margin of political concession and in which any disturbance of the equilibrium can lead to catastrophic consequences.”

Mr Kissinger believes that AI will become a key factor in security within five years. He compares its disruptive potential to the invention of printing, which spread ideas that played a part in causing the devastating wars of the 16th and 17th centuries.

“[We live] in a world of unprecedented destructiveness,” Mr Kissinger warns. Despite the doctrine that a human should be in the loop, automatic and unstoppable weapons may be created. “If you look at military history, you can say, it has never been possible to destroy all your opponents, because of limitations of geography and of accuracy. [Now] there are no limitations. Every adversary is 100% vulnerable.”

He also cautioned against misinterpreting China’s ambitions. In Washington, “They say China wants world domination…The answer is that they [in China] want to be powerful,” he says. “They’re not heading for world domination in a Hitlerian sense,” he says. “That is not how they think or have ever thought of world order.”

In Nazi Germany war was inevitable because Adolf Hitler needed it, Mr Kissinger says, but China is different. He has met many Chinese leaders, starting with Mao Zedong. He did not doubt their ideological commitment, but this has always been welded onto a keen sense of their country’s interests and capabilities.

Mr Kissinger sees the Chinese system as more Confucian than Marxist. That teaches Chinese leaders to attain the maximum strength of which their country is capable and to seek to be respected for their accomplishments. Chinese leaders want to be recognised as the international system’s final judges of their own interests.

main qimg 80e5cde608e0d66d3da9af5c93cf1b75
main qimg 80e5cde608e0d66d3da9af5c93cf1b75

Budweiser’s DESPERATE Partnership With Harley Davidson & The Military

Why can’t the US engineer a coup … to topple the Beijing government ?

A coup is possible ONLY if there is a strong opposition and the government is UNPOPULAR.

China has a meritocracy system of appointing leaders. Only the leaders proven (by actual performance) are promoted up the bottom ranks…strictly based on ACTUAL EXCELLENT performanceS and then elected to be the President after 30 to 40 years. As a result, the President is the most popular man in the country.

Harvard university (USA) conducted a 10 year study in China. They found that over 95.5% of Chinese support the Chinese government. (see Harvard report below)

However, the US tried many times … to use regime change … to topple the Beijing government and to replace the Chinese leader with a US puppet (just like the USSR).

The US tried this…several times. The last one in Beijing was the failed TAM uprising in 1989.

As a result of TAM, China has banned public protest and street demonstration (like in Singapore). This effectively prevented NED (from the USA) from instigating a regime change in China.

Ref: Long-term survey reveals Chinese government satisfaction

Thought Bud Light was bad? Target is now a “BIND” over their new Trans Clothing lineup

El Paso Red Sauce

This El Paso Red Sauce improves with age.

2023 05 22 17 12
2023 05 22 17 12

Ingredients

  • 1 large can whole tomatoes
  • 1 small can whole chile peppers
  • 4 to 6 jalapeno peppers
  • 1 onion, chopped
  • 1 tablespoon vinegar
  • 1 tablespoon vegetable or olive oil
  • 2 cloves garlic, minced

Instructions

  1. Pulse a few times in a blender or chop by hand.
  2. Let stand several hours at room temperature, then refrigerate in a glass jar.

China NOW Controls the Tech That Will Define the 21st Century!

Mearsheimers Latest Talk On The War In Ukraine

Yesterday the well known international relations scholar John Mearsheimer gave a talk (video, 1:33h) about the war in Ukraine to the Committee for the Republic.

Mearsheimer made two major points:

Ukraine can not win this war because the kill ratio in this war is in its disfavor. Mearheimer estimates that two Ukrainians die for one Russian soldier but says that many of his friends think that the ratio is more like 3:1 or 4:1. The reason for this is the WWI-style static war in which artillery is the most deadly weapon. Russia has an immense artillery advantage. During an offensive the attacker will often have more casualties than the defender. But in this war the Ukraine side has been (counter-)attacked most of the time while the Russians defended.

The Ukraine also has a much smaller population than Russia. The current ratio is about 5 Russians for 1 Ukrainian. With a much smaller population and much higher casualties the Ukraine will run out of able bodies way before Russia does.

Mearsheimer expects that Russia, which already has incorporated four Ukrainian oblast plus Crimea, will take another four oblast from Ukraine. (I predicted this on February 24 2022, the day the war began. Those eight oblast plus Crimea are historically Russian land inhabited by Russian people. During the last thirty years they have consistently voted for pro-Russian candidates while the people in west Ukraine consistently opted for anti-Russian candidates.) Ukraine will end up as a dysfunctional (and poor) rump state.

Mearsheimer says that there will be no peace agreement in Ukraine. The war is seen by both sides as existential. Ukraine insists of regaining territory it sees as part of the country. Ukraine wants security guarantees from the ‘west’ which Russia opposes. The problem of hyper-nationalism (fascism) on the Ukrainian side also makes peace impossible. Then there is the problem that Russia, after having been lied to over the Minsk agreements, has zero trust in any ‘western’ word.

 

Posted by b at 9:41 UTC | Comments (299)

I Found A Place In Alabama That’s Actually Thriving

Lone Star Steak Sauce

2023 05 22 17 14
2023 05 22 17 14

Ingredients

  • 1/2 cup butter
  • 2 teaspoons Worcestershire sauce
  • 3/4 teaspoon black pepper
  • 2 drops Tabasco sauce
  • 1/2 cup lemon juice
  • 1 clove garlic, minced
  • 1/2 teaspoon dry mustard
  • Salt to taste

Instructions

  1. Combine all ingredients.
  2. Heat until butter melts. Broiler juices may be added.
  3. Serve with steak.

2023 05 22 17 15
2023 05 22 17 15

Oh SH*T, Putin and China just declared economic war on the West with this move, Biden responds

The United States and the other G7 nations just declared open economic war on China. China responded with a ban on U.S. chip manufacturer Micron.

Things just got out of hand. At the G7, the leaders threatened to undermine China’s economic growth unless it implemented some changes.

Meanwhile, Chinese President Xi Jinping, at the Asian Summit, unveiled a grand plan for Central Asia’s development, from building infrastructure to boosting trade, taking on a new leadership role in a region that has traditionally been a Russian sphere of influence.

We are watching the West get ready to collide head-on with the East.

The damage is already done. Donald Dragonslayer stormed into a dragon’s den armed with a wooden sword. He failed to slay the dragon, but he did manage to wake up the dragon.

Donald Dragonslayer’s war on China isn’t just a war against the leadership and the Communist Party. Dragonslayer’s war is a war against that whole population. We’re in the process of making enemies out of one-fifth of the world’s population who were formerly admirers of America and dreamers of the “American Dream.” There are more people in China who speak English than there are in the United States – as a second language of course. They have been preparing themselves to deal with American civilization on a long term basis. Now, Donald Trump, Robert Lighthizer, Peter Navarro, and Mike Pompeo, have poisoned that relationship beyond repair. China will never ever NEVER allow itself to be in a position where it is dependent on the United States for anything. They will be a trading partner, but not a dependent ever again. Count on it.

Chinese universities are graduating 4x times as many engineers and scientists as the American universities graduate. China will just go on developing its own semiconductor industry and out compete the Unite States in the world market. China is making friends in the world while we’re making enemies.

Morning drive Polk Mountain NC to Mooresville NC on country roads – ASMR

TEHRAN – Iran and Russia, both under harsh Western sanctions, on May 17 inked an agreement on the long-stalled construction of a railway connecting the northern Iranian cities of Rasht and Astara.

The railway is key to the International North-South Transit Corridor (INSTC).

Spanning 162 km (100.6 miles), the railway is a crucial element of the INSTC. The corridor integrates road, rail, and sea transportation, facilitating the movement of goods between Russia and India via Iran.

Through a video link, Iranian President Ebrahim Raisi and his Russian counterpart Vladimir Putin addressed the ceremony in Tehran where the two countries’ transport ministers signed the agreement.

2023 05 23 16 27
2023 05 23 16 27

Raisi thanked Putin and the Russian government for their involvement in the initiative and referred to it as an “important strategic step” in bilateral cooperation that will benefit all countries involved in the INSTC. Putin, for his part, called the occasion a “landmark moment for the entire global transport infrastructure.”

The deal came a day after Iran’s Trade Promotion Organization chief Alireza Payman-Pak announced that Russia’s second-biggest bank, VTB, had opened a representative office in Tehran.

Peyman-Pak said that the office, which marks the first “direct presence” of a Russian bank in Iran, will be used for foreign currency transfers.

State-owned VTB was sanctioned by the EU, UK, and the US following the conflict between Russia and Ukraine in Feb. 2022.

The railway deal has been hailed by state officials and media in Iran as part of a significant future source of income.

Raisi’s Deputy Chief of Staff for Political Affairs Mohammad Jamshidi has predicted that the earnings from the INTSC would be able to rival Iran’s oil revenue. In this vein, the ISNA news agency on May 17 estimated annual revenue of $20 billion from the Corridor.

The Jam-e Jam newspaper described Iran as the “golden path of trade” in an article highlighting the potential benefits of the railway.

Meanwhile, the Tasnim News Agency said ahead of the deal that the “curse” that has so far stalled the railway project would be broken through “Russian partnership.”

India, Iran, and Russia initially struck an accord in 2002 to forge the INSTC. The ambitious undertaking aims to create a new transit route linking India to Afghanistan, Central Asia, and Russia via Iran’s southern and northern coastal regions.

The corridor is seen by Russia as a potential rival to the Suez Canal, a far longer route for trade with northern Europe.

Iran has been a key player in the INSTC and stands to benefit greatly from its full realization. As reported by Amwaj.media, the Raisi government has seemingly banked significantly on transit becoming a top revenue generator. But Iran stands to gain from the project in more ways.

The operationalization of the corridor could mean improved relations between Iran and India, aligning New Delhi more closely with Tehran’s regional interests.

A vital element of the INSTC, the Rasht-Astara railway project has been stalled for years due to costs, engineering, and logistical complications.

Leader of the Islamic Revolution Ayatollah Ali Khamenei stressed the importance of completing the stretch of railway in his July 2022 meeting with Putin in Tehran.

VTB’s new office in Tehran is part of Iran and Russia’s ongoing efforts to connect their banking systems.

The two countries signed an agreement on Jan. 29 to link their inter-bank messaging systems.

Due to Western sanctions, both countries have been cut off from SWIFT—a leading Belgium-based financial messaging service.

Both Iran and Russia are looking to reap the potential economic benefits of increased transit amid Western sanctions.

The Raisi government seeks to mitigate the adverse effects of sanctions through de-dollarization of trade and the establishment of direct banking and payment channels outside the international banking system.

Dr. Bijan Khajehpour, managing partner of the Vienna-based Eurasian Nexus Partners, told Amwaj.media that if the legal structures are put in place, it could take only a few months for VTB’s new office to process transactions. However, Khajehpour cautioned that “usually, it is the lawyers who delay such processes,” adding that “to complete the picture” it is also necessary to consider that VTB is subject to Western sanctions and that its operations in Iran “will have to rely on the agreements and structures between Moscow and Tehran, such as a non-SWIFT messaging system.”

On the political side, a successful increase in transit revenues will reinforce Iran’s “Look to the East” policy of strengthening ties with neighboring countries and eastern powers as a response to western pressure. This could encourage Raisi’s hardline supporters, who have touted Iran’s place in an emerging multipolar “new world order.”

Russia has failed to hide its angry of the rising ties between Iran and Russia. The U.S. State Department deputy spokesperson has expressed alarm about the Rashst-Astara railway deal. At a news conference on May 17, Vedant Patel stated, “We of course would find deeply concerning any steps or any project being undertaken to go around sanctions.”

In response Iranian Foreign Ministry spokesman Nasser Kanaani said the Joe Biden administration’s concerns about Tehran’s expansion of trade cooperation with other nations is “unjustified and invalid”.

Kanaani said the most recent agreement with Russia is in line with Iran’s emphasis on the policy of good neighborliness.

He stressed that the cornerstone of closer ties with neighbors is “cooperation for common security, development, and welfare.”

JOE COCKER The Letter 1970

Who is in charge – President Biden or the State Department

 

an Administration in chaos. The Americans have very little credibility left

they cannot even get the simplest things correct

the Mighty have fallen far

This is reality, we cannot be bested by these lots

main qimg fbc821c442717f1c7117cbe1217e5219
main qimg fbc821c442717f1c7117cbe1217e5219

Huawei Responds To UK Ban With $1.25 Billion Charge And Withdrawal

https://youtu.be/CXyQfhU3drQ

Game Over: The Empire flails wildly to retrieve its lost supremacy

The world is changing, and perhaps it’s best to be ignorant of it. Just concentrate on growing your plot of tomatoes, and watering your flowers. The bad, with is terrible enough, is poised to go really awful.

Today is a mish, followed by a mash. Let’s hit a nasty subject first, and they emerge from it with some upbeat funk.

Now for the good old boys out there.

Here’s something for the rednecks…

Toby Keith – As Good As I Once Was

Here’s something for the rednecks…

OK. A short trip towards a serious subject.

Standing up to the powerful…

One of the things that I really hate is how agents are retired. I hate being  called a child predator; a cat torturer, or a dog killer. That’s SOP with the United States.

Edward Snowden was a renegade serial rapist. Anyone that opposes the “powers that be” are charged with sex crimes. That’s how to you can tell that Donald Trump was an outsider. How many gals accused him?

But there it is.

Dancing in the Moonlight

Back when I was in middle school, this song was popular.

Discovery

If you are accused of a crime, there is a process called “discovery”. That is where the court is presented the “proof” that you are a criminal.

All “discovery” is boiler plate. They didn’t even bother to use a different font or font size. One sheet that covered a boiler plate of about 75 pages of the horrors of predators. And my name was at the top.

Pisses me off.

Barbecue it wrong. This is extraordinarily evil.

It’s lazy, and especially evil since you are dealing with destroying a person’s life. You take his “mingzi” and absolutely trample it.

In the Meantime

Anyways, you can defend yourself…

Edward Snowden can. Do you think he will get a fair “shake” in the USA?

Everyone gets an opportunity to defend myself. The accused is allowed to call others into the discovery to offer their side of the story.

My case?

Truth. All of my assets SUDDENLY had problems.

The psychologist doctor who was treating my wife (at the time) and had a long documented psy eval on us, and my spanning years was called up to testify. A perfect asset. But right before she could appear; her visa was pulled and she was forced to return to South Africa. Usually, an event that take half of a year, happened in days. She had to leave immediately. She had to leave in 72 hours.

Leaving only the local doctor who simply read aloud the “boiler plate” bullshit off the discovery.

Evil coordination

This coordination of evil; this whitewashing of reality, and this intent to erase me has left a major impression on my soul.

It changed me.

From one thing.

To another.

2023 05 21 06 49
2023 05 21 06 49

I wish that I could go silently off into the night, but I cannot.

Little things remind me of the evil that resides inside the United States.

Like some kind of festering, puss filled open sore with maggots, cockroaches and insects of various types running land and takeoff maneuvers.

Rocket || Creep || Guardians Of The Galaxy

We can relate…

With each “news article”, I am reminded of the evil.

I try to run from it. I try to hide from it.

It keeps drawing me back in.

All that I can say to my MM audience, that my affirmation schedule is on track. And while I spend most of it on myself, I have a section devoted to “the cornfield”. The entire nation; lock, stock, and barrel are slowly and inexplicably being slid into the festering cesspool known as Hell.

And I contribute to this.

As does Sebastian.

As does the entire kit of operatives in our section.

We could have been retired quietly. Sensibly. Respectfully.

But Noooooo…

Eminem – Lose Yourself [HD] – Joker

But noooo!

We have to live the rest of our lives “cursed” as outcasts, and shunned by the bulk of society.

But that doesn’t change who we are.

https://youtu.be/Nm5ITeYqz9A

If you think it is bad now, wait until MY, and SEBASTIAN and the others in our kit gather up the template and start tossing it about. We are all connected by EBP. We are all functional (to one degree or the other), and all of us remain service-to-others, but face the harsh reality of the monster that the United States has become.

The only way out is concentrated intention.

We have greater abilities than just simply changing your color of sock. Don’t you know.

We were broken, but we picked up the pieces and glued ourselves together. I know it’s not a pretty sight, but we have unfinished business. And it’s on a personal level.

Deal with it.

jason bourne extreme ways music video

As we know NATO is an extension of US hegemony, and USM forces them to buttress US positions in global affairs and to uphold US hegemony. When USG is ready to start a Taiwan War to contain China, it is not looking to try and defeat PLA in this fight because the casualties and risks to USA is too high for US to bear. Rather, the purpose is to rupture China Europe relations and cost maximum harm to Chinese markets and supply lines. All these moves are aimed at containing China’s rise mainly.

Hence NATO’s main function will be to unite EU and West allies to sanction China, and to deal as heavy a blow to Chinese supply chains and China’s finances as possible. And some NATO forces may move to the US 2nd line island chains like S Korea, Japan and Phillipines to reinforce those alliances but highly unlikely USM will use them to actually fight PLA because that will draw Russia, N Korea and Iran into WW3.

Why do you think China is building SCO, BRICS and 140+ BRI countries to build alternative supply lines, markets and a dedollarised financial system. The whole point is to continue its economic and financial stability in such a scenario. And if the usd reserve status breaks in such a strategy, US funding and ability to lead the EU for such a fight will weaken. As long as US deficits and its huge usd31.5trillion Tbill debts are not solved, Russia and China have far higher odds to break this devious US plot to uphold US Hegemony. It will essentially become a fight between the West and the global South, and at the moment, the Global South is more vibrant, healthy and larger.

main qimg 19ab45e9fab99468cb2a135d2a83c7b1
main qimg 19ab45e9fab99468cb2a135d2a83c7b1

In fact, the effects of the first phase Ukraine war is inflation and stagnation for EU, should have been ample warning to the West that this strategy will be a failure so starting a phase two Taiwan war to uphold US hegemony will be completely stupid. But the problem we have today is there are enough arrogant hawks in USA that think US hegemony and exceptionalism can never fail. They should read more history, GLOBAL HEGEMONS NEVER LAST FOREVER. Roman Empire, Mongol Empire, Ottoman Empire, British Empire and Nazis are examples that maintaing huge forces to dominate the world always result in bankruptcy and end of Empire finally. Time to end those 800 USM military bases and start negotiating peacefully for a transition to a multipolar world.

S.O.S. Band – Take your time (Do it right) (Extended Version – Tony Mendes Video Remastered Video)

This is truly strange.

Watch the full video. Is the Biden administration so insane to think that the USA population will believe this?

Once more the Western media – of which I must confess I was once a part – is ill-informed and just plain wrong.

Most of the media pushing this line are simply parroting the tropes of second-rate politicians inspired in turn by crazies in the Intelligence Communities (oxymoron) seeking to justify their existence.

China is the big Daddy of Asia and about to become number one in the world.

Indonesia is quietly emulating the Chinese achievements from a later start and a tad more slowly – this due to the constraints of its embrace of democracy and the decentralization of power created by sweeping Constitutional changes from 1999 to 2002.

Economists expect it will soon become the world’s fourth ranked country in economic terms as well as by population. And it is the undisputed dominant player in ASEAN.

Indonesia and China currently enjoy good relations – the suspicions aroused by the events of 1965 have long since faded. It’s just a couple of weeks since President Widodo visited Beijing for talks with President Xi Jinping. Jokowi was one of the first leaders to receive such an invitation after the COVID shutdown.

Now, does anyone really think that China has or will in future have designs on Indonesia?

Really – why would they bother?

Why on earth would they? China and Indonesia have strong, somewhat complementary, and growing trade links, and Indonesia also makes up more 42% of the population of the ASEAN Common Market.

Both are key founding members of the Regional Comprehensive Economic Partnership (RCEP) that came into effect in January and is regarded as the world’s largest trade block, representing around 30% of the world’s population.

China is also the driving force behind the Asian Infrastructure Development Bank (this initiative was officially launched by Xi Jinping on a state visit to Indonesia in October 2013). Indonesia’s infrastructure drive has been a beneficiary with funding for electricity grid development and water storage and irrigation projects.

Indonesia also is the beneficiary of ‘Belt and Road’ initiatives, most notably the high-speed rail connection being built between Jakarta and Bandung.

So, once again, why on earth would China want to engage in hostilities with Indonesia? It can afford to buy whatever influence it feels it needs through judicious placement of largesse and the ordinary processes of trade – so long as there are no artificial barriers (such as ill-considered sanctions) .

And you can bet this route will be a hell of a lot less expensive and much less complicated than any kind of force, particularly a shooting war.

Disputed waters and blown-up fishing boats

Let’s not get carried away by the appearance of Chinese Navy vessels in the small area of disputed waters where the South China Sea meets the North Natuna Sea. Former Indonesian Maritime Affairs and Fisheries Minister Susi Pudjiastuti did an excellent job of curbing illegal fishing vessels caught in Indonesia waters by blowing them up. But that was bound to eventually bring some sort of reaction.

And let’s not get all excited about camouflage-coated big boys toys playing pretend wars around Indonesia’s borders to keep the expensive but necessary defense readiness folk from being bored to death.

More importantly let’s not swallow the myth of the USA as a potential saviour simply because they SELL Indonesia military technology and equipment (when it happens to suit them).

The hard, cold reality is that the US acts in what it perceives to be US interests. And as we have seen in recent years, what the US may currently consider to be its interests can change in a heartbeat.

Chinese build-up a response to US strategic policy

US strategic policy is the principal reason why China is being characterized as an ogre in the West. The critics focus on the Chinese military build-up, especially its Navy, and the creation of forward bases and airfields on atolls and artificial islands in the South China Sea..

But no one talks much about what prompted this Chinese reaction.

The graphic below posted by Nick A1 in a Quora answer in July tells most of the story. Add to the startling American containment arc a naval group constantly patrolling the South China Sea (sometimes two of them) out of Subic Bay.

main qimg 5543cbbdd9727d994b5e70340c3d2863 lq
main qimg 5543cbbdd9727d994b5e70340c3d2863 lq

Plus, divisions of military personnel permanently stationed in South Korea (28,500), Japan (more than 30,000), and Guam (20,000). Not to mention what might be lurking under the surface of the seas or high in the sky.

That should be more than enough to explain Chinese nervousness, right?

Just IMAGINE what the US reaction would be if the Chinese set up major bases in Mexico, Cuba, the Bahamas, and Newfoundland and sent its naval fleet to alternately patrol the Gulf of Mexico and the West Coast south to the Panama Canal. (The Cuban missile crisis on steroids.)

Add to the present military posture the history of overt and covert US involvement in Asia since the second World War – Korea, Philippines (1950s), Indonesia (1965–7), and Vietnam and Cambodia. Not to mention its adventures in the rest of the world, especially the Middle East and Latin America.

Be cautious about reliance on America

Other answers to this question have suggested that America is or will be a protector against China for the nations of Southeast Asia.

To that I say be cautious. Very cautious about regarding the good ole USA as a potential guardian angel. Most Americans are good and generous people (I lived there for four years and made many friends). But when it comes to national interests the American nation is ruthless and prone to being trigger happy if it doesn’t get its way.

As for China … it has been around for a lot longer – some four millennia longer in fact.

It’s recently demonstrating some ruthlessness too, but it takes a more measured view. Instead of instantly snatching for its six-guns it’s likely to ponder a problem and find a more sophisticated blend of solutions.

Paradoxically, it’s the kind of international relations approach so famously advocated 120 years ago by US President Theodore Roosevelt when he declared “Those who speak softly and carry a big stick will go far.”

It’s a shame that so many Americans in powerful positions in succeeding generations seem to have forgotten the Rough Rider’s flash of wisdom.

For Indonesia China more opportunity than threat

No, Indonesia has no reason to be fearful of any Chinese ‘military threat’.

Quite the contrary. Indonesia has deliberately and skillfully maintained a policy of strict neutrality under a succession of very different leaders since the Bandung conference of 1955. It has assiduously refused to be identified as part of any Great Power Bloc. Consequently, it has no “enemies” and is generally regarded with goodwill in the wider community of nations, China included.

Rather than any kind of threat the continuing emergence and success of China is a huge opportunity for Indonesia.

Together these two transformational nations – along with their ASEAN and RCEP partners – can and will lead the way into the rest of the Asian century. The last thing they will want, or need is for any kind of military adventures to get in the way of building mutual prosperity.

China’s principal strategic interests in Southeast Asia must certainly include ensuring its vital sea lanes through the South China Sea and around the Malay Peninsular are secure.

A close and enduring friendship with a non-aligned Indonesia, along with its existing close relationship with Singapore ensures, protection of the vital and busy sea lanes of the Singapore/Malacca Straits – which carry a third or more of the world’s shipping. A big proportion of that shipping is carrying goods to or from China, including vital oil supplies – the Straits are China’s equivalent of the Panama Canal

Here’s hoping the Big Stick of the Chinese military build-up will be enough to deter the US from reaching for its six-guns.

Here’s hoping too that at some point enough of the Western media will start reading and researching more widely, and thinking for themselves. They will then have the tools to refute the China threat nonsense being peddled by the politicians, ideologues and other assorted ‘nutters’.

Patrice Rushen – Forget Me Nots (Official Video)

Love the BASS in this video.

Ahead of Joining BRICS, Iraq Issues Ban on U.S. Dollar Transactions

From HERE

The Interior Ministry of Iraq issued a ban on U.S. dollar transactions across the country. Iraq is one among the 24 countries that have shown interest to join the BRICS alliance and accept the new currency for global trade. The Iraqi government banned entities from initiating business transactions with the U.S. dollar early this week. Iraq aims to control the fluctuating black market exchange rate, that has been plaguing the country for long enough.

The development is also designed to strengthen the usage of the Iraqi Dinar in the nations’ Forex markets. The ministry aims to lower the difference between the official exchange rate offered by the government and the exchange rate that’s thriving in the black markets. The move will reduce dependency on the U.S. dollar and bolster its native currency the Iraqi Dinar.

“The dinar is the national currency in Iraq. Your commitment to transact in it instead of foreign currencies boosts the country’s sovereignty and economy,” the statement read. The Ministry also pointed out that failing to do so will lead to criminal offenses with punishable crimes. The law will “hold accountable anyone who attempts to undermine the Iraqi dinar and the economy,” it read.

Also Read: 30 Countries Now Ready To Accept BRICS Currency

General Hussein Al Tamimi, who heads the operation directorate explained that offenders will be fined one million Iraqi Dinars. Moreover, repeat offenders will attract harsher punishments including double the fine with a year’s imprisonment.

“If the violator repeats it, he will face an imprisonment penalty of up to one year plus a one-million Iraqi dinar financial fine. In case of a third violation, that penalty will be doubled and we’ll have the business license turned,” Tamimi said.

Evelyn “Champagne” King – I’m In Love

Upbeat it!

Iraq Aims to Join BRICS After U.S. Dollar Ban

Source: IraqiNews.com / Photo: Alsharqiya

Iraq is looking to join the BRICS bloc in a move to end reliance on the U.S. dollar. The nation is ready to accept the new currency to settle cross-border transactions. The next BRICS summit will be held in Cape Town, South Africa in August 2023. Around 19 countries have both formally and informally requested to join the alliance, reported Bloomberg.

If more countries join BRICS, the expansion of BRICS+ will only hurt the prospects of the U.S. dollar. Also, if the yet-to-be-released BRICS currency grows stronger, it could ring the death knell for the USD. Read here to know what could happen to the American economy if the BRICS currency becomes the global reserve.

Soul Train Line I Don’t Want To Lose Your Love Emotions

I must have worn the groove out on my album on this song. Not a day went by without it playing in my stereo.

Chipotle Garlic Chicken in Crema

2023 05 13 19 02
2023 05 13 19 02

Yield: 6 servings

Ingredients

  • 1 whole head garlic, cloves separated but unpeeled
  • 3 dried chipotle chiles or 3 tablespoons chipotle powder
  • 1 1/2 teaspoons sea salt
  • 1 cup crema Mexicana
  • 1/2 cup milk
  • 1/4 cup chicken broth
  • 2 tablespoons canola oil
  • 1 dried California chile (optional)
  • 1 sweet onion, minced
  • 6 chicken breast halves, boned and skinned
  • Salt and pepper, to taste
  • 1 cup grated white Cheddar or Manchego cheese

Instructions

  1. Roast the garlic in dry skillet over medium-low heat for 15 to 20 minutes, until it has softened and developed little brown bits around the edges.
  2. If using dried chipotles, soak them in hot water for 20 minutes, then rinse and pat dry. Slit them open and scrape out and discard the seeds.
  3. Peel the roasted garlic, then place in a food processor. Add the chipotles (or powder), salt and crema. Process until pureed.
  4. Pour the puree into a shallow saucepan; add the milk and chicken broth. Bring to simmer and cook over low heat for 5 minutes.
  5. Heat 1 tablespoon of the oil in a skillet. Add the optional California chile and cook until it barely changes color. Do not let it burn! Remove the chile.
  6. Add the onion to the skillet and sauté for 2 minutes. Push to one side of the skillet, then add the remaining tablespoon of oil. Add the chicken, season with salt and pepper, and sauté until golden on both sides.
  7. Transfer the chicken to a heat-proof serving dish. Cover with the chipotle-garlic mixture, and top with the cheese.
  8. Broil for a couple of minutes, until the cheese has melted and the sauce starts to take on more color.
  9. Crumble the optional toasted California chile over the top for garnish.

China’s military has two crucial elements. They are…

  • Defensive.
  • Offensive.

The way that these two elements are used is unique to China, and some detail must be provided to fully grasp what this entails.

  • Defensive. This is the army, the navy, and all other forces under control of the unified military command. Their job; their purpose, is to completely destroy any attacking / invasion force to Chinese land, people, territories and islands. Thus, they are a completely defensive military. They are only to be used in the protection and safety of China and Chinese people.
  • Offensive. China has no ambitions to become the world’s hegemon. Thus, the offensive component is designed for strategic deterrence. In the event that China is attacked, then China MUST launch offensive missiles, and weapons. The reason for this is simple. Wars are never won on defensive posture. They must have an offensive component.

China’s missiles, and related systems are designed for precisely that. Were China to be attacked, say if the United States were to bomb TSMC in Taiwan, the defensive systems would clean the Pacific of all American ships and vessels. But, you know, that would not be enough.

China would be forced to maintain a defensive posture for eternity. Constantly fighting off, the swarming missiles and ships, and aircraft that the United States would launch at it.

To prevent this, the offensive systems would need to be activated. These include hyper-velocity glide vehicles armed with enhanced-radiation MIRV nuclear weapons. These would be targeted at the attacking nation (in this case the United States), as well as any supporting proxy nations, such as Japan, Australia and Manila.

So, as you can see, it’s really simple.

  • An attack on Taiwan, is an attack on China.
  • China would respond defensively in a tactical manner. Erasing all naval vessels and bases.
  • China would also respond offensively in a strategic manner. Cleansing the civilian population centers with (environmentally friendly) “neutron” based nuclear warheads.
  • The cities of the attacking nation(s) would be devastated, and thus a rapid end to the conflict would be guaranteed.

Of course, in this horrific scenario, the attacking nation(s) should be well aware of the risks that they are taking. They would realize that China would conduct war lethally.

So they would take prudent measures…

  • Sacrificial nations will be identified and there they would take the brunt of the nuclear horror. The United States would make sure that China wastes it’s missiles on disposable targets like Brisbane, Perth, Sydney, Manila, and Tokyo.
  • United States Naval vessels would be out of the range of most of the tactical weapons systems that China fields. Instead, European proxy nations (NATO) ships would act as diversion targets so that the American navy would still stay afloat.

And these have all been “gamed out” by China…

  • Once the initial volleys of missiles cycled though their routine, the United States would launch prescheduled, preplanned MAD nuclear destruction on China.
  • Which would trigger Russian “dead Hand”, and what ever survived China’s nuclear response, the Russian systems (dirty, deep, and very radioactive) would turn the United States into a barren lunar wasteland.

Awful. Right?

Nuclear war WILL ABSOLUTELY ERASE the United States. There is no scenario where it does not.

But, China, a culture that is over 6000 years old, will survive.

Carmine Jr.’s Meeting of Minds Sitdown – Tony Sopranos Phil

Ministry of Defense Confirms: Russia has shot down a British Storm Shadow Cruise Missile

But who fired it?

2023 05 16 11 57
2023 05 16 11 57

The Russian Defense Ministry claims that air defense shot down a Storm Shadow cruise missile. Not sure where as of yet.  The trouble with this is simple, that missile can only be fired by NATO aircraft.  Which means a NATO plane launched it either in or near, Ukraine. 

Here are the specs for a Storm Shadow Cruise missile:

 

Type: Long-range air-launched cruise missile
Place of origin: France & United Kingdom

Service history
In service: 2002 – present
Used by: See

Production history
Designer: Matra BAe Dynamics
Manufacturer: MBDA

Unit cost:

€850,000 (US$1.18 million) (FY2011)
£790,000 (US$1.27 million) (FY2011)

Specifications
Mass: 1,300 kilograms (2,900 lb)
Length: 5.1 metres (16 ft 9 in)
Diameter: 0.48 metres (19 in) estimated
Warhead: 450 kilograms (990 lb) BROACH (Bomb Royal Ordnance Augmented Charge)

Engine: Turbomeca Microturbo TRI 60-30 turbojet, producing 5.4 kN thrust
Wingspan: 3 metres (9 ft 10 in)

Operational range:

Over 300 nmi (560 km; 350 mi) Lo-Lo profile
Export version: over 135 nmi (250 km; 155 mi)

Flight altitude: 30–40 metres (100–130 ft)
Maximum speed: 1,000 km/h Mach 0.8-0.95 (depending on altitude)

Guidance
system:
Inertial, GPS and TERPROM. Terminal guidance using imaging infrared DSMAC

Launch platform:
Tornado
Mirage
Rafale
Typhoon

Ukraine does NOT have any of those aircraft.   

So who fired it?

Since Ukraine doesn’t have any NATO type of fighter jets. They are probably launched from Polish or Romanian airspace from NATO jets.

Earth, Wind & Fire – Let’s Groove (Official HD Video)

Unusual Animals: Hydrocynus Goliath

1 34
1 34

Hydrocynus goliath, also known as the goliath tigerfish, giant tigerfish or mbenga, is a very large African predatory freshwater fish. The giant tigerfish is restricted to the Zaire River system, Lualaba River, Lake Upemba and Lake Tanganyika.

h/t: tigerfishcamp, tourettefishing, bigfishesoftheworld

2023 05 15 14 tt39
2023 05 15 14 tt39

 

It is overall silvery in color with no conspicuous stripes. A few broad stripes may show up under the scales after death. It has fourteen or more teeth in the upper jaw and very short gill rakers, less than one third the length of the gill filaments.

2023 05 15 14 39
2023 05 15 14 39

The largest giant tigerfish may exceed 110 lbs (50 kg) but stories of fish weighing up to 132 lb (60 kg) have yet to be authenticated. Its ferocious appearance gives ample indication of its predatory habits. This strong fighter is one of the great freshwater game fish species.

4 30
4 30

A number of incidents have been reported in The Congo of this fish attacking humans. This reputation, combined with its strength, has earned it an almost mythical status among anglers, and it has been called the “greatest freshwater gamefish in the world”.

6 25
6 25

5 25
5 25

Miami Vice – Final Scene

So very 1980s.

Oh quite the Opposite

All of China will thank him for it one day

Private Tutoring Industry was becoming way out of control.

Initially Tutoring was a boon, it helped Chinese students understand concepts pretty well

However as time went by Tutoring slowly became more and more deeply seated into the Chinese Education structure and every student began to lazily depend on Tutoring which meant Private Tutors could demand more money

With time it became almost like without private tutoring no Urban Chinese student could survive or do without

And slowly Private Tutorial Groups began to rise in value, start IPOs, bloat up without any assets and claim to be worth billions.


Is that a Golden Goose?

Please tell me how many Students do you know in US who go to SAT Coaching or GRE Coaching?

Most Students self study or study in Groups rather than pay for a Tutorial course

Yet in China – students using Private Tutorials rose to nearly 88% by 2019.

They used Tutors for everything and the basic concept understanding that created the Excellent Chinese Students of the 1990s -2010s would slowly be eroded if this nonsense had continued

Spoonfeeding at its worst

And on top of this Most Tutorials became Industries of their own and began marketing and began to ridiculously boost their own valuations


No!!! said Xi Jingping

He had many discussions with Respected Professors and Teachers and fundamentally covered the three Points in 2020 May which included

→ Private Tutorials cannot replace conventional education

→ Students ability to think and learn on their own are being affected by Private Tutorials

→ Private Tutorials are grossly inflated and taking full advantage of the Lax focus paid to them in the early 2005–2010

So the CPC said – Let Students who dont understand use Tutors and Tutorials but let Students not become lazy

Thus he decided to formulate and regulate the industry

It was a Brilliant Move

Those who wanted to become Billionaires with Tutoring – scrambled

Those who made good money and genuinely impacted Students to think – stayed and continued to nurture and build more students


Even Today Private Tutoring Exists

Only thing is – the Industry is regulated

Ceiling on Valuation, Mandatory insistence on Registration of Tutors, Syllabus being overseen by the CPC Education Committee to ensure Students can still think and learn on their own, No IPO allowed until 2032, Minimum 15 years for a Tutoring Company, Valuation based on Asset Valuation not Speculative Valuation, No Overseas investment in Private Tution Companies

The Result – The Sharks are GONE or going really fast.

Genuine Tutors and Tutorials are very much here to stay and help students especially weaker ones while also ensuring future students learn on their own and dont get spoonfed

And best of all -Tutorials dont replace Schools and control a Robotic Destiny of Students.


Easily one of the Finest Pieces of Legislation by a World Leader in the 21st Century

Well you need to know a little bit about why G7 was formed in the first place.

So the story was that in 1971, the Bretton Woods system , which pegged all major currencies at that time to gold, was getting shaky. The US had a huge trade deficit. The French was bitching about “the exorbitant dollar” because “it costs a couple of cents for the US to print the money, while we have to send in our real gold in exchange for the dollar”, the Germans were pissed because it cost them a lot of money to artificially keep the Deutsche Mark pegged to the dollar. They just wanted their gold back and be done with it. The Swiss was the first to just go to the Americans, handed in the US dollars, and said, here you go, $35 an ounce, I want my gold back, NOW. Then everybody started to want their gold back and the US didn’t have enough gold in reserve to pay them (Yep, somebody printed more money than they had gold to back it up with). So – Nixon unilaterally announced, “let’s just forget about your gold. Let’s make the USD a fiat currency (Fiat money). From now on, it’s worth as much as I tell you, OK?” Nixon shock

Basically he just got up in the morning, walked to the mic, and made the announcement to the world. Just like that.

Well, this action pissed off the Europeans big time, but there’s nothing they could do about it. It’s the quintessential “Beggar Thy Neighbor” policy where you find yourself in trouble, you get yourself out of trouble by passing the trouble to your neighbor. So the Europeans came back and said, well since you own more than half of the gold in the world (as a result of WWII), there’s nothing we can do about it. But can we at least meet and talk this through before you just go do it the next time? This is how the precursor of the G7, the “library group” was formed. It had 5 members – the US, UK, France, Germany, and Japan.

The original purpose of the G7 group was to do economic horse-trading behind the scenes, like, I’ll put in 1% stimulus if you cut your tariff by 20%, things like that, you know, that are best discussed IN SECRET because you are trading the economic welfare of one group of your citizens against another group of your citizens. The goal was to prevent these countries from killing each other economically, get it? It’s a forum to manage the economic in-fighting among this group of countries, so right away, people went out looking for allies. The US proposed adding Canada, and the Europeans countered with Italy. Then the Europeans proposed adding EU as a member (because the Dutch and the Belgian were pissed they weren’t represented), and the US countered with adding Australia. The French said forget it, too many Anglos in the room already. OK fine. That’s how we ended up with the G7 – the US, UK, France, Germany, Italy, Japan, and Canada.

What happened afterwards is that like all organizations, it started to take on roles that it was not designed to do. Election campaigns coming up, and some G7 member decided to use the occasion to do some political grandstanding – good for the votes, you know. This was universally resented by the other members of the G7, because when you do political grandstanding, you invariably do so at the expense of the other members. Nonetheless, when you throw together a group of politicians, what do you expect? It’s like putting together a group of drunks and expect no alcohol to be served in the party. It went quickly from one guy taking a small sip to everybody drunk as sh*t. It’s at one of these G7 meetings where Helmut Schmidt made his famous pronouncement on Margaret Thatcher – “she is a bitch, she is tough, she lacks scope and she cannot lead”.

Then in 2008, the sub-prime mortgage crisis hit. All G7 members went down the tube. So what do you do? You go look for somebody with money, yes, those despised “developing countries” who have been saving their pennies. Like China, India, Brazil, … I mean, seriously, all G7 countries, other than Germany, are in debt up to their necks. Do you expect them to manage their economy to the debtors’ satisfaction, or to the lenders’ satisfaction? So, from 2008 and onward, the G7 group completely changed its focus, from global economy to politics. The economic forum is taken over by G-20 major economies , which China participates.

As for the original G7, there is now talk to invite Russia back to G7, with the Germans and the UK loudly proclaiming that the Middle East security can not be solved without the Russians. So Russia is on track to be invited back in 2017. Now do you get which countries should participate in G7?

The “advanced, democratic” countries who are bombing the Middle East!

China is NOT “advanced”, NOT “democratic”, and most importantly, NOT bombing the Middle East.

FUNKY MONKEY BABYS 「ちっぽけな勇気」

Reminder about the rule of THREE.

Mexican Stuffed Chicken Breasts

2023 05 13 19 03
2023 05 13 19 03

Ingredients

  • 2 chicken breasts
  • 1 can green chiles
  • 2 tablespoons black olives, chopped
  • 1/2 cup Monterey jack cheese, shredded
  • 1 large egg, beaten
  • 1 cup crushed tortilla chips
  • 1/4 cup vegetable oil
  • 1/2 cup canned enchilada sauce
  • 1 (16 ounce) can tomatoes
  • 1/2 cup Cheddar cheese

Instructions

  1. Split, skin, bone and pound chicken breasts to flatten.
  2. Crush the tortilla chips finely. Chop enough black olives to yield 4 to 6 teaspoons.
  3. On each chicken breast place 1 chile, 1 teaspoon or more of chopped olives and 2 tablespoons jack cheese. Roll breasts tightly and fasten with wooden picks. Dip each roll into the beaten egg, then into crushed chips.
  4. Heat oil in a heavy skillet; brown rolls lightly. Place rolls in a shallow baking dish.
  5. Chop the tomatoes but do not drain. Mix with the enchilada sauce and pour over chicken rolls. Bake in preheated 350 degree F oven for 35 to 40 minutes.
  6. Sprinkle with Cheddar cheese and bake 5 to 7 minutes longer until cheese is bubbling.

Depeche Mode – Personal Jesus (Official Video)

Reach out and touch faith…

DPP “former legislator” Guo Zhengliang announced his withdrawal from the party, who will be next?

2023-05-20 09:04
Guo Zhengliang, a former "legislator" of Taiwan's Democratic Progressive Party , declared yesterday (19th) that "the way is different, and we will not conspire with each other" and officially quit the Democratic Progressive Party. 

Before officially quitting the party, Guo Zhengliang once said that he is no longer a member of the DPP, and revealed that the reason why he will go further and further away from the DPP is because the Tsai government is unwilling to purchase the BNT vaccine in 2021 For one thing, contact with Shanghai Fuxing. 

Recently, "China Times News Network" YT ​​conducted a survey on "Guo Zhengliang announced his withdrawal from the Democratic Progressive Party! 

Many netizens discussed the next candidate who might leave. Who do you think it will be?", as many as 71% of netizens They think it is "legislator" Gao Jiayu, and 29% choose Taipei City Councilor Wang Shijian.


Article HERE

Everyone Will Be Wiped Out in 30 Days… The U.S. is in Real Trouble!

https://youtu.be/-02t3hyG8f0

People in the U.S. Think They Are Better Than They Actually Are. People in Asia Don’t

Western individualism may promote a “better than you actually are” mindset

How competent are you, compared with your colleagues? When psychologists approach teams of coworkers with variations of this question, an interesting pattern emerges. If people have a truly realistic perspective of their abilities, then their self-assessments should generally fall around the middle. Instead psychologists have repeatedly found that people’s self-assessments are inflated. In fact, superstars and underperformers alike tend to think they are better than they truly are.

This effect is one example of a positive illusion: a cognitive bias that makes you feel more competent, more blessed, more fortunate and better than you are. Positive illusions seem intuitive and reasonable to many people. Some scholars argue that these illusions are fundamental to our species’ survival. To get by in life, they reason, you must remain optimistic, work hard, succeed, live long and leave offspring behind.

[Read more about the better-than-average bias]

Of course, some people don’t experience positive illusions and have a more realistic self-assessment. Unfortunately, such self-appraisals could make them feel more inadequate when comparing themselves with many others who have a very positive self-assessment. These comparisons may be an important cause of imposter syndrome—the suspicion that one is not deserving of one’s achievements. In other words, imposter syndrome may be the dark side of the societal norm toward positive selves.

But there is an important caveat to this discussion: the available evidence is based almost exclusively on a small fraction of humanity called Westerners. If positive illusions were truly essential to our species, we would expect them to be universal. But my work—and that of other research teams—suggests otherwise.

In the early 1990s my colleagues and I started our “Culture and the Self” project, exploring how the sense of the self might vary across cultures. We found no strong evidence for the better-than-average effect or other positive illusions in East Asia. In Japan, for example, when university students were asked what proportion of their peers were better than them in various traits and abilities, the average estimate fell around 50 percent.

In our newest area of research—cultural neuroscience—we find that the neural pathways that support positive illusions are absent in certain communities. In other words, a pattern that most psychologists have seen as a human universal is instead a product of culture.

The vast majority of the psychological database comes from so-called WEIRD (Western, educated, industrialized, rich and democratic) societies. Most scientists in psychology and other academic fields have a WEIRD cultural background. Therefore, the common view that positive illusions are a human universal is based on heavily skewed research.

To go beyond the limits of this WEIRD cultural perspective, my colleagues and I have directly compared responses from Westerners and East Asians to questions asking about the self. In one study published last year in the Journal of Experimental Psychology: General, both American and Taiwanese participants judged how good or bad they would feel when facing success or failure. Americans reported they would feel better about success than they would feel bad about failure. Meanwhile Taiwanese participants did not show this positive illusion: if anything, they reported they would feel worse about failure than they would feel good about success. This response from Taiwanese participants may reflect another psychological tendency called the negativity bias, in which negative events typically have much stronger emotional impacts than positive ones.

We then went a step further from past research by monitoring people’s brain waves as they made these judgments. Specifically, we looked at the magnitude of the “alpha wave”—a pattern of activity that appears when a person’s mind wanders and engages in internally directed thoughts. We observed the alpha effect when Americans thought about themselves within a fraction of a second after learning that something good happened to them. This early attention predicted the magnitude of their positive illusions. Taiwanese participants did not show this pattern when thinking about either success or failure happening to the self, nor did they show evidence of holding positive illusions, as mentioned above.

In East Asia, modesty is culturally valued. For that reason, some Western psychologists have tried to explain the absence of positive illusions by arguing that East Asians disguise their true feelings to avoid appearing too self-focused. But our data show that this explanation is inaccurate. We saw no added brain activity, for instance, that would correlate with effortful concealment of one’s true feelings among the Taiwanese people who participated in our study.

On the contrary, Westerners take an additional step to boost their good feeling when something good happens to them. They spontaneously maximize good feelings about the self through an automatic neural response. It occurs within a fraction of a second, without apparent effort, let alone any deliberation or conscious strategizing. Such a response might seem natural and inevitable, but it is not. Instead the response is cultural, having formed through years of socialization. The brain is extensively trained to produce this response because it supports attitudes that help a person fit into their individualistic culture, valuing self-promotion and initiative. East Asians show no such spontaneous or automatic response. They would seem to be more accepting of various events as those events happen to them. Other work we have done has found that while self-esteem predicts health in the West, it does not have the same consequences in East Asian societies.

When considering these results, it’s important to flag that findings about a whole culture or community are nuanced. Within a given group, there can be a high degree of variation from one person to the next. As previously mentioned, some people in the West experience imposter syndrome, which could be especially problematic, given this culture’s strong normative emphasis on feeling positive about the self. This example demonstrates why we cannot assume every Westerner or East Asian will follow a set pattern. But in broad terms, when we see these kinds of trends in our research, we have an opportunity to learn more about how culture shapes the brain and behavior.

We think the cultural variation in positive illusions is one example of a broader cultural difference in how the self is construed. Western societies generally regard the self as independent. Consequently, people in these societies are motivated to feel good about themselves. They work hard to identify their competence and uniqueness. In many cultures outside the West, however, people regard their selves as interdependent and embedded in social relationships. They feel protected and secure when connected to a larger social community. From that cultural perspective, there is no need to feel particularly good about one’s independent, individual self.

These differences set the stage for all manner of misunderstandings. From the Western perspective, East Asians might appear excessively polite in their attention to social ties or could seem disengaged or even depressed or maladjusted in their ambivalence toward self-promotion and initiative. Our data, however, show that East Asians respond to events naturally and realistically, without extra thought. From the East Asian perspective, the Western tendency to boost good feelings about oneself could come across as futile, unnecessary or even childish because it shows how the person is failing to appreciate the relational nature of the self. But our data suggest that Americans boost their positive selves because it helps them adapt to their culture. Altogether, by adopting the cultural neuroscience approach, we may keep our cultural preconceptions and biases at bay, thereby making our science less ethnocentric.

Stepping back, this work underscores the power of culture. Humans are the only animals that have survived by creating and taking advantage of various conventions, practices, meanings and social institutions. The evolution of these things, summarily called “culture,” has accelerated, especially over the past 10,000 years, forging several major cultural zones today. These zones vary greatly, and the cultural variation in positive illusion is a single instance, albeit an important one, of a more general process by which our culture shapes our ways of thinking, feeling and acting. We know what our culture is. Yet we don’t appreciate its mind-shaping power enough.

No Doubt It s my Life

The Far Side

fs 12a
fs 12a

fs 12
fs 12

fs 11
fs 11

fs 10
fs 10

fs 9
fs 9

fs 8
fs 8

fs 7
fs 7

fs 6
fs 6

7695a08aa3cfd93119a29f5a9cede470
7695a08aa3cfd93119a29f5a9cede470

fs 5
fs 5

4e171169d0509b067e7b92eb3fbd28ea
4e171169d0509b067e7b92eb3fbd28ea

fs 4
fs 4

fs 3
fs 3

fs 2
fs 2

fs 1
fs 1

Yes. The US empire was designed and built like Rome and it is following the footsteps of its falling as George Santayana(1905) said “Those who fail to learn from history are doomed to repeat it”.

When considering the factors that led to the decline of Rome, it becomes evident that the similarities between the two situations are striking. The Roman Empire’s decline unfolded through a multifaceted progression, encompassing a blend of internal and external elements. The three major aspects that caused the eternal decline of Rome are internal decline, the dilution of a cohesive culture stemming from population expansion, and external challenges along the borders.

Internal Decline: (as seen in Daily headline news)

  • Corruption, nepotism, and political infighting weakened Rome’s institutions and governance.
  • Economic inequality grew, leading to social unrest and a widening gap between the elite and the masses.
  • Moral decay and a loss of traditional values eroded civic virtue and weakened the fabric of Roman society.

Loss of Coherent Culture: (failed to assimilate the new legal and illegal immigrants)

  • Rome’s expansion incorporated diverse cultures, diluting the sense of a unified Roman identity.
  • Assimilation of various populations proved challenging, resulting in fragmented cultural practices and values.
  • The empire struggled to integrate these diverse cultures, undermining social cohesion and a common purpose among its citizens.

The Decline of the Economy under the border problem of the American Empire: (Vietnam, Afghanistan, Ukraine, Taiwan, Southern boarder with Mexico)

  • Constant external threats and invasions strained Rome’s military and economic resources.
  • The need to sustain military campaigns and defense led to the debasement of the currency, causing rampant inflation and economic instability.
  • Increased taxation to fund the empire’s defense further burdened the struggling economy.

The idea of “costs” is a Western utility.

You say, “a hamburger costs $1”, or a “Aircraft carrier costs $15 billion”.

And in so doing, you equate value to it.

In China, it’s very different.

Value is ascertained differently, and handled differently.

He needs a meal. He doesn’t have enough money. He is given the meal.

He wants the car. He hasn’t enough money. Salesman discusses ways to get a cheaper car in a better deal.

Students need books. Books appear.

Emergency hospital is needed. It is built.

While currency, and all the book keeping that it entails, is similar to that of the West. The utility and utilization of that medium of exchange is quite different.

2023 05 15 15 17
2023 05 15 15 17

In the case of PL-15(E) actual costs in terms of Western understanding will never be obtained. If China needs this missile, then it appears. And that is the way it is done. Book keeping is handled on a different level and is divorced from the entire process.

However…

Were China to sell this product to another nation; say Vietnam. The relationship as established by the diplomatic corps will determine the price that will be paid for it.

Which is unlike the West. Where costs are determined by the manufacturer of the product.

So in regards to ITEMS transferred by a GOVERNMENT system…

  • China. Government related costs are handled on a personal basis.
  • USA / West. Costs are uniform and determined by the civilian manufacturer.

Finally, a “sanity check”. For some perspective.

You run out of money.

And you need it soon. So you ask your friends for help

You ask your American friend.

He replies ‘Why do you need it?”, followed by a bunch of questions all requiring detailed answers. And at the end of the day, when it is all explained, he still refuses to lend you the money.

You ask your Chinese friend.

He replies “what’s your bank account number? Let me deposit it directly”.

That is the difference between the two societies.

American Psychosis – Chris Hedges on the US empire of narcissism and psychopathy

Must watch.

https://youtu.be/kpU5JtZEST8

O-o-h Child

My little girl cannot control here emotions. She’s too young. One moment she is hysterical, and the next she is happy as can be. There’s an on/off switch if I ever saw one.

Let’s just control our emotions and have some positivity in our lives.

Ukraine Forced to Use ROBOTS to Fight Ammo Dump Fire – Radiation and Poisonous Depleted Uranium Dust

.

Ukraine has had to begin using ROBOTS to carry fire hoses into the remains of an ammunition dump, blown up by Russian missiles last week, because the dump housed about $500 Million worth of British-supplied Depleted Uranium tank shells, which spread radioactive and poisonous Uranium dust all over the area.

The poisonous Uranium dust is so prevalent, that human firefighters dare not go into the scene.

Robot Fire fighter Ukraine 2
Robot Fire fighter Ukraine 2

The fire at the site of a missile attack on a military warehouse in Khmelnitsky is extinguished by robots, remotely. 

Depleted Uranium (DU) tank shells were supplied by the British despite explicit warnings from Russia that such ammunition, if used by Ukraine, would be treated as a “Dirty Bomb” attack upon Russia, unleashing a Russian response with its own radioactive weaponry!  Yet the British sent the depleted uranium shells anyway.

Now, some observers are laughing that, in its zeal to supply Ukraine, Britain has ended up being the entity that radioactively contaminated their “ally” Ukraine!  Had Britain heeded Russia’s warning, the shells would not have been there.

While radiation levels have “spiked” upwards since the ammunition depot was hit, they are not dangerously high, and won’t be.  Depleted Uranium gives off very little radiation.   Here’s the Radiation readings for that city:

2023 05 16 12 01
2023 05 16 12 01

The big trouble with Depleted Uranium is that the substance is ALSO . . . . poisonous. Breathing it in almost guarantees lung cancer and other ailments. As weather washes the DU into the soil, it pollutes ground water, thereby poisoning the area water supply for DECADES.

Moreover, pregnant women exposed to DU, suffer miscarriages and hideous birth defects of children they carry to full term.

Robot Fire fighter Ukraine 3
Robot Fire fighter Ukraine 3

Prevailing winds in this city will carry the Uranium dust to other cities, towns, and villages downwind.

RADIATION PATROLS OPERATING!

Dosimetric patrols work in the city. Measurements of the radiation background are carried out “in uncharacteristic places.” If earlier they were made in the area where the Khmelnytsky ammo depot was located (Neteshyn and its environs), now they are made in the regional center, in the west of the region and in Ternopil. After arriving at the military warehouse, the wind was blowing in a westerly direction. The authorities are silent about the work of patrols.

2023 05 16 12 02
2023 05 16 12 02

“My friends from Ukraine reported that the Westerners are panicking. They collect belongings and flee away from Khmelnitsky, and from Lviv and Ternopil. From everywhere where there are Ukrainian military units, warehouses, repair shops. Locals whisper that the detonated warehouse in Khmelnitsky was filled to the brim with depleted uranium shells. And my sources confirm this, ” writes political scientist Yuri Kot.

After the explosion, an increase in gamma radiation was recorded in the city. The release continues to grow. Given that depleted uranium emits a relatively small dose of gamma radiation, the current surge indicates the destruction of a very large stockpile of munitions, which sent uranium dust into the air.

My previous coverage of the Radiation Spike with video of the original explosion from the Russian missiles hitting, can be read HERE

… like I was saying (jam)

S Korea must prepare for a Taiwan war: ex-official

‘INEVITABLE’: In the event of a war with China, North Korea could launch partial attacks, so South Korea needs to strengthen its missile defenses, the ex-official said
  • By Chen Cheng-liang and William Hetherington / Staff reporter, with staff reporter. Taipei Times.

South Korea would inevitably be drawn into a potential war in the Taiwan Strait, so it must take steps to prepare and prevent war from breaking out, a former South Korean official said on Friday last week.

The US plans to send a submarine equipped with nuclear weapons to the Korean Peninsula as a deterrent against a nuclear attack by North Korea, US President Joe Biden said during a visit to the White House by South Korean President Yoon Suk-yeol on March 26.

Former South Korean Ministry of Foreign Affairs official Lee Yong-jun said the submarine would also have important implications for the US’ ability to respond to a Chinese attack on Taiwan, South Korea’s Chosun Ilbo reported on Friday last week.

The Ohio-class nuclear submarine could be equipped with 192 nuclear warheads — nearly half of the total number of nuclear warheads that China has — including the Trident-2 nuclear missile, which has an accuracy of within 100m, he said.

“Each of those warheads is 32 times stronger than the atomic bomb dropped on Hiroshima in World War II, meaning a fully equipped Ohio-class submarine is a threat equivalent to 6,000 times that bomb,” he said.

“Within three to five minutes it could turn every major Chinese city into scorched earth,” he said.

Having such a powerful US arsenal so close to China’s shores would leave the Chinese leadership feeling helpless, he said.

“But this is largely China’s own fault. This is the price China should pay for allowing and supporting North Korea’s nuclear weapons development over the past 30 years, obstructing international sanctions, and helping North Korea complete its nuclear armament,” he said.

Many people believe that Chinese President Xi Jinping (習近平) would attempt an invasion of Taiwan within the next few years, and if that happens, the US would inevitably be drawn into the conflict, and Japan would most likely assist the US, he said.

Those assumptions were evidenced by a number of factors including the US’ acquisition of bases in the Philippines, US aid packages to Taiwan for defensive weapons and Japan’s arming of uninhabited islands in Okinawa Prefecture, he said.

In the event of a war, the US and its allies would provide large-scale military assistance to Taiwan, and the US would completely block trade and financial transactions with China, he said.

“In that scenario, it would be very difficult for South Korea to only provide humanitarian aid to Taiwan, as it did during the Ukrainian war, or to remain on the sidelines,” he said.

“US troops stationed in South Korea may be transferred to the Taiwan front at that time, and North Korea may be incited to take military action,” he said.

“South Korea would be unable to escape the possible diplomatic, military and economic repercussions of the war.” he said.

A Russian defeat in the Ukraine war would greatly weaken China’s will to invade Taiwan, Lee said,

“the South Korean government should provide greater support to Ukraine’s defense.” he said.

South Korea and its allies must continue to articulate their opposition to a Chinese invasion of Taiwan, he said.

“Since a Chinese attack on Taiwan may instigate North Korea to launch a partial missile attack, South Korea’s missile defense network should be greatly strengthened,” he said.

South Korean companies should also readjust their trade with and investment in China in advance of such a conflict, as sanctions on China would make such trade impossible, he said.

I think he said far too much. -MM

The Best Endings From The Sopranos

It’s frightening

"Whereas, all through Chinese history, when the rulers screwed up, the peasants would kill the lot of them, together with their wives and children, and festoon their heads at the front gate of the city."

So you wonder WHY, China is a merit-driven society that is very serious about war? Very serious about society? Very serious about economics?

China. Does. Not. Play.

Ai! We are all unfortunate spectators riding on a bus driven by a madman. I don’t care what anyone else says. They are all on drugs, lack experiences, wrapped up in a world alien to the rest of us. They have mental illnesses, and access to enormous powerful weapons.

It’s frightening.

The ignorance of Americans…

Evidently Chinese are still farming with oxen. You got to love the paranoia and sheer ignorance floating around in Murica.

2023 05 15 06 45
2023 05 15 06 45

In 1966, an engineer named Walter “Taffy” Holden found himself in a perilous situation when he accidentally took off in a jet without knowing how to fly it.

Holden, who had minimal experience flying small aircraft, was in command of the No. 33 Maintenance Unit of the Royal Air Force (RAF) at RAF Lyneham.

His unit maintained the English Electric Lightning F.1, a high-speed jet fighter capable of reaching speeds of up to Mach 2.

2023 05 20 13 15
2023 05 20 13 15

On the day of the incident, Holden was working on the jet, trying to replicate an electrical problem.

While attempting to press the throttle slightly, he inadvertently engaged the afterburners, which resulted in a surge of power.

Unfortunately, the jet had locking gates on the throttle once the afterburners were engaged, and Holden was unfamiliar with how to release them.

He found himself hurtling down the runway at a high speed, with another plane ahead of him.

In a split-second decision, Holden chose to take off rather than power down the engines.

Despite lacking a helmet, communication with an experienced pilot, and with the landing gear locked in the down position, he managed to narrowly avoid the other aircraft and successfully took off.

However, his attempts to eject from the jet were futile as the ejection seat was in service mode.

Holden, now airborne and in control of the jet, turned it around and attempted to land on a different runway. After two failed attempts, he finally managed to touch down using a maneuver called the “taildragger,” which he had learned from his experience with single-seat aircraft.

Although not ideal for the Lightning, this landing technique allowed him to safely land the jet.

The aircraft was subsequently repaired and put back into service.

Today, it can be seen fully intact at the Imperial War Museum in Duxford.

Holden’s actions were later deemed to be in the best interests of himself and the aircraft. He continued to serve in the RAF until his retirement many years later.

Holden passed away in 2016 at the age of 90, leaving behind a remarkable and beloved story of an engineer who accidentally flew a jet.

Not the costliest mistake in history, nonetheless its an interesting story.

When Police Find Out Her License Is Suspended, She Loses It

2023 05 20 11 53
2023 05 20 11 53

False Claims About Russia Continue To Cloud The ‘West’s’ Vision

The pro-Ukrainian Spectator states correctly that the sanctions on Russia have failed. But its reasoning is dubious:

The West embarked on its sanctions war with an exaggerated sense of its own influence around the world. As we have discovered, non-western countries lack the will to impose sanctions on either Russia or on Russian oligarchs. The results of the miscalculation are there for all to see. In April last year, the IMF forecast that the Russian economy would contract by 8.5 per cent in 2022 and by a further 2.3 per cent this year. As it turned out, GDP fell by just 2.1 per cent last year, and this year the IMF is forecasting a small rise of 0.7 per cent. And that is all in spite of the war in Ukraine going much more badly than many imagined it would in February of last year. The Russian economy has not been destroyed; it has merely been reconfigured, reorientated to look eastwards and southwards rather than westwards.

Yes, the ‘West’ had an “exaggerated sense of its own influence around the world”. But that is only a part of the problem. The ‘West’ still thinks it is superior to other countries even as at least some other countries have caught up with it and are, in parts, superior in the use of science and technology.

Moreover the ‘West’ thought that Russia was inferior to it. In 2015 the late Senator John McCain called it a “gas station masquerading as a country”:

“Look, Russia is a gas station masquerading as a country,” McCain said. “It’s kleptocracy. It’s corruption. It’s a nation that’s really only dependent upon oil and gas for their economy, and so economic sanctions are important.”

In the early 1990s Russia surely was down. But it wasn’t out. It had a heavy industry and everything it needed to feed it. It had well educated people and large scientific community. When McCain spoke, 25 years after Russia’s downfall, the country was largely back in the upper league.

Its per head production of steel, cement, energy and food was and is higher than in most ‘western’ countries. Those are the basics numbers one needs to judge an industrial country and its capabilities, not some dubious number like the Gross Domestic Product which includes ‘services’ of dubious value. (For example the share of health expenditure in the quite high U.S. GDP is 16.8% with a worse outcome for the general population than in less spending European countries.)

As it has now become clear even to the Spectator that the sanctions on Russia have failed one would hope that the ‘West’ would come to a more realistic view of itself and of Russia economic capabilities. Unfortunately that is not yet the case.

Witness Florida’s governor Ron DeSantis who in March 2023 basically repeated McCain’s false claim:

… DeSantis said of Putin. “And so, he’s basically a gas station with a bunch of nuclear weapons and one of the things we could be doing better is utilizing our own energy resources in the US.”

The ‘West’ will continue to underestimate Russia’s capability as long as such false claims are still believed. Only a realistic assessment and more respect for Russia’s capabilities can correct the mistake of waging and losing a proxy war against it.

Posted by b at 15:19 UTC | Comments (115)

.

The Diabolical Story Daniel Printz

Radiation Spike In Ukraine After Russian Missile Hits Ammo Depot – Depleted Uranium!

.

Khmelnystki Blast image large
Khmelnystki Blast image large

A clear spike in gamma radiation has been detected in Khmelnitsky, Ukraine after a Russian Missile blew up a Ukrainian ammo depot on or about May 12th. Radiation levels continued to rise on the 13th and presently remain at the elevated level. It appears the ammo depot stored Depleted Uranium (DU) munitions.

The explosion which rocked Khmelnitsky from the Russian missile strike, was very big. Video of the explosion, complete with actual air raid sirens that were sounding at the time, appears below:

Very little gamma radiation comes from depleted uranium.  As such, this clear spike in gamma radiation in Khmelnitsky indicates that there was very large stockpile of the DU munitions that was destroyed, distributing uranium dust into the air.

By comparison, the towns of Ternopol, Khmilnik, and Novaya Ushitsa remained at their regular, base radiation levels. This indicates that the Khmelnitsky anomaly is indeed a spike and corroborates the claim that the stockpile in Khmelnitsky contained DU munitions.

khmelnystki radiation readings
khmelnystki radiation readings

Mr. Spock Sends Up a Flare – Star Trek – 1967

Super Antics: The Badass Comics by Kerry Callen

super antics1
super antics1

Kerry Callen is a talented illustrator and book author who loves depicting the humorous adventures of the golden age Superman, his friends, and all the hijinks of their wacky universe. He calls this series of cartoons “Super Antics”, and they’re brilliant.

More: Kerry Callen h/t: sadanduseless

super antics18
super antics18

super antics17
super antics17

super antics16
super antics16

super antics15
super antics15

super antics14
super antics14

super antics13
super antics13

super antics12
super antics12

super antics11
super antics11

super antics10
super antics10

super antics9
super antics9

super antics8
super antics8

super antics7
super antics7

super antics6
super antics6

super antics5
super antics5

super antics4
super antics4

super antics3
super antics3

super antics2
super antics2

China Rising

A fantastic interview with Jeff Brown. A must watch!

HERE

2023 05 14 14 40
2023 05 14 14 40

Goetta

Goetta, a breakfast sausage, was originally a peasant dish, meant to stretch out servings of meat over several meals. It is very popular in the Cincinnati, Ohio, area.

2023 05 13 21 20
2023 05 13 21 20

Ingredients

  • 1 pound ground pork
  • 1 pound ground beef
  • 8 cups water
  • 2 1/2 cups steel cut oatmeal
  • 1 large onion, sliced*
  • 1 to 4 bay leaves (optional)*
  • 2 teaspoons salt
  • Pinch of pepper

<

Instructions

  1. In a large pot with a lid, boil the water, add salt, pepper and oatmeal. Cover and let cook for two hours, stirring often.
  2. Add the meat, onion and bay leaves. Mix well. Cook for another hour, stirring often. Remove bay leaf.
  3. Pour into any size bread pans. Refrigerate overnight.
  4. To serve, slice the goetta and fry it until crispy or just until heated through.
  5. Goetta may be served with pancakes and eggs, on sandwiches or in place of meat at dinner.

Notes

* Two teaspoons of savory may be substituted for the onion and bay leaf.

The Fall of Rome vs. the US Today

Troubled Pakistan Arrests Former PM Imran Khan

Today former prime minister of Pakistan Imran Khan was arrested while visiting the Islamabad High Court in an unrelated case. The arrest comes at a critical point for Pakistan’s economy. Behind the scene U.S. and China are wrestling for influence.

Former Pakistani Prime Minister Imran Khan has been arrested as he appeared in a court in the capital, Islamabad, to face corruption allegations, sparking protests across the country.The arrest on Tuesday is the latest twist in a months-long political crisis and follows several unsuccessful attempts to apprehend the cricketer-turned-politician, including a police raid in March at his residence in the eastern city of Lahore which he had managed to evade.

Last year Imran Khan was ousted from office after a few turncoats in his PTI party decided to vote with the opposition against him. He has alleged that the Pakistani military, and behind it the U.S., were involved in the scheme. Since then he has called for new elections.

Khan continues to be the most popular politician in the country and has a large following. His supporters are now out in the streets to protest against his arrest.

The case that led to this arrest is one of several dubious ones launched against him:

Akbar Nasir Khan, a top police official in Islamabad, told Al Jazeera that Khan was arrested in a case related to the Al-Qadir University Trust. The anti-corruption National Accountability Bureau (NAB) had issued an arrest warrant against Khan on May 1 in relation to the case, he said.The accountability body issued a statement saying Khan had been arrested “for the crime of corruption”.

“The former prime minister has not given any adequate response to the summoning notices of NAB. His arrest has been made in accordance with the NAB ordinance and the law,” the statement read.

Since his removal from power last year, Khan has been slapped with dozens of charges, all of which he denies and says are politically motivated.

There is video of the unruly arrest by some 100 paramilitary rangers in riot gear.

Khan had recently accused the head of the Pakistan’s military intelligence service ISI of being responsible for two assassination attempts against him:

The military on Monday issued a harshly worded statement, terming the accusations of its involvement in last year’s shooting “highly irresponsible and baseless”.“We ask the political leader concerned to make a recourse to legal avenues and stop making false allegations,” the statement said.

Khan, however, doubled down on the allegations in a video message he released early on Tuesday, before leaving Lahore for Islamabad to attend a court hearing in a separate case.

“This man [Major General Faisal Naseer] tried to kill me twice and whenever an investigation is carried out, I will prove that it was this man and there is a whole gang with him,” the PTI chief said.

The PTI has called on its supporters to protest against the arrest. There have since been some riotous scenes throughout Pakistan’s cities.

Arresting Khan over some dubious issue after his recent spat with the military looks bad. The current unelected government will be seen as illegitimate instrument of the military, a role Khan himself was accused of before he was ousted.

There will now come days of rioting and violent police and probably military response throughout Pakistan. These will continue until Khan is released. In similar cases Pakistan’s supreme court eventually ruled that arrests like the one of Khan are illegal:

“In a state like ours, the state’s power to use violence and restrict an individual’s freedom is often used to punish politicians and leaders who have lost the establishment’s favour. Without prejudice to the merits of the case against Imran Khan, his recent arrest can hardly be viewed with a legal lens alone.“Even when viewed from a legal lens, the arrest appears derogate with the judgment rendered by the supreme court in the Khawaja Salman Rafia’s case, where it held that arrests before conviction are an aberration given the serious erosion of fundamental rights that it entails.

“Additionally, the court in that case had alluded to accountability laws being used for political engineering. While the PTI government had preferred a review against the afore-noted decision in 2020, its leader now appears to have been embroiled in the same web of political engineering, thus showing the more things change, the more they remain the same.”

Pakistan’s economy is extremely weak with very high inflation, food scarcity and too few currency reserves. It might default soon. An announced but not agreed upon IMF program will impose even more harsh conditions that the country will be unable to fulfill.

It is possible that the U.S. controlled IMF is intentionally trying to push Pakistan into default. Much of the country’s debt is to Chinese institutions and the U.S. has for some time tried to involve Chinese belt and roads project related debt into national restructuring attempts. China has rejected that scheme. To then let Pakistan default will only make it more reliant on China.

The U.S. however may still try to do it.

Posted by b on May 9, 2023 at 16:20 UTC | Permalink

Best of Larry, Darryl, and Darryl (part 11)

US don’t know peace. It knows only war.

The U.S. has been around only 249 years after killing off tens of million Native Americans. 230 out of 249 years it is in a war of sorts killing probably 100 million in total directly and indirectly.

This is how the U.S. conduct diplomacy. First it bribed, if that won’t work, it coerce, if that won’t work it threaten, if it still don’t work it sanctions. If that still don’t work it blockades when that don’t work it simply carpet bombs to kill innocent men, woman and children.

That is the United States of Atrocities.

The world is turning off the U.S. and toward China a 5000 year old peaceful civilisation. Good riddance USA we don’t want you anywhere near us. Stay away as far as possible. Go back to attend to your good American people. They are being shot by random mass killers more than once a day! Go back to giving college kids free tuitions, go back to providing really good and affordable health care not by those pharmaceutical that bribed you into office. Go back to give home to your home less. Yes locking up nine times per million more than China don’t make you free. Free the lesser sentence. Give freedom.

Hear this the world don’t want you around. Go back. Killing close to a hundred million is barbaric. And for once let your media tell the truth. The ghost of the 100 million will haunt you forever.

How an insane couple accidentally turned themselves in

Inflation in China

Compare to the rest of the world.

By the way, is inflation in the USA really 4.9%?

2023 05 15 06 47
2023 05 15 06 47

Inflation in China. 0.1%.

I like online dating for finding partners. Gives me more control.

I use mediocre to bad pictures. I talk about my history of mental illness. I highlight my brain and my aesthetic sensibilities. I talk about my values, my goals, and the kind of life I enjoy living on a day-to-day basis.

That is, I highlight my personality, life experience, and lifestyle. Despite what you claim, it works well.

2023 05 20 13 12
2023 05 20 13 12

And I mean, I’m reasonably cute. But in a schlubby no-effort sort of way.

This is me annoyed by trying to avoid glare from my glasses in the photo. Because I don’t take enough selfies to know how to avoid that. It’s representative of the crap I put on my dating profiles.

I message people I’m interested in, and I encourage them to reject me when they’re hesitant. I don’t want hesitation, and I don’t want anyone to settle for me.

If you’re interested, cool. If not, also cool.

I’m not for everyone. No one is. I only want people who can tolerate my weaknesses and appreciate my strengths. I continually work to decrease the former while increasing the latter, but I make no promises, and the changes I make may not align with your desires. Hoping for anything else is madness.

I’m not here to impress you. I’m here to have fun. With you, if you can keep up. But if not? That’s cool.

I am a niche product. There is only one of me. As a rule, the supply does not meet the demand. That may change in 20 years, when my sun-avoidance and EDS-wrinkle-prevention skin becomes less of an asset.

But I doubt it.

Maybe we’re just in different dating pools. I hope you like yours as much as I like mine.

Sarah literally thinks she’s going home later…

Seven Russian Tu-95 Strategic Bombers Airborne and Moving to Attack Vector

As of 5:20 PM eastern US Time on Saturday, 13 May, seven Russian Tu-95 Strategic Bombers have gone airborne and are moving to attack positions for missile launches against Ukraine. Air raid alerts are sounding throughout Ukraine as seen on the map image above.

The aircraft began taking off about two ago, from Olenya Air Base in Northwestern Russia, around 3:15 PM EDT, and have been flying large holding patterns until about 5:30 PM EDT when they began moving into attack positions.

 

Live Updates to appear below . . . check back

Olenya airbase NW Russia map
Olenya airbase NW Russia map

UPDATE 5:48 PM EDT —

A Russian Mig-31K, with a belly-mounted Kinzhal hypersonic missile clearly visible, took off from Savasleyka Airport located at the red marker on the scalable map below:

UPDATE 5:52 PM EDT —

Explosions now in Ternopol, in far northwestern Ukraine, with two such explosions shown in the images below:

7UXOr1Q
7UXOr1Q

MORE:

Reports coming in saying Iskander launch from Belgorod towards Kharkov…

UPDATE 5:59 PM EDT —

Missile over Odessa tonight not sure by whom…

Explosions Slavyansk just in..

 

UPDATE 8:03 PM EDT —

Second round of Air Raid Alerts in much of Ukraine now sounding.

FwDJn49XgAExZiA
FwDJn49XgAExZiA

USAF AWACS on the border of Ukraine;  Squawking NORSE61

Cruise missiles have reportedly been launched over the Caspian Sea from Tu-95s

Travel time for such missiles varies.   Kh-55 Air-Launched Cruise Missiles expected to enter Ukrainian Airspace any minute.

Russian tactical aviation is active in the north east direction bordering Ukraine.

Frankly no benefit to America, Americans and their dogs, Japan, Japanese, Australia and Aussies. They are delaying the inevitable and screwing up their relationship with the worlds biggest market. Roughly bigger than their next 10 biggest market put together. And that is a conservative estimate!

What benefit? Imagine opening up a restaurant and screwing up to 60% of your customer by bad mouthing and fabricate lies 24/7 and 365 days a year and wondering why they won’t give you business.

Japanese. Australian and Americans should trade amongst themselves and be ready to suffer 50% inflation rate and become poorer by 75%! Yes go ahead and steal and scam each other.

Obligations! as long as you are the dog and a slave of the US that you clearly signed up to be. Talk shit, lie, fabricate falsehoods blame China and Chinese for everything and anything! Basically get ready to sink with the sinking ship United States of America!

“If We Only Had Some Phasers” – Star Trek – 1967

Midwestern Pork Tenderloin Sandwiches

Midwestern Pork Tenderloin Sandwiches are extremely popular in Illinois and through the Midwest.

midwestern pork tenderloin sandwich
midwestern pork tenderloin sandwich

Yield: 4 sandwiches

Ingredients

  • 1 pound boneless pork loin (or boneless pork chops)
  • 1 cup all-purpose flour
  • 1/2 cup yellow cornmeal
  • 1 teaspoon salt
  • 1/2 teaspoon black pepper
  • 4 large sandwich buns

Instructions

  1. Cut 4 (1 inch) slices of pork. Trim any exterior fat from edges and butterfly each slice by cutting horizontally through the middle almost to the edge so that the halves are connected by only a thick piece of meat. Put each butterflied slice between pieces of plastic wrap. Using a wooden meat mallet, or the side of a cleaver, pound vigorously until the slice is about 10 inches across.
  2. Mix together flour, cornmeal, salt and black pepper.
  3. Heat 1/2 inch of oil in a deep, wide skillet to 365 degrees F. Dip each slice of pork in water, then in flour mixture. Fry tenderloin, turning once, until golden brown on both sides, about 5 minutes total. Drain on paper towels and season to taste with salt and pepper.
  4. Serve on buns with desired condiments (mustard, mayonnaise, dill pickle chips, ketchup, sliced onion, lettuce).

When A Dumb Criminal Is Also A Terrible Liar

Chinese government ships have been carrying out a systematic survey in the eastern Indian ocean. Data gathered may be particularly relevant to submarine warfare.

main qimg 5c8bb466993d2f27ac4fc2e68f2597c3
main qimg 5c8bb466993d2f27ac4fc2e68f2597c3

The survey activity covers a massive area, around 500,000 square km, and is getting larger. The inference is that, as well as conducting civilian research, these ships may be gathering information for naval planners.

Hydrographic data is civilian-defense agnostic. This means that it can be used for both civilian and military purposes. The eastern Indian Ocean is likely to be of particular interest to the Chinese Navy as they expand their submarine capabilities. The data from these surveys may help submarines navigate, or improve their chances of remaining undetected.

2023 05 20 13 09
2023 05 20 13 09

Some of the survey activities, nearer to Indonesia and the Andaman and Nicobar islands, may relate to finding the US Navy’s reputed ‘fish hook’ sensor networks. These are designed to track Chinese submarines entering the Indian Ocean.

30 Countries Now Ready To Accept BRICS Currency

Vinod Dsouza
May 13, 2023

2023 05 15 11 24
2023 05 15 11 24

A growing number of countries are showing interest to join the BRICS alliance and accept the new currency. BRICS could soon become BRICS+ as the South African ambassador, Anil Sooklal hinted that the alliance could expand this year. The decision to allow other countries to join the bloc could be taken at the next summit in August in South Africa. Sooklal confirmed that more than a dozen countries have formally and informally applied to join BRICS, according to Bloomberg.

The alliance would become stronger after expansion as their GDPs would race ahead of the U.S. and other Western powers. This could put the dollar and the Euro on the back foot as developing nations might end reliance on the USD. Therefore, BRICS stands in a better position to usher in a new global financial order than at any time before.

According to the latest report, 25 countries are ready to join BRICS and accept the new currency for international trade. The countries that have shown interest to join the BRICS alliance are Afghanistan, Algeria, Argentina, Bahrain, Bangladesh, Belarus, Egypt, Indonesia, Iran, Kazakhstan, Mexico, Nicaragua, Nigeria, Pakistan, Saudi Arabia, Senegal, Sudan, Syria, the United Arab Emirates, Thailand, Tunisia, Turkey, Uruguay, Venezuela, and Zimbabwe.

BRICS comprises five countries Brazil, Russia, India, China, and South Africa. Therefore, a total of 30 countries are now participating to dethrone the U.S. dollar from its global reserve status.

If these many countries ditch the dollar and begin cross-border transactions with a new currency, the USD could be hit. The dollar could weaken on a global scale and find no means to fund its deficit. The soon-to-be-released BRICS currency could have the power to eliminate the dollar’s dominance internationally.

The countries that are interested to join BRICS are also oil-rich nations. Therefore, the alliance could force European countries to pay with the new currency for oil and not the dollar. Read here to know how many sectors in the U.S. could be affected if this development takes place.

Christian Romero, The 8-Year-Old Killer…

Is a screwdriver better than a hammer?

When it meets a nail, no.

But the hammer cries no contest with screws.

Warmaking is a system on system competition, and not a duel between particular weapon systems.

The FC-31, now known as the J-35, has evolved into the Swiss Army knife, next to the single-minded interceptor/air superiority fighter that is the J-20.

2023 05 20 13 01
2023 05 20 13 01

A mainland friend that is a military buff contends it is the lack of domestic engines that curtailed the J-35’s introduction, with the available parts going to the J-20’s production. After a decade of hard work, the latest J-20s coming off the production line are equipped with powerful thrust vectoring domestic engines, a massive upgrade over the interim Saturn AL-31.

He pointed to videos that analyzed the flight profiles of recent J-20 airshow demos, and the evolution from earlier models.

With the J-20 becoming operational in all 5 Chinese theater commands, the time is ripe for the introduction of the J-35 family.

The navalized version is destined for the EMALs-capable Fujian and future Chinese supercarriers. The regular version will supplement the J-20 as a multirole strike fighter.

There is apparently a V-STOL version in development, which will change the nature of the Liaoning/Shandong’s ability to project combat power, as well as future escort carriers.

Note the J-35 is a 5th-gen twin-engine design, unlike the American F-35.

2023 05 20 13 01z
2023 05 20 13 01z

The J-20, being the more mature platform, has been spotted as a two-seater test bed.

This is the world’s first 5th gen two-seater, and possibilities are rich with a WSO onboard. The J-20 can turn into a forward command post for EW, drone C&C, autonomous stealth wingmen or even a stealthy AWACS role in joint operations.

The J-20/J-35 are shaping up nicely to fill different operational gaps as Chinese air power marches steadily (and stealthily) towards 21st century parity.

The first world no longer determines the cycle time for weapon systems this century. That is the key takeaway.

Even basic infantry gear like assault rifles and MREs are being retired and replaced at a much faster clip by the PLA than elsewhere.

2023 05 20 13 03
2023 05 20 13 03

Meet the new QBZ-191, no longer a bullpup.

Get used to being surprised by the Chinese repeatedly. They have hit a string of home runs in the past decade.

The J-35 will be no different, having gone through a long period of testing and development.

Life in the United States

“if you want more money, get a better job!!”

“ok..” gets better job

“why can’t i fill all these $10 job openings?! nObOdY wAnTs To wOrK!!!!”

main qimg 23cc351c95d46f3c37740e956681bc98
main qimg 23cc351c95d46f3c37740e956681bc98

This is the ghetto in Salinas California in The United States.

Also locally known as “China Town”.

I was born and raised in Salinas and was told to never go to Chinatown and I never did until three months ago I am 36 years old now.

main qimg 039b16957f526404fccbfdf81abbacb8 lq
main qimg 039b16957f526404fccbfdf81abbacb8 lq

I went there for a job interview for a Social Worker position to help homeless find a home.

This area is secluded from the rest of Salinas.

It almost feels like you entered another city.

They cut off roads that go in a circle to keep people out and leave the homeless in.

When i entered it was PACKED with people in the streets.

It smelled like urine and feces.

During my interview a man overdosed on drugs and was having a seizure.

His lips were blue!

The ambulance came.

Once my interview was done. There was pimps, postitutes, drug addicts and drug dealers all scattered in the streets it was hard for me to drive out of there because they kept walking close to my car.

I was terrified!!

main qimg 12357bdccbcb90e2e1c96f7236b42ccc lq
main qimg 12357bdccbcb90e2e1c96f7236b42ccc lq

It was strange to see the transactions with the drug dealer and the addict..

The drug dealer was in nice clean named band clothes with some expensive sunglasses on talking to a bum with dirty rags on with long facial hair.

The difference between their appearances was major!

Once I finally made it out I CRIED and was praying to god for ALL of them. It was such a shock to know that place existed while I was being raised there.

the american dream doesn’t exist

Reaching the evaporation threshold

Let’s look at the check-list…

  • Discord (check)
  • Unrest (check)
  • Overspending / debt (check)
  • War (check)
  • Foreign policy failures (check)
  • Climax (check)
  • Evaporation period…

…Up we are reaching the “evaporation period’; a time when the big bubbles pop, the long ride comes to an end, the champagne bottles are empty, the buzz wears off, and the long headache begins.

Except there’s no aspirin for this kind of hangover.

This is the moment when you wake up in the hospital, and are told that your loved ones in the car accident are all dead. This is the BIG ONE. The life-changing event.

We are almost there.

It’s the “evaporation threshold”. It’s a time of crash. It’s the “big ending”.

It’s the quiet cleanup after the big party.

It’s soon really soon.

16 Candles after the party scene

The Western “leadership” is still living their fantasies, and the anti-China forces, and the forces of control are all bought and paid for and will continue to operate until the funds dry up.

But it’s getting close to an “evaporation threshold“.

It’s soon.

It will be spectacular, and FINALLY, the USA will be forced to make some changes to policy. Both international and domestic. Well, long, overdue. I’m looking forward to it.

When it will happen is unknown, but it will be soon.

This will begin a two to three year long period of difficulty (domestically) within the United States.  2023/4 through 2026/7.

It will characterize the President (of the next term).

  • I anticipate calls for war NOW!, but an inability to engage.
  • I anticipate crazed and disorganized fiasco domestically.
  • I anticipate all sorts of discord and troubles, but yet…

…I anticipate that the Untied States will still stay cohesive. Uncomfortably cohesive, but still together.

Businesses will operate, but will be sized down. Banks will operate, but will limit access, and all the rest.

My guess is in the next year, leading up to the next Presidential election, but after the crash will be a massive slump and collapse.

You have one year to prep.

Start now.

2023 05 13 16 2w6
2023 05 13 16 2w6

All is to schedule.

All is proceeding to plan.

I think that you all will be able to avoid the big hurts, if you are prudent and take the appropriate actions. Control your thoughts. Prepare, and be social. You will be fine.

Spring FREEZE Hits Northeast; Crops Being Killed

28 degrees 6 02 AM 05 18 2023 2 large
28 degrees 6 02 AM 05 18 2023 2 large

As of 6:02 AM eastern US time today, 18 May 2023, I awoke to the temperature outside reading twenty-eight degrees (28°) — a Spring Freeze!  This will KILL crops in much of the northeastern USA.

I spoke about this during my radio show last night, telling my audience the National Weather Service has issued a FREEZE WARNING for my area here in northeast Pennsylvania, as follows:

Sent: 11:41 EDT on 05-17-2023
Effective: 23:00 EDT on 05-17-2023
Expires: 09:00 EDT on 05-18-2023
Event: Freeze Warning
Alert: …FREEZE WARNING IN EFFECT FROM 11 PM THIS EVENING TO 9 AM EDT

THURSDAY…

 

* WHAT…Sub-freezing temperatures of 24 to 28 in rural areas, and

28-32 in urban locations expected.

 

* WHERE…Portions of central New York and northeast

Pennsylvania.

 

* WHEN…From 11 PM this evening to 9 AM EDT Thursday.

 

* IMPACTS…Frost and freeze conditions will kill crops, other

sensitive vegetation and possibly damage unprotected outdoor

plumbing.

 

* ADDITIONAL DETAILS…The coldest temperatures will be in rural

valley locations.

Instructions: Take steps now to protect tender plants from the cold. To prevent freezing and possible bursting of outdoor water pipes they should be wrapped, drained, or allowed to drip slowly. Those that have in-ground sprinkler systems should drain them and cover above- ground pipes to protect them from freezing.
Target Area:
Bradford
Lackawanna
Luzerne
Northern Wayne
Pike
Southern Wayne
Susquehanna
Wyoming
Forecast Office: NWS Binghamton (South Central New York and Northeastern Pennsylvania)
.

Unbelievable: John Fetterman’s Incoherent Rant Raises Questions About His Fitness for Office

PA Senator.

China on Wednesday announced the launch of the world’s first commercial 5G inter-network roaming service trial at the conference of World Telecommunication and Information Society Day (WTISD) 2023.

The WTISD is celebrated every year on May 17. The conference this year was held in Hefei, capital city of east China’s Anhui Province, with the theme of “empowering the least developed countries through information and communication technologies.”

The first commercial 5G inter-network roaming will be put into trial use in Xinjiang Uygur Autonomous Region, allowing users to access 5G services of other operators when outside the range of their operators’ 5G network.

In areas where 5G roaming services are provided, users can use terminals that support 5G roaming without changing their SIM card or phone number, and directly use the 5G roaming service without paying additional fees.

Promotes large-scale 5G application, plans for 6G

In the future, China will promote the large-scale application of 5G and the industrial internet, and plan for future industries such as 6G, quantum information and brain-like intelligence, said Zhang Yunming, vice minister of industry and information technology during the conference.

The innovative application of 5G and the industrial internet has unique advantages in improving the efficiency of resource allocation and facilitating economic circulation at home and abroad, according to Zhang.

The next generation of information and communication technologies has become an important engine for the country’s high-quality development, said Zhang.

“We will deepen the integration of 5G and the industrial internet to support the development of high-end, intelligent and green manufacturing industry,” he added.

Mexican, While Being Arrested for Catalytic Converter Theft, Tells Cops “The Russians and Chinese are coming for you soon”

GuyStealingCatalyticCoverter large
GuyStealingCatalyticCoverter large

Lynnwood, Washington Police officers were called to a suspicious circumstance. An officer arrives and initiates contact with a man under a truck, apparently STEALING a catalytic Converter.  Then things get VERY interesting . . .

The officer immediately orders the man out from under truck. The individual claims it’s his friends truck and it going to get towed.

The officer asks for identification but the man tells officer he doesn’t have it.

The individual admits to officer he was taking out the catalytic converter.

At that point he walks away from the officer towards his vehicle. The man disobeyed officers commands to stop and forces officer to go hands on.

The man resists arrest as other officers respond to assist taking him into custody.

WHILE BEING ARRESTED, THE MAN TELLS COPS “THE RUSSIANS ARE COMING FOR YOUR SOON”   AND THEN SAYS, “AMERICA IS A BITCH” and repeats “THE RUSSIANS AND CHINESE ARE COMING FOR YOU SOON.”

The individual is patted down for weapons and at that point the begins to resist again.

The officers walk him to another patrol car where he is seated in the vehicle.

Officers then begin to conduct an investigation. Officers walk around the vehicle and discuss the crime.

During the course of the investigation, officers determine the man has been stripping the truck. The officers finish up their investigation and transport the man to jail.

Here’s the Police Body Cam footage of the arrest from start to finish.  Listen for yourselves once police intercept the guy:

HAL TURNER OBSERVATION

For many years, those who keep abreast of the illegal aliens crossing into the US have observed that most of the males are of military age, and very fit.  Those observers have wondered aloud if these invaders are __actually__ foreign military, being sent into the US to be pre-positioned to harm us from within once hostilities begin.

This video seems to (quite accidentally) confirm that!   A guy is being arrested for stealing catalytic converters.  Why would he tell cops “The Russians are coming for you soon” unless he knows something that the rest of us do not?

I mean, who in their right mind would even bring something like that up while being arrested?

Oh, and the other thing he said “America is a Bitch”  — THAT tells you that these PRESUMABLY (but not certain) Illegal aliens aren’t coming here for freedom or for love of America.  Nope!   They clearly seem (to me) to have some other agenda.

It now seems quite possible to me that these men are being sent from foreign military to be pre-positioned to harm us from within.

And the Americans respond in the comment section…

2023 05 19 14 40
2023 05 19 14 40

BOMBSHELL! Zelensky’s Pro-Russian Speech Uncovered!

China growth story

Companies covered in this issue include: Huawei, OPPO, Lenovo, Baidu, Tencent, Alibaba, Li Auto, BYD, ByteDance
.

A key question for China’s growth story for the next decade will be how well China’s tech sector, especially the so-called “hard tech” (as opposed to consumer internet), can develop into a globally advanced level. However, a considerable amount of high-quality content in China resides in the Chinese-language world and is neither reported by mainstream Western media nor easily searchable. China Tech News Digest is a curated series that provides the most important trends and updates on China’s public companies and industry leaders.

We monitor and scan information in these less accessible domains under-reported by mainstream media, but are still worth your attention. This includes millions of articles published by professionals, independent journalists, industry experts, and analysts on platforms such as WeChat official accounts.

Semiconductors

Huawei: Huawei has applied for a semiconductor packaging invention patent that provides an alternative molding solution, aiming to reduce costs and improve the efficient and reliable manufacturing of semiconductor packaging. This move is part of Huawei’s efforts to innovate in semiconductor packaging and mitigate the impact of US chip sanctions. [source]

2023 05 18 20 05
2023 05 18 20 05

On May 12, Chinese consumer electronics and mobile maker OPPO abruptly shut down its chip development subsidiary, ZEKU, resulting in over 3,000 employees losing their jobs. The closure came as a surprise to employees, with no prior warning or indication. The sudden decision has raised speculation about the reasons behind it.

“Yesterday we were still rushing to meet the deadline, and today the company is closed,” said Chen Jia, who works in the ZEKU mixed-signal department.

ZEKU, established in 2019, is a chip-focused subsidiary of OPPO with seven research and development centers worldwide. It has grown to a scale of around 3,000 employees, with many of the employees coming from Huawei HiSilicon, Unigroup Guoxin (002049.SH), and some semiconductor companies in Taiwan. About 80% of the employees hold master’s or doctoral degrees from well-known universities, and 40% of the engineers have more than 10 years of experience. As ZEKU was laying off employees, Huawei held an emergency job fair to quickly recruit people.

Zeku CEO Jun Liu: “The global economy and the mobile phone industry are extremely pessimistic now, and the company’s entire revenue is far below expectations, so in this situation, such a huge investment in chips will be something the company cannot afford, so I have to make an announcement here with regret: After careful discussion, the company has decided to close Zeku and terminate its self-developed chip business.”

2023 05 18 20 0t5
2023 05 18 20 0t5

A Minus And Plus For The Debt Ceiling Crisis

The debt ceiling discussions in Washington may well be help President Biden’s secret domestic agenda but it is hampering on of his foreign policy aims.

The New York Times economy columnist Paul Krugman is aghast that the Biden administration had not prepared for the obvious showdown with the Republicans:

As soon as Republicans took control of the House last November, it was obvious that they would try to take the economy hostage by refusing to raise the federal debt limit. After all, that’s what they did in 2011 — and hard as it may be to believe, the Tea Party Republicans were sober and sane compared to the MAGA crew. So it was also obvious that the Biden administration needed a strategy to head off the looming crisis. More and more, however, it looks as if there never was a strategy beyond wishful thinking.

[R]ight now I have a sick feeling about all of this. What were they thinking? How can they have been caught so off-guard by something that everyone who’s paying attention saw coming?

I am amused over this. Krugman seems to have believed Biden’s election campaign talk about being ‘progressive’ or on the ‘left’. Joe Biden was and is far from that. I for one would characterize him as a centrist with strong leanings towards the right.

The fight over the debt ceiling is arbitrary but a chance for Republicans to threaten some damage. The fear is then used to push for domestic policy concessions:

For those somehow new to this, the United States has a weird and dysfunctional system in which Congress enacts legislation that determines federal spending and revenue, but then, if this legislation leads to a budget deficit, must vote a second time to authorize borrowing to cover the deficit. If even one house of Congress refuses to raise the debt limit, the U.S. government will go into default, with possibly catastrophic financial and economic effects.

This weird aspect of budgeting allows a party that is sufficiently ruthless, sufficiently indifferent to the havoc it might wreak, to attempt to impose through extortion policies it would never be able to enact through the normal legislative process.

I do not for one moment believe that Biden is unhappy about that.

In the 1990s and early 2000s Biden supported bankruptcy reform that made it more difficult, especially for the poor, to get rid of debt:

[Biden] had pushed for two earlier bankruptcy reform bills in 2000 and 2001, both of which failed. But in 2005, BAPCPA made it through, successfully erecting all kinds of roadblocks for Americans struggling with debt, and doing so just before the financial crisis of 2008. Since BAPCPA passed, Chapter 13 filings went from representing just 24 percent of all bankruptcy filings per year to 39 percent in 2017.

Before that Biden had called for cuts to Social Security:

In 1984 he proposed freezing Social Security benefits — that is, ending cost-of-living adjustments that boost benefits to keep up with inflation. In January 1995 he gave a speech endorsing a balanced budget amendment (an utterly lunatic policy) and boasted about his previous record of proposing “that we freeze every single solitary program in the government, anything the government had to do with, every single solitary one, that we not spend a penny more, not even accounting for inflation, than we spent the year before.” In November 1995 he did so again, boasting that “I tried with Senator Grassley back in the ’80s to freeze all government spending, including Social Security, including everything.”

There are other non-progressive laws and several wars that had Biden’s support. In the current fight over the debt ceiling the Republicans demand cuts to several welfare bills. It is certainly not obvious that Biden is against those. He may well be using the debt ceiling fight to push for politics he favors but which a majority of Democrats would otherwise oppose.

Talks have been held in the White House with Senate and House majority and minority leaders. There were no serious results because the Democratic Senate majority leader Chuck Schumer held Biden back from making concessions to the Republican House speaker Kevin McCarthy:

The California Republican had vented to his colleagues just hours before the meeting that the current format of negotiations — with all four party leaders in a room with the president — wasn’t fruitful. Speaking to his conference on Tuesday morning, McCarthy said the five of them had achieved little in their first sitdown last week, arguing that Schumer had prevented Biden from fully engaging with the speaker and McConnell, according to two people familiar with his remarks. Whenever Biden did seem to agree with Republicans, McCarthy said Schumer would try to cut him off.

The talks will now continue without the Senate leadership:

Leaders agreed to narrow a bicameral negotiation down to Speaker Kevin McCarthy and Biden, hoping fewer players might be more productive in reaching a bipartisan deal to raise the debt ceiling. Even then, it looks like a longshot to some Senate Democrats.

That setting will give Biden the opportunity to make ‘concessions’ that are favored by his rich donors but opposed by a majority of people who voted for him. He will then sell those by presenting them as the only possible step to take. Maggie Thatcher’s “There is no alternative!” will again succeed.

The current due date for a debt ceiling deal is Friday:

Reflecting the growing sense of urgency, the White House announced Tuesday that the president will cut short his trip to Asia and now plans return to Washington on Sunday in order to resume negotiations with Republicans as soon as possible.Biden will depart Wednesday for a trip to Japan but will no longer make stops in Papua New Guinea and Australia before returning stateside.

There is a G-7 meeting in Japan during which Biden will press for some anti-China wording but probably without much results. The canceled Quad meeting in Australia was also to support his anti-China agenda as was the planned stop in Papua New Guinea where the U.S. navy wants extensive port rights.

For Biden’s foreign policy agenda the canceling of those dates is bad. It makes the leadership of the PNG look stupid:

PNG News & Info @PngPles – 2:08 UTC · May 17, 2023PNG declares Monday as Public Holiday in Port Moresby as US President Joe Biden makes historical visit
Link

The canceling of the visit may well be the end of the planned port agreement as the opposition in PNG will now have chance to look into the dubious and secretive deal:

The Opposition Leader, however, said the cancellation of the trip would give the opportunity for the Prime Minister to tell this country what this Defense Cooperation Treaty is all about.Mr. Lelang said information on the contents of the Defense Cooperation Treaty with the United States was sketchy, therefore, created a lot of confusion and uneasiness around the country as to what this means for us. The Opposition is calling on the Prime Minister to come out and tell the nation the details of the Defense Cooperation Treaty.

The Opposition Leader reminded the Government that we have a foreign policy of “Friends to All and Enemies to None” and PNG need to stand firm on this foreign policy position.

Mr. Lelang said we should not be blinded by the dollar sign or be coerced into signing deals that may be detrimental to us in the long run.

Meanwhile, Former Prime Minister and Ialibu Pangia MP Peter O’Neil also expressed concern that the only people who seems to know about this security pact is the former Minister for Foreign Affairs, the PM and Minister for Defense.

I am told there will be Security Agreement to be signed between US and PNG, however, that particular agreement was never made public, never debated on the floor of Parliament, never been approved by Parliament so we are all going blind and some of the reports we are getting are concerning”

From the information we gathered, the Agreement is that the pact was largely drafted by the US Government. Only a few of our own PNG Government officials and the then Minister for Foreign Affairs have seen this document and as a result has been put forward to the Prime Minister and officials to sign the agreement on the day of the visit of the US President,” Mr O’Neil said.

This reminds one of the AUKUS deal which will see Australia pay huge amounts of money for nuclear submarines it does not need. That deal was also negotiated secretly and agreed upon without any public discussion.

If the Defense Cooperation Treaty with the PNG fails the chance for a conflict with China will lessen and the world will be better off. If some people in the U.S. will lose some government support due to a debt ceiling agreement it will be bad for them.

But in total that would still be a win.

Posted by b on May 17, 2023 at 16:12 UTC | Permalink

What A 9 IQ Criminal Looks Like

Today’s JCS Inspired true crime documentary will cover the interrogation of John Elliott, Who started this interrogation by giggling about what a rough night he’s having.

Chinese disapora living in the West are currently being scapegoated for the failures of the West. Chinese students and researchers are currently seen as spies, seen as guilty of technology theft and Chinese businesses helping the community are seen as “secret police stations”. This is the picture that Western politicians have been happy to paint. What worse was during the pandemic, Chinese were the first to be seen as the source of the coronavirus despite evidence in Red Cross blood donation indicating the earlier presence of the virus in US.

Today, every technology advancement in China is not credited to the brilliance of Chinese scientists but perceived as “technology theft” despite the high number of STEM graduates every year and the high number of patents filed every year. So ultimately, China rising to the top may not make the lives of Chinese diaspora safer but in fact force them to relocate to a more welcoming place.

Remember the murder of Vincent Chin? He wasn’t even Japanese to start with. The rise of Japan didn’t make it safer for Japanese or Asians. It made lives more difficult.

Currently, there’s an exodus of Chinese scientists in the West since the FBI carried out unwarranted investigations against researchers of Chinese descents. It’s not that they are guilty, but having their home raided with guns pointed at their family members for being Chinese researchers just made them ask this question. “Is America still a place where Asians can enjoy higher degree of freedom?”

Saying all that, the rise of China and Asia are inevitable. Hate crimes and discriminations against Chinese and Asians are only going to worsen in the West. China will not bow down to the pressure from the West, there will not be a Plaza Accord. So be prepared, be safe and pray that one day those politicians may see cooperation as more rewarding than confrontation.

6G Development

Chinese scientists have developed an electromagnetic shielding material that can be used for 6G base stations and electronic devices

China is on track to introduce early 6G mobile applications by 2025 and roll out commercial services by 2030 [source]. The main difference between 6G and 5G lies in the communication frequency bands: while 5G mainly operates in the gigahertz (GHz) range, 6G will expand into the terahertz (THz) range. Challenges in 6G development include the need for electromagnetic shielding materials that can cover a wide frequency range, from gigahertz to terahertz, and withstand demanding usage environments, such as extreme temperatures, salt spray, and bending.

To address this challenge, researchers at Nankai University in China have developed a new type of electromagnetic functional material using MXene, a two-dimensional material, and polymers. The resulting composite film offers high-frequency compatibility and shielding performance, mechanical strength, and stability in harsh environments. The material is expected to be used for 6G base stations and electronic devices.

2023 05 18 20 06
2023 05 18 20 06

Why we think it’s important:

Countries around the world are actively developing 6G technology. It is expected to be officially put into commercial applications around 2030 according to market predictions.

  • In October 2020, the United States established the “US 6G Alliance” with companies such as Apple, Samsung, and Nokia to promote research and deployment in the field of 6G.
  • Research teams, including one from Nagoya University in Japan, have studied and experimented with urban 6G communication networks.
  • China has included the development of 6G network technology in its “14th Five-Year Plan” and “2035 Long-Term Vision Outline.” It has also established a national 6G technology research and development working group.
  • In 2021, Huawei conducted the world’s first trial operation of a 6G network.

Kidnapped for 18 days: How police found 4-year-old Cleo | 60 Minutes Australia

Merit-driven detective activity. This is what happens.

Artificial Intelligence

Lenovo (992:HK): Lenovo’s infrastructure Solution Group (ISP) revenue grew 48% YoY

The demand for computational power in the field of artificial intelligence, driven by the rise of deep learning and AI applications like ChatGPT, has led to increased revenue for Lenovo’s Infrastructure Solutions Group (ISG). In the third quarter of the 2022/23 fiscal year, ISG achieved a record revenue of 20.3 billion RMB, a 48% YoY increase.

Kirk Skaugen, Executive Vice President of Lenovo and President of the Infrastructure Solutions Group (ISG), said, “The reason for the high-speed growth is first of all the obvious increase in market demand, and secondly, more and more customers are beginning to realize that Lenovo is not only a PC company, but also a service and solution provider.”

In recent years, the number of supercomputers manufactured by Lenovo has been steadily increasing. As of 2022, more than one-third of the TOP 500 high-performance computer (HPC) systems are provided by Lenovo Group.

In June 2022, Lenovo Group’s Hungarian factory was fully operational. Currently, 90% of Lenovo Group’s data center products in the EMEA region and approximately 50% of Think desktop and workstation products in the region are produced at this factory.

How This 31 Year Old Woman Scammed JP Morgan

Looks harmless. Eh?

Baidu (NASDAQ: BIDU): Baidu’s Fintech arm, Du Xiaoman, won the highest honor in China’s AI field

Du Xiaoman’s Intelligent Credit Interpretation Middle Platform applies large-scale language models and graph algorithms to interpret credit reports, which can extract 400,000 dimensions of risk variables and improve the precision of bank risk control models by 26%. The project was awarded the Wu Wenjun AI Science and Technology Award, the highest honor in China’s AI field. Previously, Du Xiaoman’s intelligent voice robots, intelligent risk control, and other projects were selected as the first batch of Fintech application pilots by the People’s Bank of China.

The Mistake That Got So Many CIA Agents Killed in China

Actually, pretty good. Learn something.

Autonomous driving solutions for mining

The Chinese government has also set a clear policy goal for the implementation of autonomous mining by 2025. Several Chinese autonomous driving companies are actively involved in testing and operating in mining areas, with significant investments being made in the sector.

Carver Zhao, an industry expert, stated that “autonomous driving in mining areas has not met full expectations, but mining companies are willing to cooperate for their safety, efficiency, and cost reduction needs” … "Market penetration in the near future should still be mainly focused on large state-owned mines.”

Best of Larry, Darryl, and Darryl (part 4)

PandaLM: Reproducible and Automated Language Model Assessment

The PandaLM project, developed by researchers from Beijing University and Westlake University, introduces a new paradigm for evaluating large models. PandaLM aims to provide automated and reproducible testing of large models’ capabilities, with a focus on protecting privacy, reliability, and cost-efficiency. It offers a simple interface and can be run on consumer-grade hardware, making it suitable for academic research and organizations dealing with sensitive data. (PandaLM is an open-source project available on GitHub)

2023 05 18 20 07
2023 05 18 20 07

The research team constructed a diverse human-labeled test set of approximately 1,000. On the test dataset, PandaLM-7B achieved an accuracy level of 94% that of ChatGPT (gpt-3.5-turbo).

China has the advantage of large market demand, with downstream applications in various industries. Additionally, local companies generally develop and manufacture products in a much faster development cycle. Although there are currently no local AI-driven products comparable to those developed overseas, companies are actively exploring this field. The terminal consumer demand will largely drive the outlook of the semiconductor industry.

Best of Larry, Darryl, and Darryl (part 5)

Automobile

Li Auto (NASDAQ: LI): Li Auto has established a new chip company

Li Auto has established a new chip company, Jiangsu Changxiang Power Technology, with registered capital of RMB 200 million.

Automakers in China, including Xpeng, NIO, Geely, and BYD, are investing in chip design and manufacturing. Li Auto focuses on silicon carbide (SiC), while Xpeng and NIO are more focused on self-driving chips. Traditional automakers such as Geely and BYD are also developing self-driving chips and power semiconductor devices.

*SiC chips are used in automotive applications due to their higher voltage and temperature handling capabilities compared to traditional silicon chips, resulting in improved energy efficiency and reduced power losses

Due to the extremely complicated process requirements of SiC, the global SiC market is mainly monopolized by international giants such as STMicroelectronics, Rohm, and ON Semiconductor. Despite the alleviation of the chip shortage, silicon carbide is still in relatively short supply. The successful production of SiC would be a major step forward for domestically produced SiC chips.

Best of Larry, Darryl, and Darryl (part 6)

BYD (1211. HK, 002594. SZ): “Autonomous driving is all nonsense, it’s all bullshit!” – said Mr. Wang Chuan Fu, Chairman and President of BYD

The following statements are from Wang Chuan Fu, extracted and translated from BYD’s 2022 financial report earnings call:

“If you can’t even fix the problem of automating factory production lines, how can you do autonomous driving? Autonomous driving is much harder, tens of thousands of times harder!

“In Shenzhen, there were 24 deaths in one month due to car accidents, an average of 0.8 deaths per day, and BYD’s market share in Shenzhen is very high, so many of these may be BYD cars. But we haven’t received a single complaint phone call. Why? Because our steering and braking comply with regulations, and the accidents have nothing to do with us!”

“Autonomous driving is different. If you can’t make it clear, one car accident will make your car unsellable. Who dares to buy this car? Who will bear the responsibility? Neither the automaker, supplier nor the government is willing to take responsibility, and in the end, only the user will bear it.”

“Now the so-called “autonomous driving” is just being sugar-coated up by capital. I think that in the end, it will only be able to achieve “advanced assisted driving” at most.”

“The autonomous driving nonsense is all bullshit! How many years have they been fooling people? How many have succeeded?”

2023 05 18 20 09
2023 05 18 20 09

BYD’s PR Manager Li Yunfei mentioned that BYD is a leader in vehicle intelligence, including intelligent entry, intelligent cabin, and intelligent driving assistance. However, he acknowledged the challenges and limitations of fully autonomous driving, mentioning that the industry is not yet fully prepared.

BYD’s current offerings, including higher-end models like Han and Haibao, are equipped with mid-range automotive chips and only support Level 2 advanced driver assistance features. Their previously launched intelligent driving assistance system, DiPolot, has been criticized for its limited functionality.

Reactions:

  • Xiaopeng He (Xpeng CEO): “Autonomous driving is not a scam”; he emphasized the importance of advanced driver assistance and claimed that Xpeng’s XNGP represents the ultimate form of intelligent assistance.
  • Richard Yu (Huawei Consumer Business CEO): “Claiming that autonomous driving is bullshit has two reasons: either you don’t understand the industry or you are intentionally trying to say that. Those who say this are probably trying to undermine the industry. Leading the industry is not something that can be said casually, it can only be boasted about after achieving it.”
.

Best of Larry, Darryl, and Darryl (part 7)

Orange-Pineapple Chicken
(Pollo con Jugo de Naranja)

2023 05 13 19 07
2023 05 13 19 07

Ingredients

  • 1/2 cup all-purpose flour
  • 1 teaspoon salt
  • 1/2 teaspoon pepper
  • 1 (3 to 3 1/2 pound) broiler-fryer chicken, cut up
  • 3 tablespoons vegetable oil
  • 3/4 cup orange juice
  • 1/2 cup raisins
  • 1/4 cup dark rum
  • 1/4 teaspoon ground cinnamon
  • 1/8 teaspoon ground cloves
  • 1 (8 ounce) can crushed pineapple, undrained
  • 1/4 cup toasted slivered almonds

Instructions

  1. Mix flour, salt and pepper. Coat chicken with flour mixture.
  2. Heat oil in 12-inch skillet until hot. Cook chicken over medium heat until brown on all sides, about 15 minutes.
  3. Place chicken in ungreased 13 x 9-inch baking dish.
  4. Mix remaining ingredients except almonds; pour over chicken.
  5. Bake uncovered at 350 degrees F, spooning juices over chicken occasionally, until thickest pieces of chicken are done — 40 to 50 minutes.
  6. Sprinkle with almonds.

Yield: 6 servings

Privacy-Preserving Computation

China recognizes the importance of privacy-preserving computing and has issued guidelines to promote the development of the data security industry. It is projected that the privacy computing market in China will reach ten billion yuan by 2025. Currently, the financial industry is the largest application area of privacy-preserving computing.

Developments in Privacy-Preserving Computing by Chinese internet giants:

Tencent (OTCMKTS: TCEHY): Tencent’s Angel PowerFL is a secure federated learning platform that has been widely applied in finance, advertising, healthcare, government, and other industries. It has also been used for cross-institutional data collaboration, bank credit, insurance, government, and online education. Tencent’s privacy-preserving computing team has received accreditation both within China and internationally. The team has won the iDASH championship three years in a row from 2020 to 2022. (The iDASH competition, sponsored by the National Institutes of Health in the US, is the most authoritative international competition in the field of privacy computing.)

Alibaba (NYSE: BABA): Alibaba’s DAMO Academy released the FederatedScope federated learning framework in 2022. It is open source, supports large-scale and efficient asynchronous training, and is compatible with PyTorch and TensorFlow.

Baidu (NASDAQ: BIDU): Baidu has deployed privacy-preserving computing technology on multiple platforms, including Baidu Cloud, Baidu Security, and Baidu Super Chain. PaddleFL, a federated learning framework based on Baidu PaddlePaddle, can be used in computer vision, natural language processing, and recommendation algorithms.

ByteDance: ByteDance’s Fedlearner platform, which was launched in 2019, uses neural network vertical federated learning technology to improve advertising efficiency, and has expanded to the e-commerce, Internet finance, and education industries.

According to IDC’s estimates, China’s total data volume is expected to reach 48.6 ZB by 2025, accounting for 27.8% of the global total, and will contribute an average of 1.5 to 1.8 percentage points to the growth of domestic GDP. The development of the digital economy will bring new investment opportunities.

Buddy Hackett – penile implant / elephant’s trunk

Chinese Apps continue to gain global popularity, counterbalancing with those from US

Smartphone applications developed by Chinese companies are expanding internationally. A survey of the five most downloaded apps in 95 countries and regions revealed that Chinese apps, such as the video publishing software “TikTok”, made up more than 30% of the total. Compared to three years ago, the proportion has increased and is now on par with US applications. Despite increased regulation in the US for reasons of safety and security, the prevalence of Chinese applications has continued to grow.

Based on data from Sensor Tower, a US-based research firm, the Nikkei analyzed new downloads of Google and Apple’s app release services in the US from January to March 2023. Out of the top 5 apps in 95 countries and regions, including Japan, China, and the US, Chinese apps accounted for 33%, or 156 out of 475. This represents an increase of approximately 8 percentage points compared to Q1 of 2020.

The app with the highest number of downloads is TikTok, developed by ByteDance, which ranks among the top five in 82 countries and territories, accounting for 86% of the total. Additionally, CapCut, a video editing application provided by the same company, has also experienced significant growth and is ranked among the top five in 48 countries (51% of the total).

SHEIN, an online clothing shopping application that imports apparel and groceries from China at a competitive price point, has gained significant popularity among the younger demographic. It has secured a spot in the top five rankings across ten countries, primarily in European and South American regions such as Spain and Brazil.

In terms of countries and regions, four Chinese applications occupied four out of the top five positions in the United States, ranking first, second, third and fifth respectively. Chinese apps are present in 90% of countries and regions’ top five rankings while only ten countries including Japan and India have no Chinese apps among their top five.

Regarding the Chinese app, Toshihiko Okano from NTT Data stated that “new technologies and initiatives are required in the Chinese market from a user-centric perspective. The companies that have succeeded in China are expanding their business globally and demonstrating strong competitiveness.” He also mentioned that TikTok’s algorithm for recommending videos based on users’ preferences and SHEIN’s ability to leverage the Chinese supply chain to ensure stable product supply are both supported by young people.

In the past, the top five apps in the US accounted for over 30% of the market share, which is comparable to China’s app market. Meta apps like Instagram alone held a quarter of the total share, but American companies’ overall percentage dropped from over 50% in January to March 2020. Currently, Chinese and American enterprises are counterbalancing each other.

This has raised a number of concerns as the Chinese government is entitled to request personal data held by domestic Chinese companies.

In response to the Chinese app, countries are strengthening controls in areas such as risk management for safety and security. The US and Europe have prohibited its use on public terminals for government employees, while Montana state legislature passed a bill in April banning the activities of TikTok’s operating company. Additionally, in April, the US parliamentary advisory body published a report titled “Risk of Data Infringement and Intellectual Property Infringement” regarding SHEIN.

New Chinese apps continue to be introduced and welcomed despite the presence of adverse forces. Temu, the most downloaded online shopping app in the US and Canada from January to March 2023, was released by China’s PDD Holdings in the autumn of 2022, and the photo-sharing app “Lemon8” by ByteDance, released after the autumn of 2021, is also gaining popularity in Japan and US.

Thomas Sowell – The Origins of Woke

Tomas Sowell is great.

Sista Says We Will Never Be Respected In America, Africans Shouldn’t Run From The Continent

2023 05 13 19 11
2023 05 13 19 11

Person Who Ran Biden’s Psycho-Aggressive Agenda Against China Resigning – Will There be a Strategy Change?

You may remember that it was not too terribly long ago that China was considered something of an ally to the United States. We are now ostensibly planning some kind of massive war against them.

How did that change happen?

Well, in 2015, Xi Jinping engaged in a series of government reforms which effectively made him Supreme Leader for life and dashed any hope the US still had that China would magically transform into a liberal democracy (this was the initial plan, going back to the 1970s).

Under the Trump Administration, you had Mike Pompeo, an obese psychopath and alleged cannibal, stirring up trouble with China. You’ll remember that the State Department was organizing massive riots inside of Hong Kong. The leaders of the riots were photographed meeting with US officials at the US embassy.

Screenshot 2023 05 13 at 6.56.33 AM 618x384
Screenshot 2023 05 13 at 6.56.33 AM 618×384

These terrorist color revolution figures were at one point flown to Washington to meet with Nancy Pelosi.

4a7ea45df5b8c88efa7fe6e5693ae46d scaled
4a7ea45df5b8c88efa7fe6e5693ae46d scaled

However, it was not until Joe Biden took power that things started going really psycho.

Trump, for all his faults, was legitimately anti-war. Biden lined his State Department with unhinged Jewish lunatics who want war with the entire world. The man he chose as Secretary of State, Antony Blinken, was actually more known for his promotion of war with China than war with Russia.

But the Russia was was all ready to go, so Biden triggered that one first.

And he did trigger it – don’t let this “Putin is the one who invaded” nonsense fool you for a second. This was the equivalent of a guy getting an inch from your face saying he’s going to kill you and then you being called the aggressor for pushing him back.

Biden started talking about the Ukraine joining NATO, he shipped in all these new weapons, the Administration emboldened Zelensky to start talking about nukes on Russia’s border.

Where Trump had been engaged with Russia’s concerns about the humanitarian situation in the East of the Ukraine, Biden consistently rejected Putin’s attempts to negotiate a peace in the Donbass, instead encouraging neo-Nazi attacks on civilians.

While they started the war with Russia first, they also planned some kind of war with China, and started talking about Taiwan as “the next Ukraine.” The Biden Administration started sending all kinds of warships and warplanes through Chinese territory and aggressively questioning and threatening Chinese territorial claims.

They started going absolutely ape hyping up the Taiwan issue, which had not really been an issue before, with Biden personally suggesting repeatedly, in public, that Taiwan should start a war with China to gain “independence.”

No Western leader had ever said that before, by the way. It’s something you would only say if you were trying to start a war with China.

(For those who don’t understand: China liked the status quo with Taiwan as semi-autonomous. The situation was profitable for all parties, and they had no reason to question it. They certainly had no reason to invade Taiwan before these Biden neocons started saying Taiwan should start a war with China.)

They sent Rahm Emanuel to be the Ambassador to Japan, in order to plan a war footing there.

They started negotiations to build all these new bases in the Philippines, they sent Nancy Pelosi on this bizarre trip to Taiwan to try to humiliate the and provoke the Chinese (in the way they were humiliating and provoking Russia).

They then staged a totally bizarre hoax, accusing a weather balloon of being a “Chinese spy balloon,” and issued further threats.

Oh, and lest we forget – Biden with the media started ratcheting up brain-dead nonsense about “human rights abuses” of Islamic terrorists, while providing zero evidence.

Mainstream sources started published weird CIA disinformation straight from the pages of the Falun Gong (CIA-run cult) publication Epoch Times.

In multiple articles published since the Biden election, the Israeli English-language paper Haaretz published the cartoonish claim that China is murdering tens of thousands of people every year to harvest their organs.

Presumably, Haaretz wanted these stories picked up by Western media, but I think even WaPo type publications viewed the stories as too ridiculous for publication.

However, if they say it enough times, it will eventually become mainstream.

“Torture rape camps for innocent Islamic jihadists” was also at one point considered too goofy for publication, but under the Biden Administration, state-funded NPR publishes sicko fetishist type material about rape dungeons (all based on eye-witness accounts of supposed random, almost entirely anonymous people).

The whole thing has just been a whirlwind of aggression and provocation, without any real explanation. The media has simply not reported on the escalation of aggression, and how it is viewed by China and the rest of the world. If you are in say, Latin America, random people will ask you: “why is the US trying to start a war with China? Aren’t they worried this will cause problems?” But the American people are so dumbed down and dim-witted that they seem to believe that China is the aggressor here. But hey – the America people believe in child trannies. Most Americans are fat, stupid, amoral pigs that will believe anything.

Just as the Jewish hag Victoria Nuland runs the war against Russia at the Jewish Blinken State Department, the Jewish hag Wendy Sherman has been running the war against China. Interestingly, she is being replaced.

RT:

US Deputy Secretary of State Wendy Sherman is set to retire, the State Department said on Friday. The 73-year-old official was heavily involved in devising Washington’s current strategy toward China and the broader Asia-Pacific, where it seeks to challenge Beijing on several fronts.

Secretary of State Antony Blinken hailed Sherman’s lengthy career as a diplomat in a statement announcing her resignation, saying she has “helped lead our engagement in the Indo-Pacific, the region where the history of the 21st century will be written.”

She has deepened our bonds with our friends around the world, especially with the Republic of Korea, Japan, and the European Union. She has overseen our efforts to strengthen the [State] Department’s capabilities to manage our relationship with the People’s Republic of China, and built greater convergence with allies and partners,” he added.

As Blinken’s deputy, her focus has largely been set on China, often acting as an official spokesperson to explain the US strategy toward the People’s Republic.

In comments to lawmakers earlier this year, Sherman warned that China is “the only competitor with the intent and means to reshape the international order,” accusing Beijing of “provocations in the South China Sea,” human rights abuses, “economic coercion,” and “threatening behavior against Taiwan,” which China considers to be part of its sovereign territory.

It was ostensibly her idea to keep sailing warships back and forth through the Taiwan straits, and she had some role in planning the weird Pelosi trip.

I’m not hopeful that the aggressive stance towards China is going to change, despite the fact that some people from the State Department are showing signs of wanting to open diplomatic channels back up. It is notable that Jake Sullivan talked with some Chinese officials earlier this week, just before this woman resigned. So it is possible that they are trying to slow things down a bit as the Ukraine situation is getting overly complicated, and the idea of kicking off something more aggressive with China, while continuing the war with Russia – especially given the state of the US economy – must sound crazy to some people in Washington.

This debate can be followed in the think tanks, if you’ve got the patience, but it’s much easier to follow it on the opinion pages of the Washington Post and the New York Times. Just as an example, Jewish columnist Josh Rogin regularly argues that a two front war with Russia and China would be fun and easy.

It looked to me for a while like the Biden Regime was looking to scale down the Ukraine conflict in order to focus on escalating the conflict with China. However, the basic outline that China hawks have presented for a war with China, based on turning Taiwan into another Ukraine – that is, a spot for a proxy war – does not make any sense, for reasons I’ve outlined in some detail on this website.

There are cultural and geographical reasons, which are more or less self-explanatory, as to why Taiwan can’t be the new Ukraine. Obviously, whatever you want to say about the strength of the Chinese navy (which clearly does not compare with that of the US), China has the ability to rapidly surround and blockade Taiwan, so you would have to send in the US Navy to break the blockade by sinking Chinese ships in order to provoke some kind of urban warfare in Taipei.

The whole thing is just a tad bit ambitious.

However, with the entire world now turning on the US as a result of their instance on a brutal, endless war in the Ukraine, their ignoring of the disastrous economic consequences, and most importantly, their lunatic sanctions on Russia, the American Regime has left itself with very few options in terms of a strategy to maintain global hegemony.

So, the counterpoint to “we can’t possibly fight a two front war against Russia and China” is “we don’t have any choice.”

This is certainly the debate happening in Washington, where everyone agrees that the US should control the entire world, but disagrees as to how this system of control should be maintained.

For all of their talk of Nazi Hitler and grabbing ’em by the pussy, the real problem that the US regime has with Donald Trump is that he views a peaceful “multipolar” world based on trade, rather than violence, as good for the Untied States.

And while most of Trump’s promised policies failed to materialize during his term as president, he did manage to end the war in Syria and he did manage to prevent any new wars from starting.

As I always say when I discuss the potential behavior of this government: you can no longer use basic game theory when considering the next move of the US government, because it is no longer run by people capable of acting in rational self-interest. Y

ou can no longer look at the world and say “this is all part of their plan.” Clearly, something has gone terribly wrong. The people in Washington have lost control, the empire is on the decline, and the question now is how they will respond to this situation.

With Russia and China aligned, the Islamic world closing ranks around China, and even Latin America making it clear they prefer the Chinese trade-based model of global order to the violence-based model of the US, the idea of starting a major war with the entire world does not seem feasible.

Instead, the logical thing to do would be to accept that the post-USSR norms of US hegemony are gone, and a new balance needs to be sought, which allows the US to survive as a peer nation. The fear is that there are people in Washington – and

What Happened to the Middle Class Prosperity of the 1950s?

https://youtu.be/slqo0CKLllw

Which country is collapsing more, China or the US?

Oh, a fun question to answer, and I (being an American) living inside of China can answer this question.

First, some criteria.

Let’s establish a rating scale.

  • 0 = Everything is functioning. And absolutely nothing is collapsing.
  • 2 = Everything is functioning well. A looming problem or two are observed in the future, and the government is taking actions to prepare and diminish the impact of the looming problems.
  • 3 = There are some problems, but the nation is well regarded, and functioning quite well. Inflation is causing problems, and some bad decisions made in the past are starting to cause discord.
  • 5 = Some things are suffering from problems, some of which are indicative of eventual collapse. And other things are operating normally, and will continue to operate normally in the future. There is inflation, but it forms “bubbles” that come and go, and grow and wane.
  • 7 = The nation has many problems. Key government functions are dysfunctional. Some items have collapsed and operate in zombie mode. Government budgets are bloated, and inflation is rampant.
  • 9 = Many, many elements of the society has collapsed. Key functions of the government are failing at various levels. The government operates under a figurehead, but the entire operational premise of the government is missing. Elements of crime, organized criminal elements, and a break down of society are present. News media is covering up this fact and is run by the government. Internationally, the nation is considered dangerous.
  • 10 = The nation is in full scale collapse.

Now, with that rating system well established, let’s now add another factor. This factor is national unity. A unified nation is one that is best able to “ride out the storm” and mitigate any problems that the nation might encounter.

National unity scales…

  • AAA = 100% unified. Over 95% approval rates by it’s people. A shared sense of identity.
  • AA = Mostly unified, with a shared national identity.
  • A = National pride, and a sense of purpose.
  • B = Significant problems, and a separation into groups of US vs. THEM.
  • C = Many groups all competing for power. Some of which are political, some are social, and some are geographical.
  • D = Many competing groups. Some are backed by the ruling government, while others are maligned by it.
  • F = Balkanized nation. Not only are people divided into groups, but the government favors some, and has created policies and laws supportive of that.
  • FF = The balkanized national groups are violent against each other. The national government is unsuccessful in preventing violence, and a certain section of the population is arming itself for protection as they no longer believe the government can protect them.
  • FFF = Open war and revolution.

Now with all that being said, let’s rate the United States.

The United States is a 9FF. Many, many elements of the society has collapsed. Key functions of the government are failing at various levels. The government operates under a figurehead, but the entire operational premise of the government is missing. Elements of crime, organized criminal elements, and a break down of society are present. News media is covering up this fact and is run by the government. Internationally, the nation is considered dangerous. The balkanized national groups are violent against each other. The national government is unsuccessful in preventing violence, and a certain section of the population is arming itself for protection as they no longer believe the government can protect them.

Now, let’s look at China.

China is a 2AAA. Everything is functioning well. A looming problem or two are observed in the future, and the government is taking actions to prepare and diminish the impact of the looming problems. The country is 100% unified. Over 95% approval rates by it’s people. A shared sense of identity.

Of course, if you haven’t set foot in the nations listed, you might have a different idea. In fact, the well-funded anti-china narrative might give you all a very strange and warped idea of what is going on with China. But remember, UNLESS YOU ARE PHYSICALLY THERE NOW, you haven’t any real idea of what is going on.

So You Can Buy a Fake Tongue To Lick Your Cat

0 12
0 12

Sometimes the idea of making something extremely weird outweighs the actual usefulness of the item. Take for instance this fake tongue that you hold in your mouth and use it by fake licking your cat with each stroke of fake tongue sticking out of your mouth.

More: Amazon h/t: sadanduseless

fake tongue1
fake tongue1

Not only does this most likely not work at all in regards to actually washing your cat, but also just makes you look like a complete idiot while using it, so it’s essentially a lose-lose situation.

fake tongue2
fake tongue2

You have to bite down to hold onto the fake tongue, that’s how it stays in your mouth. To use it simply approach your cat with a the fake tongue in your mouth and ease into a slow licking movement on their back or head while they’re sleeping or in an otherwise pleasant mood.

fake tongue3
fake tongue3

Since for cats it’s mutually beneficial to be licked, don’t be surprised if they start licking you back… while thinking that you have finally completely lost your mind.

fake tongue4
fake tongue4

Let’s use Logic to see who is right

Both countries have a lot riding on the reputation of their weapons

However US has a lot more riding

The US advertises Patriots as a first generation AD to every Country across the Globe and openly sanctions anyone who buys or asks for the S 400s

Imagine if the Patriots were destroyed by the Kinzhals. That would be a HUGE EMBARRASSMENT

Let’s see the Narrations by each side

The Western Narrative

  • The Western Narration began with the fact that Six Kinzhals had been destroyed by the Patriot system
  • Then a Video emerged that showed the Patriot systems firing 30 Salvos at least. That means a minimum of Two Complexes and more probably Three Complexes of 36 Missiles (12 each)
  • The same video also showed a Huge explosion at the end of the 30 Missile Salvo around the area of the Patriot Complex
  • Now the West slowly changed their tune. They now claimed that the Patriots had received Minor Damage from the Kinzhals and that Five Kinzhals had been intercepted
  • Once again slowly it came to light that Russia used only two Kinzhals and the rest of the missiles for Air Saturation were Kalibrs.
  • Now the West changed the narrative for the third time and claimed that the Patriots intercepted both Kinzhals and the damage was minimal
  • Then again for the fourth time , the video was once again released which showed a huge explosion that always happens only if a missile strikes its target. No Debris. This was a straight inferno.
  • So by Logic, US admitted it’s Patriot Complexes were in that Location and the explosion reves that there was a huge inferno on that location. So the Patriots must have been destroyed , if not all Complexes then at least two of them.
  • Finally now US admit to significant damage to one Patriot complex.

As you can see the western narrative began minutes after the incident and changed six times in the last 48 hours

The Russian Narrative

  • As always the Russians TOOK TIME to release their statement. They first studied and investigated the number of missiles fired.
  • They confirmed that they had launched 24 Kalibrs on Kiev and that 22 had been intercepted by Patriot Missiles which had launched 30 Salvos or 30 Missiles.
  • They confirmed that because of these 30 launches,the Russians pinpointed the exact location of the Patriot Complexes and fired Two Kinzhals, both hitting their targets causing a massive inferno that comes only when a missile strikes its target.
  • They later confirmed that Two Complexes were destroyed and the third was damaged minimally and out of action for a few weeks
  • Finally yesterday based on Satellite Feeds, they concluded that as many as Five Patriot Complexes were located in that region and that three had been completely destroyed and one had suffered minimal damage. The evidence for this was the satellite movement of engineer corps who moved in very quickly

Even if both sides are lying,my guess is Russia is much closer to the truth

They took their time

They did their work

Then they made their statements

The West or Ukraine made a statement exactly fourteen minutes after the incident , logistically IMPOSSIBLE to make a statement like that so quickly without finding out what happened

Next point; Ukraine openly lied about Six Kinzhals

Russia has a policy never to launch more than Two Kinzhals at a given time because sustained launches allow the enemy to predict the trajectory code easily using latest computational software.

Next point; Ukraine kept shifting the narrative whereas Russia never did. They kept the same narrative.


So basically my guess is the Russian version is true

Maybe they didn’t destroy three Complexes, maybe they destroyed two complexes and inflicted minor damage to a third

However on the whole their version is believable.

As for US, the Patriot caused a huge embarrassment and the share prices depressed by a whopping 4.5% , another example that Americans tend to believe the Russian version

.

the big sleep

Americans are so full of shilt they thought that that China will be helpless if the yanks kicked them out is the U.S. space Center! Hahahaha what a god damn fool!

Chinese officials were laughing till they drop. China that’s as little as less than 15 years before Chinese own space Center, which is bigger, better more high tech an in space and U.S. space station is dead and gone. Today China says international mean international. Not the US international which favours white Caucasians and slaves of the U.S.

The U.S. are super mad because China shame the hypocrite US. They show that the U.S. has absolute no class. They show that all the US has is to talk shit. Today 195 out of 195 countries are invited to the Chinese space Center. Including the pathetic and despicable USA. Hahaha

The World No Longer Look To America To Lead & We Have A Lot To Do With That Sentiment

2023 05 13 19 12
2023 05 13 19 12

I had my pro-west days.

Many patriotic Chinese had their pro-west days.

We were young, and saw the world with a childish ideology. We were easy targets of western media.

When I went to school in HK, all I saw on the news was negative things about China.

First thing was 1989 incident. I was furious. Then I went to Victoria Park on June 4, and listened to what they said. I dug the information that I could, and found a lot of things contradicting each other.

The I learned about color revolution. And I saw the US doing it in different countries again and again. I understood that there could be propaganda.

I used to see what the west have, but China doesn’t, and i thought, damn, the government must have pocketed the money that’s supposed to be used for the people.

But, no. China was a poor country, and still is today. China doesn’t have that much. That’s why we have to work our asses off, to barely support ourselves.

There are people pocketing a lot of money. But those people believe in the west. The rich people always immigrate to the west. Those people are greedy, and they learn it from the capitalists.

The west can have so much while work so little, because their ancestors did the work for them.

What work, you may ask.

The colonization, duh. Well, even though the colonies are no longer there, they never returned the gold, the artifacts, and all the money they made by unfair trade.

That’s a huge jumpstart on economy, and can keep a country ahead of others for centuries. They get to make the rules, and force others to follow. You need to pay to join their so-called alliance. If you don’t, the fine you. They also patent a lot of stuff, so when you produce something, you pay them.

That’s sounds a lot like extortion to me.

The west are hypocrites. They use so called human rights as an excuse to do indespicable things.

The US uses its dollar dominance to rip off the whole world.

Do you know that Venezuela has the most oil reserve on the planet? They could have been living like Saudi. But the US put their sanctions, to make sure the people in Venezuela can’t even feed themselves.

They had the jumpstart, now they need to make sure third-world countries never catch on.

Hence, all the accusation of human rights violations. Sanctions starve people. How can you starve people for the sake of human rights? Doesn’t it sound ironic?

They believe third-world people only deserve to work in sweatshops. It’s the modern day caste system. That makes me very angry.

That’s why I am pro-China. I want to live to see the world returns to the way it should be.

Pollo con Coca-Cola

2023 05 13 19 09
2023 05 13 19 09

Ingredients

  • 1 (3 to 4 pound) chicken, cut into pieces
  • 2 cloves garlic, halved
  • Salt
  • Black pepper
  • 1 tablespoon vegetable oil
  • 24 ounces Coca-Cola
  • 1/4 cup water
  • 1 large Spanish onion, sliced thin
  • 4 carrots, peeled and cut in rounds
  • 2 fresh chile peppers, seeded and cut in half
  • 1/2 teaspoon oregano
  • 1 cup uncooked rice

Instructions

  1. Rub each piece of chicken with garlic halves; sprinkle with salt and pepper.
  2. Heat oil in cast iron pot. Brown chicken in oil. Add onion, carrots, oregano and chile peppers, then the water and enough Coke to cover the chicken. Salt and pepper to taste.
  3. Simmer, covered, for 1 1/2 hours. After 30 minutes cooking time, remove 1 cup of the liquid and use it, with 1 cup water, to cook the rice.
  4. Serve chicken on a platter with rice alongside and the vegetables and sauce as a side dish.
  5. Serve with salad and lots of French bread to sop up the sauce.

Serves 4 or 5.

Indonesia is in the process of changing its capital city from Jakarta to a new location on the island of Borneo.

main qimg 8c218bdadc42c57c14bae0f8fa2e428b lq
main qimg 8c218bdadc42c57c14bae0f8fa2e428b lq

There are several reasons behind this decision:

  1. Overpopulation and Congestion: Jakarta, the current capital, is one of the most populous cities in the world and has been facing significant challenges related to overpopulation, traffic congestion, and strained infrastructure. The move aims to alleviate these issues by reducing the burden on Jakarta and distributing development more evenly across the country.
  2. Environmental Concerns: Jakarta is sinking at an alarming rate due to excessive groundwater extraction, land subsidence, and rising sea levels. The city is also prone to flooding, which causes significant damage and disrupts daily life. Relocating the capital is seen as a proactive measure to address these environmental challenges and ensure the long-term sustainability of the new capital.
  3. Economic Development and Regional Balance: The government aims to promote economic growth in other regions of Indonesia by shifting the capital. The relocation is intended to encourage investment and development in the chosen area on Borneo, thereby creating new opportunities and reducing regional disparities.
  4. Administrative Efficiency: The move is expected to improve administrative efficiency and governance by providing a fresh start and an opportunity to design and build a modern capital with better infrastructure and facilities.

The decision to move the capital is part of Indonesia’s vision for sustainable development and aims to address various challenges faced by the current capital, Jakarta.

Casablanca, 1942 – Bogart learns the truth

One of my students in Kerala was praising America a lot one day.

He spoke of the big roads and big cars and big restaurant portions. He spoke as if everyone was wealthy and living the perfect life.

Then he said,

“The roads are so clean you probably eat off them.”

I never have and never intend to do so. I promise you our roads aren’t that clean 😅 Please never eat off the roads here 🙏.

Airbus signs deal with China aviation industry to expand A320 family production

Everyone do not freak out. Things are progressing forward. Its just that the psychopathic leadership doesn’t know it yet.

The “news” still continues it’s march for war buildups. The “leadership class” believe (erroneously), that all is in hand. But they are wrong. Very wrong. Their “misfortunes” in Russia, and the rest of the world, imply bigger systemic issues that are growing into large mountains that will eventually capsize their pleasure cruse.

Don’t get too caught up.

Life is good, and getting better. But you all cannot control the rest of the world from jumping off a cliff. Just don’t follow them.

Shortly after a British Airways flight had reached its cruising altitude, the captain announced:

“Ladies and Gentlemen, this is your captain. Welcome to Flight 293, non-stop from London Heathrow to New York. The weather ahead is good, so we should have an uneventful flight. So, sit back, relax, and… OH…MY GOD!”

Silence followed complete silence!

Some moments later, the captain came back on the intercom.

“Ladies and Gentlemen, I’m sorry if I scared you. While I was talking to you, a flight attendant accidentally spilled coffee on my lap. You should see the front of my pants!”

From the back of the plane, a passenger yelled “For the luvva Jaysus, you should see the back of mine!”

When I was an ENT resident, I had a patient named Alvin who had been treated multiple times for an oral cancer. What happened on a visit after a biopsy stays with me today. At that time I wrote an article about it. Kind of a long answer to your question,, but I think worthwhile:

Alvin lay on the gurney, oblivious to the huff of the respirator forcing oxygen into his lungs. Pulling the surgical mask from my face, I reached for his pulse and checked his pupillary reflexes, matching the physical input against the digital readouts on the recovery room monitor. Everything looked good except that Alvin was going to live.

Alvin, a master woodworker, had cancer. At least, he’d had it before. Four years ago a small sore on the floor of his mouth proved positive for squamous cell carcinoma. Chemotherapy, radiation and three mutilating surgeries over as many years battered the disease to a standstill.

Throughout his ordeal, Alvin was indomitable. His face disfigured by the loss of half of his lower jaw, skin burned leathery by radiation, he saw no reason to complain let alone despair. Although he couldn’t smile, he never failed to joke with the nurses and talk about the mountain cabin where he planned to retire.

When Alvin presented for his checkup, there was another lump. My heart sank. He’d had all the drug and x-ray treatments his body could tolerate. Another surgery was out of the question.

“I don’t know about this, Alvin,” I said. “I think we’d better biopsy it.”

With a voice made raspy by his treatments, he said, “Sure, Doc. No problem.”

A week after the biopsy, Alvin bounced into my office after a wave and a wink to the receptionist.

He plunked himself in front of my desk, eyes still bright but unaccompanied by the usual deep laugh lines. He unshouldered a Woodworker’s Supply tote bag and set it beside the chair. “So what’s up, Doc?” he said.

The damning pathology report lay on my desk like a sheet of lead. My voice broke on his name. I took a sip of water and pulled myself together.

“It’s not good, Alvin. The cancer’s back. I don’t think we can stop it this time.”

Alvin nodded and leaned back, staring at the ceiling for a few seconds. Leaning forward, he rummaged in his bag, extracting a package about the size of a cigar box brightly-wrapped in silver paper. He placed it on the desk and pushed it across to me.

“I know, Doc, and I knew you’d feel real bad about it. I thought this might cheer you up a little. Made it myself.”

Speechless, I carefully unwrapped a wooden box with an intricate inlay of a bird on the lid and scrolls of a yellow wood encircling the periphery.

“Not bad, eh?” he said. “Now the box is amboyna burl from Southeast Asia. One of the most exotic burls around. Chinese emperors used to hoard it like gold. Now they use it to make the dashboards on those high-end Mercedes. Just a delight to feel it in your hands, isn’t it? Like butter.”

He reached across to outline the yellow scrollwork inlaid on the sides. “Now that’s East Indian satinwood,” he said excitedly. “India and Sri Lanka. Tightest grain you ever saw. Hold it up to the light and it looks like it’s embedded with diamonds.”

I ran my hand over the polished surface, turning it to catch the light, catching some of Alvin’s enthusiasm for the natural beauty of the wood and marveling at the craftsmanship.

“The bird,” he said, “is my poor attempt at a phoenix. Lots of different woods in it for the colors: bloodwood for the fire, granadillo for most of the body, plum for the wings, some ebony for the talons. Whaddaya think?”

I stared slack-jawed at the man who’d just received a death sentence. “Alvin,” I managed, “it’s the most beautiful thing I’ve ever seen.”

“Knew you’d like it,” he said, his voice smiling for him. “Thanks for being my doc. We gave it a good run didn’t we?”

Because there are two worlds: the one you see in real life and the one you see on TV or newspaper.

You watch TV, navigate through social media and you think you are well informed abou the world. And you know a lot about China. A strong dictatorship, damn commies, cheap labor and poor brainwashed people that would be amazed to see the “Free world”. The government is spying on their citizens, like CIA or NSA. But when commies do, you think is worst. Of course.

And one day, casually, you decide that you will visit China.

You arrived there and you are shocked. You can barely believe in your own eyes.

The big cities are super modern, big, shinning tall buildings. The way you pay, the way you shop, the hypermarket looks like in The Jetsons.

You are in the future.

The level of automation there is out of this world (Western world, cough, cough). You had no idea that so many online services were already available to the people. You realize you have been scammed by your own country and media.

After the initial shock, you, an an educated person after all, well traveled, you have knowledge. Knowledge from the free world.

You decide to talk to the educated Chinese. Another shock. They know more about you (you as a citizen and your political beliefs) and your country than you know about them. Where is the brainwashing?

“Hey, but they are not free” you think in relieve. What can you do that they can’t? Let’s see… protest?

As if protests are changing something…

Vote? Chinese economy is growing faster and better than democracies…

It doesn’t matter, you are a free, superior citizen of the developed world. You traveled there to China to see them! And see that the Chinese studying abroad are going back to China… Whatever, they are commies.

You visit the Rural China, you still see a lot of poverty. You feel better about yourself. Hahaha, they are still poor!

You go back to the big cities and there is no way to deny the reality: China is growing and is glowing.

You go back home and you see, China is actually a good place. Very different from what you see on TV.

That’s why year after year the “experts” in your country are predicting the fall of China. There is nothing else to do beyond pray that somehow China will stop growing and wil be the end of the Western hegemony over the world.

PS: Go on, call me Communist Party propagandist.

We start with three videos

All there (x3) must be watched. This is from Singapore, and they are a third party trying to understand China.

Part 1

Part 2

Part 2 is especially illuminating, to quiet those who insist China will fold immediately with a blockade of the Malacca Straits.

part 3

 

Love

main qimg 3adbf05a393727350153b309126d0b8a
main qimg 3adbf05a393727350153b309126d0b8a

The sad death of Australian wine

Australia killed wine trade with China. The LARGEST consumer of wine in the world.

This is what happened…

2023 05 18 06 46
2023 05 18 06 46

2023 05 18 06 48
2023 05 18 06 48

This happened a few days ago at Wal-Mart.

My son and I got in line to check out and an elderly woman with a walker was at the register. She was having a bit of difficulty with unloading her cart so the man in front of us went to help her. As we waited, my son overheard a woman behind us say “Why is it taking so long? My God, why do they let people like that in stores.”

My son turned to the woman and said “For the same reason they let people like you in here. They have to eat too. I hope you find yourself in her position one day and remember how rude you are now.”

She stood there in shock and silent afterwards. I had tears of pride in my eyes knowing that my son just put a pretentious person in their place. He went over to the elderly lady and asked if she needed help to her car and went with her to load her groceries. At 16, and mildly autistic, this was HUGE. He didn’t wait for permission, he just went and did what was right.

I wish others would do the same.

The Poverty In Mississippi Is Unlike Anything You’ve Ever Seen

America

Reality…

2023 05 18 10 44
2023 05 18 10 44

I’ve, on occasion woken up in the middle of the night…stumbled around and accidentally stepped on my cat’s tail.

There are two things that happen at that moment, one he cries out immediately and I get off, two I pick him up, hug him, kiss his cheek and tell him I’m sorry.

He still loves the crap out of me and I love the crap out of him.

Does he know it was an accident, absolutely…you know how I know? He didn’t scratch the crap out of me or run off, he waited for the kiss and hug then made sure I was alright.

As with everyone on this planet, you get the gambit of responses. Some cats bolt some fight back and some overreact.

Chicken Fajita Pasta Toss

2023 05 13 18 58
2023 05 13 18 58

Ingredients

  • 8 ounces vermicelli or thin pasta, drained and kept warm
  • 1 tablespoon vegetable oil
  • 1 pound boneless, skinless chicken breast halves, cut into strips
  • 1 cup quartered, sliced onion
  • 1 cup sliced red bell pepper
  • 1 cup sliced yellow bell pepper
  • 1 (7 ounce) can chiles, drained and cut into strips
  • 1/2 cup taco sauce
  • 1/2 cup water
  • 1 package fajita seasoning mix
  • 1/4 cup chopped fresh cilantro (optional)
  • 1 lime, cut into wedges optional

Instructions

  1. Heat vegetable oil in large skillet over medium high heat. Add chicken; cook for 4 to 5 minutes or until no longer pink.
  2. Add onion, bell pepper and chiles; cook, stirring frequently, for 1 to 2 minutes.
  3. Stir taco sauce, water and seasoning mix. Bring to a boil. Reduce heat to low; cook, stirring frequently, for 2 to 3 minutes or until mixture thickens.
  4. Serve over pasta. Garnish with cilantro and lime wedges.

6 servings.

Oh, that. Yeah. That pretty much happened in the 1970’s.

As always, do not expect to be informed by reading Western (American) “News”. The term “disinfo” means to distract and inform with falsehoods.

I suppose I could throw out all sorts of facts and figures. I could show you charts. In general, the more charts thrown in an article the more believable it is. It’s a funny thing with non-critical thinkers; they see charts, but don’t understand their meanings or impacts. Colorful ones are the best. You’ll get a lot of nodding bubble heads for certain.

So…

What is an “economic superpower”? How does it translate into lifestyle?

Or to put differently, if you lived inside of an economic superpower, what would you lifestyle be like? And it is from that angle; from that vision, from that observation that we will explore the answer to this question.

This is different from tabulated reams of data, and lectures by “blue panel experts”. This is different from “on the street” interviews, and what the “history books” say.

If you lived in an economic superpower, your life would be [1] stable, [2] comfortable, and [3] safe. Otherwise, what’s the point? A name? A title? No, don’t be silly. An economic superpower is a place where everyone lives a great life.

Let’s look at the three aspects…

Stable

You could work in your chosen profession without ever having to worry about a “layoff”, a “downsize”, a “right size” or firing. You would not need to hold multiple jobs to support your family, and a family would only need one breadwinner.

Comfortable

You would have a fine, well attired home. No mortgages. No need to procure loans or borrow money to support that lifestyle. You would eat well, sleep comfortably, and have access to inexpensive, but good, medical care.

Safe

Crime would not be present, and there would be few instances of fraud. There would be no crime or fraud in government, and everything would be transparent and above-the-board.

Of course, for large nations, you can never actually achieve the ideals.

But you can obtain a “best fit” ideal; one where the various three aspects were predominant in your culture. And that, this honest to goodness view of personal first-hand reality is what we need to judge what an economic superpower is. Because using this measurement, you are omitting technology. You are omitting oligarch influence. You are omitting government type and behavior. instead you are looking at the visceral aspects of society; ones that you experience.

Around 1970, the United States lost this role. At the same time, China gained this role.

And everything else is just fluff.

Numbers and opinions that are great on multiple choice questions on a test, but have no actual purpose in regards to understandings.

By comparing one’s lifestyle you can easily see whether they are living in an economic superpower or not.

The early 1970’s was the time when Americans lost the three primary elements of societal foundations that are prevalent in an economic superpower. And it was precisely at this time, when the Chinese gained them.

the american dream doesn’t exist

China has very sophisticated anti-ship missiles (including hypersonic), destroyers (Type 055, Type 052D), attack submarines (Type 093, upcoming Type 095), ASW aircraft, satellites, etc. to counter the US Navy. US carriers would be foolish to come close to China’s coast (within several thousand kilometers).

China also has the world’s most advanced stealth fighters in the J-20 and upcoming J-35.

America brags about its ageing F-22 (production discontinued in 2011) and its underpowered F-35, which has a shorter range, lower ceiling, lower speed, and smaller payload than the J-20. LOL.

The Pentagon is not stupid. They won’t engage China.

Answers don’t seem to address the question: if you don’t want US to get involved with the battle of Taiwan, which might trigger WW3, what can you do as an individual?

If that’s the premise of the question.

My friend posted an email that he sent to his district congressman last year when Russia invaded Ukraine, his demand was the opposite, he requested the congressman to support sending US military into the war!

Now that’s ww3 that almost happened, last year.

Now this is a smart guy, smarter than me, how could he have made such a stupid decision?

Me and another friend were ridiculing him, that we would buy guns and armor for him, so that he can volunteer for Ukraine. Hey if you wanna die don’t represent us. You can volunteer to fight, we will root for ya, even buy your gears!

The topic of nuclear Armageddon came up, I asked him, you and me both live in tier one cities, the first wave to be vaporized, have you thought of that? Never mind the guy who lives in Texas or Ohio, who might go berserk to be tough with Russia, it’s for us to die when shit happens, not him. And we can support Ukraine all we want (through funding the war, supplying weapons and intelligence to coordinating attacks, to sanctions which we don’t agree with again but that’s at least not the line in the sand), but hey NO that’s NOT our war!

You see Russia or China or whichever nuclear country that we decide to attack, they would make sure that DC, NYC, the Bay Area, Los Angeles, and maybe Chicago (sorry Chicago don’t think you were that important but you would probably be totally ok to be missed in the top 5 must hit list for America) bite the dust.

So let’s go B——n? Well f* you too if you think that our lives can be collateral damage in MAD. Great gracious that B——n didn’t think so, sometimes it’s good to back down.

So call and email your congressman, and other representatives – if you want my vote don’t do anything silly on that front, you are triggering ww3. Unfortunately that’s all you can do.

Btw, that friend has completely come to his senses after a few months of the war and now totally agree with me. That is not our war, support all you want, but if you wanna join do it yourself, die for Ukraine but don’t represent me!

Peace.

I also want to talk a bit about my perspective on Taiwan, since most Americans don’t know that ww3 could be happening on that side of the world and what our government and representatives are doing about it.

We are provoking the hostilities.

2023 05 18 10 23
2023 05 18 10 23

We are flying military aircrafts regularly near their air space.

We are patrolling our great navy carriers near their waters.

BUT it’s our right amirite? Yeah pretty much if a peeping tom wants to it is also his right, as long as he keeps enough distance, but that’s not hostile? Com’on.

Also don’t forget if peeping tom isn’t even your neighbor, there’s something called restraining order (in fact even if he were your neighbor).

Oh that’s not all that is happening in that area, that’s what we have been doing for decades.

What we are doing now is to go to slap them in the face, by doing something we don’t do before but are doing now often to infuriate them, we visit their wife during some sort of divorce.

BUT it’s our right amirite? Sure bet. A man visits your wife who hates you, sleeps over at her place, hey he’s not banging her promise. That’s not being hostile? Ok maybe he will make sure that you see them banging, is it hostile enough for ya?

BUT what about freedom? and democracy!

Wah I got to see a porn movie that also educates us about democracy.

Freedom!

Peace.

(I usually don’t want to talk about war with Russia and China but if it’s before we go for ww3, at least don’t pretend that it’s a joke, do people’s lives look like a joke to you?)

Chinese Culture: The values that set them apart.

I lived in China for 8 years and honestly, those were the best and happiest years of my life. Cheers!

My brother, cousin and I are adopted.

I asked my mother when I was little why she couldn’t have her own children. She said she had a hysterectomy.

I didn’t think much of it then but as I got older I realized she had only been 30 when my older brother was adopted. I thought it was unusual to have the procedure done in her 20’s.

My mom was born in 1916, so a risky procedure, I would think in the 1930’s. I found out her younger sister had a hysterectomy at a early age as well.

Then, in my 50’s I got the whole story from an older cousin.

My Adopted mom’s mother had made a deal with a devilish doctor ( probably for sexual favors) to perform hysterectomies on her daughters while they were CHILDREN.

I believe my mother was eight and my aunt perhaps six!!!!

My mom and aunt didn’t remember anything of the procedure.

They didn’t know what had been done to them until in their 20’s, when my Aunt Etta was talking to her fiancé ( my uncle Bill) and as all young people in love do, they were discussing how many kids they wanted, and my GRANDMOTHER from the next room, yelled in to them, “ You won’t be having any children! I took care of that! I’m not having your body ruined like mine was!”

That is how my mother and aunt found out they would never have children…. thanks to a sadistic and psychopathic grandmother and some crazy, immoral doctor in Dallas, Texas in the 1920’s.

Not that Way: The Superb Concept Art Works of Oliver Ryan

0 20
0 20

Oliver Ryan is a UK based concept artist and illustrator working in games and animation.

More: Instagram, Artstation

279853438 693842298597634 5388296126589826384 n 990x525
279853438 693842298597634 5388296126589826384 n 990×525

260473037 1067546237352400 5019969065825885563 n 990x556
260473037 1067546237352400 5019969065825885563 n 990×556

179936751 489080795578264 5240814024280644510 n 990x518
179936751 489080795578264 5240814024280644510 n 990×518

158475353 440289487292397 5778779151235718025 n 990x518
158475353 440289487292397 5778779151235718025 n 990×518

124962178 388856909003846 5359682428368909316 n 990x518
124962178 388856909003846 5359682428368909316 n 990×518

121706587 1013038375807290 1281269691256104389 n 990x653
121706587 1013038375807290 1281269691256104389 n 990×653

117799912 107286804343972 943547130608427271 n 990x518
117799912 107286804343972 943547130608427271 n 990×518

107527445 2341610139479820 7171930859538366555 n 990x761
107527445 2341610139479820 7171930859538366555 n 990×761

43915258 2221981744703974 4455474591310875407 n 990x518
43915258 2221981744703974 4455474591310875407 n 990×518

47694648 2869422363198656 8493300802325647007 n 990x518
47694648 2869422363198656 8493300802325647007 n 990×518

52967950 2204391593210753 6387960090471519689 n 990x518
52967950 2204391593210753 6387960090471519689 n 990×518

57114930 544581102731959 8968656290309238242 n 990x565
57114930 544581102731959 8968656290309238242 n 990×565

57119730 273598046909984 5684342647247021056 n 990x613
57119730 273598046909984 5684342647247021056 n 990×613

57303647 156733315370222 2899237806725840159 n 990x518
57303647 156733315370222 2899237806725840159 n 990×518

69593494 698000480683417 6016793462191014359 n 990x587
69593494 698000480683417 6016793462191014359 n 990×587

95516557 882662588805877 4837927790932705310 n 990x518
95516557 882662588805877 4837927790932705310 n 990×518

93679477 151367499711284 1315783052731576468 n 990x518
93679477 151367499711284 1315783052731576468 n 990×518

89856431 507012036915522 8265392734733061469 n 990x518
89856431 507012036915522 8265392734733061469 n 990×518

62263597 477698629702033 7709227238714870266 n
62263597 477698629702033 7709227238714870266 n

51861376 625208121246990 2377091845771593492 n 990x907
51861376 625208121246990 2377091845771593492 n 990×907

38298856 646001145799062 1398112331312922624 n 990x518
38298856 646001145799062 1398112331312922624 n 990×518

35424285 233834874094495 5517172532966326272 n 990x518
35424285 233834874094495 5517172532966326272 n 990×518

30926850 161576871205149 8454805381745475584 n 990x518
30926850 161576871205149 8454805381745475584 n 990×518

30601710 396353320830770 3470541567549243392 n 990x518
30601710 396353320830770 3470541567549243392 n 990×518

21819819 698785980310140 5346685571383164928 n
21819819 698785980310140 5346685571383164928 n

21435389 116642925708931 195114381367836672 n
21435389 116642925708931 195114381367836672 n

21372159 170125220211303 3654191646116937728 n
21372159 170125220211303 3654191646116937728 n

Be a good guy

2023 05 18 11 05
2023 05 18 11 05

The ASEAN finance ministers and central bank governors meeting agreed to reinforce the use of local currencies to ensure financial stability.

To facilitate regional economic integration, leaders of the Association of Southeast Asian Nations (ASEAN) made a declaration on advancing regional payment connectivity and promoting local currency transaction on Wednesday during the two-day ASEAN Summit

2023 05 18 10 47
2023 05 18 10 47

The leaders of 10 Southeast Asian nations, members of the Association of Southeast Asian Nations (ASEAN), have agreed to “encourage the use of local currencies for economic and financial transactions.” The group comprises Brunei, Cambodia, Indonesia, Laos, Malaysia, Myanmar, Philippines, Singapore, Thailand, and Vietnam. This move will help them reduce their reliance on the U.S. dollar.

Southeast Asian Countries’ De-Dollarization Efforts

The leaders of the Association of Southeast Asian Nations (ASEAN) gathered in Labuan Bajo, Indonesia, for the 42nd ASEAN Summit on May 10-11 under the chairmanship of the Republic of Indonesia. ASEAN members comprise Brunei, Cambodia, Indonesia, Laos, Malaysia, Myanmar, Philippines, Singapore, Thailand, and Vietnam. This year’s 42nd ASEAN Summit under Indonesia’s chairmanship is themed “ASEAN Matters: Epicentrum of Growth,” held from May 9 to 11 in the Indonesian town of Labuan Bajo.

Ahead of the summit, the Indonesian Employers Association (Apindo) had expected that Indonesia could drive regional de-dollarization through its 2023 ASEAN chairmanship. Ajib Hamdani, head of Apindo’s Economic Policy Analyst Committee, said in an official statement that de-dollarization has become a global phenomenon and, to some extent, an economic orientation.

Leaders declared to commit to advancing regional payment connectivity by utilizing emerging opportunities brought by innovation to facilitate seamless and secure cross-border payment, taking country circumstances into consideration. They also agreed to encourage the use of local currencies for cross-border transactions in the region and support the establishment of a Task Force to explore the development of an ASEAN Local Currency Transaction Framework.

ASEAN is seeking to improve its regional payment connectivity through initiatives such as the recently launched Indonesia-Malaysia quick response (QR) standard, which allows citizens of both countries to use QR codes and their local currencies to make payments in the other. The bloc is also encouraging the settlement of regional accounts in local currencies rather than with the US dollar, the go-to currency for international trade.

“This is in line with the purpose of ASEAN centrality, so that ASEAN can be much stronger and self-reliant,” President Joko “Jokowi” Widodo said of the currency policy recommendation

An official declaration released by the chairman at the conclusion of the summit states: “We adopted the ASEAN Leaders Declaration on Advancing Regional Payment Connectivity and Promoting Local Currency Transaction to foster bilateral and multilateral payment connectivity arrangements to strengthen economic integration by enabling fast, seamless, and more affordable cross-border payments across the region.”

The declaration continues:

We commit to encourage the use of local currencies for economic and financial transactions among ASEAN member states to deepen regional financial integration and promote the development of currency market in local currency to strengthen financial stability in the region.

Among ASEAN countries, Indonesia, Thailand, Malaysia and the Philippines been developing their capacity for local currency settlement since 2017. Recently, the region has established the similar framework with China, Japan and South Korea.

ASEAN leaders have also agreed to explore the development of a unified ASEAN local currency transaction framework that would help countries in the region transition away from established trade currencies like the US dollar.

At the end of March, the ASEAN finance ministers and central bank governors met in Bali, Indonesia, and agreed to take steps to reinforce the use of local currencies in the region and reduce reliance on the U.S. dollar or other major international currencies for cross-border trade and investment in an effort to ensure financial stability and avoid spillovers such as high inflation from the global crisis.

Bank of Indonesia Governor Perry Warjiyo said in April that Indonesia is following the BRICS’ de-dollarization lead . The BRICS nations (Brazil, Russia, India, China, and South Africa) are working on a common currency to reduce their reliance on the USD; their leaders plan to discuss this topic at their upcoming leaders’ summit.

Multiple people expect a common BRICS currency to erode  the U.S. dollar’s dominance, including a former White House economist who warned that if the BRICS nations used only their common currency for international trade, “they would remove an impediment that now thwarts their efforts to escape dollar hegemony.” Investment analyst Jon Wolfenbarger cautioned that a successful BRICS currency could result in the U.S. dollar losing its reserve currency status. This would hurt U.S. living standards and lead to less power for the U.S. government.

A woman called my newsroom crying. Nobody wanted to deal with her. So they sent her to me. She was crying and hard to understand, but the basic story went this way…

She had bought a used car. She needed it badly to get to the THREE jobs she held to support her children by herself. No husband. Less than two weeks after buying the car, it broke down. She called the dealer who had told her it had a 30-day warranty.

He told her he couldn’t help. But, she told him, you said it had a 30 day warranty. His response — too bad. When she complained, he told her — “lady, you’re dealing with the big boys now.”

She was crying as she told me this. I was — to put it mildly — angry.

My response to her — let me call you back.

I called him. I explained the problem and when I did not get what I considered a good response, I “explained” things to him:

  1. He needed to respond appropriately to her and solve the problem.
  2. If he did not respond appropriately, I would have my entire investigative news team look into his operation.
  3. He did not like that.
  4. Cautionary note here: My response – YOU picked the wrong person to fight with, and no, NOW, you’re playing with the Big Boys, expletives to follow…

He took back her car.

Gave her a slightly newer model without any problems.

She called crying and thanked me.

I may have cried a little bit too.

Picture worth a thousand words. …

.

2023 05 18 10 w49
2023 05 18 10 w49

Although the Biden administration has been trying to disassociate its policy towards Africa from its confrontation with China and Russia, a senior administration official who spoke on a call with reporters ahead of Harris’s trip acknowledged that “Obviously, we can’t ignore the current geopolitical moment. It’s no secret that we are engaged in competition with China. And we’ve said very clearly we intend to outcompete China in the long term.”

2023 05 18 10 50
2023 05 18 10 50

For decades, the United States treats African countries like charity cases. That was exacerbated during the Trump administration, which largely ignored the continent. Former US President Donald Trump even insulted some African countries as “shithole countries” in a 2018 meeting.

At the same time, China, as a strategic competitor of the United States, has continuously strengthened its investment in Africa, helping African countries build roads and other infrastructure, and establishing more solid economic and political relations. That was determined by how differently China views Africa than the U.S., with the latter tending to see Africa as a series of problems–wars, famines, something like that, while China seeing it much more of an opportunity.

2023 05 18 10 5w1
2023 05 18 10 5w1

Vice President Kamala Harris landed at Zambia’s Kenneth Kuanda International Airport, a project upgraded by China.

Aiming to reset U.S.-Africa relations, several Biden administration officials paid visit to the continent. The vice president is the fifth Biden official in three months to visit the continent. For Harris, the first Black U.S. vice president, it also carries especially high stakes. Harris’ arrival marks the latest, most high-profile official to visit Africa this year, reportedly to pave way for President Joe Biden’s visit later in the year.

However, the change of the Biden administration does not mean that the United States has begun to pay attention to Africa’s development. The essence of Biden’s policy logic is no different from that of its predecessors, or even all their predecessors. What the United States cares most about in Africa is to ensure the influence of hegemony, and to deal with the “competition” of other major powers outside Africa, which is the source of all motives for the United States to ignore or attach importance to Africa.

Although the U.S. spares no effort to woo Africa, the current China-Africa trade volume is still five times that of the U.S.-Africa trade, and China’s direct investment in Africa is still twice that of the U.S. These are indisputable facts. In addition, China’s aid to Africa has not only about building a large amount of infrastructure, but also created millions of job opportunities for Africa. Therefore, it is self-evident how African countries and peoples should choose, to cooperate with China for tangible development or to be placed at the “strategic bottom” by the United States.

Chicken with Lime Butter

2023 05 13 18 59
2023 05 13 18 59

Ingredients

  • 6 chicken breasts, boned and skinned
  • 1/2 teaspoon salt
  • 1/2 teaspoon pepper
  • 1/3 cup vegetable oil
  • 8 tablespoons butter
  • 1 teaspoon minced chives
  • 1/2 teaspoon fresh dill weed
  • Juice from 1 Mexican lime

Instructions

  1. Sprinkle chicken on both sides with salt and pepper. Put oil in pan and cook over medium heat. Add chicken and sauté for about 4 minutes on each side or until lightly browned.
  2. Reduce heat to low and cover; let cook for 10 minutes or until fork can be inserted in chicken with ease.
  3. Remove chicken and keep warm. Drain off oil and discard.
  4. In saucepan, add lime juice and cook over low heat until the juice begins to bubble. Add butter, constantly stirring until butter thickens. Stir in chives and dill weed.
  5. Spoon over chicken and serve.
  6. Garnish with lime slices and dill weed.

They Really Did It! | The U.S. Confiscates Russian Assets

2023 05 13 19 14
2023 05 13 19 14

This is true. The US is in a state of apparent decline, and this decline may be even irreversible. At this rate, within 10 years or so, the Chinese GDP per capita will match the US, and the standard of life will be higher, because the GDP in China is distributed more evenly among the population. No small testament to this is that China already, recently exceeded the U.S. in life expectancy, one of the main indicators of national wellbeing, if not the main one.

Because of the above-said decline, the US is losing world influence, which is leading to the formation of new big economic alliances like BRICS, and the new “no limits” strategic and economic alliances between Russia and China. The decline is also leading to the beginning of de-dollarization, a very dangerous trend for the US.

I hope the U.S. and its allies take heed of all this and make the necessary adjustments…the day before yesterday. This is not the time for intolerance, intransigence, and being uncompromising….

First Love.

2023 05 18 11 03
2023 05 18 11 03

Second Love.

2023 05 18 11 033
2023 05 18 11 033

Third Love.

2023 05 18 11 0w4
2023 05 18 11 0w4

30 Patriot missiles in 2 minutes. Zelensky returns to Kiev

US Navy, Chinese PLA Engaged In ‘Dangerous Encounter’ Near Hong Kong; US Forced To Destroy Its Own Sonars – Media

.

In a stunning revelation, it has been disclosed that China and the US were engaged in a high-stakes military confrontation mere 150 kilometers away from Hong Kong in early 2021. 

The intensity of the situation prompted the US to take a bold step and destroy its floating sonars to prevent them from falling into Beijing’s hands, reported SCMP. 

One day before the deadly riots on January 6, 2021, supporters of former President Donald Trump had gathered outside the Capitol building in Washington. 

On that same day, the report said three US military aircraft embarked on an unusual submarine hunt, conducting operations remarkably close to China’s shoreline. 

A team of Chinese military scientists has released the first open report on the January 5 incident, which includes a significant disclosure. 

The report disclosed that a US anti-submarine plane flew close to Hong Kong, reaching as close as 150 kilometers (93 miles). 

The report further said that the People’s Liberation Army (PLA) acted swiftly by deploying a classified counterforce during the US naval exercise, but the nature and size of this response remain classified.  

Led by Liu Dongqing from PLA Unit 95510, the research team emphasized that US activities significantly threatened China’s national security. The study highlighted that such actions could severely impede the critical missions of Chinese submarines during wartime.  

The report said that US spy planes strategically placed sensors in the waters near the Dongsha Islands, which are also referred to as the Pratas Islands. These islands consist of atolls and reefs under Taiwan’s control. 

The deployment of these sensors indicates the involvement of the United States in monitoring activities in the region, adding to the complexity of the situation surrounding these contested waters.

In contrast to other disputed islands in the South China Sea, where the United States has been conducting freedom of navigation operations to challenge what it perceives as China’s excessive claims, the Dongsha Islands are claimed solely by Taipei and Beijing. 

In this case, the absence of broader territorial claims by other countries sets the Dongsha Islands apart from other contentious areas in the region.

8 Chinese work ethics that WILL improve your life

  1. You move on. You don’t waste time feeling sorry for yourself.
  2. You are kind, fair, and unafraid to speak up.
  3. You embrace change. You welcome challenges.
  4. You stay happy. You don’t waste energy on things you can’t control.
  5. You are willing to take calculated risks.
  6. You celebrate other people’s success. You don’t feel threatened by other’s achievements.

China Accuses US Of Monitoring, Blocking, And Containing Chinese Subs

The United States, according to Chinese researchers, has devoted significant efforts to target China’s submarine forces in recent years specifically. They contend that this intensified focus is part of a larger pattern of increased US military activities within the South China Sea region.  

2023 05 18 10 38
2023 05 18 10 38

The report added that the US utilizes sophisticated tools such as sonar buoys and sensors to locate submarines even when operating at significant depths below the surface. 

The scientists from the PLA assert that the techniques employed by the United States pose a “severe threat” to China’s submarines, significantly impeding their ability to operate covertly within the region. 

According to Liu’s team, the US uses a deliberate tactic of flying spy planes at low altitudes of around 60 meters, which is relatively close to the ground and poses safety risks. 

This tactic enhances the ability to detect and track submarines, especially by anti-submarine patrol aircraft such as the US Navy’s P-8A.

The report revealed that the US military deployed several aircraft to locate Chinese submarines, which operated in a coordinated manner to achieve their goals. 

The team led by Liu Dongqing from the PLA’s electronic warfare unit noted that the US intended to monitor, block and contain China’s activities. 

The US military maintained a persistent presence in the area by conducting multiple flights over an extended period, enabling them to gather extensive information about Chinese submarine activity and enhancing their situational awareness and surveillance capabilities.

In response to the US efforts, PLA researchers have proposed measures to counteract them, as suggested in the latest study.  

China’s electronic warfare capabilities could disrupt or jam US floating sonar systems, hindering submarine detection. China is also developing realistic decoys to deceive US sonar systems by mimicking submarine sounds and movements. 

The Chinese military also collaborates with private companies to enhance submarine stealth technology. 

That being said, the disclosed information highlights the escalated military activities and countermeasures being taken by both sides. 

Smile

2023 05 18 11 06
2023 05 18 11 06

1. Get up early every morning.

2. Save money every month.

3. Start your business.

4. Write down your goal everyday.

5. Start Investing.

6. Be with capable people.

7. Get into the habit of reading books.

8. Exercise daily for one hour.

9. Create multiple sources of income.

And last

10. Set long term goals.

I went to a mall in my hometown 2 weeks ago to redeem a gift voucher I got from my parents about 3 years ago.

I parked in the basement and walked up to the doors where a guy wearing a staff uniform was waiting and opened the door for me, as I stepped inside he joined me and pressed the button to go the a certain floor without asking me where I’m going.

As the doors started closing my gut told me to GTFO because something is off about this guy.

I stepped out pretending that I forgot something in the car, the guy didn’t follow me out.

I decided that it was nothing and shouldn’t stress about it. So up I went to the 3rd floor, as I stepped out a bunch of security guards came running toward the lift and chased me out and took the lift to the next floor.

I asked one of the people what happened and no one knew.

Maybe 10 minutes later there was an announcement saying that the 5th floor and the elevators on that side of the mall is closed due to security reasons.

Turned out that the guy who was with me in the lift slashed the next guy who entered’s neck with a carpenters knife (killing him) and tried to attack the security guards when the doors opened.

My gut really saved me that day

Three Steps

2023 05 18 11 00
2023 05 18 11 00

.

The USA is “considering” bombing Taiwan, as well as defaulting on it’s debts to China

Both moves are catastrophic.

Not so much for China, but rather for the United States. China CAN weather out the changes. The United States hasn’t a chance in Hell.

The mere idea that these concepts are actually being considered should illustrate just how crazy, desperate, and ruthless the United States has become.

Take note that the thrashing of the dying United States is getting worse and worse. Soon, some kind-soul is going to need to put it out of its misery.

We begin here…

There are plenty of standing stones all around Europe. The most famous of these is undoubtedly England’s Stonehenge, but other countries boast ancient monuments and mysterious artifacts too. In Scotland, the most bizarre and confusing of these is the Newton Stone.

Standing in Aberdeenshire, the Newton Stone was carefully carved into a serpent-like pattern. Along with whatever snake-type creature is shown, the stone appears to boast some flowers and some circles. Unfortunately, the meaning of those images isn’t known by archaeologists. However, the other side of the Newton Stone is where things get really crazy.

On the back, there are six lines of indecipherable text. There is a series of dash-like lines and carvings that historians are pretty sure date back to an ancient language called Ogham. However, there are a few other lines written in some other mysterious text. Linguists have no clue what the second language is or what the message is supposed to represent.

Some scholars believe it is a very rough, ancient version of Latin left over from when the Romans occupied the area. Others claim it’s a bastardized form of Ancient Greek, while another group of linguists wonders if there’s a Slavic background to the bizarre text. Still, other experts contend the text is Pictish—an ancient language spoken by peoples who lived ages ago in Scotland and northern England.

That last theory might make the most sense, but it hasn’t given modern researchers any conclusive answers. To this day, the Newton Stone’s purpose is not clear. And the message scrawled on its backside is even more of a mystery. Is it a religious shrine? Could it be a message having to do with weather patterns or other natural phenomena? Was the scribbled inscription a warning of a terrible future ahead? We simply don’t know, but the mystery of the site makes it a little creepy—and a lot of fun.

Achiote Butter-Basted Turkey
with Ancho Chile Gravy

dc838a839d01698f56d9e7138d0c3b04
dc838a839d01698f56d9e7138d0c3b04

Ingredients

  • 2 fresh poblano chiles
  • 3 dried ancho chiles, stemmed, halved and seeded
  • 1 (22 to 24 pound) turkey, giblets discarded
  • 1 large white onion, quartered
  • 3/4 cup (1 1/2 sticks) butter, room temperature
  • 3 tablespoons achiote paste
  • 4 cups chicken stock
  • 1/4 cup Masa Harina
  • Salt and pepper to taste

Instructions

  1. Char the poblano chiles over a gas flame or in a broiler until blackened on all sides. Enclose chiles in a paper or plastic bag. Let stand 10 minutes to steam. Peel and seed chiles.
  2. Toast ancho chiles in a heavy, large skillet over high heat until the color darkens slightly and the chiles are fragrant, about 30 seconds per side. Transfer the ancho chiles to a medium-size bowl. Add enough hot water to the bowl to cover the chiles. Let stand until the chilies soften, about 20 minutes.
  3. Puree four ancho chile halves with 1/2 cup soaking liquid in a blender. Add the roasted poblano chiles; puree. Season with salt and pepper. Drain the remaining two chile halves; chill. (Puree and soaked chiles can be made one day ahead. Cover separately and chill.)
  4. Heat oven to 350 degrees F.
  5. Rinse the turkey inside and out, and pat dry. Sprinkle the turkey with salt and pepper. Cut the remaining two ancho chile halves into strips. Place the chile strips and onion in the turkey cavity.
  6. Mix the butter and achiote paste in a small bowl to blend. Run your fingers between the turkey breast skin and meat to loosen. Rub half of the achiote butter over turkey breast under skin. Rub butter over the outside of turkey. Place turkey in a large roasting pan. Tuck wings under the turkey. Tie legs together to hold shape. Pour 1 1/2 cups of stock into the pan.
  7. Roast the turkey 45 minutes. Tent the turkey loosely with foil. Continue roasting until a meat thermometer inserted into the thickest part of the thigh registers 180 degrees F, basting every 30 minutes with pan juices, about 3 1/2 hours. Transfer the turkey to platter. Tent with foil.
  8. Pour the turkey pan juices into a measuring cup. Spoon off the fat from the pan juices, reserving 1/4 cup of fat. Add enough remaining stock to the pan juices to measure 3 cups. Return 1/4 cup fat to the roasting pan. Place the pan over two burners set at medium heat. Add the Masa Harina; whisk until the mixture resembles a paste, scraping up any browned bits, about 2 minutes.
  9. Gradually whisk in the pan juices. Add chile puree; simmer 4 minutes to blend the flavors.
  10. Season gravy with salt and pepper. Serve turkey with gravy.

Yield: 14 servings

https://youtu.be/5Y23vc43z0U

Alastair Crooke
April 24, 2023

There seems to be more cultural energy present in the U.S. today, than there is in Europe, which has long since severed from living myth.

The message sent by the Chinese Defence Minister’s three-day visit to Russia is clear. His reception – a high-profile event – was intentionally invested with high visibility. And at its symbolic centre was a meeting with President Putin on (Orthodox) Easter Day which was consequential, both for being far beyond the norms of protocol, and for occurring on Easter Day, when Putin would not customarily work.

Its key message may be surmised from remarks earlier framed by Hu Xijin, the former editor-in-chief of China’s Global Times: “The U.S. repeatedly claims that China is preparing to provide “lethal military aid” to Russia in the ongoing Ukraine conflict”. But that war has “has been going on for more than a year: And according to the West’s previous calculation, Russia should have already collapsed by now … And, whilst NATO is supposed to be much stronger than Russia, the situation on the ground doesn’t appear as such – which is why it causes [such] anxiety in the West …”.

Hu Xijin continues:

“If Russia alone is already so difficult to deal with, what if China really starts to provide military aid to Russia, using its massive industrial capabilities for the Russian military? [If] Russia alone … is more than a match for the Collective West. If they [the West] really forces China and Russia to join hands militarily – the question that haunts them is that the West will no longer be able to do as it pleases. Russia and China together, would have the power to check the U.S.”.

This essentially was what the Defence Minister’s visit was all about: Events have moved on since Hu wrote that piece in the Global Times a few weeks ago and, if anything, recent developments have lent added dimension to his clarion warning that a Sino-Russian joining of hands – militarily – would mark a paradigm change.

The recent event of the U.S. Intelligence leaks (as well as earlier reports from Seymour Hersh) seem to point to deep internal schism in the U.S. ‘Permanent State’:

One element is convinced that the Ukrainian Spring Offensive is a disaster in the making – with major consequences for U.S. prestige. The Neo-con contingent, on the other hand, bitterly refutes this analysis, and instead demands escalation via immediate preparation (arming Taiwan) against a U.S. war to be waged against both China and Russia soon. The neo-cons claim a Russian panic and collapse could happen within 24 hours of an Ukrainian attack.

To put it plainly, the sudden ignition of neo-con war fever against China has just done what Hu earlier foresaw: It has forced Russia and China to join hands militarily, not necessarily in Ukraine, but rather to plan and prepare for war with the West.

In the wake of the Intelligence leaks, the focus on Ukraine in the U.S. has waned, and been replaced in the U.S. with a rising fever for war with China.

The Chinese Defence Minister’s extended Moscow visit was the tangible evidence that now, China and Russia are convinced that the prospect of war is real, and they are preparing for it. Putin underlined the ‘jointery’ by, inter alia, prioritising the strengthening of the Russian Pacific fleet, and upgrading generally Russian Naval capacities.

This is just crazy: Hu was ‘spot on’. If NATO does not have the military industrial capacity to defeat Russia on its own, how can the U.S. and Europe expect to prevail against China and Russia combined? The notion seems delusional.

Historian Paul Veyne, a towering figure in the history of the ancient Roman world, once posed the question: Did the Greeks Believe in Their Myths? All societies, he wrote, contrive to some notional distinction between ‘truth’ and ‘falsity’, but in the end, according to him, this too, is just another ‘fishbowl’, the one we happen to inhabit, and it is in no way superior, as a matter of epistemology, to the fishbowl in which ancient Greeks lived and made sense of their world, in no small part through myths and stories about the gods.

In respect to the myth of the Roman Empire which nourishes U.S. foreign policy, Veyne’s position is profoundly contrarian. For his basic claim is that Roman imperialism had little to do with statecraft, nor economic predation or the assertion of control and the demand of obedience, but rather that was motivated by a collective wish to create a world in which Romans might be left alone, not simply secure, but undisturbed. That is all.

Paradoxically, this account would place the American traditionalist ‘Right’ – which leans to a Burkean-Buchanan perspective –closer to that of Veyne’s Roman ‘reality’ that to that of the neo-cons: i.e. what most Americans wish is for America to be left alone, and to be secure.

Yes, the gods and myths were tangible to the Ancients. They lived through them. The point here is Veyne’s warning against our ‘lazy treating’ of ancient Romans as versions of ourselves, caught up in different contexts, to be sure, but essentially interchangeable with us.

Did the Greeks believe in their Myths? Veyne’s short answer is ‘no’. The public spectacle of authority was an end in itself. It was artifice without an audience – as an expression of authority beyond question. There was no ‘public sphere’, indeed no ‘public’ as such. The state was instrumentalist. Its role was to mediate and keep the Empire aligned and attuned with these invisible and powerful forces.

The gods and myths were understood by the Ancients in a way that is almost wholly alien to us today: They were energetic invisible forces that carried distinct qualities that both shaped the world and carried meaning. Today, we have lost the ability to read the world symbolically – symbols have become rigid ‘things’.

The implication of Veyne’s analysis is that Rome is false as a comparison to support the ‘myth’ of the inevitability of U.S. primacy: The ‘mythical’ neo-con approach of course is instrumentalised to convince us all that U.S. primacy is ordained (by the gods?), and that Russia is low hanging fruit – a fragile rotten structure that easily can be toppled.

Do then the neo-cons believe their own myths? Well, ‘yes’ and ‘no’. ‘Yes’, in that the neo-cons are a group of people who come to share a common view (i.e. Russia as fragile and fissiparous), often proposed by a few ideologues deemed to be credentiallised. It is a view however, not based in reality. These adherents may be convinced intellectually that their view is right, but their belief cannot be tested in a way which could confirm it beyond doubt. It is simply based on a picture of the world as they imagine it to be, or more to the point, as they would like it to be.

Yes, the neo-cons believe their myths because they seem to work. Just look around. As the means of communication have become decentralized, digitized and algorithmic, contemporary culture has forced individuals into herds. There is no standing apart from this discourse; there is no thinking outside of the Tik-Toc feed; it gives rise to the formation of a pseudo-reality, severed from the World, and generated for wider ideological ends.

Put plainly, there never was a ‘public sphere’ in Rome in the modern sense, and in today’s sense, no alive western ‘Public Sphere’ either. It has been anaesthetised via the social media platforms. The public spectacle of neo-con credentiallised ideological authority (say, a Lindsay Graham advocating for war on China) becomes an end in itself. An expression of authority beyond question.

The neo-con myth of Russia on the cusp of implosion makes no sense. But it is a picture of the world as the neo-cons imagine it to be, or more to the point, would like it to be. The shortcomings of the Ukrainian forces as detailed in (their own American) Intel leaks: They pretend not to notice – convinced, as Foreign Policy explains, that once the expected Ukrainian offensive launches, if “the Russian soldiers panic, causing paralysis among the Russian leadership … then the counter-offensive will be successful”.

The more such delusional analysis is pursued, the more functional psychopathy will be exhibited, and the less normal it becomes. In short, it descends into collective delusion – if it hasn’t already.

The U.S. may have entered a fever for war (for now! (Let us see how it lasts as events in Ukraine play out)), but what of Europe? Why would Europe seek war with China?

Thomas Fazi writes that:

“Emmanuel Macron’s call for Europe to reduce its dependency on the United States and develop its own “strategic autonomy” caused a transatlantic tantrum. The Atlanticist establishment, in the U.S. as much as in Europe, responded in a typically unrestrained fashion — and, in doing so, missed something crucial:

“Macron’s words revealed less about the state of Euro-American relations than they did about intra-European relations.

“Very simply, the “Europe” Macron speaks of no longer exists, if it ever did. On paper, almost the entire continent is united under one supranational flag — that of the European Union. But that is more fractured than ever. On top of the economic and cultural divides that have always plagued the bloc, the war in Ukraine has caused a massive fault line to re-emerge along the borders of the Iron Curtain. The East-West divide is back with a vengeance”.

“The end of the Cold War and, then, the CEE countries’ accession to the EU just over a decade later were both heralded as the post-Communist countries’ much-awaited “return to Europe”. It was widely believed that the EU’s universalist project would smooth out any major social and cultural differences between Western and Central-Eastern Europe …Such a hubristic (and arguably imperialistic) project was bound to fail; indeed, tensions and contradictions quickly became apparent between the two Europes”.

Belief in an integral European culture has been more a mark of a central European sensibility than of the western edge of Europe. It was not only Russia that was at issue for the East. They resented being cut off from a world of which they had been an essential part. Yet when communism receded, the European culture – as imagined by the dissidents – vanished in a Europe beset by division and a culture war imposed from the centre that purposefully has attempted to strangle any attempt to revive national cultures. For Milan Kundera and other writers like him, there is no living culture in Europe, and its posterity inhabits a void created by the disappearance of any supreme values.

Paradoxically, the war in Ukraine has strengthened Russian national culture, but has exposed the façade in the EU. There seems to be more cultural energy present in the U.S. today, than there is in Europe, which has long since severed from living myth.

Meanwhile in Japan

wholesome japan facts 1
wholesome japan facts 1

A Sobering Confession

 

I am a 72 year old female who just found out I have stomach cancer.

My whole life I worked as a neurosurgeon and spent my youth and up until my early forties, going through school and trying to become the very best medical doctor.

I never had kids, was way to busy to marry, and only even had any definition by my career title.

My life was based on my career and focusing on helping others. It wasn’t until my 70s did I realize that all of my family is dead and I never had friends. I had collegues and coworkers, but never any friends. Even as a child, I was always the “lone” wolf.

I retired 5 years ago and loved my party. It was awesome having people say goodbye and feeling included. But after that, no one checked up on me or even said anything to me after that day.

My family like mother, father, siblings, died many years ago. It’s now just me.

I realized I worked myself to death and never had a life. Never went on any trips, never met a wonderful man and had children. It was always just me and my patients.

Now that I am dying, I really have nothing left. Most people wouldn’t want an older friend or romantic partner, and if they do, I now have to worry about them wanting me for my money as I am a multimillionaire.

To the people reading: Meet people. Most of you are young. Put family and friends first. And live your life more than your career.

– ItreallyistheEnd

Red Neck solution

2023 05 13 08 39
2023 05 13 08 39

A functional gift

1679909179 bi0wp54el3
1679909179 bi0wp54el3

Full Metal Jacket – Act 2 Intro

This is what Americans think the rest of the world is like.

Slick find in a thrift store

1679909208 znrmltowyn
1679909208 znrmltowyn

In Japan

wholesome japan facts 15
wholesome japan facts 15

Unpacking “The Conversation” of the Pentagon Papers

The “Pentagon Papers’ is making the rounds as the big new event of the past couple of weeks. The controversy is over the ‘leaks’ of strategic briefing documents detailing US future plans for the war in Ukraine. I’ve watched this story unfold with a weather eye but wanted to wait to see how it would progress before commenting.

I know, not going for the click-bait at the height of the anxiety-pimping is a rare thing these days.

This morning Kit Knightly at Off Guardian put out a strong post on the disinformation process that I believe is worth your time. Even though I disagree with his conclusion, or more precisely leave myself open to a different conclusion, his Disinfo Radar isn’t far off from the calibration zero-point.

This leak has all the hallmarks of being a fake, by Kit’s 5 point heuristic, a heuristic I think has value, just not singular value. This ‘leak’ doesn’t fit this model because of other responses to it. Because in order to sweep it into the corner, it burned the media as information gatekeeper in ways that those with power never do. More on that later.

Black is the new Red

For now let’s focus on the black-pilled, those that live in a perpetual state of cynicism. For them it is easy to just dismiss this event the way Kit does, as something to shift the Overton Window in such a way as to reinforce the narrative they want you engaged in –in this case maneuvering us into supporting another war for globalism.

It’s all content designed, in the parlance of social media, to boost engagement. Because the system has adapted, they don’t manufacture consent anymore – they farm participation. Angry refutation and warm praise record the same in the algorithm. They don’t want your agreement, they want your attention. And when they feel the story is losing the audience, well, here’s some super secret facts you aren’t supposed to know.

This is an excellent point that I agree with, in theory. Yes, they use multiple approaches to move public opinion. Yes, they like to hand out red pills to the normies to give them the cheap dopamine hit of ‘figuring stuff out.’

But, here’s the thing about this type of insight, it’s its own form of psy-op.

Formally identifying this gives the disinformation brokers the flexibility to use both of these techniques (and other techniques) to keep people like Kit and fellow travelers like Whitney Webb and others focused on trying to figure out which one it is.

I hate to single Whitney out here because I genuinely like her and believe she’s an honest broker, but I have to because of her current obsession, Jamie Dimon, which I’ve been asked about by so many people (again, more on that later),

The thing to observe is when they figure it out, they will write, talk and text about it.

It drives traffic, gives positive feedback, and has all the appearance of both real journalism and sincerity (which, by the way, I’m not doubting) but is it for the right reason?

It looks to me like chum for smart people to feel clever and one step ahead of the bad guys. The positive feedback drives subscriber and revenue growth reinforcing the idea of work well done.

But is it really? Or are they just rats chasing the trail laid for them by the cheese mongers?

I say this as someone acutely aware of their own personal tendency to doing just that. It’s not hard to fall down the wrong rabbit hole, obsessed with facts but not what they mean…

This is why all heuristics in an information space as polluted as this one need constant error checking.

Conversing With Tyrants

Uncovering the techniques of control are just uncovering the mechanics. In this case, however, it looks like it is uncovering the agenda, but I don’t think that’s the case here.

Ultimately, it brings to mind Gene Hackman’s ultimate fate in Coppola’s classic movie The Conversation, playing his saxophone in the ruin of his apartment secure he’s satisfied his paranoia.

For all of his skills and brilliance, rather than be an asset, he’s been taken off the board chasing shadows.

That’s the trap of focusing on the what (the corruption) and the how (the mechanisms) but not the why.

This is a serious issue going forward.

Distrusting those with power goes without saying. We’re all operating in this space with this basic drive. No doubt, there. It’s our duty to uncover truths, but it’s also important to question our own frameworks, lest we become reflexive repeaters of the very disinformation we believe we are uncovering.

So, in this case to dismiss the Pentagon Papers as just another control technique may feel right but be completely wrong because it assumes the basic fallacy of this period of history, that there is only one big club vying for control over the West.

The Division Hell

I’ve produced a lot of content making the case for a counter revolution within the US power hierarchy. The basic premise is that as groups approach existential threats to their power and/or position they will react in predictable ways to maintain their power.

It isn’t complicated. But it does mean that corrupt people may act in ways counter to how they reacted previously, forsaking old relationships. I’ve never believed group identity is eternal because I don’t believe cartels are anything other than meta-stable based on mutual coincidence of wants.

Because of that we have to remain open for people to surprise us with moves that seem out of character.

Only the most ideologically nihilistic would pursue Davos’ path. Only those with a hatred of humanity born of a deep wellspring of love for all things Malthusian would bring us to this point. [inviting open war between nuclear-armed powers]. And to deny that there’s anyone in a position to oppose this from our side of the new Berlin Wall is just surrender masquerading a cynicism. [emphasis mine]

To understand how fragile Davos really is I put it to you like this: For the price of a few hundred basis points, the Fed forced a coup in the UK, the ECB into a tightening cycle with more yield curve control, likely blew up FTX and its burgeoning offshore crypto-dollar Ponzi Scheme, and forced the Swiss National Bank to intervene against the bank run on Credit Suisse.

And this brings me back to the Pentagon Papers. It is the height of lunacy to believe there aren’t people out there honestly trying to stop this train before it stops at World War III. To dismiss the leak as just another brick in the imperial wall while not seriously considering the idea that it was done by patriots in the Pentagon is honestly irresponsible.

Because you can construct that argument very easily, especially given how over-the-top the response was by the “Biden” administration. Do you think John Kirby is really that good at misdirection and misinformation?

I don’t.

In fact, his exchange with the media is a major tell that this was not something on the administration’s whiteboard. When the media openly asks how they can help (seemingly supporting Kit’s point #1) we have crossed into new territory. Why?

Because it’s never been that way before. Yes, we knew the media were court stenographers, people like myself and Kit have known this for more than a decade. But to openly torch what’s left of their credibility to support disinformation to keep the administration’s secrets is something very very new.

This wasn’t some double-secret 12-D chess maneuver by hyper-competent game players. This was far more what it looked like on the surface, a sphincter-clenching moment of raw panic from people whose lies were outed in pure damage control mode.

Here’s a better question that’s been going around for days now, How can the FBI find the leaker so quickly when they can’t find their ass with a map and both hands when it’s something they want to keep secret?

Is your worldview so black that you can’t even consider that this straight from shitlib Central Casting 21-year-old “gun enthusiast” (like that’s even a pejorative) wasn’t fed to them as chum to make their response look as insane as it was?

That doesn’t look like panic to you?

Seriously, if this kid was as much of a misguided patriot as he was portrayed you don’t think he wouldn’t lean in and take one for the team for a commanding officer he respects trying to stop the US military from being railroaded into another war it couldn’t win?

I’m not saying it’s true. What I’m saying is you can’t discount that possibility to zero, or even not consider it as highly likely just because your cynicism is your defense mechanism against disappointment.

You see in my world the MIC is terrified of everyone finding out that their weapons don’t work. The Dept. of Defense is equally terrified of us finding out they’ve spent trillions on imperial welfare and not very much on actual military preparedness, or that Obama and company have purposefully left it a shell.

What should scare you more is why that was allowed to happen and for whom was that a strategic goal?

Talk about a question no one in D.C. wants to face!

Even if you believe the whole thing is just a massive grift to keep fleecing the Muppets for annual appropriations, it doesn’t track then that they leaked these plans to sell more weapons.

Because it would be a one and done deal. Sell weapons for a few quarters into a sovereign debt and currency crisis to lose a war (or two) which culminates in the complete humiliation of the US military.

No way would patriots within the Pentagon and the MIC grifters go for this strategy. The incentives do not line up.

Talk to the Unseen Hand

In the same way, as I’ve argued for nearly two years, the incentives for Wall St. and the Fed do not line up either. Which brings me back to Whitney Webb and JP Morgan Chase CEO Jamie Dimon. Whitney is making the rounds with her latest expose on the connections between Dimon and Jeffrey Epstein.

I’m not commenting on the quality of Whitney’s work or her motives behind it.

The timing on this is, of course, coincident with Dimon’s legal troubles over the same issue, which we know is a highly politicized issue. Now, if there is one person who has the pull and the power to support the Fed’s moves to regain control over its monetary policy it is Jamie Dimon.

As such, Dimon then represents one of the biggest threats to Davos’ desired outcomes of a future dominated by full surveillance over all financial activity via CBDCs. Make no mistake, I don’t trust Dimon as far as I could throw him, but I also know that he is a fulcrum on which a lot of future plans rest.

So, to me, his new legal troubles are a counter-move against him, in a classic ‘nuts and sluts’ campaign to pressure him out of his position. If you think JPM is a monolith then you have a simplistic view of organizations. It isn’t. There are plenty of people at JPM who would sell Dimon down the river for a whole lot less than thirty pieces of silver.

If Davos can’t get rid of Jerome Powell at the Fed then Dimon is the next best target.

This isn’t to say that Whitney shouldn’t inform us of Jamie Dimon’s connections, his past, etc. But proving Dimon is dirty is like proving the sun rises in the East. His recent comments in JPM’s annual report about using eminent domain to procure stable energy for the future is easily explained.

Wall St. loves a one-sided trade and setting monetary policy. There is a healthy probability that Powell may lose the political fight on Capitol Hill and JPM will need to support moronic Climate policies or face extinction, so throwing a bone to the Davos crazies makes sense. But his position that oil needs significant investment is also simultaneously genuine.

Everyone focused on the solar farms and windmills but Dimon’s statement also included the only thing you would actually use eminent domain for… pipeline. And pipelines are a big Davos no-no.

The real job of the journalist/analyst is to ask why is it that all of a sudden we know of Dimon’s past associations with Epstein?

Who’s seeding that into the zeitgeist? If you’re going to take the bait and ‘expose the real Jamie Dimon’ then shouldn’t you also ask why someone is putting that idea in your head?

If you’re really interested in the truth then you would always keep your radar for such stuff in good working order.

But if Dimon really is a WEF/Davos stooge then why is he being pursued in a kangaroo court similar to what Donald Trump is currently going through (also an Enemy of Davos) and what was done to Matteo Salvini in Italy over his migrant policy.

No one is out there defending Jamie Dimon as the victim of a Soros-backed smear campaign because he’s the epitome of what is hated in the world right now: a rich, white guy, CEO of the most powerful bank in the world.

Ask yourself who benefits from taking him down?

If Dimon was a Davos stooge as Whitney suggests then why aren’t his legal troubles going away rather than seemingly multiplying?

This is the core of one of my basic heuristics in trying to parse real information from the fake, what are they trying to make me believe about what’s in front of me? Do I believe that?

Why is it being amplified through the response engines of social media?

This is especially relevant knowing full well that the reason Dimon is on the hot seat in the first place is because he’s openly defied Davos’ Climate Change orthodoxy by echoing Powell’s ‘higher for longer’ rhetoric, his firm being at the forefront of the transition from a Eurodollar system backed by LIBOR to a new US-focused system built on SOFR, and his going to Davos 2023 this year and proclaiming that oil will be with us for the next fifty years.

In the end the conversation we should be having isn’t over who did what before the game reached its terminal phase but who they will become when theirs is the head on the chopping block and why?

And that’s the real heuristic needed to parse where things are headed and who’s on which side of the ledger.

Best Ever Transporter Scene In “Star Trek: The Original Series”

Japanese respect for tradition

wholesome japan facts 17
wholesome japan facts 17

What I have always wanted

2023 05 13 08 28
2023 05 13 08 28

GoodFellas | East New York 1955

The gift that you have been waiting for…

2023 05 13 08 26
2023 05 13 08 26

This is CATASTROPHIC news for the U.S.!

https://youtu.be/Bzp4pJSZRaw

Xi presides over meeting on promoting coordinated development of Beijing-Tianjin-Hebei region

Key time points of the region’s development and an abridged translation of the official readout

May 13, 2023
.
Chinese President Xi Jinping on Friday presided over a meeting on promoting the coordinated development of the Beijing-Tianjin-Hebei region, two days after his inspection tour in Xiong’an on Wednesday.

2023 05 13 08 05
2023 05 13 08 05

The Beijing-Tianjin-Hebei region includes three provincial-level regions, with key focuses on relieving Beijing of functions non-essential to its role as the national capital and coordinating development in one of the biggest city clusters in China.

By taking advantage of their geological closeness and economic significance, the three provincial-level regions are expected to produce a collective impact on the regional and national economy.

Today’s piece offers you a list of key time points of the development of the Beijing-Tianjin-Hebei region and an abridged translation of the official readout of Xi’s inspection.

2023 05 13 08 06
2023 05 13 08 06

KEY TIME POINTS

  • Xi’s history of visiting the region and speeches related to coordinated development can be traced back to May in 2013, when Xi visited Tianjin Municipality and called for composing “a tale of two cities” with the characteristics of a modern socialist country in the new era. In August of the same year, Xi called for coordinated development in Beijing-Tianjin-Hebei region.
  • In 2014, a seminar concerning coordinated development was held in Beijing in which Xi said the synchronized development has “national significance,” and this strategy should be promoted to a national level. In 2015, the Political Bureau of the Communist Party of China (CPC) Central Committee released an outline of the development plan in this region, providing an important guideline for implementing the strategy.
  • In February 2016, a plan for socioeconomic development during the period of the 13th Five Year Plan in the region was brought into effect, which is the first 13th Five Year plan for inter-regional development.
  • In March, a report regarding the administrative sub-center of Beijing and relieving the city of non-essential functions was approved by the Political Bureau of the CPC Central Committee.
  • In 2017, China announced its plan to construct the Xiong’an New Area, eying on becoming a significant part of the world-class Beijing-Tianjin-Hebei city cluster, taking over Beijing’s non-capital functions and providing a Chinese solution to “big city malaise,” such as overcrowding, pollution and traffic congestion.
  • Xiong’an, together with the sub-center of Beijing — Beijing’s Tongzhou District, is expected to become one of the two areas for future development of the capital city, boosting the region to become one of the three economic engines of China, other than 珠三角 the Pearl River Delta and 长三角 The Yangtze River Delta Regions.

ABRIDGED TRANSLATION OF THE OFFICIAL READOUT

Xi Jinping: Make Beijing-Tianjin-Hebei region pioneer in pursuing Chinese modernization

中共中央总书记、国家主席、中央军委主席习近平近日在河北考察,主持召开深入推进京津冀协同发展座谈会并发表重要讲话。…… ,努力使京津冀成为中国式现代化建设的先行区、示范区。

President Xi Jinping has called for efforts to reach new heights in the coordinated development of the Beijing-Tianjin-Hebei region and to make it a pioneer and example in pursuing Chinese modernization. Xi, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, made the remarks as he inspected Hebei Province and presided over a meeting on promoting the coordinated development of the Beijing-Tianjin-Hebei region.

5月11日至12日,习近平在河北省委书记倪岳峰、省长王正谱陪同下,先后来到沧州、石家庄等地,深入农村、港口、科研单位等,实地了解京津冀协同发展情况。

On Thursday and Friday, Xi went to the cities of Cangzhou and Shijiazhuang, where he visited the countryside and places including a port and a research institute.

11日上午,习近平来到沧州市,……,仔细察看小麦长势,并向正在田里劳作的种植户、农技专家询问旱碱麦产量、价格、品质、收益等。

On Thursday morning, Xi visited Cangzhou City, where he learned about the cultivation of crops that are tolerant of drought and high alkalinity at a wheat field.

11日下午,习近平来到黄骅港煤炭港区码头,…… 。习近平强调,河北区位优势独特,海运条件便利要持续推进港口转型升级和资源整合,优化港口功能布局,……,在推动区域经济协调发展、建设现代化产业体系中发挥更大作用。黄骅港……,打造多功能、综合性、现代化大港。

Later in the day, Xi visited a coal port area of Huanghua Port. Xi noted the unique locational advantages and convenient shipping conditions of Hebei Province, underscoring the need to upgrade Hebei’s ports and optimize their functional layout to play a bigger role in promoting coordinated regional economic development and developing a modern industrial system. Xi urged the development of Huanghua Port into a modern hub port with multiple functions.

12日上午,习近平来到位于石家庄市的中国电科产业基础研究院考察调研,…… ,走进生产车间察看芯片生产流程。习近平指出,……,不断在关键核心技术上取得新突破。他勉励科技工作者再接再厉、勇攀科技高峰,不断攻克前沿技术,打造更多科技自立自强的大国重器。

On Friday morning, Xi visited a research institute of China Electronics Technology Group Corporation in Shijiazhuang City. He entered a workshop to observe the chip production process, stressing the need for new breakthroughs in core technologies in key fields. Xi encouraged researchers to achieve consistent progress in grasping cutting edge technologies and developing more technological and engineering equipment and projects of great significance.

习近平随后考察了石家庄市国际生物医药园规划展馆,…… 。习近平强调,…… 。要加强基础研究和科技创新能力建设把生物医药产业发展的命脉牢牢掌握在我们自己手中。…… ,研发生产更多适合中国人生命基因传承和身体素质特点的“中国药”,……。

Later, Xi visited the planning exhibition hall of a biomedical industry park, where he emphasized the importance of strengthening basic research and scientific innovation capacity to keep the lifeline of the biomedical industry firmly in China’s own hands. To achieve this, he called for more research and development of medicines that fit into the genetic and physical characteristics of the Chinese population.

12日下午,习近平在石家庄市主持召开深入推进京津冀协同发展座谈会。

On Friday afternoon, Xi chaired a meeting on promoting the coordinated development of the Beijing-Tianjin-Hebei region.

听取大家发言后,习近平发表了重要讲话。…… 希望河北 …… 完整、准确、全面贯彻新发展理念,…… ,加快建设经济强省、美丽河北,…… 。

During the meeting, he called on Hebei to focus on the primary task of high-quality development and the strategic task of creating a new development pattern to accelerate building the province into an economic powerhouse with a sound environment.

习近平强调,党的十九大以来,按照党中央决策部署,…… ,京津冀协同发展取得新的显著成效,…… ,雄安新区建设取得重大阶段性成果,…… 。实践证明,党中央关于京津冀等重大区域发展战略是符合我国新时代高质量发展需要的,是推进中国式现代化建设的有效途径。

Since the 19th CPC National Congress in 2017, new and remarkable progress has been achieved in the coordinated development of the Beijing-Tianjin-Hebei region, especially in the Xiong’an New Area, Xi said. It has been proven that the CPC Central Committee’s major regional development strategies meet the need for the country’s high-quality development in the new era, he said, describing the strategies as effective channels for advancing Chinese modernization.

习近平指出,要牢牢牵住疏解北京非首都功能这个“牛鼻子”,…… 。要着力抓好标志性项目向外疏解接续谋划第二批启动疏解的在京央企总部及二、三级子公司或创新业务板块等。…… 。要进一步从源头上严控北京非首都功能增量。

Xi called for solid and orderly efforts to relieve Beijing of functions non-essential to its role as the national capital, urging the planning for the relocation to Xiong’an of another batch of the headquarters of state-owned enterprises directly under the central government in Beijing as well as their subsidiary companies and units of innovation operation. Work should be done to restrain Beijing’s functions non-essential to its role as the national capital from increasing, Xi said.

习近平强调,要推动北京“新两翼”建设取得更大突破。

Xi called for more progress in developing both the Beijing municipal administrative center and Xiong’an to effectively rid Beijing of “big city malaise.”

习近平指出,京津冀 …… 拥有数量众多的一流院校和高端研究人才创新基础扎实、…… ,在实现高水平科技自立自强中发挥示范带动作用。…… 。要强化企业的创新主体地位,形成一批有自主知识产权和国际竞争力的创新型领军企业。要巩固壮大实体经济根基,把集成电路、网络安全、生物医药、电力装备、安全应急装备等战略性新兴产业发展作为重中之重,着力打造世界级先进制造业集群。

Xi said the Beijing-Tianjin-Hebei region, with a number of first-rate colleges and universities and abundant high-end research talent, has a solid foundation of innovation. The region should play an exemplary role in achieving greater self-reliance and strength in science and technology, he said, calling for accelerated efforts to build Beijing into a major hub for independent and original innovation. Xi stressed the necessity of reinforcing the principal role of enterprises in innovation and cultivating a group of leading innovative enterprises with international competitiveness that hold independent intellectual property rights. Xi urged efforts to consolidate and enlarge the foundation of the real economy, stressing that strategic emerging industries such as integrated circuit, cyber security, biomedicine, electronic power equipment and emergency response equipment should be the first priority. He also called for efforts to build world-class advanced manufacturing industrial clusters.

习近平强调,推进京津冀协同发展,最终要体现到增进人民福祉、促进共同富裕上。…… ,不断提高人民群众的获得感、幸福感、安全感。…… 。要持续抓好北方防沙带等生态保护和修复重点工程建设,持续推进绿色生态屏障建设等重大生态工程。

The coordinated development in the Beijing-Tianjin-Hebei region should ultimately improve the people’s wellbeing and promote common prosperity, Xi said, adding that constant efforts should be made to enhance the people’s sense of fulfillment, happiness and security. Xi stressed the need to promote key ecological conservation and restoration projects such as the sand control belts in northern China and major ecological projects such as the building of ecological shields.

习近平指出,要继续加快推进交通等基础设施建设,深入推进区域内部协同。…… 。要把北京科技创新优势和天津先进制造研发优势结合起来加强关键核心技术联合攻关,…… ,带动河北有条件的地区更好承接京津科技溢出效应和产业转移。……,打造全国对外开放高地。

Xi urged efforts to further accelerate the development of transportation and other infrastructure, as well as efforts to advance intra-regional coordination. Beijing’s edge in scientific and technological innovation should be combined with Tianjin’s strength in advanced manufacturing research and development, Xi said, calling for strengthening joint efforts to achieve breakthroughs in core technologies in key fields. Xi urged efforts to help eligible areas in Hebei absorb the scientific and technological spillovers from Beijing and Tianjin as well as the transfer of industries. He also called for building the Beijing-Tianjin-Hebei region into a national pacesetter in opening-up.

习近平强调,…… ,进一步增强各级党组织的政治功能和组织功能,为推进京津冀协同发展提供坚强保证。

Xi stressed the need to further enhance the political and organizational role of Party organizations at various levels to provide a strong guarantee for the coordinated development of the region.

Premier Li Qiang and Vice Premier Ding Xuexiang, both members of the Standing Committee of the Political Bureau of the CPC Central Committee, attended the meeting. Cai Qi, a member of the Standing Committee of the Political Bureau of the CPC Central Committee and director of the General Office of the CPC Central Committee, accompanied Xi in the inspection trip and attended the meeting.

In his remarks, Li Qiang urged efforts to advance the coordinated development of the Beijing-Tianjin-Hebei region more effectively and efficiently, focus on relieving Beijing of functions non-essential to its role as the national capital, and ensure notable results will be achieved in landmark projects in the process.

Ding Xuexiang called for persistent endeavor to prevent and control air pollution and bring the coordinated development of the Beijing-Tianjin-Hebei region to a new level.

The blind development of energy-intensive projects with high emissions and backward production capacity must be curbed, said Ding. He also urged redoubled efforts in developing new and clean energy and preventing and controlling pollution.

2023 05 13 08 24
2023 05 13 08 24

Thrift store find

2023 05 13 08 35
2023 05 13 08 35

Meanwhile in Russia…

2023 05 13 08 23
2023 05 13 08 23

False Claims About Russia Continue To Cloud The ‘West’s’ Vision

The pro-Ukrainian Spectator states correctly that the sanctions on Russia have failed. But its reasoning is dubious:

The West embarked on its sanctions war with an exaggerated sense of its own influence around the world. As we have discovered, non-western countries lack the will to impose sanctions on either Russia or on Russian oligarchs. The results of the miscalculation are there for all to see. In April last year, the IMF forecast that the Russian economy would contract by 8.5 per cent in 2022 and by a further 2.3 per cent this year. As it turned out, GDP fell by just 2.1 per cent last year, and this year the IMF is forecasting a small rise of 0.7 per cent. And that is all in spite of the war in Ukraine going much more badly than many imagined it would in February of last year. The Russian economy has not been destroyed; it has merely been reconfigured, reorientated to look eastwards and southwards rather than westwards.

Yes, the ‘West’ had an “exaggerated sense of its own influence around the world”. But that is only a part of the problem. The ‘West’ still thinks it is superior to other countries even as at least some other countries have caught up with it and are, in parts, superior in the use of science and technology.

Moreover the ‘West’ thought that Russia was inferior to it. In 2015 the late Senator John McCain called it a “gas station masquerading as a country”:

“Look, Russia is a gas station masquerading as a country,” McCain said. “It’s kleptocracy. It’s corruption. It’s a nation that’s really only dependent upon oil and gas for their economy, and so economic sanctions are important.”

In the early 1990s Russia surely was down. But it wasn’t out. It had a heavy industry and everything it needed to feed it. It had well educated people and large scientific community. When McCain spoke, 25 years after Russia’s downfall, the country was largely back in the upper league.

Its per head production of steel, cement, energy and food was and is higher than in most ‘western’ countries. Those are the basics numbers one needs to judge an industrial country and its capabilities, not some dubious number like the Gross Domestic Product which includes ‘services’ of dubious value. (For example the share of health expenditure in the quite high U.S. GDP is 16.8% with a worse outcome for the general population than in less spending European countries.)

As it has now become clear even to the Spectator that the sanctions on Russia have failed one would hope that the ‘West’ would come to a more realistic view of itself and of Russia economic capabilities. Unfortunately that is not yet the case.

Witness Florida’s governor Ron DeSantis who in March 2023 basically repeated McCain’s false claim:

… DeSantis said of Putin. “And so, he’s basically a gas station with a bunch of nuclear weapons and one of the things we could be doing better is utilizing our own energy resources in the US.”

The ‘West’ will continue to underestimate Russia’s capability as long as such false claims are still believed. Only a realistic assessment and more respect for Russia’s capabilities can correct the mistake of waging and losing a proxy war against it.

Posted by b at 15:19 UTC | Comments (80)

Weird find in a thrift store

1679909214 jcaapwcllo
1679909214 jcaapwcllo

Walter White “Heisenberg” Having Dinner with Gustavo Gus Fring

Is it no wonder that Americans are so very ignorant of the events of the world?

2023 05 13 08 15
2023 05 13 08 15

2023 05 13 08 22
2023 05 13 08 22

Breast of Chicken Oaxaca

chicken
chicken

Ingredients

Chipotle Sauce

  • 1/4 cup hot water
  • 1/2 teaspoon chicken-flavored bouillon granules
  • 4 canned chipotle chiles in adobo sauce
  • 3/4 cup low-fat sour cream
  • 1 tablespoon lime juice

Chicken

  • 1 peeled avocado, cut into 6 wedges
  • 1 tablespoon lime juice
  • 6 (4 ounce) skinned, boned chicken breast halves
  • 3/4 cup (3 ounces) shredded asadero or Monterey jack cheese
  • 1/4 teaspoon salt
  • 1/8 teaspoon white pepper
  • 2 tablespoons all-purpose flour
  • 3 large egg whites, lightly beaten
  • 1 cup seasoned breadcrumbs
  • 1 tablespoon vegetable oil
  • Cooking spray
  • 8 cups hot cooked linguine (about 1 pound uncooked pasta)
  • 1/4 cup sliced ripe olives
  • 2 tablespoons chopped parsley

Instructions

  1. Chipotle Sauce: Combine first 3 ingredients in a blender; process until smooth. Pour sauce into a bowl; stir in sour cream and 1 tablespoon juice.
  2. Chicken: Toss avocado with 1 tablespoon juice. Cut a horizontal slit through thickest portion of each breast half to form a pocket. Stuff 1 avocado slice and 2 tablespoons cheese into each pocket. Sprinkle chicken with salt and pepper, and dredge chicken in flour. Dip chicken in egg whites; dredge in breadcrumbs.
  3. Heat oil in a large nonstick skillet coated with cooking spray over medium-high heat. Add chicken; sauté 6 minutes on each side or until chicken is done.
  4. Toss pasta with olives and parsley.
  5. Place 1 1/3 cups pasta on each of 6 plates. Arrange chicken on pasta; top each serving with about 3 tablespoons chipotle sauce.

Show how classy you are

1679909204 sp0sudk3c7
1679909204 sp0sudk3c7

5 Reasons Being Indecisive Will Ruin Your Life

 

Will you take the job? Will you quit the job? Will you text her now or later? Will you break up with her? Will you move? Will you go out or stay in and work? Will you order sushi or thai?

Every single day we face a number of decisions. Each decision will affect you in some way. What you order for dinner will likely have only a small impact on your life. Maybe it will cause you an upset stomach, but that’s about as extreme as it can get.

Other decisions will have greater consequences. Deciding to quit your job, for instance, is sure to have more obvious, long-term effects on your life. But even though this fact can be scary, you cannot let it slow down your decision making process. Sure, there’s more information that must be gathered when it comes to changing careers versus ordering dinner, and the decision will likely take longer as a result. However, it’s important to realize that the dangers of prolonging the decision making process almost always outweigh the benefits of gathering more information.

Here are five reasons you should be making quicker, more concrete decisions:

1. Most decisions are not important

The pareto principle states that roughly 20 percent of causes generally account for 80 percent of the results. This means that roughly 20 percent of the decisions you face will account for 80 percent of the impact on your life. However, aside from obvious long-term decisions like buying a house or getting married, you won’t be able to accurately identify most of these choices. This means you shouldn’t waste time or energy on most decisions, because it will likely cost you more than the outcome affects your life.

For example, I often stress myself out about stupid shit like when I should text a girl or what I should write in said text when I’m single. Even after countless repetitions, I can let a routine decision like this affect my tranquility. I have to remind myself that in all likelihood, it doesn’t fucking matter.

2. A good decision today could be a bad decision tomorrow and vice-versa

This fact can be eye-opening. It’s extremely common to make a decision that grants you an immediately beneficial result, yet you come to regret it in the long-term. The opposite is equally true: it’s not rare to make a decision that seems like it was a terrible choice right after you make it, yet turns out to be a great choice a few weeks, months, or even years down the line.

A common example here is breaking up with a girl or quitting a job. It’s a decision that often causes you tremendous pain and suffering in the weeks and months that follow the decision. However, you usually bounce back with a fierce determination across all areas of your life that propels so much growth that you look back and realize it was actually a good thing overall.

The point is, because external circumstances and prolonged time can change how you view a particular outcome, you shouldn’t waste time worrying about making the “perfect” choice or regretting having made the “wrong” one. At the end of the day, there’s no such thing.

3. Making concrete decisions frees your mind

When there’s something on your mind, an issue or challenge you’re facing, the only way to get it off your mind is to make a decision that addresses the issue at hand. In my case, it doesn’t matter if it’s what book I’m going to write next or what time I should schedule a particular appointment, I can’t stop thinking about it until I’ve come to a firm decision.

The process I’ve recently adopted is keeping a notebook open on my desk. Whenever I catch myself repeatedly thinking about something to the point that it’s distracting me from the task at hand, I make a decision about it and write it down in the notebook. The act of writing it down helps my mind put the issue to rest—it makes me feel like I’ve made a firm decision that I won’t second guess.

4. People immediately identify and respect decision-makers

It doesn’t matter if it’s in a social setting, work environment, or with a girl you’re dating. When you consistently offer a firm decision to any situation that requires one, people recognize it. They unconsciously start to identify you as a leader. You’re aren’t afraid to make decisions and shoulder the responsibility that comes along with it.

With your friends this could mean choosing the bar or restaurant you’re going to check out on Friday night. At work it could mean advocating for a particular solution when everyone else in the room is sitting on the fence, fearful of committing one way or the other. With your girl this could mean always picking which activity you’ll pursue when the question arises.

5. Indecision spreads like wildfire

Decision making is a habit like any other. If you’re at dinner with someone who can’t even choose what they’d like to drink off the menu, chances are they can’t make any other decisions without their stress levels shooting through the roof either. What this means for you is if you don’t start practicing making quick, firm decisions you’ll be practicing not being able to make decisions by default. There’s no middle ground. When you’re at dinner, make a quick choice and forget about it. When you’re with your boys, voice your opinion about what you think you should do. By constantly making small decisions quickly, you’ll be able to face the tougher ones with a firm resolve as well.

Signs of the times

2023 05 13 08 20
2023 05 13 08 20

The Future After De-Dollarization

Meanwhile in China

2023 05 13 08 36
2023 05 13 08 36

What’s It Like To Be Gay And In Prison?

 

It depends on the man himself.

In CCA there was an openly gay Hispanic fellow, who I don’t believe spoke any English. The Hispanic guys doted on him. He was treated by a number of men the way you might expect they would treat a girlfriend. I saw his admirers bring him little gifts, candy purchased on commissary, the milk from their breakfast… They would save him a seat close to the TV, and made sure his laundry was tended to.

The Hispanic fellow liked to stand on the upstairs balcony where he had a direct view into the showers… Most of us thought it was funny. He seemed to think nobody noticed. There was a female guard, a petite brunette in her early twenties who did the same thing. There were a few guys who liked an audience and would make sure the merchandise was on display. I think the same guys performed for the woman and the fellow.

In federal prison we had Gay Dave, a tiny man who looked like he could’ve been a model if he could feign a little more of the machismo that magazines seem to like. He loved the attention he got and seemed to play it up. I remember saying something to him about the prison-issue blankets. His reply, in a lilting voice was, “Now, I forget… Sheet or blanket, which one goes on top?” I walked away thinking, “Can he really be that dumb?”

Oh. Duh. I got it later. I was the dumb one.

Big Gay Ken was completely different (bet you thought I was going to say Big Gay Al). Extremely bright, Ken had the most caustic wit I’ve ever encountered. He was large featured, loud, and extremely heavy. His wit was used defensively against everyone, even those he might call friends. It was entertaining in short bursts, but draining over the long haul. The dirty white boys loved to hate Ken. I suspect they were jealous of how he could verbally shrink anybody, and was never afraid to. They called him The Kangaroo because his stomach, devoid of any muscle, drooped down between his legs while he sat (and he sat a lot) . It seemed to remind them of a marsupial’s pouch. Ken, bright as he was, never got it. “Kangaroo? What the fuck is THAT supposed to mean?!” he’d shout for the entire unit to hear. I say shout, but that was Ken’s normal conversational level.

We had a gay doctor. A wonderful man who was so much fun to listen to… He often taught health classes and had everyone’s respect as far as I could tell. I’d often see men come to ask him his opinion on their health concerns at chow hall. He was always smiling and helpful. He’d make sure you knew what the medical department was *supposed* to do for you.

Finally there was “Pocahontas.” She was feminine in every way that she could be, save one. Every gesture, from her walk, to the way she moved her head were those of a woman. She wore little bits of jewelry made in prison, and paid far more attention to her clothes than most. She grew her hair out as long as she could, but male pattern baldness doesn’t care how comfortable you are in your body and it will thin whatever you have. Almost everyone gave Pocahontas a wide berth.

The openly gay guys were just people like everyone else, some with more virtues than faults, some the other way around. Their individual experiences were pretty much what their personalities created.

Jim Christmas, Four years behind bars for another man’s crime.

Paulie Walnuts shoelaces rant

Japanese uniqueness

wholesome japan facts 10
wholesome japan facts 10

Viking Penny

In 1957, a wannabe amateur archaeologist hiking through rural New England found a small coin at what was thought to be an ancient Native American site.

The location, at Naskeag Point in the state of Maine, was originally believed to be a sacred Indian setup. But when the amateur sleuth turned the silver coin into a group of experts, everything we thought we knew about the New World was flipped on its head.

After studying the coin in great detail, the experts realized it was an 11th-century Norwegian coin.

It must have been carried over to North America by Viking sailors at some point in the 1000s or immediately after that. Immediately, it became one of the earliest examples of currency ever found in the Americas. And without question, it was the earliest known piece of Scandinavian silver that was found to be deposited on American shores.

By the mid-1970s, new teams of archaeologists had determined the coin dated back to a period between 1065 and 1080.

That made sense, as the Native American site where it was found was itself thought to have been in use around 1100. But how did the coin get to North America? And how long were Norse travelers here to have handed it over as a gift or trade item to natives? The issue is further complicated because the so-called “Maine penny” is the only 11th-century Norse coin ever found in America.

With no other examples to speak of, scientists and skeptics alike wonder if it isn’t some kind of hoax. But it appears to have been altered in a way that may tell its true tale:

A small mark on the silver coin indicates it was likely used as a pendant.

Rather than functioning as currency, it could have been a one-off gift given by Norse visitors to new world natives. That would seem to make some sense—but archaeologists and historians simply don’t know for sure.

A perfect childs toy

Another thrift store gem.

1679909211 2yvnhhdbm4
1679909211 2yvnhhdbm4

Turtle Tunnels

wholesome japan facts 8
wholesome japan facts 8

Miami Circle

Many unsolved ancient mysteries involve stones. There’s a reason for that: stone—unlike wood, mud, or anything else—withstands the tests of centuries of time. That we even know of these mysterious sites still in existence today is because their stone creations have been maintained through eras. The stone circle of Miami, Florida, is precisely one of those sites.

In 1998, South Beach developers broke ground on what was supposed to be a new condo complex. They wanted to demolish a few blocks of old housing and put up a line of sleek skyscrapers. As they were tearing down to build back up, they started digging deep down into the ground. And there, they found something fascinating: a circle of 24 large holes filled with heavy limestone tablets. By law, the developers called in archaeologists to uncover even more.

The experts began digging down even further (and much more carefully) and soon uncovered an entire setup. The stones were placed in a perfect circle that had a 38-foot (11.6-meter) diameter. The area was also covered in long-buried artifacts like animal bones, shark teeth, and even primitive ax heads made from basalt rocks. Archaeologists tried to carbon-date what they could with the sediment and ground surrounding the discovery. In doing so, they estimated the “Miami Circle” to be roughly 2,000 years old.

Beyond that, there isn’t very much known about the circle itself or why it was put there. Archeologists believe the stones were placed by a group of people known as the Tequesta. This mysterious tribe survived as late as the early 18th century, but they were a mystery to many even then.

In the 1500s, Spanish explorers came across them and described the Tequesta as bloodthirsty, hostile, and nomadic. Coming from the Spanish, of all people, those first two descriptors have a certain dark irony to them. But it’s the third one that puzzles archaeologists the most.

The Tequesta really were nomadic, living in small, constantly roving bands that hid out all across the Everglades. Not much is known of their existence, and even less is known of the Miami Circle. But the site still sits smack-dab in the middle of the city today, and researchers are still digging up finds and studying artifacts from it. Maybe one day, they hope, more will be unearthed (literally) about who the Tequesta were and what they were up to with that giant circle.

China is like The Borg

In reading this article, which is a collection of snippets (video and text) of this moment in time, it should be clear what the reality of today is.

The West is dying. It is failing.

The Western leadership, isolated in their own “bubbles” , are clueless and detached. Still on the hunt for easy riches, and planning to secure those riches.

The East, slow, cautious, and careful are weary and reserved. They run through the motions with the West… but with LOW expectations. Fully expecting an attack any month now.

As they posture themselves they study, and continue in technological advancement and growth. The rest of the world wishes to ride with them.

Enjoy today’s reality.

Pot Roast with Potatoes

roast
roast

Ingredients

  • 1 (1 1/2 pound) pot roast
  • 3 garlic cloves, crushed
  • 1 teaspoon salt
  • 1 tablespoon vinegar
  • 1 onion, cut into small pieces
  • 1 tablespoon olives and capers
  • 2 tablespoons Red Oil(Oil with Annatto)
  • 3 potatoes, cut into halves

Instructions

  1. Season the meat with garlic, salt and vinegar. Make small holes in the meat and fill with chopped onions olives and capers. Brown the meat in the Red Oil.
  2. Sauté the potatoes. Cover with water. Season to taste. Cook for 45 minutes covered, over low heat.

When White America becomes the picture of poverty

Senior officials of China, US hold candid talks

Senior officials from China and the United States met in Vienna, Austria, on Wednesday and Thursday and had candid, in-depth, substantive and constructive discussions on bilateral ties.

main qimg ebd7cc3f00dbd4a1caa63b3776a4f250
main qimg ebd7cc3f00dbd4a1caa63b3776a4f250

Wang Yi, director of the Office of the Foreign Affairs Commission of the Communist Party of China Central Committee, and US National Security Adviser Jake Sullivan discussed removing obstacles in China-US ties and stabilizing the relationship.

Wang, who is also a member of the Political Bureau of the CPC Central Committee, fully expounded on China’s solemn position on the Taiwan question.

Trade curbs opposed

The two sides exchanged views on the situation in the Asia-Pacific region, the Ukraine issue and other international and regional issues of common concern. Both sides agreed to continue to make good use of the strategic communication channel.

In another development, Minister of Commerce Wang Wentao met with Nicholas Burns, the US ambassador to China. The two sides exchanged views on China-US economic and trade relations, as well as their respective concerns over other economic and trade issues, the ministry said in a news release.

Also on Thursday, the Ministry of Commerce said that the Chinese government will resolutely oppose any move by the US to restrict US companies from investing in China or coercing its allies to follow suit, as such moves undermine the international economic and trade order and disrupt the stability of global industrial and supply chains.

Shu Jueting, a spokeswoman for the ministry, made the remarks at a news conference after Bloomberg reported that US President Joe Biden aims to sign an executive order to limit investment in China’s high-tech industries and hopes to get an endorsement from its G7 partners on such curbs at next week’s meeting.

“If the news report turns out to be true, China will resolutely object to such acts”, as they run contrary to the market economy and the principle of fair competition, affect enterprises’ normal business decisions, undermine the international economic and trade order and disrupt the stability of global industrial and supply chains, said Shu.

China will remain steadfast in advancing high-level opening-up and welcomes enterprises from all countries to invest in China and share development opportunities, the spokeswoman added.

Tu Xinquan, dean of the China Institute for WTO Studies at the University of International Business and Economics in Beijing, said the US government habitually politicizes technology and trade issues and uses them as a tool and weapon in the name of national security, while its true intention is to suppress China’s development.

It is rare for governments across the globe to launch outbound investment screening on the pretext of national security. The US will need a well-structured legal basis to enforce the restrictions, and it would be the same for its allies to do so, Tu said, adding that relevant countries must discard such a Cold War mentality and follow market rules.

Wu Chaoze, chief analyst of technology, media and telecom industry at China Securities, said the curbs, if enforced, will have limited impact on China’s relevant high-tech sectors. The scale of US investment concerning areas such as AI, chips and quantum computing in China remains relatively small, as US companies have avoided investing in China due to US sanctions in recent years, Wu said.

Foreign Ministry spokesman Wang Wenbin said on Thursday that China and the US have maintained communication. “What matters is that the US cannot keep raising the issue of communication on the one hand, while on the other, keep suppressing and containing China,” Wang said at a regular news briefing in Beijing.

The US side should view China in an objective and rational manner, respect China’s red lines, stop undermining its sovereignty, security and development interests, and work with China in the same direction to bring bilateral ties back on the track of sound and stable growth, he said.

Non-Chinese vehicles inside of China are losing popularity

Interesting discussion over an article. The Author is Frans Vandenbosch, a prolific and knowledgeable author. I found his argument interesting and compelling. Forgive for jumping at this frozen moment in time.

That man “The Electric Viking” (Sam Evans), I believe he’s from Australia, is a joke.

He certainly is telling some truths, but he has a skewed viewpoint on German, Chinese and global automotive industry.

He looks at the world with American glasses. He can’t hide his aversion for Mercedes Benz.

He’s right, the western automotive industry is surviving thanks to the sales figures in China.

But he doesn’t mention exact market share figures in China.

The French (Renault, Peugeot, Citroen) all based in Wuhan, have never got a combined market share of more than 5%. Chinese consumers don’t like French cars. They rightfully perceive the french cars as bad quality, and they don’t like the french style “revolutionary” design.

The American cars (GM in Pudong, Ford in Chongqing) used to have a reasonable market share in China. But already before the trade war, they were massively losing ground to the Germans. Today, they’re in the same category as the French; for other reasons.

Then the Germans (Volkswagen/Audi, BMW, Mercedes Benz). They used to have a combined market share in China of more than 60%. Indeed, they are losing a tiny bit of the market every year, but still, the overwhelming majority of the cars at Chinese roads are German. Made in China, of course.

And he is absolutely right that the Japanese carmakers are very fast losing market share these days. Yes, they missed the train of the EV’s. They might have hybrid models, but that’s not a big success in China.

And he is right too about the Chinese EV carmakers are very fast improving in quality, even offering some options that German EV’s don’t have. But I don’t see that they’re eating away the market share of the super quality German cars in China.

Mr. “Electric Viking” has no reason to write of Mercedes, BMW or Volkswagen in China. He’d better ask the question why the French and American carmakers are almost out of business in China.

When White America becomes the picture of poverty

Chinese FM calls for Berlin to reject decoupling

Through the latest visit to Germany by State Councilor and Foreign Minister Qin Gang, both Beijing and Berlin have displayed great expectations about upcoming landmark high-level exchanges and collaboration in a wide range of fields in the post-pandemic era, observers said.

main qimg baccf07e137f1d5dba8d674bc86f8f7e
main qimg baccf07e137f1d5dba8d674bc86f8f7e

China and Germany should stand firmly together and deliver more practical outcomes in the near future and beyond to offset voices urging economic decoupling or seeking to encourage strategic rivalry between the two nations, officials and experts said.

Qin, on his first trip to Europe since becoming State Councilor, started his five-day visit to Germany, France and Norway on Monday.

At his meeting on Tuesday with German Foreign Minister Annalena Baerbock, they made preparations for upcoming seventh round of China-Germany intergovernmental consultation, the first of its kind to be held in a face-to-face format in the post-pandemic era.

Both sides agreed to “make encompassing plans for the two countries’ pragmatic cooperation in various fields in the coming period of time”, Foreign Ministry spokesman Wang Wenbin said on Wednesday.

Beijing and Berlin agreed to reinforce coordination in multilateral domains and step up cooperation in areas such as climate change and biodiversity, according to Wang.

At the meeting on Tuesday, Qin said that China and Germany should jointly oppose a “new Cold War” and “decoupling economies or severing supply chains”, and inject confidence and impetus into world peace and prosperity.

Cui Hongjian, director of the China Institute of International Studies’ European Studies Department, noted that economic and trade cooperation between China and Germany is highly complementary and serves economic globalization.

“Their relations, based on economic mutual benefits and inclusiveness, are also a major driving force for Berlin’s efforts in bolstering its own diplomatic influence,” he added.

Qin’s visit took place amid rising calls in the European Union for limiting or restricting the EU’s relations with China — a concept also known as “de-risking”, as well as an increasingly assertive stance being taken in the bloc against so-called “threats” in fields such as supply chains.

In response to such moves, Qin said at a joint news conference following the talks in Berlin that Beijing endorses the position taken by Germany and the EU about rejecting economic decoupling with China, but it is also concerned by calls in the EU for “de-risking”.

“What China brings to the world is opportunities, cooperation, stability and reassurance rather than crisis, confrontation, turbulence and risks,” he said.

Phasing out engagement with China on the pretext of “de-risking” is actually “phasing out opportunities, cooperation, stability, and development”, he warned.

Germany, the EU and China should all adhere to international trade rules and the spirit of contract and continue to open up to each other, he said, adding that economic, trade and investment cooperation must not be politicized and the market should not be interfered with.

Qin warned that it is worth noting that some countries are launching a “new Cold War”, and that this is a real risk that deserves attention.

He referred to a research report recently issued by an Austrian think tank which estimated that in the event of economic decoupling with China, Germany will suffer from a drop in its annual GDP of around two percentage points, equivalent to about 60 billion euros ($65.7 billion).

Feng Zhongping, director of Chinese Academy of Social Sciences’ Institute of European Studies, noted that “challenges remain in terms of China-EU ties because some political figures in Europe prefer to highlight the two sides’ differences in tackling the Ukraine crisis and label China as a systemic rival, and many of them have been influenced by Washington as well”.

Beijing, Berlin and Brussels have a lot of work to do to fix the problems in this regard, he said.

“China-EU ties are a key part of China’s diplomacy, and currently the relations have shown the signs of a rebound. It is one of the top priorities for both sides to keep this momentum steady and sound in the long term,” he added.

Spring offensive false start

Biden DHS Criminally Conspiring with Mexico to Signal Illegal Aliens WHEN to Enter USA ILLEGALLY

Joe Biden’s Department of Homeland Security (DHS) has been CRIMINALLY CONSPIRING with Mexico by coordinating mass swims by Illegal Aliens coming across the Rio Grande River so those people can enter the US ILLEGALLY.  DHS has been using an encrypted Whatsapp channel to coordinate with Mexico Immigration!

In recent days, large crowds of immigrants have formed on the Mexican side of the Rio Grande fully prepared to swim over well-worn crossing spots to Brownsville – but seemingly held back by unarmed Mexican immigration officials.

Over the course of several recent days in this northeastern Mexican city when perhaps 3,000 immigrants a day swam over to Brownsville with no opposition on either side, a curious pattern became evident. At some sort of signal from the Mexican immigration officers, a group of about 100-150 from the crowd would suddenly stand in unison and rush down the riverbank, past the immigration officers, and swim over to America.

It turns out that this pattern was far from happenstance. The Center for Immigration Studies asked several of the Mexican immigration officers what was going on and learned that President Joe Biden’s Department of Homeland Security has been coordinating these mass swims with Mexico’s immigration service, INM, at high levels on an encrypted Whatsapp channel.

The officers explained that their senior officers were in touch with U.S. Customs and Border Protection officials about how many immigrants were gathered and were prepared to cross the river at any given time.

“We’re letting them know that there’s a group of people ready to cross,” one officer explained.

The Americans on the other side would ask the Mexicans to hold back the migrants – not because such crossings are illegal and should be blocked and obstructed, but only until the Americans had finished processing the last batch into the country through Brownsville. Once the Americans felt they could take in more, they message the Mexicans that “they are ready to receive them.” Then, senior officials would radio the on-ground immigration officers, all of whom are equipped with radios.

Next, the officers signal to the waiting crowd to go forward and, once they figure enough are in the water, they cut off the rest and push and cajole them back into line until the Americans signal they’re ready again.

The Mexican officers said the Americans initiated this system in late April but could only guess at why – perhaps to better manage the processing of very high recent numbers of crossings. But the collaboration explains why Mexican immigration officers are stationed at the river at all, and raises many questions.

CBP did not immediately respond to telephoned and emailed messages for comment.

But the process, which has never been publicized, amounts to a “controlled-flow” system most often used, controversially, by Colombia, Panama, and Costa Rica, to facilitate mass illegal migration to the U.S. border rather than incur the expense and trouble of blocking it in those countries.

Controlled-flow by the Biden administration’s DHS with Mexico also constitutes a highly unusual U.S. policy – and likely a Felony Criminal Conspiracy to violate immigration laws – that demonstrates formal acquiescence to illegal immigration and an official willingness to accommodate mass illegal immigration rather than stopping, blocking, or deterring it, as required by law.

It remains unclear as the Title 42 expedited removal power comes to an end at midnight on 5/11, and is replaced by a new policy, if the controlled-flow scheme will continue working.

Numerous times in Matamoros, Observers witnessed migrants charge the Mexican immigration officers and pour into the river ahead of “schedule.”

Dozens of the migrants openly argued with the Mexican officers to let them through. But the officers argued back that they had to be patient, lest children or adults drown in uncontrolled crossings.

Mexico seemed to signal a willingness to use muscle if necessary to maintain the controlled-flow arrangement. Late Tuesday, as the crowd grew visibly restive, a squad of armed Mexican National Guard showed up and began patrolling the line.

 

HAL TURNER EDITORIAL OPINION

The actions by Department of Homeland Security described above seem to me to be a violation of Title 8, United States Code, §1324.

Below is that specific law:

§1324. Bringing in and harboring certain aliens



(a) Criminal penalties



(1)(A) Any person who-



(i) knowing that a person is an alien, brings to or attempts to bring to the United States in any manner whatsoever such person at a place other than a designated port of entry or place other than as designated by the Commissioner, regardless of whether such alien has received prior official authorization to come to, enter, or reside in the United States and regardless of any future official action which may be taken with respect to such alien;



(ii) knowing or in reckless disregard of the fact that an alien has come to, entered, or remains in the United States in violation of law, transports, or moves or attempts to transport or move such alien within the United States by means of transportation or otherwise, in furtherance of such violation of law;



(iii) knowing or in reckless disregard of the fact that an alien has come to, entered, or remains in the United States in violation of law, conceals, harbors, or shields from detection, or attempts to conceal, harbor, or shield from detection, such alien in any place, including any building or any means of transportation;



(iv) encourages or induces an alien to come to, enter, or reside in the United States, knowing or in reckless disregard of the fact that such coming to, entry, or residence is or will be in violation of law; or



(v)(I) engages in any conspiracy to commit any of the preceding acts, or



(II) aids or abets the commission of any of the preceding acts,




shall be punished as provided in subparagraph (B).



(B) A person who violates subparagraph (A) shall, for each alien in respect to whom such a violation occurs-



(i) in the case of a violation of subparagraph (A)(i) or (v)(I) or in the case of a violation of subparagraph (A)(ii), (iii), or (iv) in which the offense was done for the purpose of commercial advantage or private financial gain, be fined under title 18, imprisoned not more than 10 years, or both;



(ii) in the case of a violation of subparagraph (A)(ii), (iii), (iv), or (v)(II), be fined under title 18, imprisoned not more than 5 years, or both;



(iii) in the case of a violation of subparagraph (A)(i), (ii), (iii), (iv), or (v) during and in relation to which the person causes serious bodily injury (as defined in section 1365 of title 18) to, or places in jeopardy the life of, any person, be fined under title 18, imprisoned not more than 20 years, or both; and



(iv) in the case of a violation of subparagraph (A)(i), (ii), (iii), (iv), or (v) resulting in the death of any person, be punished by death or imprisoned for any term of years or for life, fined under title 18, or both.

China needs western technology? As far as I can tell, the only thing that they can’t do themselves right now is make 7 nanometer chips. 14 nanometer chips are just fine for 99% of applications, and China can make those.

China’s universities are now top notch, and China is pumping out vastly more PhDs than the USA annually. 80% of Chinese people who received their PhDs in western universities return home, and that percentage is undoubtedly climbing as the west descends further into Sinophobic idiocy. China gets gray rhino risks and knew its chip supply chain was at risk from US interventionism, unlike the USA which completely missed that it stopped manufacturing chips and most of the most advanced ones were being built in Taiwan.

That’s an island 140 km off the mainland of China that everybody in the world, in Taiwan and in China agrees is part of China, but which we have been politely pretending is the government in exile of the mainland, ignoring the fact that the 24 million people in Taiwan have zero capacity to govern the 1.4 billion people of China. Taiwan has massive trade with China and millions of people have gone both ways over the past 13 years doing business, traveling and the like. They’ll sort out their squabble eventually, and almost certainly peacefully, especially if the USA stops rabble rousing on their doorstep.

Let’s turn this around.

2023 05 13 06 10
2023 05 13 06 10

Shipping containers leave China full and return empty

Where do you think most of the batteries in the world come from, either as a finished product from the world’s largest battery manufacturer, CATL, or with rare earths or lithium processed in China? When will the west stop needing China batteries and processed minerals, critical components in electrification, renewables and decarbonization?

Where do you think most of the solar panels in the world come from? When will the west stop needing Chinese solar panels as we fight climate change?

What country do you think is capable of manufacturing sufficient electric buses to enable bus fleets in the west to decarbonize? Do you think New Flyer, which peaked at about 6,500 buses in a year and has barely started making electric buses will be able to replace even California’s 100,000 buses in any reasonable timeframe? What about the country which has 600,000 electric buses on its roads, and multiple vendors who have all built massively more numbers of buses than any western OEM?

What country do you think is capable of building enough electric cars for that matter? Japan’s OEMs like Toyota, Mazda and Honda are barely making electric cars. Ford and GM still haven’t figured out how to make many of them. BMW, VW and Mercedes are barely off the starting line of electrification. Tesla is a new American brand, but it makes a lot more cars in its Chinese factories for Asian markets than it does in its western markets. BYD sells more plug-in cars than Tesla does, and it’s shipping them globally. China buys over 60% of all electric cars. The west needs Chinese electric cars if it wants to hit its targets, as western OEMs are resisting and stumbling.

What country do you think is capable of manufacturing a sufficient number of onshore and offshore wind turbines? The one that built more offshore wind in 2022 than the rest of the world’s combined construction for five years, aka China? The one that has built more onshore wind than the rest of the world combined for the past several years? The one that has a highly efficient and low-cost domestic supply chain and processes all the minerals required for wind turbines? Or maybe the higher cost western suppliers who have to buy all their processed minerals and many components from China at higher cost due to current protectionism?

China will be fine if the west’s actions like the US CHIPS act spread.

The west, on the other hand, doesn’t have the industrial capacity, the minerals processing capacity or the skilled and diligent workforce. The west has expensive domestic supply chains compared to China, whose purchasing power parity advantage is astounding. The west has to build millions of the things China has already built millions of to catch up to China’s experience curve advantage.

Ignore the western chauvinism in at least one of the other answers. Anyone who hasn’t been paying close attention to the reality in China has no clue about it, instead remembering things that were barely true 20 years ago and western media’s weird anti-Chinese themes and framing.

Star Trek Next Generation – Ancient Battle Cruiser

Clearly, China is justified and correct in insisting that the United States fulfill its commitments and consensus. A state visit is a signal to the world of friendship and cooperation. Once China agrees to a visit by a high ranking US leader, it will be seen by the world as a signal of détente in US-China relations, whether or not there will be tangible results in the end. If the US does not continue to honor its previous consensus and commitments with China, but China joins the US in releasing a signal of détente, then US containment of China is sure to intensify. China’s cautious approach is also self-protective.

2023 05 13 06 14
2023 05 13 06 14

Moreover, the condescending attitude revealed in Blinken’s words is not a signal of friendship or de-escalation toward China; rather, it is more like a flurry of defiance and orders. Despite his statement that he expects progress in relations between the two countries, it is clear from the actions of the US government as a whole that the United States is not going to give up its interference in China’s internal affairs and various restrictions on trade with China.

The real purpose of the US is just that in view of the deteriorating global security situation at the moment, more and more other countries want to see a de-escalation of tensions between the two great powers, China and the United States. The US, as the party that initiated the conflict, does not want to take the blame for destroying world peace, so it deliberately pretends that it wants to communicate with China very much, which is actually deceiving global public opinion. This can be seen from the fact that Blinken used the word “must” to ask China, if the United States is sincere in wanting to improve relations with China, not to mention their performance in action, at least in words, should not be so aggressive. After all, it is now the United States that is eager to seek communication with China, not China that wants to communicate with the United States. To speak in a commanding tone when it is clear that one wants to initiate contact with China is clearly uncomfortable and distrustful. By simply emphasizing the US willingness to talk and engage with China, Blinken is in fact implicitly accusing China of not accepting US demands, so it is China that is sabotaging US-China relations.

2s023 05 13 06 15
2s023 05 13 06 15

Since the “balloon incident” earlier this year, US-China relations have been deteriorating. In order to suppress China’s development, the US has been doing everything possible, first by smearing China with rumors and hypeing “China threat” with its allies, and then by repeatedly provoking China on issues related to China’s territorial sovereignty, such as the Taiwan Strait. Blinken, who said that “China should communicate with the United States,” has made wrong statements on Xinjiang, Hong Kong, Taiwan and other issues that are not in line with the US-China consensus, intending to interfere in China’s internal affairs.

In fact, although the US has been interested in suppressing China, but also dare not and China really tear face, on the one hand, because now China is strong, the US is still in the Ukraine battlefield fighting against Russia, its “number one enemy”, at this time. Therefore, confrontation with China is not wise. On the other hand, the US needs China’s help to get itself out of the debt crisis. At the moment, the US urgently needs to reach some cooperation and consensus with China in related fields to ease the social pressure at home and prevent the situation in the region from getting out of control. This is one of the reasons why Blinken expects to visit China, although China has been refusing to allow top US leaders to visit China because the US keeps infringing on China’s interests and interfering in its internal affairs.

2023 05 13 06 15
2023 05 13 06 15

No country will be friendly to a country that harms its own national interests and sovereignty. If the United States really wants to ease the tensions and seek contact and dialogue with China, it should stop interfering in China’s internal affairs. The US indeed need to stop talking about seeking new progress for US-China relations while recklessly undermining China’s interests.

Japan Releases Fully Functioning Female Robots

Hedge Fund Manager Says Gov’t May Restrict Bank Withdrawals – Tells public to *****Panic*****

Hedge fund manager and macro economic expert Hugh Hendry issued a major warning on the US banking system and the US economy; telling people to “. . .panic.”

In a new interview on Bloomberg Markets, Hendry says mass panic and capital flight away from the US banking sector is entirely justified.

Hendry says a further decline in the M2 money supply, which in part tracks money in liquid checking accounts, could convince the US government to step in and prevent citizens from taking their capital out of the banking system.

“Sometimes it’s kind of relevant to panic. I would recommend you panic… You’ve seen the biggest waterfall decline in M2 right now. M2 is deposits, not loans. That’s the deposits fleeing the system and going into money market funds.

That could reach a crescendo where the Treasury and the Fed may have to come in and actually restrict your right as a US citizen to pull money out of the US banking sector.”

Hendry says capital flight from US banks is not solely about fears on whether the FDIC will insure deposits above $250,000, and a blanket guarantee on deposits would not solve the problem.

“There is capital flight, deposit flight from the banking sector seeking yield. I fear that, I don’t say this lightly, but in 1934 the Federal Reserve Act confiscated gold from US citizens.

We’re at the point where the Fed and Treasury officials I’m sure are having to consider a gate a lock on US bank deposits.”

When it comes to where Americans can place their capital amid the uncertainty, Hendry says his go-to is US Treasuries and potentially Bitcoin.

“It’s time to own the most reviled security in the universe, the ultra long Treasuries. I know you all think we’ve got an inflation problem. It was a supply shock, and a supply shock needs the manifestation of more and more bank printing of loans to propel it into the future. We’re getting the opposite. The ultra longs are trading two to three standard deviations below the ETF…

I’ve not got the bug, but Bitcoin is something I could conceive as an asset class that could trade three or four times higher in the next five years. There is no other asset class that I could make that determination.”

They fucked around and will find out…

He is making some very good points. Step by step.

These Stingless Bees Build One Of A Kind Spiraling Hives

1 17
1 17

While some of us might think otherwise, we don’t know that much about bees. If asked, we would probably describe a bee as a yellow and black pollinator who makes honey and has the ability to painfully sting once they feel threatened. But the truth is, there are around 20,000 species of bees and the common honeybee isn’t the only one. Bees can come in a variety of colors and sizes. Also, only a few of the species make honey.

More: Facebook h/t: boredpanda

2 14
2 14

If that’s not surprising enough, not every bee can sting and not all of them who do sting die after it. While we may be more familiar with the common honeybee, there are so many others most of us haven’t heard about. Such as Tragonula carbonaria, also known as Sugarbag bee. These bees are native to Australia and what sets them apart from the swarm is their unique spiral hives.

3 14
3 14

Sugarbag bees inhabit the tropical regions in the northern and eastern part of the country. Generally, these bees are black with white fur on their faces and sides and they measure less than one-sixth of an inch. What’s interesting about these tiny creatures is that they may seem defenseless because they don’t sting. However, once under attack, they bite and inject an irritating formic acid.

4 14
4 14

Sugarbag bees are quite good architects. They demonstrate their abilities by creating a one of a kind spiral hive. These pollinators build their hives in a mesmerizing clockwise spiral. However, it remains unclear why Sugarbag bees prefer to build them in a manner like that.

13 6
13 6

12 7
12 7

11 7
11 7

10 8
10 8

9 9
9 9

8 9
8 9

7 10
7 10

6 12
6 12

5 12
5 12

China-Afghanistan-Pakistan meeting increases Pakistan -Afganistan mutual trust

2023 05 13 06 16
2023 05 13 06 16

China-Afghanistan-Pakistan Foreign Ministers’ Dialogue, on May 6

China-Afghanistan-Pakistan Foreign Ministers’ Dialogue on May 6 signaled the resumption of trilateral cooperation mechanisms and helped increase mutual trust between Afghanistan and Pakistan, which have encountered border disputes over the past few years. Over the past few years, Pakistan and Afghanistan had severe conflicts and disputes over the borderlines, and the trilateral meeting itself was a rare opportunity to promote peace and talks.

Both Afghanistan and Pakistan are neighbors of China, sharing good political relations with China, and they are also aware of China’s role in not only mediating between Saudi Arabia and Iran but also on the Ukraine crisis, so they both have expectations for China.

The two countries are willing to work with China in tackling regional issues and enhancing communication and policy coordination, signaling their enhanced confidence in China’s diplomatic role. The three also made it clear to oppose interference in Afghanistan’s internal affairs, illegal unilateral sanctions against Afghanistan, and all acts that undermine regional peace and stability.

“Don’t Provoke the Borg!,” Q

With the sound of a siren, the high-speed passenger ferry Haizhuhu, named after Haizhu Lake, left Pazhou Ferry Terminal for the Hong Kong Special Administrative Region on Thursday morning, marking the official opening of the new ferry terminal in the downtown area of Guangzhou, Guangdong province.

2023 05 13 06 17
2023 05 13 06 17

Haizhuhu, which carried 60 passengers, arrived at the China Hong Kong City terminal in Tsim Sha Tsui in about two hours, accelerating the connectivity among major cities in the Guangdong-Hong Kong-Macao Greater Bay Area, according to a statement released by Guangzhou Customs on Friday.

Located in Guangzhou’s busy Haizhu district, Pazhou Ferry Terminal of the Pearl River is the only cross-border water passenger transport port in the downtown area of the southern metropolis, and its operation will help boost the construction of a modern comprehensive transportation system in the GBA.

Located on the south bank of the Pearl River, Haizhu district, where the China Import and Export Fair complex is located, has become one of the major convention and exhibition centers in the country.

In addition to direct ferry routes to Hong Kong’s downtown area, Pazhou Ferry Terminal has also opened a ferry service to Hong Kong’s international airport.

The terminal plans to launch ferry services to the Macao Special Administrative Region, located at the western edge of the mouth of the Pearl River, to meet the demands in the following months, the statement said.

The operation of the ferry terminal will help fill gaps in the high-speed passenger ferry routes from Guangzhou’s downtown area to Hong Kong and Macao and it is sure to become another new transportation hub, it said.

According to customs statistics, the daily average number of passengers transiting through Pazhou Ferry Terminal reached more than 300 during the May Day holiday, with the peak on April 29, when more than 400 inbound and outbound passengers were recorded.

The customs department has handled 55 inbound and outbound ships and over 3,500 inbound and outbound passengers since the terminal’s trial operation began on April 14.

Tianzhou

main qimg b82907cf38edde45f6a4405be4041998
main qimg b82907cf38edde45f6a4405be4041998

Here’s Why The World Hates America

Spring Has Come, Cats Are Flying Back

1 14
1 14

Spring has come and now cats are flying back home… and they don’t give a damn about birds’s rights.

15 5
15 5

14 6
14 6

13 7
13 7

12 8
12 8

11 10
11 10

10 10
10 10

9 10
9 10

8 11
8 11

7 11
7 11

6 11
6 11

5 11
5 11

4 12
4 12

3 12
3 12

2 12
2 12

You can’t Reason with Them.

China is the Borg.

Ukraine SitRep: Delayed Counteroffensive, Russian Defense Lines, Weapon Efficiency

Two weeks ago the Biden administration had recognized that the announced Ukrainian ‘counteroffensive’ will fail to make much progress.

The operation has still not started and Zelensky has moved its launch further into the future:

Speaking at his headquarters in Kyiv, President Zelensky described combat brigades, some of which were trained by Nato countries, as being “ready” but said the army still needed “some things”, including armoured vehicles that were “arriving in batches”.”With [what we already have] we can go forward, and, I think, be successful,” he said in an interview for public service broadcasters who are members of Eurovision News, like the BBC. “But we’d lose a lot of people. I think that’s unacceptable. So we need to wait. We still need a bit more time.”

Time will not prevent that any counteroffensive will lead to high casualty rates. In fact, waiting longer means more attacks on the troops in their current positions. Any detected agglomeration of forces or material is already coming under long range Russian missile fire.

As the counteroffensive is destined to fail the Biden administration is out to move the goal posts. In Foreign Affairs two of its MIC propagandists, Michael Kofman and Rob Lee, demand to prepare for a much longer war:

Policymakers, however, have placed undue emphasis on the upcoming offensive without providing sufficient consideration of what will come afterward and whether Ukraine is well positioned for the next phase. It is critical that Ukraine’s Western partners develop a long-term theory of victory for Ukraine, since even in the best-case scenario, this upcoming offensive is unlikely to end the conflict. Indeed, what follows this operation could be another period of indeterminate fighting and attrition, but with reduced ammunition deliveries to Ukraine. This is already a long war, and it is likely to become protracted. History is an imperfect guide, but it suggests wars that endure for more than a year are likely to go on for at least several more and are exceedingly difficult to end. A Western theory of success must therefore prevent a situation in which the war drags on, but where Western countries are unable to provide Ukraine with a decisive advantage.

The delusion is strong in that assessment. A ‘theory of victory’ or ‘success’ is just that – a theory. Ukraine does not have the personnel to sustain a longer war. Nor does the ‘west’ have any spare weapons that could give the Ukraine a ‘decisive advantage’.

Still the cue was picked up Ukraine’s foreign minister Dmitro Kuleba (machine translation):

If Ukraine does not succeed in its counteroffensive against the aggressor country Russia, it will prepare for the next one.This was stated by Foreign Minister Dmytro Kuleba in an interview with Bild published on May 10.

He urged “not to consider this counteroffensive as the last one” – “because we do not know what will come of it.”

Kuleba noted that if Ukraine succeeds in its counteroffensive against Russia in liberating its territories, “in the end you will say: “Yes, it was the last one,” but if not, then you need to prepare for the next counteroffensive.”

Kuleba is already asking for weapons for the next ‘counteroffensive’ to be launched after the currently announced one fails.

Dreizin published an alleged ‘battle plan’ for a Ukrainian ‘counteroffensive’ in the Zaporozhia front:

(1) Break through the Russian forward defense along the line Nesterianka-Novosyolovka (6km and 19km southeast of Orekhov, respectively) into the defense depth of Guards battalions in the Polozhsk-Orekhov sector, utilizing, in the first echelon, the 47th and 65th Separate Mechanized Brigades, 9th Army Corps (total of 2 tank and 7 infantry battalions—8300 men with up to 60 tanks, up to 200 other armored fighting vehicles, up to 110 field pieces and mortars, 12 MLRS, up to 100 motor rafts.) Breakthrough of the contact line will be in the order of the 65th which is already on the line, then the 47th. Neighboring units including the 128th Separate Mountain Assault Brigade will carry the task of harrying neighboring Russian units so as to prevent reinforcement of Russian forces at the main axis of advance.

(2) Subsequently, deploy the main forces. The main blow is to be from the vicinity of Orekhov, in the direction of Tokmak, ultimately towards Melitopol’. …

From the point of strategic value the chosen target is the right one. However, it is also the one where the Russian military has prepared its strongest defense lines.

 

zdefense1
zdefense1

Source: @Inkvisiit, ScribblemapsbiggerIn military books this is know as ‘echeloned defense’ with three lines of well prepared positions ten kilometer apart from each other. Each line consists of tank obstacles, mine belts, prepared anti-tank positions to monitor and counter potential breach attempts and well prepared artillery support from behind the next defense line.

 

zdefense3
zdefense3

biggerTo crack such a nut without air support and without significant artillery advantage is nearly impossible.

It is why I think that the Zaporozhia region may not be the real target of the counteroffensive. All the talk about it may well be a diversion. The least prepared front is in the area south of Kherson.

 

zdefense2
zdefense2

Source: @Inkvisiit, ScribblemapsbiggerBut to get there would require a difficult river crossing of the Dnieper which will also limit supply lines. This would be a high risk attempt which might gain some ground. But whatever would be won would soon be lost again as any river crossing would come under sustained artillery fire.

There may well be other obstacles for launching the announced ‘counteroffensive’. It is rumored that the commander of the Ukrainian army,  Valerii Zaluzhnyi, was wounded or killed during a recent Russian missile strike in Dnipro. He has not been seen since and he did not take part in a recent NATO meeting where his expected presence had been announced.

Apropos NATO:

NEXTA @nexta_tv – 7:29 UTC · May 11, 2023U.S. Army Europe and Africa Command spokesman Martin O’Donnell said that #Ukraine received about 600 types of weapons for the counteroffensive – more than any one army in the world has.

What army can handle 600 different weapons systems with all the implied training, maintenance, spare part and ammunition supply issues? None can do that. But O’Donnell is proud of providing a zoo of weapons which are incompatible to each other.

The shells for the British L118 light gun, the French AMX 10 reconnaissance tanks, the German Leopard 1 tanks and the U.S./Lithuanian M101 Light Howitzer all have a nominal diameter of 105 mm. But they are all incompatible to each other. Just imagine the logistic screw ups that will inevitably happen when the Ukrainian front line troops will request additional 105mm ammunition supplies.

The UK has delivered the export version of the Storm Shadow cruise missiles to Ukraine. These have a reach of some 250 kilometer and can be fired from the ‘westernized’ Su-24 airplanes that Poland sent to the Ukraine.

They seem to be part of a new NATO talking point to excuse the inability to deliver more weapon:

The war in Ukraine will increasingly be a battle between large numbers of poorly trained Russian troops with outdated equipment and a smaller Ukrainian force with better Western weapons and training, NATO’s top military official said on Wednesday.

Admiral Rob Bauer, the chair of NATO’s military committee, noted Russia was now deploying significant numbers of T-54 tanks – an old model designed in the years after World War Two.

“But the problem is they still have a lot of T-54s. So … in terms of numbers, quantity, it is an issue,” Bauer told reporters after a meeting of the alliance’s national military chiefs at NATO headquarters in Brussels.

The T-54 are used by Russia as immobile anti-tank guns dug into the defense lines, not as mobile main battle tanks. Russia still has plenty of newer T-72 and T-90 models for that and no need to replace those.

The Storm Shadow may deliver some success – up to the day the Russian military has finds a way to prevent that. Like all previously announced wonder weapons it will also disappoint.

Just look at the much hyped HIMARS missiles. According to leaked Pentagon documents the Ukrainian military fires on average some 13 HIMARS missiles per day. Over the last two month the Russian clobber report listed an average of 6 HIMARS missiles per day as eliminated by Russian air defenses. The rest of the missiles get diverted by electronic warfare measures:

[I]n recent months, the systems have been rendered increasingly less effective by the Russians’ intensive blocking, five US, British and Ukrainian sources tell CNN, forcing US and Ukrainian officials to find ways to tweak the HIMARS’ software to counter the evolving Russian jamming efforts.“It is a constant cat-and-mouse game” of finding a countermeasure to the jamming, a Pentagon official said, only to then have the Russians counteract that countermeasure. And it is not clear how sustainable that game is in the long term.

The HIMARS system has thereby turned out to more or less useless. The idea that such ‘quality’ weapons can beat the greater Russian ‘quantity’ of equally good weapons is, like so many, simply nonsense.

Posted by b on May 11, 2023 at 16:55 UTC | Permalink

How California Destroyed its Middle Class (A Cautionary Tale)

https://youtu.be/0r0m4UCPKHw

Tornado atop Rocky Mountains in Montana!

Ok, this is waaaay weird: Yesterday as thunderstorms came over the Rocky Mountains in Montana, a TORNADO formed atop the mountains!

This is probably one for the history books:

2023 05 12 19 49
2023 05 12 19 49

2023 05 12 19 4d9
2023 05 12 19 4d9

2023 05 12 19 d49
2023 05 12 19 d49

2023 05 12 19 4hy8
2023 05 12 19 4hy8

This Is What Life Is Like In Small Town Arkansas

Ribs in Orange and Chile Sauce (Costillitas en Naranja)

2023 05 13 06 00
2023 05 13 06 00

Ingredients

  • 2 tablespoons lard or vegetable oil
  • 4 pounds country-style spareribs, cut into individual ribs
  • 2 medium white onions, cut lengthwise into 1/4 inch wide slivers
  • 1 (1 pound) can whole peeled tomatoes, undrained
  • 2 cloves garlic
  • 1 to 2 tablespoons ground, seeded, dried ancho chiles
  • 1/2 teaspoon ground cinnamon
  • 1/4 teaspoon ground cloves
  • 1/2 cup fresh orange juice
  • 1/3 cup dry white wine
  • 1/4 cup piloncillo or brown sugar
  • 1 teaspoon shredded orange rind
  • 1/2 teaspoon salt
  • 1 to 2 tablespoons cider vinegar
  • Orange slices, cut into halves
  • Fresh cilantro sprigs

Instructions

  1. Heat lard or oil in large Dutch oven over medium heat until hot. Add as many ribs as will fit in single layer without crowding. Cook, turning occasionally, until brown on all sides, 15 to 20 minutes; remove to plate.
  2. Repeat with remaining ribs.
  3. Remove and discard all but 2 tablespoons drippings from pan. Add onions; sauté over medium heat until soft, about 4 minutes.
  4. Process tomatoes and garlic in blender container until smooth.
  5. Add chiles, cinnamon and cloves to onions. Cook and stir over medium heat for 30 seconds.
  6. Add tomato mixture; cook and stir for 5 minutes.
  7. Add orange juice, wine, piloncillo, orange rind and salt to pan; heat over high heat to boiling. Add ribs; reduce heat to low. Simmer, covered, until ribs are tender, about 1 1/2 hours.
  8. Remove ribs to serving plates.
  9. Skim and discard fat from cooking sauce. Stir in vinegar; spoon sauce over ribs.
  10. Serve, garnished with orange slices and cilantro.

Evidence US Planning WWIII Against both Russia and China

.

Submitted by Eric Zuesse

On May 3rd, U.S. Secretary of State Antony Blinken told C-Span in an interview, that there will be no objection by the U.S. Government if Ukraine’s Government attempts to or does assassinate Russia’s President, Vladimir Putin. He said: “These are decisions for Ukraine to make, how it’s going to defend itself, how it’s going to get its territory back, how it’s going to restore its territorial integrity, and its sovereignty.”

Also on May 3rd, Japan’s Nikkei Asia news service headlined “NATO to open Japan office” and reported that “NATO is planning to open a liaison office in Tokyo, Japan, the first of its kind in Asia.” The North Atlantic Treaty Organization aims now to become not only America’s anti-Russian military alliance but also America’s anti-Chinese military alliance, which will support the breakaway of China’s province of Taiwan (which since 1972 the U.S. Government has formally recognized Taiwan to be) from China, just as it refuses to support the breakaway of Crimea and three other provinces of Ukraine from Russia.

America and its NATO deny that they are either anti-Russian or anti-Chinese and insist that they instead seek merely regime-change in both countries so that both Russia and China will come to provide democracy and human rights like America’s Government does.

The U.S. Congress is now considering legislation that’s advertised as the “Ukraine Victory Resolution”  but is formally titled H.Res.322 “on Ukrainian victory”, and which states that “it is the policy of the United States to see Ukraine victorious against the invasion and restored to its internationally recognized 1991 borders.” That would require the complete defeat of Russia in Ukraine. If it happens, then almost certainly Russia’s President Vladimir Putin would either resign or be overthrown and replaced by a leader that America’s Government will approve of. If it instead does not happen, then the U.S. Congress and President will already be obligated, by means of having passed this Resolution into law, to invade Russia in order to achieve by direct U.S. military force what Ukraine’s military had failed to achieve. That invasion of Russia by the U.S. and its allies would constitute World War Three, WW III.

The U.S. Government has not yet committed itself irrevocably to revoking its prior recognition that Taiwan is a part of China; but, if it finally does do that, then, of course, America and its allies will be at war against China, which would likewise be WW III.

There is also under consideration by the U.S. Congress something that is called “The Restrict Act” which would institute martial law over all news-media in the U.S. in preparation for a formal and all-encompassing declaration of martial law in America. By means of that total censorship, the U.S. public will know, regarding both Russia and China (and anything else) only what the U.S. Government will allow Americans to know; and this would enormously facilitate Congress to declare publicly that America is at war against both Russia and China. So: the legislative preparations in order to do this ‘Constitutionally’ (except for violating only the First Amendment) will already have been put into place.

NOTE: This news-report is being simultaneously distributed, and submitted for ublication free of any copyright, to all U.S. and UK news-media.

—————

Investigative historian Eric Zuesse’s new book, AMERICA’S EMPIRE OF EVIL: Hitler’s Posthumous Victory, and Why the Social Sciences Need to Change, is about how America took over the world after World War II in order to enslave it to U.S.-and-allied billionaires. Their cartels extract the world’s wealth by control of not only their ‘news’ media but the social ‘sciences’ — duping the public.

Pro Russian Blogger Arrested by SBU

I got a you-tube strike for filming the Covid lock-down in Zhuhai. It was listed as “medical misinformation”. LOL!

This is about Gonzalo Lira.

The United States is just starting to grudgingly accept the place as second rate and second best

The USA’s “Rule Base International Order” is exactly the power of an Emperor Dictative System.

Lots of war talk. Don’t worry too much about it. Stay calm.

2023 05 12 16 29
2023 05 12 16 29

Nutcases in the USA.

Pay attention.

Australian trade minister visits China to seek cooperation as ties face ‘important window’

Australia’s Trade Minister Don Farrell on Thursday traveled to China for talks with Chinese Minister of Commerce Wang Wentao and other Chinese officials and business representatives, in a bid to promote cooperation with China after an extended period of tension prompted by a series of hostile Australian moves against China.

Following increasing interaction between Chinese and Australian officials in recent months, China-Australia relations have significantly improved and stabilized, and the Australian side should respect China’s core interests as a prerequisite and political foundation for improving, upholding and further developing bilateral relations, Chinese Ambassador to Australia Xiao Qian told the Global Times.

While the business communities of the two countries, especially Australian traders, hail the improving signs in bilateral ties, concerns remain over Canberra’s treatment of Chinese firms and its hostile words and deeds, taken in lockstep with the US, on certain issues related to China’s core interests such as the Taiwan question, experts noted, urging Canberra to take concrete steps to further improve ties.

Crucial visit

After Farrell issued a statement announcing his visit, the Chinese Ministry of Commerce (MOFCOM) on Thursday also confirmed the trip. During a regular press briefing, Shu Jueting, a MOFCOM spokesperson, said that Farrell will visit China from Thursday to Saturday, during which the two ministers will co-chair the 16th Joint Ministerial Economic Commission meeting.

“The Chinese side hopes that through this ministerial visit, we will further implement the important consensus reached by the two leaders in Bali [Indonesia], have in-depth exchange of views on developing bilateral economic and trade relations and properly handling each other’s important relations, and promote the development of China-Australia practical economic and trade cooperation,” Shu said.

The Farrell visit followed a series of high-level interactions between the two sides, including the meeting between the leaders of the two countries in Bali in November 2022 and meetings between foreign ministers. Wang and Farrell also held virtual talks in February.

Since the Australian Labor Party government took office, through the joint efforts of both sides, there has been frequent high-level interactions and close practical cooperation in various fields between the two countries, and China-Australia relations have significantly improved and stabilized, Chinese Ambassador to Australia Xiao Qian told the Global Times in an exclusive interview.

“I look forward to Mr Farrell’s visit to China to further promote Australia’s practical cooperation with China and benefit the two peoples,” said Xiao, “At present, China-Australia relations are showing a momentum of stable and sound development, and bilateral economic and trade relations are facing an important window.”

Also commenting on Farrell’s visit, Wang Wenbin, a spokesperson for the Chinese Foreign Ministry, said on Thursday that China-Australia bilateral economic and trade cooperation is mutually beneficial and win-win, and improving, maintaining and developing China-Australia relations is in the fundamental interests of the two countries and the two peoples.

The Farrell visit and growing interactions between Chinese and Australian officials are particularly encouraging for businesses on both sides, as they are keen on boosting cooperation.

“Recent ministerial meetings have demonstrated that both sides are keen to start a process of more open and more constructive dialogues to address differences and explore opportunities to work more closely in areas where interests are aligned,” David Olsson, president and chair of Australia China Business Council, said in a recent interview with the Global Times, “Hopefully, we will return to a situation where dialogue becomes a habit.”

Bilateral relations witnessed a downward spiral in the years prior to November 2022 due to the previous Australian government’s hostile words and deeds against China, including banning Chinese firms such as Huawei, provocations in the South China Sea and tearing up the Belt and Road Initiative cooperation documents. That prompted the Chinese side to suspend certain official exchanges, some Chinese firms to avoid Australia to fend off risks and many Chinese consumers to call for a boycott of Australian goods. Instead of reflecting on its wrong words and deeds, Canberra then accused China of “economic coercion.”

“The so-called economic coercion by China against Australia is completely false,” Xiao said, stressing that Chinese trade actions were in line with WTO rules. “Fundamentally speaking, all of this is a response to the wrong words and deeds of the previous Australian government.”

Amid growing calls from Australia’s business community, the new Australian government under Prime Minister Anthony Albanese has been pushing for improving ties, particularly the trade and economic ties with China.

“China’s economic value is irreplaceable to Australia,” Zhou Fangyin, deputy dean of the Guangdong Institute for International Strategies, told the Global Times on Thursday, pointing to Australia’s record-high exports to China in March, which helped Australia record a trade surplus of about A$15.3 billion ($10.2 billion). “This shows the importance of stabilizing China-Australia economic and trade cooperation to Australia.”

China’s concerns

However, despite Australia’s keenness to boost trade with China, more concerted efforts are needed to further improve ties, analysts noted. China’s core concerns must be respected and addressed by the Australian side, in order to further promote bilateral cooperation, Chinese officials and analysts said.

“It is hoped that the Australian side will earnestly abide by the one-China principle, an important prerequisite and political basis for improving, upholding, and further developing China-Australia relations, and earnestly respect each other’s core interests and major concerns,” Xiao said.

Xiao stressed that the Taiwan question concerns China’s core interests and is not subject to any external interference or political manipulation. Also, China is firmly opposed to the AUKUS clique of the US, UK and Australia, the Chinese ambassador said.

Outstanding issues also remain in the field of trade. Chinese officials have repeatedly said that they are closely following Australia’s tightened security review of Chinese companies’ investment and operations in Australia and they hope that Australia can appropriately handle relevant cases and provide a fair, open, and equal business environment for Chinese companies.

Citing national security concerns, Australia authorities have been tightening their scrutiny over Chinese firms. In February, Australian officials blocked Chinese investment in a rare-earth firm, citing national interests, according to Reuters. Then in April, Australia followed the US in banning TikTok, owned by a Chinese firm, from all federal government-owned devices, prompting a harsh response from MOFCOM, which called the move a “discriminatory restrictive measure.”

While Australia is hoping to boost trade with China, it is also closely following the US politically and diplomatically, Song Wei, a professor at the school of international relations and diplomacy at Beijing Foreign Studies University, told the Global Times on Thursday.

“This kind of tightrope walking is unsustainable and will bring potential risks to China-Australia economic and trade cooperation and affect business confidence in cooperation and investment,” Song said, “If China-Australia relations are to develop sustainably and healthily in the future, it is clear that the Australian government needs to make more efforts and be more sincere to eliminate this potential risk.”

2023 05 12 15 21
2023 05 12 15 21

Secretary General of NATO Jens Stoltenberg meets NATO troops at an airbase in Tallinn, Tuesday, March 1, 2022. (Leon Neal/Pool Photo via AP) [AP Photo/Leon Neal]

Since the start of the Ukraine war in 2022, the White House and the entire US media has proclaimed that the conflict was an “unprovoked war” launched by a single man, Vladimir Putin, on February 24, 2022.

The phrase “unprovoked” has become ubiquitous in the US media’s description of the war. The Washington Post, New York Times and broadcast news have used the phrase hundreds of times.

In an op-ed published Wednesday, Thomas Friedman, the Times’ chief transcriber of CIA intelligence briefs, wrote, “From the start of this war, there has been only one place to be to understand its timing and direction — and that’s in Vladimir Putin’s head… this war emerged entirely from there.”

The mantra of the “unprovoked war” has become to Ukraine what “weapons of mass destruction” was to the Iraq War, or “Remember the Maine” was to the Spanish-American War.

The idea behind the endless repetition is the theory that “the bigger the lie, the more readily it will be believed.” The public is expected to accept that this is the first war in history without any historical antecedents or economic motives, the first war based entirely on the psychology of one man.

But on Tuesday, the Washington Post published an interview with NATO Secretary-General Jens Stoltenberg, who stated that the war in Ukraine “didn’t start in 2022. The war started in 2014.”

Stoltenberg continued, “And since then, NATO has implemented the biggest reinforcement of our collective defense since the end of the Cold War… Until 2014, NATO allies were reducing defense budgets. Since 2014, all allies across Europe and Canada have significantly increased their defense spending. … this is a huge transformation of NATO that started in 2014.”

Thus, according to Stoltenberg, the war did not begin in February 2022, with the Russian invasion of Ukraine, but in 2014, eight years earlier.

This admission confirms two points that the World Socialist Web Site has made repeatedly since the outbreak of the war. First, that the conflict has a historical background. Second, that the 2022 invasion was a desperate response to the escalating efforts of NATO to bring Ukraine into its orbit.

Stoltenberg states that the war began in 2014, but he does not explain what actually happened. The year began with the US-backed regime change operation in Ukraine, overthrowing the government of President Victor Yanukovych, who had opposed measures to integrate Ukraine into a political association and trade pact with the EU, which was itself preparing for integration into NATO.

The coup was financed by what US Assistant Secretary of State Victoria Nuland boasted was “over $5 billion” in US funding.

The overthrow of the Yanukovych government was spearheaded by fascistic and ferociously anti-Russian organizations, including Right Sector and the Svoboda Party. In the following years, the government of Petro Poroshenko, installed after the coup, carried out violence and repression against the Russian-speaking population of eastern Ukraine, leading to the deaths of over 14,000 people between 2014 and 2022.

The US- and NATO-backed regime change operation, as the WSWS noted in 2014, had “the intention of provoking a confrontation with Russia.”

The coup did provoke a response by the Kremlin, which understood that it would hand control over the Crimean peninsula, the home of the Russian Black Sea Fleet, to NATO. This would allow the United States to station its own fleet at the Port of Sevastopol, giving the US military dominance over the Black Sea.

In response, Russia annexed Crimea following a referendum in which the overwhelming majority of the population of the enclave supported leaving Ukraine.

While publicly claiming to support a ceasefire under the framework of the “Minsk Accords,” the NATO powers instead worked systematically to funnel billions of dollars in weaponry into Ukraine in preparation for a war, the aim of which would be the reconquest of eastern Ukraine and the Crimean peninsula.

In 2021, the Ukrainian government approved a strategy for the military reconquest of the Crimean peninsula, which was then de facto codified with the US-Ukrainian Strategic Partnership of November 2021.

In demanding assurances prior to the outbreak of the war that Ukraine would not join NATO, Putin explained that if Ukraine became a NATO member, the entire NATO alliance would be pledged to support Ukraine in a war to reconquer Crimea, which, he said, would lead to a nuclear war between NATO and Russia.

The invasion of Ukraine in February 2022 was the reaction of the Putin government, representing a faction of the Russian oligarchy, seeking to defend its interests while at the same time hoping that it could reach some sort of accommodation with the imperialist powers.

The US and NATO, however, are determined to realize through the war the aims that motivated the 2014 coup. Later in the interview with the Post, Stoltenberg declared that “all NATO allies agree that Ukraine will become a member of the alliance,” contradicting the ubiquitous claims by the US media and political establishment that the Russian government’s concerns about Ukraine joining NATO were simply made up.

Stoltenberg’s declaration is, in effect, a pledge to plunge NATO headlong into direct conflict with Russia.

The lie of the “unprovoked war” has been accepted and promoted not only by the political establishment and the state-controlled media in the US, but also, shamefully, by the vast majority of academics. Outside of the meetings held by the International Youth and Students for Social Equality, there has been no serious attempt on campuses to explain the underlying background and causes of the war.

Of particular significance is the ferociously pro-war and pro-imperialist position taken by nominally “socialist” organizations—that in fact represent privileged sections of the upper middle class—which have completely endorsed the propaganda narrative.

The pro-CIA Pabloite publication, International Viewpoint, for example, published a statement by the “Russian Socialist Movement” on May 1 denouncing “half-solidarity and false pacifism” which “makes morally problematic any form of alignment with military preparations of one’s own government.”

In other words, it is the task of “the left” to support the military actions of the US and NATO powers, because to do otherwise would be to serve as “the instrument of the aggressor”—Russia. The statement ends with a call for “increased arms transfers to Ukraine which will enable it to return its annexed territories.” On all points, International Viewpoint merely echos the statements of Stoltenberg himself.

All of those social forces that have defended Washington’s propaganda narrative stand exposed by the war. Far from constituting “defensive” actions to save Ukrainian lives from Russian attacks, the United States is determined to fight till the last Ukrainian to achieve its goals of reconquering the Crimean peninsula and imposing a strategic defeat on Russia.

The more the war continues and expands, the more nakedly its imperialist character emerges. It is becoming clear that American imperialism, not content with the dissolution of the Soviet Union, is seeking the military defeat, breakup and conquest of Russia, as the prelude to an effort to militarily subjugate China.

Hang on! US Threatens to BOMB Taiwan if China invades, SERIOUSLY!

Taiwan is China!

Punkin Center Green Chile Chuck

2023 05 12 15 25
2023 05 12 15 25

Yield: 6 to 8 servings

Ingredients

  • 1/2 cup vegetable oil
  • 1 (4 to 6 pound) chuck roast
  • 1 large onion, chopped
  • 3 roasted green chiles, skins and seeds removed, chopped, or 1 (7 ounce) can chopped green chiles
  • 1 (12 ounce) can beer

Instructions

  1. In a Dutch oven, heat oil hot. Sear roast on both sides.
  2. Add onion and chiles. Turn roast to cover fully with chiles and onion. Pour beer around sides of roast. Sprinkle with salt, pepper and garlic salt; cover with tight lid. Place over low heat. Cook for 1 2 to 2 hours.

Notes

It will fall apart and is SO GOOD!

Tesla is too backward and cannot complete:

China Most upmarket BYD U8 EV car able to move side way, turn 360 degree on the same spot, and balance with 3 wheels if one damaged.

Video HERE

.

The United States had three core strengths that made them the world’s best and most attractive friend

A. Capital Markets — A Place where anyone could raise money with minimal regulations in the Billions of Dollars

B. Technology — Core Technology

C. Defence — Arms and Equipment enough to choke a Horse

These were their Greatest Strengths where Nobody could compete with them , at least not for a foreseeable 50 years minimum

THEY RUINED IT ALL

Their Debt, Politics and Mismanagement plus their weaponization of the dollar vide sanctions has decimated the opportunities that their Capital Markets. Noone wants to invest in US Capital Markets at the risk of losing it all to Sanctions at a later day

Open Source has decimated 50% of US Core Technology in areas of AI and Internet. No more Patents and Copyrights and License. Likewise US control of technology has slipped in the last 30 years as China and Japan and Korea now control almost 27% of Core Technology in 2022 compared to 3.5% in 1997

As for Defence, more and more nations want peace and less war and US Defence finds itself overproducing and lacking demand. No Country will sell itself today for a F-35


Now they are doing exactly what China wants them to do

Competing in Areas they have Zero expertise in

I mean US hasn’t built Railways in 60 years and has no industrial hub system

UAE? Nopes

India? Nopes

How the hell will these three countries hope to develop a railway line at China’s quality

China builds Railways every minute or every day somewhere around the world

main qimg 7f175ac1b315047aabb62154f3c97851
main qimg 7f175ac1b315047aabb62154f3c97851

It’s their bread and butter

They are masters of building and envisioning rail lines, signal systems and even making them cloud compliant

They can beat US in their SLEEP

Sure US can threaten and India can keep saying “Democracy” but they don’t stand a chance in front of China’s ruthless efficiency and economics

Ultimately the US will dump printed dollars, India will bungle things like they always do through delays and more delays and UAE will back out

It’s literally the STUPIDEST THING to compete with China in areas like Infrastructure or Railways or Solar Panels where they can crush you like a bedbug

It’s like China competing with US and offering ARM technology to Countries or offering Shanghai market to Companies from that country

You PLAY TO YOUR STRENGTHS

US is playing in all areas where it has absolutely zero expertise and roping in India which has probably 10%

Once again using Bullying, Threats and Politics over Economic Sense and developing their Tecnological Gap advantage which is narrowing every minute with the rest of the world


Trust me CHINA is laughing

The US is doing exactly what they wanted the US to do

Leave it’s strengths and compete with China in areas like Infrastructure and Railways and Commercial Drones and Rare Earth’s where China holds a huge edge and can easily parry and beat anyone

That keeps the US from developing their greatest strengths like Capital Markets or Technology and so every day China moves ahead and closer to the US

Blinken is the STUPIDEST Moron the world has ever seen

This Is How To Start A War With Russia!

2023 05 12 16 27
2023 05 12 16 27

Abandoning the US, More Scientists Go to China

The Organisation for Economic Co‐​operation and Development (OECD)—an intergovernmental organization with 38 member countries—has published new data showing that the United States is losing the race for scientific talent to China and other countries. China’s strategy to recruit scientific researchers to work at China‐​affiliated universities is working.

In 2021, the United States lost published research scientists to other countries, while China gained more than 2,408 scientific authors. This was a remarkable turnaround from as recently as 2017 when the United States picked up 4,292 scientists and China picked up just 116. As Figure 1 shows, the rest of the OECD and China have both surpassed the United States for net inflow of scientific authors.

2023 05 12 11 55
2023 05 12 11 55

The OECD data are not measuring the movement of non‐​Chinese into China or non‐​Americans into the United States. The OECD tracks inflows and outflows of published scientific researchers based on changes in institutional affiliation. If an author who was previously affiliated with a different country publishes another article in a new country, the new country will be credited as receiving a new research scientist. The OECD credits more Chinese scientists returning to China for the sudden reversal in Chinese and American inflows.

This is a disturbing trend that started before the pandemic. In fact, it appears to coincide with the Trump administration’s “China Initiative”—more accurately titled the anti‐Chinese initiative. Launched in November 2018, the Department of Justice’s campaign was supposed to combat the overblown threat of intellectual property theft and espionage. In reality, it involved repeatedly intimidating institutions that employed scientists of Chinese heritage and attempting malicious failed prosecutions of scientists who worked with institutions in China. U.S. Attorney Andrew E. Lelling has even admitted that the initiative that he helped lead “created a climate of fear among researchers” and now says, “You don’t want people to be scared of collaboration.”

If Chinese scientists are afraid to work in the United States, that means that the United States will not benefit from their discoveries as much or as quickly as China will. Although the Justice Department claims to have shut down its “China Initiative,” my colleagues doubt that Chinese scientists will be free from unjust scrutiny going forward. The U.S. National Institutes of Health is still bragging about having caused the firings of more than 100 scientists and shutting down research by over 150 scientists—over 80 percent of whom identify as Asian.

The administration continues to maintain contrary to evidence that Chinese industrial espionage—by scientists working in the United States—is a significant threat to the country. Universities and U.S. companies think the far greater threat is losing out on talented Chinese researchers. If the United States wants to deal a blow to the Chinese Communist Party, it should start by trying to fix the damage that it has done in the last few years and liberalize immigration from China.

Sure.

The Chinese themselves are moving to Vietnam, and elsewhere.

Wages in China have gone up by two orders of magnitude within one generation. There are working folk who drew less than 50 yuan on their first paycheck.

And this song’s factoid from 2005 about Beijing is stale beyond measure.

https://www.youtube.com/watch?v=rrPUJsZQSkw

China is no longer cheap, especially since the unfair forex regime beginning in 2013 forced on the yuan by the collective first world. The yuan has doubled or more against most of the third world this century, and that includes India, Vietnam, the Philippines and Indonesia. The yuan has appreciated 70% vs. the yen in the past decade alone.

That’s the price of being held hostage to “currency manipulator” and the threat of sanctions.

China wisely decided to make use of the external pressure to clean house. The heady cowboy days of the 2000s are never coming back. Laws have been enacted, and enforcement stepped up, particularly environmental protection, labor protection, and IP. Factories are subject to way more stringent regulations than a typical third world country.

So why aren’t corporations fleeing for the exit?

Simple.

They can’t find a better alternative.

Dollar for dollar, the Chinese workforce is one of the best in the world. There is incredible competition from a 800m (or 5 american work forces, the 3rd largest in the world) labor base. No other country has this superpower, not even India, which lags the Chinese workforce by 300+m workers. There is incredible energy driving productivity in China, unmatched elsewhere.

This incredible competition is the reason why the Chinese have to able to turn everything they touch into commodity goods for the masses. Case in point: over 85% of all masks and PPE over the pandemic have been supplied by China. An entire industrial segment suddenly exploded to fulfill previously absent demand and kept prices stable for the rest of the world.

Why didn’t others try to muscle in on the Chinese dominance in masks and ppe, given the prevailing narrative of China weaponizing the supply?

The first world had the tech, but domestic conditions priced them out of the market without subsidies. The third world had the labor, but few managed covid like the Chinese did. They also lacked the tools to ramp up quickly, namely the mask-making machines, software, quality raw materials and know-how to operate them.

What is rarely mentioned is the completeness of China’s manufacturing chain, which makes every category of goods under the UNSD classification. China is rapidly moving up the ladder to become the tool-making enabler of industries. China in the 2020s is capable of 3d printing wide body aircraft parts, and delivering 8-axis cnc machines.

The Chinese supply chain ENABLED the rapid ramp-up of mask-making by supplying the tools for entrepreneurs to take advantage of the opportunity presented by unprecedented demand. There was expertise at hand to use them, and motivated workers willing to learn and adapt.

This is Chinese industry today, extremely nimble, responsive and consistently accomplished in execution.

Case in point. When was the last time an iphone launch was delayed on account of manufacturing hiccups in China? I can’t think of one, not even the past three years, when China implemented one of the strictest zero covid policies anywhere. Every iphone ever made (and Apple orders >200m of them each year) came off a Chinese designed process, and more Chinese engineers work on the iphone’s hardware than the sum total of Apple engineers.

My point?

If alternatives to China exist, the floodgates would have opened long ago. China competes with the third world by offering what they can’t, which is a superb workforce that hits outlandish targets most of the time, enabled by first world infrastructure and yes, price stability from ahem, a strong yuan.

External discipline has certainly helped China’s transition.

Good luck competing with China.

Note: China hasn’t been unfriendly to foreign corporations, save for those engaging directly in the discrimination of the chinese nation. Cue H&M and others. It will be a different world when the chinese begin to make money operating in the first world the way MNCs do in China.

P.S.: Chinese exports to America have not been crippled by the doubling of the yuan vs. third world competition, plus the 20% blanket tariff from the Donald era. That’s a >140% penalty (the magic of compounding) imposed on Chinese goods, a sea change change within a decade. What explains Chinese trade resilience?

Huawei’s Mate X3 Folding Phone Is Going Global

Huawei launched a duo of flagship phones for 2023 in its native China in earlier this year, and now it's bringing them to international markets. The Huawei P60 series launches in the UK from today starting at £1,200, while the Mate X3 will go up for sale on May 26 for £2,000 with preorders starting on Huawei's online store today. There is no US release planned.

Both phones represent impressive feats of engineering as is typical of Huawei smartphones, but neither will have Google apps and services as a result of US sanctions. This also means each of the phones runs on a 4G version of last year's Snapdragon 8 Plus Gen 1 processors....

Article HERE

What you may have missed

May 9th came the Florida Chinese exclusion act.

All or nothing You either ban ALL foreigners or NONE of them. But Florida chose a particular group of foreigners. I wonder why.

Florida signed a series of bills banning Chinese citizens from buying land in Florida. I’ve seen people express support for these bills for one reason or another. People are free to have whatever opinions they want.

But make no mistake, that this law is racist, xenophobic, and discriminatory.

It is right to draw comparisons to these recent bills with the Chinese Exclusion Act

. Both of these laws aim to do the same thing, to restrict and reduce the number of Chinese people in the US.

I’ve seen people make the argument of “foreigners and foreign investment drive up the real estate value.” First of all, do realize this kind of rhetoric is inherently nativist. Read up on the alien land laws

which sought to ban Asian immigrants from owning property because White Americans were afraid of Chinese and Japanese people stealing their resources and land. Secondly, Canada

is the largest foreign investor in Florida’s real estate, followed by numerous Latin American countries. China isn’t even a top investor.

So frankly, people supporting this argument are either misinformed or plain bigots.

Another argument I’ve seen in defense of this bill is that “this bill isn’t racist, it only targets Chinese nationals, not Chinese Americans.

It’s for national security.” Again, extremely xenophobic and historically incorrect. If you believe this argument I would implore you to read and research how the Chinese Exclusion Act affected Chinese Americans and other Asian Americans. “National security” has long been used as as a tool of oppression and as an excuse for anti-Asian racism

.

The China initiative by the DOJ comes as a recent example which sought to find and persecute perceived Chinese espionage in the US. In the almost 4 years of the initiative, not one person would persecuted. It only served to falsely destroy the academic careers of numerous professors and scientists

. In addition to creating systematic, racial used distrust in Chinese Americans. So if anyone believes these bills in Florida this won’t affect Chinese and other Asian Americans, history has shown you to be delusional.

Japan’s proposed semiconductor export controls will cause unnecessary damage

Published: May 01, 2023 02:00 PM

2023 05 12 06 07
2023 05 12 06 07

On March 31, 2023, the government of Japan announced that it will supplement the Wassenaar Arrangement and impose export controls on 23 types of semiconductor manufacturing equipment which were not subject to prior restrictions , including all Deep Ultraviolet (DUV) Immersion Lithography systems. The Japanese government called for public comment on the new amendment until April 29 and will devise the final rules accordingly. Such decisions by the Japanese government will undoubtedly have a negative impact on the global semiconductor industry and backfire on Japan’s own domestic industry.

Despite the fact that when Japanese Minister of Economy, Trade and Industry, Yasutoshi Nishimura, spoke to the press, he claimed that the move was not coordinated with US export control measures issued by the US on October, 2022. However, it’s pretty obvious that the amendment is targeted at China and is a compromise by Japan under US coercion. Under the guise of preventing high-end equipment from being used for military purposes, the real intention of the new amendment is clear: Japan will follow the US policy to help it contain and suppress China’s semiconductor industry. In fact, restricting equipment exports to China under the pretense of avoiding its military use is very naive, and its real intention is obvious to all.

The semiconductor industry is one of the world’s most globalized industries. Over the past 40 years, the unification of the mobile communication standards has contributed to common standards for technologies and products of communication mobile devices. This has led to the development of the globalized supply chain, contributing to the prosperity of the global economy.

Integrated circuit chips, which are indispensable to mobile communication devices, have achieved globalization throughout the industry and supply chains. Meanwhile, the model of semiconductor industry has moved from the unified system house to IDM, which has further generated the model of “Fabless plus Foundry.” In the new century, the industry has become increasingly fractionized giving birth to EDA, IP core and design services and other new business models. These new industrial models have significantly liberated productivity and promoted the prosperity of the global semiconductor industry.

For instance, many US semiconductor enterprises have located their production of high-end chip products in China’s Taiwan, or South Korea, and the low-end ones on the Chinese mainland. For example, the chips used in the popular iPhone are designed in the US, produced in China’s Taiwan, packaged in Southeast Asian countries and assembled together with other components from Japan, South Korea, Europe and China’s mainland to form a complete phone, which is then sold worldwide. Without the global division of labor and cooperation, the cost of mobile phones would soar, while manufacturing profits would slump. Japan, China, and the US are all segments of the global semiconductor value chain, and one cannot survive without the other. The reason why the globalization of the semiconductor industry can be so thorough is that every segment in this industrial chain is a beneficiary. Once this global industrial chain is disrupted, every large and small enterprise under the current model will face difficulties. If China, one of the most important segments in the global chain, is in trouble, the global industry will also suffer, and the severity of the consequences is far beyond what we can imagine.

Japan is a semiconductor powerhouse that plays an important role in the global market. Since 1980s, its semiconductor industry has been suppressed by the US and has gradually shrunk in size. In recent years, even famous Japanese company Toshiba had to sell its facilities to Micron. Nevertheless, in the field of semiconductor manufacturing equipment, Japan still accounts for a large share of nearly 40 percent in the global market, contributing to the prosperity of the global semiconductor industry. It is crucial for the Japanese semiconductor industry to maintain its global market share and competitiveness, as it is facing an overall declining trend, and the Japanese political community is undoubtedly well aware of this. China’s semiconductor industry is on the rise, with an annual investment of nearly $30 billion, with over $10 billion spent on purchasing Japanese equipment and materials. This is not an easy number to ignore for anyone. Over the past year, sales by US semiconductor equipment companies in the Chinese market have been constrained by their own government leading to heavy losses. If Japan restricts its export of advanced semiconductor equipment to China, Japanese companies are bound to repeat the mistake. Therefore, the Japanese government must learn from the past seriously and carefully and thoroughly consider the implications of any new export restrictions.

Over the past three decades, participants in China’s semiconductor industry have made their arrangements and developed according to the principles of globalization. The trust in globalization is the reason why China’s semiconductor industry has formed such close and effective relationships with global partners. It has been cooperating with partners from different countries and regions to promote the globalization of the semiconductor industry and maintain the security of its global supply chain. This is why we felt shocked and puzzled when the US decided to suppress the Chinese semiconductor industry. However, China does not respond tit for tat, but rather continues to resolutely maintain an integrated global industry chain. We are only forced to save ourselves in some areas that are choked by the US It is gratifying to see the rapid capacity-building and strong competitiveness of Chinese semiconductor equipment manufacturers, which has exceeded the expectation of most industry insiders. In the development of semiconductor equipment, China enterprises are catching up. Although there is still a big gap, the development potential is clear to all. Just a decade ago, China did not possess the ability to build any domestic semiconductor equipment, and now a considerable portion of equipment is produced domestically. With the support from the government, capital and markets, a prosperous and domestically supported Chinese semiconductor industry developed over time is not beyond China’s reach. It is a great pity that Japanese companies may be forced to withdraw from this promising market under external pressure. The Japanese government should firmly stand by its enterprises and not do anything that will harm others without benefiting itself.

It’s true that Japan may be under tremendous pressure, and the Japanese government has limited bargaining power in front of the US government. However, the Japanese government needs to be more rational, and the Japanese semiconductor industry should make a greater effort. The Japanese government could sit down for a careful discussion with the Chinese government to find proper solutions based on mutual benefit. From a perspective of the well-being of all mankind, this could prevent the situation from developing out of control. After all, maintaining the integrity of the global semiconductor industry chain is the best choice for all parties, and it requires our joint efforts.

Can you predict the future?

2023 05 12 05 55
2023 05 12 05 55

Pakistan Keen to Pay for Russian Crude Oil Imports With Chinese Yuan – Bloomberg

As far as my knowledge is concerned, China new strategy in getting rip of the dollar she has by helping developing countries clearing their dollar debt to IMF and US in exchange for some kind of deal with the respective countries. Such moved help reduced china dollar holding risk , and help developing countries reduced US debt interest repayment and free them from US controlled. By the way, I think Russia recently refused to sales any more energy to India in Indian currency, Chinese yuan is a preferred currency.

Pakistan Keen to Pay for Russian Crude Oil Imports With Chinese Yuan - Bloomberg

Pakistan Keen to Pay for Russian Oil Imports With Chinese Yuan

  • Such a deal would dovetail with Beijing’s currency ambitions
  • Islamabad trying to revive a stalled bailout package with IMF

UK’s MASSIVE WW3 ESCALATION, F-22s SENT TO FRONT, NUCLEAR EVACUATION IN PROGRESS

Putin, Victory Day Speech: “War Unleashed Upon Russia – World at Turning Point”

Russian President Vladimir Putin said Tuesday at Moscow’s Red Square Victory Day parade that the world was at a “turning point” and stated factually a “war” had been unleashed against Russia.

He vowed victory and said Russia’s future “rests on” its soldiers fighting in Ukraine.

The traditional Soviet-style event celebrating Moscow’s victory over the Nazis took place amid security fears, 15 months into Russia’s Ukraine offensive.

“Today civilization is again at a decisive turning point,” Putin said at the parade, which included elderly veterans and soldiers from Russia’s Ukraine campaign.

“A war has been unleashed against our motherland,” he claimed.

He called for Russia to be victorious: “For Russia, for our armed forces, for victory! Hurrah!”

The Russian leader has increasingly portrayed the campaign in Ukraine as an existential conflict, which he says the West has escalated by supporting the Ukrainian government.

Putin told soldiers taking part in Moscow’s Ukraine campaign, several hundreds of whom were present at the Red Square parade, that “the whole country is with you.”

“There is nothing more important now than your combat effort,” he said.

“The security of the country rests on you today, the future of our statehood and our people depend on you.”

Putin also railed against “Western globalist elites”, accusing them of sowing conflicts and “coups” around the world.

“Their goal, and there is nothing new here, is to achieve the collapse and destruction of our country,” he said.

The longtime Russian leader vowed that Moscow would overcome this.

“But we have rebuffed international terrorism, we will protect the people of (eastern Ukraine’s) Donbas, we will ensure our security,” he said.

This appeared to be a reference to an unprecedented series of attacks on Russian soil in the run-up to the Victory Day parade, a central event under Putin’s rule.

Wistron may start winding down India operations soon

Note: India government, especially modi is like its colonial master with a looting DNA. They are unreliable and often abuse government power to loot successful foreign companies in India. China companies experience a lot of such tactics. 


Wistron, Apple's assembly partner for the iPhone SE, is allegedly preparing to wind down most of its operations in India, with the company rumored to withdraw most of itself from the country over the next year. 

As an Apple supplier, Wistron has been working within India for over 15 years, but that is apparently soon to change. Rather than continue to build out business in India like Foxconn is doing, the Taiwanese firm is said to be moving out.

According to sources cited by Hindu BusinessLine, Wistron will be mostly withdrawing from India, and will probably approach the National Company Law Tribunal and the Registrar of Companies to dissolve its operations within a year.

The effort has already seemingly started, with Tata Electronics seeking to take control of Wistron’s Karnataka iPhone production facility, which is also Wistron’s main operation in India….

From HERE

High Speed Bullet Train CHINA

Germany Warns China That ‘Neutrality’ Means Siding With Russia | Barron’s

She should go back to school to learn the meaning of “neutral “

Germany Warns China That 'Neutrality' Means Siding With Russia | Barron's

Article HERE

Wagging the Moon Doggie (for real!)

I’ve long held the theory that the Russians should speak and not keep secrets.

Now this one we all know.  Probably all of us have read or heard of Dave McGowan’s Wagging the Moon Doggie as it was almost required reading at the time.  Dave said that the moon landing was a hoax.  Unless one is very invested in rabbit holes, it is an impossibility to know whether it was real or not.

Until now …

Personally, this has relevance from a childhood memory for me.  I come from a religious environment that was pretty much ‘sola scriptura’ and the moon landing and imaging of this round moon floating in space, threw my grandfather into a crisis of faith, because, from memory, scripturally the earth is grounded on its four pillars.  As a religious family, this caused outrage and my grandfather went into a period of fasting and prayer to find his own spiritual pillars again.  I never knew what the outcome was for him but remember some mumbling about who to believe, the Scriptures or the Americans?

And now …

The previous head of Russia’s Roskosmov, Dmitry Rogozin, recently had some exposure in Russia Today saying that while many in Roscosmos defended Washington’s version of events, no one could produce irrefutable proof of the landing on the moon.

‘No proof’ US landed on moon – Ex-Russian space boss

2023 05 11 20 42
2023 05 11 20 42

The former head of Russia’s Roscosmos space agency, Dmitry Rogozin, has expressed doubt that the US Apollo 11 mission really landed on the Moon in 1969, saying he has yet to see conclusive proof.

In a post on his Telegram channel on Sunday, Rogozin said he began his personal quest for the truth “about ten years ago” when he was still working in the Russian government, and that he grew skeptical about whether the Americans had actually set foot on the Moon when he compared how exhausted Soviet cosmonauts looked upon returning from their flights, and how seemingly unaffected the Apollo 11 crew was by contrast.

Rogozin said he sent requests for evidence to Roscosmos at the time. All he received in response was a book featuring Soviet Cosmonaut Aleksey Leonov’s account of how he talked to the American astronauts and how they told him they had been on the Moon.

The former official wrote that he continued with his efforts when he was appointed head of Roscosmos in 2018. However, according to Rogozin, no evidence was presented to him. Instead, several unnamed academics angrily criticized him for undermining the “sacred cooperation with NASA,” he claimed.

The former Roscosmos chief also said he had “received an angry phone call from a top-ranking official” who supposedly accused him of complicating international relations.

Rogozin concluded by saying he still cannot believe that the US was able to pull off the feat, but is now unable to, despite the incredible progress in technology since the late 1960s.  “

The fascinating sentence is:  “What he claims to have found out, however, was that Washington has “its people in [the Russian] establishment.”

Apollo 11 was the first manned mission to the Moon, with Neil Armstrong and Buzz Aldrin going down in history as the first humans to walk on the lunar surface.

The flight was preceded by the unmanned Soviet Luna 2 program, which blazed the trail for Moon exploration.

Last April, President Vladimir Putin pledged to resume Russia’s lunar program.

And now we know about Wagging the Moon Doggie.  I followed the commentary somewhat, not too deeply because I don’t have time for rabitholio, and here is a salacious selection of Russian comments:

  • The US moon scam is an excellent reason to put pressure on America in the global information space. The image damage will be huge.
  • The United States does not have lunar soil. The samples turned out to be terrestrial soil. The original footage of the landing on the moon is also no longer there. All 300-something boxes were “lost”.
  • At that time, their spacesuits did not have systems for ensuring the removal of waste products from astronauts. And where did the rocket technology that made it possible to take off from the moon go?
  • Etc. and so on.
  • Therefore, the fact that Rogozin raises this issue is correct. But it would be even better to make an official statement from Roskosmos about the lies of the Americans with the provision of all the available invoices, which we undoubtedly have.
  • At one time, we did not tell the whole world about this in exchange for the construction of gas pipelines to Europe. But now, given all that the Americans have done, including blowing up SP-2, I see no reason to continue this myth anymore. If there is an opportunity to hit the image of the United States, then it should be used.

Yes Russia!  Tell Us!  I’m ready for the Scandal of a Lifetime!  Who smoked the pipe with the happy baccy?  Was it a Moon Landing, or only an impressive out-of-body experience?

—o0o—

The featured image is from the Daily Star which is the epitome of yellow trash media.

Part II. The US-China War Began in 1944

China’s multilayered defense is also wide and deep.

May 11, 2023

Part I of this trilogy dealt with the foundations of China’s defense. This episode emphasizes its sophisticated, multi-layered composition and Corelli Barnett explains where real military power comes from. Part III explains how a hollowed-out US cannot even organize a credible military threat to China.

Hyperspectral detection satellites oversee the Western Pacific battlespace and airborne lasers detect waves and temperature variations generated by moving targets. The West Pacific Surveillance and Targeting satellite, along with fifteen Yaogan-30 satellites in low-earth orbit, operating as triplets positioned in close proximity, geo-locate military platforms by measuring the angular or time difference of arrival of their intercepted electromagnetic signals. Below them, the Caihong-T4, a massive, solar-powered drone, loiters for months at a cloudless altitude of sixty-five thousand feet, while below, the fifteen-ton, one-hundred fifty-foot wingspan Divine Eagle High Altitude Stealth-Hunting Drone reads electronic signals from aircraft long before they approach their targets.

Below the drones AWACS, whose solid-state detectors have twice the range of the US AWACS rotating domes, relay targeting information to Russian-built S-400 anti-aircraft/anti-missile batteries. Jin Canrong, the PRC’s senior defense policy advisor, says China has deployed weapons that can destroy in minutes every military base in its region, see all stealth bombers and submarines, and take out every aircraft carrier within two thousand miles of shore.

2023 05 11 15 25
2023 05 11 15 25

The DF-41 ICBM is a three-stage, solid-fuel device with a twelve-thousand mile range and a top speed of twenty-thousand mph. Road-mobile, it launches on four minutes warning and is faster, longer ranged than any Western weapon and delivers ten independently targetable nuclear warheads.

The DF-ZF Hypersonic Glide Vehicle, whose significance Russian Defense Minister Rogozin compared to the atom bomb, began its deployment cycle in 2023. Launched sixty miles above the earth from a missile traveling at sixteen-thousand mph, the DF-ZF rides its own supersonic shockwave to the target. Says RAND, “With the ability to fly at unpredictable trajectories, these missiles will hold extremely large areas at risk throughout much of their flight”. A Congressional report concludes, “The very high speeds of these weapons combined with their maneuverability and ability to travel at lower, radar-evading altitudes would make them far less vulnerable to current defenses than existing missiles”.

In real wars, boots on the ground determine final outcomes and the PLA is as unconventional as its weapons. Combat forces elect their NCOs and all two-million soldiers receive more political education than the rest of the world’s troops combined, as historian William Hinton explains, “From its inception the Army has been led by the Party and has never played a purely military role. On the contrary, Army cadres have always played a leading political role”. Mao explained, “The Red Army fights not merely for the sake of fighting but in order to conduct propaganda, xuānchuán, among the people, organize, arm and help them establish revolutionary political power. Without these objectives, fighting loses its meaning and the Red Army loses its reason for existence”. Xiaoming Zhang adds, “Under the influence of Confucian philosophy, the concept of the just or righteous war was prevalent throughout Chinese society so, unlike Western militaries which depend on professional ethics and training to ensure that soldiers perform their duties in war, the PLA opted for political indoctrination and attempted to make troops understand why a war must be fought and how it would matter to them”.

By coordinating its military, legal, diplomatic, and economic assets simultaneously, China exemplifies Correlli Barnett’s dictum:

The power of a nation-state by no means consists only in its armed forces, but also in its economic and technological resources; in the dexterity, foresight and resolution with which its foreign policy is conducted; in the efficiency of its social and political organization. It consists most of all in the nation itself: the people; their skills, energy, ambition, discipline, initiative; their beliefs, myths and illusions. And it consists, further, in the way all these factors are related to one another. Moreover, national power has to be considered not only in itself, in its absolute extent, but relative to the state’s foreign or imperial obligations; it has to be considered relative to the power of other states.

If it has not already done so, China’s military budget will reach nominal currency parity with America’s in 2028. Oon that day, seventy years of Chinese anxiety and American hegemony will come to a peaceful end. We hope.

2023 05 11 18 55
2023 05 11 18 55

Poland Renames *** RUSSIAN** Kaliningrad!

.

2023 05 11 06 49
2023 05 11 06 49

The government of Poland has apparently lost its collective mind; they have enacted legislation renaming the RUSSIAN enclave of Kaliningrad.   According to Poland law, that area will now be called “Krolewiec”, which was its name when it was ruled by the Polish Kingdom in 15th century!

For its part, Moscow says the decision “borders on madness.”

What the West won’t say about China!

UPDATE 5:00 PM EDT — : HEAVY **GUNFIRE** at U.S./ MEXICO BORDER

.

2023 05 11 06 45
2023 05 11 06 45

A large scale incident is taking place at the US / MEXICO Border as of 4:35 PM Eastern US time today (Wednesday) – VERY HEAVY GUNFIRE is being exchanged at the Pharr-Reynosa International Bridge. VIDEO BELOW . . .

UPDATE 5:00 PM EDT —

More video from the border showing running machine gun fighting!  Below, a trucker waiting in traffic on the Pharr-Reynosa bridge captures imagery of two vehicles BELOW the bridge from which gunfire is emanating.  Two vehicles can be seen, one in Mexican Army camouflage green!  It is not clear which vehicle is firing, or at what they are firing, but the sounds of gunfire are crystal clear . . .

Mexican media reports a shooting has occurred between ‘elements of the army and armed civilians so far reports are saying 3 people have died, at least 5 other people injured . . .

Bold gambits on the West Asian chessboard

In the Great Power competition, everything is connected: Uncertain negotiations between Russia and NATO over Ukraine may be impacted by Turkiye’s post-election pivot and Syria's return to the Arab League.

By Pepe Escobar

May 10, 2023: Information Clearing House The Cradle” — 

West Asia is a region that is currently experiencing a great deal of geopolitical activity. Recent diplomatic efforts, initiated by Russia and overseen by China, secured a long-elusive Iranian and Saudi Arabian rapprochement, while Syria’s return to the Arab League has been welcomed with great fanfare.

The diplomatic flurry signals a shift away from the Imperial “Divide and Rule” tactics that have been used for decades to create national, tribal, and sectarian rifts throughout this strategic region.

The proxy war in Syria, backed by the Empire and its terror outfits – including the occupation of resource-rich territories and mass theft of Syrian oil – continues to rage on despite Damascus having gained the upper hand.

That advantage, weakened in recent years by a barrage of western economic killer sanctions, is now growing exponentially: the Syrian state was further bolstered by Iranian President Ebrahim Raisi’s recent official visit – pledging to expand bilateral ties – on the eve of Syria’s return to the Arab League.

“Assad must go” – a meme straight out of collective western hubris – in the end, did not go.

Imperial threats notwithstanding, those Arab states that had sought to isolate the Syrian president came back to praise him all over again, led by Moscow and Tehran.

Syria is extensively discussed in informed circles in Moscow.

There’s a sort of consensus that Russia, now concentrated in the “all or nothing” proxy war against NATO, will not currently be able to impose a Syrian peace solution, but that doesn’t preclude the Saudis, Iranians, and Turks fronting a Russian-led deal.

Had it not been for the aggressive behavior of Straussian neo-cons in the Washington Beltway, a comprehensive multi-territorial peace could have been achieved, including everything from Syria’s sovereignty, to a demilitarized zone in the Russian western borderlands, stability in the Caucasus, and a degree of respect for international law.

However, such a deal is unlikely to materialize, and instead, the situation in West Asia is likely to worsen. This is due in part to the fact that the North Atlantic has already shifted its focus to the South China Sea.

An impossible ‘peace’

The collective west appears to lack a decisive leader, with the Hegemon currently being “led” by a senile president who is remote-controlled by a pack of polished-faced warmongers. The situation has devolved to the point where the much-hyped “Ukrainian counter-offensive” may actually be the prelude to a NATO humiliation that will make Afghanistan look like Disneyland in the Hindu Kush.

Arguably there may be some similarities between Russia-NATO now and Turkiye-Russia before March 2020: both sides are betting on some crucial military breakthrough on the battlefield before sitting at the negotiating table. The US is desperate for it: even the 20th century ‘Oracle’ Henry Kissinger is now saying that with China involved, there will be negotiations before the end of 2023.

Despite the urgency of the situation, Moscow does not appear to be in a hurry. Its key military strategy, as seen in Bakhmut and Artemyovsk, is to use a combination of the snail technique and the mincing machine. The ultimate goal is to demilitarize NATO as a whole rather than just Ukraine, and so far, it appears to be working brilliantly.

Russia is in it for the long haul, anticipating that one day the collective west will have an “Eureka!” moment and realize it is time to abandon the race.

Now let’s assume, by some divine intervention, that negotiations would start in a few months, with China involved. Moscow – and Beijing – both know they simply cannot trust anything the Hegemon says or signs.

Moreover, the crucial US tactical victory has already been conclusive: Russia sanctioned, demonized and separated from Europe, and the EU cemented as a de-industrialized, inconsequential lowly vassal.

Presupposing there is a negotiated peace, it will arguably resemble a Syria 2.0, with a massive “Idlib” equivalent right on Russia’s door, which is something entirely unacceptable to Moscow.

In practice, we will have Banderista terror outfits – the Slav version of ISIS – free to roam across the Russian Federation in car bombing and kamikaze drone sprees. The Hegemon will be able to switch the proxy war on and off at will, just as it continues to do in Syria, Iraq, and Afghanistan with its terror cells.

The Security Council in Moscow knows very well, based on the Minsk farce acknowledged even by former German Chancellor Angela Merkel, that this will be Minsk on steroids: the Kiev regime, or rather the post-Zelensky regime will continue to be weaponized to death with brand new NATO gimmicks.

But then the other option – where there is nothing to negotiate – is equally ominous: a Forever War.

Indivisibility of Security

The real deal to be negotiated is not “pawn in their game” Ukraine: it’s the indivisibility of security. Exactly what Moscow was sensibly trying to convince Washington via those letters sent in December 2021.

In practice, what Moscow is currently doing is realpolitik: pounding NATO on the battlefield until they are weakened enough to accept a Strategic Military Objective (SMO). The SMO would necessarily include a demilitarized zone between NATO and Russia, a neutral Ukraine, and no nuclear weapons stationed in Poland, the Baltics, or Finland.

However, given that the Hegemon is a declining superpower and “non-agreement capable,” it is uncertain whether any of this would hold, especially considering the Hegemon’s obsession with infinite NATO expansion. “Non-agreement capable” (недоговороспособны), incidentally, is a term Russian diplomats coined to describe their American counterparts’ inability to stick to any deal they sign – from Minsk to the Iran nuclear agreement.

This incandescent mix gets even more complex with the introduction of the Turkish vector.

Turkish Foreign Minister Cavusoglu has already made it plain that if President Recep Tayyip Erdogan retains power in the 14 May presidential elections, Ankara will neither impose sanctions on Russia nor violate the Montreux Convention, which forbids the passage of warships to and from the Black Sea in wartime.

Risks of Ankara’s geopolitical shift

Erdogan’s chief security and foreign policy adviser, Ibrahim Kalyn, has aptly pointed out that there is no war between Russia and Ukraine; rather, it’s a war between Russia and the west with Ukraine serving as the proxy.

This is why the collective west is heavily invested in an “Erdogan must go” campaign, which is lavishly funded to propel an oddly-matched coalition into the presidential seat. In case the Turkish opposition wins – and their payment to the Hegemon begins – sanctions and violations of Montreux may be on the cards again.

Yet Washington may be in for a surprise. Turkish opposition leader Kemal Kilicdaroglu has implied there will be a more or less continued balanced posturing of Ankara’s foreign policy tilt, while some observers believe that even if Erdogan is ousted, there will be limits to Turkiye’s pivot back to the west.

Erdogan, profiting from the state apparatus and his immense network of patronage, is going no-holds-barred to secure re-election. Only then might he shift from hedging his bets continuously toward making a move to become a real player in Eurasian integration.

Ankara under Erdogan, as it stands, is not pro-Russian; essentially, it tries to profit from both sides. The Turks sell Bayraktar drones to Kiev, have clinched military deals, and at the same time, under the “Turkic States” mantle, invest in separatist tendencies in Crimea and in Kherson.

At the same time, Erdogan badly needs Russian military and energy cooperation. There are no illusions in Moscow about “the Sultan,” or about where Turkiye is leading. If Ankara’s geopolitical turn is hostile, it’s the Turks that will end up losing prime seats in the Eurasian high-speed train – from BRICS+ to the Shanghai Cooperation Organization (SCO) and all spaces in between.

Old West Meat Balls

2023 05 11 19 28
2023 05 11 19 28

Ingredients

  • 1 1/2 pounds ground beef
  • 3/4 cup quick-cooking oats
  • 1 1/2 teaspoons salt
  • 1/8 teaspoon pepper
  • 1/3 cup finely chopped onion
  • 3/4 cup canned milk
  • 3 tablespoons all-purpose flour
  • 1 1/2 teaspoons paprika
  • 3/4 teaspoon salt
  • 3 tablespoons hot shortening
  • 1/3 cup bottled barbecue sauce
  • 1 3/4 cups water
  • 3 1/2 cups whole kernel corn

Instructions

  1. Mix together the ground beef, oats, salt, pepper and canned milk. With wet fingers, shape into 12 balls.
  2. Roll in a mixture of flour, paprika and salt.
  3. Brown on all sides in the shortening.
  4. Add onion, and cook slowly for 5 minutes.
  5. Mix together barbecue sauce and water. Stir into skillet. Cover; simmer for 45 minutes, turning meat balls occasionally.
  6. Add the corn, and heat thoroughly.
  7. Serve hot.

INTERVIEW: The days when China took orders are long gone

2023 05 11 18 43
2023 05 11 18 43

Chinese scholars studied wartime military justice system in 2022

Highly technical stuff that may not be your cup of tea today.

May 11, 2023

In February, as Xinhua reported, China’s legislature adopted “a decision on adjusting the application of some provisions of the Criminal Procedure Law for the military during wartime.” The decision enables the Central Military Commission to adjust such provisions as “jurisdiction, defense and representation, compulsory measures, case filings, investigation, prosecution, trial, and the implementation of sentences” in China’s Criminal Procedure Law for wartime.

But it’s difficult to find what specific provisions are covered and how they could be adjusted. The Global Times said the decision “pave(d) the way for the Chinese military’s enhanced combat capability” but, in my opinion, wasn’t the most convicing.

The South China Morning Post quoted Tong Zongjin, a respected legal scholar, as saying

the change was also “closely relevant” to ordinary citizens who are not servicemen or women – especially when a criminal case involves both military personnel and civilians.

In his article, Tong also noted that although the decision affects the military’s handling of criminal cases during wartime, its wording left “room for broad interpretation” of the term.

Tong said the term “wartime” was linked to a wider concept, and under China’s Criminal Law could also be defined as a time when the armed forces were “conducting combative operations”, under attack, enforcing martial law, or “responding to violent emergencies”.

He said that under the current regulations, the military could investigate and take legal action against civilians if they were involved in criminal cases that also involved military personnel.

Basically, Tong meant the decision could have implications for civilians outside strictly-defined wartimes. But Tong also apparently didn’t know what the “adjustment of the application of some provisions of the Criminal Procedure Law for the military during wartime” actually involved.

In a March article in Foreign Affairs, John Pomfret and Matthew Pottinger wrote

In February, the top deliberative body of the National People’s Congress adopted the Decision on Adjusting the Application of Certain Provisions of the [Chinese] Criminal Procedure Law to the Military During Wartime, which, according to the state-run People’s Daily, gives the Central Military Commission the power to adjust legal provisions, including “jurisdiction, defense and representation, compulsory measures, case filings, investigation, prosecution, trial, and the implementation of sentences.” Although it is impossible to predict how the decision will be used, it could become a weapon to target individuals who oppose a takeover of Taiwan. The PLA might also use it to claim legal jurisdiction over a potentially occupied territory, such as Taiwan. Or Beijing could use it to compel Chinese citizens to support its decisions during wartime.

Pekingnology published a response to that article and on this particular point,= we said

Upon research, we found that the China Forum of Military Law 2022 by the PLA National Defence University may offer some clues, where unidentified but apparent PLA scholars appealed for rule changes that were later adopted by China's legislature. The discussions - reasons behind those changes - are highly technical and we will publish something else.

Is China Preparing for War?

Li Huiyan, Siyan Nan, Gao Yuan, and 7 others
·
Apr 26

2023 05 11 16 25
2023 05 11 16 25

In their recent article, “Xi Jinping Says He Is Preparing China for War,” published in Foreign Affairs, Mr. John Pomfret and Mr. Matthew Pottinger explored their perceived latest escalation from Beijing regarding Taiwan. While the authors delve into important matters, the article, regrettably, contains a few issues that warrant further scrutiny.

Firstly, the article presents previously known facts and statements as new, which inadvertently fuels an exaggerated sense of panic over the Taiwan situation. This is particularly noteworthy because the article is centered on, in its own words, “something has changed in Beijing” very recently. To ensure a balanced discourse, it is essential to distinguish between past developments and recent events, lest they become conflated.

Additionally, the article occasionally presents claims that, while framed as factual, lack a solid foundation. To maintain credibility and foster constructive dialogue, it is crucial that all assertions be grounded in evidence and supported by reliable sources.

Lastly, some aspects of the article exhibit a one-sided interpretation, potentially overshadowing more nuanced explanations.

As long-time observers of Chinese policies in the field, we intend to provide well-rounded perspectives that encompass the complexities of the matter at hand. By doing so, we wish to contribute to a more informed and measured discussion on the evolving dynamics in the region.

1)

The first sign that this year’s meetings of the National People’s Congress and the Chinese People’s Political Consultative Conference—known as the “two-sessions” because both bodies meet simultaneously—might not be business as usual came on March 1, when the top theoretical journal of the Chinese Communist Party (CCP) published an essay titled “Under the Guidance of Xi Jinping Thought on Strengthening the Army, We Will Advance Victoriously.”

The top theoretical journal of the Communist Party of China (CPC), by definition, builds the CPC’s theories, the most important of which lately has been Xi Jinping Thought on Socialism with Chinese Characteristics for a New Era. According to the CPC, Xi Jinping Thought comprises various parts, including the part on military issues – Xi Jinping Thought on Strengthening the Army, the focus of the essay in question.

The journal’s publication of the essay is, therefore, its routine business, just as it published many other articles on Xi Jinping Thought, such as the worldview and methodology of Xi Jinping Thought in February 2023, Xi Jinping Thought on Ecological Civilization in January 2023, and Xi Jinping Thought on Law-based Rule in December 2022.

The essay in question is also not the journal’s first coverage of the military part of Xi Jinping Thought. In August 2022, the journal published another article by the same author, calling Xi Jinping on Strengthening the Army “an important part of Xi Jinping Thought on Socialism with Chinese Characteristics for a New Era.”

2)

The essay appeared under the name “Jun Zheng” — a homonym for “military government” that possibly refers to China’s top military body, the Central Military Commission—and argued that “the modernization of national defense and the military must be accelerated.”

Jun Zheng” is most likely not a homonym for “military government” but the Political Work Department of the Central Military Commission. Chinese leaders have for generations publicly argued that the modernization of national defense and the military must be accelerated, which is hardly surprising.

Interpreting Jun Zheng for “military government” implies the term stands for Jun Zhengfu. The negativity correlated with it in the Chinese context, however, makes it almost impossible to be chosen as a conveyer of the CPC’s positions.

For the CPC, “military government” is reminiscent of the 1910s and 1920s when the Republic of China was split and ruled by military despots such as Yuan Shikai – and after Yuan’s death, Feng Guozhang, Zhang Zuolin, and Duan Qirui. It was when “feudalism and imperialism still oppress the Chinese people,” said Mao Zedong, and China was “plunged again into unending darkness,” according to a sister magazine of the top theoretical journal. The end of the military government is still regarded as one of the great feats of the CPC in its canonical history.

The misguided interpretation violates the top CPC principle that “the Party commands the gun.” The CPC has always maintained that it must hold absolute leadership over the People’s Liberation Army (PLA). Anything near a “military government” – the gun commands the government – is unimaginable in China.

A more likely explanation is that Jun Zheng stands for Jun Wei Zheng Zhi Gong Zuo Bu, or the Political Work Department of the Central Military Commission, which, after the 2015 PLA reform, took over the personnel and publicity duties from the former PLA General Political Department.

PLA media outlets, such as PLA Daily, have been using similar homonyms such as “Jun Zhengping” (likely “review by the Political Work Department”) and “Jun Ping” (likely an abbreviation or a homonym for “military review”). These media outlets are under the auspices of the Political Work Department.

For generations, Chinese leaders have publicly said the modernization of national defense and the military must be accelerated. That a recent journal article also mentioned is not extraordinary.

Jiang Zemin elaborated a three-step plan to achieve “the modernization of national defense and the military” in 1997 and said in 2002 realizing it “without delay” was of “strategic importance.”

Hu Jintao proposed at the 17th Party Congress in 2007 to “open new ground for the modernization of national defense and the military” and at the 18th Party Congress in 2012 to “accelerate the modernization of national defense and the military.”

Xi Jinping called for “fully advancing the modernization of national defense and the military” at the 19th Party Congress in 2017. Beijing, in 2021, listed it as one of the goals in its 14th Five-Year Plan.

3)

And riffing off a speech that Xi made to Chinese military leaders in October 2022, it made lightly veiled jabs at the United States:

In the face of wars that may be imposed on us, we must speak to enemies in a language they understand and use victory to win peace and respect. In the new era, the People’s Army insists on using force to stop fighting … Our army is famous for being good at fighting and having a strong fighting spirit. With millet and rifles, it defeated the Kuomintang army equipped with American equipment. It defeated the world’s number one enemy armed to the teeth on the Korean battlefield, and performed mighty and majestic battle dramas that shocked the world and caused ghosts and gods to weep.

Again, the quote is not original – and not surprising to close watchers of Chinese official statements. For example, Xi said at a 2020 meeting: “It is necessary to speak to invaders in the language they know: that is, a war must be fought to deter invasion, and violence must be met by violence; victory is needed to win peace and respect.”

Additionally, “shocked the world and caused ghosts and gods to weep” may sound dramatic in English, but it’s a literal translation of a poetic tribute to a dead woman in the Qing Dynasty.

4)

Even before the essay’s publication, there were indications that Chinese leaders could be planning for a possible conflict. In December, Beijing promulgated a new law that would enable the People’s Liberation Army (PLA) to more easily activate its reserve forces and institutionalize a system for replenishing combat troops in the event of war. Such measures, as the analysts Lyle Goldstein and Nathan Waechter have noted, suggest that Xi may have drawn lessons about military mobilization from Russian President Vladimir Putin’s failures in Ukraine.

The “new law” refers to the Reservists Law of the People’s Republic of China, whose contemplation and drafting date far earlier than the war in Ukraine.

The drafting started in January 2019 and was a part of the “reform of military policy framework,” according to a statement from the Ministry of National Defense and an explanation to the Chinese national legislature.

In November 2018, Xi Jinping attended a meeting of the Central Military Commission on reform of the military policy framework, saying that China should reform in a coordinated way its policy systems covering various issues, including national defense mobilization, and China should “adopt military laws and regulations in an integrated way and enhance their codification.”

According to a press conference of the Ministry of National Defense in November 2018, it took more than a year to complete the research for the reform program. That means the initiation began presumably in 2017.

Many countries have laws on reservists in their books. In the U.S., the reserve components are detailed in Subtitle E of Title 10 of the United States Code. The United Kingdom has its Reserve Forces Act 1996.

5)

The Chinese leader…reiterated that he sees uniting Taiwan and the mainland as vital to the success of his signature policy to achieve “the great rejuvenation of the Chinese ethnos.”

In his fourth speech (and his first as a third-term president), on March 13, Xi announced that the “essence” of his great rejuvenation campaign was “the unification of the motherland.” Although he has hinted at the connection between absorbing Taiwan and his much-vaunted campaign to, essentially, make China great again, he has rarely if ever done so with such clarity.

His messaging about war preparation and his equating of national rejuvenation with unification mark a new phase in his political warfare campaign to intimidate Taiwan.

The “great rejuvenation of the Chinese nation” originated not with Xi Jinping at all, and Beijing has always been saying the reunification of Taiwan is a necessary part of it.

Indeed, Xi has been stressing “national rejuvenation,” but top Chinese leaders have long emphasized it. In 2001, Jiang Zemin called for, at a meeting celebrating the 80th anniversary of the founding of the CPC, young people to “accomplish the grand cause of socialist modernization and the great rejuvenation of the Chinese nation.” In 2002, Jiang Zemin’s report to the 16th National Congress of the CPC mentioned the term nine times.

Top Chinese leaders have also repeatedly declared that reunification is within the framework of “rejuvenation.” Jiang Zemin said in his report at the 15th Party Congress in 1997 “the complete reunification of the motherland and the comprehensive revitalization of the nation will certainly be achieved” (In Party speak, revitalization is the predecessor to rejuvenation). Jiang said in his report at the 16th Party Congress in 2002, “If the country is to be reunited and the nation is to be rejuvenated, the Taiwan question cannot be delayed indefinitely.”

Hu Jintao said in his report at the 17th Party Congress in 2007 “cross-strait reunification is a historical necessity for the great rejuvenation of the Chinese nation” and in his report at the 18th Party Congress in 2012 “with all Chinese people working together, we will be able to accomplish the great task of reunification of the motherland in the process of achieving the great rejuvenation of the Chinese nation.”

6)

His government also announced…plans to make the country less dependent on foreign grain imports.

Xi also said that he wants China to end its reliance on imports of grain and manufactured goods. “In case we’re short of either, the international market will not protect us,” Xi declared. Li, the outgoing premier, emphasized the same point in his annual government “work report” on the same day, saying Beijing must “unremittingly keep the rice bowls of more than 1.4 billion Chinese people firmly in their own hands.” China currently depends on imports for more than a third of its net food consumption.

For decades, the Chinese leadership has stressed “self-reliance” in food. In 1983, the CPC Central Committee said in “Several Issues of the Current Rural Economic Policy” that “from the overall perspective, the solution to the grain problem must be based on self-reliance.”

In 1989, Jiang Zemin said when celebrating the 40th anniversary of the founding of the People’s Republic of China “the steady growth of agriculture, especially food production, is the basis for the development of the entire national economy. The problem of feeding 1.1 billion people can only be solved by our own correct approach and sustained efforts, and we can not rely on any other people to solve it on our behalf. At no time can we forget this most basic national condition.”

In October 1993, Jiang Zemin said in his speech “Attaching Great Importance to Issues Related to Agriculture, Rural areas and Rural People” that “if agriculture and food production go wrong, no country will be able to help us. If we live on imported food, we are bound to be constrained by others.”

In 2008, the National Development and Reform Commission said in the Outline of the Medium-and Long-term Plan for National Food Security (2008-2020) that a guiding principle is “坚持立足于基本靠国内保障粮食供给” “insisting on basically relying on domestic (supply) to secure food supply”

In 2013, Xi Jinping said at the Central Conference on Rural Work that “having control over our own food supply is a basic policy that must be adhered to in the long run” and since repeatedly highlighted food security, including listing it as a part of national security in July 2015. Li Keqiang, then Premier, mentioned it in last year’s report on the work of the government as well.

Heeding the market rather than Beijing’s vows, China’s dependency on imported grains, however, climbed in the past decade, although most of the imports are feedstuffs and oilseeds.

2023 05 11 16 3we3
2023 05 11 16 3we3

2023 05 11 16 33
2023 05 11 16 33

7)

In his first speech on March 6, Xi appeared to be girding China’s industrial base for struggle and conflict …

On March 5, Xi gave a second speech laying out a vision of Chinese self-sufficiency that went considerably further than any of his previous discussions of the topic, saying China’s march to modernization is contingent on breaking technological dependence on foreign economies — meaning the United States and other industrialized democracies.

Xi couldn’t have made his first speech on March 6 and then a second on March 5.

Additionally, Xi’s May 5 speech did stress Chinese self-sufficiency, but it didn’t, in our opinion, go “considerably further than any of his previous discussions of the topic.” We looked at each sentence of that speech on self-sufficiency and found them highly similar to statements he had made before. Given that our response is already too lengthy, we choose not to facilitate a sentence-by-sentence reference here but would do so if challenged.

8)

At the same time, cities in Fujian Province, across the strait from Taiwan, have begun building or upgrading air-raid shelters and at least one “wartime emergency hospital,” according to Chinese state media.

Upon research, the “wartime emergency hospital” probably refers to one in Fuzhou, the capital of Fujian Province. The official press release, titled “Fuzhou has built another war-time medical rescue project,” says,Yang Lihong, the Secretary of the Party Committee and Director of the Fuzhou Civil Defense Office, has proposed accelerating the construction of wartime medical rescue stations and extending them to subway stations and other locations in response to the current scarcity of medical rescue resources. He attaches great importance to the construction of such projects.

It’s therefore clear that the “wartime emergency hospital” in question is the initiative of one local official whose jurisdiction covers only Fuzhou.

The press release added, “the wartime emergency project, in Cangshan District, Fuzhou City, combined with Mengchao Hepatobiliary Hospital of Fujian Medical University, has been built.” Based on that, experienced observers of Chinese government press releases are likely to suspect the so-called “wartime emergency project” could be just a superficial addition to the existing hospital.

2023 05 11 16ew 34
2023 05 11 16ew 34

In evidence confirming the suspicion, the press release includes three photos. One shows the location – outside the Mengchao Hepatobiliary Hospital, and two show power generators and their control boxes. That’s probably why it was named a “wartime emergency project” instead of a hospital. The conclusion is, therefore, that the local government office added backup power outside an existing hospital and declared they added a “wartime emergency project.”

2023 05 11 16 t35
2023 05 11 16 t35

2023 05 11 16 35
2023 05 11 16 35

Upon research, we couldn’t find evidence for notable “building or upgrading air-raid shelters” in Fujian Province. It’s worth mentioning that turning underground air-raid shelters into shopping malls is commonplace across China. In the northernmost Heilongjiang Province, commercialization has become so entrenched that enormous corruption has been discovered and highlighted by the Central Commission for Discipline Inspection, the CPC’s discipline watchdog, in 2021.

9)

If these developments hint at a shift in Beijing’s thinking, the two-sessions meetings in early March all but confirmed one. Among the proposals discussed by the Chinese People’s Political Consultative Conference —the advisory body — was a plan to create a blacklist of pro-independence activists and political leaders in Taiwan. Tabled by the popular ultranationalist blogger Zhou Xiaoping, the plan would authorize the assassination of blacklisted individuals — including Taiwan’s vice president, William Lai Ching-te — if they do not reform their ways. Zhou later told the Hong Kong newspaper Ming Pao that his proposal had been accepted by the conference and “relayed to relevant authorities for evaluation and consideration.” Proposals like Zhou’s do not come by accident. In 2014, Xi praised Zhou for the “positive energy” of his jeremiads against Taiwan and the United States.

First, a total of 4,689 proposals were submitted to the First Session of the 14th National Committee of the Chinese People’s Political Consultative Conference (CPPCC). Zhou’s is just one of them.

Second, both the CPPCC and Chinese media shunned Zhou’s plan. Zhou, a controversial first-time National Committee member, was featured in an interview on the CPPCC website under the section of Tianjin, where he serves as a member of CPPCC National Committee. The interview highlighted Zhou’s other proposal while excluding the one concerning Taiwan. Mainstream media in the Chinese mainland did not cover or even mention it during the “two sessions.” That suggests a lack of endorsement.

Thirdly, the CPPCC Daily, an official publication managed by the general office of the CPPCC National Committee, reported that the office has identified a number of “priority proposals” from the submitted proposals during the 14th session, such as promoting the Chinese path to modernization, implementing new development concepts, and ensuring and improving people’s livelihoods. Taiwan-related proposals weren’t mentioned.

Fourthly, Ming Pao published the interview with Zhou on March 6, 2023, when the CPPCC National Committee had just opened its annual session and had not yet begun considering proposals submitted by its members. Zhou’s statement to the Hong Kong newspaper claiming that the proposal had been accepted and “relayed to relevant authorities for evaluation and consideration” is more likely a self-promotion.

Lastly, a Xinhua report also published in the People’s Daily in 2014 described the interaction between Xi Jinping and Zhou in the only public account available

总书记在讲到互联网文学时,停下来问:“听说今天来了两位网络作家,是哪两位啊?”

座谈会结束时,习近平还走到他们面前,亲切地说:“希望你们创作更多具有正能量的作品。”

Discussing internet literature, the General Secretary paused and asked: “I heard that there are two internet writers here today, which two are they?” At the symposium’s conclusion, Xi Jinping approached them and said amicably “I hope you will create more works with positive energy.”

In the Chinese mainland, “literature” typically refers to novels, prose, and poetry rather than commentaries on current affairs. What Xi meant by “positive energy” was also unclear. It’s fair to say Xi praised Zhou for the “positive energy,” but there is no basis for “of his jeremiads against Taiwan and the United States” from publicly available information.

10)

Also at the two-sessions meetings, outgoing Premier Li Keqiang announced a military budget of 1.55 trillion yuan (roughly $224.8 billion) for 2023, a 7.2 percent increase from last year. Li, too, called for heightened “preparations for war.”

Even the official Chinese figure exceeds the military spending of all the Pacific treaty allies of the United States combined (Australia, Japan, the Philippines, South Korea, and Thailand), and it is a safe bet China is spending substantially more than it says.

The “7.2 percent increase” is the nominal military expenditure growth, which does not take into account changes in prices. Li Keqiang said in the 2023 Government Work Report that “the main projected targets for development this year are as follows: GDP growth of around 5 percent … CPI increase of around 3 percent,” meaning that China’s expected nominal economic growth without considering price changes in 2023 is about 8 percent. In other words, nominal military expenditure growth (7.2%) is lower than nominal economic growth (8%) – China is set to devote a smaller share of its economy to defense in 2023.

It’s common sense that the Chinese military is not under the purview of the State Council, as the CPC has been steadfast in asserting absolute leadership over the PLA. Apart from the courtesy nature of the government work report’s coverage of the military, the exact language adopted standard, uncharacteristic expressions.

Comparing defense budgets in different ways could create different impressions. For example, the combined land, population, and GDP of Australia, Japan, the Philippines, South Korea, and Thailand are far lower than China, so their combined military spending is lower than China may not be surprising.

On a per capita basis, China’s military spending is far lower than not only the U.S. but also its Pacific treaty allies such as Australia, South Korea, and Japan.

The Foreign Affairs article also apparently dodged a much more common comparison — the U.S. spends more on national defense than China, India, Russia, United Kingdom, Saudi Arabia, Germany, France, Japan, and South Korea — combined.

11)

But the most telling moments of the two-sessions meetings, perhaps unsurprisingly, involved Xi himself. The Chinese leader gave four speeches in all—one to delegates of the Chinese People’s Political Consultative Conference, two to the National People’s Congress, and one to military and paramilitary leaders. In them, he described a bleak geopolitical landscape, singled out the United States as China’s adversary, exhorted private businesses to serve China’s military and strategic aims.

What Xi said was that the private sector is “an important force for our Party’s long-term governance and for the Party to lead the Chinese people to deliver on the two centenary goals and realize the Chinese Dream of the great rejuvenation of the Chinese nation.”

Business entities are a key factor in a nation’s overall strength. Xi also said the private businesses should adhere to “high-quality development” and contribute to shared prosperity of the Chinese people. These words, in our opinion, can hardly be qualified as he “exhorted private businesses to serve China’s military and strategic aims.”

Furthermore, they are hardly “most telling” given similar phrasing has been used numerous times before, including in the exact same words in November 2018 – the private sector is “an important force to lead the Chinese people to deliver on the two centenary goals and realize the Chinese Dream of the great rejuvenation of the Chinese nation.”

The characterization also completely mispresented the context of the quote. It’s not a secret that the confidence of China’s private businesses dived in recent years, and the intended purpose of Xi’s words was to assure private entrepreneurs by describing them as being in the same camp as the CPC and Chinese development. It follows, as his apparent logic was, that private businesspeople do not have to worry about becoming a target.


Several parts in the article would take a lot more space for us to examine, but we are afraid that we have long ago run out of even the most generous reader’s patience. So allow us to offer some preliminary thoughts here.

Since December, the Chinese government has also opened a slew of National Defense Mobilization offices—or recruitment centers—across the country, including in Beijing, Fujian, Hubei, Hunan, Inner Mongolia, Shandong, Shanghai, Sichuan, Tibet, and Wuhan.

They are the result of a decade-long reform of China’s national defense mobilization system, dating back to the famed Decision of the Central Committee of the Communist Party of China on Some Major Issues Concerning Comprehensively Deepening the Reform in 2013 which said for “We will deepen the reform of national defense education, improve the national defense mobilization system, and the system of conscription during peace time and mobilization during wartime.” In 2017, Xi said in his speech at the 19th National Congress of the Communist Party of China again “we will improve our national defense mobilization system.”

The timeline shows not a recent development as the Foreign Affairs article attempts to convey, and Chinese researchers have published on why the country needs to overhaul its national defense mobilization: the old system was cumbersome, detached from reality, and ineffective. For example, China’s past “defense mobilization committees” used to be powerless coordinative bodies that could only relay information and did not even have dedicated staff.

 

The law governing military reservists is not the only legal change that hints at Beijing’s preparations. In February, the top deliberative body of the National People’s Congress adopted the Decision on Adjusting the Application of Certain Provisions of the [Chinese] Criminal Procedure Law to the Military During Wartime, which, according to the state-run People’s Daily, gives the Central Military Commission the power to adjust legal provisions, including “jurisdiction, defense and representation, compulsory measures, case filings, investigation, prosecution, trial, and the implementation of sentences.” Although it is impossible to predict how the decision will be used, it could become a weapon to target individuals who oppose a takeover of Taiwan. The PLA might also use it to claim legal jurisdiction over a potentially occupied territory, such as Taiwan. Or Beijing could use it to compel Chinese citizens to support its decisions during wartime.

Regrettably, Beijing offered few communications on this, giving rise to such speculations. Upon research, we found that the China Forum of Military Law 2022 by the PLA National Defence University may offer some clues, where unidentified but apparent PLA scholars appealed for rule changes that were later adopted by China’s legislature. The discussions – reasons behind those changes – are highly technical and we will publish something else.

 

It also called for an intensification of Military-Civil Fusion, Xi’s policy requiring private companies and civilian institutions to serve China’s military modernization effort.

A lot of Western ink has been spilled on Military-Civil Fusion (MCF), and many have made up their minds about it. But as we see it, the MCF is not about “requiring” private companies and civilian institutions to serve China’s military modernization effort but “enabling” them to do so if they so choose.

“China has imposed a legal obligation on Chinese companies to participate in MCF” is one of the myths broken by Elsa B. Kania and Lorand Laskai in a Center for New American Security research, which found “Apart from the CCP constitution, no statute or law mandating compulsory participation in MCF appears to exist.”

For the CPC constitution, they found “When the 19th CCP National Congress approved an update to the party constitution in October 2017, this revision enshrined Xi’s top priorities, including the Belt and Road Initiative. The provision that mentions MCF, far from mandating society-wide participation in MCF or offering any affirmative command, is simply included among a listing of various strategies for party cadres to implement” and “the provision thus merely reaffirms what is already apparent on many fronts: namely, that the party considers MCF a strategic priority.”

The background of MCF, in our opinion, is that the institutional foundations of PLA’s weapon development and research are copied from the Soviet Union, where systematic barriers allow state-owned companies and, in particular, military-owned industrial complex, enjoyed a monopoly in defense contracts and shut out private businesses. In 2010, Chinese scholars estimated that less than 1 percent of the country’s civilian high-tech enterprises were involved in defense-related activity, according to a Council on Foreign Relations blog post.

Also, the MCF seeks to incentivize military equipment producers to tap the civilian market because otherwise, there weren’t enough financial resources to sustain them.

Chinese leaders before Xi used the exact same word Jun Min Rong He, or MCF, as has been widely translated, and the concept dates back to Mao Zedong and the founding of the People’s Republic of China.

 

Whereas U.S. President Joe Biden’s administration has emphasized “guardrails” and other means of slowing the deterioration of U.S.-China relations, Beijing is clearly preparing for a new, more confrontational era.

While paying lip service to “guardrails,” President BIden’s administration put many Chinese companies under sanctions, expanded export control of chip technology, facilitated the proliferation of nuclear technology for military use in the Pacific, added military bases in the Philippines, and even stalled in bringing back the China Fulbright program – to name just a few. It’s flimsy to say President Biden’s administration slowed the deterioration of U.S.-China relations.

Last but not least, and this could not be stressed enough for China watching – each language has unique features rooted in its speakers’ national history and tradition, and Chinese is no different. Astute observers may have noticed that many words that the CPC and Chinese government routinely use can be traced back to military terms in revolutionary times but no longer invoke a violent nature in a meaningful sense. (Enditem)

2023 05 11 19 03
2023 05 11 19 03

Cardboard Cat Forts: The Ultimate DIY Project for Feline Fun

cat forts1
cat forts1

Picture this: You’re sitting at home, surrounded by Amazon boxes that you’ve been too lazy to recycle. You’ve got some time on your hands, a cat on your lap, and you’re feeling a little bit creative. What do you do? You build a cardboard cat fort, of course!

h/t: sadanduseless

cat forts2
cat forts2

But why do cats love cardboard so much? It’s not just because they’re weirdos (although that certainly plays a part). No, it turns out that there are some legit reasons why felines can’t resist the allure of a good cardboard box.

cat forts3
cat forts3

First of all, cats are all about safety and security. They love small, enclosed spaces where they can hide and feel safe from predators (or from their pesky human roommates). Cardboard boxes provide that sense of protection that cats crave.

cat forts4
cat forts4

But it’s not just about safety. Cardboard is also a great insulator, which means that it keeps cats warm and cozy. And let’s be real, who doesn’t love a good snuggle session in a warm, cozy box?

cat forts5
cat forts5

Of course, there’s also the playfulness and curiosity factor. Cats are curious creatures by nature, and a cardboard box provides endless opportunities for exploration and play. They can jump in and out of the box, paw at it, scratch it up, and generally just have a grand old time.

cat forts6
cat forts6

And let’s not forget about marking their territory. Cats have scent glands on their paws and faces, which they use to mark objects and claim them as their own. A cardboard box is the perfect blank canvas for a cat to make their mark and declare to the world, “This is mine!”

cat forts7
cat forts7

So go ahead, build that cardboard cat fort. Your feline friend will thank you for it. And even if they don’t appreciate the intricate design work and clever architecture, at least you’ll have a good laugh watching them poke their little heads out of the various nooks and crannies.

cat forts23
cat forts23

cat forts22
cat forts22

cat forts21
cat forts21

cat forts20
cat forts20

cat forts19
cat forts19

cat forts18
cat forts18

cat forts17
cat forts17

cat forts16
cat forts16

cat forts15
cat forts15

cat forts14
cat forts14

cat forts13
cat forts13

cat forts12
cat forts12

cat forts11
cat forts11

cat forts10
cat forts10

cat forts9
cat forts9

cat forts8
cat forts8

cat forts7
cat forts7

cat forts6
cat forts6

cat forts5
cat forts5

cat forts4
cat forts4

cat forts3
cat forts3

World’s Biggest Pulp Producer Suzano Considers Trading With China in Yuan

More and more countries and MNCs joint the world trend at an unstoppable speed ❗the good news is, once the dollar collapses, the US economy will follow, and the military will be disarmed without war. 

Article HERE

All your West Pacific belong China

What a difference a year makes

Oct 24, 2022
Could America Win a New World War? — What It Would Take to Defeat Both China and Russia. Foreign Affairs, journal of the Council on Foreign Relations.

A year ago in these pages I explained why China dominates the West Pacific. Since then, things have developed not necessarily to America’s advantage.

Last year, for example, a US carrier docked at Darwin Port had zero chance of surviving a volley of Chinese DF-26D anti-ship ballistic missiles and a 50-50 chance underway, in open ocean. Its chances are now zero and zero. A new surveillance satellite with onboard AI recognizes and identifies individual warships, tracks them through sleet and storm, and transmits better-than-human information to HQ in real time 24×7. Time on target is infinite, and PLAN screen-shares directly with fire-control, eliminating delays and miscommunication.

The same warships are also tracked by a million sailors in China’s fishing fleets – all directly connected to PLAN Shore Control and some towing Sonar arrays – by gigantic drones that spend months in the stratosphere, by the PLAN’s semi-undetectable subs, by a network of passive receivers on the ocean floor.

What admiral would sail a $30 billion battle fleet and 7,000 crew in range of such weapons when his air wing is still a thousand miles beyond operational range?

2023 05 11 15 27
2023 05 11 15 27

Firstest with the Mostest

While never denying its power, Mao called the US military-industrial complex a ‘paper tiger’. One quick, hard punch in the nose is worth 100 later. The punch he delivered in Korea proved his point and, like the Russian Army, the PLAN is prepared to repeat that lesson two generations later.

Early next year, Xi will commission five new Burke class destroyers simultaneously, all of whose thousands of missiles outrange and out-punch their USN counterparts. China has the biggest, most modern, newest, most powerfully armed fleet afloat, manned by the world’ best educated

and motivated sailors.

2023 05 11 15 28
2023 05 11 15 28

The PLAAF’s (mass produced) J-20 Mighty Dragons combination of range, speed

and payload I unequalled. In the 2-seater version, the copilot controls three drones that zip ahead to draw fire or attack targets

.

2023 05 11 15 2h8
2023 05 11 15 2h8

Alastair Crooke
April 24, 2023

There seems to be more cultural energy present in the U.S. today, than there is in Europe, which has long since severed from living myth.

The message sent by the Chinese Defence Minister’s three-day visit to Russia is clear. His reception – a high-profile event – was intentionally invested with high visibility. And at its symbolic centre was a meeting with President Putin on (Orthodox) Easter Day which was consequential, both for being far beyond the norms of protocol, and for occurring on Easter Day, when Putin would not customarily work.

Its key message may be surmised from remarks earlier framed by Hu Xijin, the former editor-in-chief of China’s Global Times: “The U.S. repeatedly claims that China is preparing to provide “lethal military aid” to Russia in the ongoing Ukraine conflict”. But that war has “has been going on for more than a year: And according to the West’s previous calculation, Russia should have already collapsed by now … And, whilst NATO is supposed to be much stronger than Russia, the situation on the ground doesn’t appear as such – which is why it causes [such] anxiety in the West …”.

Hu Xijin continues:

“If Russia alone is already so difficult to deal with, what if China really starts to provide military aid to Russia, using its massive industrial capabilities for the Russian military? [If] Russia alone … is more than a match for the Collective West. If they [the West] really forces China and Russia to join hands militarily – the question that haunts them is that the West will no longer be able to do as it pleases. Russia and China together, would have the power to check the U.S.”.

This essentially was what the Defence Minister’s visit was all about: Events have moved on since Hu wrote that piece in the Global Times a few weeks ago and, if anything, recent developments have lent added dimension to his clarion warning that a Sino-Russian joining of hands – militarily – would mark a paradigm change.

The recent event of the U.S. Intelligence leaks (as well as earlier reports from Seymour Hersh) seem to point to deep internal schism in the U.S. ‘Permanent State’:

One element is convinced that the Ukrainian Spring Offensive is a disaster in the making – with major consequences for U.S. prestige. The Neo-con contingent, on the other hand, bitterly refutes this analysis, and instead demands escalation via immediate preparation (arming Taiwan) against a U.S. war to be waged against both China and Russia soon. The neo-cons claim a Russian panic and collapse could happen within 24 hours of an Ukrainian attack.

To put it plainly, the sudden ignition of neo-con war fever against China has just done what Hu earlier foresaw: It has forced Russia and China to join hands militarily, not necessarily in Ukraine, but rather to plan and prepare for war with the West.

In the wake of the Intelligence leaks, the focus on Ukraine in the U.S. has waned, and been replaced in the U.S. with a rising fever for war with China.

The Chinese Defence Minister’s extended Moscow visit was the tangible evidence that now, China and Russia are convinced that the prospect of war is real, and they are preparing for it. Putin underlined the ‘jointery’ by, inter alia, prioritising the strengthening of the Russian Pacific fleet, and upgrading generally Russian Naval capacities.

This is just crazy: Hu was ‘spot on’. If NATO does not have the military industrial capacity to defeat Russia on its own, how can the U.S. and Europe expect to prevail against China and Russia combined? The notion seems delusional.

Historian Paul Veyne, a towering figure in the history of the ancient Roman world, once posed the question: Did the Greeks Believe in Their Myths? All societies, he wrote, contrive to some notional distinction between ‘truth’ and ‘falsity’, but in the end, according to him, this too, is just another ‘fishbowl’, the one we happen to inhabit, and it is in no way superior, as a matter of epistemology, to the fishbowl in which ancient Greeks lived and made sense of their world, in no small part through myths and stories about the gods.

In respect to the myth of the Roman Empire which nourishes U.S. foreign policy, Veyne’s position is profoundly contrarian. For his basic claim is that Roman imperialism had little to do with statecraft, nor economic predation or the assertion of control and the demand of obedience, but rather that was motivated by a collective wish to create a world in which Romans might be left alone, not simply secure, but undisturbed. That is all.

Paradoxically, this account would place the American traditionalist ‘Right’ – which leans to a Burkean-Buchanan perspective –closer to that of Veyne’s Roman ‘reality’ that to that of the neo-cons: i.e. what most Americans wish is for America to be left alone, and to be secure.

Yes, the gods and myths were tangible to the Ancients. They lived through them. The point here is Veyne’s warning against our ‘lazy treating’ of ancient Romans as versions of ourselves, caught up in different contexts, to be sure, but essentially interchangeable with us.

Did the Greeks believe in their Myths? Veyne’s short answer is ‘no’. The public spectacle of authority was an end in itself. It was artifice without an audience – as an expression of authority beyond question. There was no ‘public sphere’, indeed no ‘public’ as such. The state was instrumentalist. Its role was to mediate and keep the Empire aligned and attuned with these invisible and powerful forces.

The gods and myths were understood by the Ancients in a way that is almost wholly alien to us today: They were energetic invisible forces that carried distinct qualities that both shaped the world and carried meaning. Today, we have lost the ability to read the world symbolically – symbols have become rigid ‘things’.

The implication of Veyne’s analysis is that Rome is false as a comparison to support the ‘myth’ of the inevitability of U.S. primacy: The ‘mythical’ neo-con approach of course is instrumentalised to convince us all that U.S. primacy is ordained (by the gods?), and that Russia is low hanging fruit – a fragile rotten structure that easily can be toppled.

Do then the neo-cons believe their own myths? Well, ‘yes’ and ‘no’. ‘Yes’, in that the neo-cons are a group of people who come to share a common view (i.e. Russia as fragile and fissiparous), often proposed by a few ideologues deemed to be credentiallised. It is a view however, not based in reality. These adherents may be convinced intellectually that their view is right, but their belief cannot be tested in a way which could confirm it beyond doubt. It is simply based on a picture of the world as they imagine it to be, or more to the point, as they would like it to be.

Yes, the neo-cons believe their myths because they seem to work. Just look around. As the means of communication have become decentralized, digitized and algorithmic, contemporary culture has forced individuals into herds. There is no standing apart from this discourse; there is no thinking outside of the Tik-Toc feed; it gives rise to the formation of a pseudo-reality, severed from the World, and generated for wider ideological ends.

Put plainly, there never was a ‘public sphere’ in Rome in the modern sense, and in today’s sense, no alive western ‘Public Sphere’ either. It has been anaesthetised via the social media platforms. The public spectacle of neo-con credentiallised ideological authority (say, a Lindsay Graham advocating for war on China) becomes an end in itself. An expression of authority beyond question.

The neo-con myth of Russia on the cusp of implosion makes no sense. But it is a picture of the world as the neo-cons imagine it to be, or more to the point, would like it to be. The shortcomings of the Ukrainian forces as detailed in (their own American) Intel leaks: They pretend not to notice – convinced, as Foreign Policy explains, that once the expected Ukrainian offensive launches, if “the Russian soldiers panic, causing paralysis among the Russian leadership … then the counter-offensive will be successful”.

The more such delusional analysis is pursued, the more functional psychopathy will be exhibited, and the less normal it becomes. In short, it descends into collective delusion – if it hasn’t already.

The U.S. may have entered a fever for war (for now! (Let us see how it lasts as events in Ukraine play out)), but what of Europe? Why would Europe seek war with China?

Thomas Fazi writes that:

“Emmanuel Macron’s call for Europe to reduce its dependency on the United States and develop its own “strategic autonomy” caused a transatlantic tantrum. The Atlanticist establishment, in the U.S. as much as in Europe, responded in a typically unrestrained fashion — and, in doing so, missed something crucial:

“Macron’s words revealed less about the state of Euro-American relations than they did about intra-European relations.

“Very simply, the “Europe” Macron speaks of no longer exists, if it ever did. On paper, almost the entire continent is united under one supranational flag — that of the European Union. But that is more fractured than ever. On top of the economic and cultural divides that have always plagued the bloc, the war in Ukraine has caused a massive fault line to re-emerge along the borders of the Iron Curtain. The East-West divide is back with a vengeance”.

“The end of the Cold War and, then, the CEE countries’ accession to the EU just over a decade later were both heralded as the post-Communist countries’ much-awaited “return to Europe”. It was widely believed that the EU’s universalist project would smooth out any major social and cultural differences between Western and Central-Eastern Europe …Such a hubristic (and arguably imperialistic) project was bound to fail; indeed, tensions and contradictions quickly became apparent between the two Europes”.

Belief in an integral European culture has been more a mark of a central European sensibility than of the western edge of Europe. It was not only Russia that was at issue for the East. They resented being cut off from a world of which they had been an essential part. Yet when communism receded, the European culture – as imagined by the dissidents – vanished in a Europe beset by division and a culture war imposed from the centre that purposefully has attempted to strangle any attempt to revive national cultures. For Milan Kundera and other writers like him, there is no living culture in Europe, and its posterity inhabits a void created by the disappearance of any supreme values.

Paradoxically, the war in Ukraine has strengthened Russian national culture, but has exposed the façade in the EU. There seems to be more cultural energy present in the U.S. today, than there is in Europe, which has long since severed from living myth.

Asymmetry in the Pacific

Any attack on Chinese territory would draw an equally powerful counterstrike on the US West Coast. Of this there is absolutely no doubt. China’s ICBMs are longer ranged than America’s, and carry more powerful payloads faster and, says Fred Reed,

Defense is impossible. Missile defenses are meaningless except as money funnels to the arms industry. This is not the place to go into decoys, hypersonics, Poseidon, maneuvering glide vehicles, bastion stationing, MIRV, just plain boring old cruise missiles, and so on. Coastal cities are particularly easy targets, being vulnerable to submarine-launched sea-skimming missiles. Washington, New York, Boston, San Diego, Los Angeles, San Francisco, Seattle for starters. All gone.

2023 05 11 15 29
2023 05 11 15 29

Be Prepared

If worse comes to worst, Chinese and Russian preparations for ICBM exchanges are excellent, while the US has no effective defense at all.

Does it strike you as odd that undefended America is provoking a nuclear exchange with the two best defended nations on earth, and which have superior intelligence, surveillance, and reconnaissance superiority, and can easily strike the US at strategic and operational depths?

Fair Weather Friends

Internationally, Biden is a pariah, cruelly ridiculed at home and abroad insulted to his face. Xi and Putin are rock stars. Xi, who ponied up $3.5 trillion to help poor countries, plays God of Plenty to Putin’s God of War, as the Putin-Xi bromance deepens with time. They seem delighted to have found each other at such a propitious moment. Xi, bless his technocratic heart, obviously digs Putin:

2023 05 11 15 2fwe9
2023 05 11 15 2fwe9

Putin’s reaction to his first standing ovation from national leaders: “For God’s sake, sit down!”. Now he just smiles and takes it.

Our party’s over

We squandered our natural riches, degraded our human resources and hocked (financialized) our assets. Former friends decline our invitations or bring people we can’t stand, then leave early and surly

. Africa didn’t make it. Turkey’s halfway out the door. The Saudis who, like the Turks, spent billions on Russian S-400 systems, said their goodnights. Latin America is outside, waiting for a cab.

The neighborhood has gone downhill in the last 40 years, we’ve maxed our credit cards and, in front of six billion people, we’ve been stealing stuff that other countries entrusted to our care.

By Christmas next year Ukraine will be de-Nazified, its ports in Russian hands. NATO will be at their 1979 locations. Intra-EU cooperation will be a memory. Washington will struggle with stagflation, 35 million Covid invalids, mass homelessness, and even mass hunger

. There are already more illiterate, homeless, hungry children, more drug addicts, poor people, prisoners, suicides, and executions in America than in China.

Theirs is getting started

By Christmas next year, the world will have a new reserve currency and, to forestall Ukraine’s fate, Taiwan Customs and China Customs

will merge

. TSMC will still produce the world’s high end chips, but unfriendly buyers may experience paperwork delays. On the mainland, a new fab in Beijing will be mass producing the first photonic chips, made with Chinese equipment and IP, and signaling the end of copper circuitry and the dawn of an era of higher speeds at lower power.

It will then be obvious that the American century has ended.

1

Chinese soldiers have a three year advantage over their US counterparts in STEM subjects. (2020 PISA).

2

The J-20 cruises supersonically without afterburners.

3

There is no room for a second seat in the fuselage of the F-35, our frontline fighter through 2050.

4

A SE Asian Ambassador was overheard cursing President Biden in his presence, and another loudly upbraided colleagues for rising when Biden entered.

5

In 2021, 53 million Americans needed food banks to put food on the table.

6

China Customs, in continuous service for 2200 years, already processes 52% of Taiwan’s exports. Integration would be trivial and trigger a 50% pay raise for Taiwanese inspectors.

7

China Customs already processes most Taiwan exports.

Mexican Stuffed Peppers

2023 05 11 19 22
2023 05 11 19 22

Ingredients

  • 4 Anaheim chiles
  • 1 pound ground beef
  • 1 envelope taco seasoning mix
  • 1 package shredded cheese
  • 1 can enchilada sauce
  • 1 medium to large baking dish

Instructions

  1. Heat oven to 350 degrees F.
  2. Brown ground beef.
  3. While beef is browning, cut the top off the Anaheim peppers. Slice down one side of each pepper. De-vein and de-seed chiles to flavor (The more you leave in, the hotter it is!)
  4. Add taco seasoning to beef when properly brown and prepare based on directions on taco seasoning package.
  5. Place pepper, sliced side up, in a medium to large pan for baking. Stuff each pepper with meat and cheese.
  6. Cover all with enchilada sauce. Cover (or don’t – depends on who is cooking) dish and bake for 15 to 20 minutes.
  7. Remove from oven and serve.

Rocket Engines

main qimg fcd724cdec020ce778f01ddb273c4ceb
main qimg fcd724cdec020ce778f01ddb273c4ceb

Chinese government selling reusable engines. China’s Academy of Aerospace Liquid Propulsion Technology is marketing reusable rocket engines to speed up the development of China’s commercial space sector, Space News reported.

Three engines are being offered for sale, including the YF-102 kerosene-liquid oxygen gas generator engine, which uses 3D-printing techniques, and the vacuum-optimized YF-102V. The third one is the reusable YF-209 methane-liquid oxygen, 80-ton-thrust engine. The latter is still in development, with hot-fire testing being carried out in February.

Some achievements already … The YF-102 engines have already been used in flight. Three of them powered the first stage of the Tianlong-2 rocket developed by private company Space Pioneer. The first flight of the rocket, in April, was the first Chinese commercial liquid-fueled rocket to send a payload into orbit.

The academy selling the engines is a subsidiary of the China Aerospace Science and Technology Corporation, a state-owned space and defense giant and the country’s main space contractor.

Putin has escaped Economic disaster completely now

His Economy delivered positive productivity and his inflation is 3%

Russia has joined the top 10 Economies of the World again since 2014

Russia’s realignment with China has been a massive success

The two nations are trading insanely now

China has all the gas and oil it needs without any Western meddling plus Enriched Uranium to build a massive war chest and pay in Yuan

Russians have all the consumer products they once got from the West ENTIRELY substituted from China including Chips and Technology and mainly refinery equipment and EVs


So.Russias near future looks like a decoupling from the West

My guess is at least for the next 3–5 years,Russia and China will act as a single BLOC in all geopolitical affairs

Putin is NOT ISOLATED

There are to date 100 countries trading with Russia – 7 South American, 46 African and 47 Asian Countries

That’s only 18 Countries that refuse to do business with Russia of which only three — Japan, S Korea, Singapore that have actively sanctioned Russia

The Middle East and OPEC firmly are neutral and still happily maintain Status Quo with Russia

In the future the US may threaten and threaten many nations and may even succeed in temporary sanctions but the writing is on the wall

THE US MUST DIE

Everyone knows it

THE US MUST BREAK. The World needs a Multipolar order and no more US Hegemony

A Virus that exterminates people, Internal Riots, Flooded and dead with Fentanyl, Economic Chaos, A Meteor Strike or a Destructive War — The US has to go

Less than 30 Nations are actually loyal to US

And 60% of their population are bitterly opposed to the US even here


Then there’s the Future of the SMO

NATO will hold the line but frankly to be able to go back to Industrial Production to match Russia’s present abilities will take 4–10years

And by then if they provoke China enough and China just shares 15% of its Production capacity for Armaments for Russia — THATS MORE THAN TWICE THE PRODUCTION OF THE ENTIRE REMAINING WORLD FOR THE NEXT 40 YEARS

So ultimately NATO has to give up on Ukraine and focus on Taiwan

Another 7 years and Taiwan can never be rescued. China , the rate at which it’s building will simply be TOO POWERFUL AND TOO WELL SHIELDED FROM ANY WEAPON BY US — ECONOMIC, TECHNOLOGICAL OR POLITICAL

So Putin is comfortable with the SMO so far

The Economy is safe, the SMO isn’t causing too many problems back home as people aren’t dying in such large numbers

Ukraine is resorting to terrorism and Putin is encouraging it because he wants a situation where the day he decides to exterminate Kiev with Civilian Strikes and kill women and children mercilessly, the Russians CHEER ON

Today Russians almost have relatives and friends in Ukraine in every family and would be horrified if Putin strikes Civilians

That would be a bad move

Instead let Ukraine keep striking more and more civilians and build the anger to a crescendo until at least, Putin calls a war on terror and wipes out 40% of Kiev with 800 Incediary Missiles and 150,000 Incendiary shells


So

Russia will move with China and form a Rival Bloc

30 Countries will be Pro West

16 Countries will be Pro Russia

The Rest of the 144 Counties will be Neutral albeit pretending otherwise

Russia will be intertwined economically with China , that’s inevitable

More Russian Industries will see Chinese partners and more Chinese Industries will welcome Russian Partners

Russia and China will form a political bloc in Geopolitics and use the combined influence — China’s financial muscle plus Russia’s Military and Energy muscle to combat the West


As for the SMO

Ukraine will launch a Counteroffensive

Ukraine will capture back some territory, maybe 20% by hitting Civilian targets like always

They will expand all the weapons they have managed as Russia will grind them down and kill them at 7:1

Once exhausted , Russia will launch its own offensive with its fresh 300,000 men now trained for almost 7 months to Ukraine’s 4 months with much more equipment and chock to the brim with missiles and ammo

By October — November — the SMO must conclude

Otherwise NATOs China Strategy will start being delayed

Meanwhile China would LOVE to see Ukraine conflict go on till 2025

The more NATO spends on Ukraine, the less it focuses on Taiwan and China gets a longer window to keep building it’s muscle


Russias future looks very interesting

I believe the whole world order will change in the next few years

I have more freedom in China!

2023 05 11 18 33
2023 05 11 18 33

Yes.

And we see this readiness on display every few months or so. Not, of course in the Western “news”. But yes, China has a very formidable military force.

But China’s military is defensive in nature. So it appears different than the offensive, invasions, and subjugation forces of the United States.

An observer might be under the mistaken impression, then, because China’s military acts and behaves differently than that of the United States, that it is not combat ready, but that is an illusion.

Paulie Pecker runs around all over the world putting his penis in everything that moves. This action is intimidating. And it makes many friends and enemies. But it sure is tiring, and Paulie Pecker might be everywhere, but he can only control so much.

Big Bob is different. No one knows what Big Bob can do. We all know that he has big arms, big legs, big head, and big stomach, and a really, really BIG bulge in the front of his trousers. But he just likes to stay at home, and so Paulie Pecker makes fun of Big Bob.

Who want’s to place bets?

Big Bob, or Paulie Pecker… on Big Bob’s front porch. What’s gonna happen?

Many changes all over the place

Today is a simple post. I hope that you enjoy it. Many changes all over the place. Too many actually, and much is NOT well reported on.

Also I have some of my AI art that I am playing with.

    • I want to compose a “pre painting sketch layout” with the software. (Examples of this are included in this post.)
    • Then run it though an enhancement software to flush out the faces, and layout.
    • Finally, then have some artists in Shenzhen paint up an actual painting from my effort.

Anyways, lets begin here…

Auditing…

Iran and Saudi are auditing each others intelligence records.

They are trying to verify if each side engaged in attacking the other party, if not, then it must be US/Israel providing fake intelligence and committed the crimes themselves.

2023 05 10 15 28
2023 05 10 15 28

My rent went up until it was more than my income, so I lost my home.

I took vacation time from work to ‘figure things out’, but the reality was that I spent three days on the streets, not knowing how to survive, basically just having a complete mental and emotional breakdown.

When you are evicted, no one tells you where the homeless shelter is, even if you tell the magistrate or judge or whoever is meeting with you and your landlord to evict you that you have nowhere to go. They simply do not care. It’s not part of their job to care (but it is – if they’re human. It’s all humans’ jobs to care about one another!).

After my third police contact in three days, one of the officers finally told me where the homeless shelter was and told me that I needed to go there. WHY this wasn’t their very first response to being called on someone who clearly didn’t know how to be homeless, I do not know. I guess it’s not their job to care, either (but it is – if they’re human. It’s all humans’ jobs to care about one another!)

Sadly, the police will not give you a ride to the homeless shelter, but they will give you directions. It took me the better part of two days to get there, but I made it!

I was filthy, incredibly hungry and absolutely exhausted. I was TERRIFIED. I walked in the front door and was told to write my name down and they’d put me on the waiting list to get in. THERE WAS A WAITING LIST. I was then told I had to come back every day at 1pm to keep my place on the waiting list to get into the shelter.

I was number 112 – maybe? I don’t know for sure anymore. I have never been to a shelter where I have been able to just walk in. There have always been over 100 women in front of me, also waiting for someone to leave so they can stay at the shelter. I do know that 112 was the lowest waiting list number I ever received.

I walked outside and had yet another complete breakdown.

And that’s when my guardian angel appeared. Her name was Sandra. She was 67 years old and had COPD. She was already staying at the shelter, but only had ten days left before she’d time out and had to leave. So she literally took me by the hand, pulled me up, hugged me and told me it would be OK. She spent the next week teaching me how to be homeless and how to live outside safely.

I finally got into the shelter nine weeks after getting on the waiting list.

In those nine weeks, I learned where to shower for free between certain times on certain days, where to go to get a couple of blankets for a bed roll – maybe even a sleeping bag, if they had any! – and where to go for a meal between 11am and 1pm every day. I learned where to go to get a bus pass if I needed one for an appointment or to get to a job interview (but not to work, for some reason) and how to get my ID and birth certificate replaced when they disappeared.

I learned how to set up my little cart so that my personal possessions and survival gear would be kept relatively safe, clean and dry while also allowing me to access needed items quickly and easily. I learned to move frequently for safety reasons and which of my fellow homeless were safe and which were not. She showed me where there were places other than the library where I could get a book to read for free.

I lost my job and found a new one in those nine weeks. I read “The Divine Comedy” by Dante Alighieri and “The Life and Opinions of Tristram Shandy” by Laurence Sterne and many other works of Western Literature because there is a college nearby and those kids love throwing those books out. I was reading Kalidasa when I got the job I still have. I later learned that when they came to fetch me from reception into the interview room and saw that book in my hands and how completely engrossed I was in it, well that’s what made them decide it was worth giving me a chance. Literature really CAN open doors!

By the time I actually got into the shelter, all it really had to offer me was head lice and sleeping in a room full of total strangers (some of whom were clearly dangerously unstable) for up to 30 days every calendar year. I learned how to deal with head lice while homeless, too. I also learned that even dangerously unstable people have value. They’re still people, so of course they do!

Every time I have been to a shelter, it has been a negative experience for me. They are not safe- they suffer the frequent infestations that one would expect from cramming a bunch of humans who have been living outside into small spaces. One person wandering in (with anything from the flu to tuberculosis) can cause whatever illness they brought with them to rip through all 250 homeless people in the shelter in mere days as our immune systems are compromised due to chronic exposure and malnutrition.

I now avoid staying at shelters unless I have no other choice.

Shelters do serve an important function, though. They are somewhere for homeless people to gather and exchange information and they are also frequently clearinghouses for other services available in the community. Unfortunately, because I am the privileged class of homeless – I make too much to be eligible for any sort of help, but not enough to qualify to rent an apartment – the shelter doesn’t have any services to offer me. However, they’re also places where the homeless can find others that are homeless and make friends.

Sandra saved my life – the shelter helped just by being there and letting her stay in it. Sandra died a few years later as a result of COPD, a mere two weeks after being accepted into a transitional housing program. She had her own little cottage – it was so tiny! – and she was busy turning it into a home, as she could stay there for two years. It was right before Christmas and I’d taken her a little rosemary plant in a pot that had been trimmed and then decorated to look like a Christmas tree. I was devastated by her death.

I was glad she got to die inside. Not everyone does.

I go to the shelter where I met Sandra frequently now, just to look for women who clearly need help learning to survive. As we wander from place to place, I always tell them “This is what Sandra taught me to do when this happens” or “This is where Sandra took me when I had this problem – maybe they can help.” Eventually they ask me “Who’s Sandra?” – and I tell them.

That’s how I came to be in a shelter and Sandra became immortal.

Edit: Wow, this is overwhelming! I’ve had a lot of questions and comments I’d like to address, but as I said – it’s overwhelming. I also have to get ready and go to work. I do promise to come back and answer some questions in another edit soon. I simply need time to process everything and figure out how to answer effectively. One at a time probably isn’t going to be the effective way, though I really do wish that were the case.

The important part for now though – I’m OK. Thank you so much to all who are so concerned. It’s very touching, in all the right ways, to see other people really do care. It gives me hope that can be easy to lose sometimes, so I’ll just keep it here with me – k? 🙂 I’m secure in my own personal strength now, so I will be OK no matter what – even if I don’t always start out OK.

Saudi Crown Prince No Longer Interested in “Pleasing” the U.S. ━ The European Conservative

The apparent deterioration in US-Saudi relations comes amid a recent worldwide trend toward de-dollarization with key, ascendent geopolitical actors, including but not limited to China, Russia, India, and Brazil all taking steps to reduce their use of the U.S. dollar.

 

Chip wars

He who has the largest market owns the market. The US is insane because all the US will end up is a bunch of chips with no buyers.

There is a reason Samsung profits dropped 96%. Samsung, Micron, and SK Hynix have been ripping us consumers off for the last 5 years by intentionally limiting supply of NAND chips.

Well, that is over now that China can produce the latest generation nand chips. So Samsung lost their market and they can’t sell their chips that they are producing in the hundreds of millions.

And it is going to get worse for Samsung. It will be a nightmare for Micron. Because Micron got the US government to use national security to stop Apple from using YMTC chips. There is a security review of Micron in China. Basically China is going the same thing to Micron as the US did to YMTC.

The problem is that the market in China is much much larger than the US. So with the Micron ban, Micron stands to lose upto 50% of their revenues. Great move Micron. At least before they would have been able to fight for market share. Now the entire Chinese market is gone.

So Micron, Samsung, and SK Hynix can compete for the US market.

The great news is that SSD are about to become really really cheap. Even cheaper than it is now. 1TB SSD went from $300 USD to $50. I was shocked when I checked. The question is how low can it go? They can’t just let the chips sit in warehouses.

And the US might ban Samsung to save Micron. Essentially give the US market to Micron so Micron can make some kind of profit. Oh well, Being a US lapdog, you have to take the hit for the US.

 

It won’t be long

2023 05 09 22 16
2023 05 09 22 16

Gringo Nachos

Unlike regular nachos, these are served as an entree. Melty cheese covers roasted potatoes, bacon and caramelized onions. The amounts are as desired.

2023 05 07 09 23
2023 05 07 09 23

Ingredients

  • Red potatoes
  • Bacon, sliced into 1 inch pieces
  • Large yellow onions
  • Garlic powder
  • Cheddar cheese, grated
  • Sour cream
  • Green onions, sliced

Instructions

  1. Roast red potatoes, then cube and sauté with bacon.
  2. Meanwhile, caramelize onions. Halve and slice onions.
  3. Coat 12-inch skillet with cooking spray. Over medium heat, cook onions in oil for 15 to 20 minutes, stirring occasionally, or until soft and golden. Stir in garlic powder, per taste.
  4. Place potatoes on a rimmed cookie sheet.
  5. Add caramelized onions on top of potatoes.
  6. Sprinkle lots of grated Cheddar cheese over the top.
  7. Bake for 5 minutes at 350 degrees F or just until the cheese is melted.
  8. Garnish with dollops of sour cream and green onions.

Ron Paul | Infowars: There’s a War on for Your Mind!

NATO’s post-Cold War history is that of an organization far past its “sell-by” date. Desperate for a mission after the end of the Warsaw Pact, NATO in the late 1990s decided that it would become the muscle behind the militarization of “human rights” under the Clinton Administration.

Gone was the “threat of global communism” which was used to justify NATO’s 40-year run, so NATO re-imagined itself as a band of armed Atlanticist superheroes. Wherever there was an “injustice” (as defined by Washington’s neocons), NATO was ready with guns and bombs.

The US military-industrial complex could not have been happier. All the Beltway think tanks they lavishly fund finally hit on a sure winner to keep the money pipeline flowing. It was always about money, not security.

The test run for NATO as human rights superheroes was Yugoslavia in 1999. To everybody but NATO and its neocon handlers in DC and many European capitals, it was a horrific, unjustified disaster. Seventy-eight days of bombing a country that did not threaten NATO left many hundreds of civilians dead, the infrastructure destroyed, and a legacy of uranium-tipped ammunition to poison the landscape for generations to come.

Just last week tennis legend Novak Djokovic recalled what it felt like to flee his grandfather’s home in the middle of the night as NATO bombs fell and destroyed it. What a horror!

Then NATO got behind the overthrow of the Gaddafi government in Libya. The corporate press regurgitated the neocon lies that bombing the country, killing its people, and overthrowing its government would solve all of Libya’s human rights problems. As could be predicted, NATO bombs did not solve Libya’s problems but made everything worse. Chaos, civil war, terrorism, slave markets, crushing poverty – no wonder Hillary Clinton, Obama, and the neocons don’t want to talk about Libya these days.

After a series of failures longer than we have space for here, DC-controlled NATO in 2014 decided to go all-in and target Russia itself for “regime change.” First step was overthrowing the democratically elected Ukrainian government, which Victoria Nuland and the rest of the neocons took care of. Next was the eight years of massive NATO military assistance to Ukraine’s coup government with the intent of fighting Russia. Finally, it was the 2022 rejection of Russia’s request to negotiate a European security agreement that would prevent NATO armies circling its border.

Despite the mainstream media and US government propaganda, NATO has been about as successful in Ukraine as it was in Libya. Hundreds of billions of dollars have been flushed away, with massive corruption documented by journalists like Seymour Hersh and others.

The only difference this time is that NATO’s target – Russia – has nuclear weapons and views this proxy war as vital to its very existence.

So now despite its legacy of failure, NATO has decided to start a conflict with China, perhaps to take attention off its disaster in Ukraine. Last week NATO announced that it will open its first-ever Asia office in Japan.

What next, NATO membership for Taiwan?

Will Taiwan willingly serve as NATO’s newest “Ukraine” – sacrificing itself to China in the name of blundering NATO’s seemingly endless appetite for conflict?

We can only hope that America will elect a president in 2024 who will finally end NATO’s deadly world tour.

This article first appeared at RonPaulInstitute.org

Youngsters would call it a Badass piece of Legislation meant to Compete with China

It involves investing a huge sum of money – almost $ 200 Billion into various avenues meant to disrupt Chinas dominance as a Worlds Supply Chain Depot over the next 5 years

It aims to spend $ 50 Billion to start Semi Conductor manufacture in other countries like India or Vietnam to replace the 45 – 100 nm Chips that China is churning out by the milllions.

It also gives unilateral powers to the President to Impose on any Entity or Person without the State Department or Commerce Departments or any Departments collaboration. This means Biden can sanction China directly (The Country, not the Officials)

Believe me – If Implemented well- It could be a big big win for India and could create as many as 20,000 Skilled Jobs initially and if we really were to take advantage of the US Investments – we could replace China in making 45 – 100 nm Chips by say 2026–2027 which would be a surge to our GDP.

It would also mean a lot of Stones and Balls for the Genial Old Man who has faced massive setbacks with his ambitious infrastructure spending plans becoming the equivalent of Trumps Wall.

Yet it is very ambitious because China is always 4–5 steps ahead.

Also China makes the Worlds Best 45 – 100 Nm Chips and to trust India or Vietnam to be able to harness and develop the same tech in even 6 years is super ambitious especially knowing that there is almost very little profit except in Bulk Quantity.

And there is also an added problem – China is a huge market for 60% of the Cars which use these Chips so if China says Sorry well only buy Chinese – then the chances of any big scale manufacturing would be shot to hell.

So at this stage its – Match on – Xi vs Biden

Biden has put things on Paper – We have to see if he has some way to Implement the same thing.

“We’ve NEVER seen anything like it” – The Bud Light BOYCOTT just got worse

2023 05 07 09 28
2023 05 07 09 28

The IRS decides to audit Grandpa, and summons him to the IRS office. The IRS auditor was not surprised when Grandpa showed up with his attorney.

The auditor said, “Well, sir, you have an extravagant lifestyle and no full-time employment, which you explain by saying that you win money gambling. I’m not sure the IRS finds that believable.”

“I’m a great gambler, and I can prove it,” says Grandpa. “How about a demonstration?”

The auditor thinks for a moment and says, “OK. Go ahead.”

Grandpa says, “I’ll bet you a thousand dollars that I can bite my own eye.”

The auditor thinks a moment and says, “It’s a bet.”

Grandpa removes his glass eye and bites it. The auditor’s jaw drops.

Grandpa says, “Now, I’ll bet you two thousand dollars that I can bite my other eye.”

The auditor can tell Grandpa isn’t blind, so he takes the bet.

Grandpa removes his dentures and bites his good eye. The stunned auditor now realizes he has wagered and lost three grand, with Grandpa’s attorney as a witness. He starts to get nervous.

“Want to go double or nothing?” Grandpa asks. “I’ll bet you six thousand dollars that I can stand on one side of your desk, and pee into that wastebasket on the other side, and never get a drop anywhere in between.”

The auditor, twice burned, is cautious now, but he looks carefully and decides there’s no way this old guy could possibly manage that stunt, so he agrees again. Grandpa stands beside the desk and unzips his pants, but although he strains mightily, he can’t make the stream reach the wastebasket on the other side, so he pretty much urinates all over the auditor’s desk.

The auditor leaps with joy, realizing that he has just turned a major loss into a huge win. But Grandpa’s attorney moans and puts his head in his hands.

“Are you OK?” the auditor asks.

“Not really,” says the attorney. “This morning, when Grandpa told me he’d been summoned for an audit, he bet me twenty-five thousand dollars that he could come in here and pee all over your desk and that you’d be happy about it.”


Don’t mess with old people!

US officials scramble to slow China’s advances – Asia Times

It was the ultimate chip war that never was: German officials denied that Berlin planned to stop exporting specialty chemicals for chip fabrication, Reuters reported on April 27 – a day after Bloomberg News claimed that the government of Olaf Scholz “was in talks” on the subject, presumably under prodding from Washington. The stock prices of BASF and Solvay, the largest makers of the specialty products, plunged on Thursday after the Bloomberg report appeared but recovered sharply on Friday after the government’s denial. More than a dozen chemicals including acids, bases and solvents are indispensable to etching microcircuits onto silicon wafers, and an interruption of supplies would cripple China’s fabrication capacity.
From HERE

Many Americans may be too young to remember what happened in the 1970’s. Up to that time, state governments maintained a network of public mental hospitals that took care of the mentally ill. The critics at the time said that the care was inadequate and treatment non-existent, and so they said that the mental hospitals were just “warehousing” hundreds of thousands of mentally ill people.

This caused a major popular backlash in the mid-1970’s. Movies like “One flew over the cuckoo’s nest” helped to sway the public into seeing the public mental hospitals as wasteful and dangerous places.

So what happened was the political Left (liberals) wanted to shut the public mental hospitals down and move the mentally ill to community mental health centers that would be built near where people lived. They believed that doing so would improve the care and would result in more humane treatment of the mentally ill.

The political Right (conservatives) saw a big chance to shut down the public mental hospitals and save billions of dollars of “wasteful” government spending and cut taxes.

This was a rare moment of consensus across the political spectrum. So what happened?

During the second half of the 1970’s, throughout the United States, states closed and emptied out the public mental hospitals. When the Left tried to get funding to open community mental health centers, the Right blocked it as wasteful spending, and the neighborhoods where those centers were to be located rose up in protest in the classic case of NIMBY (Not In My Back-Yard).

As the result, the mentally ill ended up with their families that were quickly overwhelmed with responsibility that they were unprepared for. After all, these families placed their mentally ill family members in the public mental hospitals because they could not properly care for them. Even now, most private health insurance in the US do not pay for long term mental health treatment. Without medication to treat the illness, the afflicted lose touch with reality.

Soon we began to see homeless people everywhere.

During the 1980’s the political Left pointed to the growing number of homeless people as the case of economic inequality and blamed the policies of the Republican administration of President Reagan. The political Right basically gave a collective shrug since homeless people rarely vote. And everyone conveniently forgot how we got there.

Since then this problem is being taken care of in the most inefficient and inhumane manner.

We know that the majority of long term homeless suffer from either untreated mental illness or severe case of substance addiction either to narcotics or alcohol (or all of the above). They frequently get into minor legal trouble that result in incarceration at the local county jail where people that have committed minor offenses are held.

As the result, in many urban counties, the majority of the county jail inmates are mentally ill people. Since jails are not mental hospitals, their psychiatric conditions are often not treated properly. Once they are released, they stop taking their medication, if they were given any at all. So without medication, their mental illness comes swiftly back, and unable to deal with reality they quickly go back to living on the streets.

This means that many of the mentally ill in America are being warehoused in county jails with no treatment for their condition. The irony is that the money that we were supposed to save by closing the public mental hospitals is in fact paying to place the mentally ill in jail which are far more expensive and far less humane.

This problem is exacerbated by well-intended efforts by mental health advocates to protect the rights of the mentally ill. As the result, it is nearly impossible in the US to commit a mentally ill person to long term mental health treatment. Without such treatment, these people go in and out of the mental health care system or the county jail in between long periods of living homeless in the street. Truly “the road to hell is paved with good intentions”.

This is a tragic and disappointing aspect of America that I think not enough people know or care about.

I found these links that provide additional information:

An archived NY Times article that describes the de-institutionalization of the mentally ill and the subsequent consequences: HOW RELEASE OF MENTAL PATIENTS BEGAN

A discussion of the effects of de-institutionalization in America: Learn About Deinstitutionalization, the Causes and the Effects

A Wikipedia entry that discusses the link between mental illness and homelessness: Homelessness and mental health – Wikipedia

24 Nations Align Against US Dollar As BRICS Looks to Launch New Global Currency

A total of 24 nations are now looking to build a strategic alliance that will challenge the US dollar’s decades-long role as the world’s reserve currency.

The group of five economically-aligned countries collectively known as BRICS is reportedly on the cusp of a massive expansion.

The core collective consists of Brazil, Russia, India, China and South Africa – and a surge of nations interested in joining the alliance would bring the total number of nations to 24.

That’s according to South Africa’s BRICS ambassador, Anil Sooklal, who tells Bloomberg that a long list of nations are now looking to join in.

Sooklal says the list includes 13 countries that have formally asked to join and an additional six countries that have informally requested to be part of the alliance.

The group of known newcomers includes Saudi Arabia, Iran, Argentina, the United Arab Emirates, Algeria, Egypt, Bahrain, Indonesia, two unnamed nations from East Africa and one from West Africa.

BRICS was formed back in 2006, and the original group did not include South Africa, which joined in 2010.

According to the Russian state-owned news agency Sputnik, BRICS is in the early stages of developing a new global currency that would circumvent the US dollar.

Russian State Duma Deputy Chairman Alexander Babakov says the new form of fiat will likely be backed by other assets including precious metals like gold.

Additional details are likely to emerge by the summer, with the next BRICS summit set for South Africa in late August.

Oh SH*T, The gloves just came OFF

Large NATO Air Deployment w/ Nuke-Sniffer Aircraft

Something seems to be “up” around the Russia-Ukraine conflict.  A large NATO air deployment is presently active; far larger than most prior air saturation.

We begin with A US Air Force “Constant Phoenix” which is a nuke-sniffer aircraft, running an odd air search pattern off the coast of the United Kingdom.  This aircraft takes air samples to detect the presence of nuclear material.  As seen on e radar tracking image below, the plane seems to be searching for what __may__ be a deployed Russian POSEIDON nuclear drone, or submarines that carry such a drone.

Russia invented this unstoppable nuclear torpedo drone with the idea it can detonate a kilometer beneath the sea so as to generate a “nuclear tsunami” against the coastline of an enemy.  If that were to take place, a wave upwards of 1500 METERS tall (4500 feet) would wash-up on an enemy coastline, carrying deadly levels of radiation, and utterly smash everything within about twenty MILES of a coastline.

Worse, because that water would carry heavy doses of radiation, the enemy country would be unable to accommodate life for thousands of years!

Here’s the aircraft search patten:

2023 05 10 10 5d0
2023 05 10 10 5d0

Next, we turn attention to a US “Globalhawk” drone, now probing deep into the Black Sea.

With call sign FORTE11, this flight seems unusual because in the past they have usually stuck to the Romanian Coast.
This appears to be a very aggressive move, leading some observers to conclude “Something is about to happen.”

Here’s that flight map:

2023 05 10 10 5eded0
2023 05 10 10 5eded0

In addition, the following NATO aircraft are also up:

-USAF E8C Joint STARS REDEYE6

-USAF KC135R 63-8039

-USAF KC135R 58-0092

-RAF RC-135W Rivet Joint RRR7209

-USAF RQ-4B Global Hawk FORTE11

-Italian Air Force G550 AEW PERSE71

Here is the flight radar map of those aircraft:

2023 05 10 10 ref51
2023 05 10 10 ref51

 

Of significant interest in the aircraft above is the Royal Air Force “Rivet Joint.”   The RC-135W Rivet Joint is a dedicated electronic surveillance aircraft that can be employed in all theatres on strategic and tactical missions. Its sensors ‘soak up’ electronic emissions from communications, radar and other systems.

NATO has also deployed a spy plane into Finland, spying all up and down the Russian Border:

2023 05 10 10 51
2023 05 10 10 51

Also of import is a Turkish Air Force “Peace Eagle” Early Warning and Control aircraft patrolling from Romania:

2023 05 10 10 5w1
2023 05 10 10 5w1

he Boeing 737 AEW&C “Peace Eagle” is a twin-engine airborne early warning and control aircraft based on the Boeing 737 Next Generation design. It is lighter than the 707-based Boeing E-3 Sentry, and has a fixed, active electronically scanned array radar antenna instead of a rotating one.

Fueling all of these aircraft are two airborne StratoTankers:

2023 05 10 1q0 51
2023 05 10 1q0 51

Tensions are now running very high between NATO and Russia.   It seems to several observers that EITHER:

a) The Ukraine Offensive may be about to begin, OR

b) NATO is fearing a Russian first strike.

The world has __never__ been this close to an actual nuclear conflict.

Ground Beef and Potato Picadillo
(Ground Beef and Potato Filling)

Use as a filling for soft tacos, flour tortilla tacos, enchiladas, chiles rellenos and empanadas.

2023 05 07 09 26
2023 05 07 09 26

Ingredients

  • 1 1/2 tablespoons olive oil
  • 1/2 cup onions, minced
  • 1/2 cup potatoes, cut into 1/4-inch pieces and rinsed
  • 1 1/2 pounds very lean ground beef
  • 4 cloves garlic
  • 1 teaspoon Mexican oregano
  • 1/2 teaspoon cumin
  • 1 1/2 teaspoons chile powder
  • 1/2 teaspoon salt, or to taste

Instructions

  1. Heat a skillet over medium heat and add the olive oil, onions and potatoes. Cook the onions until they are soft, but not browned, and add the ground beef in small pieces. Brown the meat, breaking it up as it cooks.
  2. Grind together the garlic, oregano and cumin in a molcajete or mortar and pestle and stir it into the meat.
  3. Next, add the chile powder and salt.
  4. Turn the temperature to very low, cover the skillet, and simmer the filling for 20 minutes, stirring occasionally.

Some of my art…

MM art from DeepAI.

I’ve been playing around with this app, and am generating rough images to then build upon and compose with other systems to eventually obtain decent art. Right now, the systems shows promise.

Colors and nature are good. However, the people, and animals are terrible.

Composition and layout is terrible.

But it does show promise.

cowboy 4
cowboy 4

hd cowboys 3
hd cowboys 3

cowboys on mountain HD enhanced
cowboys on mountain HD enhanced

@HD enhanced 2023 05 09 22 10
@HD enhanced 2023 05 09 22 10

hd expanded 2023 05 09 17 28
hd expanded 2023 05 09 17 28

hd expanded 2023 05 09 17 25
hd expanded 2023 05 09 17 25

hd enhanced 2023 05 09 16 29
hd enhanced 2023 05 09 16 29

HHD enhanced 2023 05 09 15 53
HHD enhanced 2023 05 09 15 53

hd enhanced 2023 05 09 15 50
hd enhanced 2023 05 09 15 50

@HD enhanced 2023 05 09 15 45
@HD enhanced 2023 05 09 15 45

@HD enhanced 2023 05 09 15 39
@HD enhanced 2023 05 09 15 39

@HD enhanced 2023 05 09 15 28
@HD enhanced 2023 05 09 15 28

@HD enhanced 2023 05 09 15 20
@HD enhanced 2023 05 09 15 20

2023 05 08 21 44
2023 05 08 21 44

2023 05 08 21 43
2023 05 08 21 43

2023 05 08 21 4d2
2023 05 08 21 4d2

2023 05 08 21 41
2023 05 08 21 41

LQShQryW
LQShQryW

2023 05 08 21 35
2023 05 08 21 35

2023 05 08 21 33
2023 05 08 21 33

2023 05 08 21 28
2023 05 08 21 28

2023 05 08 21 25
2023 05 08 21 25

2023 05 08 21 23
2023 05 08 21 23

RJO1MwVf
RJO1MwVf

JvoV2tbw
JvoV2tbw

yQZvzvFw
yQZvzvFw

woBfBbA3
woBfBbA3

W Wau283
W Wau283

The freefall of China-Canada relations

China’s relations with Canada are in freefall, although that itself is hardly anything new. Following interference in the country’s politics by US military-industrial complex funded think tank, CSIS, a Chinese diplomat has been “accused” (without due evidence) of intimidating Canadian Conservative MP Michael Chong, for previously having sponsored a motion concerning the Xinjiang region. Following a media firestorm, Canada’s foreign ministry then announced on Monday night that they had expelled Chinese diplomat Zhao Wei from the country.

In addition to this, Canada has also alleged repeatedly that China is “interfering” in its elections. The explosion of McCarthyist paranoia in Ottawa has brought diplomatic relations to a standstill in a way unparalleled with the rest of the “five eyes” or Anglosphere (bar the US). In November, Xi Jinping also had a publicized confrontation with Canada’s Prime Minister Justin Trudeau on the sidelines of the G20 summit, where he appeared to chastise him. This only amounts to further turbulence following the saga with Meng Wangzhou and the “two Michaels”, following the arrest of the Huawei CFO in Vancouver at the behest of the United States.

The collapse of China-Canada relations has been aggravated by multiple factors. It didn’t used to be this way. On the surface, it may have seemed Canada would be theoretically the most culturally amicable country to China out of all the “five eyes”, this is because as a percentage of the population, it has the largest Chinese community, which constitutes 4.6%. But those who assume such do not know a lot about Canada. Although it frames itself as a benevolent and progressive country, gaining admirers around the world, the reality is that Canada is for all intents and purposes, built upon the legacy of being an extremely racist and elitist country, once which of course remains in lingering controversies over what it did to its indigenous population.

Although modern Canada has become more liberal and multicultural, this elitism remains beneath the surface and exists subtlety, as opposed to explicitly. Not only that, but the sheer realities of geography and scale in terms of power, also make Canada wholly subservient to the United States. It was in the year of 2018, when Canada-China relations were going fairly well beforehand, that the US ultimately decided to do a demolition job on its relationship with Beijing. By demanding Ottawa arrest Meng Wangzhou, they locked Canada into a diplomatic crisis with China which led to the retaliatory arrest of the two Michaels, provoking an outpouring of negative sentiment against Beijing. The stunt was orchestrated by John Bolton, and was aptly designed not only as part of the US campaign against Huawei that was emerging at that time, but of course creating geopolitical tensions which “forced” countries to take sides.

Even though that issue has long since been resolved, Canada-China ties have arguably never recovered from it, and the latest wave of negative sentiment is stemming from US-based think tanks making allegations of political interference, whipping up a climate of Sinophobia and paranoia against the country’s Chinese population by claiming it seeks to influence the country against making Anti-China decisions, while ignoring, of course, the ironic elephant in the room that this is coming from Washington. The United States can effortlessly shape Canada’s environment, control public discourse and therefore by extension, influence policy. There seems to be no qualms about this, because it doesn’t truly fit the mantra of a “yellow peril”.

It remains unclear how exactly China-Canada relations can escape from this downward trajectory, especially given the reality that the Liberal Justin Trudeau government is still likely to be less hawkish on China that the even more ardently pro-US Conservative Party of Canada ever will be, with any successor likely to be akin to a “Scott Morrisson” government in Australia who will take a much harder line. Similarly, Beijing is likely to respond to the recent events by retaliating further against Canada, and perhaps even wielding economic measures. But none of this is helpful, and only creates a more tenuous situation. Ottawa after all is a gatekeeper to CPTPP, which China is applying to join, and its reasons to approve it are minimum as it is given the existence of Washington’s “poison pill” clause in the NAFTA which allows it to terminate the bloc should Canada enter into a deal with a “non-market economy” (i.e China). Thus, there is a desperate need for “cool heads” to prevail, but given the past few years, and given Canada’s own position, there is little optimism at this time to assume that will happen.

China has 2142 registered Homeless Shelters – each capable of accomodating between 250 to 6000 Homeless persons, feed them twice a day and put them to work in the Homeless Labor Program for upto 1 year following which they will be integrated into the National Labor Force.

Until the COVID 19 Crisis – the Shelters averaged between 61–80% occupancy but since COVID 19 Crisis – the Shelters average between 154 – 227% occupancy.

Chinese Social Services has 31400 welfare workers to take care of Homeless People and guide them to the shelters.

China has 18 people per 10000 classified as Homeless while US has 17 people per 10000 classified as Homeless.

The difference is in China – 93% of the Homeless People are sheltered whereas in the US – only 57% of the Homeless People are sheltered (43% are on the streets).

There is a fundamental reason for this

A State Welfare vs Organizational and Individual Welfare

In China – the Shelters and Case Workers are 100% funded by the State. The Food for the Homeless is funded by the Chinese Government and paid out of Yeibo tax levied from the Taxpayers.

In US – the Social Services Workers are Government Funded but everything else from Food to Shelter is funded by Individual Trusts and Pro Bono outfits and Charity outfits for Tax purposes and Religious Charities.

As a result US has a more limited response to welfare workers

B Parasite Law in China

In China – a Homeless worker will mandatorily provided work by the CCP under its Homeless Labor Program for upto 1 year (Unless worker shows financial capability to withdraw from the Program to a better life). The Worker can deny only 2 jobs. If the Worker denies a third job – then the Worker will be classified as a Parasite and imprisoned and forced to work as a Detained Laborer only being fed and sheltered and paid a bare minimum.

Any Homeless worker who merely sleeps without working will be classified as a Parasite and will be detained and forced to work as a Detained Laborer. Sometimes this involves upto 7 years in a far off northern province.

A Homeless person who has a child will forcibly be separated from her child if declared a Parasite and the child put up for Adoption either Nationally or Globally.

Thus most of the Homeless people are forced to ensure that they do what the Govt tells them and work where they are told to work (Farming Labor, Unskilled Labor like Sweeping etc).

IN the US – there is no such law. There is Freedom to be homeless and sleep in the park or under a bridge with little legal intervention.

C Reasonable Laws vs Capitalism

In China – the main reason for Homelessness is Rural Migration to Cities. Most such migrants are caught and deported to the Villages and a handful are taken to the Shelters.

In US – the main reason for Homelessness is EVICTION and MENTAL HEALTH.

In China – the Laws regarding Eviction are far more leninent. The Evictions are almost always Forced since the land is in most cases leased and not owned by Individuals (Only 7% of the Land in China is freely owned, 93% is leased and belongs to the State). In every single case of Eviction – there is always alternate property being offered to the persons being evicted. There is also a compensation paid for moving reasons. Hence over 80% of the people move to new places under Forced Evictions. 20% who dont want to move may end up homeless and these will slowly be rounded up and forced to move to the new locations

In US – the Laws are clear. Evictions are always there and people sleep in their cars after being evicted. It is believed that without an additional stimulus program by the Congress – US will have nearly 750000 people evicted from their homes due to COVID 19.

D Old Age Laws

In China – Persons older than 75 years old (Or 82 Years old depending on Province) are looked upon as Children and have a Pension System that is very reasonable.

Besides China has a law wherein Children who don’t contribute to their parents monthly income or upkeep or maintenance or who don’t keep their parents with them may be charged with up to 3 years imprisonment by the Court of Family and Welfare

In US – Old Persons is abandoned should either be lucky enough to find a charity that cares or simply die. There is no provision.

So though CHINA has almost as much of a Homeless Problem as the US – China handles its Homelessness far better than the US.

What Australia Did to China

Stabbed Huawei in the back, among other things.
May 10, 2023
.

No other country in the world – not Taiwan, Japan or South Korea – is talking about the likelihood of war with China on a day-to-day basis. Only Australia.

–Natasha Kassam, Lowy Institute.

.

2023 05 10 21 36
2023 05 10 21 36

The Australian, above, lists the names and photographs of 32 local academics who had ‘been recruited to the Thousand Talents Plan…or [who] registered their intellectual property in China’. Emphasizing that many had also received Australian taxpayer-funded research grants, and most were ethnic Chinese, the ASPI report was widely cited as authoritative, and well-known China hawks provided quotes. Andrew Hastie, then-chair of parliament’s joint intelligence committee, contended that Australian research and IP was ‘being plundered

by the CCP [Chinese Communist Party]’. The current chair, James Paterson, said they were ‘profoundly disturbing revelations’.  They also turned out to be untrue.

The outburst was one of hundreds of similar episodes since 2019 where Australia has actively sought to harm its relations with China and China itself. But when Beijing offered to discuss its concerns, Canberra censored them so that the public was unaware of them and lied, “The fourteen items identified by the Chinese embassy document are seen by the Department of Foreign Affairs as key to Australia’s national interest and non-negotiable.. the government makes sound decisions in our national interest and in accordance with our values and open democratic processes”.

A History of Violence

No democratic process was involved, of course. China’s points are still unanswered and, apparently, still non-negotiable. Although Canberra politicized Chinese trade and investment and violated market principles for decades, between 2015-2020 China consistently lowered tariffs on Australian products until 95% enjoyed zero duties.

But Canberra has a long history of violence, invasion, and exploitation of Asian neighbors including, recently, robbing the world’s poorest country, Timor Leste. The list below, though incomplete, is representative of Australia’s pettiness, nastiness and stupidity:

  1. In contravention of ChAFTA, since 2019 Australia rejected a dozen Chinese investment projects and restricted areas like infrastructure, agriculture and animal husbandry on ambiguous, unfounded (and insulting) “national security concerns”.
  2. Australia launched 107 anti-dumping and anti-subsidy investigations of Chinese products, more than any of China’s other trading partners.
  3. Australia politicized and stigmatized normal exchanges and cooperation, created barriers, and imposed restrictions like revoking visas for Chinese scholars, in parallel with America’s identical, failed witch hunt.
  4. Knowing that Covid-19 was endemic in Europe and the US before it reached China, Beijing requested a meeting with Australian officials prior to PM Scott Morrison’s press conference, to answer any questions and supply any data, but was ignored.The PM then told the media that international inspectors should be allowed access to China, “Weapons inspector-style, with the ability to kick open doors”.
  5. Australia was the first non-littoral country to criticize China’s behavior in the South China Sea at the UN.
  6. Australia outdid the US in demonizing the PRC’s Xinjiang and Hong Kong policies, while publicly alleging Chinese cyberattacks – none of which was ever proven, or even questioned.
  7. Australian politicians and media fell silent when the US refused to sign the UN resolution permitting WHO investigations like China’s.
  8. Canberra cancelled several BRI agreements with China with no prior notification,
  9. Canberra repeatedly sent Australian vessels to US “freedom of navigation exercises” near the Chinese coast.
  10. Australia spearheaded a crusade against China in multilateral forums, like the United Nations, where it voted against China at every opportunity.

2023 05 10 21 38
2023 05 10 21 38

  1. Canberra paid anti-China think tanks to spread false reports and peddle unsubstantiated allegations about Xinjiang, Hong Kong, Hong Kong, and Taiwan.
  2. Canberra funded investigations into so-called ‘China infiltration’ designed to manipulate public opinion against the country.
  3. Australian police made pre-dawn searches and conducted reckless seizures in Chinese journalists’ homes without charge, explanation, or apology.
  4. Australian politicians made repeated, false allegations about Chinese cyber attacks.
  5. Australia condoned and repeated government-funded NGOs’ outrageous condemnations of the governing party of China.
  6. Australia shrugged off hundreds of racist attacks against Chinese and Asian people.
  7. During a riot started by US and Taiwanese agents in the Solomon Islands, Australian officials told Solomons PM Sogovare that they would not protect Chinese infrastructure projects.
  8. When an Australian politician, Shaoquette Moselmane MP, repeated the WHO’s praise of China’s Covid Zero, forty police arrived at his home and stayed for 13 hours. They brought sniffer dogs, took hair and dust samples from his car, searched the car engine and door rubbers, had a helicopter hovering and raided his parliamentary office, and froze the Moselmane family’s bank accounts. Minister of Defence Peter Dutton told a reporter, “You can’t have an allegiance to another country and pretend to have an allegiance to this country at the same time”. No charges were ever brought against Mr. Moselman, nor apology made to his terrified family.
  9. Australia stigmatized normal cooperation and imposed restrictions, like the revocation of Chinese scholars’ visas – which caused a scandal in China
  10. Australia launched intimidatory predawn searches and reckless seizures of Chinese journalists’ homes and properties without charge or explanation.
  11. Australia’s national lab, the CSIRO, told staff it will not renew its climate research partnership with the Qingdao National Marine Laboratory, following an assertion by ASIO’s Mike Burgess that ocean temperature modelling could assist submarine operations against Australia (a decision met with robust criticism by Australian scientists).
  12. In 2017, the Solomon Islands wanted to lay a cable between Honiara and Sydney.  “This was seen as a red line so we jumped in with a better deal providing the cable as a grant that would be implemented with a procurement partner of Australia’s choosing – that wouldn’t be Chinese.”
  13. Australia targeted China with one-third of its ongoing WTO actions and two-thirds of current measures, despite the Productivity Commission finding “no convincing justifications for the measures”.
  14. Australia imposed hefty duties on Chinese steel (144%), aluminum, and chemicals without justification.
  15. Australia initiated 106 anti-dumping and anti-subsidy investigations of Chinese products, yet complained bitterly when China finally resorted to the WTO, for the first time, with barley and wine tariffs.
  16. Australia committed $500 billion for a weapon whose only purpose is attacking China.

The Unkindest Cut

There are two standout provocations that heralded the beginning of the diplomatic breakdown between Canberra and Beijing. The first was the Turnbull government’s banning of the giant Chinese tech-company Huawei from operating in Australia. The second was when Morrison’s Foreign Affairs minister Marise Payne publicly demanded that China comply with an international enquiry into the origins of the Covid-19 pandemic. In both cases Australia took the lead internationally in taking these actions.Allan Patience

Given China’s national pride in Huawei, the nation’s first high tech global brand, Canberra’ decision to launch a world wide attack on the company was particularly cruel. PM Malcolm Turnbull blocked Australian adoption of Huawei then traveled to lobby UK and EU governments against it – with zero evidence to support his allegations. When Huawei offered to base its network security division in Australia Canberra did not respond.

Points of View

The Chinese see in Australia a pattern of bad-faith dealing, negative discrimination, unprovoked hostility, and unwillingness to talk candidly.

Australians, to quote fellow Substacker Benjamin Herscovitch, see “Beijing’s pursuit of relationship repair with Canberra” and bridle at “its sustained economic coercion of Australia”.

On its current course, Australia’s future seems bleak. Hypocrisy, lack of self-awareness, endemic racism and fear of a non-capitalist neighbor have alienated it from the new world leader and its neighbors in the world’s leading economic region.

1

The Vice-Chancellor of my Australian alma mater told me that corroborations with Chinese universities and Chinese scholars overwhelmingly benefited Australian institutions more than Chinese.

Oh, it’s going on right now.

See this idiot here?

main qimg 381f7b0dc8fb728daabcb279c90656d2
main qimg 381f7b0dc8fb728daabcb279c90656d2

His name is Cyril Bertheau (social media handle “2raw2ride”), and he’s a 24-year-old from Montreal, currently living in Austin, Texas. In March he announced on TikTok that he had quit his “6-figure tech job” (he was the Operations Manager for a transportation company) in order to ride a horse from Austin to Seattle — well over 2,000 miles — in 100 days, via Texas, New Mexico, Colorado, Wyoming, Montana, Idaho, and Washington, riding for ten hours a day, six days a week. His self-imposed “rules” also included no paying for lodging for either himself or his horse.

The unfortunate horse tapped for this trip is a 13-year-old Tennessee Walking Horse gelding named Falcon that Bertheau bought off of Craigslist for $3,000 (and whose previous owner he lied to about his plans). He gave the horse only one month to get “conditioned” for the trip, not the year he told the owners he was going to (and even a year isn’t long enough for a trip of that length).

Poor, sweet Falcon:

main qimg 70254861371f13ac4390b3b34f40598b
main qimg 70254861371f13ac4390b3b34f40598b

Bear in mind that even horses specifically trained for endurance racing take years to properly condition, and endurance riders a) are usually going a total of around fifty miles, and b) use ultra light tack to lessen the burden on the horses. But this guy expects to get a horse that’s really not even big enough for him ready in a month.

His saddle, also purchased off of Craigslist, is not properly fitted to the horse and is also quite heavy, because it was more comfortable for him (screw the horse). He bragged about his Lululemon shirts, custom leather chaps, and Banana Republic jacket, but also brought no grain, hay, or water for Falcon (whom he rechristened “Shiok”, but I will continue to call Falcon), and stated that he expects the horse to live off of “ditch grass”. His saddle, gear, and other tack weigh over 60 pounds, and he’s probably at least 160, meaning the horse, who appears to weigh less than 1,000 pounds even in the early photos, is loaded with way too much stuff even for a one hour trail ride. Several equestrians on TikTok and Instagram also noted that he had the horse’s bit in upside down and backwards, and that particular sort of bit is very hard on a horse’s mouth if you aren’t an advanced rider.

So Tech Bro — whose plan is apparently to sell his GoPro footage to Netflix for a documentary and become rich and famous — clearly thought he was going to be embraced, and that people would think he was super cool for doing this, but it’s backfired on him 100%. He’s being crucified now, even by those outside the equestrian community, as an animal abuser, especially since he’s been very evasive about Falcon’s condition, and has not shown any photos or video of the horse in weeks.

However, other people have gotten video and photos of Falcon, who in the past month appears to have lost a significant amount of weight and was shown to have edema on his belly (likely from the saddle girth) as well as lameness in his hind feet and obvious saddle sores and other abrasions. A source at the vet’s office that last examined Falcon, just a couple of weeks into the trip, stated that he weighed in at 850 pounds, which is absolutely underweight for a Tennessee Walker, and video also revealed that the horse’s backbone is showing and his hindquarters have lost significant muscle mass. The vet also apparently strongly advised him to rest Falcon for two weeks, but Bertheau took the horse and left after only four days. (Bertheau has said he plans on suing the person who spread “misinformation” about Falcon’s condition.) He is also, a month into his trip, apparently still in Texas, and since in the U.S., you need a veterinarian’s exam and clearance to bring a horse across state lines, that may be at least partly why.

Multiple people have offered him large sums of money to buy Falcon before he dies or becomes permanently lame or critically injured. Cyril reportedly rejected an offer of $20,000 for the horse he just paid $3,000 for — because the buyer refused to sign a NDA. Currently he’s saying he wants $60,000.

Cyril takes great offense to being filmed by people, and has even called the police on some, only to be informed that it’s perfectly legal to film someone in public and he can’t do a damned thing about it. Apparently he wants to be the only one with footage of his trip, lest he not have “exclusive” content for Netflix, and also to keep his horse’s deteriorating condition under wraps. (Also note that he has no deal of any kind with Netflix, and it’s highly unlikely that they will want his footage, especially now.)

His last Instagram video shows he’s clearly losing it, as his trip is not going as planned — if he’s not even out of Texas yet, he’s certainly not making Seattle in another 60 days — most people, even those who know nothing about horses, don’t admire what he’s doing, and his horse is getting much more attention than he is. I don’t use TikTok, but he’s reportedly been flamed really badly on there and is now posting more on Instagram, and in the Instagram video he berates the “snowflakes” who are concerned about his “poor little horsey”.

This dude is going down in flames, all because he wanted to go viral and get rich and famous without working for it, and he’s endangering the life of an innocent horse in his quest for money and adoration. Please, you guys — if you want to try to get famous pulling some dumb stunt, go for it, but don’t drag innocent animals into your foolishness.

EDIT: This guy apparently posted a new video showing that he now has a second horse in addition to Falcon, as a pack horse. From the video, the pack horse itself appears to be lame, as does Falcon. So instead of giving up, he’s doubling down, and now this idiot is heading into the desert with two horses in poor condition instead of just one. I’d love to find out what idiot sold or gave him a second horse when he can’t even take proper care of the first one.

Nazi running Europe by the Nose, brain-dead American “leadership” in “control” and the rest of us running terrified

Ugh.

I’ve been busy. Many changes. Not only Geo-political, but personal as well.

I made a driving mistake, and the AI road robot fined me. My bad, but I was unfamiliar with the road. Sigh.

It’s life.

Disappointing. Very.

But it’s better than what some others are experiencing.

We start with some depressing news…

2023 05 07 08 20
2023 05 07 08 20

You just cannot speak your peace in a Nazi regime.

Ah.

I hope he survives.

Meanwhile, Changes everywhere.

Embrace the change, and plan to surf the changes.

Go a surfing!

Russia Returns to Top-10 World’s Largest Economies First Since 2014

Russia Returns to Top-10 World’s Largest Economies First Since 2014.
Article HERE

I think that a great comparison can be had by using PPP-GDP.  By that metric (which is the preferred one for comparing nations),  Russia has been close to Germany for many years - it was slightly ahead of Germany before the sanctions began in 2014 and now it is slightly behind. 

And yet the West demeans Russia’s economy while it holds up Germany as an economic power house.  Only 5 of the 10 top economies now are G7 economies. Those that are in the BRI and BRICS+ have China’s economic engine to help them grow faster.

Article HERE

China: The Roots of NATO’s Madness

The Chinese are not only fully awake but fully cognisant of the Anglo-Saxons’ wiles in the debt, and semiconductor sectors, as well as in honey, Hello Kitty and all others.

“Let China sleep. For when she wakes, the world will tremble”. Although The Dictionnaire Napoléon attributes this apothegm not to the great Napoleon (who loved a good bon mot almost as much as he loved a good battle) but to British actor David Niven playing the British Ambassador during the Boxer rebellion in the 1963 Hollywood blockbuster 55 days at Peking, it matters not.

China has arrived and she is shaking up the world to a degree not even her Japanese neighbour achieved during Japan’s recent years of economic glory. That being so, we must gauge the force of this Godzilla who, horror of NATO horrors, is not only brokering peace in the Middle East but, more to the heart of this essay, is honey-laundering atop a mountain of debt that has our NATO overlords sweating bricks.

First stop is honey. China has agreed to annually import some 50,000 tonnes of honey from sanctions-struck Iran, which needs every nickel and dime it can scrape together. Because the Iranian bee industry, as this informative article explains, has huge upside potential, I am happy China is helping Iran’s 140,000 beekeepers stay afloat. Whereas in Western countries, bee-keeping is generally a side product some farmers engage in, in Syria, and I imagine, in Iran, bee-keepers follow their nomadic bees about as they migrate from one locale to the other; as Iran, for example, has over four times the amount of flower species Western Europe has Iran, like Syria, is a veritable heaven on earth for bees. Although NATO’s Syrian war of extermination has severely disrupted Syria’s bees and Syria’s bee-keepers, this Sino-Iranian deal shows there is hope for the bee-keepers of Iran, Iraq and Syria and, for that, I could not be happier.

Allied to that, China, the world’s largest honey producer, is accused of dumping its own honey onto the international honey market and thereby undercutting the EU’s 60,0000 bee producers and, crucially, Ukraine, against which Western countries have no hope of competing, at least on price.

But, in China’s defence, it must be said that such activities are part and parcel of today’s international “rules based order” systems of trade. Here, for example, is a report of Irish farmers managing Saudi Arabia’s massive cattle farms. Global beef production has changed and one either goes for the quantity that Saudi Arabia and Bill Gates’ own mega farms represent or one goes for quality, for such things as Kobe beef, Irish whiskey and French luxury goods.

Irish whiskey, which is a much finer product than the cough mixtures sister Scotland palms off to an unsuspecting world, is important to our analysis as Ukraine’s rotund Ambassador to Ireland has demanded Ireland boycott its own Irish whiskey, boycotting being a tactic the Irish not only invented but excelled at. Leaving aside that ignoramus and all other considerations, if Ireland can grab back some of the market in China (and Russia) from the Scots, that would be a good thing because China, whether the CIA likes it or not, is the new Roaring 20s Japan.

That means the Chinese have a lot of money to splurge on Irish whiskey, French luxury goods and Hello Kitty. As the Japanese, during their golden years, accounted for over 70% of Louis Vuitton’s global sales, Irish whiskey producers, French luxury goods’ makers, Iranian beekeepers and the custodians of Japan’s kawaii culture cannot ignore China.

The Chinese pay for all their Hello Kitty merchandise, their Scottish cough mixtures and their French perfumes by exporting stuff, things like bullet trains that they reversed-engineered from Japan’s Mitsubishi Heavy Industries. Because China is growing so fast, there are opportunities galore there in everything from honey and Kobe beef to Volkswagen cars and aircraft carriers, all of which China, with its reverse-engineering hacks, can pay for with its export surpluses or by taking on some debt.

As with honey, so also is China a major agricultural producer in her own right and her farms range from the very primitive to state-of-the-art wonders that match anything the Netherlands, or even Bill Gates’ sinister mega-ranches have to offer. China’s main constraint in this respect is its waters are in the wrong place and it is not at all clear that the Qinghai-Tibetan Plateau, its traditional water source, will cover its future needs.

To tackle that and countless other development bottlenecks China, to accommodate the growing expectations of her countless masses, must invest heavily on a scale the world has never previously witnessed. And it must borrow heavily too as borrowing is a means of spreading investments one might not otherwise be able to afford over longer terms.

And that brings us to China: The Root of Madness, the CIA’s 1967 Cold War documentary “explaining” China through the CIA’s prism. But China must be explained through a Chinese, not an American prism and, if CIA spy Theodore H White, who produced that garbage, had bothered to read Chairman Mao, he would have come across far more references to ancient Chinese dynasties than he would to Karl Marx or Freddy Engels.

Because White’s Anglo-Saxons fret far too much about China’s debt policies rather than their own, we will now compare and contrast one with the other. Traditionally, there were two basic economic systems, the German-Japanese system where banks and borrowing were the financial engines of their sure but steady growth and the Anglo-American system where the riskier, roller-coaster stock market ruled the roost. China’s approach to debt, yet again, is best described as Japan’s on steroids.

In the United States, to coin a Napoleonic bon mot, debt has gone from the sublime to the ridiculous. The vultures’ Klondyke that was payday lending, where the Anglo-Saxon poor, living from pay cheque to pay cheque, paid unsustainable loan-sharking rates to their creditors, has been replaced with predatory smart phone apps, where poor Americans are now reduced to buying their meals on credit and paying through the nose for them, as Uncle Sam catches them in micro debt traps from which there is no escape.

At the macro international level, African and other nations have long been stuck in a similarly slick debt trap they too have no means of escaping, not least because the IMF and the World Bank, their supposed saviours, were tasked ab ovo with keeping them enslaved to Uncle Sam and his Anglo-Saxon partners in crime.

Whatever one may think about the Bible, Proverbs 22:7: gets it right when it proclaims that “The rich rules over the poor, and the borrower is slave of the lender”. That has certainly been the case in Africa, as it is now in tiny Ireland, which was forced, almost at gunpoint, to take on over 40% of the EU’s debt, and Ukraine, which is currently fighting Russia on a maxed-out credit card.

That credit card will have to be cleared by Ukraine handing over its crown jewels to BlackRock, Vanguard and its other creditors and by paying interest on the mountains of debt it has racked up to fight its unwinnable war. Exxon-Mobil, Chevron, Halliburton and Uncle Sam’s other seasoned vultures are already in advanced discussions to run Ukraine’s energy industry and the leprechaun vultures of Vichy Ireland have pledged to exploit (“rebuild”, as they call it) Ukraine’s Rivne Oblast region as part of their reward for propping up Zelensky’s rump Reich and sniggering at those tens of thousands of young Ukrainians slaughtered to make these scams possible.

Rustem Umerov, who heads Ukraine’s State Property Fund (SPF), claims there are more than 3,500 companies which are listed as state-owned, with almost 1,800 of them bankrupt and non-functional. The list for a privatisation fire-sale to Zelensky’s Western allies includes distilleries and grain elevators, which could be of interest to investors, as well as hundreds of abandoned facilities, which will be given away for nickels on the dollar. Umerov is hoping to earn over $400 million by selling an elite set of companies ranging from a fertilizer producer to utilities, smelters and an insulin maker. Ammonia maker Odessky Pryportovy Zavod, titanium producer United Mining, Zaporozhye Titanium-Magnesium Plant, insulin manufacturer Indar, and power generator Centrenergo PJSC will be among the first to be sold at knock down prices and up to $200 million of state-owned land is ear-marked to follow shortly afterwards. Because Russian speakers have no rights in Ukraine, the Demurinsky Mining and Processing Plant, which develops reserves of titanium-zirconium sands and which is owned by Russian tycoon Mikhail Shelkov, is also scheduled to be sold. Rusal’s Nikolaev alumina refinery is also scheduled for “privatisation”, as is the confiscated property of Russians Vladimir Yevtushenkov and Oleg Deripaska.

The Chinese system, with its supposed Muslim, Tibetan, Hong Kong, Taiwan and Hello Kitty issues, operates a trifle differently from Zelensky’s Ukrainian gangsters and there is no real point in getting our Chinese-made knickers in a twist about any of it. All of NATO’s faux Chinese concerns are blowbacks from the growth of China‘s economy and the end of the easy money that flowed from America’s property and dot.com bubbles. Because Easy Street is over, the Yanks must now re-discover The Zen of Working Hard even though, like their European vassals, they are no longer up to the task. The Chinese, like the Japanese workers of Toyota or the Koreans of Kia Motor Works, just plod on and on, accumulating wealth, Iranian honey and other delights for their children and, given her demographics, her children’s children. And good on them.

This is not to say that every Chinese, Japanese or Korean citizen has been a winner but their systems have been designed to give the greatest possible opportunities they can to the greatest number of their citizens. Though the Chinese love gambling, they have not followed Uncle Sam’s casino capitalism model but, like the post-War Japanese, they have instead worked hard and likewise pulled themselves up by the bootstraps.

And, just as Japan was once the major player in long-term sovereign debt, so now has that poisoned chalice passed to Beijing. If Pakistan, Sri Lanka, Mozambique, Zambia and Grenada wish to escape from the debt burdens Uncle Sam has saddled them with, they must look to Beijing. And while China has played hard-ball, they have been nowhere nearly as harsh as Elliott Investment Management and other American critics of China that picked Africa cleaner than might a flock of ravenous vultures.

But what of China, with its sweet tooth for Iranian honey, its Scottish cough mixtures and its Hello Kitty regalia? The Chinese government is tasked with allowing its citizens enjoy such fruits of their labour, whilst maintaining its armed forces to defend its citizens and instituting a system that allows China earn the wherewithal to pay for all such frivolities. Given China accounts for a fifth of the world’s population, that is a huge task, human resource and financial management on truly Biblical scales the world has never previously witnessed.

And, as with Japan during its golden years debt, albeit with Chinese characters, is an integral part of that process. Though personal, institutional and government debt in China are all huge, should we really be as concerned as our narcissistic Anglo-Saxon overlords are about it?

I think not. Debt, the Anglo-Saxon economists tell us, offers us more choice, the ability, for example, to get a mortgage loan on a house, rather than forever renting or living in a roadside wigwam. Debt, lots of it, allows Americans to send their kids to College which, depending on what they study, may or may not be a good investment. Of course, it also allows the Yanks to buy lots of Chinese goods from Walmart but let’s just take that as a given of Americans’ consumer fixations.

All the more so as China is also buying into the consumer craze. Chinese citizens are even hiring American women to bear their children which the CIA’s Heritage Foundation believe is a national security risk. Although it is fine and dandy for Americans to rent Ukrainian wombs, the burgeoning Chinese-American “rent-a-womb” industry, in which ageing Chinese couples draft fertile American women to give birth to offspring with U.S. citizenship is, they say, not playing to the CIA’s rules based order, whose lack of logic China’s economic ascent has placed under immense strain.

Surrogate babies are just one symptom. America is not only one gigantic debt mountain but its debt markets dwarf its stock markets, which are the world’s biggest. The Japanese (again) long saw this and that there were, for them, easy pickings to be had by lending to American states and cities on the correct presumption that the U.S. government would not allow those states and cities to go bankrupt. The Japanese who, like the Koreans and Chinese, are diligent savers, have been keeping the U.S. economy afloat for decades now with their soft loans which, like all loans, must be paid back eventually.

But what of the Chinese? U.S. Secretary of the Treasury Janet L. Yellen has acknowledged the threat China poses to U.S. hegemony (the rules’ based order as the Anglo Saxons call it) and the need to contain China by sanctions, by controlling intellectual property rights and by bad-mouthing them in NATO’s media over human rights and the plight of panda bears.

This is, again, a re-run of America’s post oil crisis attack on Japan because Japan has the art of car-making down to a tee. There is simply no way the Americans, the Germans or the Scandinavians can compete with the Japanese auto makers or, indeed, the Chinese, who are not only the new Japanese but who have entire armies of engineers improving the efficiency of cars and everything else they produce.

And that includes Taiwanese microchips, which Uncle Sam clings to as a drowning man might cling to a straw. As no country, from the Sumerians of antiquity to the Anglo-Saxons of our own era, has managed to monopolise a particular technology forever, Taiwanese microchips are, as the late Chairman Mao might have put it, a competitive paper tiger, childish Japanese origami that will vanish with a gust of divine wind.

Uncle Sam thinks differently and has ordered its Taiwanese and Korean colonies to stop selling semiconductor chips to China. America has also demanded that German companies Merck, and BASF, which supply Asian chip-makers with critical chemicals for production, follow the example of the Dutch who, on the Yanks’ orders, have severely restricted exports of their semi-conductors to the Middle Kingdom.

Though NATO, like Samson of old, hopes these export restrictions will cripple China’s ability to develop advanced technologies, as well as its capability to produce semiconductors, the tide of modern history, where competitive advantages cannot be held for long, suggest this pathetic boycotting will fail. Despite China being Berlin’s most important trading partner for the seventh year in a row now, because Germany remains a grovelling slave to America, we can assume the Pentagon will get their way here and further damage Germany (and the Netherlands). Talk about global supply chain hara kiri by those emasculated oafs!

NATO should, of course, have let China’s semiconductor industry sleep. Beijing has launched a national security review into Micron Dram, one of three dominant players in the global memory chip market alongside South Korea’s Samsung Electronics and SK Hynix. As with Louis Vuitton, so also is it with Dram, where mainland China and Hong Kong generates 25 per cent of its $31bn annual revenue. If Korean President Yoon Suk-yeol accedes to Uncle Sam’s request to ban the sale of their microchips to China, then he is even more stupid than any Irish sniveller who boycotts Irish whiskey on the word of the obese Ukrainian grifter, who has the gig of loud-mouthed Ambassador to Vichy Ireland.

Although the Pentagon believes that their competitive edge in microchips will stave off the Chinese dragon, that is not where the true fight is. The fact of the matter is the United States and its puppet allies long ago exported the whole logistics chain to China and thereby made China the world’s logistical hub, its Middle Kingdom if you will. Not only is that almost impossible to undo but there are over a billion Chinese who have a vested interest in maintaining that emerging status quo that so upsets our Anglo-Saxon friends.

Gold, by way of illustration of that latter point, is the easiest of metals to work with and it is the first metal mentioned in the Bible (Genesis 2:11-12). And, though gold jewellery is almost universally popular, the North Italians are the world’s best at fabricating gold, simply because they have long held the logistical hubs, even from long before Romulus and Remus founded Rome.

Although American puppets like Ursula von der Leyen can threaten hell and damnation on the Chinese economy, German and French automakers are making more coin by producing cars in China than they are in Europe. Why? Because China has the logistical hubs and one part of China is not squabbling with another for the right to produce hub caps, as the various European states do with each other. Europe is an organisational mess and China, as with Japan’s Hello Kitty and auto industries, is not.

And, when we ask whether the Biden family’s control of the semiconductor industry can stop China, we have to conclude that it cannot and, again, Japan shows us why. When the Europeans first reached Japan, they brought muskets with them to The Land of the Rising Sun where such a technology was unknown but where the Europeans were amazed that Japanese steel was far superior to anything they had previously encountered in Borrell’s European garden.

The Japanese, who had never previously clapped eyes on a musket, not only solved the crucial European problem of how to stop rain destroying the gun-powder but, within six months of first clapping eyes on them, were exporting muskets throughout the rest of Eastern Asia. Following the 1904/5 Russo-Japanese war, the Japanese determined that they would have to match the German Leica company in terms of lenses. Not only did the Japanese match them but they far out-paced them in less than half of the time they had allocated to that objective. If the Americans think they can stop the Chinese semiconductor tide, they best import some more Chinese or Japanese brains because it is plain as day they have a critical shortage of grey matter, as well as a profound ignorance on how inter-connected the intermediate industries of China, Korea and Japan are.

The Chinese economy, their national pay packet if you will, continues to increase, by an impressive 4.5% in the first quarter of 2023, as it happens, meaning it is in a better position to pay off or roll over any outstanding debt and, of course, to buy more whiskey, more French perfumes and more Hello Kitty kitsch.

Yankee land, meanwhile, just prints more dollar bills and spends a staggering $500 billion annually servicing their debt, even as they imagine China would not develop a debt market of their own and thereby sink the American smoke and mirrors economy. For the fact of the matter is China’s debt is not a problem and will not be a problem as long as China can manage it. And so far, as with Japan, there is no sign of a major crisis. For the Good Ship China, it seems to be steady as she goes and to hell with Moody’s and the other partisan naysayers.

To illustrate China’s strength, let’s once again turn our eyes towards Japan, whose currency is the yen. Upon hearing that yen meant circle in English, American war lord Douglas MacArthur decreed that there would be 360 yen to the Yankee dollar. It is currently trading at 135 to the dollar, which is well within its recent trading band. The Chinese yuan is at 7 to the dollar and it too is within recent trading bands. China, however, is in a much stronger position than the U.S. or any of its satrapies to push the yuan, and therefore the dollar, any way it pleases. The boot is, in other words, increasingly on the Chinese and not the NATO foot.

Here, in conclusion, is 1900 footage of a French damsel in Saigon throwing Vietnamese children grain, like they were foraging chickens. The Anglo-Saxons should know that those days are, thanks to the armed might of South East Asians and their allies, gone and, thanks to the economic might of those countries, they are not returning. The United States, together with its German, Dutch and other vassals, best acknowledge and live with that fact or be prepared to take a turn at foraging themselves when their own stupidity collapses their own side of the global economic system. As for the Chinese, they are not only fully awake but fully cognisant of the Anglo-Saxons’ wiles in the debt, and semiconductor sectors, as well as in honey, Hello Kitty and all others.

China: The Roots of NATO’s Madness

Gorflautorillas (Phoenix Suns Gorilla’s Flautas)

These are great topped with guacamole and served with Spanish rice and beans.

2023 05 07 09 17
2023 05 07 09 17

Ingredients

Flautas

  • 2 dozen corn tortillas
  • Vegetable oil
  • 5 cups Meat Filling

Meat Filling

  • 5 cups cooked, shredded beef roast
  • 1/2 cup chopped hot green chiles, peeled and seeded (fresh or canned)
  • Salt, to taste
  • Pepper, to taste
  • Garlic powder, to taste

Instructions

Flautas

  1. For each flauta, soften and heat 1 tortilla by dipping it into 2 inches of hot oil. With tongs, hold in heated oil several seconds, or until soft enough to roll.
  2. Spoon 3 to 4 tablespoons warm Meat Filling across center of soft tortilla; roll it.
  3. Arrange in casserole.
  4. Cover dish and place in 250 degrees F oven to keep warm until ready to serve.

Meat Filling

  1. Mix beef, onion and chiles in saucepan and simmer, adding a little water for moisture but not enough to make a sauce.
  2. Season with salt, pepper and garlic powder.
  3. Keep warm.

Why the crusader nation leaders keep doing meaningless things?

The Commemorating of Vietnam war is like the annual commemorating of the Anzac war: a failed invasion of Turkey resulting in massive lost of invader soldier’s lives
Vietnam's communist government has demanded Australia cease issuing commemorative coins that, it says, show the flag of the toppled US-backed South Vietnam, a claim Canberra has denied.

Key points:

Vietnam requested a halt to the coins' circulation

The Royal Australian Mint said the design reflects the colours of the ribbons of service medals awarded to Australians who served in Vietnam

More than 60,000 Australian soldiers served in the Vietnam War

Article HERE

.

The USA hasn’t had democracy during my lifetime. It’s kind of complicated, we do vote and our vote matters, but it is money that determines what candidates we can vote for and the money mostly comes from oligarchs. So the US is really an oligarchy sliding toward plutocracy and not a democracy.

Human rights and freedom haven’t collapsed-yet though there is a fascist movement. Fascism had been a slow developing movement since the early 1950s but got some leads in 2016. Of Trump or one of the other fascists comes to power again they will attempt to suppress the democrats. Once there is only one real party, then is when Human rights in the US will cease to exist.

Will a fascist government in the US attempt to disconnect the public people from politics and otherwise allow freedom of self determination? Or, Will fascism become militarized and suppress civil rights like Stalin our North Korea? I don’t know.

Capitalism took a strange turn in 2007 where it constantly requires intervention by the central bank known as the Federal Reserve or just Fed. Does this mean that Capitalism US style has failed and it is being kept alive on something akin to a feeding tube? I don’t know.

Also, to what extent central banks in other countries rely on the US central bank? Foreign banks relied heavily on the fed during the recovery after 2007. There have been three recent bank failures which are concerning.

A recent and interesting turn of events is that the US together with Canada is not only self sufficient in Petroleum production, the IS has become the number one exporter of petroleum in the form of distillates up to the equivalent of 7 million barrels a day in Petroleum distillates. What this means for the world is that the US no longer cares so much about what happens in the Middle East.

Gas stations in the US are already noticing lower sales because of EVs. This means that, the US will have even more distillates to export in the coming years. These foreign sales will boost capitalism in the US and reduce reliance on printing virtual money. It will also reduce the impact of losing dollar hegemony.

I don’t know what it all means. Do words like economy, freedom, and democracy make sense as artificial general intelligence emerges? I’m old but I’m sticking around to see what happens.

This particular program is terrible with faces, but it shows great promise once you experiment with it a bit.

D2BE 7lI
D2BE 7lI

Z55JifKn
Z55JifKn

Kc3WZiZh
Kc3WZiZh

mTC3ZLe2
mTC3ZLe2

5Dy31Aq8
5Dy31Aq8

mv7to2Fj
mv7to2Fj

YUhFKSha
YUhFKSha

WttN5cc4
WttN5cc4

@g1jbWCxU
@g1jbWCxU

SHhVxwLR
SHhVxwLR

D6Tfy0B
D6Tfy0B

2023 05 06 22 45
2023 05 06 22 45

vGwb8Dgy

rNES92CT
rNES92CT

9BmMiIm6
9BmMiIm6

w6GTgg8E
w6GTgg8E

F54NtIVh
F54NtIVh

P6mIBh84
P6mIBh84

@XWMP7hRn
@XWMP7hRn

@pV8hHxav
@pV8hHxav

@BG5cfkTH
@BG5cfkTH

@c eVt4Ag
@c eVt4Ag

PvaS2M0U
PvaS2M0U

3mChz7V3
3mChz7V3

F aPKLoE
F aPKLoE

5pFEfdlS
5pFEfdlS

uMkQwtyw
uMkQwtyw

F6rqeyMa
F6rqeyMa

JvoV2tbw
JvoV2tbw

2WFkhYE
2WFkhYE

Gn06YqmL
Gn06YqmL

yQZvzvFw
yQZvzvFw

9zLt1QHf
9zLt1QHf

n R5neTQ
n R5neTQ

woBfBbA3
woBfBbA3

Y8WX0NB2
Y8WX0NB2

2023 05 08 18 47
2023 05 08 18 47

4vudWyh1
4vudWyh1

amaguI59
amaguI59

W Wau283
W Wau283

RH9E1qs1
RH9E1qs1

2023 05 08 18 35
2023 05 08 18 35

NyDDcBFn
NyDDcBFn

jhk8DDZe
jhk8DDZe

G BxPmch
G BxPmch

cLzDFB3m
cLzDFB3m

dhpgxGwK
dhpgxGwK

mErDtiy3
mErDtiy3

ze5Xg52y
ze5Xg52y

I1 myp3p
I1 myp3p

egGsEsK6
egGsEsK6

6E2xMtij
6E2xMtij

D2BE 7lI
D2BE 7lI

Z55JifKn
Z55JifKn

QsuMzuMZ
QsuMzuMZ

Chinese researchers make a major breakthrough in 6G communication

Use terahertz frequency communication and achieve ultra-fast communication.

The technology used for this real-time data transmission has been dubbed as terahertz orbital angular momentum communication, the SCMP said in its report.

Terahertz refers to communication in the frequency range of 100 GHz and 10 THz of the electromagnetic spectrum. The higher frequency range of this technology enables faster data transfer rates and more information to be transmitted. Terahertz communication has also attracted interest for use in military environments since it offers high-speed and secure communication.

The other significant part of their achievement is the orbital angular momentum (OAM) used in the transmission. This encoding technology allows more information to be transmitted at once. The researchers used OAM to transmit multiple signals on the same frequency demonstrating a more efficient use of the spectrum.

While these technologies could take a few years to be put into everyday use, the researchers also demonstrated some advanced in wireless backhaul technology, which can be deployed soon.

In conventional cellular networks, data is transmitted from devices to base stations and then to core networks through fiber optic cables. As base stations are set to increase shortly, fiber-based transmission is expected to be more costly and time-consuming. By using wireless technology for backhaul, the researchers are looking to provide flexibility at lower costs, which can also be used for existing 5G communication.

In the future, 6G communication technology will also be critical for short-range broadband transmissions such as lunar and Mars landers and spacecraft. The U.S. government has taken cognizance of advances made by the Chinese communication industry and looking for ways to advance the technology at home and reassert U.S. dominance in the area, the Wall Street Journal reported.

2023 05 07 08 09
2023 05 07 08 09

SABOTAGE! 18 Gun Powder Warehouses ON FIRE in Russia

At this hour (4:43 PM EDT Saturday) in Pervomaiskoye, Russia, 18 gunpowder warehouses are on fire. An evacuation has been announced.

Explosions are heard from the gunpowder depots.

There is massive fire.

About 400 residents of the area will be evacuated.

Further details if they become available . . .

Jake Sullivan’s plan to defeat China!

Clueless. Idiot. OMG.

2023 05 07 08 26
2023 05 07 08 26

Green Chile Pork

2023 05 07 09 1t8
2023 05 07 09 1t8

Ingredients

  • 3 tablespoons vegetable oil
  • 2 pounds lean pork, 1/2-inch cubes
  • 1 medium onion, finely chopped
  • 3 cloves garlic, mashed
  • 2 jalapenos, cored, seeded and minced
  • 1/2 cup fresh cilantro, chopped
  • 1 (14 ounce) can tomatillos with liquid
  • 1 teaspoon salt

Instructions

  1. Heat oil in a heavy skillet; add pork and onion. Cook over medium heat until pork is browned.
  2. Add remaining ingredients, breaking up tomatoes, and simmer, covered, until pork is cooked through and tender (30 to 40 minutes).
  3. Taste and add more salt if desired.
  4. Serve with warm tortillas and lime wedges.

VIDEOS: Texas Driver Hits Migrants at Bus stop; 7 Dead, 6 Injured

.

The driver of a car in Texas went up on the sidewalk for some unknown reason, and struck thirteen people standing at a Bus Stop.   Seven of those people are dead, six others are injured. We have GRAPHIC video of the impact itself,  other video of the aftermath, and video of the Hispanic Driver being arrested.

WARNING – EXTREMELY GRAPHIC (HORRIFYING) IMPACT VIDEOYou cannot Un-see this once you’ve seen it.

Video of the aftermath is utterly heartbreaking.  The video below is as rescuers are arriving on scene.  The carnage is vivid.  WARNING: EXTREMELY GRAPHIC IMAGERY

https://htrs-special.s3.us-west-2.amazonaws.com/Migrants-Run-Down-aftermath.mp4

Video of police taking the driver under arrest:

THIS IS WW3, They are PREPARING for what comes next!

https://youtu.be/ED7nlD65Yvo

.

.

A new world with an independent Hawaii and Okinawa under an Asian umbrella of protection

Are you ready for the massive changes that are speeding toward us?

They are charging towards us at a strong gallop.

Jeeze!

So you think that the United States is NOT a military empire?

main qimg cf4bb1baa7dd86ec9fca19ecb16e5859 pjlq
main qimg cf4bb1baa7dd86ec9fca19ecb16e5859 pjlq

FOREIGN MINISTRY: “U.S. DIRECTLY KILLING RUSSIANS”

.

The US is directly contributing to the deaths of Russians by providing military and financial aid to Ukraine, Russian Foreign Ministry spokeswoman Maria Zakharova charged on Friday.

She was reacting to a Kommersant interview with Lynne Tracy, the US ambassador to Moscow, who stated that Washington “does not view Russians as enemies.”

“The Russian people are getting killed with targeting done by the US, money [provided] by the US, weapons [supplied] by the US, and by the hands of a regime that was brought to power by the US as a result of a coup orchestrated by the US,” Zakharova wrote on Telegram, referring to the Western-backed 2014 uprising in Kiev that ousted the democratically elected president, Viktor Yanukovich.

In an interview published in Russian newspaper Kommersant on Thursday, Tracy said she supports informal contacts between Americans and Russians, and that the US “does not want to ‘cancel’ the Russian people in any way.”

“No matter what differences we, the United States, have with the Russian government, they are not differences with the people of Russia,” she said.

The Foreign Ministry later issued a statement criticizing the ambassador’s interview, in which it accused Tracy of cherry-picking and fabricating facts about Ukraine’s recent history. The US diplomat claimed that “a situation in which a leader who lost support and got scared of his own people takes a decision to flee” could not be called a coup.

“Madam Ambassador probably does not know, and was not informed by her aides, that this simple puzzle… lacks the truth and correct sequence of events,” the ministry said.

The statement went on to explain that the protests in Kiev were infiltrated by violent extremists supported by US officials, and ended with a power-sharing agreement that the opposition forces immediately broke. Tracy’s failure to acknowledge the nature of the events in Kiev can be explained by either amnesia or ignorance, while her description has nothing to do with reality, the Russian ministry added. The statement included a screenshot of the interview with a large red ‘FAKE’ stamp on it.

Washington imposed sweeping sanctions on Moscow shortly after Russia launched its military operation in Ukraine in February 2022. The US and many other NATO countries have since supplied Kiev with heavy weapons, including tanks and artillery systems, and shared intelligence with Ukraine. The State Department said in January that it was up to Kiev to determine how to use foreign arms.

Russia has warned that the military aid makes the US and NATO de facto direct participants in the conflict. Moscow also repeatedly accused Ukraine of using US-made weapons, such as HIMARS multiple rocket launchers and M777 howitzers, to kill civilians.

On April 13, Ukrainian troops used HIMARS launchers to shell a hospital in the Donbass city of Svatovo, local officials said. On Thursday, several areas in the Donetsk People’s Republic were hit with rockets and artillery rounds, leaving one woman dead and eight people, including four children, injured, according to the authorities.

The Coming Kingdom of Hawaii

US territorial integrity under threat
May 1, 2023
.

After Ukraine began massacring civilians in 2015, President Putin warned that Russia would no longer respect Kiev’s territorial integrity if the killing continued. Ukraine continued killing and lost territorial integrity when the Donbas oblasts fled to Putin’s embrace.

After Japan began threatening China’s territorial integrity with Taiwan, Beijing decided not to wait, and has taken the initiative.

The Ryukyu Kingdom

Japan annexed the Ryukyu Islands when China was at her weakest, in 1879, and renamed it “Okinawa Prefecture”. Before that it was the Ryukyu Kingdom, an independent state under Chinese protection. To this day its people have not reconciled to their colonial status and life in a dumping ground of America’s biggest bases and the decades of rapes and murders that accompany them – especially since Americans are immune to Okinawa laws.

Their former protector has heard their prayers.

On April 21, discussing Taiwan at the Lanting Forum, Chinese Foreign Minister Qing Gang set the diplomatic stage by referencing the Cairo Declaration and the Potsdam Proclamation – both of which state clearly that Ryukyu is not part of Japan.

A week later, on April 28, Wu Jianghao, China’s ambassador to Japan, and Yoshimi Teruya, Deputy Governor of Okinawa talked privately for three hours. Then Ambassador Wu announced that China will officially call Okinawa Prefecture by its pre-Japanese name “琉球”, Ryukyu, and will open a regional diplomatic office in Ryukyu. Denny Tamaki, Governor of Okinawa Prefecture, will visit Beijing later this month.

The announcement electrified Okinawa’s independence movement and raised the possibility that Russia – whose Kuril Islands Japan claims – will recognize Ryukyu, too, doubtless followed by most post-colonial countries.

Okinawa’s strategic significance is difficult to exaggerate. Almost in sight of Mainland China, it has the greatest concentration of US bases in the Pacific, and is the jumping-off place for the attack currently in rehearsal:

US TROOPS DRILL FOR TAIWAN WAR

29 April, 2023 20:30. US Army Special Operations Command (USASOC) has carried out drills simulating its response to a Chinese seizure of Taiwan for the first time, as part of the USAOC’s annual capabilities exercise at Fort Bragg. Troops practiced being inserted into Taiwan to help defend against a Chinese offensive, using a concrete mock-up on the base to simulate the environment in which they would fight the PRC.

Fight how? Where, exactly?

The arc of China’s dominance of the West Pacific extends to Darwin Port, Australia. No warship is safe from ballistic ship-killing missiles within that perimeter, certainly not $20 billion Ford Class carriers, pride of the fleet.

Meanwhile, Okinawa is 90 miles from China’s inventory of 30,000 base-busting missiles.

2023 05 01 21 14
2023 05 01 21 14

Target Asymmetricality

China could preemptively attack American bases for the same reason Russia did so in Ukraine: to keep the world’s most aggressive power at a safe distance. However, America’s retaliatory choices are all bad:

  1. Do nothing, be humiliated, and concede world leadership to China.
  2. Strike a Chinese target and watch China’s bigger, faster, more accurate missiles reduce the UCLA campus to rubble 54 minutes later.
  3. Cut to the chase and unleash every atomic warhead on China. But, like its public health, China’s anti-missile defense is 100x better than America’s and Chinese society is famously resilient while America’s is dangerously fragile. There’s nothing like a lost war to sour public mood.

Escalation Dominance

If a defeat in Ukraine and a threat to Okinawa do not discourage Washington, China can raise the stakes yet again.

In 1826 the United States recognized Hawaii as a monarchy, with its own international trade and friendship treaties but, seeing the potential of Hawaiʻian agriculture and its strategic location, overthrew Queen Liliʻuoukalani and the Kingdom of Hawaii, colonized and annexed it in 1893 – years after Japan annexed the Ryukyu Kingdom.

Despite its balmy charms, few haole get comfortable in Hawaii and one reason they leave is fear. I took armed guards with my family when we visited a remote Kauai beach because local lads were murdering haole at the time. Murders have declined, but the hatred hasn’t. Hawaiians have never forgiven America for their subjugation and marginalization in their own Paradise.

So..when will we see this on CNN?

China’s Ambassador to the United States, after a three hour private meeting with Hawaii’s Governor Waiheʻe, announced that China will refer to Hawaii as ‘The Kingdom of Hawaii’ from this date. Spokeswoman for NGO Independence Hawaii, Janeta Liliʻuoukalani, hailed the move, “We Hawaiians thank President Xi for our liberation and invite him to our Independence Day”.

Xi’s Ryukyu initiative will stymie Biden and Tokyo for weeks, even months. Japan can kick the bases out and presumably keep Okinawa, or it can keep the bases and lose Okinawa. Territorial integrity is a big deal, and Japan has had none since 1945. This would be a first.

Xi’s father was was a wartime general and the best negotiator in China, said Mao. Having withstood attacks for decades, Xi Jr has launched a well-prepared offensive, and Turkey’s Foreign Minister couldn’t be happier, “Everybody hates America,” he told a delighted audience.

We live in interesting times.

America Has Dictated Its Economic Peace Terms to China

By refusing negotiation over China’s rise, the United States might be making conflict inevitable.

Adam Tooze
By , a columnist at Foreign Policy and director of the European Institute at Columbia University.
.

How far will mounting tension with China be translated into the economic policy of the United States? After a rash of sanctions and overtly discriminatory legislation, with action on U.S. investment in China pending, and with talk of war increasingly commonplace in the United States, the Biden administration knows that it needs to clarify its economic relations with the country that is the largest U.S. trading partner outside North America.

In the wake of this month’s International Monetary Fund and World Bank spring meetings, Treasury Secretary Janet Yellen has made her first major statement on economic relations with China since 2021. Judged by the tone, her message is intended to clarify and calm the waters of speculation and debate about motives and intentions. In the current situation, however, it is far from clear whether clarity actually contributes to calm.

The scenario that Yellen rejects is that of the Thucydides trap, but her reasons for doing so are telling. The idea that “conflict between the United States and China” is “increasingly inevitable” is, she insists, based on a false premise. That outlook was “driven by fears, shared by some Americans, that the United States was in decline. And that China would imminently leapfrog us as the world’s top economic power, leading to a clash between nations.” America would seek military confrontation to forestall the unfavorable shift in the power balance attendant on China’s phenomenal economic growth. This makes no sense, Yellen reassures us, because the American economy, thanks to its foundational institutions of freedom, its culture of innovation, and the wise governance of the Biden administration is in rude health.

“The United States remains the most dynamic and prosperous economy in the world.” So, Yellen insists, America has no reason to seek to “stifle China’s economic and technological modernization” or to pursue a deep decoupling. America’s economic power, the Treasury secretary goes on, “is amplified” by its relationships with “close friends and partners in every region of the world, including the Indo-Pacific.” America thus has “no reason to fear healthy economic competition with any country.” And then Yellen delivers the punchline: “China’s economic growth need not be incompatible with U.S. economic leadership.”

It is worth lingering over the implication here. Conflict is not inevitable because America is doing well. That in turn means that China can grow without threatening American economic leadership. But what if that were not the case? Yellen does not spell out the implication. Yet in that eventuality, where Yellen leaves little room for doubt, all bets would be off. Even now, even when the Biden administration professes to be confident about America’s economic prospects, Yellen insists: “As in all of our foreign relations, national security is of paramount importance in our relationship with China.”

At one level, this is obvious. No public official will ever say anything else. Security is the basic function of states. But everything depends on the scope of your vision of national security and the level of trust. And if you have to state the priority of national security in foreign relations out loud, you know you have a problem.

For Yellen, it is obvious that America is entitled to define its national security at a planetary level. She claims, for instance, that amongst America’s “most pressing national security concerns” is the defense of Ukraine against Russian aggression. Anyone who chooses to ignore America’s sanctions against Russia and falls within its jurisdiction will face serious consequences. Likewise, since America has decided that it wishes to deny certain technologies to the Chinese military, it will impose sanctions and trade limits accordingly.

So a strong and self-confident America has no reason to stand in the way of China’s economic and technological modernization except in every area that America’s national security establishment, the most gigantic in the world, defines as being of essential national interest. For this to be anything other than hypocrisy, you have to imagine that we live in a goldilocks world in which the technology, industrial capacity, and trade that are relevant to national security are incidental to economic and technological modernization more broadly speaking.

Yellen pays lip service to that goldilocks vision, by insisting that U.S. measures against China will be tightly targeted. But, as everyone knows, those targeted measures have so far included massive efforts to hobble the world leader in 5G technology, Huawei, sanctions against the entire chip supply chain, and the inclusion of most major research universities in China on America’s entities list that strictly limits trade.

Meanwhile, to add to the perplexity, whilst Yellen insists that national security sanctions tell us nothing about America’s intentions towards Chinese growth, she trumpets legislation passed on the Biden administration’s watch, notably the Chips Act and the Inflation Reduction Act, which feature strong anti-Chinese elements, as contributing significantly to America’s own future prosperity.

The upshot is that America welcomes China’s economic modernization and will refuse the lure of the Thucydides trap so long as China’s development proceeds along lines that do not infringe on American leadership and national security. And America’s attitude will be all the more benign the more successful it is in pursuing its own national prosperity and preeminence precisely in those areas.

It is telling that what seems to be intended as a reasonable and accommodating statement is, in fact, so jarring. China must accept America’s demarcation of the status quo. If it does not respect the boundaries drawn for it by Washington between harmless prosperity and historically consequential technological development, then it should expect to face massive sanctions.

One must be grateful to Yellen for stating the point so clearly. But how on earth does Washington expect Beijing to respond? China is not Japan or Germany after 1945. In relation to the United States, if the question of “leadership” is posed, parity is the least that Beijing must aim for. The status quo that Treasury Secretary Yellen takes for granted clearly cannot be legitimate in the long run. As Beijing has said, it aspires to a fundamental reordering of world affairs such that American talk of leadership is retired forever. Nor is China the only major Asian power to share this view. India’s understanding is no different.

In Washington, this meets with blank incomprehension or even a sense of wounded pride. Does China not understand that it owes its growth to an American-led order? To rebel against that order, Yellen says quite openly, is not in China’s interest. Yellen is right that conflict between China and the United States is not inevitable. It does depend on the moves that both sides make.

But it is hard to see how her vision, in which the United States arrogates to itself the right to define which trajectory of Chinese economic growth is and is not acceptable, can possibly be a basis for peace.

If the United States is still interested in global economic and political order, and it surely should be, it must be open to negotiate peaceful change. Otherwise, it is simply asking for a fight.

UPDATED 5:50 PM — Europe Reveals Map BREAKING-UP RUSSIA into 41 new countries

Gunther Fehlinger, Chairman of the Austria NATO non-governmental organization (NGO), publicly revealed today, the West’s “plan” for Russia: Broken-up into 41 new, autonomous countries!

There wouldn’t BE a “Russia” anymore.

Russia’s response was simple: If there isn’t going to be a Russia anymore, then there isn’t going to be a Europe or USA, either.

World War 3 is officially on its way.

Here is Gunther Fehlinger and the West’s (suicidal) map of a world without Russia:

map of russia by the insane
map of russia by the insane

The revelation of this map is literal PROOF that it is the actual intention of the West to do-away with Russia.

By even manufacturing such a map, the West has shown its intent.

Russia now faces an ACTUAL existential threat.

Their very existence is at stake.

Think about the time and effort that was necessary to research the populations and ethnicities in each of these areas, where they are, and how to draw actual lines along the geography of the demographics, to create this vision of new, autonomous, countries.

The research and planning alone had to take . . .  YEARS.

Now that the map is actually out, and the entire world can see that the West has literally been planning for YEARS to completely do-away with Russia, we can all now see that the situation with Ukraine was intentionally manufactured BY THE WEST to provide the impetus to set in-motion, their nefarious plans.

With the release of this map, it seems to many observers that war is now a foregone conclusion.

What many people, myself included, really want to know is, Whose idea was this?

Who decided this needed to be done?  Because that person, or those persons, need to be directly confronted and engaged.

This plan is suicidal. Whoever thought of it, and whoever is promoting it, is a clear and present danger to the lives of millions.

People have a right to self defense against this monstrous and deadly plan.   That self-defense may have to be applied to the people who are promoting this and to the people who thought it up.

UPDATE 5:50 PM EDT —

I have engaged in locating Gunther Fehlinger and much to my shock and dismay, he is presently HERE in the United States.   Two hours ago, he was in Philadelphia where, among other stops, he entered the Masonic Temple.   He then departed Philadelphia by car and, at this update, is presently on the New Jersey Turnpike, heading north, into New York City!

He is scheduled to appear at the Hudson Institute!

Brit Ventriloquist Speaks: Ukraine’s Podolyak Demands China Must Break with Russia, and the War Continues

April 30, 2023, 2022 (EIRNS)—Mykhailo Podolyak, often considered Ukrainian President Volodymyr Zelenskyy’s top adviser, told Ukraine’s Rada TV on April 28 that China has to choose between working with Russia—and lose its status as a major world power—or work with the West.

On Wednesday April 26 Zelenskyy had initiated an hour-long phone conversation with Chinese President Xi Jinping, which led to China’s agreement to send a high-level diplomat to Ukraine and “other countries,” to try to kick-start negotiations.

The next day, Thursday April 27, a high-level delegation of British and American war hawks descended on Kiev and met with Ukraine’s National Security and Defense Council chief Oleksiy Danilov to discuss “global cooperation and unity [for] a common victory.”

The delegation included former British Secret Intelligence Services (MI6) Chief Sir Richard Dearlove; Tobias Ellwood, the rabid neocon who heads the House of Commons Defense Select Committee; retired British senior Army officer and top NATO official, Gen. Richard Shirreff, who authored the novel 2017: War with Russia; and former U.S. Deputy Assistant Secretary of Defense for Europe and NATO Policy Ian Joseph Brzezinski, the son of “Breakup Russia” strategist Zbigniew Brzezinski, amongst others.

Then on Friday April 28 Podolyak read the provided script to Rada TV:

“Now China has to make a choice.... 

Either it works within the framework defined by international law, and then replaces Russia in the full sense of the word, or China continues to stand aside and then it will gradually lose its influence, including economic influence.” 

About a month ago Podolyak had also tried to convince China to break with Russia: Speaking to Italy’s Corriere della Sera, he asked:

Why would China “help Russia, which is experiencing the collapse of its civilization? It would be an irreversible investment, and China is too pragmatic to make such mistakes.”

Sure. Easy paeasy.

The United States trade with China is through American companies operating inside of China. Very few Chinese companies manufacture products for the United States.

American companies do.

So, all the profit that the international companies make, go to the United States, not to China.

Of the Chinese companies that make products directly for United States clients, the tally is less than 3% of the total Chinese trade figure.

  • It’s not going to make any difference from the point of view of China.
  • However, it WILL make a great deal of difference to American owned companies operating inside of China.

Why aren’t there more homeless people in China?

China has announced that it will officially refer to Okinawa as Ryukyu Prefecture. The Ryukyu Kingdom was an independent kingdom until the 1840s when it was annexed by Japan. In 1879, Japan changed the name to Okinawa Prefecture.

In April, the Okinawa governor Denny Tamaki met with the Chinese ambassador to Japan Wu Jianghao, and in May he will travel for a visit to China.

China has stated that the Potsdam Declaration at the end of WWII stated that Japan was limited to the four main Japanese islands of Hokkaido, Honshu, Kyushu and Shizuoka.

Okinawa was the site of one of the bloodiest Pacific battles between the US and Japan, and one-fourth of the adult population was killed. Japanese forces committed many of the Okinawans to commit suicide instead of surrendering to the Americans.

It is now the site of a major US base in the Pacific and would be a forward base if there is war between the US and China.

U.S. hides its bioweapons activities from the international community

Having read the Russian report on the world’s largest U.S. biological warfare activity, the Chinese Foreign Ministry has expressed grave concern that the U.S. is not giving any explanation, refusing from any checks.

We remember the accusations against China that the world pandemic was allegedly caused by leaks from our military laboratory.

What secret is the U.S. hiding?

Article HERE

Chinese statement…

Statement HERE

US General: Russian Forces in Ukraine MORE than at start of War! “No real attrition”

A senior US military commander in Europe told lawmakers Wednesday that Russia has plenty more firepower, has lost thousands of troops, but present troop levels are MORE than at the start of the Ukraine operation.  Stunningly, the General says Russia has seen “no real attrition.”

“The Russian ground force has been degenerated somewhat by this conflict, although it is bigger today than it was at the beginning of the conflict,” Gen. Christopher Cavoli, the commander of US European Command (EURCOM), told the House Armed Services Committee.

“The Air Force has lost very little, they’ve lost 80 planes. They have another 1,000 fighters and fighter bombers,” he said. “The Navy has lost one ship.”

Last month, General Mark Milley, Chairman of the Joint Chiefs of Staff, testified before Congress and said that Russian troops are “getting slaughtered” in their fight for Bakhmut.

“For about the last 20, 21 days, the Russians did not make any progress whatsoever in and around Bakhmut. So it’s a slaughter fest for the Russians,” Milley said. “They’re getting hammered in the vicinity of Bakhmut and the Ukrainians have fought very, very well.”

The losses appear to be only a fraction of Russia’s total military force. Cavoli said that “much of the Russian military has not been affected negatively” by its invasion of Ukraine.

Asked about Russia’s submarine patrols in the Atlantic, Milley told Congress “The Russians are more active than we’ve seen them in years, and their patrols into the Atlantic, and throughout the Atlantic, are at a high level, most of the time at a higher level than we’ve seen in years,” he said. “And this is, as you pointed out, despite all of the efforts that they’re undertaking inside Ukraine.”

Louisiana Ground Meat Pisketti

2023 04 19 15 10
2023 04 19 15 10

Ingredients

  • 2 1/2 pounds lean ground meat
  • 1/4 cup diced onions
  • 1/4 cup diced bell pepper
  • 1/4 cup diced celery
  • 1 1/2 tablespoons Cajun seasoning
  • 1 (14.5 ounce) can fire roasted tomatoes
  • 1 (12 ounce) can tomato paste
  • 1 (15 ounce) can tomato sauce
  • 6 cans (tomato paste) water
  • 1 tablespoon Cajun seasoning
  • 1 teaspoon minced garlic
  • 3 tablespoons Italian seasoning
  • 5 pinches sea salt, if desired
  • Spaghetti
  • Parmesan cheese

Instructions

  1. In large Dutch oven over medium heat, add ground meat, diced vegetables and 1 1/2 tablespoons Cajun seasoning. Cook until ground meat is browned thoroughly.
  2. Drain grease, if any.
  3. Add the fire roasted tomatoes, tomato paste and tomato sauce. Add 6 cans of water. Stir well and add another tablespoon of Cajun seasoning, minced garlic and Italian seasoning. Cook over medium heat, covered, for approximately 45 minutes; stirring every now and then.
  4. After the 45 minutes, remove the lid and reduce heat to medium-low and allow to cook another 15 minutes.
  5. Meanwhile, cook spaghetti.
  6. When the pasta is cooked, ladle out about 1 cup of the water that the pasta cooked in and drain the pasta. Add this reserved water to spaghetti and stir well. This starchy water will help your spaghetti to stick to your noodles. Add sea salt if desired.
  7. Serve immediately./

China establishes 13 specialized national medical centers

China has established 13 national medical centers specializing in different fields, according to an official of the National Health Commission (NHC).

The NHC has worked with the National Development and Reform Commission to approve the construction of 76 more regional medical centers in areas with inadequate medical resources, NHC official Li Dachuan said at a press conference on Thursday.

Existing national medical centers specialize in fields such as cardiovascular disease, cancer, geriatrics, traumatic medicine and respiratory medicine, Li said.

National and regional medical centers developed 372 medical technologies that are pioneering or leading domestically or internationally in 2022, and over 1,400 diagnosis and treatment technologies have been transferred to various provinces since China initiated the program to build these centers, Li said.

The country will make plans to build more national and regional medical centers in the next five years to balance the development of medical services among regions, Li added.

Typical Troll ‘Bot

A shit load of troll accounts all set up in 2016-2017 have been laying down suppressive anti-China comments. If you go to their account, this is what you see…

2023 04 20 11 26
2023 04 20 11 26

Joined six years ago. Never commented. Never filled out a background. No activity. Never questioned anything. But suddenly they had to show up with some anti-China bullshit.

Chinese Defense Minister in Moscow. Preparing for the battle to come

Nothing unites two people faster than a third person declaring his intention to kill them both. It really is that simple.

“Canvas, Oil & Cat Memes”: Norwegian Artist Paints Pictures With Popular Cats On The Internet

1 24 1
1 24 1

Espen Olsen Sætervik is a Norwegian artist. Judging by his biography on Twitter and ArtStation, he is now working on a game called Halo Infinite.

But the Norwegian is also known for his art and paintings. Soterwick has a series of “northern” landscapes — he draws them, inspired by the nature of Norway. At the same time, Sætervik has both physical paintings drawn with brushes and fully digital ones.

On August 3, Sætervik confessed on Twitter that he loves some of the most popular cats on the Internet. So he painted pictures with them in his own style. Heroes are a variety of pets: from Grumpy Cat to a cat asking for corn rings and a cat from a restaurant where two women shout.

Sætervik later published his works in high resolution for personal use. And if you wish, you can buy an artwork in the form of real paintings.

More: Espen Olsen Sætervik, Artstation, Twitter, Shop

7 20
7 20

6 21
6 21

5 23
5 23

4 24
4 24

3 24
3 24

2 25
2 25

ussia RETALIATES for Attack on Sevastopol – Massive Russian Attacks

Russia has launch at least ten (10) Tu-95 Bombers into Ukraine and those aircraft are launching a large number of cruise missiles against Ukrainian targets.  Above, a massive explosion in Pavlohrad, a Ukrainian-occupied portion of Donetsk Oblast.  It seems a Ukrainian missile storage depot was hit!

Initial Reports say Russian Missiles struck a Rail Yard and a Ukrainian Arms Depot on the Outskirts of the City.  Multiple missiles inside that depot then launched catastrophically and unguided, into the night sky.

Video of the storage depot ablaze appears below:

Updates in progress, check back in minutes . . .

UPDATE 8:42 PM EDT —

Tu-95M Strategic Bombers went airborne from Olenya Air Base in Northwestern Russia, and flew into Ukraine to attack.

2023 05 02 09 42
2023 05 02 09 42

Now confirming Tu-95Ms are now Airborne from several OTHER Air Bases across Western Russia.  Additional strikes imminent.

UPDATE 8:50 PM EDT —

COVERT INTEL SOURCES INSIDE UKRAINE ARMY SAY ATTACK RESULTED IN TWO ENTIRE UKRAINIAN ARMY DIVISIONS LOST.

In addition, source now confirms sixteen (16)  S-300 missile defense launcher systems and all their missile refill canisters also destroyed.

UPDATE 8:56 PM EDT —

100 Shahded drones now reportedly airborne, heading into Ukraine

2023 05 02 09 38
2023 05 02 09 38

*** FLASH ***

US Boeing P-8 Poseidon has entered Ukrainian airspace. . .  while the Russian attack is taking place!

2023 05 02 09 39
2023 05 02 09 39

UPDATE 9:05 PM EDT —

Confirmation received that a total of 27  Russian Tu-95M  Strategic Bombers are in the air, carrying a MINIMUM of 130 to a MAXIMUM of 200 cruise missiles, are being used in tonight’s attack.

Confirmation also received that the number of Geran-2 UAVs flying over Ukraine now exceeds 50.

2023 05 02 09 40
2023 05 02 09 40

Confirmation that multiple Russian submarines in the Black Sea, carrying additional missiles, are off the coast of Ukraine but have NOT YET fired.

Shahed-136 drones are currently flying towards Mykolaiv and Kherson.

MORE:

4 missile carriers that can hold up to 24 Kalibr missiles EACH have been deployed to the Black Sea in the last few hours.

UPDATE 9:13 PM EDT —

THREE (3) TU-160 bombers have now also taken off from Russia heading toward Ukraine!

2023 05 02 09 3trw5
2023 05 02 09 3trw5

The P-8A Poseidon of the US Navy has completed its mission and is now safely back in Moldova Air Space.

Of Note: During the chaos of the ongoing attacks, in Kiev, the commander of the territorial defense units of Ukraine, Major General Oleinik Volodymyr, was eliminated. He was shot near his house.

2023 05 02 09 44
2023 05 02 09 44

UPDATE 9:17 PM EDT —

Russian bombers over the Caspian Sea have fired missiles; impacts inside Ukraine within 30 minutes.

COVERT INTEL SOURCE inside Ukrainian Army now confirms Russian bombers destroyed twenty-six (26)    S300 air defense complexes tonight. UKRAINE NOW HAS NO MORE AIR DEFENSES.

TONIGHT’S ATTACKS BY RUSSIA HAVE THE MOST Tu-95 BOMBERS IN THE AIR SINCE THE FALL OF THE SOVIET UNION.  Very large Russian attack.

UPDATE 9:21 PM EDT —

Reports now of Missile Launches from Russian Ships in the Black Sea as well.

ADDITIONAL Launch Commands are still being put out over the Russian Strategic Net.

********** BULLETIN ********

A large number of US Fighter Jets are taking off from Incirlik air base inside Turkey. 

Not known where they are going.

2023 05 02 09 45
2023 05 02 09 45

UPDATE 9:33 PM EDT —

Air Raid warning sirens now sounding in the red areas on the map of Ukraine below:

2023 05 02 09wr 35
2023 05 02 09wr 35

OF NOTE: Two US intelligence planes have taken off from NATO bases in Germany.

2023 05 02 09 46
2023 05 02 09 46

HMMMMMMM.   ALSO OF NOTE:  NATO AWACS taking off from Poland

2023 05 02 09 47
2023 05 02 09 47

DOUBLE HMMMMMM. Air-Refueling Tankers taking off from Ramstein AFB in Germany . . .

2023 05 02 09 48
2023 05 02 09 48

UPDATE 9:38 PM EDT —

New missiles are being fired from the Caspian Sea.

New Air Raid alert sounding in LVIV, western Ukraine, near Poland Border:

2023 05 02 09 49
2023 05 02 09 49

 

UPDATE 9:44 PM EDT —

Per Ukrainian officials, Missiles were detected in the airspace of Ukraine. They are urging everyone to get to shelter!

*****FLASH*****

RUSSIA IS NOW AGGRESSIVELY **JAMMING** OVER-THE-HORIZON RADAR THROUGHOUT **ALL** OF EUROPE.

ALSO: Launch Commands are still being put out over the Russian Strategic Net.

2023 05 02 09 50
2023 05 02 09 50

UPDATE 9:47 PM EDT —

Russian cruise missiles are on the way to central Ukraine. Kyiv is very likely to be targeted within the next 15-20 minutes.

Kyiv regional military administration: “Residents of Kyiv region! There is a threat of a missile attack”

UPDATE 9:55 PM EDT —

Missile launches reported from the Sea of Azov.

First explosion of the night; Dnipro

MORE:

Additional Missile Launches reported from Ships and Aircraft over the Sea of Azov and the Black Sea heading Northwest.

UPDATE 9:59 PM EDT —

Explosions in Kyiv!

Cruise missile detected over Kharkiv

More explosions heard in Dnipro.

Explosions heard in the suburbs of Kyiv

Explosions reported in Dnipropetrovsk oblast

UPDATE 10:52 PM EDT —

JETS WHICH TOOK-OFF FROM INCIRLIK IN TURKEY WERE **NOT** U.S., THEY WERE U.K, HEADING TO SYRIA.

 11:02 PM EDT — Attack appears to be over.    Live updates terminated.

Putin’s Bloody Missile Attack Is Horrifying | Col. Douglas Macgregor

Louisiana Barbecue Spaghetti

2023 04 19 15 12
2023 04 19 15 12

Ingredients

  • 1 pound spaghetti
  • 1 pound lean ground beef
  • 1 small can tomato paste
  • 1 cup barbecue sauce
  • 1 cup honey
  • Salt and pepper
  • Tony Chachere’s

Instructions

  1. Brown ground beef.
  2. Cook the spaghetti.
  3. Meanwhile, add tomato paste, barbecue sauce, honey, salt, pepper and Tony Chachere’s to the ground beef.
  4. Simmer over low heat for 15 minutes, then serve on top of spaghetti.

According to a recent report, Russia claimed to have developed an electronic warfare (EW) system that can jam satellites in geostationary orbit at an altitude of 36,000 kilometers.

main qimg a833726878723f80e12b796b138d74ad
main qimg a833726878723f80e12b796b138d74ad

“Enterprises of the Russian military-industrial complex have developed a new electronic warfare system capable of suppressing satellites in geostationary orbit with its signal. This is about 36,000 km above sea level,”

Without divulging any further details, the source added that at a shorter distance, the power of the emitter of the new system is capable of irreparable harm to the enemy’s electronics.

The revelation of the new Russian EW system came on the “Day of the Specialist in Electronic Warfare,” which is celebrated in Russian annually on April 15 to mark the occasion of the first combat use of electronic warfare on April 15, 1904, during the Russo-Japanese War (1904-1905), when Russian radio stations interfered with Japanese radio operators during the defense of Port Arthur.

Russia demonstrated its anti-satellite capabilities in November 2021 by carrying out a direct ascent anti-satellite (ASAT) test in which it destroyed one of its satellites that had been in orbit since 1982.

The anti-satellite test showed Russia was “ready to deny us space capabilities to other players, even if it creates some debris,” said Major General Michel Friedling, head of France’s Space Command, in June last year. “And even if it denies, to [Russia, themselves] the use of space capabilities,” he continued.

Thereafter, in the weeks preceding Russia’s invasion of Ukraine, it launched a cyber-attack on a US-based communications company, Viasat, to cripple Ukrainian command and control, which relied on Viasat’s satellite terminal up to some extent.

The cyber-attack was very effective, as was acknowledged by the senior Ukrainian cybersecurity official, Victor Zhora, who said it caused “a huge loss in communications at the very beginning of the war.”

However, the fallout of this successful cyber-attack was wide-reaching, as thousands of internet users across Europe were also thrown offline. For example, in France, according to Orange, a French Telecom company, 9,000 subscribers of a satellite internet service provided by its subsidiary, Nordnet, were left without internet.

Similarly, around one-third of 40,000 subscribers of bigblu satellite internet service based in Germany, France, Hungary, Greece, Italy, and Poland, were affected by the attacks on the Viasat satellite network.

The outages also knocked offline nearly 5,800 wind turbines in Germany and Central Europe, with a combined output of 11 gigawatts.

So, overall, Russia already has formidable kinetic as well as non-kinetic anti-satellite capabilities, and the recent news about the development of an EW complex for jamming satellites can be considered a move toward further bolstering those capabilities.

Are Russian Claims True?

For this, Colonel Konstantinos Zikidis of the Hellenic Air Force (HAF), formerly a Deputy Commander at the HAF Telecomms and Electronics Depot (ETHM), to assess the viability of Russian claims, was consulted.

“In general, the term ‘electronic warfare’ encompasses support, protection, and attack, focused mainly on radar and IR systems. A satellite in geostationary orbit has an altitude of 35,786 kilometers, traveling at an orbital speed of 3.07 kilometers per second, although it seems stationary, as seen from Earth.

At such distances, using RF noise jamming or any High Power Microwave weapon would be meaningless,” Zikidis believes.

The only potential solution for attacking a GEO satellite, according to Zikidis, would be a directed-energy weapon in the form of a very high-energy laser.

“Right now, High Energy Laser (HEL) systems featuring an output power at the order of hundreds of kiloWatts have been tested, at least according to open sources, with megaWatt class systems expected in the near future,” he noted, citing reports of US HEL programs.

He also cited an academic paper written by experts from China’s HeFei University and the People’s Liberation Army (PLA), which mentions a US Army ground-based laser weapon system capable of reaching 10 MegaWatt (MW) and the power of airborne lasers (ABL) reaching MW.

The same paper also talks about Russian plans to develop a laser with a range of 40,000 kilometers to attack early warning satellites, noted Zikidis while cautioning against discarding Russian claims.

main qimg 735681ed6c64225fe3103cd984c49afe
main qimg 735681ed6c64225fe3103cd984c49afe

When asked about what impact such a HEL system would have, Zikidis explained that it would be rather difficult to estimate its possible effect against a GEO satellite as there are limitations to the performance of a laser system.

“Inside atmospheric conditions, the laser beam suffers from absorption and scattering, while there are some upper limits to the power density of the beam. On the other hand, he said that using a ground-based HEL system against a stationary target would have the benefit of time, allowing for a cumulative effect.

Food And Everyday Life Merge In Surreal Illustrations By Marumichi

0 61 650x1267 1
0 61 650×1267 1

Japanese illustrator Marumichi creates surreal illustrations in which food and everyday life merge together seamlessly. It’s as if a foodie went to bed stuffed and dreamed of food.

In one scene a soft serving of tofu doubles as a kotatsu, keeping warm its users who don’t know whether they want to fall asleep or eat. In another scene, a slice of watermelon doubles as a mosquito net in the summer, offering shelter to a young girl who is relaxing with a book and cool drink. These are all the surreal creations of Marumichi, a Tokyo-based illustrator who blends fantasy and food to create scrumptious compositions.

More: Twitter h/t: spoon&tamago

89 3 650x928 1
89 3 650×928 1

87 3 650x852 1
87 3 650×852 1

86 3 650x721 1
86 3 650×721 1

85 3 650x706 1
85 3 650×706 1

84 3 650x1000 1
84 3 650×1000 1

83 3 650x616 1
83 3 650×616 1

82 3 650x959 1
82 3 650×959 1

81 3 650x916 1
81 3 650×916 1

80 3 650x999 1
80 3 650×999 1

79 3 650x664 1
79 3 650×664 1

78 4 650x869 1
78 4 650×869 1

77 4 650x566 1
77 4 650×566 1

76 4 650x510 1
76 4 650×510 1

75 4 650x586 1
75 4 650×586 1

74 4 650x884 1
74 4 650×884 1

73 4 650x558 1
73 4 650×558 1

72 4 650x999 1
72 4 650×999 1

71 3 650x555 1
71 3 650×555 1

70 4 650x687 1
70 4 650×687 1

69 4 650x637 1
69 4 650×637 1

68 4 650x560 1
68 4 650×560 1

67 4 650x771 1
67 4 650×771 1

66 4 650x866 1
66 4 650×866 1

65 4 650x816 1
65 4 650×816 1

64 4 650x1003 1
64 4 650×1003 1

63 4 650x1084 1
63 4 650×1084 1

62 4 650x1338 1
62 4 650×1338 1

61 5 650x827 1
61 5 650×827 1

60 5 650x785 1
60 5 650×785 1

59 5 650x971 1
59 5 650×971 1

58 5 650x951 1
58 5 650×951 1

56 6 650x596 1
56 6 650×596 1

57 6 650x899 1
57 6 650×899 1

55 6 650x498 1
55 6 650×498 1

54 5 650x731 1
54 5 650×731 1

53 6 650x682 1
53 6 650×682 1

52 6 650x721 1
52 6 650×721 1

51 6 650x892 1
51 6 650×892 1

50 6 650x698 1
50 6 650×698 1

49 6 650x801 1
49 6 650×801 1

48 6 650x1033 1
48 6 650×1033 1

47 7 650x903 1
47 7 650×903 1

46 7 650x614 1
46 7 650×614 1

45 7 650x708 1
45 7 650×708 1

43 8 650x561 1
43 8 650×561 1

42 8 650x1074 1
42 8 650×1074 1

41 8 650x1153 1
41 8 650×1153 1

40 8 650x630 1
40 8 650×630 1

39 8 650x636 1
39 8 650×636 1

38 8 650x812 1
38 8 650×812 1

37 8 650x442 1
37 8 650×442 1

36 8 650x488 1
36 8 650×488 1

35 10 650x725 1
35 10 650×725 1

34 11 650x370 1
34 11 650×370 1

33 11 650x423 1
33 11 650×423 1

32 11 650x714 1
32 11 650×714 1

31 11 650x771 1
31 11 650×771 1

30 11 650x475 1
30 11 650×475 1

29 13 650x667 1
29 13 650×667 1

28 13 650x796 1
28 13 650×796 1

27 15 650x1252 1
27 15 650×1252 1

26 18 650x1047 1
26 18 650×1047 1

25 19 650x483 1
25 19 650×483 1

24 20 650x721 1
24 20 650×721 1

23 21 650x828 1
23 21 650×828 1

22 22 650x401 1
22 22 650×401 1

21 23 650x852 1
21 23 650×852 1

20 22 650x568 1
20 22 650×568 1

19 25 650x772 1
19 25 650×772 1

18 26 650x597 1
18 26 650×597 1

17 26 650x444 1
17 26 650×444 1

16 28 650x1285 1
16 28 650×1285 1

15 35 650x1419 1
15 35 650×1419 1

14 37 650x508 1
14 37 650×508 1

13 41 650x643 1
13 41 650×643 1

12 43 650x428 1
12 43 650×428 1

11 49 650x486 1
11 49 650×486 1

10 55 650x791 1
10 55 650×791 1

9 59 650x700 1
9 59 650×700 1

8 62 650x702 1
8 62 650×702 1

7 65 650x999 1
7 65 650×999 1

6 67 650x1048 1
6 67 650×1048 1

5 75 650x500 1
5 75 650×500 1

4 81 650x695 1
4 81 650×695 1

3 85 650x790 1
3 85 650×790 1

1 91 650x585 1
1 91 650×585 1

Brazilian President Luiz Inacio Lula da Silva shakes hands with the new president of the New Development Bank (NDB), Dilma Rousseff, former Brazilian President, while attending her inauguration ceremony at the bank’s headquarters in Pudong, Shanghai, east China, April 13, 2023.

Amid increasing global economic uncertainty, the New Development Bank (NDB) — under new leadership — is set to further unleash its potential to support emerging economies and developing countries, and renew its commitment to sustainable development.

The Shanghai-headquartered bank, established by Brazil, Russia, India, China and South Africa (BRICS) in 2015, is aimed at mobilizing resources for infrastructure and sustainable development projects in BRICS and other emerging economies.

Dilma Rousseff, a well-known Brazilian politician and economist, on Thursday assumed office as the president of the multilateral institution. The former president of Brazil is expected to build upon the achievements of her predecessors and write a new chapter of South-South cooperation and global sustainable development.

In an interview with Xinhua, Rousseff said investing in infrastructure development, addressing social inequality, curbing climate change and meeting sustainable development goals will be the priorities during her term of office, while emphasizing the importance of local currency for financing.

The new NDB president said the bank can make contribution to fighting climate change and meeting the sustainable development goals, for instance, by investing in alternative energy sources.

The bank’s 2022-2026 General Strategy, approved by the board of governors last year, aims to provide 30 billion U.S. dollars over the next five years. Over this period, the bank will expand operations with the private sector, multiply development impact and direct 40 percent of its approvals to climate change mitigation and adaption.

“As a former President of Brazil, I know the importance of the work of multilateral banks to support developing countries, particularly the NDB, in addressing their economic, social and environmental needs,” Rousseff said at her inauguration ceremony in Shanghai Thursday.

Brazilian President Luiz Inacio Lula da Silva, who attended Rousseff’s inauguration ceremony during a state visit to China, expressed his confidence in the bank’s promising future under the new leadership.

The bank has the qualities to become one of the largest banks for Southern countries, and has great potential in improving the situation of developing countries, Lula said.

With a seven-year-plus history, the bank has evolved from a start-up to a major provider of development solutions, with its project portfolio featuring investments in areas such as clean energy, urban mobility, water, sanitation, transport, social and digital infrastructure.

In July 2016, about one year after starting operations, the bank made its inaugural bond issuance — a green financial bond denominated in the Chinese currency renminbi (RMB) or yuan, worth of 3 billion yuan (449 million dollars). It was sold in China’s Interbank Bond Market.

Hailing the issuance as a milestone for the multilateral development bank, former NDB president K.V. Kamath said that it may help boost sustainable development and that it will support more clean and renewable energy use to reduce carbon emissions.

So far, the bank has approved 99 loan projects totaling more than 34 billion dollars, providing strong support for infrastructure construction and sustainable development of emerging markets and developing countries, Chinese Foreign Ministry Spokesperson Mao Ning said at a daily news briefing in late March.

BRICS, which is home to over 40 percent of the world’s population and accounts for about a quarter of the global economy, pursues openness, inclusiveness and win-win cooperation, as is demonstrated in its efforts to expand the NDB family.

In 2021, the bank initiated membership expansion and admitted Bangladesh, Egypt, the United Arab Emirates (UAE) and Uruguay as its new members, adding over 280 million people that can benefit from the bank’s mission and strengthening the bank’s global outreach.

Egyptian economics professor Fakhri al-Fiqi, also head of a relevant parliamentary committee, stressed that the bank is expected to become “a global financing platform,” especially for developing and emerging economies, which means that the door is open for emerging economies to join it and contribute to raising its capital.

“The New Development Bank is the product of a partnership among BRICS countries with a view to creating a world with less poverty, less inequality and more sustainability,” which is very different from the traditional banks dominated by developed countries, said Lula.

“For a long time, developing countries have had a dream to create their own investment and financing tools. The New Development Bank has realized such a dream, for it really knows what developing countries need and where they need to invest,” he said.

According to a recent report, Russia claimed to have developed an electronic warfare (EW) system that can jam satellites in geostationary orbit at an altitude of 36,000 kilometers.

2023 04 20 15 12 1
2023 04 20 15 12 1

“Enterprises of the Russian military-industrial complex have developed a new electronic warfare system capable of suppressing satellites in geostationary orbit with its signal. This is about 36,000 km above sea level,”

Without divulging any further details, the source added that at a shorter distance, the power of the emitter of the new system is capable of irreparable harm to the enemy’s electronics.

The revelation of the new Russian EW system came on the “Day of the Specialist in Electronic Warfare,” which is celebrated in Russian annually on April 15 to mark the occasion of the first combat use of electronic warfare on April 15, 1904, during the Russo-Japanese War (1904-1905), when Russian radio stations interfered with Japanese radio operators during the defense of Port Arthur.

Russia demonstrated its anti-satellite capabilities in November 2021 by carrying out a direct ascent anti-satellite (ASAT) test in which it destroyed one of its satellites that had been in orbit since 1982.

The anti-satellite test showed Russia was “ready to deny us space capabilities to other players, even if it creates some debris,” said Major General Michel Friedling, head of France’s Space Command, in June last year. “And even if it denies, to [Russia, themselves] the use of space capabilities,” he continued.

Thereafter, in the weeks preceding Russia’s invasion of Ukraine, it launched a cyber-attack on a US-based communications company, Viasat, to cripple Ukrainian command and control, which relied on Viasat’s satellite terminal up to some extent.

The cyber-attack was very effective, as was acknowledged by the senior Ukrainian cybersecurity official, Victor Zhora, who said it caused “a huge loss in communications at the very beginning of the war.”

However, the fallout of this successful cyber-attack was wide-reaching, as thousands of internet users across Europe were also thrown offline. For example, in France, according to Orange, a French Telecom company, 9,000 subscribers of a satellite internet service provided by its subsidiary, Nordnet, were left without internet.

Similarly, around one-third of 40,000 subscribers of bigblu satellite internet service based in Germany, France, Hungary, Greece, Italy, and Poland, were affected by the attacks on the Viasat satellite network.

The outages also knocked offline nearly 5,800 wind turbines in Germany and Central Europe, with a combined output of 11 gigawatts.

So, overall, Russia already has formidable kinetic as well as non-kinetic anti-satellite capabilities, and the recent news about the development of an EW complex for jamming satellites can be considered a move toward further bolstering those capabilities.

Are Russian Claims True?

For this, Colonel Konstantinos Zikidis of the Hellenic Air Force (HAF), formerly a Deputy Commander at the HAF Telecomms and Electronics Depot (ETHM), to assess the viability of Russian claims, was consulted.

“In general, the term ‘electronic warfare’ encompasses support, protection, and attack, focused mainly on radar and IR systems. A satellite in geostationary orbit has an altitude of 35,786 kilometers, traveling at an orbital speed of 3.07 kilometers per second, although it seems stationary, as seen from Earth.

At such distances, using RF noise jamming or any High Power Microwave weapon would be meaningless,” Zikidis believes.

The only potential solution for attacking a GEO satellite, according to Zikidis, would be a directed-energy weapon in the form of a very high-energy laser.

“Right now, High Energy Laser (HEL) systems featuring an output power at the order of hundreds of kiloWatts have been tested, at least according to open sources, with megaWatt class systems expected in the near future,” he noted, citing reports of US HEL programs.

He also cited an academic paper written by experts from China’s HeFei University and the People’s Liberation Army (PLA), which mentions a US Army ground-based laser weapon system capable of reaching 10 MegaWatt (MW) and the power of airborne lasers (ABL) reaching MW.

The same paper also talks about Russian plans to develop a laser with a range of 40,000 kilometers to attack early warning satellites, noted Zikidis while cautioning against discarding Russian claims.

2023 04 20 15 19
2023 04 20 15 19

When asked about what impact such a HEL system would have, Zikidis explained that it would be rather difficult to estimate its possible effect against a GEO satellite as there are limitations to the performance of a laser system.

“Inside atmospheric conditions, the laser beam suffers from absorption and scattering, while there are some upper limits to the power density of the beam. On the other hand, he said that using a ground-based HEL system against a stationary target would have the benefit of time, allowing for a cumulative effect.

Ah

Chinese Food that the rest of the world knows is NOT the Food that Chinese eat

Egg Rolls, Foo Yung, General Tsos Chicken etc is what is available in all these Chinese Restaurants in US while Chowmein, Egg Rolls, Fried Rice, Hakka Noodles, Chilly Chicken is what we associate with Chinese Food in India.

In Reality Chinese Food is a Lot of Vegetables stewed and boiled and cooked with sauces, Very Small helpings of Pork and Fish , a Lot of Tofu, Rice, A Soup

2023 04 20 15 23
2023 04 20 15 23

This is more or less the Noodles Chinese Consume.

The Noodles have mainly soup and vegetables and fish balls and tofu (soy) and sauces

2023 04 20 15d 23
2023 04 20 15d 23

These are Noodles that sell in Commercial outlets as Chinese Foods

Heavier Rice Noodles, Lots of Oil, Shrimp, Chicken, Onions, MSG (Aginomoto)

This is not what the Chinese eat every day even though its branded as Chinese food.


So thats why Chinese arent morbidly obese

They eat primarily steamed or boiled or lightly grilled or stir fried foods primarily containing Rice, Tofu and Greens and Mushrooms with Pork and Seafood

All healthy foods high on protein and lower in Carbs and definitely lower in fats

AND POTATOES? THEY ARE NON EXISTENT IN CHINESE CUISINE YET CHILLY HONEY POTATOES WAS ZOMATOS #1 SELLING CHINESE SIDE DISH AMONG VEGETARIANS

MAJOR TRAIN DERAILMENT – WISCONSIN – HAZ MAT INTO MISSISSIPPI RIVER

A major train derailment has taken place in Ferryville, Wisconsin, that sent numerous train cars plummeting into the waters of the Mississippi River.   If the Haz Mat releases, it could pollute the ENTIRE River for its full length inside the USA.

Emergency crews, including Hazmat teams, have responded to the derailment in Ferryville, Wisconsin.

Four people have been injured and multiple train cars have fallen into the Mississippi River.

It is currently unknown what’s inside the cars but reports are saying there might be a paint and lithium batteries in some of the train cars.

All local sand/gravel trucks are being sent in to help.

This area has suffered record setting Mississippi river floods recently, which are ONGOING.

According to locals, the rails washed out in front of the train which then derailed and dumped into the river.

Two rail cars washed down stream.

A local spokesman said lithium cars didn’t tip into the river — yet.

At this time of year, the river is usually at 8′, but now it is at almost 25′.

Bloomberg has an article on the growing unpopularity of the US:

Former Treasury Secretary Lawrence Summers warned of “troubling” signs that the US is losing global influence as other powers align together and win favor among nations not yet aligned. . . .

“Somebody from a developing country said to me, ‘what we get from China is an airport. What we get from the United States is a lecture,’” said Summers, a Harvard University professor and paid contributor to Bloomberg TV.

Obviously, lecturing other countries is not the best way to win friends and influence people. Better to lead by example. But it’s actually far worse than Summers suggests.

Over the past 4 decades, many if not most of our “lectures” have been US officials arrogantly telling less developed nations (and even developed places) that they needed to follow the “Washington Consensus”. You remember the Washington Consensus, the idea that countries should refrain from protectionism and industrial policies.

Now the US has abandoned the Washington Consensus and decided to go all in with protectionism and industrial policy. And that’s because we supposedly need to do this to keep from falling behind. But weren’t we told that these policies slow economic development?

It’s annoying when you get lectured to by more successful countries. It’s especially annoying then the lecture comes from self righteous societies that don’t follow their own advice. Is it any wonder that developing countries have lost respect for the US government.

I have too.

US officials scramble to slow China’s advances

Beijing’s diplomatic and business gains this year have been so great as to prompt panic in Washington

The US is bidding to build a chip ban alliance against China. Image: Twitter

It was the ultimate chip war that never was: German officials denied that Berlin planned to stop exporting specialty chemicals for chip fabrication, Reuters reported on April 27 – a day after Bloomberg News claimed that the government of Olaf Scholz “was in talks” on the subject, presumably under prodding from Washington. The stock prices of BASF and Solvay, the largest makers of the specialty products, plunged on Thursday after the Bloomberg report appeared but recovered sharply on Friday after the government’s denial. More than a dozen chemicals including acids, bases and solvents are indispensable to etching microcircuits onto silicon wafers, and an interruption of supplies would cripple China’s fabrication capacity.

.

State of decay across the UK

The Rise of China (and the Fall of the U.S.?)

By Alfred McCoy, a historian and educator. He is the Fred Harvey Harrington Professor of History at the University of Wisconsin–Madison and author of To Govern the Globe: World Orders and Catastrophic Change. Originally published at TomDispatch.

From the ashes of a world war that killed 80 million people and reduced great cities to smoking rubble, America rose like a Titan of Greek legend, unharmed and armed with extraordinary military and economic power, to govern the globe.

During four years of combat against the Axis leaders in Berlin and Tokyo that raged across the planet, America’s wartime commanders — George Marshall in Washington, Dwight D. Eisenhower in Europe, and Chester Nimitz in the Pacific — knew that their main strategic objective was to gain control over the vast Eurasian landmass.

Whether you’re talking about desert warfare in North Africa, the D-Day landing at Normandy, bloody battles on the Burma-India border, or the island-hopping campaign across the Pacific, the Allied strategy in World War II involved constricting the reach of the Axis powers globally and then wresting that very continent from their grasp.

That past, though seemingly distant, is still shaping the world we live in.

Those legendary generals and admirals are, of course, long gone, but the geopolitics they practiced at such a cost still has profound implications. For just as Washington encircled Eurasia to win a great war and global hegemony, so Beijing is now involved in a far less militarized reprise of that reach for global power.

And to be blunt, these days, China’s gain is America’s loss.

Every step Beijing takes to consolidate its control over Eurasia simultaneously weakens Washington’s presence on that strategic continent and so erodes its once formidable global power.

A Cold War Strategy

After four embattled years imbibing lessons about geopolitics with their morning coffee and bourbon nightcaps, America’s wartime generation of generals and admirals understood, intuitively, how to respond to the future alliance of the two great communist powers in Moscow and Beijing.

In 1948, following his move from the Pentagon to Foggy Bottom, Secretary of State George Marshall launched the $13 billion Marshall Plan to rebuild a war-torn Western Europe, laying the economic foundations for the formation of the NATO alliance just a year later.

After a similar move from the wartime Allied headquarters in London to the White House in 1953, President Dwight D. Eisenhower helped complete a chain of military bastions along Eurasia’s Pacific littoral by signing a series of mutual-security pacts — with South Korea in 1953, Taiwan in 1954, and Japan in 1960. For the next 70 years, that island chain would serve as the strategic hinge on Washington’s global power, critical for both the defense of North America and dominance over Eurasia.

After fighting to conquer much of that vast continent during World War II, America’s postwar leaders certainly knew how to defend their gains. For more than 40 years, their unrelenting efforts to dominate Eurasia assured Washington of an upper hand and, in the end, victory over the Soviet Union in the Cold War. To constrain the communist powers inside that continent, the U.S. ringed its 6,000 miles with 800 military bases, thousands of jet fighters, and three massive naval armadas — the 6th Fleet in the Atlantic, the 7th Fleet in the Indian Ocean and the Pacific, and, somewhat later, the 5th Fleet in the Persian Gulf.

Thanks to diplomat George Kennan, that strategy gained the name “containment” and, with it, Washington could, in effect, sit back and wait while the Sino-Soviet bloc imploded through diplomatic blunder and military misadventure. After the Beijing-Moscow split of 1962 and China’s subsequent collapse into the chaos of Mao Zedong’s Cultural Revolution, the Soviet Union tried repeatedly, if unsuccessfully, to break out of its geopolitical isolation — in the Congo, Cuba, Laos, Egypt, Ethiopia, Angola, and Afghanistan. In the last and most disastrous of those interventions, which Soviet leader Mikhail Gorbachev came to term “the bleeding wound,” the Red Army deployed 110,000 soldiers for nine years of brutal Afghan combat, hemorrhaging money and manpower in ways that would contribute to the collapse of the Soviet Union in 1991.

In that heady moment of seeming victory as the sole superpower left on planet Earth, a younger generation of Washington foreign-policy leaders, trained not on battlefields but in think tanks, took little more than a decade to let that unprecedented global power start to slip away. Toward the close of the Cold War era in 1989, Francis Fukuyama, an academic working in the State Department’s policy planning unit, won instant fame among Washington insiders with his seductive phrase “the end of history.” He argued that America’s liberal world order would soon sweep up all of humanity on an endless tide of capitalist democracy. As he put it in a much-cited essay: “The triumph of the West, of the Western idea, is evident… in the total exhaustion of viable systemic alternatives to Western liberalism… seen also in the ineluctable spread of consumerist Western culture.”

The Invisible Power of Geopolitics

Amid such triumphalist rhetoric, Zbigniew Brzezinski, another academic sobered by more worldly experience, reflected on what he had learned about geopolitics during the Cold War as an adviser to two presidents, Jimmy Carter and Ronald Reagan. In his 1997 book The Grand Chessboard, Brzezinski offered the first serious American study of geopolitics in more than half a century. In the process, he warned that the depth of U.S. global hegemony, even at this peak of unipolar power, was inherently “shallow.”

For the United States and, he added, every major power of the past 500 years, Eurasia, home to 75% of the world’s population and productivity, was always “the chief geopolitical prize.” To perpetuate its “preponderance on the Eurasian continent” and so preserve its global power, Washington would, he warned, have to counter three threats: “the expulsion of America from its offshore bases” along the Pacific littoral; ejection from its “perch on the western periphery” of the continent provided by NATO; and finally, the formation of “an assertive single entity” in the sprawling center of Eurasia.

Arguing for Eurasia’s continued post-Cold War centrality, Brzezinski drew heavily on the work of a long-forgotten British academic, Sir Halford Mackinder. In a 1904 essay that sparked the modern study of geopolitics, Mackinder observed that, for the past 500 years, European imperial powers had dominated Eurasia from the sea, but the construction of trans-continental railroads was shifting the locus of control to its vast interior “heartland.” In 1919, in the wake of World War I, he also argued that Eurasia, along with Africa, formed a massive “world island” and offered this bold geopolitical formula: “Who rules the Heartland commands the World Island; Who rules the World Island commands the World.” Clearly, Mackinder was about 100 years premature in his predictions.

But today, by combining Mackinder’s geopolitical theory with Brzezinski’s gloss on global politics, it’s possible to discern, in the confusion of this moment, some potential long-term trends. Imagine Mackinder-style geopolitics as a deep substrate that shapes more ephemeral political events, much the way the slow grinding of the planet’s tectonic plates becomes visible when volcanic eruptions break through the earth’s surface. Now, let’s try to imagine what all this means in terms of international geopolitics today.

China’s Geopolitical Gambit

In the decades since the Cold War’s close, China’s increasing control over Eurasia clearly represents a fundamental change in that continent’s geopolitics. Convinced that Beijing would play the global game by U.S. rules, Washington’s foreign policy establishment made a major strategic miscalculation in 2001 by admitting it to the World Trade Organization (WTO). “Across the ideological spectrum, we in the U.S. foreign policy community,” confessed two former members of the Obama administration, “shared the underlying belief that U.S. power and hegemony could readily mold China to the United States’ liking… All sides of the policy debate erred.” In little more than a decade after it joined the WTO, Beijing’s annual exports to the U.S. grew nearly five-fold and its foreign currency reserves soared from just $200 billion to an unprecedented $4 trillion by 2013.

In 2013, drawing on those vast cash reserves, China’s new president, Xi Jinping, launched a trillion-dollar infrastructure initiative to transform Eurasia into a unified market. As a steel grid of rails and petroleum pipelines began crisscrossing the continent, China ringed the tri-continental world island with a chain of 40 commercial ports — from Sri Lanka in the Indian Ocean, around Africa’s coast, to Europe from Piraeus, Greece, to Hamburg, Germany. In launching what soon became history’s largest development project, 10 times the size of the Marshall Plan, Xi is consolidating Beijing’s geopolitical dominance over Eurasia, while fulfilling Brzezinski’s fear of the rise of “an assertive single entity” in Central Asia.

Unlike the U.S., China hasn’t spent significant effort establishing military bases. While Washington still maintains some 750 of them in 80 nations, Beijing has just one military base in Djibouti on the east African coast, a signals intercept post on Myanmar’s Coco Islands in the Bay of Bengal, a compact installation in eastern Tajikistan, and half a dozen small outposts in the South China Sea.

Moreover, while Beijing was focused on building Eurasian infrastructure, Washington was fighting two disastrous wars in Afghanistan and Iraq in a strategically inept bid to dominate the Middle East and its oil reserves (just as the world was beginning to transition away from petroleum to renewable energy). In contrast, Beijing has concentrated on the slow, stealthy accretion of investments and influence across Eurasia from the South China Sea to the North Sea. By changing the continent’s underlying geopolitics through this commercial integration, it’s winning a level of control not seen in the last thousand years, while unleashing powerful forces for political change.

Tectonic Shifts Shake U.S. Power

After a decade of Beijing’s relentless economic expansion across Eurasia, the tectonic shifts in that continent’s geopolitical substrate have begun to manifest themselves in a series of diplomatic eruptions, each erasing another aspect of U.S. influence. Four of the more recent ones might seem, at first glance, unrelated but are all driven by the relentless force of geopolitical change.

First came the sudden, unexpected collapse of the U.S. position in Afghanistan, forcing Washington to end its 20-year occupation in August 2021 with a humiliating withdrawal. In a slow, stealthy geopolitical squeeze play, Beijing had signed massive development deals with all the surrounding Central Asian nations, leaving American troops isolated there. To provide critical air support for its infantry, U.S. jet fighters were often forced to fly 2,000 miles from their nearest base in the Persian Gulf — an unsustainable long-term situation and unsafe for troops on the ground. As the U.S.-trained Afghan Army collapsed and Taliban guerrillas drove into Kabul atop captured Humvees, the chaotic U.S. retreat in defeat became unavoidable.

Just six months later in February 2022, President Vladimir Putin massed an armada of armored vehicles loaded with 200,000 troops on Ukraine’s border. If Putin is to be believed, his “special military operation” was to be a bid to undermine NATO’s influence and weaken the Western alliance — one of Brzezinski’s conditions for the U.S. eviction from Eurasia.

But first Putin visited Beijing to court President Xi’s support, a seemingly tall order given China’s decades of lucrative trade with the United States, worth a mind-boggling $500 billion in 2021. Yet Putin scored a joint declaration that the two nations’ relations were “superior to political and military alliances of the Cold War era” and a denunciation of “the further expansion of NATO.”

As it happened, Putin did so at a perilous price. Instead of attacking Ukraine in frozen February when his tanks could have maneuvered off-road on their way to the Ukrainian capital Kyiv, he had to wait out Beijing’s Winter Olympics. So, Russian troops invaded instead in muddy March, leaving his armored vehicles stuck in a 40-mile traffic jam on a single highway where the Ukrainians readily destroyed more than 1,000 tanks. Facing diplomatic isolation and European trade embargos as his defeated invasion degenerated into a set of vengeful massacres, Moscow shifted much of its exports to China. That quickly raised bilateral trade by 30% to an all-time high, while reducing Russia to but another piece on Beijing’s geopolitical chessboard.

Then, just last month, Washington found itself diplomatically marginalized by an utterly unexpected resolution of the sectarian divide that had long defined the politics of the Middle East. After signing a $400-billion infrastructure deal with Iran and making Saudi Arabia its top oil supplier, Beijing was well positioned to broker a major diplomatic rapprochement between those bitter regional rivals, Shia Iran and Sunni Saudi Arabia. Within weeks, the foreign ministers of the two nations sealed the deal with a deeply symbolic voyage to Beijing — a bittersweet reminder of the days not long ago when Arab diplomats paid court in Washington.

Finally, the Biden administration was stunned this month when Europe’s preeminent leader, Emmanuel Macron of France, visited Beijing for a series of intimate tête-à-tête chats with China’s President Xi. At the close of that extraordinary journey, which won French companies billions in lucrative contracts, Macron announced “a global strategic partnership with China” and promised he would not “take our cue from the U.S. agenda” over Taiwan. A spokesman for the Élysée Palace quickly released a pro forma clarification that “the United States is our ally, with shared values.” Even so, Macron’s Beijing declaration reflected both his own long-term vision of the European Union as an independent strategic player and that bloc’s ever-closer economic ties to China

The Future of Geopolitical Power

Projecting such political trends a decade into the future, Taiwan’s fate would seem, at best, uncertain. Instead of the “shock and awe” of aerial bombardments, Washington’s default mode of diplomatic discourse in this century, Beijing prefers stealthy, sedulous geopolitical pressure. In building its island bases in the South China Sea, for example, it inched forward incrementally — first dredging, then building structures, next runways, and finally emplacing anti-aircraft missiles — in the process avoiding any confrontation over its functional capture of an entire sea.

Lest we forget, Beijing has built its formidable economic-political-military power in little more than a decade. If its strength continues to increase inside Eurasia’s geopolitical substrate at even a fraction of that head-spinning pace for another decade, it may be able to execute a deft geopolitical squeeze-play on Taiwan like the one that drove the U.S. out of Afghanistan. Whether from a customs embargo, incessant naval patrols, or some other form of pressure, Taiwan might just fall quietly into Beijing’s grasp.

Should such a geopolitical gambit prevail, the U.S. strategic frontier along the Pacific littoral would be broken, possibly pushing its Navy back to a “second island chain” from Japan to Guam — the last of Brzezinski’s criteria for the true waning of U.S. global power. In that event, Washington’s leaders could once again find themselves sitting on the proverbial diplomatic and economic sidelines, wondering how it all happened.

 

Playing around with CrAIyon

OK, so as of late, I have been experimenting with this “new” kind of Artificial Intelligence system. This one takes a sentence, a statement and then generates art from it. It’s fun, cool and quite an amusement. Something that I am just starting to “toy around with”.

2023 04 26 11 11
2023 04 26 11 11

And here’s my first attempt…

First trial

2023 04 26 11 13
2023 04 26 11 13

My images

I just screen-shot them…

2023 04 26 11 a16
2023 04 26 11 a16

2023 04 26 11 16
2023 04 26 11 16

Second Trial

2023 04 26 11 19
2023 04 26 11 19

The image matrix

2023 04 26 11 20s
2023 04 26 11 20s

2023 04 26 11 20a
2023 04 26 11 20a

Your Turn

Go click on this link to try it yourself…

CrAIyon

The changes are irreversible, and unstoppable…

You know what?

The USA is collapsing, and screaming as it dies. And the troll sharks are in a feeding frenzy, and they attack all of us what say anything outside the approved narrative.

I don’t care.

Die.

I don’t care.

Die. Go away. Fall apart.

There is nothing that I can do to save, change or accelerate the process. It’s over.

That’s my feelings.


Today’s article…

Wow! What a bombshell!

Chancellor of Germany.

I pray to God Almighty to keep this man safe. Initially, he was soft under pressure of the world hegemon, but he has now redeemed himself as one who is righteous. God bless him!

Abandoning the US, more scientists go to China

.

The Organisation for Economic Co‐operation and Development (OECD) — an intergovernmental organization with 38 member countries — has published new data showing that the United States is losing the race for scientific talent to China and other countries. China’s strategy to recruit scientific researchers to work at China‐affiliated universities is working, CATO Institute informs.

In 2021, the United States lost published research scientists to other countries, while China gained more than 2,408 scientific authors. This was a remarkable turnaround from as recently as 2017 when the United States picked up 4,292 scientists and China picked up just 116.

The OECD credits more Chinese scientists returning to China for the sudden reversal in Chinese and American inflows.

This is a disturbing trend that started before the pandemic. In fact, it appears to coincide with the Trump administration’s “China Initiative” — more accurately titled the anti‐Chinese initiative.

Launched in November 2018, the Department of Justice’s campaign was supposed to combat the overblown threat of intellectual property theft and espionage. In reality, it involved repeatedly intimidating institutions that employed scientists of Chinese heritage and attempting malicious failed prosecutions of scientists who worked with institutions in China.

U.S. Attorney Andrew E. Lelling has even admitted that the initiative that he helped lead “created a climate of fear among researchers” and now says, “You don’t want people to be scared of collaboration.”

If Chinese scientists are afraid to work in the United States, that means that the United States will not benefit from their discoveries as much or as quickly as China will.

Although the Justice Department claims to have shut down its “China Initiative,” my colleagues doubt that Chinese scientists will be free from unjust scrutiny going forward. The U.S. National Institutes of Health is still bragging about having caused the firings of more than 100 scientists and shutting down research by over 150 scientists — over 80 percent of whom identify as ‘Asian’.

The administration continues to maintain contrary to evidence that Chinese industrial espionage — by scientists working in the United States — is a significant threat to the country. Universities and U.S. companies think the far greater threat is losing out on talented Chinese researchers.

.

3600 Pounds of GOLD BULLION Stolen from Canada Airport

.

2023 04 21 11 43
2023 04 21 11 43

Police in Toronto and surrounding areas are going berserk looking for 3,600 pounds of Gold Bullion that was STOLEN from Pearson Airport in Toronto, Ontario. The Gold is said to be worth over US$100 Million.

The Royal Canadian Mounted Police confirmed they are looking into a gold robbery at Pearson International Airport. Gold mined in Canada can travel through Pearson on its way to customers around the world.

The airport did not respond to a request for comment. Peel Regional Police, who are responsible for the area, asked for the Mounties’ help, the RCMP said.

The Toronto Sun reported earlier Thursday that 3,600 pounds of gold being moved through the airport had been stolen. The newspaper said the theft was likely linked to organized crime.

Southeast Asia wants business and investments, they want to make money for their countries, US is offering politics not business. China is offering business not politics. China offers free trade agreements, US doesn’t.

All you are thinking about and offering are politics, competition and conflicts, try business, free trade deals, investments, you’ll do better.

People loved Americans because they offered prosperity, now all they talk about is politics. They came empty handed and left empty handed. They don’t want free handout or charity, they want business.

THIS IS WILL BE WW3, NATO is finished! with Clayton Morris

The bunker wad made in USSR times, so Russia has it’s exact specifics – it is heavy fortified bunker, built to withstand direct nuclear impact.

Located 120 meters under the ground it had 8 meters concrete sealing + 1m of lead. Kinzhal has few known modifications and the one that destroyed the bunker was using kinetic / compression energy.

It’s claimed to be able to penetrate 30+ meters of concrete, so basically there is no place you can hide from it.

Here you can see how it flies and hear “explosion” , which in fact is the sound of it, due to it’s top speeds mach 10-12.

Also you can notice the glow, which surrounds the rocket – it’s plasma field, which makes it untraceable, not to mention that even if you detect it – there’s nothing faster to intercept it.

https://youtu.be/JCIpWxsHW5I

‘Catastrophic’ Collapse in American Standard of Living Incoming As Global De-Dollarization Takes Hold: Economist

dollar’s share of global reserves falling from 73% in 2001 to 47% in 2021.

Economist Peter St Onge just issued a major warning on the fate of the US dollar and the quality of life in America.

In a new market update, St Onge says widespread de-dollarization is not a fear for the future.

Instead, the economist says a “stunning collapse” is already well underway, with the dollar’s share of global reserves falling from 73% in 2001 to 47% in 2021.

St Onge says American sanctions are now fueling the flame, citing the fact that the US froze $300 billion in Russian central bank dollars after the country instigated war with Ukraine.

He believes a global realization of the sanctions risk is leading nations to further move away from the dollar and towards alternative stores of value, such as gold and the euro.

Article HERE

.

Cajun Chicken Spaghetti

cajun chicken spaghetti squash bake stir 1
cajun chicken spaghetti squash bake stir 1

Ingredients

  • 1 pound butter, divided
  • 1/2 teaspoon garlic powder
  • 6 cups chopped onion, divided
  • 7 tablespoons Cajun seasonings, divided
  • 5 cups water
  • 2 1/2 tablespoons chicken base
  • 4 tablespoons Worcestershire sauce
  • 2 tablespoons Tabasco sauce or to taste
  • 2 (15 ounce) cans tomato sauce
  • 2 (12 ounce) cans tomato paste
  • 4 tablespoons granulated sugar
  • 10 chicken breast halves, skinned, de-boned and cut into bite-size pieces

Instructions

  1. Melt half the butter in a large heavy skillet. Add the garlic and 2 cups of the onion and sauté 5 minutes.
  2. Stir in 3 tablespoons of the Cajun seasonings and simmer for 10 minutes.
  3. Add the water, chicken base, Worcestershire sauce and Tabasco sauce and simmer for 6 minutes.
  4. Add the tomato sauce and tomato paste. Bring to a boil and add the sugar and 2 more cups of the onions. Reduce heat and simmer for 40 minutes, stirring occasionally.
  5. Coat chicken pieces with remaining Cajun seasonings.
  6. Melt remaining butter. Add the rest of the onions and sauté for 3 minutes.
  7. Add chicken and cook for 10 minutes or until tender.
  8. Stir chicken into sauce and serve over pasta (hot cooked spaghetti or linguine).

Zelensky is FINISHED and Biden knows it

Europe approves its $47 billion answer to Biden’s CHIPS Act

Apparently, Europe chip act removed all those conditions imposed by US chip act thinking they will be able to complete with US to win over investments. 

This is the beginning of dogs fighting dog, while China already making stage by stage breakthrough in home based chip manufacturing. 

Who will win the chip War when even the US, South korea, and Holland chip industrial reported massive sales dropped and stock crushed due to sanctioned against China ❗

From the way the Chinese handle competition based on self improvement and self reliance vs. the imperialist crusader's DNA nations of US and EU to simply sanctioned their competition, and looted other technology, it is not hard to tell why China able to lead the world for thousands of years before the 1840 opium war, and is already managed to self revive and lead the world against in all aspect very soon. 

The me-only crusader DNA nations need culture reform to earn to live well without war, Bullying, and looting.

Article HERE

European Chips Act

Full Text

US Dollar Suffering ‘Stunning Collapse’, Losing Reserve Status Due to Currency Weaponization: Report – The Daily Hodl

.

The US dollar’s global supremacy is reportedly eroding at an exponential rate, with countries backing away after witnessing how America used USD to impose sanctions against Russia.

In a new Bloomberg report, Stephen Jen and Joana Freire of asset management firm Eurizon SLJ Capital reveal that in 2022, the US dollar’s market share in global reserves plunged 10 times its average speed of the past 20 years.

Considering the fluctuations in exchange rates, the dollar lost about 11% of its market share since 2016 and twice that amount since 2008.

Jen and Freire say in an investor note that countries located in Asia, Latin America, Africa, the Carribean and the Pacific Islands – collectively known as the Global South – are shedding their dollar reserves as they look for an alternative to avoid sharing Russia’s fate.

“The dollar suffered a stunning collapse in 2022 in its market share as a reserve currency, presumably due to its muscular use of sanctions. Exceptional actions taken by the US and its allies against Russia have startled large reserve-holding countries.”…

Article HERE

Jeffrey Sachs Interview – China Pushes Back Against US Foreign Policy

“Reality Called. I Hang Up”: Hilariously Offensive Greeting Cards by Bluntcard

0 29
0 29

Bluntcard is a branded style of image and humor. The humor style is often truthful, abrupt, and can be insensitive. Mostly dealing with social issues, self absorption, hypocrisy and sometimes current events. Bluntcards are virtual greeting cards to be shared on the web.

More: Bluntcard, Instagram

39 3 1
39 3 1

38 3
38 3

37 3
37 3

36 3 2
36 3 2

35 3 2
35 3 2

34 3 1
34 3 1

33 3 2
33 3 2

32 4 1
32 4 1

31 4g
31 4g

30 7h
30 7h

29 1h0
29 1h0

28 9h
28 9h

27 1h2
27 1h2

26 1sf3
26 1sf3

25 1f4
25 1f4

24 14
24 14

23 14
23 14

22 15 1
22 15 1

21 16 1
21 16 1

20 16
20 16

19 17
19 17

18 19 1
18 19 1

17 20
17 20

16 21 1
16 21 1

15 22 1
15 22 1

14 24 1
14 24 1

13 26 1
13 26 1

12 27
12 27

11 27
11 27

10 27
10 27

9 30
9 30

8 31
8 31

7 31
7 31

6 32 1
6 32 1

5 36
5 36

4 37
4 37

3 37
3 37

2 38 1
2 38 1

125393621 164728052033597 3044926598522840657 n
125393621 164728052033597 3044926598522840657 n

125346719 381527789969084 2592847981864113570 n
125346719 381527789969084 2592847981864113570 n

125215343 804299316798617 8389288299925295046 n
125215343 804299316798617 8389288299925295046 n

123933664 815890895830764 5755614947012758431 n
123933664 815890895830764 5755614947012758431 n

123687907 766241717301601 3051516455748441491 n
123687907 766241717301601 3051516455748441491 n

123545739 404220764316730 8353802908086420874 n
123545739 404220764316730 8353802908086420874 n

123503775 793473938118505 1364197251883327044 n
123503775 793473938118505 1364197251883327044 n

123211032 2877018502517437 4111002958586227423 n
123211032 2877018502517437 4111002958586227423 n

123209051 3176385562483412 2849390905896294925 n
123209051 3176385562483412 2849390905896294925 n

123143784 3445494018861212 3676489530346393654 n
123143784 3445494018861212 3676489530346393654 n

123142335 378714750146039 2413184184853396904 n
123142335 378714750146039 2413184184853396904 n

122420691 274394140488570 1590545373271233314 n
122420691 274394140488570 1590545373271233314 n

122388249 359755678675414 6609201412670509537 n
122388249 359755678675414 6609201412670509537 n

Battle Scene from Downfall

Cajun Chicken Alfredo

2023 04 19 14 47a
2023 04 19 14 47a

Ingredients

  • 3 boneless, skinless chicken breasts
  • 1 bag fettuccine or penne pasta
  • Louisiana Cajun spice
  • 1 jar Alfredo sauce
  • Chopped green onions (garnish)
  • Diced tomato (optional garnish)

Instructions

  1. Season chicken breasts with Louisiana Cajun Spice generously, then season with garlic powder.
  2. Pour 1 tablespoon of vegetable oil in a frying pan and cook chicken until done.
  3. Boil pasta until done, then strain and add Alfredo sauce.
  4. Slice cooked chicken into 1 inch cubes.
  5. Put pasta mixture on a plate, and add diced chicken.
  6. Garnish with chopped green onions and tomatoes, if desired.

https://youtu.be/S7OmVnpfayc

Declan Hayes
April 20, 2023

Whether the Chinese want to eat them, use them as lab rats or put them into zoos, the sheer scale of this order shows that China is now a major player in the monkey business.

The news that Sri Lanka may export over 100,000 monkeys to China is another dagger to the Yankee dollar’s heart. Before moving on to other exotic exports from other exotic countries, let’s first put this monkey business to bed.

Sri Lanka’s economy, like her monkeys’ habitats, is in pieces. Sri Lanka needs every penny, every pound, every yen, every yuan she can scrape together. And, as macaque monkeys go for between $4,000 to $8,000 apiece, Sri Lanka is looking to gross between $400,000,000 and $800,000,000 for this exotic trade of a primate which is regarded as a pest throughout large swatches of Sri Lanka.

The trade in monkeys is big business, with the United States importing almost 500,000 of them for a variety of reasons (culinary, labs, zoos etc) in recent years. And whether the Chinese want to eat them, use them as lab rats or put them into zoos, the sheer scale of this order shows that China is now a major player in the monkey business.

If this was a once off trade or if Sri Lanka and China were not going to continue to be trading partners, it might make sense for China to pay in Yankee dollars, which Sri Lanka could then use to buy goods from one of its trading partners, China included. But, as China and Sri Lanka will forever remain major trading partners, the demand for yuan in Colombo and rupees in Shanghai will continue to grow.

Because the Sri Lankan rupee is an exotic currency for which there is only patchy overseas’ demand, the danger has been that China’s monkey importers would be loath to accept rupees as they are much harder to offload than the Yankee dollar. This problem can be seen more clearly with the 1997 passing of the late Princess Diana of Wales when the unprecedented demand for flowers to throw at her casket meant that Dutch traders (guilder/euro) were importing them wholesale from as far afield as Kenya (shilling) and Tanzania (shilling) to on-sell to the English (pound sterling). Far easier to take those currencies out of the frame and just count the resulting huge profits in one currency, the Yankee dollar, which would otherwise not be in the frame at all.

Complicating things further, the Sri Lankan rupee is a closed currency, which means it is not available to buy or sell outside of Sri Lanka. whose Central Bank is charged with stabilising it. As remittances from overseas Sri Lankans (down 20%) and tourist revenue (down 90%) both took massive hits from the Covid lockdown, the Central Bank’s job become much harder and desperate measures, such as curtailing the import of fertilisers, backfired badly on the ordinary Sri Lankan. Sri Lanka’s Central Bank really has its work cut out so much that if 100,000 macaque monkeys have to take it in the neck for Team Sri Lanka, so be it. As Sri Lanka’s annual debt service costs now run to over U.S.$10 billion, Sri Lanka cannot max out its national credit card any more but must think of new ways, like the mass export of monkeys, to tackle this crisis.

When Brazil’s President Lula recently rhetorically asked in Shanghai “why all countries have to base their trade on the dollar… why can’t we do trade based on our own currencies?”, Sri Lanka and many of his Latin American neighbours such as Argentina and Mexico supply much of the answer. The currencies of Mexico, Argentina, Sri Lanka and Brazil itself are known in the trade as exotic currencies, which are relatively minor in international commerce but whose resulting thinness and spread yields abnormally large profits for the British and American financial institutions who trade them.

When Brazil’s President Lula went on to rhetorically ask “Who was it that decided that the dollar was the currency after the disappearance of the gold standard?”, the answer is that the Americans decided that beginning at Bretton Woods, where the Brazilian and other delegations there were in no position to argue the converse. When Brazil’s President Lula then went on to rhetorically ask “Why can’t a bank like that of the Brics have a currency to finance trade relations between Brazil and China, between Brazil and other countries?”, the reason has as much to do with Sri Lankan monkeys as it has with monkey economics.

Quite simply, the Americans reaped the benefits of the Second World War much more than anyone else. The dollar replaced the pound sterling as the global reserve currency of choice as part of America’s campaign to achieve hegemony even during the Second World War when British backs were very much up against the wall and when, as a consequence, the pound sterling was under intense strain.

Although the paper notes the Bank of England issues promises to give the bearer one pound of sterling (92.5%) silver for every single note held, that is no longer the case. However, as long as credibility in the Bank of England and related central banks holds and people accept those pieces of paper, that is not really an issue and trade in these IOUs can continue more or less as before.

It is that credibility rather than the paper itself which is the Coin of the Realm, not only in England but in America, China and Sri Lanka as well. Having that credibility brings immense benefits to the Yanks, the Brits, the Swiss, the Japanese and the Germans and Dutch who are at the heart of the euro. If the Chinese (not, please note the Brazilians) can elbow their way into that happy circle, they will be well pleased with themselves. If they can get the Sri Lankans to take Chinese yuan rather than Yankee dollars for their monkeys, well then that is good news for both China and Sri Lanka.

And, of course, bad news for the Yanks, who have traditionally benefited immensely from all this. There is currently over $2,000 billion Yankee dollars, IOUs in circulation, with between 25% and 60% of that amount held outside of the U.S. If the Sri Lankans can strike a deal in yuan for their monkeys, then they can use some of their precious Yankee reserves for other purposes, much as Japan did in its leanest post war years, when it started to export guitars, sewing machines and bicycles in exchange for much-needed Yankee dollars.

America’s financial power goes much further than that, as the trade in American debt instruments is enormous and trade in gold derivatives is, by and large, a proxy for American interest rates, which determine the value of those debt instruments, which were historically considered a safe haven by Japan, South Korea, Taiwan and Russia, which has been criminally and systematically robbed by the Yanks and their west European vassals over the last year.

The dollar’s status as a reserve currency allows the Yanks to print an almost unlimited amount of dollars without suffering hyperinflation, something that is biting at the heels of Sri Lanka’s Central Bank and which the Central Banks of Mexico, Argentina and, of course, Germany are no strangers to.

As long as the Yankee dollar is the reserve currency of choice, Uncle Sam can simply print more greenbacks, more IOUs and trade them for Arab oil or Japanese cars. The only other countries that can exchange their dubious currencies for tangible goods are those, like the West Europeans, who can get an American swap line, allowing them to trade their IOUs (euros or pounds) for Yankee dollars. Sri Lanka, to take the obvious example, can no longer do that. Without a swap line to the Yanks or its Bretton Woods frontmen, printing more money devalues the currency and, as Latin America, Sri Lanka and Germany know all too well, causes inflation and the societal problems ensuing from it.

Not only has Lula’s Bric currency no prospect of replacing the Yankee dollar in the short term but there is no prospect of that happening over the longer term either. What is happening is that the Chinese yuan, the Russian rouble and other second tier currencies are pushing the dollar and allied currencies out of areas, such as the trade in Russian oil and Sri Lankan monkeys, they are not needed in.

Although the yuan option makes sense for Sri Lanka, the Dutch and the Yanks won’t be too happy with that. The Dutch, remember, even tried to wrest Greece’s dairy industry from Greece after their euro swindle caused Greece’s economy to implode. As the Dutch and their trans-Atlantic partners in crime showed no mercy to the Greeks, we cannot expect them to look kindly on either the Chinese or Holland’s own former Ceylonese colony. Sadly, Sri Lanka, on its own, is, like Brazil, in no position to stand up to the bullyboy tactics of the usual NATO suspects.

China, as previously alluded to, may be another kettle of fish. If China can make such exotic trades and help break NATO’s banana blockade, then it will have the gratitude of tens of millions of Latin Americans, Africans, Sri Lankans and other Asians. For China to accomplish that, credibility and cold, common sense must be their Coin of the Realm.

China’s alliance with Mother Russia best illustrates this. For such alliances to work, there must be clear demarcation lines between what each party does and does not do. In the case of oil, that can be Russia delivering crude oil at a marked to market price in a place and manner of choosing to the parties involved. As that is an ongoing supply and demand business, China and Russia can mark themselves not only to the spot price but, going forward, to the futures and options prices as well.

Given that Uncle Sam objected in his usual violent manner when Libya, Tanzania and Kenya tried to form their own gold backed currency in times gone by, we can expect plenty of U.S. inspired bumps along the road as Russia, China and Sri Lanka look to the future with the economic cards at their disposal. That said, the key to the future of Russia, China, Sri Lanka and countless other nations is to fortify their sovereignty and trading monkeys for yuan and yuan for oil is a big step in that process. Although none of that will replace the global pre-eminence of the Yankee dollar, ditching the dollar, a yuan, a rupee and a rouble at a time, offers more hope to Russians, Asians and Latinos than does eternal vassalage to Uncle Sam and the global financial system he rigs in his favour.

NATO’s pending monkey business against China in the South China Sea will be an excellent weather vane in this respect. If NATO can upset China’s apple-cart there, then, for countries like Sri Lanka, it will be business and penury as usual. If, on the other hand, NATO can be sent packing, then there might be hope for all the peoples of the South China Sea, for Sri Lanka and for all the other peoples of South Asia as well.

The Walking Dead – Bombing Atlanta.

Slow to the EV Game, Foreign Car JVs in China Face Bleak Future

Nissan and Stellantis are among those with the worst joint venture sales

By Selina Xu

19 November 2022

A slow roll-out of electric cars and continued adherence to internal combustion engine models is putting some of the world’s biggest automakers on the back foot in China, the largest market for cleaner vehicles.

Among the joint ventures of major international players, the following 10 are at the bottom, ranking worst in terms of combustion-engine sales, according to CMB International Capital Corp. and using data from the China Association of Automobile Manufacturers.

Article HERE

.

Vintage photos

Unsorted. Please enjoy.

SHORPY 4a55278a1.preview
SHORPY 4a55278a1.preview

SHORPY 4a55247a.preview
SHORPY 4a55247a.preview

SHORPY 4a55246a.preview
SHORPY 4a55246a.preview

SHORPY 4a25228a.preview
SHORPY 4a25228a.preview

SHORPY 4a55248a.preview
SHORPY 4a55248a.preview

SHORPY 4a55236a.preview
SHORPY 4a55236a.preview

SHORPY 4a55070a.preview
SHORPY 4a55070a.preview

SHORPY 4a55072a.preview
SHORPY 4a55072a.preview

SHORPY 16532u1.preview
SHORPY 16532u1.preview

SHORPY 16536u.preview
SHORPY 16536u.preview

SHORPY 4a55118a.preview
SHORPY 4a55118a.preview

SHORPY 4a30913a.preview
SHORPY 4a30913a.preview

SHORPY 4a25662a.preview
SHORPY 4a25662a.preview

SHORPY 8d04633a.preview
SHORPY 8d04633a.preview

SHORPY 8d04594a.preview
SHORPY 8d04594a.preview

SHORPY 4a55220a.preview
SHORPY 4a55220a.preview

SHORPY 40300a.preview
SHORPY 40300a.preview

SHORPY Paterson Panorama 1.preview
SHORPY Paterson Panorama 1.preview

SHORPY 8c32154a1.preview
SHORPY 8c32154a1.preview

SHORPY 23357a1.preview
SHORPY 23357a1.preview

SHORPY 12794a.preview
SHORPY 12794a.preview

SHORPY 8d05405a.preview
SHORPY 8d05405a.preview

SHORPY 8d05325a.preview
SHORPY 8d05325a.preview

SHORPY 8d05177a.preview
SHORPY 8d05177a.preview

SHORPY 8d05145a.preview
SHORPY 8d05145a.preview

SHORPY 8d05283a.preview
SHORPY 8d05283a.preview

SHORPY 8d05164a.preview
SHORPY 8d05164a.preview

SHORPY 4a55053a.preview
SHORPY 4a55053a.preview

US vs China Parents – What skills do children need to be innovative?

During a recent meeting I attended with educators and government officials, I shared my frustration with the “output” of the current educational system. In my opinion, we optimized the system to produce graduates with deep technical skills and the ability to take tests. One competency that I see missing in our children is the ability to apply creative problem-solving skills to any given problem.

The Creative Economy

If you believe, as I, that we are experiencing the transition to the creative economy, the ability to train future employees with innovation skills will determine the winners and losers for organizations and countries. Those with a workforce without innovation skills will be relegated to being producers rather than creators.

I don’t want to sound like I’m blaming educators. The ultimate responsibility falls on the parents for the education and training of their children.  So what skills should parents ensure their kids? A recently published report based on the Newsweek-Intel Innovation Survey shows that US and China parents don’t agree on what skills are critical for children to have when it comes to innovation.

2023 04 20 06 42
2023 04 20 06 42

So, what are these critical skills?

Creative Thinking/Problem-Solving Skills: Children need to be taught how to think rather than how to memorize. It’s not about finding the one right answer for a test but instead the ability to search out all the possible answers to a question to find the optimal solution. Critical thinking and problem-solving skills should not be a stand-alone subject but taught across all subjects. For example, thinking through the range of options a given historical figure faced and then determining what would have been the alternative outcomes. Did that person make the right decision?

Entrepreneurial Skills: It is no longer about having deep expertise in an area but also having a broad understanding of how an idea is transformed into innovation. Understanding the structure, steps, and running of an organization is a fundamental skill that everyone needs to have.

Cultural Understanding:  The world is flat and getting flatter. The ability to understand and collaborate with a global ecosystem of employees, partners, and customers is a table stake. Without them, you are at a distinct disadvantage that will become more severe.

What are parents to do?

Find opportunities for your kids to gain the experience and skills needed to win the emerging economy. Get them involved in Junior Achievement so they understand business and how to be an entrepreneur. Get them on a FIRST team so they learn how to invent, create and collaborate. Put them in situations where they have to work with others from different cultures, such as an international internship.

While we as a society need to change the educational system to ensure we are producing the best employees possible, it’s the parents that can have the most positive and immediate impact on instilling innovation skills.

What jobs will they be ready for when the creative economy takes over?

Heartbreak Ridge – This Is The AK-47 Assault Rifle

How do we detect an imminent Chinese invasion of Taiwan?

I assume that you are an American, or a member of a proxy state loyal to the United States.

I also must assume that you failed geography. Never studied war. Have no idea at all about China, and are just emotionally entangled with the anti-China nonsense being spewed forth from the Western media.

Well, I’ll try to answer this one.

But as we used to say in Mississippi; there’s “few things stupider than a mail box pole”.

Taiwan is close to China.

In close. As in really, really, REALLY close.

Not only geographically, but socially, economically, financially, culturally, historically, and in all other ways… Chinese.

There is so much cross-strait migration back and forth, that you cannot tell who is from Taiwan and who is from the mainland.

So what does this mean?

Well…

  • You cannot detect a build up of any kind of an invasion force.
  • You cannot discern who is who, and where is what.
  • China controls Taiwan. Even though there are DPP elements who believe otherwise.

So, to spell it out clearly… let’s just say this.

You can supply Taiwan with all the weapons and bombs in the world, and you can convince them that LGBQ+ is the “new sexy”, but China is far too big, far too powerful, far too influential, and far, far too well managed. If China said “enough is enough”. All the games and charades would be over.

President Biden would have a fit, the United States media would howl, and the neocons would demand war!

But you know what would really happen?

Nothing. A whimper. And the United States would slither back under the rock from whence it came from.

Uncle Buck Favorite Scene

Okinawa is a Japanese & English name. Its Chinese name is Chong Sheng (冲绳). In 2023, it has returned to its history & renamed itself BACK to Liu Qiu (琉球). It was called Zhong Shan Wang Guo (中山王国)more than 150 years ago.

Liu Qiu is composed of many islands & rocks. Geographically Liu Qiu is located between Taiwan & Japan. But is closer to Taiwan than to Japan.

Liu Qiu was an independent country. It had diplomacy & business with China since 1429 & was under protection of China (like Korea).

Before WW2, when China’s Qing dynasty was militarily weak, Japan colonized many Asian countries & places incl Liu Qiu, Korea & Taiwan.

After WW2, the defeated Japan were forced to leave its colonies. According to Cairo Declaration, Japan territory only included Japan’s 4 big islands & a few rocks where there were no human.

In Liu Qiu, there were & are natives living there. Clearly there is no way Liu Qiu was part of Japan according to Cairo Declaration. Liu Qiu was independent from Japan.

How did Liu Qiu become a Japanese county today?

In 1972, USA unilaterally renamed Liu Qiu to Okinawa & put it under Japanese jurisdiction. Liu Qiu government was powerless to resist USA. (USA also unilaterally gave China’s Diao Yu Dao (钓鱼岛) to Japan, but there was no human on the rock. Today China vigorously protects Diao Yu Dao from Japan.)

1972 was an important date. It is the year when USA betrayed Taiwan’s ROC sovereignty & recognized PRC as the legitimate government to represent China in UN.

Why gave Liu Qiu to Japan at this time?

USA built a big US military base on Liu Qiu close to Taiwan. That is, to closely watch Taiwan for rebellion. After all USA had betrayed Taiwan first.

Before & during WW2, Japan was a bully in Asia. Forced labor/slave people from Korea, China incl Taiwan & of course Liu Qiu. Slavery means Japan did not treat others as humans. Insufficient food. Long hours of work. Not to mention physical beating.

Like Hitler’s Jewish holocaust, Japan did the same in Liu Qiu, China incl Taiwan (Nanjing massacre) & Korea. Liu Qiu people never forget that massacre.

Today, US soldiers on Liu Qiu are lawless. Rapes. Assault esp after alcohol. But they are immune from Liu Qiu law incl covid restrictions.

In 2023, Japan also stations Japanese soldiers in Liu Qiu.

Liu Qiu knows well:

If there is Taiwan war, USA & Japan will turn Liu Qiu into a ruin, both physically & economically. Dont be naive to think USA cares about human rights.

That is why Liu Qiu badly wants independence & declares neutrality among big countries eg China & USA+Japan. Not to mention to kick out lawless US & Japanese soldiers.

China is to do 2 things.

1, Accept Liu Qiu’s request for formal diplomacy between the 2 in summer 2023.

2, Following Cairo Declaration, China will ask UN to recognize Liu Qiu as an independent country. USA’s unilateral action in 1972 was illegal.

There are no arguments “for” 🙄, Taiwan belongs to China end of. If people do not like it then they are free to leave.

Even the UN recognises Taiwan as belonging to PRC China.

These questions are moot 🙄

On 23 July 2007, Secretary-General of the UN

Ban Ki-moon rejected Taiwan’s membership bid to “join the UN under the name of Taiwan”, citing Resolution 2758 as acknowledging that Taiwan is part of China, although it is important to note, not the People’s Republic of China.[8] Since Resolution 2758 was said to be “deliberately ambiguous” and did not use the word ‘Taiwan’, Ban Ki-moon’s interpretation to this effect came under fire from the American media[9] and was also opposed by several UN members led by the U.S.[10] A report by the American think tank the Heritage Foundation, also suggests that the US government issued a nine-point démarche

specifically rejecting the Secretary-General’s statement.[11] The US did not make any public pronouncement on the matter. Nevertheless, Secretary-General Ban Ki-moon’s statement reflected long-standing UN policy and is mirrored in other documents promulgated by the United Nations. For example, the UN’s “Final Clauses of Multilateral Treaties, Handbook”, 2003 (a publication which predated his tenure in Office) states:

…regarding the Taiwan Province of China, the Secretary-General follows the General Assembly’s guidance incorporated in resolution 2758 (XXVI) of the General Assembly of 25 October 1971 on the restoration of the lawful rights of the People’s Republic of China in the United Nations. The General Assembly decided to recognize the representatives of the Government of the People’s Republic of China as the only legitimate representatives of China to the United Nations. Hence, instruments received from the Taiwan Province of China will not be accepted by the Secretary-General in his capacity as depositary.[12]

Playing around with Stable-diffusion

OK, so as of late, I have been experimenting with this “new” kind of Artificial Intelligence system. This one takes a sentence, a statement and then generates art from it. It’s fun, cool and quite an amusement. Something that I am just starting to “toy around with”.

This is pretty good. Style options are not present, though.

2023 04 26 10 38
2023 04 26 10 38

The first try pictures

4 rabbit
4 rabbit

3 rabbit
3 rabbit

2 rabbit
2 rabbit

1 rabbit
1 rabbit

My second try

2023 04 26 10 42
2023 04 26 10 42

My second try pictures

biff 4
biff 4

biff 3
biff 3

biff 2
biff 2

biff 1
biff 1

My third try

2023 04 26 10 54
2023 04 26 10 54

My pictures from the third try

pres 4
pres 4

pres 3
pres 3

pres 2
pres 2

pres 1
pres 1

My fourth try

2023 04 26 10 58a
2023 04 26 10 58a

The images

a4
a4

a3
a3

a2
a2

a1
a1

My fifth try

2023 04 26 11 06
2023 04 26 11 06

The images

in 4
in 4

in 3
in 3

in 2
in 2

in 1
in 1

Your Turn

Go click on this link to try it yourself…

Stable-diffusion

Playing around with runwayML

OK, so as of late, I have been experimenting with this “new” kind of Artificial Intelligence system. This one takes a sentence, a statement and then generates art from it. It’s fun, cool and quite an amusement. Something that I am just starting to “toy around with”.

Personally, I do not like the results…

2023 04 25 15 40
2023 04 25 15 40

2023 04 25 15 39
2023 04 25 15 39

2023 04 25 15 38e
2023 04 25 15 38e

2023 04 25 15 38
2023 04 25 15 38

2023 04 25 15 37
2023 04 25 15 37

2023 04 25 15 36
2023 04 25 15 36

Your Turn

Go click on this link to try it yourself…

RunwayML

Playing around with Dreamstudio.AI

OK, so as of late, I have been experimenting with this “new” kind of Artificial Intelligence system. This one takes a sentence, a statement and then generates art from it. It’s fun, cool and quite an amusement. Something that I am just starting to “toy around with”.

This one takes a little bit of time to figure out and work with, but it’s not that difficult.

You upload a “seeder” image. Blur it to represent the amount of change you want, pick a style. Write a description and the AI does the rest…

2023 04 25 15 10
2023 04 25 15 10

Here’s some examples when I typed in a sentence, and then clicked on the style icon…

I think that it is fun.

3136204005 tomato soup and cheese sandwich xl beta v2 2 2
3136204005 tomato soup and cheese sandwich xl beta v2 2 2

2151311876 tomato soup and cheese sandwich xl beta v2 2 2
2151311876 tomato soup and cheese sandwich xl beta v2 2 2

Now, let’s put a completely different image in the system. Everything else stays the same…

2023 04 25 15 16
2023 04 25 15 16

Some notes

This is part of a much larger “package” of tools for image manipulation and what-not. All in all it holds promise.

Go HERE to see the full “toolbox”.

Money issues

You need to purchase “credits’ to continue using this product.

It shows promise, but playing around for ten minutes isn’t enough time for me to judge it’s worth and utility.

I probably will get back to it and play around some more. Just not right now. I have others that I will evaluate before then.

2023 04 25 15 22
2023 04 25 15 22

Your Turn

Go click on this link to try it yourself…

DreamstudioAI

Musings on a fine rainy day

There’s something very nice and soothing about rain. It’s dark and cooling outside. There’s often a nice breeze. It’s pleasant.

When I was a young boy, I well remember an event once I walked home from first grade in the pouring rain. I wore my “batman” rain cape, and splashed home wearing my black galoshes.

And then I arrived home.

My mother made a hot; piping hot bowl of “Campbells” tomato soup. She placed salted crackers in the soup, and gave me (and my sister) a nice grilled cheese sandwich with a tall glass of milk.

It is a memory that I will never forget.

A classic recipe of grilled cheese sandwich and tomato soup 768x510 1
A classic recipe of grilled cheese sandwich and tomato soup 768×510 1

Let’s start today…

Star Trek – Death of A Dictator?

The Chinese Foreign Ministry on Monday called for vigilance as some media outlets have sought to misrepresent China’s position on the Ukraine issue and sow discord between China and the countries concerned.

2023 04 25 11 18
2023 04 25 11 18

Spokesperson Mao Ning made the remarks at a daily news briefing when asked to comment on the remarks made by China’s Ambassador to France Lu Shaye.

Last Friday, Ambassador Lu took part in an interview from a French media house. On the ownership of Crimea, he said that the it depends on how the problem is perceived, adding Crimea was historically part of Russia and had been offered to Ukraine by former Soviet Union leader Nikita Khrushchev.

“China’s position on relevant issues remains unchanged,” Mao responded.

“As to issues related to territorial sovereignty, China’s position is consistent and clear,” the spokesperson pointed out, stressing China respects all countries’ sovereignty, independence and territorial integrity and upholds the purposes and principles of the UN Charter.

After the Soviet Union dissolved, China was one of the first countries that established diplomatic ties with the countries concerned, Mao pointed out.

Since the establishment of diplomatic ties with these countries, China has followed the principles of mutual respect and equality in developing friendly and cooperative bilateral relations with them, she added.

Mao made it clear that China respects the status of the former Soviet republics as sovereign countries after the Soviet Union’s dissolution.

“As to the Ukraine issue, China’s position is clear and consistent. We will continue to work with the international community to make our own contribution to facilitating a political settlement of the Ukraine crisis,” said Mao.

Funny

2023 04 25 09 50
2023 04 25 09 50

Look at what is going on…

Sudan

sudan
sudan

TSMC revenues slide for the first time in four years • The Register

US is in the process of destroying all existing non-Chinese chip companies by cutting them off the world biggest chip market. A salute to comrade Trump and comrade Biden for helping the made by china 2025 program by giving away the world biggest chip market exclusively to the Chinese tech companies.
TSMC revenues slide for the first time in four years

The world's largest semiconductor contract manufacturer isn't immune to ongoing chip slump

Dan Robinson

Thu 20 Apr 2023 // 12:45 UTC

TSMC has posted mixed results for calendar Q1, representing a fall in revenue when reported in US dollars, although some analysts say the company exceeded lowered expectations in the current economic climate.

The world's largest semiconductor contract manufacturer, reported turnover of $16.72 billion, down 4.8 percent year-on-year, and a sharp decrease of 16.1 percent against the previous quarter...

Article HERE

Mexican President on the war-path

… All of AMLO’s speeches are not translated at all.  He has these early morning meetings, called his Matutina at 7am and that is where he talks and it remains in Spanish.

He has been on a rampage just lately because the US threatened (again) to fall into Mexico and to destroy the cartels.  They are nuts .. the cartels are part of humanity in Mexico and in any event, the cartels are in cahoots with at least the US DEA.
I did a few translations fon the major comments of LAC countries for our site.

Venezuela VP Delcy Rodriques: “The United States is at war with the world; economic war, military war… The United States has issued more than 20,000 unilateral coercive measures. 35 countries are victims of unilateral coercive measures, and this represents 28% of countries worldwide… They said ‘this is targeted for the officials’ and all the Venezuelan people ended up sanctioned. We are talking about… a 99% drop in foreign currency revenues… Credit rating agencies? Pawns in this war. … We started to reverse this trend, with a lot of effort from our people. Thanks to the Venezuelan people. What they call an “economic miracle” is not an economic miracle, nor a neoliberal miracle or anything else, it is the miracle of the Venezuelan people in resistance.”   https://orinocotribune.com/venezuela-vp-delcy-rodriguez-sanctions-are-imperialisms-attacks-on-sovereign-countries/

Nicaragua President DANIEL ORTEGA: “World War III is already underway. This is World War III. Why? Because it is the United States and Europe using Ukraine to seek how to disappear Russia. The same thing that happened in World War II… and the first troops that entered the bunker then in Germany were the troops of the Soviet Union. They were the first to enter. In other words, the victory, the great defeat and the victory of the peoples rested on the Russian people, on the Red Army, on the Soviet people. And now we are seeing the same story… The Russian Federation is fighting a battle for peace. It’s not against a nation. It’s against the fascists, the Nazis who staged a coup d’état there in Ukraine and settled there. It’s Hitler’s children who are ruling there… meanwhile, NATO harassing Russia with more weapons, more bases, and the United States leading the orchestra of international terrorists…”

Mexico President AMLO: “No foreign government would dare to set foot in our territory. In any case, if they did, it is not only the marines and the soldiers who will defend Mexico, every Mexican will defend Mexico.”

Here is one translations from recent AMLO speech … Article HERE

Scott Ritter: “Russia is CLOSING IN, THIS IS IT!” in Exclusive Interview

https://youtu.be/9QM3NTCw3-E

Mennonite Old-Fashion Beef Pot Pie

2023 04 19 10 54
2023 04 19 10 54

Ingredients

  • 2 pounds stewing beef
  • 6 cups water
  • 1 1/2 teaspoons salt
  • 6 medium size potatoes
  • 2 cups all-purpose flour
  • 1 egg
  • 3 tablespoons milk or water
  • 1 teaspoon minced onion
  • 1 teaspoon minced parsley

Instructions

  1. Cook meat in salt water until it is tender.
  2. Remove meat from broth; add minced onion and parsley to broth. Bring to the boiling point and add alternate layers of cubed potatoes and squares of dough.
  3. To make dough, beat egg and add milk. Add flour to make a stiff dough. Roll out paper thin and cut into 1-inch squares.
  4. Keep broth boiling while adding dough squares in order to keep them from packing together. Cover and cook for 20 minutes, adding more water if needed. Add meat and stir through pot pie.

War

On 25 Apr 2023, at 8:48 am, Godfree Roberts <godfree@gmail.com> wrote:
 64% of people polled don't want Biden to run next year, so his handlers have announced that he will run but not debate Kennedy, his rival for the Democratic nomination, who would wipe the floor with him. 

Tucker Carlson, the only mass market reporter with a major audience and the only one to persistently address political corruption and incompetence, was fired yesterday, just as Phil Donohue (who criticized the planned Iraq war) was fired as part of America's preparation for war – of which Chrchill observed that truth is the first casualty.

“After Musk decided to buy Twitter, Hillary Clinton called upon European countries to force social media companies to censor Americans. The European Union quickly responded by threatening Musk and other executives. Now, UK Technology and Science Secretary Michelle Donelan has announced plans to jail social media executives if they fail to censor so-called “harmful” content on their websites. The government, of course, will determine what is deemed too harmful for citizens to see or hear, and whom to prosecute.”  

"Democrats want to imprison Matt Taibbi for examining the Twitter files released by Elon Musk and showing that Twitter was coerced by the US government to censor the truth on many topics. In other words, as I warned would happen, it is already a de facto criminal offense to speak the truth and soon will be de jure".

On a brighter note, the On 21 April, the Iskander operational and tactical missile system struck a weapons depot and a location of foreign mercenaries stationed in the library building in Kostantinovka (Donetsk People's Republic).

The strike killed 60 militants of the so-called Georgian Legion, destroyed 15 pieces of military hardware, and left 20 mercenaries seriously wounded. The Georgian Legion was involved in the televised torture and killing of Russian servicemen near Kiev in March last year. 

The Russian Ministry of Defence has information on every foreign mercenary involved in the killing of Russian military personnel. All of them will get the retribution they deserve”.

Jeffrey Sachs: “China JUST CHANGED EVERYTHING, THIS IS SERIOUS” in Exclusive Interview

https://youtu.be/Xm0IGDE52sk

Xi diplomacy works, one step closer towards taking back Taiwan province without war:

PARIS, April 20 (Reuters) – French President Emmanuel Macron spoke with his U.S. counterpart Joe Biden on Thursday, but statements the two leaders released differed over Taiwan just 10 days after Macron had drawn criticism with allies over the issue.

  • Reporting by Sudip Kar-Gupta; Editing by Leslie Adler

Article HERE

  • Biden, Macron discuss French leader’s recent trip to China to ease tensions

Article HERE

White House limited statement:
Statement HERE
Readout of President Joe Biden’s Call with President Emmanuel Macron of France

HOME

BRIEFING ROOM

STATEMENTS AND RELEASES

President Joseph R. Biden Jr. spoke today with President Emmanuel Macron of France. 

Following up on their April 4 conversation, the two leaders discussed President Macron’s recent travel to the People’s Republic of China and their ongoing efforts to advance prosperity, security, shared values, and the rules-based international order in the Indo-Pacific region. 

They reaffirmed the importance of maintaining peace and stability across the Taiwan Strait. 

The Presidents also reiterated their steadfast support for Ukraine in the face of Russia’s brutal aggression.

Summary: Macron traveled to China, and Biden wanted to check to see if France was still “in his pocket”. They also discussed “Ukraine”.

IDIOCY: New York City to Track Residents FOOD Carbon Footprint! Demand Cut in Food Consumption!!!

New York City will track the carbon footprint of residents’ food consumption as part of a sweeping initiative to decrease the city’s carbon emissions from food by a third this year, Mayor Eric Adams revealed on Monday at an event for the Mayor’s Office of Climate and Environmental Justice.

About a fifth of New York’s greenhouse gas emissions come from household food consumption, Adams told reporters, blaming much of that total on meat and dairy. Household food consumption is supposedly the third largest contributor to city emissions totals, trailing only buildings and transportation.

The Mayor’s Office of Food Policy has ordered city agencies to reduce their food consumption by 33% by 2030, and Adams has asked private corporations to cut their own emissions by 25% by 2030, insisting New Yorkers’ wasteful eating habits cannot continue without imperiling the planet.

(HT Remark: Clearly this Mayor is an idiot.  This kind of idiocy being openly used as a reason to reduce people EATING is just too far out of line. These public servants have got to be engaged and made to stop their crazed thinking.  We don’t pay THEM to tell US what we can eat.)

“It is easy to talk about emissions that are coming from vehicles and how it impacts our carbon footprint,” he said. “But now we have to talk about beef.” City officials urged New Yorkers to put down the burgers and pick up vegetables and beans.

“A plant-based diet is better for your physical and mental health, I’m living proof of that, but…thanks to this new inventory, we’re finding out it is better for the planet,” Adams quipped. While the mayor has long professed to be a vegan, even publishing a cookbook touting his supposedly plant-based diet, he admitted last year that he enjoyed the occasional fish after a restaurant whistleblower came forward.

The household consumption carbon footprint tracker will be viewable on the same website as the city’s breakdown of its annual greenhouse gas totals, which also includes data on producing consumer goods and using professional services.

Last year, Adams signed New York onto the C40 Good Food Cities program, a global pledge to reduce food waste and incentivize healthier eating habits. The program aims to enforce compliance with UN climate goals by ‘nudging’ populations toward more nutritious meals, mandating a “planetary health diet” for all residents.

Adams admitted that monitoring what’s on the end of New York’s forks was not going to be easy, telling the outlet Gothamist, “I don’t know if people are really ready for this conversation.”

When his predecessor Michael Bloomberg tried to legally enforce healthy eating in 2012 with a heavy-handed ban on super-size sugary drinks, the state Supreme Court struck it down as arbitrary and capricious.

Bloomberg, however, now runs the C40 program’s board of directors. So it really doesn’t matter.

Wagner Swipes Through West BAKHMUT with FAB-500s

Beijing’s investment machine: US losing influence to China in South-East Asia, Lowy Institute research shows

The United States has lost influence in South-East Asia over the past five years, with fresh Lowy Institute research showing Beijing has increased its lead in economic and diplomatic engagement and made up ground on cultural influence.

While the US remains solidly ahead in defence across the region, Beijing has strengthened its defence network ties...

Article HERE

The United States Of Gerontocrats

Like all beings people grow old. In the later stages of live this usually comes with physical and mental impairments. That is why people older than 70 tend to get nudged out of their office.

But that is not true for the U.S. Congress which fits the definition of a gerontocracy:

A gerontocracy is a form of oligarchical rule in which an entity is ruled by leaders who are significantly older than most of the adult population. In many political structures, power within the ruling class accumulates with age, making the oldest individuals the holders of the most power. Those holding the most power may not be in formal leadership positions, but often dominate those who are. In a simplified definition, a gerontocracy is a society where leadership is reserved for elders.

This comes with political consequences.

Democrats still face Feinstein dilemma as replacement bid fails

Democrats’ plan to replace an ailing senator on the Senate Judiciary Committee fell apart amid Republican opposition Tuesday, leaving the party still grappling with a dilemma over stalled judicial nominees that has inflamed some in the Democratic base and complicated the Senate race to succeed her in California.Republicans prevented Senate Majority Leader Charles E. Schumer (D-N.Y.) from temporarily replacing Sen. Dianne Feinstein (D-Calif.), who has been absent since February while recovering from shingles, on the panel with another Democrat on Tuesday evening.

Sen. Lindsey O. Graham (R-S.C.) objected to the move, saying it would allow Democrats to “pass out a handful of judges that I think should never be on the bench.”

That leaves Senate Democrats still grappling with how to deal with their oldest member’s extended absence, which has resulted in some of President Biden’s judicial nominees stalling out in the Judiciary Committee without her tiebreaking vote. The powerful committee, which is probing allegations of financial conflicts of interest against Supreme Court Justice Clarence Thomas, also lacks the votes to issue subpoenas in her absence.

“It creates a real dilemma for us,” said Sen. Peter Welch (D-Vt.), a member of the Judiciary Committee. “We’re stuck, if it’s [a] 10-10 [split between Democrats and Republicans]. That’s not an opinion — that’s a reality.”

It is a bit weird that a story about a procedural problem caused by the old age of a member of Congress quotes of many old people.

  • Senator Dianne Feinstein was born on June 22, 1933. She is 89 years old.
  • Senator Charles E. Schumer was born on November 23, 1950. He is 72 years old.
  • President Joe Biden was born on November 20, 1942. He is 80 years old.
  • Justice Clarence Thomas was born on June 23, 1948. He is 74 years old.
  • Senator Lindsey O. Graham was born on July 9, 1955. He is 67 years old.
  • Senator Peter Welch was born on May 2, 1947. He is 75 years old.

A bit further down in the story:

Senate Judiciary Committee Chairman Richard J. Durbin (D-Ill.), who has said her absence has hampered the committee, said he would not try to “push her into any other decision.”

Biden, who recruited Feinstein to serve on the Judiciary Committee and considers her a long-term friend and a political ally, has also given her space.

Biden’s own age at 80 makes it politically fraught to even gently nudge someone to retire, and he also resisted Democrats’ past calls to push Supreme Court Justice Stephen G. Breyer to resign to appoint a younger successor — making him an unlikely ally in the effort.Feinstein has withstood multiple rounds of calls for her to resign over the years, as unflattering anecdotes emerged from some of her colleagues and others about her memory lapses and her perceived cognitive decline, as well as her visible reliance on her aides in public-facing aspects of her job. But the holdup on judicial nominees created by her absence has changed the tenor of the conversation among Democratic activists.

Feinstein’s allies, including Rep. Nancy Pelosi (D-Calif), who is backing Schiff’s candidacy, have long rebuffed the notion that Feinstein should step down on anyone’s terms other than her own. They have bristled at the calls for her to resign and allow California Gov. Gavin Newsom (D) to appoint a replacement through the end of her term — categorizing those suggestions as a sexist double standard that is not applied to aging male senators.

Former senator Barbara Boxer, who served with Feinstein from 1992 to 2017, called the refusal of Senate Republicans to give Feinstein the time that she needs to recover “disgraceful,” “divisive” and “disrespectful.”

“If a Republican senator had the same situation happen to them as Senator Feinstein, she would be the very first one calling them and saying, ‘What can I do for you?’” Boxer said in an telephone interview. “What they are doing — because it’s expected, because people know the hardball they are playing — is not getting the discussion that it deserves.”

The age of the persons listened to is again way above average.

  • Senator Richard J. Durbin was born on November 21, 1944. He is 78 years old.
  • Justice Stephen G. Breyer was born on August 15, 1938. He is 84 years old.
  • Rep. Nancy Pelosi was born on March 26, 1940. She is 83 years old.
  • Former senator Barbara Boxer was born on November 11, 1940. She is 82 years old.

The median age in the United States is 38.5 years. Should a bunch of octogenarians be trusted to decide the fate of a much younger population?

I of course fudged a bit. The story also named Schiff who is 62 and Newsom who is 55 years old. It also has voices of a few other people. Rep. Alexandria Ocasio-Cortez, age 33, is the youngest one. The three reporters who wrote the story are all about 40 years old.

Still, Congress and the Supreme Court have an age problem.

Internationally the average age of the U.S. Congress is unusually high. The average age of 118th Congress is 58 years. In the House of representatives the average is 57 years while the Senators have an average age of 64 years.

The average age of the members of the German Bundestag is 49 years which is only two years more than the median age of the German population. While there is no upper age limit for members of the Bundestag the judges of the German supreme court (Verfassungsgericht) have to retire when they pass 68.

The French Assemblée has a similar average age as the Bundestag. The members British House of Commons has an average age of about 50. (The members of the less powerful House of Lords have an average age of 70. But most of the 770+ members do not attend parliament procedures. The Lords chamber, rarely filled, only has a seating capacity for about 300 members.)

How come that the average age of Congress members is a decade older than the average age of other parliaments?

I genuine do not understand why that is the case.

What are the consequences?

I can think of only bad ones.

How could this be changed?

Introduce a formal age limit for members of Congress and judges. Due to the old average age of Congress this would be difficult to pass if the limit is too low. But a limit of 75 years would probably pass and sounds good to me.

Any better ideas?

Posted by b on April 19, 2023 at 16:51 UTC | Permalink

Japan signals attitude shift to power of the Global South – Asia Times

A break from the United States?  This change took place after the recent meeting with the Chinese FM. -MM

Tokyo now accepts that countries across Africa, Asia and Latin America no longer want to submit to the will of the Western states

By VIJAY PRASHAD

APRIL 19, 2023

Article HERE

The Below chapter on the Art of war (Sun Zi) explained in detail a series of recent CCP strategies (militarily, diplomatically, economically) and also a series of preparation to take back Taiwan peacefully: Note that the following content also help to explain the series of CCP diplomacy achieving the objective of damaging US ability to form a group of Mafias to start a gang war against China and Russia.
《孙子兵法·谋攻篇》-古籍备览-国学书苑-国学网

 孙子曰:凡用兵之法,全国为上,破国次之;全军为上,破军次之;全旅为上,破旅次之;全卒为上,破卒次之;全伍为上;破伍次之。是故百战百胜,非善之善者也;不战而屈人之兵,善之善者也。

  故上兵伐谋,其次伐交,其次伐兵,其下攻城。攻城之法,为不得已,修橹贲辒,具器械,三月而后成,距,又三月而后已。将不胜其忿而蚁附之,杀三分之一,而城不拔者,此攻之灾也。

  故善用兵者,屈人之兵而非战也,拔人之城而非攻也,毁人之国而非久也。必以全争于天下,故兵不顿而利可全,此谋攻之法也。

  故用兵之法,十则围之,五则攻之,倍则分之,敌则能战之,少则能逃之,不若则能避之。故小敌之坚,大敌之擒也。夫将者,国之辅也,辅周则国必强,辅隙则国必弱。

  故君之所以患于军者三:不知军之不可以进,而谓之进;不知军之不可以退,而谓之退,是谓縻军。不知三军之事,而同三军之政者,则军士惑矣。不知三军之权,而同三军之任,则军士疑矣。三军既惑且疑,则诸侯之难至矣,是谓乱军引胜。vad90国713k4学k1ljb网n23i1

  故知胜有五:知可以战与不可以战者胜,识众寡之用者胜,上下同欲者胜,以虞待不虞者胜,将能而君不御者胜。此五者,知胜之道也。vad90国713k4学k1ljb网n23i1

  故曰:知彼知己者,百战不殆;不知彼而知己,一胜一负;不知彼不知己,每战必殆。

From HERE

Scott Ritter: “Ukraine BAKHMUT HAS FALLEN, THIS IS FATAL!”

https://youtu.be/yl6WIOKiaFA

Warships JAMMING GPS over Taiwan

Taiwan is encountering JAMMING of GPS signals all along the northern part of the island.  This coincides with the presence of China warships.

It is not known at this time WHY the jamming is being done, but it __is__ interfering with air traffic into and out of Taiwan.

 

Coloring For Grown-Ups: The Adult Activity Book

coloring book1
coloring book1

Two veterans of offbeat Internet humor hilariously combine the mindless fun of children’s coloring books with the mind-numbing realities of modern adult life.

With over 200 comedy videos and 75 million Youtube views to their credit, Ryan Hunter and Taige Jensen know how to make people laugh. Their YouTube video, “Hipster Olympics” racked up nearly three million hits and quickly attained worldwide cult status, which led to opportunities to create original content for Comedy Central, MTV, College Humor, the Huffington Post, The Onion and Slate. Now, the duo put their prolific creative talents to work in Coloring for Grown-Ups. The artwork resembles that of a children’s activity book, while actually offering an ironic look at the stereotypes, habits, and challenges of modern adulthood. Coloring for Grown-Ups includes more than 50 fun activities, such as:

– 6 Steps for Compromising Your Integrity and Goals!
– “Hipster or Homeless?”
– Color the Potential Terrorists!
– Draw the person you thought you’d grow up to be before you abandoned all your hopes and dreams!

Darkly humorous–and fun for any occasion –Coloring for Grown-Ups is the perfect stocking stuffer for reluctant adults of any age.

More: Coloring For Grown-Ups, Amazon

coloring book22
coloring book22

coloring book21
coloring book21

coloring book20
coloring book20

coloring book19
coloring book19

coloring book18
coloring book18

coloring book17
coloring book17

coloring book16
coloring book16

coloring book15
coloring book15

coloring book14
coloring book14

coloring book13
coloring book13

coloring book11
coloring book11

coloring book10
coloring book10

coloring book9
coloring book9

coloring book8
coloring book8

coloring book7
coloring book7

coloring book6
coloring book6

coloring book5
coloring book5

coloring book4
coloring book4

coloring book3
coloring book3

coloring book2
coloring book2

Nope.

Nuclear launch systems are designed to be Internet-proof- meaning that they physically cannot connect to the internet. In order to launch a nuclear missile, one must acquire two sets of two command keys, split between two independent command groups. After acquiring all four, they may be turned simultaneously to launch around fifty nuclear missiles.

Oops! All those nukes just landed in the middle of the Pacific ocean. That’s because nukes need to be manually loaded with launch trajectory programs. I kid you not, these programs are stored on 8-inch diskette drives.

main qimg 01b785df1b4377436334975096d6ef09 lq
main qimg 01b785df1b4377436334975096d6ef09 lq

So unless your laptop is connected to a four-armed robot in possession of four nuclear command keys and a booklet of launch-trajectory floppy discs, good luck hacking into a launch silo.

Update: I’ve been told the floppy disk system was retired in late 2019 in favor of insertable encrypted solid-state drives. However, the machines still do not connect to the internet. The overall system is similar except for the physical storage medium.

Samsung hit with $303 million fine for memory chip patent infringement – SamMobile

Kissinger is right to said “being a US ally is tragic” : South Korea not only turned huge trade surplus to deficits economically, but Samsung chip business also suffered a very big dropped, now facing the crusader legal system:

Samsung was hit with a $303 million fine after Netlist convinced a federal jury that the South Korean tech giant infringed upon several of its patents related to semiconductor memory chips. It was alleged that Samsung Electronics willfully infringed upon Netlist’s memory chip patents, and the jury was convinced of the same after a six-day trial in Marshall, Texas, in the US.

Netlist Inc., which is a California-based firm that designs and sells SSDs, was working with Samsung on a project, after which the South Korean firm reportedly took the memory module technology and used it in its memory chips used in cloud computing servers. Netlist then sued Samsung Electronics in 2021 and demanded a $404 million fine in damages.

Article HERE

“Stop Encouraging War!” – Brazil’s President Scolds The U.S.

No, the US will suffer a huge inflation up to 20–50% if China does not continue to support the United States in trade.

The Chinese do back breaking work, while being paid a pittance for the U.S. to enjoy high standard of living. And this situation has been going on for a good part of 3 decades. True, as the fact that the American economy economy has stagnated.

The U.S. productivity has stagnated to the point of being unsustainable for most things produced in The U.S.

Its cars for example, are uncompetitive and unsaleable outside the US mostly but China gives GM a hand to become a bigger buyer of its car than what is sold in the U.S. but made in China.

The low inflation rate up to the Ukraine war in the U.S. is totally attributable to China, the fact that some U.S. products are still selling is due to Chinese manufacturers and Chinese workers. Some industry survived because China allowed it to let some U.S. technology to grow without China competing with them.

So the U.S. should be thankful and grateful to China that the Chinese allowed the American people whose real income stagnated since 1960, the U.S. dollar is propped up by the Chinese which do trade using the dollar till today when two third of the world buys more from China than the U.S. In fact China alone growth is bigger than the entire G7.

But instead of being grateful and thankful it demonised and blame China and it threaten and wants decoupling from China. China says ok let’s decoupled. Thanks to the trade war started by Trump, the U.S. falls into disarray and it suffers huge inflation and in curbing inflation it now faced a banking crocus bigger than the Lehman Brothers saga.

The entire world is moving away from the dollar and western currencies and their financial institutions collapsing the western hegemony and growing into a multi polar world. The dollar will depreciate and hyperinflation will occur if it tries money printing and money creation without growing U.S. economy. The U.S. is a dire situation. And for lack of a better word fxxked.

The English adage shout be appropriate here “ never bite the hand that feed you”

This is the level of Depravity The USA Faces: “Abort God” Spray Painted on Pro-Life Center

A pro-life pregnancy center in Bowling Green, Ohio, was spray-painted with messages saying, “fund abortion,” and “abort God,” in another attack claimed by a radical group called “Jane’s Revenge,” Fox News reported on Monday.

Other phrases painted on the walls of the HerChoice pregnancy center, included “liars,” and “fake clinic,” a label that far-left, pro-abortion politicians often give to pregnancy resource centers that actually work to help women prepare for motherhood. Rochelle Sikora, the executive director of HerChoice, told Fox News Digital the attack happened on the morning of April 15.

“This vile attack is part of a nationwide movement to intimidate, threaten, and terrorize pregnancy centers,” Sikora said. “These tactics are not only anti-American, they are based on misconceptions, misinformation, and outright lies.”

Sikora told the outlet that her pregnancy center provides pregnancy testing, ultrasounds, limited sexually transmitted infection (STI) testing, birth and parenting classes, and material assistance to those in need and that their “love for women in the community will not wane in the face of these threats.” The report notes that the center is one of more than 100 pregnancy centers across the state that provide $15 million worth of services to families in need.

“In fact, our resolve to serve is only strengthened,” Sikora said. “For those looking to help us respond to this vandalism with love and compassion, we invite you to join our mission to love, serve, and equip anyone facing a pregnancy decision with Christ-centered resources and support that empower them to pursue life for themselves and their unborn child.”

Security footage caught the suspect in the act, although their face was completely covered, according to 13 ABC. Police are investigating the incident.

 

 

Within hours of the vandalism being discovered, a group of college students and local Knights of Columbus came to help clean up the vandalism, according to Ohio Right to Life.

“A huge thank you should be given to the local Knights of Columbus council and the college students who came out to help the cleanup process immediately upon seeing the vandalism. They represent the Bowling Green Community at its finest,” Ohio Right to Life’s Chief Executive Office Peter Range said in a statement. 

 

 

“In the broader context, though, there have been well over 100 attacks against pro-life pregnancy centers and pro-life organizations since last year. Everyone in this state and nation, no matter their political affiliation or stance on abortion, should support centers and organizations that help mothers,” Range continued. “I pray local officials, statewide elected members, and national leaders will all rise to the moment and speak out against these attacks to put an end to these senseless attacks once and for all.” 

Hal Turner Editorial Opinion

So they finally did it; they said the quiet part out loud: “Abort God.”   THAT is the true nature of the left-wing.  All those so-called “Liberals” who claim they support “Tolerance” are the most intolerant people on the planet!   So bent are they, that they actually spray painted “Abort God” on the building.

Now that we can all see that the radical left is literally at war with Almighty God Himself, where does that leave you and me?  

We now see what the left-wing ACTUALLY thinks.  Not only do they THINK IT, they criminally engage in their effort to achieve it.

On my radio show on Monday, I told my audience that the people wrecking our society need to learn what happens when they push, and push, and push too far: They get punched in the face.  And if getting punched in the face doesn’t bring them to their senses . . . if they don’t stop their wrecking of society, culture, politics, and religion, then, in the words of World War 2 General George Patton, they need to have “their ever living guts ripped out.”

It’s that simple.

THAT is the reality we now face:  People who are so bent on destroying that they actually said “Abort God.”  

Such people should be given no Quarter.  They are not “people” they are evil demons.  

Mennonite Bread Pudding

2023 04 19 10 55
2023 04 19 10 55

Ingredients

  • 2 eggs, well beaten
  • 1/2 cup granulated sugar
  • 2 cups milk
  • 1/4 teaspoon nutmeg, ground
  • 4 cups day old bread (1/2-inch slices), cubed
  • 1/4 cup raisins

Instructions

  1. Beat eggs. Add sugar, milk and nutmeg.
  2. Butter a 1 1/2-quart baking dish.
  3. Put bread cubes into dish and pour egg mixture over the bread. Let the bread cubes become soaked by the mixture. Mix in the raisins.
  4. Bake at 350 degrees F for 25 minutes.
  5. Serve warm.

30 Years Ago Today: FBI Slaughtered 82 Branch Davidians in Waco, TX

The Waco siege, also known as the Waco massacre, was the siege by U.S. federal government and Texas state law enforcement officials of a compound belonging to the religious cult known as the Branch Davidians between February 28 and April 19, 1993.

The Branch Davidians, led by David Koresh, were headquartered at Mount Carmel Center ranch in the community of Axtell, Texas, 13 miles (21 kilometers) northeast of Waco.

Suspecting the group of stockpiling illegal weapons, the Bureau of Alcohol, Tobacco, and Firearms (ATF) obtained a search warrant for the compound and arrest warrants for Koresh and several of the group’s members.

The ATF had planned a sudden daylight raid of the ranch in order to serve these warrants, intending to quickly control the situation and reduce the risk to all parties that was associated with the large cache of modified weapons and explosive devices the Davidians had available. Any advantage of surprise was lost when a KWTX-TV reporter who had been tipped off about the raid asked for directions from a U.S. Postal Service mail carrier who was coincidentally Koresh’s brother-in-law. Thus, the group’s members were fully armed and prepared; an intense gunfight erupted, resulting in the deaths of four ATF agents and six Branch Davidians.

Upon the ATF’s entering of the property and failure to execute the search warrant, a siege was initiated by the Federal Bureau of Investigation (FBI), during which negotiations between the parties attempted to reach a compromise.

After 51 days, on April 19, 1993, the FBI launched a tear gas attack in an attempt to force the Branch Davidians out of the compound’s buildings. Shortly thereafter, the Mount Carmel Center became engulfed in flames.

The fire and the reaction to the final attack within the group resulted in the deaths of 76 Branch Davidians, including 25 children, two pregnant women, and David Koresh. In total, the 51-day siege resulted in the deaths of four federal agents and 82 Branch Davidians, 28 of whom were children.

The events of the siege and attack, particularly the origin of the fire, are disputed by various sources. Department of Justice reports from October 1993 and July 2000 conclude that although incendiary tear gas canisters were used by the FBI, the Branch Davidians had started the fire, citing evidence from audio surveillance recordings of very specific discussions between Koresh and others about pouring more fuel on piles of hay as the fires started, and from aerial footage showing at least three simultaneous ignition points at different locations in the building complex.

The FBI contends that none of their agents fired any live rounds on the day of the fire. Critics contend that live rounds were indeed fired by law enforcement, and suggest that a combination of gunshots and flammable tear gas was the true cause of the fire.

The Waco siege was cited by Timothy McVeigh as the main reason for his and Terry Nichols’s plan to execute the Oklahoma City bombing exactly two years later, on April 19, 1995, as well as the modern-day American militia movement and a rise in opposition to firearm regulation.

NO ONE is ready for what Putin is doing in the Arctic, GET READY!

Kitty with a hole

GOTTA TAKE A 2ND GLANCE! KITTY LOOKS LIKE HE HAS A HOLE IN HIM!

Interesting fur markings!

main qimg 9a13c7d2f8ddaff64b38818268abf22d
main qimg 9a13c7d2f8ddaff64b38818268abf22d

In mid-April, the Japanese Ministry of Foreign Affairs released its Diplomatic Bluebook 2023, its most important guidebook on international affairs. Japan’s foreign minister, Yoshimasa Hayashi, wrote the foreword, which begins: “The world is now at a turning point in history.”

main qimg 5ca843345457a4cadd25391920b12e3d
main qimg 5ca843345457a4cadd25391920b12e3d

This phrase is key to understanding the Japanese approach to the war in Ukraine.

Hours after Russian forces entered Ukraine, the Japanese government signed the Group of Seven statement that condemned the “large-scale military aggression” and called for “severe and coordinated economic and financial sanctions.”

The next day, Hayashi announced that Japan would sanction “designated individuals related to Russia,” freeze assets of three Russian banks, and sanction exports to Russia’s military.

In its Diplomatic Bluebook 2022, Japan condemned Russia and urged the Russian government to “withdraw its troops immediately, and comply with international law.” Russia’s war, the Japanese argued, “shakes the very foundation of the international order,” an order whose attrition, as the new Bluebook argues, has brought the world to this “turning point.”

NATIONAL INTERESTS:

Despite all the talk of sanctions, Japan continues to import energy from Russia. In 2022, 9.5% of Japan’s imported liquefied natural gas came from Russia (up from 8.8% in 2021). Most of this energy came from Russia’s Sakhalin Island, where Japanese companies and the government have made substantial investments.

In July 2022, Hayashi was asked about Japan’s continued imports from Sakhalin-2. His answer was clear: “Sakhalin-2 is an important project for energy security, including the stable supply of electricity and gas in Japan.”

Since July, Japan’s officials have continued to emphasize Japan’s national interests, including through the Sakhalin-2 natural-gas project, over its obligations to the G7 and to its own statements about the war.

In August 2022, the Japanese government asked two private companies, Mitsui and Mitsubishi, to deepen involvement in Russia’s Sakhalin-2: “We will respond by working with the public and private sectors to protect the interests of the companies and secure stable supply of liquefied natural gas,” said former minister of economy, trade and industry Kōichi Hagiuda.

In March 2022, Kyodo News reported that a leaked version of the Diplomatic Bluebook 2022 used a rather startling phrase, “illegal occupation,” to describe Russian control over islands north of Hokkaido. The Japanese government had not used that phrase since 2003, largely because of increased diplomatic activity between Japan and Russia driven by the collaboration over the development of Sakhalin-2.

As it turned out, the draft that Kyodo News had seen was altered so that the official Diplomatic Bluebook of 2022 did not use this phrase. Instead, the Bluebook noted that the “greatest concern between Japan and Russia is the Northern Territories issue,” which “is yet to be resolved.”

Japan could have taken advantage of the Western animosity against Russia to press its claim on these islands, but instead, the government merely expressed hope that Russia would withdraw from Ukraine and return to “negotiations on a peace treaty” regarding the islands north of Japan.

THREE NEW POINTS:

The Diplomatic Bluebook 2023 makes three important points: that the post-Cold War era has ended, that China is Japan’s “greatest strategic challenge” (page 43), and that Global South countries must be taken seriously. The Bluebook highlights Japan’s confusion, caught between its reliance on Russian energy and the growing confidence of the Global South.

The Bluebook from 2022 noted, “The international community is currently undergoing an era-defining change.” Now, however, the Bluebook 2023 points to the “end of the post-Cold War era” (page 3), which is illustrated by the collapse of the US-led world order (which both the United States and Japan call the “rules-based international order”). Washington’s power has declined, but it is not clear what comes next.

Anxiety about the growing role of China in Asia is not new for Japan, which has long contested the Diaoyu (China)/Senkaku (Japan) islands. But now, there is a much more pronounced – and dangerous – assessment of the situation.

The Bluebook 2023 notes the close alignment between China and Russia, although it does not focus on that strategic partnership. Rather, the Japanese government focuses on China, which it now sees as Japan’s “greatest strategic challenge.”

Even here, the Japanese government acknowledges that the two countries “have held a series of dialogues to discuss common issues.” The “efforts of both Japan and China” are important, says the Bluebook, to build a “constructive and stable” relationship (page 43).

Finally, the Japanese government accepts that there is a new mood in the Global South, with countries across Africa, Asia and Latin America unwilling to submit any longer to the will of the Western states.

In January this year, a reporter from Yomiuri Shimbun asked the Foreign Ministry’s press secretary, Hikariko Ono, how Japan defined the “Global South.” Her tentative reply is instructive.

“The government of Japan does not have a precise definition of the term Global South,” she said, but “it is my understanding that in general, it often refers to emerging and developing countries.”

The Ministry of Foreign Affairs, she noted, must “strengthen engagement with the Global South.”

In the Bluebook 2023, the Japanese recognize that Global South countries are not following the Western position on Ukraine and that berating the countries of the Global South raises accusations of “double standards” (wars by the West are acceptable, but wars by others are unacceptable – page 3). Japan will promote multilateralism, building “an inclusive approach that bridges differences.” A new “attitude is required,” says the Bluebook.

In March, Japanese Prime Minister Fumio Kishida met with President Volodymyr Zelensky in Ukraine. Both sides said they were working to share security information, but Japan once more refused to send weapons to Ukraine.

A few weeks after Kishida left Ukraine, Mitsuko Shino, Japan’s deputy permanent representative to the United Nations, warned in a guarded statement about the “risks stemming from violations of the agreements regulating the export of weapons and military equipment” and about the importance of the Arms Trade Treaty.

Japan remains caught in the horns of its own dilemma.

From what I observed Indian Top Students and Chinese Top Students in School have very similar mindset

main qimg 9123b7d1d3ede112f70730b8070e6e3e
main qimg 9123b7d1d3ede112f70730b8070e6e3e

Obessession with Exams

Obessession with Performance

Studying all the time

Parents very focused on Childs Education

Luckily unlike India there is only the Gaokao and once they take this exam, they relax completely unlike our boys who have to write 12th, JEE, NEET etc etc.

Still for the Top Students, like India it’s a Pressure Cooker

Parents actually may feel ashamed and disgraced that their child didn’t do well in the Gaokao


The Average Chinese Student is pretty much happy go lucky

main qimg 2d7caaa1772e49768dec6a7d445a0b79
main qimg 2d7caaa1772e49768dec6a7d445a0b79

K Pop, Squid Game, Gaming, Obessession with latest smartphones especially the cameras

Unlike India, Not going to College or University is not a disgrace for these students.

Most of them keep programming and most of them know how to fix a phone chipset and mother board.


Two points here is i like to make:-

First

The Schooling System in China is DISTINCTLY SUPERIOR TO INDIA

Their Public Schools are better than our Private Schools

Of course like our friend Aravind Varier would like, everything is in MANDARIN

Physics, Maths, Chemistry, Biology, History all are taught in Mandarin and English is just a subject

Their Teachers are very qualified and excellent at their Job.

Chinese Parents dont worry about School Fees like Indian Parents do. Most Public Schools are free until 9th Grade and 80% of them are free until Gaokao.

Food free and provided

Plus EVERYTHING IS OPEN BOOK

You can bring 200 Books to the Exam Hall and refer to all of those books

Only those who want to study in US or Australia, the rich students who will take IELTS and SAT etc study in the few Private Schools where the Average Tuition is around 6000 Yuan a month

And here is the best part

CPC Members CANNOT send their Kids to these private schools. It’s Forbidden thanks to a 1959 rule by Mao.

The Second Point here is

These Kids dont keep discussing Politics and Religion like our Kids do

None of this Modi, RaGa, Muslim, Hindu, Encounter etc.

These Kids simply talk about K Pop Or Gaming Or Smartphone comparisons or how to program an app or such stuff

No Uyghurs, Tibetians etc.

Fresh Uncluttered Minds who benefit from censorship. They discuss Squid Games not Geopolitics


Their College and University system is also very superior to ours


Still the Top School Students obessess too much over exams and performance

In that case they are similar to our JEE Aspirants

Plus Gifted Six Year Olds are handpicked into special schools where they are taught even more advanced courses

main qimg 0e868045f7a73961b44c62587c2e24f3
main qimg 0e868045f7a73961b44c62587c2e24f3

And guess what?

Special School selection is based entirely on PURE MERIT AND TALENT

Even Xi Jingpings grandson cannot get in unless he has ability or merit


On the Whole a normal middle class Chinese Student is lucky to be born in China than born in India

No Quota, No Reservation, No Atrocious Fees, No Multiple Competitive Exams, No mandatory tuitions, No mediocre teachers, No Politics and No ridiculous discussion

American military war game over a war over Taiwan.

Two photos.

Picture on the wall depicts US Marine occupation of Beijing during Boxer Rebellion in 1900

main qimg cf37a1fb24feb87b6ca52a3b3d18e2c8
main qimg cf37a1fb24feb87b6ca52a3b3d18e2c8

American military discussing how to kill the Chinese military forces. (As they lean on the map of China.)

main qimg 86900b6292299e4b2e5c322dd87317dc
main qimg 86900b6292299e4b2e5c322dd87317dc

Eastern European countries scramble after China gives Russia ‘permission’ to invade

A prominent Chinese ambassador has given tacit approval for President Vladimir Putin’s plans to re-establish Russia’s lost empire.

.

“Ex-Soviet Union countries” don’t exist, a prominent Chinese ambassador has declared. It could be tacit approval for President Vladimir Putin’s plans to re-establish Russia’s lost empire.

On Saturday, the Chinese envoy to France, Ambassador Lu Shaye, denied that nations that fled the Soviet Union after its collapse in 1991 are free and independent sovereign states.

“There is no international agreement to realise their status as a sovereign nation,” he told a French news network.

Now Latvia, Lithuania and Estonia are demanding answers from Beijing as they struggle against escalating espionage attacks from Moscow.

They’re just three of 15 states formally annexed under dictator Joseph Stalin as “union republics” of the Soviet Bloc.

Already nervous after decades of Russian President Vladimir Putin expressing his desire to bring the Eastern European nations back under Moscow’s control, the tiny nations are now demanding Beijing clarify its formal position on their sovereignty.

The answer will directly impact their future, and world peace.

“As a declining Eurasian empire, Russia is intent on revising the post-Cold War settlement by regathering the Eastern Slavic core of the former Soviet Union — recalling Putin’s statements that there is no such thing as the Ukrainian nation — and then re-establishing a sphere of influence in Europe, the Caucasus, and Central Asia,” says Center for European Policy Analysis (CEPA) analyst Chels Michta.

“For this, he needs China’s backing and, increasingly, consent, as it increases its economic investment and influence in Central Asia.”

Gaslighting peace

Chairman Xi Jinping declared he wanted to be a “peacemaker”, outlining a 12-point peace plan before personally visiting President Vladimir Putin in Moscow last month.

He is yet to accept an invitation for a phone call with Ukraine’s President Volodymyr Zelenskyy.

EU Commission President Ursula von der Leyen says the “show of friendship in Moscow” speaks “a thousand words about this new vision for an international order.”

Xi’s diplomats have been pushing the Kremlin’s line that the 2023 invasion was in response to “provocations” from Kyiv, and Ukraine wasn’t an actual sovereign state anyway.

Now that argument is being applied to other former Soviet Union states.

Estonian foreign minister Margus Tsahkna declared Ambassador Lu’s assertion “false and a misinterpretation of history”. His Lithuanian counterpart, foreign minister Gabrielius Landsbergis, tweeted that Lu’s words were “why the Baltic states don’t trust China to broker peace in Ukraine”. And Latvia’s foreign minister on Sunday tweeted that he found Lu’s statements to be “unacceptable”.

“The Ministry of Foreign Affairs of Latvia has summoned the authorised charge d’affaires of the Chinese Embassy in Riga to provide explanations on Monday,” Edgars Rinkēvičs added. “This step is coordinated with Lithuania and Estonia.”

But the ambassador’s words may be a sign of things to come.

“Russian President Vladimir Putin (has) questioned the existence of Ukraine, describing it as a mere creation of Lenin’s Soviet Union,” says European Council on Foreign Relations director Marie Dumoulin.

“But this logic could also be applied to other post-Soviet republics – all of which, including Russia, were established in their current form by Soviet leaders.”

Now that argument is being applied to other former Soviet Union states.

European disunity

Chairman Xi reacted to recent criticism regarding Hong Kong and the Uighur ethnic minority by declaring, “Europeans should focus on their own problems, such as antisemitism and systematic racial discrimination.”

French President Emmanuel Macron has since sought to strengthen economic ties and urged China to act as an intermediary between Russia and Ukraine for peace talks by visiting Beijing.

But he was keen to avoid issues relating to sovereignty.

Macron declared Taiwan a “crisis that is not ours”, insisting Europe should keep out of the dispute and not be “America’s followers.”

And Spanish President Pedro Sánchez Pérez-Castejón praised Chairman Xi during a visit earlier this month for his “strong, transparent, and rules-based multilateral system”.

But France’s foreign ministry now says it has reacted with “dismay” and “consternation” at the Chinese ambassador’s comments.

“It remains for China to confirm whether these comments reflect its position, which we hope is not the case,” the ministry said. It reaffirmed its “full solidarity with all our allies and partners in question, who have obtained their long-awaited independence after decades of oppression.”

Last night, the European Union’s foreign policy spokesman Josep Borrell called Ambassador Lu’s words “unacceptable”.

“The EU can only suppose these declarations do not represent China’s official policy,” he said.

The ambassador’s remarks came just days after Beijing’s defence minister met Putin in talks at the Kremlin. It’s further inflamed fears in the West that Beijing is preparing to supply Moscow with weapons and ammunition.

Borrell said any such move would cross a “red line” after Washington shared intelligence among its European allies detailing how Beijing planned to do this.

China just wants to be loved

Ambassador Lu actively participates in China’s “wolf warrior” brand of diplomacy. This is an overtly confrontational approach to asserting Beijing’s policies internationally.

Chairman Xi last year indicated he intended to move away from this tactic, which has been blamed for a plunge in China’s international reputation. He stated his desire for the world to regard his new China as “loveable, admirable, appealing”.

Lu appears to have yet to receive that memo.

He blames “foreign forces” for Chinese citizens erupting in protest after years of draconian COVID-19 lockdown policies. “The protests were quickly exploited by foreign forces,” Lu said in December. “Some Chinese were bought by foreign forces.”

He also wants Taiwanese citizens forcefully “re-educated” to embrace Communist Party dogma over the island’s democratic principles. “We will re-educate. I’m sure that the Taiwanese population will again become favourable of the reunification and will become patriots again,” he said in August last year.

Beijing also appears set on “re-educating” the world on the meaning of “rules-based order”.

Delegates from 100 countries attending its “International Forum on Democracy” last month were told they are “democracies” – even if run by dictators that actively deny citizens the right to vote for representatives or even openly criticise policies.

Meanwhile, Beijing is resolutely defending what it calls its “sovereignty” in the Himalayas, and East and South China Seas while actively denying its neighbours have any say in the matters.

“We uphold true multilateralism, work for a multi-polar world and greater democracy in international relations, and make global governance more just and equitable,” Chinese Foreign Ministry spokesperson Wang Wenbin said at the time.

SHOCKING MEDIA SHAKE-UPS: TUCKER CARLSON **OUT** OF FOX NEWS; DON LEMON **OUT** OF CNN

Fox News Media and Tucker Carlson have decided to part ways, the network announced in a statement Monday.  Meanwhile, CNN informed Don Lemon he is terminated from that network!

Carlson’s final broadcast of “Tucker Carlson Tonight” aired last Friday. The show “Fox News Tonight” is set to air as an interim show led by rotating hosts until his successor in the 8 p.m. time slot is named, Fox said.

“FOX News Media and Tucker Carlson have agreed to part ways. We thank him for his service to the network as a host and prior to that as a contributor,” Fox News said in a statement.

Carlson joined Fox News as a contributor in 2009 and served as a co-host of “Fox and Friends Weekend” from 2012 to 2016. His eponymous nightly show debuted in November 2016. He moved into the 8 p.m. slot in April 2017.

Carlson was the MOST-WATCHED show on cable news programming:

Program Performances:

Tucker Carlson 3.303 million at 8 p.m.

The Five averaged 3.31 million viewers

Jesse Watters Primetime (2.83 million),

Hannity (2.68 million)

Bret Baier (2.44 million) rounded out the top five in total viewers.

Tucker Carlson Tonight remained the top-rated cable news show in February among adults 25-54 (461,000).

DON LEMON OUT AT CNN

 

 

 

Posted before his arrest.

Tucker Carlson fired. Gonzalo Lira arrested. Who says that the “Powers that be” aren’t “flexing their muscles”?

Whoa…

What are the rules for foreign journalists reporting on China? How are they treated by the Chinese government?

After the latest attempted “NED color revolution” (Shanghai Covid-19 protests), China laid down a gauntlet of new regulations and laws regarding “journalists”.

The actual requirements are quite lengthy, but here is a brief summary.

  • Only ONE “journalist” per news organization.
  • The credentials MUST be presented, and verified by the embassy, prior to VISA granting.
  • The VISA is much shorter duration, and must specify WHAT, and WHY, and HOW, and WHERE the “journalist” will operate.
  • After biometrics are taken, each “journalist” must read and sign the laws and rules of journalistic behavior. Once they agree, they acknowledge that they will abide to police orders, and if they violate any laws, they WILL be punished.

In addition, they are 100% tracked at all times, monitored to a far greater extent than previously conducted, and there are other (seemingly) trivialities, that underline their role and the potential dangers were they to “try any funny business”.

In other words, when they enter China, they are read “The Riot Act”, and told in crystal clear language that China does not tolerate wrong doing.

How will they be treated?

Maybe something like this…

main qimg 45b0364f1e92b11d9b43af426e3851a7
main qimg 45b0364f1e92b11d9b43af426e3851a7

Followed by something like this…

main qimg 7bde2226ea9b295a9c7480518fb9f394
main qimg 7bde2226ea9b295a9c7480518fb9f394

And in worst case…

main qimg 53d9432e81c7d48cd30c9c8d35c6da51
main qimg 53d9432e81c7d48cd30c9c8d35c6da51

On the plus side, they will get their “happy injection” with a “happy meal”.

main qimg d56255ff58c7acee67467a09c1e2d0af
main qimg d56255ff58c7acee67467a09c1e2d0af

Tucker Carlson: If I get fired for telling the truth then so be it | Redacted with Clayton Morris

It seems to me that there is a MAJOR consolidation of media going on right now.

Playing around with Pixlr-X

OK, so as of late, I have been experimenting with this “new” kind of Artificial Intelligence system. This one takes a sentence, a statement and then generates art from it. It’s fun, cool and quite an amusement. Something that I am just starting to “toy around with”.

2023 04 25 11 35
2023 04 25 11 35

Here’s some examples when I typed in a sentence, and then clicked on the style icon…

I think that it is fun.

Some of my art renderings…

I just set up a brief sentence (I only have five tries), and then conducted variations…

2023 04 25 11 33y
2023 04 25 11 33y

2023 04 25 11 33b
2023 04 25 11 33b

2023 04 25 11 33s
2023 04 25 11 33s

2023 04 25 11 32re
2023 04 25 11 32re

2023 04 25 11 32
2023 04 25 11 32

2023 04 25 11 31
2023 04 25 11 31

2023 04 25 11 31a
2023 04 25 11 31a

Your Turn

Go click on this link to try it yourself…

Pixlr-X

Big changes and it is beyond the United States ability to stop

Uncle Sam is a ChoMo

You all think that the Peak Change will occur in 2027? Maybe 2025?

Nah. It’s NOW. It’s TODAY. It is a confluence of many PEAKS. And we are in the thick of it right now. Various articles on this, and I am trying to sort through all the strange discord and under and unreported things. But just be aware.

Keep in mind…

Lots of things going on, and let me tell you that any “war with China” will be over VERY VERY QUICKLY.

Um…

Good, and bad with that.

Don’t you know.

Look at how Great the United States is today…

This Is What Life Is Like In Small Town Louisiana

Lots of good stuff about the USA to start, and it is really charming in LA. I miss the big trees.

I used to live in the “Deep South”, and man oh man is this accurate!

I really like Mississippi. Louisiana is just South.

Today is up…

Interference vs Non-Interference policy in the Middle East

2023 04 23 06 48
2023 04 23 06 48

When was the last time that the US had a decent president. The last good president in my opinion was Eisenhower.

Some will say that JFK was good, but the usual reason is that he would have gotten out of Vietnam if he had not been assassinated.

His bad decisions including what led to the Cuban Missile Crisis and the Vietnam war. It is true that he acted very differently in deescalating the Cuban missile crisis while the current president seems to be making decisions that will lead to a nuclear war.

2023 04 23 08 15
2023 04 23 08 15

What I would say is that the American system is solid evidence that direct election of the national leader is not a good idea.

How often does one hear that they have to choose between the lesser of two bad choices, and how many elections in recent history has it really come down to many of the voters not being happy with either choice, And the system really is nothing close to the decision of the people.

There are the members each of the two parties that decide on the candidate and neither represents even a majority of the people. and today each party represents a polarized group selecting the candidate that the whole population while have as an option for president.

This means that only need like 20% of the population actually deciding that the candidate to represent the party in the running for president.

Seems like in general the parliamentary systems work a lot better than the American systems of voting for the leader of a country. There are some exceptions obviously, like that clown Boris Johnson, but on the other side get leaders like Angela Merkel and Helmut Kohl that so successfully led Germany for 16 years.

The Chinese use a tiered democracy.

The people select their representatives at the local level.

Each representative represents a relatively small number of people compared to those in Congress in the United States, so do not need that massive amount of money to run for office.

In 2022 $8.9 billion was spend in the midterm elections, and for the 2020 elections it was 14.4 billion. The candidates have to raise this money to hope to be able have a good chance of winning the election.

To allow this, it is legal to effectively bribe those running for office through political donations.

Although the US does not rank high on the Transparency International’s bogus corruption index, this is because this is legalized corruption, so apparently Transparency International does not include this corruption in calculating corruption, and it does not include business corruption.

How corrupt would the US be measured at if this was included in calculating corruption. Far higher than China I am sure.

The voted representatives then are responsible for electing the representatives at the next level of government.

They are in a better position to evaluate the competence of the representatives for the next level since they can interact personally with these people. What American politicians are best at is lying since a good lie will be much more attractive than the truth, and the best lie will will win the election.

Being competent in the office has nothing to do with the selection process to become a leader in the United States.

main qimg 89596bf25796ec54ae0d99fd797e4914 lq
main qimg 89596bf25796ec54ae0d99fd797e4914 lq

He is stating a fact that the USSR dissolved without a proper ratified treaty or documentation only. Nothing wrong there. And Crimea was given to Ukraine during USSR days, for centuries it was part of Russia Empire or USSR.

Scott Ritter: Russia and China Have CHANGED EVERYTHING, OBLITERATE US Hegemony

Of course not. There is a Chinese saying, “江山易改本性难移”: It means that it is more difficult to change a man’s nature than a river. It’s hard to change a person’s nature.

main qimg d730d1453e52ae5cd3ad9b82bb09fdde
main qimg d730d1453e52ae5cd3ad9b82bb09fdde

Us media reported recently that a batch of suspected secret US military documents, covering the Russia-Ukraine conflict and other intelligence and containing information about the ROK and Israel’s top government officials suspected to have been monitored by US intelligence agencies, appeared on Twitter and other social media. The U.S. is in a diplomatic crisis due to a scandal involving spying on its Allies. The U.S. Department of Justice has announced an investigation, but U.S. media analyzed that the documents are highly authentic.

main qimg 95ad5ff605130cd211bf9fe42f4c8002
main qimg 95ad5ff605130cd211bf9fe42f4c8002

For a long time, the United States has abused its technological advantages to conduct large-scale and indiscriminate eavesdropping on the world, including its Allies. It is certainly the world’s No. 1 surveillance country. Even America’s Allies have not escaped American scrutiny. According to Danish media reports, the NSA used cooperation with Danish intelligence agencies to spy on German, Swedish, Norwegian and French leaders, including then-German Chancellor Angela Merkel.

main qimg 7638b2f2afb34a2d0d93177942d05e9c
main qimg 7638b2f2afb34a2d0d93177942d05e9c

Do you think the US has stopped monitoring on the whole world?

True story

I had a chance to meet a Chinese guy in 2004 .

He came to South Africa hopping to meet his uncle who was in the construction industry but lost his address somehow.

I gave him a place to sleep for a month and during the day he would go out and look for some part time jobs just to survive .

He moved out of my house the following month so he can live close to the shop he had found work as a cleaner .

When he got his first pay check he bought a small TV set that he would try to sell on weekends when he was off duty next to the local bus stop.

The following month he had 2 ,next he had 4 .

I lost contact with him for 5 years and when I met him next he was the owner of an electronic goods importing company employing 30 people .

The Chinese are hard workers and I have so much respect for them

It’s over and NATO is finished

Very interesting video.

Natasha Wright
April 13, 2023

It is a centuries-old rule in politics that if you do not fare well politically within your own country, you should try and redirect the public gaze somehow onto the foreign policy.

Given that the German Chancellor did not wish to take him along on his trip to China with his official delegation for economic diplomacy last November, the French President Emmanuel Macron set off on a journey to China with his own respective economic delegation in the beginning of April this year. Though not exactly on his own but accompanied by a ‘supervisory body’ in the shape and form of the scrawny-looking President of European Commission, Ursula von der Leyen. Exactly that detail will make this fleeting visit to Beijing rather bizarre, because it is unclear how come Ursula von der Leyen found herself in Macron’s company en route to China.

But when it comes to Ursula von der Leyen, it is also rather unclear how she became President of the European Commission amongst other eminent candidates for the previous elections for the European Parliament. Her name is now being thrown in for her to become NATO Secretary General despite the fact that both friends and foes say, Politico reported a while ago, that her management of the German Ministry of Defense was a complete and costly failure. Then again, we do know that in the world of Western rules, they do not seem to have acquired a surplus of competence and democracy in the meantime. For the sake of illustration, Macron left for China from Paris which happened to be smothered with tonnes of garbage due to the strike of the communal utility services in charge of street sweeping and overflowing with anger by protesters who have been demonstrating for weeks now. Financial Times reports on unacceptable scenes of French police officers’ morally shocking and brutal ways in dealing with protesters. On that occasion even Iran expressed its concern and called France to talk to their citizens and not brutally abuse them physically. Poignant irony is self-evident and completely well deserved, aimed at Macron’s incompetent ways.

Macron has justified the presence of Ursula von der Leyen in his delegation with the claim that he was the one to suggest that she should accompany him in his trip to China so that the two of them can speak in political unison while there, representing the EU with joint forces. However, even though Macron has long nourished most profound wishes to be accompanied by ‘ladies of a certain age’, this justification does not come across as credible, but because the President of France did not express a similar boyish need in other similar situations for instance for his recent travel to Washington to meet with Biden. He was accompanied by Brigitte Macron there and Ursula von der Leyen visited Biden last month. All the political mirage related to President of European Commission is being enhanced by one particular detail to which Bloomberg indicates: The way China is treating Macron is not the treatment they are giving to von der Leyen whereas Chinese Ministry of Foreign Affairs reported that Macron arrived in China upon the official invitation for a state visit issued by President of China, Xi Jinping. As for von der Leyen they just added that she is ‘on a visit’ agreed between the EU and China. In other words, nobody knows who has invited her so that we can conclude she is there more or less uninvited.

But that rather bizarre abovementioned aspect of the official visit of President Macron accompanied by von der Leyen to China is mirrored in the speech she had just delivered before her China trip in Mercator Institute for China Studies, which, because of their anti-China activities has been added to the list of Chinese sanctions since 2021. Of course, the choice of this place to launch messages targeting China on the eve of this trip was not a coincidence. In line with such an intention of ‘indecent political fornication’ instead of decently respectful political relations was the contents of the messages she sent off erratically in one way or the other. President of the European Commission von der Leyen seems to think that she on that occasion sent her message that Beijing is becoming ever more repressive at home and ever more aggressive abroad in that they propel the politics of disinformation and economic and trade coercion. And she also noticed that China is seriously encroaching upon human rights with the warning that that notorious issue of alleged breaching of human rights will be yet another test for whether the EU can and to what extent they can cooperate with China.

And now here comes the main issue: How will China continue to respond to the war in Ukraine will be a decisive factor for the relations between EU and China – she continues in a threatening, domineering manner unduly, noticing that President Xi instead of turning his back on President of Russia, continues relations without limits with Putin’s Russia and above all she added the EU will have to reconsider the comprehensive agreement on investments with China. Trade agreement reached with China at the end of 2020 has not been ratified yet. Admittedly, the world and China have changed in the past three years so thus we have to reconsider our agreements in light of our broader strategy towards China. Such messages sent either overtly or subliminally by von der Leyen are in obvious opposition to the members of Macron’s delegation in which ministers of finances, foreign affairs and culture are present and also the directors of about fifty leading French companies who surely did not travel to China to talk about human rights against Uyghurs in China or the freedoms of independent media in Hong Kong. And it is truly irrational to expect to sign any trade deals whatsoever if you previously have been uncivil and distasteful against your host(s). And you also send a message that you have no intention of adhering to the agreements you have signed with them. Such a business strategy is doomed to failure before it even starts. ‘Good cop, bad cop’ – remarks even the German Spiegel in their analysis of the situation whereas Fu Cong, the Ambassador of China to the EU, explicitly asked Europe to dismiss the demands of Washington to cease their trading with Beijing with the warning, reported on by the Financial Times that the U.S. will resort to any method in order to derail the normal relations between Brussels and Beijing and cause further disarray. Who in their right mind would leave such an enormous emerging market such as the Chinese market – Fu said while urging Europe to be persistent in their pursuit for strategic autonomy. Financial Times on the other hand at the end of November last year, referring to their diplomatic sources, reported that the USA has increased its pressure on NATO allies to align their approaches with the anti-Chinese policies in Washington.

It is surely a centuries-old rule in politics that if you do not fare well politically within your own country, you should try and redirect the public gaze somehow onto the foreign policy and try to make a success there one way or another. Macron will most probably try to make some success based on that thinking. At the end of the day this visit lasts for three days. This is his second visit to China since he was elected in 2017 whereas Xi was in an official visit to Paris once. The fact that von der Leyen joined him is being viewed as rather negative in the French public because she is considered a person lacking in democratic legitimacy. The Chinese though tend to be benevolent on the issue of Macron because they do want to have a bigger economic and financial picture at heart.

The Chinese surely welcome the visits from the EU regarding them as a sign of good will in the world of detrimental division and constant confrontations between the blocs, and the prevailing understanding that there is a place where they can discuss and debate broader geopolitical interests for all countries open for dialogue. The Chinese accept Macron as a representative of a country with which they traditionally have good relations so as to analyze if the EU stands any chance of going the way which would show signs of strategic autonomy. On the other hand, they want to respond to the avalanche in the EU mainstream media that both von der Leyen and Macron and all the others only come to desperately try and convince Beijing not to support Russia.

These are surely callow views and scorn-inducing delusions about serious political issues. How can they even think of influencing China into changing their foreign policy. It is the Chinese foreign policy to forge bridges and establish economic ties further. On an additional note, they want to see at what stage their European geostrategic thinking is, whether they will rechannel their politics towards Russia and to try and fathom if there is any potential in the EU to get disentangled from their almost lethal embrace from across the Atlantic. How does Macron respond to that political coercion from the U.S. and from within the EU? What is his visit to Beijing going to bring? And what is the role, if any, of Ursula von der Leyen in all that? The new political buzzwords thrown around in the arena by the shrieking EU elites seem to be de-coupling from China and de-risking its relationships with China. The Chinese shall surely approach everything with patience and wisdom.

Stuffed Cabbage Rolls

Sukkot (also known as the Feast of Booths) celebrates the bountiful fall harvest and the beginning of autumn. Some observers build the traditional “sukka,” a temporary outdoor shelter or booth reminiscent of the desert dwelling places used when the Israelites were delivered from Egypt. Today, these shelters are festively decorated with fresh produce and used for eating or sleeping during this holiday.

stuffed cabbage rolls SweetAndSavoryMeals 8 1024x1536 1
stuffed cabbage rolls SweetAndSavoryMeals 8 1024×1536 1

Ingredients

  • 2 medium cabbages (about 5 pounds)
  • 3 onions, chopped
  • 1/4 cup vegetable oil
  • 1 cup brown rice, uncooked
  • 2 pounds ground beef
  • 1 teaspoon salt
  • 1/2 teaspoon pepper
  • 1 (16 ounce) can sauerkraut, drained and divided
  • 3/4 cup firmly packed brown sugar, divided
  • 1 (46 ounce) can tomato juice

Instructions

  1. Freeze cabbages for 8 hours; thaw. Separate leaves, and set aside.
  2. Cook onion in oil in a large skillet over medium-high heat, stirring constantly, until crisp-tender.
  3. Add rice, and cook for 3 to 5 minutes over medium heat, stirring constantly. Cool rice mixture slightly.
  4. Combine rice mixture, ground beef, salt and pepper.
  5. Reserving smaller cabbage leaves, spoon about 1/4 cup beef mixture in center of each large cabbage leaf. Fold left and right sides of leaf over, and roll up, beginning at bottom. Repeat procedure with remaining large cabbage leaves.
  6. Chill rolls overnight, if desired, or proceed immediately.
  7. Arrange reserved small cabbage leaves in bottom of a large Dutch oven or stockpot.
  8. Spoon half of sauerkraut and half of brown sugar over small cabbage leaves.
  9. Top with half of cabbage rolls, seam side down.
  10. Repeat layers with remaining sauerkraut, brown sugar and cabbage rolls.
  11. Pour tomato juice over assembled layers; bring to a boil, and simmer, covered, 2 hours or until rice is done.
  12. Serve immediately.

Yields about 2 1/2 dozen cabbage rolls.

Instead of freezing cabbages, you may cover them with boiling water in a large stockpot and let stand 10 minutes.

School Kid in UK Secretly Records Teacher: “You Do Not Have A Choice of not learning LGBTQ+. . .”

2023 04 15 12 26
2023 04 15 12 26

A school kid in the United Kingdom has secretly recorded his school teacher telling students “You don’t have a choice to learn about LGBTQ. It’s one of our values and if you refuse to do it, you will be dealt with severely..”

This is not education, it is INDOCTRINATION by people with mentally twisted minds, who are actually preying upon children, and misusing their positions as (supposed) “Educators” to promote a sick, deviant, disease-ridden, biological obscenity.

Here, listen to this teacher for yourself:

It is the fundamental law of any growing entity to eventually get saturated. That is the Natural Law. No demand or economy that is growing exponentially can continue to grow in such a manner, exponential growth will become linear then gradually it will flatten and reach a stage called Near Zenith

So to answer your question – Yes. Chinas rise will have to stall out like what happened to Japan in the 1980s.

But What does a country do when it reaches saturation?

The Country starts diverging and going on a different path – one involving technological breakthrough, technological advances and path breaking achievements to replace the gap left behind due to the Saturation.

Its called Economic Evolution

main qimg ef3809c02a99354d27cda5a49573509a pjlq
main qimg ef3809c02a99354d27cda5a49573509a pjlq


Japan and Singapore are a typical example. In the 1980s (Japan) and 1990s (Singapore) – these countries manufactured a lot of low end and medium end products which were exported all around the world. However as labor became expensive – these countries chose to specialize and focus on semiconductors and other specialized production and gave up manufacturing the low end and medium end goods to Malaysia and later China.

Today these countries focus on manufacture of high end products and high end technology like Robots, Advanced Communications, Enterprise Technology, MLAIs etc etc.

This way they can keep their edge and their economy.

Eventually the demand for their products will always continue. In the 1980s – the Japanese made Canon or Nikon cameras had a huge demand, today the same demand is for the Japanese Nobura II Enterprise Server.

Growth may stall but Development will always continue. Eventually GDP growth rate may fall to between 0.8% – 1.5% but the Development – that is always going to be there.


China has planned its game even better than Japan.

Already it is planning to shift its Low end manufacturing to Bangladesh and African Countries to Chinese owned entities in these countries. That way they control the supply chain, help in the economic development of other countries and ensure that their costs are kept as low as possible.

China will start High End Manufacturing (Or has started) – pretty soon. First it will start with its own massive domestic market and then the global market. Semiconductor Chips, AI, Robotics, Automation, Bullet Trains, Electric Vehicles – are among its focus and it is spending billions on research and development to ensure that it emerges as a leader by say 2035 on a global scale.

So Chinas case will be better than US or Japan. China is establishing its own long arms to countries like African countries and Bangladesh and ensuring that these entities can keep the growth ticking while ensuring that the High End Developments continue at rapid surge and process.

main qimg a56be4f25c4f75fa0d0b9cdffaf5432c lq
main qimg a56be4f25c4f75fa0d0b9cdffaf5432c lq

Chinese High End Manufacture example. They literally stole the Technology from the Japanese but today they can manufacture domestically these high end high speed trains. Tomorrow they may export these trains globally – keeping their edge all the time.

China has a much better plan than Japan and the rest (Unless Xi blows it with his tomfoolery)

Conclusion – Of course China will also reach saturation but China has planned it so well that even if it reaches Saturation – its Development related to its slower growth would be Tremendous compared to Japan or even USA.

Have you seen how insanely big it was compared to human?

main qimg 608c2d2839ed9f41c1585d6a246fb63f
main qimg 608c2d2839ed9f41c1585d6a246fb63f

This thing was super gigantic, it was literally a skyscraper.

What this launch meant is that, in the near future, we can launch things into space at an insanely very cheap cost.

This is the most powerful ever built in human history and the first step of using super enormous ships to transport people to the moon; what’s super unique is that this launcher will be able to return and land on its platform, refuel and launch another payload again unlike NASA’s launchers which falls back into the sea and can never be used again.

After centuries of gazing up at the stars in wonder, humanity is now on the brink of achieving a long-standing dream: becoming an interplanetary species.

With advancements in technology and space exploration, we are closer than ever to breaking the bounds of Earth and reaching out towards the cosmos.

It’s not a matter of if we’ll become interplanetary, but rather a matter of when.

Sky High Inflation…

Natasha Wright
April 21, 2023

We need to learn where the borderline of strategic autonomy of Europe is (if there is any).

The President of France, Emanuel Macron, was sent off to Beijing with high hopes that he would successfully convert the President of China, Xi Jinping. Quite unexpectedly, Macron got back from China as if the complete opposite occurred. Macron’s journey to Beijing was surely not anywhere near the journey to Damascus of biblical proportions, which did convert Paul the Apostle but it clearly showed how woefully Europe is whimpering in between their own needs and the U.S. pressure.

In the Presidential airplane, which he was flying in from Beijing back to Paris where he was angrily greeted by the very same protesters which had previously sent him off to Beijing in such a way that they set fire on his favorite Parisian restaurant. He later talked to the reporters as if he had truly experienced a sudden enlightenment so that the leading German journal Spiegel, which had never regarded the German interests of lesser importance than the interests of their transatlantic partnership with the U.S. in which the plans and interests of all the others go awry, had to wonder whether Macron has now completely lost his mind.

Macron’s only sin seems to have been found in the interview with the Politico reporter and two of his French colleagues in the presidential airplane in which he merely noticed something that should have been obvious. Namely, Macron pointed out that Europe must resist the pressure to turn into a mere U.S. acolyte. He added that Europe is under severe risk of further getting involved in the crisis, which is not its own. Europe must lessen its dependence on the USA and avoid being further entangled into the confrontation between China and USA about Taiwan – Macron said after as many as six hours long talks with Xi, in which he spent only the first one hour and a half under the scowling look normally referred to as supervision from the President of European Commission, Ursula von der Leyen, whereas Macron and Xi spent the rest of the time only accompanied by their official interpreters.

Politico goes on to report that during the interview while aboard the plane with Macron dressed in his favourite sweatshirt, he placed an emphasis on his preferred theory on strategic autonomy for Europe. Perhaps under the French leadership in order to become ‘the third great power’. The question posed before the Europeans is whether it is in European interest to rev up the crisis around Taiwan. ‘No’ – Macron both asked and provided the answer to the question. It would be the worst-case scenario for us, the Europeans, to think that we should become mere followers of the U.S. in these matters and to align our political decisions with the U.S. agenda with the adverse reactions from China. The Europeans cannot resolve the crisis in Ukraine. How on Earth can we dare say anything related to Taiwan with any crumbs of credibility? We should not approach serious international matters with an intimidating approach such as ‘Beware, if you do anything wrong, we shall come after you right there ‘. This is not the sensible way forward, unless you really want to raise tensions.

There were certainly other rather heretic remarks in that plane interview. Macron, Politico reports elaborated that Europe increased their dependence on the U.S. in the field of arms and energy supply. He also mentioned that Europe should lessen its dependence on extraterritoriality of the U.S. dollar which is the chief political goal between Moscow and Beijing; he will elaborate on this in an accusatory tone to Politico (which happens to be a U.S.-German news agency). ‘If the tensions between the two great powers get to be fuelled further, we shall not have sufficient time nor resources to finance our strategic autonomy. We shall further be reduced to the position of vassals in this way’ – Macron warned. While Politico in a rather odd manner regarded it as appropriate at the end of the article that all the President’s words were reported verbatim but that during the process of authorization, the interview had to be edited for the bits in which Macron even more openly talked about Taiwan and strategic autonomy of Europe with no attempt at concealment.

Though even this what was published was sufficient to cause an avalanche of synchronized discontent of Macron’s apparently rampantly subversive remarks even though he surely did not utter any lies, when he said that Europe does not need to get involved in yet another U.S. war. In all that frustration with Macron’s effort to position European interests in Europe much higher than the U.S. interests was balanced off by the Germans. On the German side, Metin Hakverdi, a centre-left ruling party SPD lawmaker, was the first to speak out with exasperation about the situation. “Macron is doing it again. Talking his head off in Beijing with zero authorisation from the EU. He will then surely organise security guarantees for Ukraine all by himself,” the senior lawmaker said. He later told Tagesspiegel that “it is a grave mistake for the West to allow itself to be divided in its dealings with Beijing of all places.” His colleague, Norbert Röttgen, from the Christian Democratic Union (CDU) opposition party in Germany said that such an approach is two party type approach, lambasting Macron for managing to turn his trip to China into his PR success with Xi Jinping and also into a foreign policy disaster for Europe with a stark warning that the policy fostered by the French President would lead Europe into a geopolitical cul-de-sac (ooopps pardon my French; I meant a dead end). It is even ironic, he added, that Macron even does much less for Ukraine than the German Chancellor, Olaf Scholz with his statements about China turning U.S. away from us.

On the other side of the Atlantic there came a predictably similar reaction. Macron stumbled over Taiwan and Ukraine. He weakened the position of restraining the Chinese aggression and he weakened the U.S. aid for Europe – Wall Street Journal warns in their characteristic manner in their editorial section with an indecently colloquial remark: ‘Thank you very much, pal ‘. They added that ‘ if President Biden were awake, he should call Macron and ask him if he is doing his best to elect Donald Trump again? All this was preceded by an arrogant approach by Senator Marco Rubio, the highest positioned Republican with the Select Committee on Intelligence in his position of Vice Chairman. He commented that they have to establish whether Macron is talking in his own name or in the name of Europe. We need an answer to this question quickly because China is very excited about what Macron said, in them being both appreciative and supportive of everything Macron said with enthusiasm. And then he also mentioned Germans reacted as if they were issued a command with the already typical expression of obedience.

Mercifully, the answers may well follow shortly as to what Macron tried to do on his return from Beijing and why an avalanche of resentful reactions followed on both sides of the Atlantic. We need to learn where the borderline of strategic autonomy of Europe is (if there is any).

Urban Explorer Visits Abandoned Japanese Love Motel Of Naughty Attractions

0 25 650x433 1
0 25 650×433 1

Dutch explorer Bob Thissen has explored hundreds of abandoned places all over the world, including many love motels. In July 2019, Bob found what he claims is the biggest and most high-end love motel he has ever seen, on Honshu island, Japan.

12 28 650x443 1
12 28 650×443 1

11 30 650x403 1
11 30 650×403 1

10 32 650x391 1
10 32 650×391 1

9 34 650x385 1
9 34 650×385 1

8 1 650x433 1
8 1 650×433 1

8 36 650x433 1
8 36 650×433 1

7 1 650x433 1
7 1 650×433 1

7 37 650x433 1
7 37 650×433 1

6 1 650x433 1
6 1 650×433 1

6 37 650x433 1
6 37 650×433 1

5 1 650x433 1
5 1 650×433 1

5 40 650x433 1
5 40 650×433 1

4 1 650x433 1
4 1 650×433 1

4 39 650x433 1
4 39 650×433 1

3 1 1 650x433 1
3 1 1 650×433 1

3 40 650x433 1
3 40 650×433 1

2 1 1 650x433 1
2 1 1 650×433 1

2 41 650x433 1
2 41 650×433 1

1 42 650x433 1
1 42 650×433 1

His video here…

Can’t believe they abandoned this palace.

“The Palace in this video was built in the XVIII century and became the home of Count Burnay, one of the richest persons in Portugal, who renovated it during the XIX century.

Later it was bought by the State who installed there a school for the colonial services and then an university (where I had the joy to study).

Teachers and students occupied the building until 2001, when the academic activities moved to a new and unimpressive block in another part of Lisbon.

The Burnay Palace was a marvelous place to study, even better to party (all the students in town knew about the unforgetable nights, when the pink palace became a giant disco).

Hope it recovers its shine, really a shame to see it becoming just another delirect…”

Yi Says China Largely Ended Currency Intervention in Market Tilt

This is a very understated but significant development. -MM
PBOC governor makes rare speech during visit to Washington. Yi says China’s potential growth estimate ‘very controversial’.

Article HERE

How I See the US After Living Abroad for 15 Years [CULTURE SHOCK]

Steven Sahiounie
April 3, 2023

The shifting sands of the Gulf may turn rock-solid in an alliance across the region with Iran, Steven Sahiounie writes.

The shifting sands of the Gulf may turn rock-solid in an alliance across the region with Iran. This new alliance is in defiance of the old divide and conquer policy used by the U.S. State Department.

The rapprochement between The Islamic Republic of Iran and the Kingdom of Saudi Arabia could transform the region, after being brokered by China on March 10, ending seven years of tensions. The nations are aware that there is safety in numbers, and strength in unity instead of standing alone.

Iran had decided to improve relations with its Arab neighbors instead of waiting for the U.S. to decide to renew the nuclear agreement. Saudi Arabia had made its own strategic decision to not depend on the U.S. for security. These two strategies brought Iran and Saudi Arabia together, with China demonstrating its ability to circumvent the U.S., when it is the U.S. standing in the way of stability in the Middle East.

“The recent successful dialogue between Saudi Arabia and Iran in Beijing helped improve bilateral relations between the two countries, which will strengthen regional solidarity and ease the tensions in the region. China will further support the process,” said Chinese President Xi Jinping to Saudi Crown Prince Mohammed bin Salman (MbS) by phone on March 28.

The deal involves Saudi Arabia supporting the return of Iran to the nuclear deal with the west, plans to end the war in Yemen, cooperation to stabilize Syria, and strengthening their joint ties in OPEC.

Iranian Foreign Minister Hossein Amir-Abdollahian announced on March 26 that the two countries had agreed to hold a meeting between their top diplomats, with the location to be announced, during the month of Ramadan, the Holy month of fasting, which ends the third week of April.

Both countries share the same religion, which is a common thread in their relationship but had been a point of division used by the U.S. to divide the two. Iran is Shite, and Saudi Arabia is Sunni. When the U.S. invaded and destroyed Iraq beginning in 2003, they used the Sunni-Shite divide to create chaos which served the U.S. interests to conquer and subjugate the Iraqi people in the U.S. project of regime change, which affected the whole region and created sectarian divisions.

Iranian President Ebrahim Raisi received an invitation from Saudi Arabia’s King Salman to visit the kingdom by letter, announced on March 19, which invited him to Riyadh.

Iran and Saudi Arabia are expected to open embassies in each other’s capitals from now to May 10. They will both resume security and economic agreements signed more than 20 years ago.

The United Arab Emirates (UAE) and Kuwait recently restored ties with Iran. Amir-Abdollahian said Iran also hoped steps would be made to normalize its ties with Bahrain as well.

Iran’s top security official Ali Shamkhani held talks with UAE President Mohammed bin Zayed Al Nahyan in Abu Dhabi on March 23, in yet another sign of the networking in the region.

In June 2006, U.S. Secretary of State Condoleezza Rice called for a “New Middle East”. In true American hubris, she and President George W. Bush thought Israel attacking Lebanon, bombing from the north to the south, and killing hundreds of civilians, was necessary to remove the resistance to the Israeli occupation of Palestine.

Rice and Bush lost their war, both in Iraq and in Lebanon. The resistance to occupation is as strong as ever, and now we have the UN recognizing that Israel is an apartheid state.

President Obama, supported by former Saudi Foreign Minister Prince Bandar, and aligned with former Crown Prince Nayan, also tried their hand at wiping out the resistance in Syria through regime change, but they all failed.

The New Middle East has emerged, finally, but it is not exactly what Rice and Bush were asking for. Iran and Saudi Arabia are together, and both asking for the liberation of Palestine.

When Israel’s Prime Minister Benjamin Netanyahu took office, for the sixth time, he pledged he had two main goals: to make a deal with Saudi Arabia under the Abraham Accords format and to increase illegal settlements on Palestinian land. With the new relationship between Iran and Saudi Arabia, Netanyahu’s position is dismal.

On March 24, Israel announced plans to build over 1,000 new units on illegally occupied Palestinian land, just days after agreeing in a meeting in Egypt to suspend settlement construction.

The Saudi Foreign Ministry condemned the Israeli plans, and called on the international community “to assume its responsibilities to end the Israeli occupation and to stop its provocative practices, which would obstruct the paths of political solutions based on the Arab Peace Initiative, and undermine international peace efforts.”

The Arab Peace Initiative was a Saudi proposal in 2002 that called for normalizing relations with Israel in return for withdrawal from Palestinian territories occupied in 1967.

The March surprise deal was a shock to the Biden administration, but the earlier October 2022 surprise was even harder to take in the Oval Office. Biden had gone to MBS personally to ask for an increase in the oil output to bring down the price of gasoline in the U.S. MBS flatly turned him down.

The Aramco attack in 2019 occurred when drones hit the Abqaiq oil facility while protected by U.S.-made air defense batteries; however, none were effective or took down even one drone. 19 individual strikes occurred, with 14 that punctured storage tanks, and three that disabled oil processing trains.

The facilities were knocked out of commission and the world’s largest petroleum producer was cut by half, representing about five percent of global oil production.

This was the beginning of Saudi Arabia formulating a strategy for security that does not depend on the U.S. but rather looks to neighborly alliances independently.

How I see the US after living in Europe for 5 years

Declan Hayes
April 2, 2023

The real tragedy is that Australia, the Philippines, Japan and America’s other patsies are adopting NATO’s policy of fighting China in Chinese waters.

Since I last wrote about the Philippines, matters have gotten so much worse not only there but across the entire South China Sea that an air and sea war now looms between China and a gaggle of America’s regional proxies. Although the Philippines is in the eye of the storm, Japan, Taiwan, Vietnam, Indonesia, Malaysia and even far away Australia can also expect NATO’s Four Horsemen of the Apocalypse to wreak havoc on them. This war will dwarf anything that has recently happened in Ukraine. Bad news for Asians but good news for America’s predatory arms’ industries and, as far as Washington is concerned, that is all that matters.

A land war can be excluded because China has no intention of invading anywhere, Taiwan included and, as Australia clearly shows, air and sea warfare offer far richer pickings for NATO’s defence industries. The trick is to goad China enough to keep the profits from defence contracts rolling in but not too much that the South China Sea becomes a furnace. Better to follow the Ukrainian model, to use proxies to goad China and, with luck, then do a Russia on China, to slap sanctions on her, confiscate her assets and lecture to the world that NATO has, as always, the high moral ground, even in the Philippines, whose most vulnerable children have traditionally been the prey of choice for the U.S. military’s more depraved sexual predators.

Although the putative reason for this latest concocted war is Chinese expansion in the South China Sea, the underlying reason is Uncle Sam’s need to militarise the entire planet and collect levies from all its satrapies, most notably, in this case, Japan and Australia which is being made fork out a staggering A$368 bn for berthing facilities for a handful of nuclear powered Anglo American submarines, which are totally unfit for the purpose of defending Australia and her interests.

Australia is, in effect, going to permanently bankrupt herself to enable the British and Americans flaunt their war ships off the Chinese coast for no other reason than to buttress their own defence industries and to tether Australia to their economic coat tails for generations to come. Instead of deepening ties with China, Australia’s major trading partner, the Ossies, NATO’s Antipodean poodles, are determined to bankrupt themselves antagonising Beijing for Washington’s benefit; be sure to check out this excellent one hour interview with former Ossie Prime Minister Paul Keating, who slices and dices the Sinophobic policies of today’s crop of Australian (excuses for) leaders.

Much the same goes for the Philippines, whose President, Bongbong Marcos, is being criminally irresponsible in relentlessly tweaking China’s tail. Yet, whether it is the Philippines, New Zealand, Australia or the failed states of Western Europe, it seems that today’s leaders exist only to serve Uncle Sam’s war machine, no matter what the costs are to their own electorates. Talk about the curse of living in interesting times.

A far more adult alternative for the Philippines and her neighbours would be to return to the jaw jaw strategy of former President Rodrigo Duterte and, with her ASEAN partners, to see what can be achieved through quiet diplomacy with China. First off, China would have to accept that her nine dash line, which lays claim to almost all of the South China Sea, is unacceptable poppycock and, though China’s legitimate defence concerns regarding American aggression need to be fully accommodated, so also must the economic needs of the Philippines and her ASEAN partners be met with regard to fishing, mining, freedom of navigation and allied rights.

Much the same applies to Japan and her first class navy, which would give as good an account of herself against China as the Japanese Imperial Navy did 80 years ago against the British and American navies. As with ASEAN, so also should Japan build bridges with Taiwan and Korea and negotiate as a sovereign group with China. The United States should play no role whatsoever in any of that as they have no business in East Asia, which they have militarily controlled since Imperial Japan’s 1945 surrender.

No one, except the Americans, who have no business whatsoever in the South China Sea, wants a return to those battles of Okinawa, Iwo Jima, Leyte Gulf and Manila. Certainly, no one besides the Americans and their British toadies stand to benefit from such a conflagration. Paul Keating sees that. Duterte sees that. China sees that. And so, of course, do the Americans, who are determined to again burn South East Asia to the ground if that is what it takes to maintain their top dog status. The abiding mystery in all this is how the Americans can get so many of their satraps to serve only America’s military interests and not their own.

The real tragedy here is that Australia, the Philippines, Japan and America’s other patsies are adopting NATO’s policy of forward defence, of, in effect, fighting China in Chinese waters to supposedly avoid having to fight them on home turf. What this means in practical terms is allowing the U.S. to build naval and air bases and to suffer the collateral damage such hospitality brings in its wake.

Although the Americans and their Filipino puppets are boasting of the security and jobs such bases will bring, given America’s track records in Subic Bay, Clark Airbase and Olongapo naval base, to say nothing of their stomach churning war crimes during their original conquest of the Philippines, we can expect their sexual abuse of glue sniffing rugby Filippino boys and girls to again return to the levels that made People Power demand the 1991 closure of America’s vast military and child grooming bases.

The Philippines, as my previous article pointed out, is a country awash with all of the problems poverty, American neo-colonialism and the other Horsemen of the Apocalypse bring with them. Those problems do not have magic bullet solutions, such as those the Belt and Road Initiative or the vampirish embrace of Uncle Sam represent. The solution lies in taming corruption and in politicians and diplomats doing the jobs they are charged with. In the case of the Philippines, that must entail closer economic ties with China to ensure the Philippines gets its due entitlement from its waters. What it does not entail is going back to the dark old days of having an economy built around the carnal needs of America’s GIs and the predatory needs of the British and American arms’ industries.

When one considers the fate awaiting the Philippines’ rugby boys and girls, when one considers Bongbong is determined to pauperise the Philippines’ struggling transport drivers through NATO’s Green Agenda, when one considers the disreputable role BongBong’s parents played when they ruled the Philippines, Dantean despair is the most natural of reactions. But then, politicians like Keating and Duterte show that there is hope leaders who are something more than American toadies might, with People Power, re-emerge and that the Philippines will rid itself not only of Ali Baba but the 40 thieving families who rule the Philippines as well. That can only happen by breaking the American link and building fresher, unfettered ones with China, Taiwan, Japan, Vietnam and all other Asian countries that divest themselves of the Yankee yolk. If, as I hope, there is to be true hope in the Philippines not only for the rugby children but for all Filipinos, that hope can, as Paul Keating says, only be grounded in abandoning the old Anglo-American colonial masters, denying them naval and air bases and instead forging mutually beneficial alliances with Asia’s powerhouses, who likewise have no need for America’s 7th fleet and all the ugliness and depravity it epitomises.

TUCKER CARLSON: I Can’t Lie About This

Strategic Infographics
© Photo: Public domain
“The NATO expanders are telling us that Russia’s actions inside its unchanged borders are exactly why we had to expand NATO’s borders. Russia’s reaction to NATO’s expansion enlargement justifies NATO’s enlargement expansion.” 

– Patrick Armstrong

inf124 nato enl 01
inf124 nato enl 01

Sephardic Omelette

BaconPotatoOmelette6 1024x683 1
BaconPotatoOmelette6 1024×683 1

Ingredients

  • 450g waxy potatoes (I found Desiree to be the best)
  • Salt and black pepper
  • 1 red onion
  • 1 clove garlic
  • 1 red capsicum
  • 4 tablespoons olive oil
  • 100g frozen peas
  • 6 large eggs
  • 50g Cheddar cheese
  • 2 tablespoons chopped fresh parsley

Instructions

  1. Peel and cube the potatoes. Cook in boiling, salted water for five minutes or until tender. Drain.
  2. Meanwhile peel and chop the onion and crush the garlic.
  3. Deseed and chop the capsicum.
  4. Heat half the olive oil in a large frying pan. Fry the onion for three minutes.
  5. Add the garlic, red capsicum and peas. Fry for three minutes, then transfer to a plate.
  6. Heat the remaining oil in the pan. Add the potatoes and cook for eight minutes, stirring.
  7. Beat the eggs and add the cheese. Season.
  8. Return the onion mixture to the pan with the parsley.
  9. Reduce the heat and pour eggs evenly over the mixture.
  10. Cook the omelette over low heat for 10 minutes, or until eggs are set.
  11. Loosen the edges with a spatula and turn out the omelette onto a plate.
  12. Serve hot or cold.

NATO Destroyed Libya, Arrest Bush,Blair & Obama Before You Lecture Africa’s Relationship With Putin

Africa is STANDING UP and the fury is only beginning. The USA is fucking screwed!

U.S. Cuts Itself Off From Future Chinese Profits

Yesterday Secretary of the Treasury Janet L. Yellen gave a speech on the U.S.-China economic relationship. It’s a bit like of declaration of war:

Our economic approach to China has three principal objectives.First, we will secure our national security interests and those of our allies and partners, and we will protect human rights. We will clearly communicate to the PRC our concerns about its behavior. And we will not hesitate to defend our vital interests. Even as our targeted actions may have economic impacts, they are motivated solely by our concerns about our security and values. Our goal is not to use these tools to gain competitive economic advantage.

Second, we seek a healthy economic relationship with China: one that fosters growth and innovation in both countries. A growing China that plays by international rules is good for the United States and the world. Both countries can benefit from healthy competition in the economic sphere. But healthy economic competition – where both sides benefit – is only sustainable if that competition is fair. We will continue to partner with our allies to respond to China’s unfair economic practices. And we will continue to make critical investments at home – while engaging with the world to advance our vision for an open, fair, and rules-based global economic order.

Third, we seek cooperation on the urgent global challenges of our day. Since last year’s meeting between Presidents Biden and Xi, both countries have agreed to enhance communication around the macroeconomy and cooperation on issues like climate and debt distress. But more needs to be done. We call on China to follow through on its promise to work with us on these issues – not as a favor to us, but out of our joint duty and obligation to the world. Tackling these issues together will also advance the national interests of both of our countries.

To use undefined “values”, undefined “vital interests” and undefined “international rules” always make for a sorry excuse for mischief. To claim “unfair economic practices” in China when it is the U.S. that is breaking its own rules left and right is embarrassing. As Edward Luce writes In today’s Financial Times:

Today’s US cannot make trade deals, cannot negotiate global digital rules, cannot abide by WTO rulings and cannot support Bretton Woods reforms. [So] how can China be squeezed into a US-led order in which America itself has stopped believing?

One can of course forget about the third point when the first and second are made. There will be no cooperation when the other points create a hostile confrontation.

Yellen then discusses the three points in more detail. Under ‘National Security’ she says:

We also carefully review foreign investments in the United States for national security risks and take necessary actions to address any such risks. And we are considering a program to restrict certain U.S. outbound investments in specific sensitive technologies with significant national security implications.

How is prohibiting U.S. investment in China helping with national security? The U.S. has other tools to prevent Lockheed Martin from build new missiles in China. So what investments are envisioned here?

Two days ago Politico had a preview of the program:

Unprecedented rules limiting American investments in China are expected later this month — and the administration has begun briefing industry groups like the Chamber of Commerce on the broad outlines of the executive order, which is expected to require companies to notify the government of new investments in Chinese tech firms and prohibit some deals in critical sectors like microchips.

Since the Trump administration, national security lawmakers and Cabinet officials have sought to craft new rules to oversee — and potentially block — U.S. investments in Chinese tech sectors. The goal is to prevent American firms from funding or developing tech that can later be used by the Chinese military.

But that is only a sorry excuse. This is an escalation in the economic war against China. As Politico continues:

Those moves would come on the heels of aggressive trade action last year, when the administration put in place new export rules that explicitly sought to undermine Beijing’s prized microchip sector and passed massive industrial policies aimed at breaking reliance on the Chinese economy. At the time, national security adviser Jake Sullivan was clear that the goal of the strategy was to preserve America’s competitive edge in emerging high-tech industries, even if Washington does not pursue a broader decoupling.“We must maintain as large of a lead as possible” in high tech sectors like microchips, Sullivan said, previewing new Commerce Department rules released in October that sought to grind Chinese chip development to a halt.

This has nothing to do with national security but with suppressing economic competition.

The new rule prohibiting U.S. investments in China will apply to three large sectors:

While policymakers last year considered including up to five major Chinese industries — microchips, artificial intelligence, quantum computing, biotechnology and clean energy — in the order, the biotech and clean energy sectors are now likely to be left out of the program.

To prohibit U.S. investments in those three sectors is still silly.

China does not lack investment money. Its capital account balance is positive and China is investing more abroad than foreigners invest in China. In the last quarter of 2022:

– Foreign Direct Investment (FDI) increased by 27.7 USD bn in Dec 2022.
– China Direct Investment Abroad expanded by 44.2 USD bn in Dec 2022.

China also does not lack know how. It is researching and developing at a high level in all the same sectors where the U.S. is doing it.

Prohibiting U.S. investments in new Chinese chip factories or AI models will only hurt U.S. industries. In earlier decades foreign companies which develop stuff that was of interest for large U.S. companies were bought by U.S. investors. Their knowledge and/or production was replicated in the U.S. or they continued running as before but with their profits flowing into U.S. pockets.

China is the most dynamically developing society. There is a high likelihood that it will find and develop new things before the U.S. will do so. But instead of riding that wave and investing in it the U.S. will prohibit itself from profiting from it.

The Biden administration new rules will cut off U.S. investors from China’s future revenue stream.

Posted by b on April 21, 2023 at 17:22 UTC | Permalink

Exploring an $80,000,000 Glass Mansion with Everything Left Inside

Okay

How do you destroy a Tank?

Option One : – Aerial Bombardment

Oops!!! Ukraine has no Planes. Otherwise why beg the world for Planes every second day? So thats out

Option Two :- Landmines

Not Effective. Once the first tank is blown up, the rest will stop and demining will take place so No thats out.

Option Three:- UAVs

The Bayrakhtars are gone. The US will not advance their Drones for fear of Russians knocking out the same and extracting the same and accessing the Proprietary Technology. Ukraine doesnt have Kamikaze Drones and without Predators or Meteors – UAVs cannot destroy a tank

Option Four:- Tanks

Oops!!! Ukraine is the one begging the world for Tanks right? From Abrams to Leopards , every Tank that can be spared. So Impossible for Ukraine to have Tanks to destroy Russian Tanks

Option Five:- RPG Launchers, NLAWs, Javelins

Oops didnt Bloomberg report than 80% of these have been abandoned and captured by the Russians. Plus these weapons have a limited Range and most of the battles are settled by artillery before advancement so no chance of any Ukranian shooting down a Russian tank with a Stinger or NLAW after May 2022

Option Six :- Manual Terrorism

Suicide Bombing, obviously Ukrainians arent dying like Jihadis. So thats a No-No

So that begs the obvious Question

HOW IS RUSSIA LOSING ALL ITS TANKS?

Russia had a total of 11805 Tanks before the SMO

This included 8660 Tanks of the T-72, T-80 and T-90 Make

So are you saying Russia lost 8,660 Tanks?

HOW?

Lloyd Austin may genetically resemble a silverback Gorilla but i am pretty sure he is not the HULK


Now lets look at the other side

Russia

Air Power – CHECK

UAVs – CHECK

Tanks – CHECK

So logic dictates that Russia should be able to decimate more Ukrainian Tanks than vice versa

Plus Russia aint begging for Tanks and Ukraine is


So how many Tanks did Russia lose?

First lets see how many Tanks were in Ukraine with the LPR and DPR Militia before the SMO

The Answer:- 255 Tanks primarily of the T-54/55 Make and T-72 make

My Guess is most of them are gone in the early days of March 2022 and April 2022

Next lets see how many Tanks were sent for the SMO on 24/2/2022 until 6/6/2022

The Answer is between 720 Tanks – 800 Tanks most of them Old T-54/55s and Older T-72 Models

Next lets see how many Tanks were assigned to the SMO as per Shoigus Statement on 6/6/22

The Answer is 1150 Tanks including 700 T-80s, 124 T-90s

main qimg 77a961c378572f3f060c36baf457cb75 lq
main qimg 77a961c378572f3f060c36baf457cb75 lq

Since 6/6 , how many Tanks have been shipped from Russia into Ukraine?

The Answer is 300 Tanks, mainly T-80s and T-90s

So Russia had a Total of 2250 Tanks for the SMO in Ukraine to date today which is around 25% of its Total Operational Tanks and 18% of its Total Armored Capacity

main qimg 8e655c7c96870dac35db27b983e6b0bf
main qimg 8e655c7c96870dac35db27b983e6b0bf

My Guess is since June 2022, less than 500 Russian Tanks have been destroyed by Ukraine and Today Russia has almost 1600–1750 Tanks still operational which is why no additional armored units have been requisitioned by Russia


Simple Logic

Ukraine doesnt have the means to destroy Russian Tanks post June 2022 whereas Russia do

Its why Ukraine begs for Tanks while Russia doesnt

The Most Logical Guess is since the SMO Began Russia may have lost 600 Tanks in Ukraine at the Most

Meanwhile Ukraine had 3700 Tanks on 21/2/22

Today since they are begging for more Tanks, they are likely to have less than 500 Tanks

This means Ukraine has lost around 3200 Tanks thanks to Russia

Thus 600:3200 is around 1:5 which sounds about reasonable for this SMO as far as Equipment Losses are concerned

2023.04.22 Western Leadership Miscalculations

The serious problem with the “West”. (12:00 onward).

Dr. Ryan Cole Told By Fauci “Don’t Do Autopsies on COVID Patients”

In the brief, nine minute video below, Pathologist Dr. Ryan Cole, trained by the Mayo Clinic in Clinical Pathology, reveals how the COVID “Vaccine” are causing Immune System dis-regulation” causing people to die from diseases we’ve always (usually) been able to fight-off.  He also reveals Doctors like him have been told “Do not do autopsies on COVID Patients.”

Spend the nine minutes to hear this HERE.

Western states that have banned direct purchases of Russian oil are now buying it indirectly from third countries, a report from the Centre for Research on Energy and Clean Air (CREA) claimed on Wednesday.

main qimg c09b7bdaa6a42fc0a62a66d980732371
main qimg c09b7bdaa6a42fc0a62a66d980732371

In December, the EU, G7, and allied countries imposed an embargo and a $60-per-barrel price cap on Russian oil. Similar restrictions were introduced in February for exports of Russian petroleum products.

While the so-called ‘price cap coalition’ cracked down on crude imports, it has increased purchases of refined products from “oil-laundering” countries, CREA claims.

The EU, Australia, and most of the G7 countries imported a combined $45.9 billion worth of oil products from countries that have become the largest buyers of Russian crude in the 12 months since the beginning of the conflict in Ukraine, the report stated.

According to CREA, “among the price cap coalition, the largest importer of oil products from the laundromat countries was the EU,” with imports reaching $19.4 billion since last February. Australia reportedly purchased $8.8 billion worth of refined crude in the 12-month period, followed by the US with $7.2 billion, the UK with $5.5 billion, and Japan with $5.2 billion. The highest proportions of imported oil products into price cap coalition countries were for diesel (29%), jet fuel (23%), and gasoil (13%).

China’s monthly exports of oil products to the EU and Australia spiked far above historical levels in late 2022, the Finland-based research center revealed.

According to the report, which is based on ship-tracking data, the price cap coalition countries ramped up imports of refined oil products from China by 94%, Turkey by 43%, the United Arab Emirates by 23%, Singapore by 33%, and India by 2%. (look at Indian numbers)

“The price cap coalition countries are responsible for the vast majority of the increase in laundromat countries’ exports of oil products,” CREA said. It claimed that 56% of Russian oil shipped to new destinations “has been transported by vessels owned and/or insured” by Western nations.

Playing around with Dream by Wombo

OK, so as of late, I have been experimenting with this “new” kind of Artificial Intelligence system. This one takes a sentence, a statement and then generates art from it. It’s fun, cool and quite an amusement. Something that I am just starting to “toy around with”.

The capabilities of artificial intelligence just keep expanding, and this includes different kinds of art. We’re going to introduce you to an app that lets you create digital images with the help of AI technology.

Dream by Wombo is available for mobile and online, but the former has more to offer. Learn how to use this AI artwork mobile app and what you can expect from it in just a few steps.

2023 04 25 12 05
2023 04 25 12 05

Here’s some examples when I typed in a sentence, and then clicked on the style icon…

2023 04 25 12 08
2023 04 25 12 08

I think that it is fun.

Now, I did all of this on my computer. But you don’t need to. You can download the APP.

Summary on this…

It’s good.

It’s free, though you can buy a premium subscription.

It produces basic, recognizable art. The art style is cute / childish / basic illustration. Suitable for graphics, presentations and children’s books.

It makes nice renderings of cats and kittens. And after all, if you cannot render a kitty, then what is your value?

APP

This app is perfect for decorating books, websites, walls, or custom playlist art without hiring a professional illustrator or graphic designer. It’s fast and easy.

Whether you’re on your phone or computer, Dream by Wombo’s AI can quickly produce stunning images in an artistic style of your choice with a simple prompt.

The browser-based version is simple enough to use and has a Mint as NFT option, while it lets you download or buy a print of your AI artwork. The mobile app, however, puts more tools at your disposal.

We’re going to show you how to use Dream by Wombo on your smartphone or tablet, step by step. But first, make sure you have the app.

Download: Dream by Wombo for AndroidiOS (Free)

Some of my art renderings…

dream TradingCard37
dream TradingCard37

dream TradingCard13
dream TradingCard13

dream TradingCard12
dream TradingCard12

dream TradingCard26
dream TradingCard26

dream TradingCard25
dream TradingCard25

dream TradingCard24
dream TradingCard24

dream TradingCard23
dream TradingCard23

dream TradingCard22
dream TradingCard22

dream TradingCard21
dream TradingCard21

dream TradingCard20
dream TradingCard20

dream TradingCard19
dream TradingCard19

dream TradingCard18
dream TradingCard18

dream TradingCard17
dream TradingCard17

dream TradingCard16
dream TradingCard16

dream TradingCard30
dream TradingCard30

dream TradingCard36
dream TradingCard36

dream TradingCard35
dream TradingCard35

dream TradingCard34
dream TradingCard34

dream TradingCard33
dream TradingCard33

dream TradingCard32
dream TradingCard32

dream TradingCard31
dream TradingCard31

dream TradingCard29
dream TradingCard29

dream TradingCard28
dream TradingCard28

dream TradingCard15
dream TradingCard15

dream TradingCard14
dream TradingCard14

dream TradingCard11
dream TradingCard11

dream TradingCard10
dream TradingCard10

dream TradingCard9
dream TradingCard9

dream TradingCard8
dream TradingCard8

dream TradingCard7
dream TradingCard7

dream TradingCard6
dream TradingCard6

dream TradingCard5
dream TradingCard5

dream TradingCard40
dream TradingCard40

dream TradingCard39
dream TradingCard39

dream TradingCard38
dream TradingCard38

dream TradingCard4
dream TradingCard4

dream TradingCard3
dream TradingCard3

dream TradingCard2
dream TradingCard2

dream TradingCard1
dream TradingCard1

dream TradingCard
dream TradingCard

Your Turn

Go click on this link to try it yourself…

Dream

Playing around with Nightcafe

OK, so as of late, I have been experimenting with this “new” kind of Artificial Intelligence system. This one takes a sentence, a statement and then generates art from it. It’s fun, cool and quite an amusement. Something that I am just starting to “toy around with”.

2023 04 25 16 02
2023 04 25 16 02

My first attempt

2023 04 25 16 05
2023 04 25 16 05

Alteration 1

2023 04 25 16 07
2023 04 25 16 07

The results

mWh6BvWZ0AmfFCN7Xq5O 4 rjccz
mWh6BvWZ0AmfFCN7Xq5O 4 rjccz

mWh6BvWZ0AmfFCN7Xq5O 3 oc4qj
mWh6BvWZ0AmfFCN7Xq5O 3 oc4qj

mWh6BvWZ0AmfFCN7Xq5O 1 1miqo
mWh6BvWZ0AmfFCN7Xq5O 1 1miqo

mWh6BvWZ0AmfFCN7Xq5O grid
mWh6BvWZ0AmfFCN7Xq5O grid

Alteration 2

Rabbits instead of cats. Same style generator.

2023 04 25 16 13
2023 04 25 16 13

The results

NTl4T9TmgngkShCwLqfF 4 7cmm7
NTl4T9TmgngkShCwLqfF 4 7cmm7

NTl4T9TmgngkShCwLqfF 3 agt6a
NTl4T9TmgngkShCwLqfF 3 agt6a

NTl4T9TmgngkShCwLqfF 2 ipm8q
NTl4T9TmgngkShCwLqfF 2 ipm8q

NTl4T9TmgngkShCwLqfF 1 p0t5n
NTl4T9TmgngkShCwLqfF 1 p0t5n

NTl4T9TmgngkShCwLqfF grid
NTl4T9TmgngkShCwLqfF grid

Alteration 3

Changed the preset to Anime.

2023 04 25 16 15
2023 04 25 16 15

Your Turn

Go click on this link to try it yourself…

NightCafe

The big war happened in 2019, China won. USA is dying. It is late stage collapse.

We are entering the era of "super Karens".

All indications are that the world has already reset.

It’s not being reported.

What remains is the military angle. War. Prep for war.

Hopefully the United States will collapse internally, but starting a war of desperation is still on the table, and it makes me extremely nervous.

Industry is flocking to China.

But, madmen are running the United States right now, and it is a true fiasco.

Did I summarize this well?


Stay calm. Be positive and eat well.

What the United States says about Ukraine…

2023 04 21 15 44
2023 04 21 15 44

Bangkok Beef with Basil

2023 04 15 12 08
2023 04 15 12 08

Ingredients

  • 1 (1 pound) top sirloin or flank steak, cut into thin strips
  • 1 tablespoon cornstarch
  • 2 tablespoons water or stock
  • 1 tablespoon rice wine
  • 3 cloves garlic, thinly sliced
  • 2 serrano or jalapeno chiles, minced
  • 2 tablespoons oyster sauce
  • Pinch of sugar
  • 1 teaspoon fish sauce
  • 1 cup canned bamboo shoots, well drained
  • 1 red bell pepper, julienned
  • 1 cup diced fresh tomatoes
  • 3/4 cup fresh Thai basil leaves
  • Oil for sautéing

Instructions

  1. Mix water, wine and cornstarch in a zip-type bag, add beef and marinate 10 minutes.
  2. Heat oil in wok or large skillet.
  3. Stir-fry garlic and chiles until fragrant, then add beef and tomatoes.
  4. After 1 or 2 minutes, add all other ingredients except basil.
  5. Cook 3 to 4 minutes more, then add basil.
  6. Stir once more, then serve.
  7. Serve hot over Asian noodles or warm with mixed greens as a salad.

Kamala Harris Offered $60M For LGBTQ acceptance in Africa

This is a very interesting video out of Africa. It tells you clearly, and in a loud voice, what the Africans think of the Untied States and it’s “human rights”.

 

Island Near Spain Reveals Evidence of Hallucinogenic Use in 1,000 BC

A new study has produced evidence that ancient peoples living on a Mediterranean island off the coast Spain nearly 3,000 years ago were regularly consuming hallucinogenic drugs obtained from plants. Scientists examined hair samples removed from a Bronze Age burial site on the island of Menorca, and were quite excited to find that these strands of hair contained traces of psychoactive ingredients. This is the first confirmed proof that ancient inhabitants of Spain’s Balearic Island chain were using mind-altering substances, which may have been taken during religious ceremonies as a way to induced altered states of consciousness and perception.

As reported in the latest edition of Scientific Reports , a group of scientists from Spain, led by Valladolid University professor of archaeology and prehistory Elisa Guerra Doce, carefully analyzed strands of human hair removed from Menorca’s Es Càrritx cave, to gather data about their chemical makeup. The researchers used Ultra-High Performance Liquid Chromatography and High-Resolution Mass Spectroscopy to test for a variety of chemicals in the hair strands, and found psychoactive ingredients in three of them.

The alkaloids they discovered were atropine and scopolamine, which come from nightshade plants, and ephedrine, a stimulant that can be extracted from a few species of pine trees and shrubs. The chemicals from the nightshade plants are known to induce hallucinations and alterations in perception , while ephedrine boosts energy levels and increases alertness.

2023 04 15 16 23
2023 04 15 16 23

Studying the Miraculously Preserved Human Hair of Menorca

Es Càrritx cave was first occupied by humans in approximately 1,600 BC. Among its many wonders, it featured a vast burial chamber that had remained in use up until 800 BC.

Normally, hair from skeletons buried so long ago would not have been preserved. But in this instance strands of hair from some individuals were dyed red, put inside wooden containers and stored in a separate sealed chamber found further back in the cave. Testing has shown that this well-preserved hair, which is believed to have been removed from the heads of shamans or medicine men, is about 3,000 years old.

Based on their knowledge of the types of plants that would have been on the island of Menorca in 1,000 BC, Guerra-Doce and her colleagues know the people living there would have had no trouble finding sources for hallucinogens. The psychoactive alkaloids could have entered their bodies following their consumption of extracts from a bush known as the joint pine, and from chemicals taken from nightshade plants like the mandrake, henbane and thorn apple.

The researchers speculate that the plants or their extracts would have been consumed during rituals, specifically those organized by shamans who specialized in the exploration of altered states of mind. It was believed that shamans could visit different dimensions, including those occupied by deceased spirits, while under the influence of mind-altering substances. With information gathered in these distant dimensions the shamans would have been able to diagnose illnesses and learn how to cure them, and possibly see into the future as well—or so they would have claimed.

Interestingly, there were concentric circles on the wooden containers that held the dyed strands of hair. The scientists think these may have been meant to depict eyes, and could have referred to the unique type of inner vision shamans experienced while under the influence of hallucinogens. They speculate that the wooden containers were essentially created as time capsules, as a way to preserve the record of certain cultural or metaphysical traditions that were abandoned 2,800 years ago. They were sealed in a deep cave chamber to ensure their unconventional contents (the dyed hair of shamans) would be preserved, thus honoring the activities of those who served as healers and holy men in their ancient communities.

2023 04 15 16 24
2023 04 15 16 24

Prehistoric Travelers in the Shamanic Realms

The evidence of prehistoric hallucinogenic use uncovered in this latest study is consistent with what has been discovered at many other ancient sites around the world.

Human consumption of drug plants is a long-standing tradition,” the study authors wrote in their Scientific Reports paper. “By combining many different fields of study (archaeology, anthropology, chemistry, pharmacology, ethnobotany, and iconography, among others) it has been possible to trace back this habit to prehistoric times in Eurasia, North America and South America.”

Fortunately, traces of psychoactive alkaloids can sometimes be preserved for several millennia. This has helped archaeologists and anthropologists identify many prehistoric cultures that were using hallucinogenic drugs, establishing just how popular and widespread this practice has been throughout human history.

In their study, the researchers listed many discoveries that have revealed the ubiquitous pattern of hallucinogenic plant use over the millennia. For example, opium alkaloids have been found in Late Bronze Age (1,400 to 1,200 BC) containers recovered from archaeological sites in the eastern Mediterranean. They’ve similarly been found in jugs, mugs and bowls unearthed in Iberia (modern-day Spain and Portugal) dating to the Copper Age , Bronze Age and Iron Age , covering the period of more than 3,000 years up to the first century BC.

In the Americas, multiple types of hallucinogens have been found in artifacts manufactured in pre-Hispanic times. In China the psychoactive ingredients in cannabis have been discovered inside wooden boxes, dating back to the country’s prehistoric era.

Organic remains that contain psychoactive alkaloids have been unearthed more rarely, but they have been discovered from time to time. Hair samples taken from mummified bodies linked to ancient Mesoamerican cultures have been found to contain psychoactive alkaloids, and they’ve also been detected in prehistoric human bones excavated in China. In Vietnam alkaloids from the Areca nut have been found in dental enamel of individuals who lived during the Iron Age. And predating other finds on the Iberian Peninsula, bones removed from ancient mines in Gavá have produced evidence of hallucinogenic consumption dating to the Late Neolithic period (4,500 to 3,250 BC).

The use of hallucinogenic substances likely goes back tens of thousands of years, into the Paleolithic period. But hard evidence that shows exactly when and how mind-altering psychoactive chemicals were being consumed is rare, and that’s why the discovery of the sealed wooden boxes with the contaminated hair strands in the cave on Menorca is so important. The researchers involved in this new study are convinced that the boxes were made to preserve a kind of record of ancient ceremonial practices, with the dyed hair strands serving as artifacts directly linked to the shamans who were in charge of communications with other realms.

VIDEO: U.S. M1A1 Abrams Tanks Already Inside Ukraine

No surprises. Eh?

M1A1Tanks UkraineHeader large
M1A1Tanks UkraineHeader large

Video has emerged showing United States M1A1 Abrams Tanks sitting in a field inside Ukraine.  The person recording the video is speaking Ukrainian.  Watch:

Japanese Artist Creates Epic Anime Costumes For His Cats

0 31
0 31

If you’re a fan of cats, anime, and adorable cats dressed in tiny anime costumes, this one is going to be a treat. Apparently, there’s a talented Japanese man likes to create handmade anime costumes for his two cute cats and you can find his beautiful creations on his Twitter account.

If you know your anime well enough, you might be able to tell that most of the costumes he creates are based on those seen in productions from Studio Ghibli, a Japanese animation film studio. It’s also worth noting that all of his designs are made from scratch and with his own two hands.

More: Twitter h/t: boredpanda

cats anime costumes yagyouneko japan 5f48f59436699 700
cats anime costumes yagyouneko japan 5f48f59436699 700

cats anime costumes yagyouneko japan 5f48f180747ae 700
cats anime costumes yagyouneko japan 5f48f180747ae 700

cats anime costumes yagyouneko japan 5f48f49997f66 700
cats anime costumes yagyouneko japan 5f48f49997f66 700

cats anime costumes yagyouneko japan 5f48f7988ffc4 700
cats anime costumes yagyouneko japan 5f48f7988ffc4 700

cats anime costumes yagyouneko japan 5f48f7090dd0b 700
cats anime costumes yagyouneko japan 5f48f7090dd0b 700

cats anime costumes yagyouneko japan 5f48f5691a55a 700
cats anime costumes yagyouneko japan 5f48f5691a55a 700

cats anime costumes yagyouneko japan 5f48f3910fc57 700
cats anime costumes yagyouneko japan 5f48f3910fc57 700

cats anime costumes yagyouneko japan 5f48f2617f242 700
cats anime costumes yagyouneko japan 5f48f2617f242 700

cats anime costumes yagyouneko japan 5f48f349bf26d 700
cats anime costumes yagyouneko japan 5f48f349bf26d 700

cats anime costumes yagyouneko japan 5f48f84fd5179 700
cats anime costumes yagyouneko japan 5f48f84fd5179 700

cats anime costumes yagyouneko japan 5f48f72e72cdc 700
cats anime costumes yagyouneko japan 5f48f72e72cdc 700

cats anime costumes yagyouneko japan 5f48f60db4525 700
cats anime costumes yagyouneko japan 5f48f60db4525 700

cats anime costumes yagyouneko japan 5f48f57a6c5ef 700
cats anime costumes yagyouneko japan 5f48f57a6c5ef 700

cats anime costumes yagyouneko japan 5f48f53f7d373 700
cats anime costumes yagyouneko japan 5f48f53f7d373 700

cats anime costumes yagyouneko japan 5f48f7fb9ed95 700
cats anime costumes yagyouneko japan 5f48f7fb9ed95 700

cats anime costumes yagyouneko japan 5f48f7b5ec421 700
cats anime costumes yagyouneko japan 5f48f7b5ec421 700

cats anime costumes yagyouneko japan 5f48f6d99d1ae 700
cats anime costumes yagyouneko japan 5f48f6d99d1ae 700

cats anime costumes yagyouneko japan 5f48f5e60644e 700
cats anime costumes yagyouneko japan 5f48f5e60644e 700

cats anime costumes yagyouneko japan 5f48f5a77f7c6 700
cats anime costumes yagyouneko japan 5f48f5a77f7c6 700

cats anime costumes yagyouneko japan 5f48f4edaa7f7 700
cats anime costumes yagyouneko japan 5f48f4edaa7f7 700

cats anime costumes yagyouneko japan 5f48f04e18191 700
cats anime costumes yagyouneko japan 5f48f04e18191 700

cats anime costumes yagyouneko japan 5f48f2ee36c51 700
cats anime costumes yagyouneko japan 5f48f2ee36c51 700

cats anime costumes yagyouneko japan 5f48f2e090685 700
cats anime costumes yagyouneko japan 5f48f2e090685 700

cats anime costumes yagyouneko japan 5f48f2a149beb 700
cats anime costumes yagyouneko japan 5f48f2a149beb 700

cats anime costumes yagyouneko japan 5f48f00a83dc2 700
cats anime costumes yagyouneko japan 5f48f00a83dc2 700

cats anime costumes yagyouneko japan 5f48efdff3176 700
cats anime costumes yagyouneko japan 5f48efdff3176 700

cats anime costumes yagyouneko japan 5f48ef5834f55 700
cats anime costumes yagyouneko japan 5f48ef5834f55 700

cats anime costumes yagyouneko japan 5f48ef02b5224 700
cats anime costumes yagyouneko japan 5f48ef02b5224 700

cats anime costumes yagyouneko japan 5f48ee6436a70 700
cats anime costumes yagyouneko japan 5f48ee6436a70 700

cats anime costumes yagyouneko japan 5f48edfd0ebb6 700
cats anime costumes yagyouneko japan 5f48edfd0ebb6 700

cats anime costumes yagyouneko japan 5f48edd504b6a 700
cats anime costumes yagyouneko japan 5f48edd504b6a 700

cats anime costumes yagyouneko japan 5f48ecb747ba7 700
cats anime costumes yagyouneko japan 5f48ecb747ba7 700

cats anime costumes yagyouneko japan 5f48ceb83d813 700
cats anime costumes yagyouneko japan 5f48ceb83d813 700

cats anime costumes yagyouneko japan 5f48ce08032dc 700
cats anime costumes yagyouneko japan 5f48ce08032dc 700

cats anime costumes yagyouneko japan 5f48cde145ddb 700
cats anime costumes yagyouneko japan 5f48cde145ddb 700

cats anime costumes yagyouneko japan 5f48cdb5c5daf 700
cats anime costumes yagyouneko japan 5f48cdb5c5daf 700

cats anime costumes yagyouneko japan 5f48cd5cb92dc 700
cats anime costumes yagyouneko japan 5f48cd5cb92dc 700

cats anime costumes yagyouneko japan 5f48cca26e80b 700
cats anime costumes yagyouneko japan 5f48cca26e80b 700

cats anime costumes yagyouneko japan 5f48cc6301c9d 700
cats anime costumes yagyouneko japan 5f48cc6301c9d 700

cats anime costumes yagyouneko japan 5f48cbc3da933 700
cats anime costumes yagyouneko japan 5f48cbc3da933 700

cats anime costumes yagyouneko japan 5f48cbaef3b36 700
cats anime costumes yagyouneko japan 5f48cbaef3b36 700

cats anime costumes yagyouneko japan 5f48cb46dd473 700
cats anime costumes yagyouneko japan 5f48cb46dd473 700

cats anime costumes yagyouneko japan 5f48cb9eedd6c 700
cats anime costumes yagyouneko japan 5f48cb9eedd6c 700

cats anime costumes yagyouneko japan 5f48ca354c4a4 700
cats anime costumes yagyouneko japan 5f48ca354c4a4 700

cats anime costumes yagyouneko japan 5f48ca11bd486 700
cats anime costumes yagyouneko japan 5f48ca11bd486 700

cats anime costumes yagyouneko japan 5f48c5094258b 700
cats anime costumes yagyouneko japan 5f48c5094258b 700

cats anime costumes yagyouneko japan 5f48c730e96de 700
cats anime costumes yagyouneko japan 5f48c730e96de 700

cats anime costumes yagyouneko japan 5f48c79fd972a 700
cats anime costumes yagyouneko japan 5f48c79fd972a 700

cats anime costumes yagyouneko japan 5f48c73ced64d 700
cats anime costumes yagyouneko japan 5f48c73ced64d 700

cats anime costumes yagyouneko japan 5f48c61bd448f 700
cats anime costumes yagyouneko japan 5f48c61bd448f 700

cats anime costumes yagyouneko japan 5f48c9b8a0e68 700
cats anime costumes yagyouneko japan 5f48c9b8a0e68 700

cats anime costumes yagyouneko japan 5f48c5ab80c21 700
cats anime costumes yagyouneko japan 5f48c5ab80c21 700

cats anime costumes yagyouneko japan 5f48c4b5bad6d 700
cats anime costumes yagyouneko japan 5f48c4b5bad6d 700

cats anime costumes yagyouneko japan 5f48c4aeb019f 700
cats anime costumes yagyouneko japan 5f48c4aeb019f 700

cats anime costumes yagyouneko japan 5f48c1ca8c045 700
cats anime costumes yagyouneko japan 5f48c1ca8c045 700

cats anime costumes yagyouneko 72 5f48ffd629308 700
cats anime costumes yagyouneko 72 5f48ffd629308 700

cats anime costumes yagyouneko 71 5f48ff5512b1b 700
cats anime costumes yagyouneko 71 5f48ff5512b1b 700

cats anime costumes yagyouneko 70 5f48ff2040c05 700
cats anime costumes yagyouneko 70 5f48ff2040c05 700

cats anime costumes yagyouneko 69 5f48fed0e08f3 700
cats anime costumes yagyouneko 69 5f48fed0e08f3 700

cats anime costumes yagyouneko 7 5f48c14095fb2 700
cats anime costumes yagyouneko 7 5f48c14095fb2 700

cats anime costumes yagyouneko 6 5f48c137b6ec0 700
cats anime costumes yagyouneko 6 5f48c137b6ec0 700

cats anime costumes yagyouneko 5 5f48c1695ea9c 700
cats anime costumes yagyouneko 5 5f48c1695ea9c 700

cats anime costumes yagyouneko 4 5f48c162b0e41 700
cats anime costumes yagyouneko 4 5f48c162b0e41 700

cats anime costumes yagyouneko 3 5f48c15c0b119 700
cats anime costumes yagyouneko 3 5f48c15c0b119 700

cats anime costumes yagyouneko 2 5f48c153bf5f6 700
cats anime costumes yagyouneko 2 5f48c153bf5f6 700

cats anime costumes yagyouneko 1 5f48c14c1dc66 700
cats anime costumes yagyouneko 1 5f48c14c1dc66 700

Biden White House, out of control w/ Mike Adams (Live)

Very American-centric, but pretty good and is talking about the political scene in the United States today.

The Duran is “on fire”! Damn!

China’s Loongson Unveils 32-Core CPU, Reportedly 4X Faster Than Arm Chip

2023 04 15 16 28
2023 04 15 16 28

Loongson, a Chinese fabless chipmaker, has launched the new 3D5000 processor for data centers and cloud computing. MyDrivers (opens in new tab) reported that Loongson claims its 32-core domestic chips deliver 4X higher performance than rival Arm processors.

The 3D5000 still leverages LoongArch, Loongson’s homemade instruction set architecture (ISA) from 2020. The chipmaker was previously a firm believer in MIPS. However, Loongson eventually built LoongArch from the ground up with the sole objective of not relying on foreign technology to develop its processors. LoongArch is a RISC (reduced instruction set computer) ISA, similar to MIPS or RISC-V.

The 3D5000 arrives with 32 LA464 cores running at 2 GHz. The 32-core processor has 64MB of L3 cache, supports eight-channel DDR4-3200 ECC memory, and up to five HyperTransport (HT) 3.0 interfaces. It also supports dynamic frequency and voltage adjustments. Officially, the 3D5000 has a 300W TDP; however, Loongson stated that the conventional power consumption is around 150W. That’s roughly 5W per core.

The 3D5000 flaunts a chiplet design since Loongson has glued together two 16-core 3C5000 processors. Loongson developed the 3C5000 server part to compete with AMD’s Zen and Zen+ architectures. The latest 3D5000, which measures 75.4 x 58.5 x 7.1mm,  slides into a custom LGA4129 socket.

The processor supports 2P and 4P configurations; therefore, Loongson has launched the 7A2000 bridge chip to manage the communication between the processors and other components. As per the chip designer, the 7A2000 is up to 400% faster than the previous generation. Furthermore, with the help of the 7A2000, there’s a possibility to scale up to 128 cores per motherboard.

According to Loongson’s provided numbers, the 3D5000 scores over 425 points in SPEC CPU 2006, a depreciated benchmark replaced with the newer SPEC CPU 2017 version. The 3D5000 also delivers over 1 TFLOPs of FP64 performance, up to 4X higher than regular Arm cores. Meanwhile, the processor’s stream performance with eight channels of DDR4-3200 memory crosses the 50GB mark.

While performance isn’t the 3D5000’s strong suit, security is. The 32-core processor allegedly has a custom-made mechanism to defend against vulnerabilities such as Meltdown or Spectre. The chip also has its Trusted Platform Module (TPM), so it doesn’t rely on an external solution. In addition, according to MyDrivers’ report, the 3D5000 also supports a secret national algorithm with an embedded security module that seemingly delivers excellent encryption and decryption efficiency higher than 5 Gbps.

In addition to the 3D5000 and 7A2000, Loongson also announced the 2K050, the company’s baseboard management controller (BMC). The 2K050 features LA264 cores at 500 MHz, integrated 2D GDP, 32-bit DDR3 support, and outputs at a 1080p (1920×1080) resolution at 60 Hz.

Loongson’s 3D5000 is no match for AMD’s EPYC Genoa or Intel’s Sapphire Rapids Xeon processors. It was never about beating the foreign competition but pushing for self-sufficiency. Unfortunately, with the ongoing U.S. sanctions, Chinese companies have no means to secure chipmaking tools originating from the U.S. In addition, the U.S. Department of Commerce recently blacklisted Loongson, which likely derailed some of the company’s plans.

Brazil’s Lula Backs BRICS Currency to Replace US Dollar in Foreign Trade – Bloomberg

The world no longer want to live with the risk of US confiscating their dollar assets at will :

He spoke after visiting headquarters of BRICS bank in Shanghai

Brazil’s president has a bilateral with Xi Jinping on Friday

Article HERE

NUKE Base EMERGENCY, WALMART WAR!

It’s a prepper channel, and he is speaking the TRUTH.

Ruthless! U.S.A. Foments Coup d’etat in Sudan Just Two Weeks After Russia Approachment

2023 04 16 07 08
2023 04 16 07 08

Two weeks ago, Russian Foreign Minister Sergey Lavrov visited the African nation of Sudan.  One of the topics discussed: A Russian Naval Base on the Red Sea.   Here we are two weeks after that discussion, the U.S. has fomented a military Coup in Sudan.

Russia’s agreement . . . out the window.  That’s how utterly RUTHLESS the USA is.  It took our CIA just two weeks to make it all happen.

You see, when the USA cannot get what we want by peaceful means, or bribery, we foment the overthrow of an entire country’s government.

It comes down to power. The USA is the Top Dog of this planet, and the people running the USA are not going to “allow” anyone else to unseat us.

Whatever it takes to keep hold of our power, the people running our government seem willing to do.  Economic sanctions, trade war, election fraud, bribery, or, as we see with Sudan (and as we saw with Ukraine) the OVERTHROW of a government.

The USA will not be dislodged from its perch above the world and anyone who tries, gets crushed, ruined, destroyed, or dead.

That is what our country has become.

That is why much of the world is distancing itself from us, and from our currency.

Unless the American People step up to put a stop to the way our government is doing things, I FEAR our country will get stopped.  By Russian nuclear missiles.

What choice are we leaving the Russians?   We won’t leave them alone.  We’ve been surrounding their country for decades with more and more NATO members — all of whom then start aiming missiles at Russia.

We smash countries — like Syria — who are allied with Russia.  We takeover countries that we cannot induce to join NATO (i.e. Ukraine), and when Russia tries to peacefully negotiate a naval base on the Red Sea with Sudan, we are now overthrowing the Sudan government.

No matter what Russia does, we interfere.  Sometimes peacefully.  Sometimes legally.  Most times through Bribery and force.

Sooner or later, the Russians are going to realize that the USA simply will not stop; will not peacefully co-exist.

When that realization happens, there will be only one choice Russia has left: To either utterly destroy the United States, or capitulate to it.

The sleazy douchebags who infest the US Government think Russia will capitulate.   I think Russia will push the launch button.

Asch Conformity Experiment

Once again Gonzalo is wayyyyy ahead on this story. We'll hear the other talking about this in a few months!

Nua Yang Nam Tok (Waterfall Beef – Thai)

If you’ve got a broiler/grill you can cook this one anytime, otherwise wait for the barbeque season. In Thai nua is beef, yang means broiled (over a charcoal burner), and nam tok is a waterfall. The name comes from the sound the juices dripping from the beef onto the open charcoal brazier.

48264940476 6659fc5785 c
48264940476 6659fc5785 c

Ingredients

Steak

  • 1 pound steak, cut fairly thick

Marinade

  • 1 tablespoon fish sauce
  • 1 tablespoon tamarind juice
  • 1 tablespoon lime juice
  • 1 tablespoon chopped red birdseye chiles (prik ki nu)

Remaining Ingredients

  • 1/3 cup fish sauce
  • 1/3 cup lime juice
  • 2 to 3 tablespoons chopped shallots
  • 2 to 3 tablespoons chopped coriander/cilantro (including the roots if possible)
  • 2 to 3 tablespoons chopped mint leaves
  • 2 tablespoons khao noor (see the Pad Thai recipe for this)
  • 1 tablespoon freshly roasted/fried sesame seeds
  • 1 to 3 teaspoons freshly ground dried red chiles

Instructions

  1. Marinade: Mix the marinade, coat the steak with it and marinade it for at least 3 hours.
  2. The steak is then barbecued, broiled or grilled until on the rare side of medium rare, cut into half inch thick strips and the strips cut into bite sized pieces. The meat can be kept cool until just before you want to eat.
  3. Remaining ingredients: In a wok, bring a little oil to medium high heat, and add the strips of beef, immediately followed by all the remaining ingredients, stir fry until heated through (about a minute).
  4. Serve with Thai sticky rice. (Alternatively I rather like it as part of a meal with pad thai and a soup such as tom yum ghoong (hot and sour shrimp soup).

China and Russias MASTER PLAN is About to Begin.

Sometimes being a rufus can test you.

I will keep anotherwise long story short. Suffice it to say i had oversight (although) not ultimate responsibility for a major US airport. Part of my job was just observing, that security was ok, equipment was function, queus and staff was managed well and general aiport customer stervice what i believed our standards should be.

I1 situation of a mantraveling alone who had been sitting next to our help desk, often asking to use the phone. Of course in a big airport this normally isnt allowed. When i was boss I always allowed it.

Anyways on the 2nd day this man was still sitting there, decent street clothes not in anyway dishelved. I went to talk to him and ask what his problem was. It turned out he was an afghan refugee (US interptreter for the US army in Afghan. The state department had made arrangements for him to stay with a sponsor so he wouldnt be assisinated and who never showed so this man was stranded in the US no money, no one to help.

 

First thing idid was give himn $20 bucks to go get food. He hadnt eaten in 2 daays. Knowing full well helping this guy was way outside my job authority, I did it anyway. I had my staff call every aphfan refugee organiation in the US. Finally enough of us pooled money for him to get a room. After he returned from the hotel, my staff had found aa sponsor for him.

In the mean time i guess the original sponsor felt guilt and showedup and gave the guy $200. THis was better than nothing. the place we found waas in sacramento $450 plaane flight and another $60 transfer to the bus station.

I looked for another way and found good old 7-11 would give him aa bus ticket 1 way for 60 buCks. I sent my staff over to pick up his ticket and the nexxt morning he was on his way to support.

When you do the right thing you risk nothing. Always be the Rufus

Russia “Surges” Tanks into Ukraine

Over the past 24 hours, Russia has begun a very large “surge” of tanks and other heavy military equipment into Ukraine.  In the video below, a single train is seen carrying 36 more tanks, older T-62M models, into the war zone.

 

 

It is already known that at least five additional trains, each carrying more than 36 tanks, are also entering the war zone.

Meanwhile, today’s briefing from the United Kingdom Defense Intelligence ADMITS Ukraine is withdrawing troops from Bakhmut:

2023 04 15 12 25
2023 04 15 12 25

No matter how much military assistance NATO provides Ukraine, Russia simply adds more and continues to destroy the Ukrainians.

It appears to many reasonable people that NATO is now willing to fight Russia, to the last Ukrainian!

When will Ukraine admit they have no hope at all, and negotiate a surrender?

Being a daddy

main qimg e0530fa2073b0695c2cbdceb7c657d3e
main qimg e0530fa2073b0695c2cbdceb7c657d3e

“Today at Meijer, I walked up to select my shaving cream and overheard a mother talking to her daughter about shaving her legs. It was her first time shopping for razors, and her mom was explaining what kind of razor she needed, how to shave her legs, etc. I felt that little punch in the gut that I normally feel when I see a mom and daughter doing mom and daughter things. I can’t help it. You’d think after 14 years without mine, seeing someone with their mom wouldn’t make me sad or jealous, but it just does.

This time, however, I couldn’t help but giggle. I was transported back to a similar moment I shared with my Dad. I’ll never forget the look on my dad’s face when I told him I wanted to shave my legs. It was the summer after mom died and some of the girls I went to summer camp with started teasing me about my hairy legs. I remember coming up to dad and being so embarrassed to ask him for help, but man, did he handle it like a champ! For a moment he put his head down; and then he turned the TV off, took me into the bathroom, gave me a new blade on his razor, gave me his shaving cream, and taught me how to shave my legs.

Single dads don’t get the credit they deserve; especially mine and all dads who are left playing both roles. There was never a time in my childhood where I truly thought I was missing out on anything. My dad was so good at fulfilling both roles and making sure my childhood was as normal as possible; that there was never a time I thought Life or God had screwed me over. My dad handled everything: periods, shaving, broken hearts, catty girlfriends–you name it and my dad took it like a champ.

Dad, I know I haven’t said it enough, so here’s a big public THANK YOU for never, ever, ever being too much of a man to be my mom. Thank you for never being too embarrassed to do the girl stuff you had to do, thank you for never making it embarrassing for me to ask you for help. Growing up without a mom is obviously something no one ever wants, but growing up with you was the best. I love you, Dad!” ❤️

Credit: Tiana Sheehan

Playing around with DeepAI

OK, so as of late, I have been experimenting with this “new” kind of Artificial Intelligence system. This one takes a sentence, a statement and then generates art from it. It’s fun, cool and quite an amusement. Something that I am just starting to “toy around with”.

2023 04 24 21 22
2023 04 24 21 22

DeepAI offers an easy-to-use text-to-image generator that produces decent results with the right prompts. There are many image styles on offer, and almost half of those are free.

The free ones include basic text-to-image, cute creatures, fantasy worlds, cyberpunk, old, renaissance painting, and abstract, among a few others.

All of these styles produce images according to that theme, pretty much like the other tools on this list. However, among these styles, there is a logo generator as well that you can use to produce interesting logo ideas. It’s particularly useful for artists who are looking for inspiration to build on or to overcome a block.

Here’s some examples when I typed in a sentence, and then clicked on the style icon…

2023 04 24 20 12
2023 04 24 20 12

Pretty good.

You need to play with it, because if you use the wrong feed generation icon, your images won’t look “right”.

Here, I used the architectural icon for a house-based sentence…

2023 04 24 21 01
2023 04 24 21 01

I think that it is fun.

Some of my art renderings…

This one tuned out pretty good…

8R6PLiBj
8R6PLiBj

a 88aa0g
a 88aa0g

kEKcarf7
kEKcarf7

IepYGqeH
IepYGqeH

YANr vfv
YANr vfv

kT8YfW85
kT8YfW85

07pjuIFb
07pjuIFb

kBYZqMFq
kBYZqMFq

QrFSv12X
QrFSv12X

M7niZQZu
M7niZQZu

frELcIXz
frELcIXz

feYmB5U1
feYmB5U1

H9Cdw I9
H9Cdw I9

NT7GkYt0
NT7GkYt0

8YbCW0eT
8YbCW0eT

X7O4 E04
X7O4 E04

l180aZdx
l180aZdx

QTPwZBYd
QTPwZBYd

W Pq5g5n
W Pq5g5n

LKQApQFE
LKQApQFE

86Cp0M2U
86Cp0M2U

7H4p0ejU
7H4p0ejU

ppYTQpTe
ppYTQpTe

WbFEboto
WbFEboto

NvD97LPe
NvD97LPe

hrk7DX6O
hrk7DX6O

56mcsTds
56mcsTds

c6k fKPu
c6k fKPu

vuA4OUB7
vuA4OUB7

2afEpM8D
2afEpM8D

Your Turn

Go click on this link to try it yourself…

DeepAI

Now with the United States crazy mad-dog chained in a corner, the rest of the world are mending fences, and building bridges

The United States crazed mad-dog seems to be effectively muzzled. It seems to be on “pause” for a spell, and the rest of the world is galloping as far away from the United States as possible. Not just France, but India, Brazil, Australia, and many, many African nations. It’s almost a rout.

Meanwhile those in the “West” must be the most ignorant, and ill-informed people in all of history.

American “news” is like American Supermarkets; One long isle of sodas, only two brands (Coke and Pepsi). With a single tiny shelf in the far, back corner with one or two “off brands”.

2023 04 18 15 43
2023 04 18 15 43

Sheech!

China did it again! Yemen and Saudi Arabia mend ties!

Figuring things out

2023 04 18 11 41
2023 04 18 11 41

Shrimp in Caramel Sauce
(Tom Kho – Vietnamese)

The onions should practically disintegrate into the sauce. Adding the oil at the end lends a bit of extra richness; traditionally more lard or oil was added than prescribed here to also give an appetizing sheen to the shrimp.

2023 04 16 16 52
2023 04 16 16 52

Ingredients

  • 1 1/2 pounds (31-40 count) medium shrimp, peeled and deveined
  • 1/4 teaspoon salt
  • 1 1/2 tablespoons fish sauce
  • 2 tablespoonsCaramel Sauce
  • 1/2 yellow onion, thinly sliced
  • 1/2 teaspoon freshly ground pepper
  • 1 1/2 tablespoons oil
  • 1 green onion, green tops only, chopped
  • Steamed rice, for serving

Instructions

  1. Place the shrimp, salt, fish sauce and Caramel Sauce into a shallow saucepan. Bring to a vigorous simmer over high heat.
  2. Add the yellow onion and pepper, stirring to evenly distribute. Continue cooking over high heat, occasionally turning the shrimp so that they’re well coated with sauce. They’ll curl up and release their juices to combine with the other ingredients and concentrate into a dark sauce. Add a little water if things get too dry.
  3. The shrimp are done when they’ve taken on an orange-brown color and have a pleasant sweet chewiness, about 8 to 10 minutes after you’ve added the onion and pepper. There will be a few tablespoons of sauce in the pan.
  4. Remove from the heat, add the oil and stir to coat the shrimp.
  5. Scatter the green onion on top and serve with lots of steamed rice.

4 servings

Each serving, without rice: 229 calories; 725 mg sodium; 276 mg cholesterol; 7 grams fat; 1 gram saturated fat; 10 grams carbohydrates; 31 grams protein; 0.58 gram fiber

Not that Way: The Superb Concept Art Works of Oliver Ryan

0 20 990x714 1
0 20 990×714 1

Oliver Ryan is a UK based concept artist and illustrator working in games and animation.

More: Instagram, Artstation

279853438 693842298597634 5388296126589826384 n 990x525 1
279853438 693842298597634 5388296126589826384 n 990×525 1

260473037 1067546237352400 5019969065825885563 n 990x556 1
260473037 1067546237352400 5019969065825885563 n 990×556 1

179936751 489080795578264 5240814024280644510 n 990x518 1
179936751 489080795578264 5240814024280644510 n 990×518 1

158475353 440289487292397 5778779151235718025 n 990x518 1
158475353 440289487292397 5778779151235718025 n 990×518 1

135539589 1743182335858765 7346570627627499468 n 990x1079 1
135539589 1743182335858765 7346570627627499468 n 990×1079 1

124962178 388856909003846 5359682428368909316 n 990x518 1
124962178 388856909003846 5359682428368909316 n 990×518 1

121706587 1013038375807290 1281269691256104389 n 990x653 1
121706587 1013038375807290 1281269691256104389 n 990×653 1

117799912 107286804343972 943547130608427271 n 990x518 1
117799912 107286804343972 943547130608427271 n 990×518 1

107527445 2341610139479820 7171930859538366555 n 990x761 1
107527445 2341610139479820 7171930859538366555 n 990×761 1

95516557 882662588805877 4837927790932705310 n 990x518 1
95516557 882662588805877 4837927790932705310 n 990×518 1

93679477 151367499711284 1315783052731576468 n 990x518 1
93679477 151367499711284 1315783052731576468 n 990×518 1

89856431 507012036915522 8265392734733061469 n 990x518 1
89856431 507012036915522 8265392734733061469 n 990×518 1

69593494 698000480683417 6016793462191014359 n 990x587 1
69593494 698000480683417 6016793462191014359 n 990×587 1

62263597 477698629702033 7709227238714870266 n
62263597 477698629702033 7709227238714870266 n

57303647 156733315370222 2899237806725840159 n 990x518 1
57303647 156733315370222 2899237806725840159 n 990×518 1

57119730 273598046909984 5684342647247021056 n 990x613 1
57119730 273598046909984 5684342647247021056 n 990×613 1

57114930 544581102731959 8968656290309238242 n 990x565 1
57114930 544581102731959 8968656290309238242 n 990×565 1

52967950 2204391593210753 6387960090471519689 n 990x518 1
52967950 2204391593210753 6387960090471519689 n 990×518 1

51861376 625208121246990 2377091845771593492 n 990x907 1
51861376 625208121246990 2377091845771593492 n 990×907 1

47694648 2869422363198656 8493300802325647007 n 990x518 1
47694648 2869422363198656 8493300802325647007 n 990×518 1

43915258 2221981744703974 4455474591310875407 n 990x518 1
43915258 2221981744703974 4455474591310875407 n 990×518 1

38298856 646001145799062 1398112331312922624 n 990x518 1
38298856 646001145799062 1398112331312922624 n 990×518 1

35424285 233834874094495 5517172532966326272 n 990x518 1
35424285 233834874094495 5517172532966326272 n 990×518 1

30926850 161576871205149 8454805381745475584 n 990x518 1
30926850 161576871205149 8454805381745475584 n 990×518 1

30601710 396353320830770 3470541567549243392 n 990x518 1
30601710 396353320830770 3470541567549243392 n 990×518 1

28766811 218695198681502 7079862582223306752 n 990x990 1
28766811 218695198681502 7079862582223306752 n 990×990 1

21819819 698785980310140 5346685571383164928 n 990x988 1
21819819 698785980310140 5346685571383164928 n 990×988 1

21435389 116642925708931 195114381367836672 n 990x518 1
21435389 116642925708931 195114381367836672 n 990×518 1

21372159 170125220211303 3654191646116937728 n 990x518 1
21372159 170125220211303 3654191646116937728 n 990×518 1

CHINA – April 18: “Major Military OPERATION . . .”

The Chinese Maritime Safety Administration has announced that the People’s Liberation Army Navy will be conducting a “Major Military Operation” in the Yellow Sea off the Coast of Qingdao on April 18th between 0900 and 1200 Local-Time.

This is the first time they have ever used the term “Major Military OPERATION.”   Usually, they say “military exercise(s)”   Now, they’re saying “operation.”

Not quite certain what this entails.  ALso not certain why they gave less than 24 hours notice.   That part of China is about 12 hours AHEAD of US East Coast.

The Fall Of America ? | China’s Exposure

The first minutes of the video are a great demonstration on how distorted Americans see the world and how badly the mainstream media can spin stories into their favor.

Massive Numbers of Military-Age Chinese Men Lining Up in Panama to ILLEGALLY Cross into USA

2023 04 18 11 59
2023 04 18 11 59

Massive Lines Of Military Aged Men From China Are Lining Up In Panama Headed For The United States open southern border! This is an Invasion that the President is NOT Stopping! Look at the one minute video below . . .

Here is what is taking place right now in Panama, heading to the USA unabated:

Now, pretty much EVERY American knows that relations between the USA and China are not good anymore.  There is very candid talk that China is going to “re-unify” with Taiwan, and that may happen by force. . . war.

The US has publicly (and foolishly) stated it will “Defend” Taiwan.  Quite a goal given that Taiwan is 8000 miles from the USA but only 90 miles from mainland China.

Just how does the US think it will supply a logistics train for wartime operations which has any hope of overcoming mainland China’s ability to supply Chinese troops?

Yet pledge to defend  Taiwan, the US has . . .

So here we are, with talk of war and lo and behold, thousands of MILITARY-AGE CHINESE MEN are moving to cross into the USA illegally.

Is there any doubt in your mind that these men are part of the People’s Liberation Army (PLA) being sent here by their Communist China bosses to attack the USA from within once hostilities break out?

One would have to be a fool to think otherwise.

If they were coming lawfully, they wouldn’t be doing this in Panama.   They’re coming ILLEGALLY because they want no records of them being in the country! No records of who they are, where they will be staying, or for how long.

HAL TURNER ANALYSIS

Americans with guns need to start thinking to themselves “What if war breaks out and these people actually DO start attacking us from within?  AM I ABLE TO SHOOT A HUMAN BEING TO DEFEND MY COUNTRY?”

Few normal people in any country, have had to contemplate shooting another human being.  Even fewer have ever had to do it.   It’s a big deal to do that.  On an emotional and intellectual level, killing another human being is a tremendously big deal.  It takes a considerable amount of thinking — in advance — to figure out if YOU are capable of actually doing that.

If you are, in a combat/fight/life-threatening situation, fine.

If you’re “not sure” then you’d better think long and hard, NOW, before any confrontation occurs.  Because if you’re not sure . . . and, God Forbid, war comes . . . then YOU will get killed BY THEM in the moments you hesitate to shoot them.

None of us want war.  I certainly don’t.  Especially not here, inside my own country.  But it sure looks as though that is exactly what’s coming.

 

I retired at 49, 6 years ago. Top 4 lessons for a successful transition from a rewarding career:

  1. Don’t buy things that don’t include potential for building a community and friendships. Floating in a wakeboard boat alone is not much fun
  2. 180 degree shift of focus from wealth to health. Read books on nutrition. Take cooking classes. Take college courses on nutrition and wellness.
  3. Pick a big goal that few have accomplished. Make the plan to attain. Write it down. Do the work. It took 2 years to train, completed two full Ironman races with my adult kids last summer. Next up Ironman Portugal.
  4. Hang out your shingle. Never say retired. “I’m a consultant”. Offer your services and advice to a start up venture-even if you get paid in free lunches!

main qimg 82fd75e850a669af5534ef1dd3438bc4
main qimg 82fd75e850a669af5534ef1dd3438bc4

In a nutshell, the skills one learns during a working life will be essential to flourish in retirement. We only get one shot at life.

80s Time Capsule – Tribute to 80’s Entertainment

This is pretty darn good!

I am from Taiwan.

With some pseudo-research, one might come up with some statistics from dubious sources to pretend to answer your question, but one needs to realize that questions of this ilk are utterly irrelevant and useless in providing any insight into the ongoing Taiwan question.

Yours is the wrong question to ask.

Can Taiwan reunite peacefully with China?

I was born and grew up in Taiwan. I have never lived in mainland China, and do not consider myself enamored with the CCP. I see answers here, totally off the mark, from quite a few fellow Taiwanese. They talk about how they love freedom or democracy, or how they hate the CCP, etc., as an argument for why Taiwan cannot re-unify with China, as if such criteria, or even what they prefer, had ever been a deciding factor in any similar situations in human history. I challenge them to cite a precedent to support their thesis. Quite the opposite, let me give you a few counter-examples to show how futile and useless your arguments are: CSA (The Confederate States of America), Catalonia, Scotland, Kurdistan, …… In all the above examples, the constituents of respective Countries (not Provinces) wanted freedom and democracy, hated the other country that denied their independence, and either completed or are on the verge of completing the democratic procedure to secede. Maybe you can tell me what happened to all of them, and how the international community acted toward their causes. There are happy stories as well. The USA, after paying a steep price in blood and fire, relied on its own struggle and sacrifice to earn its independence, not stealing it under the table by telling the world how they loved freedom or hated King George. That kind of childish whining never cuts it. More counterexamples: Algeria and Vietnam. Both countries achieved independence through bloody and brutal wars of independence from France, incurring a huge loss in lives and properties. And Taiwan, a mere province whose own Constitution still stipulates itself to be a part of China, and who repeatedly refused to even conduct an independence referendum, because its politicians deep down are only interested in using such excuses to oppress its people and steal their money, is in a much worse position to talk about seceding from China. Its case is much, much less defensible than the self-proclaimed independent countries (not provinces) of the CSA, the Catalan Republic, Kurdistan, or a future Scotland. Nobody is asking you what you want or what you like, or whom you hate. The question is whether it is conceivable that Taiwan will re-unify with China, or more accurately, whether it is likely that the Province of Taiwan of the Republic of China (ROC) will be absorbed back into the People’s Republic of China (PRC) through peaceful means. To put it another way, what is your reaction when a 6-year-old screams that she doesn’t want to go to school, or she wants to eat 10 ice creams all at once? I have addressed this question, more on-point, here: And, if a peaceful re-unification is not possible, here are the three options faced by the people of the Province of Taiwan, Republic of China, all equally honorable in my opinion: I also have much more comprehensive background information on this issue in the following links, and nested links therein:

Let me ask you this:

  • How many Catalonians considered themselves Spanish in 2018? Does it matter at all?
  • How many citizens of the Confederate States of America (CSA) considered themselves citizens of the USA in 1863? Does it matter at all?
  • How many Kurds considered themselves Syrians, or Turks, or Iraqis in 2010? Does it matter at all?
  • How many Scots consider themselves British? Does it matter at all?

In most of the above, they not only considered to be someone else, but they also voted democratically to become someone else!

Does it matter at all?

And this might surprise you. Every single Taiwanese voted in multiple democratic elections in the past two and half decades to send multiple parties into office. All these parties (KMT or DPP) have

  • Chosen to uphold its Constitution, which stipulates in no uncertain terms that Taiwan is a province of China, and national re-unification is the ultimate goal, despite many rounds of Constitutional revisions on other issues,
  • Chosen to prosecute diplomatic relations with the entire world in the capacity of the Republic of China (ROC), with Taiwan being only one of its 35 provinces and two autonomous regions,
  • Chosen to repeatedly strike down motions to conduct referendum on independence, even disciplining party members who stepped out of line,
  • Chosen to maintain the appellation “Republic of China” for all its governmental units in all three branches, its military, its central bank, its passport, its state owned businesses, its public schools, etc..

These parties, on opposing ends of the spectrum, have both won landslide victories during the past 25 years, giving them impressive mandates each time in turn. Together, the two parties enjoy probably over 80% of the popular support*. Think hard about this fact!

The conclusion that one must draw from the above facts is clear, that Yes, the people in Taiwan do consider themselves Chinese.

Otherwise, you cannot explain the above facts. There is simply no other explanation!

How does it go? If it walks like a duck and quacks like a duck, ,……? And a very “democratic”, a very “self-determining” duck at that.

I implore all you foreigners, to please respect the will of the Taiwanese people, if you agree Taiwan is a self-determining democracy. They have spoken, loud and clear. Please! Be consistent!

But then, don’t forget, as I said, it doesn’t really matter what the Taiwanese think either. Just ask the CSA, the Catalonians, the Kurds, and the Scots in a couple of years.

* The remaining 20% mostly goes to the TPP, which is yet to win a major election, but also upholds all the principles listed above. (Sorry to disappoint you?)

Pla Nung Khing Sai Het
(Steamed Fish with Ginger and Mushrooms – Thai)

Traditionally this is prepared with the fish known in the West as a pomfret, but any similar (flat) fish will do.

2023 04 16 16 50
2023 04 16 16 50

The traditional recipe calls for phak kaat dong (pickled Chinese cabbage). In the highly likely event that you don’t have this on hand, the best substitute is probably pickled red cabbage.

Ingredients

Fish

  • Pomfret (or any similar flat fish

Marinade

  • 1 large field mushroom, thinly sliced,
  • 2 tablespoons grated ginger
  • 2 tablespoons phak kaat dong, thinly sliced (see above)
  • 1 tablespoon prik chi fa daeng, sliced (red jalapeno)
  • 2 scallions/spring onions/green onions, finely sliced
  • 2 tablespoons fish sauce
  • 1 tablespoon light soy sauce
  • 1 tablespoon kapi (shrimp paste)
  • 1 teaspoon prik thai (ground black pepper)
  • 1 tablespoon whiskey (optional, but, if omitted, add 1 tablespoon fish stock)

Instructions

  1. Clean the fish and score the sides with several cuts to allow the marinade to penetrate.
  2. This is steamed, and as such is best done in a bamboo steamer, because in a metal steamer condensation on the lid drips onto the food, and marks it, spoiling the appearance, and also possibly affecting the cooking process (fish is best steamed in “dry” steam). If you use a metal steamer you should cover the fish with a paper towel which is not in contact with the fish. Alternatively you can cook this dish in a microwave, using low to medium power.
  3. Mix the Marinade ingredients and rub them into the fish, leaving it to marinade for about an hour in a cool place.
  4. Transfer the fish, and the marinade, on a dish large enough to hold it, to a bamboo steamer (see note above), and steam for about 20 to 25 minutes (if microwaving, cook until the flesh adjacent to the bones is cooked, allowing it to rest for 1 minute after each 3 minutes cooking before testing).

US Troops on the Ground in Ukraine

It’s official – the US is at war with Russia. This is no longer a proxy war regardless of whether an official declaration has been made.

Washington confirmed that there is “a small U.S. military presence,” but only made the admission after the Pentagon data leak.

National Security Council spokesperson John Kirby insists American soldiers are not fighting on the battlefield.

“I won’t talk to the specifics of numbers and that kind of thing. But to get to your exact question, there is a small U.S. military presence at the embassy in conjunction with the Defense Attachés office to help us work on accountability of the material that is going in and out of Ukraine,” Kirby said, claiming the troops are connecting to a specific embassy. The initial data leak showed that there are also at least 50 troops from the UK on the ground in Ukraine, along with military personnel from 33 NATO nations.

The New York Times reported on Monday morning that NATO members are amassing their troops along the border. “NATO is rapidly moving from what the military calls deterrence by retaliation to deterrence by denial. In the past, the theory was that if the Russians invaded, member states would try to hold on until allied forces, mainly American and based at home, could come to their aid and retaliate against the Russians to try to push them back,” Steven Erlanger wrote.

But NATO is going on the offensive rather than the defensive this time around. “To prevent that, to deter by denial, means a revolution in practical terms: more troops based permanently along the Russian border, more integration of American and allied war plans, more military spending and more detailed requirements for allies to have specific kinds of forces and equipment to fight, if necessary, in pre-assigned places,” the journalist wrote.

The war in Ukraine has already cost more than any war since World War II. Ukraine is not a NATO member, and there has never been a situation where NATO prepared its troops to battle a country unaffiliated with its alliance. Russia is completely backed into a corner now and the war must escalate. Putin will not retreat or surrender.

Russia does not have the option to surrender as the world powers would swoop in and take over the nation, including its very valuable resources, which they’ve wanted all along. Zelensky is no longer running the show, and the global elites will decide how quickly to escalate this battle.

Countless men, women, and children will die, all for the pride of a few who want to destroy the world to Build Back Better.

90s Time Capsule – A Tribute to 90’s Entertainment

https://youtu.be/vS_jGUIqcQ4

US warship sails through Taiwan Strait days after China drills

A US warship sailed through the waters separating Taiwan and mainland China, the US Navy said, days after Beijing staged war games around the self-ruled island.

2023 04 18 11 46
2023 04 18 11 46

Led by the United States, multiple Western navies regularly conduct “freedom of navigation operations” to assert the international status of regional waterways such as the Taiwan Strait and the South China Sea.

The USS Milius guided-missile destroyer “conducted a routine Taiwan Strait transit April 16 (local time) through waters where high-seas freedoms of navigation and overflight apply in accordance with international law”, the US Navy said in a statement.

“The ship transited through a corridor in the Strait that is beyond the territorial sea of any coastal State.” This was the first such US operation through the waterway since January.

The US 7th Fleet shared images Monday on Twitter of crew looking out into the strait, one of the most crucial waterways in the world for international shipping.

China said on Monday it had tracked a US warship through the Taiwan Strait, adding that the United States had “hyped up” the transit.

Colonel Shi Yi, a Chinese military spokesman, said troops in the area “remain on a high level of alert at all times and will resolutely defend national sovereignty and security as well as regional peace and stability”.

China claims Taiwan as its territory and has vowed to bring the island under its control one day. It also claims the entire Taiwan Strait as its territorial waters.

China launched three days of military exercises around Taiwan on April 8, simulating targeted strikes and a blockade of the island.

The drills were in response to Taiwanese President Tsai Ing-wen’s recent visit to the United States, where she met with Speaker of the House Kevin McCarthy.

“The Eastern Theater Command of the Chinese PLA fully monitored the US warship’s passing operations, maintaining a high-level alert at all times and resolutely defending national sovereignty and security,” a spokesperson said via the Global Times.

Beijing bristles at any official contact between Taipei and foreign governments. On the final day of last week’s drills, Taiwan’s defence ministry said 54 Chinese planes crossed into Taiwan’s southwestern and southeastern air defence identification zone (ADIZ) — the highest recorded in a single day since October 2021.

That same day, the USS Milius sailed through waters claimed by Beijing in the South China Sea.

That deployment triggered condemnation from China, which said the vessel had “illegally intruded” into its territorial waters.

 

 

Since the war games ended, Chinese warships and aircraft have continued to circle Taiwan.

On Monday, Taipei’s defence ministry said it had detected four warships and 18 aircraft, four of which had crossed its southwestern ADIZ.

The guided-missile destroyer USS Chung-Hoon sailed through the Taiwan Strait on January 5, months after McCarthy’s predecessor Nancy Pelosi visited the island.

Pelosi’s trip sparked China’s largest-ever war games around Taiwan.

Do you know what this reminds me of?

The neighbor down the street taking his dog to go shit in my flower garden.

The old has become the new

Today we will deep dive into 1970s.

Not a bad idea, as this was a defining era that I (MM) am part of. And after all, the movie “Dazed and confused” was a 100% accurate portrayal of what my Graduation year 1977 was like!

Dazed and Confused Intro “Sweet Emotion” HD 1080p

There’s my GTO. Identical. Oh, I miss those days!

I showed this movie to a friend who had never heard of the movie before. He saw the first 2 minutes and fell in love with it. He said he wished he grew up in the 70's.

To watch this “time machine” of a movie is important for all of us to remember from whence we came from. It will help us define where we are right now.

It’s true that so many things has changed since those days.  But the experiences help define who MM is.

We all are defined by our decisions our choices, and our relationships. But all those things are secondary to our experiences. And that is what “bakes our cake” and makes us either tasty and delicious, our soggy and foul.

The 1970’s were awesome!

They got the 70s looks and feel on point man.

I. Expertise

China is a Master at Macro Engineering and evolving such technology

When it comes to Railways – China is the undisputed Boss

main qimg c407825c1f8ca1edd576aa55efa2d588 lq
main qimg c407825c1f8ca1edd576aa55efa2d588 lq

It has even beaten Japan to second place if you include the Saturation of Japan against the Evolutionary space of China and the Hyperloop and Maglev Developments.

China is the one of the rare countries to be able to evolve a railway line in a Cost Effective Manner

The Entire Industry and Manufacturing of Railway Lines is Indigenous – ie:- Based within China

Now when it comes to Airplanes – China is at least 10 years behind manufacturing Commercial Airplanes especially where Microengineering is involved.

They would have to depend heavily on Imported Airplanes from Boeing or Airbus or would have to depend heavily on Foreign Components and Foreign Technology and be at the mercy of Nations like Europe or Japan or USA

II. Population

China has too huge a population to depend on Airplanes as a main avenue for long distance.

2023 04 15 20 56
2023 04 15 20 56

Orlando Florida for instance during its peak holiday time boasts a maximum of 102,400 Passengers per day arrving from various parts of USA to Orlando.

A Similar Figure for China would be a whopping 907,000 Passengers!!!!! during lets say Spring Festival and close to 1,300,000 Passengers during the Lunar New Year

How many Aircrafts would you need? Assuming you have the Standard US Domestic Delta with its 200 Commercial Seats – thats a whopping 4535 Flights a Day!!!!

Its just impossible. There are too many passengers to make Aviation a Routine mode of Transportation.

Thats why Aviation exists mainly when Time is a serious Object like Businessmen or Holidaymakers who have a short time to spend on holidays etc.

III Fuel

Fuel is the biggest reason why No Country is able to run a profitable airline outside North America or Middle East (And Maybe Singapore).

Aviation Fuel or AVTUR is almost entirely Imported or fueling is done in foreign countries like Central Asian Countries or Middle Eastern Countries which means dependency.


Thus for a Country with 1.4 Billion Population – the Most Efficient Modes of Long Distance Travel include

  • Railways
  • Highways
  • Waterways and Ferries

Thats exactly what China is doing

Dazed and Confused (8/12) Movie CLIP – The Emporium (1993) HD

Remember fooshball?

What China’s rare earth metal ban means for the West

If Beijing fulfils its threat, Europe and the US will need to diversify their supply

China is threatening an export ban on rare earth metals in response to Washington’s recent decision to impose restrictions on exports of high-end semiconductors to Beijing. This is not the first time that China has mooted such a ban, with rumours circulating since at least 2019 as well as formal threats in 2021.

If such a ban came into effect it could, in theory at least, be quite damaging. Rare earth metals are needed to produce the magnets that are used in everything from wind turbines to hard disk drives to electric vehicles. Everything from a smartphone to a Tesla has a substantial need for these elements, while US military technology is also dependent on them, with the F35 fighter jet requiring 417kg of rare earth metals.

China is by far the largest producer of usable rare earth metals, accounting for 60% of rare earth mining, 85% of rare earth processing and 90% of high-strength rare earth permanent magnet manufacturing. Yet there are questions that arise about whether the sanctions would work. We have seen since sanctions were imposed on Russia last year how difficult it is to regulate commercially available technology. There is every chance that even if China banned exports of rare earth metals to the US, America could simply buy it through a third party — just as Europe is buying Russian oil via intermediaries in India.

The threats, however, are likely to push Western countries to find new sources of supply. Japan has already paved the way in this regard after the imposition, in 2010, of a two-month ban on rare earth exports from China. This followed a territorial dispute which broke out when Japan arrested a Chinese fishing boat captain near the contested East China Sea islands. The Japanese were then spurred to diversify the source of their rare earth metals and, as of today, they only import around 60% of their supply from China. Recently, Japan has been exploring the possibility of tapping into deep undersea reserves.

Diversifying the sources of rare earth metal purchases may prompt a renewed debate about the environmental consequences of rare earth metal processing, which creates toxic waste and has a high risk of causing damage to both the environment and to human health. These realities are embarrassing to those who advocate the use of technology like wind turbines and electric vehicles to save the environment. If China is no longer willing to do our dirty work, we may find ourselves with a national debate on the dangers and downsides of rare earth processing.

Ultimately, if China makes good on its threats, we should expect the price of rare earth metals to rise. Even if the sanctions do not work to prevent the US from gaining access to rare earth metals, they will likely lead to supply chain issues and rising costs. The same is true if we start to process these metals domestically. This means higher prices for Western consumers — and that includes everything from smartphones to green energy. Should Beijing’s threat be fulfilled, ramifications will be felt well beyond the corridors of Washington.

Dazed and Confused | School’s Out!

I am not a technical person and i am writing the tech stuff verbatim from a report stated Why Huaweis 5G lead is significant by a Netherlands company.


There are 3 spectrum bands for 5G.

The Low Band is less than 1 Gigahertz. This overlaps with the 4G and even 3G spectrum bands. This has the widest coverage but the lowest speeds. Its ok for mobile conversations, downloads etc. However the latency is very high (85 -120 milli seconds)

The Mid Band – This is 1–20 Giga Hertz. This overlaps upto 2.5 Giga Hertz with Wi Fi but has a lesser coverage but faster speeds. Here the latency is lower (25–40 milli seconds)

The High Band – This is 20–95 Giga Hertz. No overlaps. This is pure 5G territory. Fastest speeds. Latency is 1–2 milli seconds and ideal for self driven cars or robots or auto bullet trains (Latency has something to do with some form of communication speed time i guess)


US is going for the Bottom to Top approach.

Many have already began to offer 5G services but only in the LOW BAND where Huawei 4G infrastructure is already in place. So though they offer the newer technology like flexing, splitting – its still a glorified version of 4G. Just faster. They propose to develop US infrastructure to be built and developed and the go to the MID BAND and HIGH BAND.

Germany is going for the MIDDLE BAND so as to clearly offer an advantage of 5G and not intermingle 5G and 4G. This requires infrastructure update but not replacement. This way HUAWEI stays in charge but US is not too annoyed (UptoTrumps defeat)

China is going for the HIGH BAND. They have already 95% infrastructure in place and the technology in place. Huawei owns certified technology called Blockchain Integrated Data Movement (BIDM), Splitting Data Packet Emission (SDPE), Flexed Stream Data Communication (FSDC)

Huawei is the clear leader here of the High Band Communications Technology and their new infrastructure is revolutionary.


Now.China has the worlds finest technology for 5G

BUT

There are claims that:-

These technologies that Huawei has certified ownership of were already developed with a far more limited ability by the US DEPARTMENT OF DEFENSE IN 2015–2016.

In short US already had the Ford Model T designs ready but before they could start building on it, China started to go faster and now have the design for the Ford Focus.

Now US has to take a different route or they will get a technology clash notice from Huawei (Basically Huawei will say You stole our certified tech ).

This is the first time in History that a Asian Company has a Technology Lead over a US one. Earlier US always had the Tech edge but the edge was too expensive for civilian use and was restricted to defense.


Obviously Trump got pissed and said “You have stolen our Tech, modified it, upgraded it, certified it as your own and will control all communications”

So he forced everyone to Ban Huawei.

Huawei said “Sorry. Tech is ours. We developed it. We have the certified licenses for it”

Trump said “If you use this technology, we will…….”

Huawei said “We will…its ours. You are just whining”

This is the Tech dispute. Its not as if US has special tech. Its that Huawei owns tech for 5G that is a highly refined version of Tech already existing in the DoD (But not certified).


Russia and 59 countries have already signed with Huawei and agreed to pay license fees for the technology to the tune of $126 Billion a year and buy Huawei Equipment.

Europe is fence sitting. Hoping Nokia can manufacture the equipment to handle the technology of huawei at par cost to make everyone happy. Pay Huawei for the Tech license and Ensure European equipment to.please Trump (No idea what the Genial Old Man will say)

US is fuming. They will not pay license fees to an Asian country!!!!!! Its beneath them. So they claim theft and espionage and security threats!!! They are hoping to certify their own technology for BIDM, SDPE, FSDC etc but are not able to do so because the big players are saying “Not worth it. We wont spend billions. Cheaper to pay China for the license fees for the tech and buy Nokia or Ericcson if u really want to be Anti China”


So Trump pressurized Huawei with semi conductor ban etc but Huawei simply said “Jo ukhaadna hai ukhaad le “ (Do what you can).

Trumps gone, the Courts will favor the law which goes for Huawei.

So right now Huawei is at the top. US is behind for the first time

(Possible that China “Took” the Tech from US defense by other means but no proof)

Huaweis problem is if nobody buys their equipment, then their tech could get stolen and modified by the western countries like US.


And where does India stand in all this?

We have agreed to pay license fees to Huawei but are on the wall for the equipment. Jio is playing with Nokia but Airtel is exploring going with Huawei in return for HIGH BAND domination if GOI agree.

Meanwhile Jio is hoping to.make its own equipment to.handle the technology of huawei.

https://youtu.be/Sgduka_LYnM

Let me put it this way. I can only talk for myself for I don’t know “most “ 65 year olds, I retired at 40, or so I thought.

I had a hobby, ( lapidary) collecting, cutting and polishing stones and gems, but then a mate got me interested in bees as well, and that got me started in beekeeping.

I ended up with 470 hives, which was all I could handle on my own, and they kept me flat out for years, thing is though they could also look after themselves for a while so I could keep my hobby with gems going.

Ispent a lot of time at the Queensland sapphire fields, and ended up with many beautiful sapphires, bought a faceting machine so I could cut my own gems , point Is I was busier after I retired than when I was working for a living.

I never had time to sit at home and watch TV, even after I sold the bees as a business I kept enough as a hobby, that still kept me busy untill I went into hospital ( at 78 ) for a tripple bypass during which I had a massive stroke and my left side ended up paralysed.

Fortunately, through persistent pushing by the physios at the hospital they got me walking ( hobbling ) again, thing is though my left side ie still useless, and yes these days I spend all days on the lounge mucking around with my iPad as I’m doing right now writing this. Lol.

By the way here are some gems I found and cut just to show what can be done, in the hope that other might get interested in the same thing,

Thai Chile Beef

830762 1 eng GB chilli beef
830762 1 eng GB chilli beef

Ingredients

  • 1 round steak (or stew meat)
  • 1/2 teaspoon ginger
  • 1 tablespoon brown sugar
  • 1 tablespoon soy sauce
  • 1/2 teaspoon garlic powder
  • 1 tablespoon flour
  • 1/2 cup oil
  • 1 tablespoon hot pepper
  • 1/2 cup chopped bell pepper
  • 1/2 cup mushrooms
  • 1/2 cup young baby corn
  • 1 onion
  • 1/2 cup water or beef broth
  • 1 tablespoon fish sauce or soy sauce
  • 2 tablespoons oyster sauce

Instructions

  1. Slice the steak into paper thin slices (if it is frozen partly or very cold this is easier.) Cut into slices 2 x 1-inch thick.
  2. Marinate for 1 hour in the ginger, brown sugar, soy sauce, garlic powder and flour.
  3. Stir-fry in the oil for 2 minutes the hot pepper, bell pepper, mushrooms, corn and onion.
  4. Add the water and cover and simmer for 3 minutes. Add the sauces.
  5. Toss lightly and serve over rice.

Makes 2 servings.

Backyard Bomb Shelter Abandoned for Over 50 Years

Bamboo.

main qimg edc5944f2802ab25d9d5b6e60c640ac5 lq
main qimg edc5944f2802ab25d9d5b6e60c640ac5 lq

This is the side yard of my house. My neighbor, to the right in the picture, decided to plant what she thought was “ornamental bamboo” as a barrier to her house and mine, long before I bought the place. Then she died and her son inherited the house. He has let it grow out of control.

This stuff has a growing season in the spring. It’s a rhizome, meaning that the roots grow underground and it shoots up stalks along the root at an amazing pace. I was naive about it – had no idea what what I was getting myself into when I bought this house. I spent two years digging it up for it to destroy this part of my yard and for it to come back three times as fast as I was digging. I finally had it when it started growing under my air conditioning unit and eroded the soil underneath it.

Some states have banned it, but I live in Maryland where there is no legislation to keep it under control. Their thought is if they ban bamboo, they don’t know how they will force homeowners to eradicate it.

It does not die. The only thing that kills it is agent orange. You can chop it down, dig it up, poison it, cover it to block the sunlight, or any other “solution” that YouTube has, and it just keeps coming back.

I spent a lot of money to have this retaining wall built. It goes four feet down into the earth to block the roots from coming onto my property. It’s thick plastic with the block on top – my landscaper told me that if they used metal or block the bamboo would eat right through it.

My neighbor has visible bamboo growing out of his foundation.

Remembering The 1970s!

https://youtu.be/31_Iudf_kPI

More Than 4,000 Photographs From The D-Day Invasion Of Normandy Are Now Available Online

1 76
1 76

The Flickr account PhotosNormandie has just posted more than 4300 photos of the Normandy Landings of June 6, 1944, this decisive date of the WWII.

This impressive collection is the result of a collaborative project that began in 2007, bringing together thousands of photos from the Archives Normandie 1939-1945, the Municipal Library of the City of Cherbourg-Octeville and the American archives. It invites users to complete, comment, locate and identify photographs, making it possible to better document the Normandy Landings archives.

More info: Flickr (h/t: ufunk)

36 3 1
36 3 1

35 3 1
35 3 1

34 4
34 4

33 4
33 4

32 4
32 4

31 4
31 4

30 5
30 5

29 7
29 7

28 7
28 7

27 8
27 8

26 8
26 8

25 9
25 9

24 9
24 9

23 10
23 10

22 10
22 10

21 11
21 11

20 11
20 11

19 12
19 12

18 14
18 14

17 16 1
17 16 1

16 18
16 18

15 20
15 20

14 21
14 21

13 2s6
13 2s6

12 35
12 35

11 36
11 36

10 41
10 41

9 43
9 43

8 43
8 43

7 47
7 47

6 51
6 51

5 57
5 57

4 67
4 67

3 72
3 72

2 75
2 75

Most Popular Toys Of The 1970s

https://youtu.be/1sAMykZ3BR0

1980s Things Found In Every Home

https://youtu.be/xf8d00tZ9uA

As a foreigner living in China, I can confidently say, “not at all”.

However, there has been one change that has occurred over the past few years.

China HAS become a less desirable place for less qualified foreigners / foreigners who have little to offer to the country (this includes English teachers and westerners who came here to become either models or pretend company representatives “for hire for face value”

On the other hand, it has become a desirable place for foreigners who are of high quality and who have something to offer to the country. The compensation packages here are exceptionally high (at par or higher than what the same person would earn say in USA or UK).

For my own placement in China. obtaining the “Foreigner expert certificate” issued by the local administration was far more stringent than what it was five years ago. I had the experience and qualifications, hence it was less tedious for me. I know of friends who have little experience / qualifications who have not been able to get it, and hence cannot work in China.

Do remember that unlike other nations, foreigners experience far lesser / negligible racism here (my personal experience has been that living in China was a nice respite after having lived in London!), the food is better, housing is better, and your Yuan / dollar goes far longer here than in other countries.

The number of Chinese people who do not speak English has been the same (negligible!) – that has not changed in the recent past. And unlike most western nations where jobs are receding and foreigners are given the impression that they are being done a favor by being given (low paid) work, there are no such misconceptions her in China.

There is far more activity currently occurring here as was in the US and UK pre 2007 at the crest of the developmental sine curve!

Smells Of The 1970s!

https://youtu.be/P3vtbn92pZE

In the summer of 2019, I spent three months in Vietnam and absolutely loved it. My buddy and I got motorbikes in Hanoi, traveled north to the Chinese border, back down through the central mountains and south along the coast. He left after one month, and I kept going for another two.

We were in Thailand and Laos before this (a month in each country) and I felt rushed. When I found out you could get a three-month visa for Vietnam, I was stoked. I applied, got approved, and was set for 90 unrushed days in Vietnam.

The people were kind and welcoming, sometimes too friendly. The food and the Pho was incredible. It was a bit rainy at times, but I enjoy the rain and you could work around it for the most part. The rice wine is great and the cost of living was low. I was spending $300–600/month and still living comfortably enough.

I ended up selling the motorbike in Da Nang and continuing via bus to Da Lat and Saigon, where I capped off my trip with three weeks in the bity southern city.

main qimg 460bd1c403edf89f1e01ea49cd79b88b lq
main qimg 460bd1c403edf89f1e01ea49cd79b88b lq

I would definitely return and spend more time in Vietnam. The only trouble is that there are other places I want to visit and flights to Southeast Asia are damn expensive!

Surely, this isn’t about to happen in the U.S.A.

Not a Brass Farthing

No Free Lunches in China for anybody

No Benefit Transfers, No Charity handouts, No Free Rations, No PDS, No Free Tickets

Sure China offers more welfare and subsidies than any Nation in Asia but they are all aimed entirely at incentivizing citizens to work and be economically active

Sure China has a Pension and Old Age Insurance Scheme but that’s entirely as a reward for 35–50 years of hard work

Every Yuan spent by China is expected to bring Two Yuan back to the Economy in 5–7 years

For instance say a Factory is set up outside a small group of Villages

main qimg a02487c5f2fe9986c67e0b1e3ab7a524
main qimg a02487c5f2fe9986c67e0b1e3ab7a524

Then the Provincial Government will give large subsidies to the factory in 20 year tax cuts in exchange for which the factory shall

  • Train the workers
  • Put up Workers Health Insurance
  • Use a fixed percent of revenue to pay the Local Corporation to build Roads, Small Bridges, Renovate Worker Houses, Build and Lease Houses for Workers
  • Put up Workers 10 year Payment (Gratuity) or Life Pension whichever is chosen
  • Use a portion of revenue to establish Schools where the Provincial Government will send 2–4 teachers to teach Mandarin, Mathematics, Science and History (Only Four Subjects)

So for a 150,000 Yuan a year tax subsidy and a 100,000 Yuan a year Profits – the Factory will have to spend around 180,000 Yuan for all the above

main qimg 7ffc0ec20e0f56758b829a01f600d7d2
main qimg 7ffc0ec20e0f56758b829a01f600d7d2

So 30–40 such factories can spend around 7.5 Million Yuan a year and in 10 years THE ENTIRE LANDSCAPE WILL CHANGE

The Villages become self sufficient and then start paying taxes themselves

main qimg 6ecc9a54d0bb1a770baae1763c8eb75e
main qimg 6ecc9a54d0bb1a770baae1763c8eb75e

Literacy will rise from 32% to 96% over say 20–25 years

That’s how Chinas model works

That’s how Chinese live

main qimg 4766308167120cad700b828e909d4a92
main qimg 4766308167120cad700b828e909d4a92

They aren’t parasitic guttersnipes.

Even an 80 year old sells noodles or fish balls or dumplings to make money and his pension is merely an addition to his income


The Chinese especially the Communists who loved Mao were very hard workers

The Soft Chinese, Imperialists, the Lord’s, the so called Elite all fled to Taiwan

Thus today’s Chinese and Vietnamese are very hard workers who don’t expect anything but a recognition of their hard work

Proud people who hate handouts and would rather work till they drop dead

It’s why Chinas Model is a Winner

It’s a real life story of Chinese Policies of Great Leaders from Deng to Jiang to Xi Jingping

Thai Lemon Beef

3ec2e154c99dd07ce437a089aeccfc48
3ec2e154c99dd07ce437a089aeccfc48

Ingredients

  • 1 (1-inch thick) boneless beef top round steak
  • 1/3 cup soy sauce
  • 1/4 cup lemon juice
  • 1/4 cup water
  • 2 to 3 teaspoons dried crushed red pepper
  • 4 garlic cloves, minced
  • 1 tablespoon vegetable oil
  • 4 scallions, cut into 2-inch pieces
  • 2 carrots, thinly sliced
  • 2 teaspoons cornstarch
  • Hot cooked ramen noodles or rice
  • Garnishes: lemon rind strips, fresh basil sprigs

Instructions

  1. Cut steak across grain into 1/8-inch thick strips, and place in a medium bowl.
  2. Combine soy sauce and next 4 ingredients. Reserve half of mixture.
  3. Pour remaining half of mixture over steak. Cover and chill 30 minutes.
  4. Drain steak, discarding marinade.
  5. Stir-fry half of steak in 1/2 tablespoon hot oil in a large nonstick skillet or wok over medium high heat for 1 minute or until outside of beef is no longer pink.
  6. Remove from skillet, and repeat procedure with remaining oil and steak. Remove from skillet.
  7. Add scallions and carrot to skillet, and stir-fry 3 minutes or until crisp-tender.
  8. Whisk cornstarch into reserved soy sauce mixture; stir into vegetables, and stir-fry until thickened.
  9. Add steak, and stir-fry until thoroughly heated.
  10. Serve over noodles or rice. Garnish, if desired.

Yield: 4 servings

ABANDONED | Albanian military relics from the Communist regime | Submarine bunker & Air base

25 Reasons We’re Glad We Grew Up in the ’70s

A long time ago in a galaxy far, far away…

They say that hindsight is 20/20, but hindsight can also be clouded by nostalgia. And that is especially true if you grew up in the 1970s. Sure, it was a decade that will mostly be remembered for Vietnam and Watergate. But, for those of us who grew up in the ’70s, it was the sweetest time to be alive, an innocent era where disco reigned supreme and we all had haircuts that made us look like Chia Pets. From the glorious simplicity of pet rocks to the musical lessons of Schoolhouse Rock, here are 25 reasons the 1970s were the absolute best years to be a kid. And for more on last century’s greatest decade, check out 20 Things Every “Cool Kid” Growing Up in the 1970s Owned.

1
Music came in vinyl, cassette, and 8-track.

They weren’t the most user-friendly audio formats, but we sure did love them. At the time, it felt like we had the best of all possible worlds. Vinyl was for home listening, cassettes were for music on the go, and 8-tracks… Well, we’re still not sure what 8-tracks were for. But there’s just something about being able to hold on to an album sleeve or flip over a cassette to get to your favorite song that made the whole music-listening experience feel more personal and special.

2
School lunches had a flexible definition of “healthy.”

Just look at this lunch menu from a Texas school in 1974. Their idea of healthy, brain-boosting food back then included chili burgers, hamburgers, oven fried chicken, buttered corn, and fruit gelatin. It’s a miracle we all weren’t napping through every class. But good gosh, were our taste buds happy. And for more blasts from the past, don’t miss these 20 Amazing Photos Every ’70s Kid Can Relate To.

3
The world felt more safe and secure because of Fred Rogers.

Mister Rogers’ Neighborhood wasn’t just one of our favorite shows because we had so few other options. Singing along with “Won’t You be My Neighbor?” actually made us feel comforted, even if we didn’t realize we needed comforting. Mr. Rogers’ soothing voice and gentle disposition was like a balm for the soul, giving us the confidence to face the world even when we would have rather hidden under our bed covers.

4
We’d head to the video arcade with a pocket full of quarters.

Kids today would likely scoff at how we played video games in the ’70s. We actually had to pay a quarter for each game—and we don’t regret a penny of it. For one thing, it made the experience feel more precious. We didn’t just flip on a console in our home and play Pac-Man endlessly; we had to save our money, and wait for the weekend when our parents would let us walk to the arcade.

5
Learning “The Bump” was the only dance move necessary.

“The Bump” was so simple, yet something about it felt rebellious and naughty. You essentially just bumped hips against your partner over and over and over. Crank up KC & the Sunshine Band’s “Shake Shake Shake,” and us ’70s kids could do “The Bump” all night long. (Literally, it wouldn’t be a problem: The dance really wasn’t that complicated.)

6
We experienced Star Wars for the first time with zero expectations.

If you weren’t there, it’s impossible to explain what it was like to walk into a theater having no idea how Star Wars was going to change your life. We had no preconceived notions about Han Solo or Luke Skywalker or Darth Vader, no older brothers or parents or even grandparents telling us, “This is the greatest film trilogy ever made,” or, “Oh my gosh, we’re about to get to the lightsaber battle. Wait ’til you see this!” It truly felt like ours, which is something no other generation will ever feel again. And for more great films of the past, here are 30 Movie Quotes Every ’70s Kid Knows by Heart.

7
A private phone call depended on the length of your rotary phone cord.

There was no such thing as a mobile phone in the ’70s. If you wanted to have a conversation without your mom or dad or siblings overhearing, you had to get creative. Wherever the home phone was located—for many of us, it was in the kitchen—the challenge was to see how far that cord would stretch and if there was any way of pulling it into another room with a door. If you couldn’t make it that far, you just had to be careful not to say anything you wouldn’t want your entire family to hear.

8
It was possible to open junk mail without worrying about viruses.

The only danger in opening mail from a mysterious sender in the 1970s was the possibility of getting a chain letter. But unless you were especially superstitious, you could usually ignore those. Still, in the ’70s, we would never open a letter and find out later, “Oh no! My house is infected with a virus now!” Ah, the glory days. And for more on letters to look out for, here are 11 “Spam” Letters You Should Never Throw Away.

9
Everyone worshipped that Farrah Fawcett poster.

What Farrah Fawcett poster, you ask? Okay, imagine a meme that’s so popular, everybody in the world decides that it’s the only meme that matters, and everybody wants their own copy of the meme so they can hang it on their bedroom wall, and simply displaying the meme means that you’re somehow in the know with popular culture, that your tastes are more sophisticated than other kids’ and that you understand something about the world that only adults really appreciate. That was the Farrah Fawcett poster.

https://youtu.be/RjvWZV9WTxQ

10
Nothing was more joyously terrifying than Jaws.

Steven Spielberg’s 1975 classic had almost no special effects, and we only saw the shark for a few minutes at the very end. But it had a psychological effect that made an entire generation terrified of getting into the water—we mean any body of water (including pools, freshwater lakes, and maybe even the bath). We were certain we would see a shark fin gliding towards us, and we were moments away from being devoured alive.

11
Shag carpeting made the best bed in history.

There’s no experience quite like letting your body sink into some shag carpeting. For a true ’70s kid, nothing makes us smile like memories of doing snow angels on a shag carpet.

12
We were allowed outside without parental supervision.

Whether you were a city kid or a country child, leaving the house without being constantly patrolled by a parent wasn’t a big deal in the ’70s. By today’s standards, it was an unprecedented amount of freedom, and we relished every second of it.

13
And riding your bike didn’t require extra equipment.

It wasn’t just rare to see a kid in a bike helmet during the ’70s, it was unheard of. Even if you considered yourself something of a neighborhood Evel Knievel, wearing a helmet was like admitting to the other kids that you expected to crash. We probably had a few more concussions than necessary, but we didn’t know at the time just how dangerous it was to have our craniums so exposed.

https://youtu.be/RFPpETHiltY

14
Saturday mornings were reserved for cartoons.

If you wanted to catch your favorite cartoons, there was only one time to do it: Saturday morning. We didn’t have the luxuries of kids today, with their digital streaming services that make it possible for them to watch their favorite shows at any time, 24 hours a day. And we’re happy we didn’t.

Saturday morning cartoons taught us to be patient, and to be grateful for every last second of Bugs Bunny. You couldn’t have a short attention span when there were only 30 minutes of Looney Tunes every week. If you weren’t paying attention, you’d miss it all!

15
Tiger Beat was the only news we needed.

Sure, 99.9 percent of what Tiger Beat printed about teen idols was probably written by publicists. But we didn’t care. If anything, we reveled in the myth-making, eager to think that such flawless teenage titans as Shaun and David Cassidy existed in the world. We didn’t want to be told otherwise, and we sure weren’t interested in what was going on in the adult news world.

16
Rocks counted as pets.
And they were stoned all the time. -MM

It’s not just that we adopted rocks and pretended they were actual pets, akin to a dog or cat except incapable of showing real affection. We actually paid somebody to sell us those rocks, despite the fact that rocks are pretty much available for free everywhere you go. Yes, it was ridiculous, but it was a fun and harmless diversion.

17
We learned everything we needed to know from Schoolhouse Rock.

The brilliance of Schoolhouse Rock is that it taught us timeless lessons about grammar, math, politics, and science without any of us realizing that we were learning. The cartoons were funny and the songs were catchy—we can still sing the whole “Conjunction Junction, What’s Your Function” song without missing a beat—and, when it was all over, our heads were filled with facts and useful information that we probably would have ignored if it came from an actual school teacher.

18
We’d stay up late just to watch Saturday Night Live.

Saturday Night Live is now an institution (and one that you don’t actually have to stay up late on Saturday to watch anymore). But, in the late ’70s, it was still mostly mysterious, at least to kids who only heard whispered rumors about the show from our older brothers and sisters. Getting to see even five minutes of SNL felt like we had gotten away with something.

19
Long road trips happened in the back of your family’s station wagon.

Yes, we know that people still take road trips. But they’re nothing like the road trips of the ’70s. We had no GPS, and no digital distractions of any kind, for that matter. We lived in a world without smartphones or iPods or tablets or portable DVD players. We had to make up our own car games to keep the malaise from setting in, or stare out at the scenery whizzing past until we reached a road trip trance state. Nobody does meditation like a bored kid on an eight-hour road trip.

20
If somebody wanted to bully you, they had to do it in person.

Kids have always been mean to each other, but at least in the ’70s, if you wanted to be a jerk, you had to make an effort. You couldn’t do it anonymously, on a computer screen, without any consequences. You had to walk up to someone and be cruel right to their face. Their words could still hurt, but at least you were never bullied on a global forum in front of millions of strangers.

21
Mood rings explained (or dictated) our feelings.

You could make an argument that mood rings didn’t actually work as promised, and were about as effective in diagnosing your mood as a horoscope is in predicting your day. But in the 1970s, it felt like mood rings were some sort of black magic that could see into our souls and announce to the world, “Not today, people. Not today.”

22
We had both Sesame Street and The Muppet Show.

Imagine living in a world where Sesame Street was still in its prime—Muppets like Grover and Ernie and Bert were doing arguably their best work ever—and, on top of that, The Muppet Show was making new episodes every week. Kermit wasn’t just a nostalgic throwback to yesterday—he was a bona fide celebrity, a Burt Reynolds for the under-15 set. In the ’70s, we tuned in to every episode of both shows with hive-mind-like anticipation.

23
Nobody was cooler than the Fonz.

Seriously, nobody. Can you imagine a character as innocent as the Fonz being idolized in a cynical modern world? He was a middle-aged single man in a leather jacket who constantly gave a thumbs up and said, “Aaaaaaaay.” He would have been laughed off the planet. But in the ’70s, we worshipped him, and we regret none of it. And for some fun lingo from the best decade ever, here are 20 Slang Terms From the 1970s No One Uses Anymore.

24
Bowl cuts were considered fashionable.

In the ’70s, every kid seemingly looked at Adam Rich on the TV show Eight Is Enough and then told their parents, “I want to look like that!” Wealthy or poor, it didn’t matter—we all got haircuts that made it look like our mom had put a salad bowl over our head and cut around the bottom with scissors.

25

The clothes were insane.

We can’t look at those old photos of ourselves in the 1970s without wincing, but we secretly love those crazy clothes. At least we all looked collectively silly in our wide-collar shirts and bellbottoms! And for more snazzy styles from the era, here are 25 Things Cool People Wore in the 1970s.

 

The USA is starting to wake up to the nightmare that it created

"The evidence that Covid is a biological weapon created in the US is overwhelming."

Just starting.

It’s only the beginning…

Today, I had the Godliest cheeseburger that I have ever eaten. It was at Burger King (In China, of course). And it was a special double cheeseburger. But instead of using the small 1/6 pound meat patties, it used two 1/3 meat patties. It was tasty, and delicious and good. But man oh man, was it a shit-load of hamburger.

Cheesy and tasty. Totally and completely delicious.

I had no idea that it was so HUGE. And towards the end, oh, Lordy, was it a struggle.

I can’t believe I ate the whole thing.

Double Cheeseburger wide FS and foodporn 55
Double Cheeseburger wide FS and foodporn 55

Because they see the ridiculous banana republic of chaos that America has become and they want no part of it. They know geography and their pronouns. They know truth from propaganda. They like going out in public without being afraid of becoming a target for a shooter. Their police aren’t arresting six year olds or gunning down people. Their governments, fallible as they may be, haven’t tried burning down their capital buildings.

Asian countries like peace, stability, infrastructure, safety, wealth, progress, truth and other positive things. They really do not want to be what we’ve become. And I don’t blame them.

https://youtu.be/3fOQn8uWdxI

This Artist Draws Pictures to Show That Everything Has a Flip Side

193753148 217162513369388 7398381468187957628 n 650x650 1
193753148 217162513369388 7398381468187957628 n 650×650 1

Not many people look at events and phenomenons from different angles. This is exactly what the artist, Anton Gudim, talks about on his Instagram account.

More: Instagram h/t: brightside

229244207 128170906145171 7652937999124989962 n 650x650 1
229244207 128170906145171 7652937999124989962 n 650×650 1

226396370 152214600268022 4114878096505036126 n 650x650 1
226396370 152214600268022 4114878096505036126 n 650×650 1

223560193 3901877183272824 3323643695587442108 n 650x650 1
223560193 3901877183272824 3323643695587442108 n 650×650 1

218027489 210216027666510 9176171196732299025 n 650x650 1
218027489 210216027666510 9176171196732299025 n 650×650 1

213809167 2952193585026470 4805913456254281029 n 650x650 1
213809167 2952193585026470 4805913456254281029 n 650×650 1

212091495 241337990894444 4620207848887787521 n 650x650 1
212091495 241337990894444 4620207848887787521 n 650×650 1

209007046 485624022743049 7275007410705834447 n 650x650 1
209007046 485624022743049 7275007410705834447 n 650×650 1

205603763 568494957861899 235855696223524129 n 650x650 1
205603763 568494957861899 235855696223524129 n 650×650 1

203070351 160451382778560 6688513060458197000 n 650x650 1
203070351 160451382778560 6688513060458197000 n 650×650 1

200805166 237277401122948 4677375231690790412 n 650x650 1
200805166 237277401122948 4677375231690790412 n 650×650 1

197736827 1672020046320499 7950510195337267252 n 650x650 1
197736827 1672020046320499 7950510195337267252 n 650×650 1

196088992 366857101447255 6505590400635183729 n 650x650 1
196088992 366857101447255 6505590400635183729 n 650×650 1

195041291 123822706516370 4571042458814694049 n 650x650 1
195041291 123822706516370 4571042458814694049 n 650×650 1

194746403 503426307674335 5055369276946209807 n 650x650 1
194746403 503426307674335 5055369276946209807 n 650×650 1

194715639 335803598033832 2337339790455415029 n 650x650 1
194715639 335803598033832 2337339790455415029 n 650×650 1

194400640 531995574646285 3712108401278845765 n 650x650 1
194400640 531995574646285 3712108401278845765 n 650×650 1

194348913 2598454987126732 72966817090976342 n 650x650 1
194348913 2598454987126732 72966817090976342 n 650×650 1

194099907 3790585967719217 3563840331667803219 n 650x650 1
194099907 3790585967719217 3563840331667803219 n 650×650 1

194085753 1185758411874546 63734715524372052 n 650x650 1
194085753 1185758411874546 63734715524372052 n 650×650 1

193848690 4115351211859204 2817621805720973160 n 650x650 1
193848690 4115351211859204 2817621805720973160 n 650×650 1

Youngsters would call it a Badass piece of Legislation meant to Compete with China

It involves investing a huge sum of money – almost $ 200 Billion into various avenues meant to disrupt Chinas dominance as a Worlds Supply Chain Depot over the next 5 years

It aims to spend $ 50 Billion to start Semi Conductor manufacture in other countries like India or Vietnam to replace the 45 – 100 nm Chips that China is churning out by the milllions.

It also gives unilateral powers to the President to Impose on any Entity or Person without the State Department or Commerce Departments or any Departments collaboration. This means Biden can sanction China directly (The Country, not the Officials)

Believe me – If Implemented well- It could be a big big win for India and could create as many as 20,000 Skilled Jobs initially and if we really were to take advantage of the US Investments – we could replace China in making 45 – 100 nm Chips by say 2026–2027 which would be a surge to our GDP.

It would also mean a lot of Stones and Balls for the Genial Old Man who has faced massive setbacks with his ambitious infrastructure spending plans becoming the equivalent of Trumps Wall.

Yet it is very ambitious because China is always 4–5 steps ahead.

Also China makes the Worlds Best 45 – 100 Nm Chips and to trust India or Vietnam to be able to harness and develop the same tech in even 6 years is super ambitious especially knowing that there is almost very little profit except in Bulk Quantity.

And there is also an added problem – China is a huge market for 60% of the Cars which use these Chips so if China says Sorry well only buy Chinese – then the chances of any big scale manufacturing would be shot to hell.

So at this stage its – Match on – Xi vs Biden

Biden has put things on Paper – We have to see if he has some way to Implement the same thing.

Chicken Rice Soup

2023 04 14 19 07
2023 04 14 19 07

Yield: 6 servings

Equipment

  • Pressure Cooker

Ingredients

  • 1/4 cup or less olive oil
  • 4 to 5 small leeks, washed thoroughly and sliced
  • 1/2 cup rice, uncooked*
  • 6 cups fat free chicken broth (one large can College Inn)
  • 1 (3 pound) whole chicken, cut up with skin removed
  • 2 tablespoons fresh lemon juice
  • 1 cup chopped celery
  • 1/4 cup chopped fresh parsley
  • 1 teaspoon coarse salt
  • 1/4 teaspoon white pepper
  • 1 bay leaf
  • 1 teaspoon dried tarragon
  • Chopped parsley for garnish
  • 2 carrots, peeled and cut into 1-inch pieces

Instructions

  1. In a pressure cooker, heat oil and add leeks and sauté for about 2 minutes.
  2. Add rice and cook, stirring often, for about 1 minute.
  3. Add broth, chicken, lemon juice, celery, parsley, salt and pepper, bay leaf and tarragon. Secure lid. Over high heat, develop steam to high pressure. Reduce heat to maintain pressure and cook for 10 minutes.
  4. Release pressure according to manufacturer’s instructions. Remove lid.
  5. Remove chicken from soup. Remove chicken from bones, cut into 1 inch cubes, add to soup. Remove bay leaf. Discard bones.
  6. Add carrots and simmer uncovered for about 10 minutes until carrots are tender.
  7. Refrigerate and skim off any fat that develops.
  8. Serve hot with chopped parsley as a garnish.

Notes

* May substitute 2 cups of noodles, broken into pieces, for the rice.

China-Russia trade up 38.7% in Q1, energy cooperation continues to be a major stabilizer for bilateral ties

Published: Apr 13, 2023 08:21 PM

2023 04 14 17 40
2023 04 14 17 40

 

A cargo truck moves on the Heihe-Blagoveshchensk highway bridge from Heihe, Northeast China’s Heilongjiang Province, to Russia’s Blagoveshchensk port on December 15, 2022. The cross-border highway bridge, which opened to traffic in June, has become a major boost for trade between China and Russia. Photo: VCG

China’s overall trade with Russia maintained its strong growth momentum in the first quarter with an increase of 38.7 percent from a year earlier, far outpacing the growth of China’s total trade.

With energy cooperation taking up more than 40 percent of bilateral commodity trade and playing a pivotal role, and the promotion of local currency settlement accelerating, it is expected that bilateral trade will cross the $200 billion threshold this year, experts said.

First-quarter bilateral trade totaled $53.85 billion. China’s shipments to Russia rose 47.1 percent year-on-year to $24.07 billion, while shipments from Russia were up 32.6 percent to $29.77 billion, data from China’s General Administration of Customs showed on Thursday.

In March alone, total bilateral trade reached $20.07 billion, up 77 percent on a yearly basis, accelerating from 36.4 percent in the first two months, Chinese customs data showed.

“The strong growth momentum is within market expectations as the top leaders of the two countries have clarified bilateral cooperation direction. Pragmatic economic cooperation has become an unstoppable trend,” Song Kui, president of the Contemporary China-Russia Regional Economy Research Institute, told the Global Times on Thursday.

Song estimated that bilateral trade will cross the $200 billion threshold this year given the robust growth.

Last year, bilateral trade hit a record of $190.27 billion. China’s exports of mechanical and electrical products, automobiles and auto parts to Russia all grew substantially.

China has been Russia’s largest trading partner for 13 consecutive years, and the two countries have continued deepening their energy cooperation and made solid progress in strategic projects.

Russia is now one of China’s leading energy suppliers. The two countries have expanded their ties in the energy sector from pure commodity trading of oil and natural gas to industrial cooperation in oil and gas exploration and refining, said Liu Qian, an executive deputy director of the Center for Russian and Central Asian Studies at the China University of Petroleum (Beijing).

China purchased more than 6.5 million tons of liquefied natural gas and 86.25 million tons of crude oil from Russia in 2022, official data showed.

“The huge capacity and trade flexibility of the Chinese market could absorb a large part of Russia’s energy and ensure the steady progress of large-scale energy cooperation projects,” said Liu.

Enterprises of the two sides are maintaining exchanges and active consultations on the new Russian-Chinese natural gas pipeline project transiting through Mongolia, and China will continue to support enterprises in carrying out research and consultations in accordance with commercial principles, an official from China’s National Energy Administration said during a press conference on Wednesday.

The construction of the Amur natural gas processing plant with the participation of Chinese-funded enterprises started in 2015. As of 2022, 87.52 percent of the construction work of the plant was completed, Russia’s state-owned energy giant Gazprom, said in December last year.

The plant will send 38 billion cubic meters (bcm) of natural gas to China every year as designed, and the full capacity of 42 bcm will be reached in 2025.

“There is still great potential for China-Russian energy cooperation,” said Liu.

On the one hand, Russia’s natural gas supply to China will continue to grow. Besides, with the global energy transformation and China’s “dual carbon” goals in place, there is also much room for cooperation in renewable, hydrogen, nuclear energy and in the clean and efficient use of energy, he explained.

As bilateral trade grows, the Chinese yuan is gaining popularity in Russia, and local currency settlement by discarding the US dollar is going from strength to strength.

According to a report from the Bank of Russia, the country’s central bank, the share of the yuan/rouble pair trading on the Russian exchange market reached a new high of 39 percent in March. During the same period, the share of the US dollar/rouble pair fell to 34 percent, the lowest in recent years.

In February, the yuan surpassed the dollar in trading volume on the Russian exchange.

Ozon Holding, one of Russia’s largest online retailers, is doubling down on the Chinese currency to fend off increasing external uncertainties.

Simon Huang, managing director of Ozon China, told the Global Times that “this year, we are actively promoting settlements in yuan for Chinese cross-border sellers on our platform. From commodity pricing to payment, the process is centered on the yuan to reduce the risk of foreign exchange fluctuations.”

Found Unused Nuclear Bunker Filled with Equipment

Beautiful and Frightening: Mako Vice’s Bizarre Drawn Girls

0 7 650x650 1
0 7 650×650 1

Mako Vice is an artist, mangaka, and member of the creative association Gainen15. On her social networks Mako posts pictures with graceful but very specific ladies suffering from various mystical afflictions. One has worm-like fingers growing through her skin, the other one rips her face off and stuff like that. It’s grim, but classy.

More: Instagram

262299649 464296535272758 8656715614857718885 n 650x464 1
262299649 464296535272758 8656715614857718885 n 650×464 1

259864980 117246707434342 1764317824511598364 n 650x813 1
259864980 117246707434342 1764317824511598364 n 650×813 1

256395769 578757766690822 904161071031426782 n 650x813 1
256395769 578757766690822 904161071031426782 n 650×813 1

250853027 830082010996669 1715906864576863917 n 650x638 1
250853027 830082010996669 1715906864576863917 n 650×638 1

250367810 649964229716440 2829998542879757401 n 650x706 1
250367810 649964229716440 2829998542879757401 n 650×706 1

250132343 313122100269429 2354030141839280848 n 650x813 1
250132343 313122100269429 2354030141839280848 n 650×813 1

249333940 4689130201146800 1255470939084681899 n 650x650 1
249333940 4689130201146800 1255470939084681899 n 650×650 1

249263907 301859054855774 7624000268499173509 n 650x813 1
249263907 301859054855774 7624000268499173509 n 650×813 1

248871475 1235674720251915 2979334949074342768 n 650x813 1
248871475 1235674720251915 2979334949074342768 n 650×813 1

248091978 1000223894161322 8172409965223802002 n 650x715 1
248091978 1000223894161322 8172409965223802002 n 650×715 1

248054579 836239333739279 5688424840535902509 n 650x813 1
248054579 836239333739279 5688424840535902509 n 650×813 1

247392547 578227973387941 4606315216016799569 n 650x615 1
247392547 578227973387941 4606315216016799569 n 650×615 1

246885578 408671470662209 6355071793467330079 n 650x813 1
246885578 408671470662209 6355071793467330079 n 650×813 1

246865514 856821635036906 7183079197943143543 n 650x739 1
246865514 856821635036906 7183079197943143543 n 650×739 1

246684709 658687251957454 9114751506588442507 n 650x520 1
246684709 658687251957454 9114751506588442507 n 650×520 1

246543224 870252390522518 4319923903154951764 n 650x707 1
246543224 870252390522518 4319923903154951764 n 650×707 1

246210009 200753592188132 5858945700260674982 n 650x642 1
246210009 200753592188132 5858945700260674982 n 650×642 1

245700158 354996649754055 6538318716837624466 n 650x813 1
245700158 354996649754055 6538318716837624466 n 650×813 1

245601314 157591193181518 4318834048451093733 n 650x813 1
245601314 157591193181518 4318834048451093733 n 650×813 1

245316148 623196732005193 2291301947639905348 n 650x744 1
245316148 623196732005193 2291301947639905348 n 650×744 1

245025913 919199998980159 6430903781622750643 n 650x789 1
245025913 919199998980159 6430903781622750643 n 650×789 1

245020595 2653746588265115 5328480474412130841 n 650x813 1
245020595 2653746588265115 5328480474412130841 n 650×813 1

244774126 384808916525355 4658562929599318390 n 650x813 1
244774126 384808916525355 4658562929599318390 n 650×813 1

244636450 272836308028861 559216791134132040 n.webp 650x813 1
244636450 272836308028861 559216791134132040 n.webp 650×813 1

241996106 575694410524728 4268523113454451563 n 650x809 1
241996106 575694410524728 4268523113454451563 n 650×809 1

241736896 254965306506046 333591610306443104 n 650x799 1
241736896 254965306506046 333591610306443104 n 650×799 1

241422638 185454830351876 3494041685552354997 n 650x813 1
241422638 185454830351876 3494041685552354997 n 650×813 1

241068804 1217899345356277 6045147867791644796 n 650x813 1
241068804 1217899345356277 6045147867791644796 n 650×813 1

240943271 128888129470757 3631100024794459887 n 650x813 1
240943271 128888129470757 3631100024794459887 n 650×813 1

240622902 235925591753601 227058296780401597 n 650x813 1
240622902 235925591753601 227058296780401597 n 650×813 1

240400656 170674958510111 2367770620221306293 n 650x813 1
240400656 170674958510111 2367770620221306293 n 650×813 1

238689475 358752359121276 2792458083407497059 n 650x650 1
238689475 358752359121276 2792458083407497059 n 650×650 1

234600554 224865689542224 4298728115332894721 n 650x702 1
234600554 224865689542224 4298728115332894721 n 650×702 1

229802594 153966273479515 1323936644613390150 n 650x813 1
229802594 153966273479515 1323936644613390150 n 650×813 1

228197392 210691070862448 933527951240569005 n 650x813 1
228197392 210691070862448 933527951240569005 n 650×813 1

219428917 513317489919699 402125469376620728 n 650x813 1
219428917 513317489919699 402125469376620728 n 650×813 1

210750836 1000624830475351 4908887100186421553 n 650x650 1
210750836 1000624830475351 4908887100186421553 n 650×650 1

206456327 162651922585978 6041898055375738313 n 650x502 1
206456327 162651922585978 6041898055375738313 n 650×502 1

205421889 499376494666800 7375954412570460325 n 650x813 1
205421889 499376494666800 7375954412570460325 n 650×813 1

200649822 545811216419587 2856280159388475095 n 650x813 1
200649822 545811216419587 2856280159388475095 n 650×813 1

198854677 468357597591299 1228467763916246039 n 650x650 1
198854677 468357597591299 1228467763916246039 n 650×650 1

195997416 565378981290503 7970516431658708793 n 650x813 1
195997416 565378981290503 7970516431658708793 n 650×813 1

194619886 229952668576960 8256882419349819655 n 650x813 1
194619886 229952668576960 8256882419349819655 n 650×813 1

193276109 350702346438906 894412427038672028 n 650x813 1
193276109 350702346438906 894412427038672028 n 650×813 1

192141484 158377382921230 3806412553631333251 n 650x650 1
192141484 158377382921230 3806412553631333251 n 650×650 1

187660676 4045487545543655 4177611048814916133 n 650x803 1
187660676 4045487545543655 4177611048814916133 n 650×803 1

185802625 378602156800715 3210970958957936318 n 650x813 1
185802625 378602156800715 3210970958957936318 n 650×813 1

183693838 905131593379328 1371497026827721586 n 650x615 1
183693838 905131593379328 1371497026827721586 n 650×615 1

178992872 272149367938628 766470924895634969 n 650x813 1
178992872 272149367938628 766470924895634969 n 650×813 1

169643176 492662752114348 4570129566422736219 n 650x650 1
169643176 492662752114348 4570129566422736219 n 650×650 1

164476364 269732281267367 7875642173373388116 n 650x813 1
164476364 269732281267367 7875642173373388116 n 650×813 1

164002058 197577492141249 7007672604251230632 n 650x813 1
164002058 197577492141249 7007672604251230632 n 650×813 1

158914325 261226462211553 2820657143708942113 n 650x760 1
158914325 261226462211553 2820657143708942113 n 650×760 1

158573776 296391955178790 8995137181711662458 n 650x813 1
158573776 296391955178790 8995137181711662458 n 650×813 1

151288145 249665473311875 6791470812533636730 n 650x650 1
151288145 249665473311875 6791470812533636730 n 650×650 1

150183945 1314524545588217 2456033155182654153 n 650x650 1
150183945 1314524545588217 2456033155182654153 n 650×650 1

148275962 837313543481967 1594858119770375390 n 650x650 1
148275962 837313543481967 1594858119770375390 n 650×650 1

147889040 422527925748531 1374046937921220250 n 650x813 1
147889040 422527925748531 1374046937921220250 n 650×813 1

143846363 791074748286185 8617231489880503020 n 650x340 1
143846363 791074748286185 8617231489880503020 n 650×340 1

143161219 254029972772516 2991043175819312196 n 650x784 1
143161219 254029972772516 2991043175819312196 n 650×784 1

140004136 1060221467810733 269299538151039999 n 650x813 1
140004136 1060221467810733 269299538151039999 n 650×813 1

135742075 754323788767585 2321846455118548561 n 650x813 1
135742075 754323788767585 2321846455118548561 n 650×813 1

132201786 193888542459212 947079261135023621 n 650x650 1
132201786 193888542459212 947079261135023621 n 650×650 1

131918982 427215281743384 3157561938122060998 n 650x813 1
131918982 427215281743384 3157561938122060998 n 650×813 1

131228933 233338041641809 1619553703562779007 n 650x650 1
131228933 233338041641809 1619553703562779007 n 650×650 1

130220983 293512955436404 8964316907668389730 n 650x813 1
130220983 293512955436404 8964316907668389730 n 650×813 1

130080287 697346451155693 4380245446534408234 n 650x640 1
130080287 697346451155693 4380245446534408234 n 650×640 1

127755861 290166445726540 7013513672209841867 n 650x366 1
127755861 290166445726540 7013513672209841867 n 650×366 1

127008150 1172368373164919 8684215496448162331 n 650x764 1
127008150 1172368373164919 8684215496448162331 n 650×764 1

125435009 185912056404031 6728435249695721636 n 650x813 1
125435009 185912056404031 6728435249695721636 n 650×813 1

124115726 199495985025628 3568038686834662032 n 650x625 1
124115726 199495985025628 3568038686834662032 n 650×625 1

123672546 684755302473518 422669304494479120 n 650x813 1
123672546 684755302473518 422669304494479120 n 650×813 1

123106235 202951331194360 5149114261978988550 n 650x583 1
123106235 202951331194360 5149114261978988550 n 650×583 1

122984146 652143745665747 60238004877692317 n 650x813 1
122984146 652143745665747 60238004877692317 n 650×813 1

122596211 696080481322105 4011804556325428025 n 650x485 1
122596211 696080481322105 4011804556325428025 n 650×485 1

122414192 1046786482429548 7076195112298395635 n 650x813 1
122414192 1046786482429548 7076195112298395635 n 650×813 1

122399633 375077923803965 4854814990165197435 n 650x632 1
122399633 375077923803965 4854814990165197435 n 650×632 1

122256955 1040172266492194 1557151082253669928 n 650x813 1
122256955 1040172266492194 1557151082253669928 n 650×813 1

122025630 149851666814370 1062809690986845902 n 650x813 1
122025630 149851666814370 1062809690986845902 n 650×813 1

121824334 178860793724964 5365099101212461858 n 650x748 1
121824334 178860793724964 5365099101212461858 n 650×748 1

121740401 348791059676878 2214713834612186026 n 650x813 1
121740401 348791059676878 2214713834612186026 n 650×813 1

121662476 702692313927627 2751347668949125482 n 650x650 1
121662476 702692313927627 2751347668949125482 n 650×650 1

121610341 3432817360144701 5334215876015725406 n 650x813 1
121610341 3432817360144701 5334215876015725406 n 650×813 1

121582614 413230446336801 1163654427730340163 n 650x520 1
121582614 413230446336801 1163654427730340163 n 650×520 1

121422389 393537758307551 4640643252211365527 n 650x396 1
121422389 393537758307551 4640643252211365527 n 650×396 1

121412850 1812910768876591 8465694694849967646 n 650x496 1
121412850 1812910768876591 8465694694849967646 n 650×496 1

121271606 176034850739451 1340782363096341528 n 650x615 1
121271606 176034850739451 1340782363096341528 n 650×615 1

121204764 178529453826433 6408210698423378217 n 650x505 1
121204764 178529453826433 6408210698423378217 n 650×505 1

121173563 1841883259293599 1629435399549409840 n 650x813 1
121173563 1841883259293599 1629435399549409840 n 650×813 1

120973708 431282391178116 5948929845952801526 n 650x813 1
120973708 431282391178116 5948929845952801526 n 650×813 1

120889162 660096501310762 4405448167591569092 n 650x715 1
120889162 660096501310762 4405448167591569092 n 650×715 1

120468739 1892219917585541 1117673551706570895 n 650x650 1
120468739 1892219917585541 1117673551706570895 n 650×650 1

120453309 667279633909778 5107924752669903805 n 650x813 1
120453309 667279633909778 5107924752669903805 n 650×813 1

120428791 330115714952402 8305128730134612893 n 650x813 1
120428791 330115714952402 8305128730134612893 n 650×813 1

120037730 189269872599380 1454023062049098672 n 650x791 1
120037730 189269872599380 1454023062049098672 n 650×791 1

119549781 3696478110398733 5190916243820316566 n 650x813 1
119549781 3696478110398733 5190916243820316566 n 650×813 1

118772905 2751186361877663 4688941028894041583 n 650x740 1
118772905 2751186361877663 4688941028894041583 n 650×740 1

117341260 314201456602746 2423506597823541872 n 650x650 1
117341260 314201456602746 2423506597823541872 n 650×650 1

 

I’ve been living in China for almost 9 years now. The one thing that keeps amaze me is how little people know about China, as it is today. Almost every traveler I guided in China was amazed about how their perceptions of the country and its people were wrong, or very biased and partial. Many are truly amazed how little they actually know about China, it’s history and culture.

It is understood, of course, that any of us learns mostly about their relative history and culture; knows more about ‘their side of the world” than of other’s; and so, by traveling to China (and other Asian countries, for that matter) you are being exposed to new things, probably more than if you travel to a destination that is more “culturally-close” to yours.

So, for me, the main reason everyone should travel to China at least once, is to open up their eyes and minds to different perspectives and cultures in the world. I hope this will make all travelers start asking more questions about what they think and read of other places too.

The United States always follow a SIX POINT STRATEGY to keep their Hegemony intact

First they send an official and deliver “Cooked up Intelligence reports”. For instance like saying Iran is planning to invade you or China plans to invade Arunachal Pradesh etc – playing to your fears

Today most nations openly refuse to believe any of these reports unilaterally

Once this fails,

Second they send a higher official like a Senate Delegation or a Secretary of State to openly warn you that a certain nation is committing atrocities and damaging the Global security.

They demand you make sacrifices like not buying Oil or Gas from that nation for “Democracy” And “Freedom”

Today once more, most Nations don’t get impressed by these words. They flatly refuse to change their way of life

Once this fails,

Third they use their MSM to begin saturation coverage of lies against your country related to human rights and fund NGOs in your country to start targeting the Government and use that propaganda to undermine you Globally

Uyghurs for China, Kashmir & Muslims for India, Kashoggi for Saudi Arabia, Nuclear Weapons for Iran, Atrocities for Putin, Corruption for Thailand Leaders etc

Luckily today MSM isn’t that credible as they were say 10-15 years ago

Once this fails

Fourth, they get their NGOs to organize protests and color revolutions in your country starting with small issues through massive underground funding

Simultaneously they mobilize opposition leaders and bankroll them targeting a regime change

This works with many many nations

Once this fails

Fifth, they SANCTION and COERCE your country by denying you your own dollars or western technology and bully the collective western lackeys to do the same thing

Finally

Sixth, they may directly fund a proxy civil war or support military aggression against your country


THIS Approach is followed for all countries that aren’t US Lackeys

  • India is at Stage III
  • China is heading to Stage V
  • Russia is at Stage V
  • Thailand is at Stage IV

So Saudi Arabia, a US Lackey until recently, is heading to Stage II

The CIA Director visit was Stage I and it failed

Now next, a Senator Delegation or VP or Blinken will warn Saudi of how Iran is destroying the world with Terrorism and how they stand opposed to a free world

Luckily this Six Point Strategy is becoming lesser and lesser effective.

Let’s hope Saudi can get through this.

My Comment on Quora

Q: What would happen if the United States tries to blockade China?

A: The United States would suffer.

You see, we already have seen what a blockade would do. Do you remember this?

2023 04 14 17 51
2023 04 14 17 51

A massive container ship got stuck in Egypt’s Suez Canal

on Tuesday, March 23, 2021, halting marine traffic for almost a week.

For one entire week, all maritime traffic came to a complete stop. And American shelves were bare for months. Months!

shelves e1584569855959 750x422 1
shelves e1584569855959 750×422 1

And, the lessons that came from it absolutely sent shivers in the supply-chain management circles.

  • All Western-bound freight came to a complete stop.
  • European and African freight was rerouted via BRI land bridge.
  • United States freight was delayed by up to 6 months with bare shelves being the norm.

And who was blamed for this?

China?

Nope. Not China. Though the American news media tried their best to blame China.

The shipping company? Nope.

President Biden… You betya. He got the blame.

I wonder why?

2021 bare shelves biden funny meme joe biden shirt tshirt
2021 bare shelves biden funny meme joe biden shirt tshirt

Meanwhile, this is what happened inside of China…

  • Production continued normally.
  • The BRI was given a great influx of train-bound orders.
  • Rerouting through the BRI is now the norm, and signals a massive decrease in the use of sea freight.
  • China’s warehouses grew plump as batch shipments were reordered.

Now, somehow, the brain-dead idiots that call themselves “neocons” have this grand fantasy. They believe that…

“Ok, ok, we cannot bomb the shit out of China. But we can blockade shipping. And then China would completely collapse! What brilliance we possess!!”

I am here to tell you that we know what will happen. If you blockade China it won’t make any difference. China trades using the BRI and things can be rerouted easily.

  • China will NOT starve. As it attained self-sufficiency around 2012–2013.
  • China’s factories will not close down. Their orders, owing to the previous events, will increase.

But…

  • The United States will end up without the things that it needs. There will be an absence of all sorts of raw materials and manufacturing products.
  • The few products that will be made locally in the United States will be very, very expensive.

And that is why a United States blockade on China will fail.

CIA director concedes US power is waning

Bill Burns says Washington’s position as the “big kid on the geopolitical block” isn’t guaranteed

2023 04 14 18 57
2023 04 14 18 57

The dominant global role of the US can no longer be guaranteed as the country is witnessing a time of change “that comes along a couple of times a century,” CIA Director Bill Burns has claimed.

Speaking at the Baker Institute earlier this week, Burns said that although Washington “still has a better hand to play than any of our rivals,” it is “no longer the only big kid on the geopolitical block and our position at the head of the table isn’t guaranteed.” 

The CIA chief pointed to growing ties between China and Russia, which he argued will present a “formidable challenge” for his agency for years to come. According to Burns, Beijing is “not content to only have a seat at the table; it wants to run the table,” while Russia is seeking to “upend the table altogether.” 

Burns, who served as the US ambassador to Moscow under George W. Bush, condemned Russia’s military operation in Ukraine, calling it an act of “brutish aggression.” 

He claimed the CIA has provided “good intelligence” that has “helped the Ukrainians defend themselves” and cemented “a strong coalition in support of Ukraine.”  

Burns added that Kiev’s long-anticipated spring offensive would feature “strong material and intelligence support from the US and our allies.”  

The spy chief claimed that Russian President Vladimir Putin is “not serious about negotiations” on a peaceful resolution to the conflict, and suggested that only Ukrainian progress on the battlefield was “likely to shape prospects for diplomacy.”

Russia has repeatedly stated that it is open to peace talks and has blamed Kiev and its Western allies for blocking negotiations. Ukraine has placed a legal ban on any talks with Russia as it seeks to defeat its opponent on the battlefield.

Regarding China, Burns insisted that Beijing remains the CIA’s “biggest long-term priority.” He noted that in the last few years, the intelligence agency has doubled the resources it focuses on China, including hiring and training Mandarin speakers and stepping up efforts to compete with Beijing on the world stage.

“Managing a crucial and increasingly adversarial relationship with China will be the most significant test for American policy makers for decades to come,” the US official said, arguing that the risk of a conflict over Taiwan will continue to grow.

From HERE

The Duran is the best channel for Geopolitics. There is no channel even close.

This neoliberal/neocon project can’t fall away fast enough. It’s been a disaster for the people of the US and the world. It reduces all but a handful of elites to enormous struggle and endless war/death. It’s been a great evil and if a new economic system is what ends it, fine. Excellent work, as always, Alex and Alexander!

Chicken with Dumplings

2023 04 14 19 09
2023 04 14 19 09

Equipment

  • Pressure Cooker

Ingredients

  • 1 fryer chicken
  • 2-3 cups water
  • 2 carrots, diced
  • 2 ribs celery, diced
  • 1 tablespoon chicken bouillon
  • Salt and pepper, to taste
  • 1 1/2 to 2 cups Bisquick
  • Parsley flakes

Instructions

  1. Cut up a fryer and brown it in a frying pan. Put the chicken in the pressure cooker with water, carrots and celery.
  2. Deglaze the frying pan with some of the water and put that liquid into the pressure cooker. Add about a tablespoon of chicken bouillon, cover and cook it for 20 minutes at 15 psi.
  3. After cooling and removing the lid, the chicken is now falling off the bones. Remove chicken, and season the liquid with salt and pepper.
  4. Make the dumplings. Mix Bisquick and enough water to make a sticky dough. Form into 2-inch balls. Put some parsley flakes on the outside of each dumpling and place 8 dumplings into the pressure cooker with the chicken and liquid. Put on the lid and cook for another 10 to 15 minutes.

Prehistoric Hunters Roasted and Ate Giant Snails 170,000 Years Ago

giant snails
giant snails

In a groundbreaking discovery, scientists have unearthed the remains of enormous snail shells at a 225,000-year-old archaeological site in southern Africa. What makes this find truly remarkable is that it appears these giant snails were not just natural inhabitants of the area, but also a significant source of sustenance for the humans who lived there.

Why and when terrestrial mollusks entered our ancestor’s diet were unanswered questions until the recent discovery of the giant land snail, known as Achatinidae, at a rock-shelter in southern Africa. A new study has demonstrated how small groups of hunter-gatherers captured, roasted and ate giant snails as early as 170,000 years ago.

Giant Snails as Big as Your Hand

When people hunted, fished and gathered to obtain food, clothing and other resources necessary for their survival, hunting techniques varied greatly across the world. In Africa, it is known that groups of ancient survivalists fashioned spears and clubs to kill large game animals such as antelopes and elephants.

Until now, the oldest evidence of Homo sapiens capturing and eating giant land snails dated to roughly 35,000 years ago in Europe, and around 50,000 years ago in Africa. However, a new study published in the Quaternary Science Reviews shows how people at a southern African rock-shelter called Border Cave roasted a species of giant snail that was, according to the researchers, “as big as an adult’s hand.”

Archaeologists
Archaeologists

 

Archaeologists in the 1970s excavating at the mouth of Border Cave in South Africa. ( Public domain )

Giant Snails Roasting on an Open Fire

The oldest archaeological layers at Border Cave date to at least 227,000 years ago. Evidence shows how ancient people living in this cave cooked starchy plant stems, ate an array of fruits and hunted small and large animals. A 2020 study published in Science even found that the ancient people living in Border’s cave made grass bedding around 200,000 years ago.

The new study was led by Marine Wojcieszak of the Royal Institute for Cultural Heritage in Brussels. An analysis of shell fragments excavated at Border Cave demonstrates how hunter-gatherers at the site roasted large African land snails on embers, “and then presumably ate them,” according to Wojcieszak. Described as “a delicacy,” eating these snails spiked in popularity between about 160,000 and 70,000 years ago.

According to the team of scientists, these new discoveries at Border Cave “challenge an influential idea that human groups did not make land snails and other small game a big part of their diet until the last Ice Age waned around 15,000 to 10,000 years ago.”

2023 04 15 15 59
2023 04 15 15 59

Giant Snails Allude to Early Cooperation

The team of researchers working on the study suggested that when hunter-gatherer groups in southern Africa roamed the countryside hunting large animals, some of them, perhaps with limited mobility due to age or injury, might have stayed behind “snail-gathering.” Wojcieszak added that food sharing at Border Cave demonstrates how “ cooperative social behavior was in place from the dawn of our species.” Furthermore, because snail meat is relatively easy to eat, the fatty protein of snails would have been an important source of nutrition for the elderly and smaller children.

Science News reported that previous archaeological excavations at a cave on the southern tip of South Africa revealed that humans ate mussels, limpets and other marine mollusks as early as around 164,000 years ago. However, according to archaeologist Antonieta Jerardino of the University of South Africa in Pretoria, the new evidence of giant snail consumption at Africa’s Border Cave pushes back the human consumption of mollusks by several thousand years to 170,000 years ago.

2023 04 15 16 00
2023 04 15 16 00

Testing Ancient Snail Cooking Methodology

The research team studied 27 snail shell fragments from various sedimentary layers at Border Cave , which were compared with shell fragments of modern large African snails. The snails were heated in a metal furnace at temperatures ranging from 200° to 550° Celsius, with heating times lasting from five minutes to 36 hours.

Most of the shell fragments discovered at the site displayed signs of extended heat exposure, which the researchers say is consistent with “having once been attached to snails that were cooked on hot embers.” It was concluded that the lower parts of large land snail shells rested against the hot embers during cooking, which accounts for the burned and unburned shell fragments discovered by the scientists.

https://www.youtube.com/watch?v=eKcqDl57sCc&embeds_euri=https%3A%2F%2Fwww.ancient-origins.net%2F&feature=emb_imp_woyt

Measuring Up the Pros and Cons of Giant Snail Consumption

A study published in the Journal of Food Science and Technology analyzed the approximate composition, minerals and vitamins of the edible part of the giant African land snail ( Archachatina marginata ). The results showed that the snail meat contains high levels of protein, iron and magnesium.

They also concluded that these giant snails contain vitamins such as vitamin A, which is essential for vision, immune system function and skin health. Furthermore, the creatures are packed with vitamin B12, which is important for the production of red blood cells and the proper functioning of the nervous system.

On the down side, large land snails carry parasites and bacteria that can cause illness in humans if not properly prepared and cooked. Nevertheless, it looks like the ancient hunters who ate these snails some 170,000 years ago knew about these drawbacks, and they therefore roasted them to kill off these toxic properties. Teresa Steele, an archaeologist at the University of California, concluded that “it’s not surprising that ancient H. sapiens recognized the nutritional value of land snails and occasionally cooked and ate them by 170,000 years ago.”

Top image: Giant snail as big as a human hand. Source: majivecka / Adobe Stock

By Ashley Cowie

China’s national security authority reveals ‘new methods’ of criminal activities by overseas anti-China hostile forces

Published: Apr 14, 2023 12:43 PM

Setting up illegal maritime surveillance to steal China’s military information, luring Chinese scientists in the aerospace field with payment, concocting “forced labor” lies about Xinjiang … The Global Times learned from the Chinese national security authority about a series of typical national security risks on the eve of the 8th National Security Education Day, which will fall on April 15. The authority has also warned Chinese companies and individuals to raise awareness of national security.

Compared to the cases disclosed around the National Security Education Day in previous years, the scope of the cases disclosed this year is wider and the methods used by overseas anti-China forces to lure and instigate those involved are more hidden and targeted. Li Wei, an expert on national security at the China Institute of Contemporary International Relations, told the Global Times that the criminal goals of foreign espionage agencies and anti-China hostile forces are highly consistent and complementary with the political goals of relevant countries toward China.

NGO concocts ‘forced labor’ lies

A man surnamed Li worked for a consulting company in Shenzhen, South China’s Guangdong Province, where he provided supply chain risk audit services for overseas companies. A few years ago, Li’s company worked with an overseas NGO and gradually Li found that the attitude of this organization changed.

Their audit standards for Chinese companies became more detailed, especially concerning the new requirements for the content related to the so-called “Xinjiang labor.” Li noticed that the overseas NGO was actively collecting information about the so-called human rights issues in Northwest China’s Xinjiang Uygur Autonomous Region in order to concoct “forced labor” lies and provide “endorsement” for Western anti-China forces to manipulate Xinjiang-related issues and enforce related sanctions.

However, in order to pursue economic interests, Li’s company still undertook and implemented relevant investigation projects, bringing risks and hidden dangers to China’s national security and interests.

The national security agency of Guangdong punished Li in accordance with the country’s anti-espionage law, implementation rules of the law and regulations on anti-espionage precautions, and ordered his company to implement rectification.

In recent years, some overseas NGOs with complicated backgrounds have grown stronger and gradually seized international access standards for some industries, the Global Times has learned from relevant authorities. They took advantages of their special status in those industries and exerted influence on relevant Chinese companies, causing harm to the country’s political and economic security, especially to crucial areas such as industrial and supply chains.

Meanwhile, those NGO staff approach Chinese nationals with “friendly attitude” and carry out acts endangering national security with disguises, posing a serious threat in both traditional and non-traditional security areas, experts said.

The criminal targets of foreign spy intelligence agencies and anti-China hostile forces are highly consistent with the political objectives of relevant countries toward China, said Li Wei, the expert. With the rise of China’s comprehensive strength, Western countries such as the US attempt to fully encircle, suppress and smear China, fabricating groundless stories on issues related to Xinjiang, Xizang, Hong Kong, Taiwan and others. As a result, not only have the criminal targets become more clear, but the scope of criminal activities has also expanded further, Li said.

The actions of foreign spy intelligence agencies and anti-China hostile forces that threaten the national security of our country are no longer confined to traditional security areas, he added. Those moves in non-traditional security areas also brings risks and hidden dangers to the stable development of our economic and social security.

Some organizations and individuals, under the guise of foreign NGOs, consulting companies, high-tech companies, and others, attempt to “make a fuss” in the areas of human rights, industrial and supply chains, undermining our national security, Li Wei said.

Illegal monitoring Chinese military activities

In August 2019, a sea cucumber farmer surnamed Zhang in Dalian of Liaoning Province reported to the national security authority that there were some uninvited guests showing up at his farm. A person surnamed Huang led several foreign personals to install marine hydrological monitoring equipment as well as sea and air monitoring and recording equipment in the name of “free installation of seawater quality monitoring equipment.”

Since then, Zhang gradually noticed data was being continuously transmitted overseas, and a lot of that data had nothing to do with sea cucumber farming.

06e7ef99 cfee 4af6 b3ab 4fc76c3ac64e
06e7ef99 cfee 4af6 b3ab 4fc76c3ac64e

 

Foreign staff illegally install monitoring equipment in a sea cucumber farm

Zhang dialed 12339 to report the situation to the national security authority. After verification, the equipment was found that it was illegally monitoring China’s air military operation zone, sensitive and important data such as tides and currents in the non-open sea areas, which posed a serious threat to China’s maritime rights and military security.

The local national security authority took compulsory measures against Huang and the foreigners according to the law and confiscated the monitoring equipment. Huang and the foreigners confessed to their illegal and criminal activities of stealing China’s marine hydrological data and naval and air military images.

As traditional and non-traditional security threats are at present intertwined, it puts forward higher requirements for national security work, Li noted. People need to enhance their national security awareness and be vigilant at all times as some illegal and criminal acts that endanger national security become more hidden, and companies and individuals would be taken advantage of if they do not pay attention, the expert said.

Instigate rebellion 

As China has become more connected with the world, it has become more convenient for Chinese nationals to study, work and travel abroad. Some overseas spy agencies target those people who relax their vigilance after going abroad and set up traps to bring hidden risks to China’s national security, as another typical case showed.

Zhao Xuejun is a scientific researcher in the field of aerospace. When he was a visiting scholar at a foreign university, he was gradually recruited by overseas spy staff to sell the progress of scientific research, which seriously endangered China’s national security.

At first, the spy staff member invited Zhao to have dinner and to travel together and sent him gifts to build a closer relationship. As their relationship drew closer, the spy staff member asked Zhao some sensitive questions and paid him hefty “consulting fees.”

Before Zhao was about to return to China, the spy staff member revealed the true identity and recruited the Chinese researcher. Subsequently, the spy agency equipped Zhao with a special USB flash drive and a website for issuing mission instructions and enabling him to send back intelligence.

After Zhao returned to China, he met relevant spy staff from this country in multiple places in China, providing them with a large amount of classified information through in-person conversation or through relevant website. He also received spy funds in the form of cash. His activities attracted the attention of the national security authority.

In June 2019, the Beijing Municipal State Security Agency took compulsory measures against Zhao in accordance with the law. In August 2022, he was sentenced to seven years in prison for espionage, and was deprived of political rights for three years. The court also ordered to confiscate 200,000 yuan ($29,091) of his personal property.

Zhao became a target of a foreign spy agency because of his identity as an expert in the aerospace field. However, in recent years, the national security authority noticed that some overseas organizations have taken advantage of certain Chinese nationals’ longing for foreign life to lure them to go abroad and force them to engage in activities that seriously damage the image of the country, endangering national security and personal safety.

Zheng Fuxing and Wang Peiyue were key employees of a so-called “overseas immigration service company” in China. Under the guise of “immigration through normal ways,” the company recruited customers and claimed that only 100,000 yuan was needed as a “certification fee” for immigration procedures.

The group sent “clients” abroad by applying for tourist visas and other methods. But it was not until those “clients” successfully arrived abroad that this group revealed its true intention. Through coercion and inducement, they asked the “clients” to fabricate various “documents” such as household registration, arrest certificates, or forced abortion certificates and to publicly declare that they were “persecuted in the country,” and used fabricated criminal evidence to slander and smear China.

Afterward, the group continued to ask for money from those “clients” under various names such as “political asylum agency fees.” Many of those “clients” were eventually abandoned by the group because they could not afford those fees and struggled to return to China with the help of their families.

In October 2021, the national security authority of North China’s Hebei Province took compulsory measures against Zheng and Wang in accordance with the law. In May 2022, the court sentenced them to three years and nine months, and three years and six months in prison, respectively.

Whether it is gradually falling into the trap because of small profits, or using the “immigrant dream” to lure and take advantage of individuals, these acts of harming national interests and endangering national security for personal interests eventually cost them a heavy price, said Li, the expert.

The overseas countries and regions are not lawless places, and safeguarding the national security is the duty and responsibility of every Chinese national wherever they are, he said.

Using ‘internet identity’ for criminal activities

Among the typical cases, the use of social networks to disguise identity and collect intelligence and information has also caught up in the attention. The national security revealed that Han Xiao, a civil servant in Xinjiang, met a netizen through a mobile dating app and became a tool for overseas spy agency.

In December 2016, Han met the netizen through a dating app during a travel and after returned home, Han often shared his life with this netizen on internet, complaining that he had a very low salary. The netizen introduced his “cousin” Chen Yi to Han, claiming Chen could provide some extra job for Han to earn money. Chen then asked Han to provide some sensitive local information and promised to pay Han some money.

After Han agreed, Chen further instructed Han to collect classified documents from local Party and government institutions. The spy agency highly valued those documents and trained Han as a spy, teaching him the specific methods of communication and information transmission, and sent special agents to provide Han with funds, mobile phones, SIM cards and other communication tools.

Despite that Han knew those people were overseas spy staff, Han continued to collect and provide classified documents for high rewards. The local people’s court later found out that Han provided 19 documents and materials to the overseas spy agency. In return, he was given more than 120,000 yuan.

In March, 2019, Han was sentenced to 11 years and six months in prison for espionage, deprived of political rights for four years, and the government confiscated 50,000 yuan of his personal asset.

Internet not an ‘enclave’

With the wide use of the internet, there have been more internet celebrities, however, some gained attention by fabricating and spreading lies, smearing the country and government, which also broke through the legal bottom line, according to another typical case.

Since June 2020, a person surnamed Zhang, who impersonated eight Myanmar nationals, opened several accounts on overseas social media platform to introduce foreign daily life and customs, posting over 20,000 posts and attracting tens of thousands of fans, according to the national security authority in East China’s Jiangsu Province.

In order to maintain such fake identity online, Zhang maliciously fabricated a large number of sensational false information and rumors, causing panic among netizens and created a negative impact. After attracting a large amount of fans, Zhang frequently used rumors and slandered the image of China, attacking the Party and the government and even instigated others to engage in coup d’etat. In February 2022, the national security authority took compulsory measures against Zhang.

“No matter how much they try to disguise themselves, they can’t cover up the nature of illegal and criminal acts that endanger national security,” Li said, noting that the internet is not an “enclave” for escaping legal responsibility.

Anyone that uses the internet to steal state secrets, create and spread rumors, or endanger national security will be severely punished by law. A clean cyberspace needs to be governed by law, and more importantly, requires the joint efforts of each of us, the expert said.

WARNING: We Will See “50 YEARS OF CHANGE in The Next 6 MONTHS”

Jack Ma committed a mistake – He began acting like a US Billionaire or an Indian Billionaire or a UK Billionaire.

main qimg 2f19319a369dce73b63ab3de993aa348 lq
main qimg 2f19319a369dce73b63ab3de993aa348 lq

His IPO was demolished because bankers who are valued heavily in China – simply felt his valuation was inflated and believed that for such numbers as he showed – he had to plan on being a monopoly in various businesses.

In China, unlike India, the bankers don’t issue loans but instead have the billionaire borrow directly from the Public and underwrite the sebt (Meaning, debt which has been unsold will be purchased by the banks). This way, China can seize upto 51% of Ma’s assets in 10 minutes instead of 10 years after 1000 Court cases.

Ma was literally given a highly conservative valuation for his IPO and was given terms and conditions he did not like. The bankers said “You want your Valuation to be adhered to? Fine. Put up collateral for the same”. It’s unusual in most countries for new IPOs to put up collateral. But in China, this is the law.

Jack Ma did not like this.

So, he began his criticism of China’s Banking Regulations. He called them pawn-brokers rather than bankers for their demand of collateral or for their conservatism and for their aversion to loans the way the Capitalists did.

Had it been Hu Jiantao, he would have ignored Ma. They had a strong strong system so Ma’s ranting would not help in any way. China did not have a Republic TV or Arnab Goswami to raise the tempers. However Xi Jingping was a different leader and felt the affront personally.

So he ordered to look into Jack Ma

Suddenly, you had a thousand requests for various routine things for Ma’s companies. Revaluing things. Examining currency related things. Even deciding to introduce a Shenzi law to acquire 51% of non compliant Chinese entities (Basically drafted and created just for Ma).


Now upto this point is actual fact. Information for this comes from the Asian Wall Street Journal and the Economist and a variety of reputable non Globaltimes articles.

However, beyond this is Speculation and Theory

My personal Belief – is that Jack Ma got rattled. He did not expect such a backlash. Somehow Ma had started to believe he was living in New York and not Shanghai. Suddenly when so much scrutiny was being done, Ma knew he could lose his company (Every single company has regulatory issues. This is taken for granted)

So he must have appealed to the bosses and they must have told him Lie Low and shut the hell up.

His disappearance and his sombre reappearance to talk to teachers indicates that he has been broken. The rest of the Billionaires will see what happened to Ma and will understand that the System will remain in force and cannot be criticized.

The message is – Nobody is above the System (Except Xi Jinping maybe). Even Billionaires must follow the System and prosper within it.

Crock Pot Brown Sugar Pineapple Ham

2023 04 14 19 10
Crock Pot Brown Sugar Pineapple Ham

This slow cooker method not only saves your oven space, but it also results in the most fall-apart tender and flavorful ham EVER.

2023 04 14 19 11
2023 04 14 19 11

Once you try it this way, you will never want it any other way!

2023 04 14 19 12z
2023 04 14 19 12z

Teaching Story

An old man meets a young man who asks:

“Do you remember me?”

And the old man says no. Then the young man tells him he was his student, And the teacher asks:

“What do you do, what do you do in life?”

The young man answers:

“Well, I became a teacher.”

“ah, how good, like me?” Asks the old man.

“Well, yes. In fact, I became a teacher because you inspired me to be like you.”

The old man, curious, asks the young man at what time he decided to become a teacher. And the young man tells him the following story:

“One day, a friend of mine, also a student, came in with a nice new watch, and I decided I wanted it.

I stole it, I took it out of his pocket.

Shortly after, my friend noticed the his watch was missing and immediately complained to our teacher, who was you.

Then you addressed the class saying, ‘This student’s watch was stolen during classes today. Whoever stole it, please return it.’

I didn’t give it back because I didn’t want to.

You closed the door and told us all to stand up and form a circle.

You were going to search our pockets one by one until the watch was found.

However, you told us to close our eyes, because you would only look for his watch if we all had our eyes closed.

We did as instructed.

You went from pocket to pocket, and when you went through my pocket, you found the watch and took it. You kept searching everyone’s pockets, and when you were done you said ‘open your eyes. We have the watch.’

You didn’t tell on me and you never mentioned the episode. You never said who stole the watch either. That day you saved my dignity forever. It was the most shameful day of my life.

But this is also the day I decided not to become a thief, a bad person, etc. You never said anything, nor did you even scold me or take me aside to give me a moral lesson.

I received your message clearly.

Thanks to you, I understood what a real educator needs to do.

Do you remember this episode, professor?

The old professor answered, ‘Yes, I remember the situation with the stolen watch, which I was looking for in everyone’s pocket. I didn’t remember you, because I also closed my eyes while looking.’

This is the essence of teaching:

If to correct you must humiliate; you don’t know how to teach “

Mysterious Subterranean Secret U.S. Military Base

Mixed messages

If Australia sleepwalks into a war with China, as many analysts fear is happening right now, then amid our strategic slumber we should at least ask one question: what would war with China mean for Australia?

Put bluntly, the repercussions of Australia joining the US in any war with China over the status of Taiwan — or any other issue — may have catastrophic consequences.

-ABC Australia

Sigh.

I really don’t want to talk too much about war. But “news” out of the United States is non-stop hate, war, death, and collapse.

Sheech!

OK. Let’s start with a prepper video.

Chicken Cacciatore

Chicken Cacciatore IMAGE 12
Chicken Cacciatore IMAGE 12

Yield: 4 to 6 servings

Equipment

  • Pressure Cooker

Ingredients

  • 1 (3 pound) chicken, cut up
  • 3 tablespoons all-purpose flour
  • 1 teaspoon salt
  • 1/8 teaspoon pepper
  • 2 tablespoons vegetable oil or olive oil
  • 1/4 cup diced salt pork
  • 1 1/2 cups sliced onions
  • 2 cloves garlic, minced
  • 2 tablespoons minced parsley
  • 1/2 teaspoon dry oregano or 1 teaspoon chopped fresh oregano
  • 1/2 cup chopped carrots
  • 1/2 cup chopped celery
  • 1 (16 ounce) can Italian tomatoes, chopped
  • Salt and pepper
  • 1/2 cup white wine
  • 1 (6 ounce) can tomato paste

Instructions

  1. Coat chicken in mixture of flour, salt, and pepper; set aside.
  2. Put oil in a 4 or 6 quart Presto pressure cooker. Sauté pork until crisp. Add onions and sauté until light brown; remove and set aside.
  3. Brown chicken a few pieces at a time; set aside.
  4. Pour off excess drippings; stir garlic, parsley and oregano into remaining drippings.
  5. Return chicken and onion to pressure cooker. Add carrots, celery, tomatoes, salt, pepper, and white wine. Close pressure cooker cover securely. Place pressure regulator on vent pipe.
  6. Cook for 8 minutes, at 15 pounds pressure, with regulator rocking slowly.
  7. Cool pressure cooker at once.
  8. Place chicken on warm platter.
  9. Stir tomato paste into sauce in pressure cooker. Simmer until thickened. Pour over chicken.

Court Filing: Twitter, Inc. “No Longer Exists” — Merged into X Corp.

.

2023 04 12 10 53
2023 04 12 10 53

A court filing reveals that Twitter, Inc. “no longer exists.” It has been merged into X Corp.

https://youtu.be/7A3jclzUFXY

.

People Keep Asking Me “What’s the big deal about de-Dollarization?” Maybe THIS can explain it to them . . .

.

29 dollar ham cheese sandwich Eli Zabars NYC 04 11 2023 large
29 dollar ham cheese sandwich Eli Zabars NYC 04 11 2023 large

Take a good, hard look.  THIS is your future here in the USA:  $29 for a Ham and Cheese Sandwich!

As countries all over the world switch AWAY from the U.S. Dollar for settling international trade, a Tsunami of “Dollars” previously held in foreign central banks, is coming back home to America.  You see, by settling their international trade in their own currencies, countries no longer need to hold US Dollars.

As those dollars come back here to America, the value of the US Dollar relative to other currencies, is dropping.  Our money is worth less while their money is worth more.

In the past, this was no big deal because here in America, we used to actually manufacture things.  But some numbskulls in the corporate world thought it would be super-neato-keeno-swift to switch the US economy from manufacturing to a “service economy.”  Manufacturing departed the USA for cheap foreign labor, and now we don’t make much of anything here anymore.   We IMPORT almost everything.

Now, that bad decision to outsource manufacturing to foreign lands is coming home to bite all of us in the ass.  How?   Like this:

29 Dollar Ham Cheese Sandwich
29 Dollar Ham Cheese Sandwich

Yes, you see that correctly; $29 for a ham and cheese sandwich!

Now, admittedly, this is from Eli Zabar’s on Madison Avenue in New York City.  As you might have guessed, Madison Avenue is some of the most expensive Real Estate in the world, and prices in stores along that avenue match that reality.

While this is certainly the exception to the rule, very soon, it won’t be.

Very soon, these are the types of prices that YOU will be asked to pay when YOU want food or other items you need.

Just giving you a Heads-up.  The Democrats you put in control down in Washington, DC, are directly to blame for this.

They imposed economic sanctions on so many countries, for so many years, that a whole slew of those countries are now fed-up with us.  They’re getting together, collectively turning their back to the US Dollar, and settling their trade in their own currencies.  Now, the US can’t Sanction them anymore because the US can’t control THEIR currencies!

As more and more countries dump the dollar, what you see above, will be common.  Not just with food, but with E V E R Y T H I N G.

Democrats did this.

When you can’t afford to eat or feed your kids, remember who is to blame and go pay them a visit to . . . thank them.

BBC Confirms NATO Special Forces Troops inside Ukraine – 6 days AFTER this web site reported it to Subscribers!

BBC NATOSOFUkraine large
BBC NATOSOFUkraine large

Better late than never seems to be the catch-phrase at the British Broadcasting Corporation (BBC).  Today they (finally) reported what readers of this web site knew 6 full days ago: NATO Special Forces troops are operating inside Ukraine!

The BBC Story reports to their British readers that the UK has at least fifty (50) Special Operations Force members operating inside the war zone in Ukraine (STORY HERE).   But subscribers to the Hal Turner Radio Show knew this a full six days ago as reported HERE.

Once again, for all the world to see, Hal Turner Radio Show subscribers get the REAL news hours, and even DAYS before the mass media reports it . . . if they even do!

Oh, and as an added bonus . . . world famous “DRUDGE REPORT” didn’t cover this either, until the BBC did.   SO much for Drudge being fast or first.

Economist Harry Dent Expects Biggest Economic Crash in Our Lifetime to Hit Between Now and Mid-June

Harry Dent, economist and author of several best-selling books, has warned that the biggest crash in our lifetime is “going to hit between now and about mid-June.” He stressed: “People are going to know this is not a big correction — it is a major crash, one that you have not seen … in your lifetime.”

The founder of HS Dent Investment Management and author of several best-selling books, Harry Dent, warned in an interview with David Lin, published Friday, that the biggest crash in our lifetime will likely happen by mid-June. Dent stressed:

We won’t see this again. We will not see a bubble economy, our kids will probably not even see a bubble economy decades and decades from now … It happens once in a lifetime at most.

He explained that the biggest crash that he is predicting is what the 2008-2009 crash should have been, noting that the S&P 500 was down 57% at that time. “About a year and a half into that crash, central banks just stepped in and just started printing money at unprecedented rates … So that recession didn’t really do its job of flushing out the greatest debt bubble in history,” Dent described, adding:

I am predicting as much as 86% [decline] for the S&P 500 in this crash and 92% on the Nasdaq … Bitcoin will go down more like 95%, 96%.

Dent expects the crypto market to crash alongside stocks, with BTC falling 95%-96% from its November 2021 high. “Bitcoin will fall from $69,000 to about three to four thousand,” he said, adding that “It’s exactly what Amazon and the dot-coms did.”

The economist has repeatedly warned about the biggest crash in a lifetime. He pointed out that after his previous warning, the Nasdaq went down 38% in October last year. “That’s just the first wave down. There’s two more to follow … We have already started the next wave down which could take the Nasdaq down to $8,000 just in this next wave, not the end of it. That’s gonna be down a little over 50%,” he detailed.

“That’s when people are going to know this is not a big correction — It is a major crash, one that you have not seen … in your lifetime, and the one that even the millennials will not see a bigger crash than this,” Dent opined.

Addressing why the recent crash happened later than he previously predicted, the economist clarified that the reason was due to central banks declaring war on recession. “Never before … have central banks declared war, literal war, on recession, and said: ‘We will not let the economy fall.’” However, Dent noted that even with all the unprecedented money printing, “we keep falling back into the recession.” He stressed: “The economy underneath is really really weak and really needs to get rid of a lot of really bad debt and zombie companies and the central banks won’t let the economy do its thing … The central banks have declared war on the free market. That’s the problem.”

The economist cautioned, “We are about to hit this third wave,” emphasizing that he does not believe that the Federal Reserve will be able to stop it. “I think it’s going to creep up on them before they can reverse the tightening,” he predicted, adding:

We have not cleaned up the massive debts and overvaluations of the biggest financial assets bubble in everything. We have never had a financial asset bubble in everything like this. This bubble has not been allowed to burst and clear out its excesses which we need to do. And I think we are into that process now.

Noting that the Federal Reserve overstimulated the economy, and now they have to “tighten strong,” Dent stressed that the Fed has “pushed up interest rates and tightened” more recently than they ever did since the early 80s. “So this is serious tightening,” he exclaimed. “Now they’re tightening and they’re thinking well the economy underneath can handle it.” However, Dent argued: “No, the economy underneath has been weak since 2008 and does not get strong until a few years from now.”

Dent further explained that what looks like a correction will turn into “a crash more like 1929 to 1932, down 86% on the S&P 500,” emphasizing that it is his “best forecast at this time.” The economist clarified: “You get a first wave down, a second wave bounce which we’ve seen, we’re already into the third wave just starting.” He elaborated:

The third wave is usually the strongest and hardest wave and I think most of that’s going to happen between now and the end of the year. And the biggest part of that third wave of the third wave. It’s going to hit between now and mid-June.

“It’s not easy to time the market as most people know, but this is so important that I am timing the market,” Dent said.

Here’s What Washington, DC Looks Like These Days

BULLETIN: 40% of NATO Electronic Infrastructure OFFLINE – Ddos attack by Russian Hackers

NATO Hacked 04 11 2023 large
NATO Hacked 04 11 2023 large

As of 12:56 PM eastern US time today, 11 April 2023, 40% of NATO’s electronic infrastructure has been paralyzed by Russian KillNet hackers.

As a result of a powerful DDos attack, the resources of the Combat Development Command, the NATO Provision, Support, and Procurement Agency, and cyber training centers are “OFFLINE”.

The NCI agency was also hacked, and all the employees’ personal data was stolen.

Pictured is the front page of the NCI Agency, which provides, deploys and maintains communications systems for decision makers and NATO commanders.

Update:

Russian hackers from KillNet reported that as a result of hacking into NATO networks, they managed to obtain important information that may be of interest to Russia.

Waiting for the end of the world

We were waiting for the end of the world
Waiting for the end of the world, waiting for the end of the world
Dear Lord, I sincerely hope You're coming
'Cause You really started something

Elvis Costello, Waiting for the End of the World, 1977

NOTE: THIS IS THE ENGLISH ORIGINAL OF A COLUMN SPECIALLY COMMISSIONED BY LEADING RUSSIAN BUSINESS DAILY VEDOMOSTI:

Found HERE

We cannot even begin to fathom the non-stop ripple effects deriving from the 2023 geopolitical earthquake that shook the world: Putin and Xi, in Moscow, de facto signaling the beginning of the end of Pax Americana.

This has been the ultimate anathema for rarified Anglo-American hegemonic elites for over a century: a signed, sealed, comprehensive strategic partnership of two peer competitors, intertwining a massive manufacturing base and pre-eminence in supply of natural resources – with value-added Russian state of the art weaponry and diplomatic nous.

From the point of view of these elites, whose Plan A was always a debased version of the Roman Empire’s Divide and Rule, this was never supposed to happen. In fact, blinded by hubris, they never saw it coming. Historically, this does not even qualify as a remix of the Tournament of Shadows; it’s more like Tawdry Empire Left in the Shade, “foaming at the mouth” (copyright Maria Zakharova).

Xi and Putin, with one Sun Tzu move, immobilized Orientalism, Eurocentrism, Exceptionalism and, last but not least, Neo-Colonialism. No wonder the Global South was riveted by what developed in Moscow.

Adding insult to injury, we have China, the world’s largest economy by far when measured by purchasing power parity (PPP), as well as the largest exporter.

And we have Russia, an economy that by PPP is equivalent or even larger than Germany’s – with the added advantages of being the world’s largest energy exporter and not forced to de-industrialize.

Together, in synch, they are focused on creating the necessary conditions to bypass the US dollar.

Cue to one of President Putin’s crucial one-liners:

“We are in favor of using the Chinese yuan for settlements between Russia and the countries of Asia, Africa and Latin America."

A key consequence of this geopolitical and geoeconomic alliance, carefully designed throughout the past few years, is already in play: the emergence of a possible triad in terms of global trade relations and, in many aspects, a Global Trade War.

Eurasia is being led – and largely organized – by the Russia-China partnership.

China will also play a key role across the Global South, but India may also become quite influential, agglutinating what would be a Non-Aligned Movement (NAM) on steroids. And then there is the former “indispensable nation” ruling over the EU vassals and the Anglosphere rounded up in the Five Eyes.

What the Chinese really want

The Hegemon, under its self-concocted “rules-based international order”, essentially never did diplomacy. Divide and Rule, by definition, precludes diplomacy. Now their version of “diplomacy” has degenerated even further into crude insults by an array of US, EU and UK’s intellectually challenged and frankly moronic functionaries.

It’s no wonder that a true gentleman, Foreign Minister Sergey Lavrov, has been forced to admit, “Russia is no longer a partner of the EU… The European Union ‘lost’ Russia. But the Union itself is to blame. After all, EU member states… openly declare that Russia should be dealt a strategic defeat. That is why we consider the EU to be an enemy organization.”

And yet the new Russian foreign policy concept, announced by Putin on March 31st, makes it quite clear: Russia does not consider itself an “enemy of the West” and does not seek isolation.

The problem is there’s virtually no adult to talk to on the other side, rather a bunch of hyenas. That has led Lavrov to once again stress that “symmetrical and asymmetrical” measures may be used against those involved in “hostile” actions against Moscow.

When it comes to Exceptionalistan, that’s self-evident: the US is designated by Moscow as the prime anti-Russia instigator, and the collective West’s overall policy is described as “a new type of Hybrid War.”

Yet what really matters for Moscow are the positives further on down the road: non-stop Eurasia integration; closer ties with “friendly global centers” China and India; increased help to Africa; more strategic cooperation with Latin America and the Caribbean, the lands of Islam – Turkey, Iran, Saudi Arabia, Syria, Egypt – and ASEAN.

And that brings us to something essential that was – predictably – ignored en masse by Western media: the Boao Forum for Asia, which took place nearly simultaneously with the announcement of Russia’s new foreign policy concept.

The Boao Forum, started in early 2001, still in the pre-9/11 era, has been modeled on Davos, but it’s Top China through and through, with the secretariat based in Beijing. Boao is in Hainan province, one of the islands of the Gulf of Tonkin and today a tourist paradise.

One of the key sessions of this year’s forum was on development and security, chaired by former UN Secretary-General Ban Ki-moon, who is currently Boao’s president.

There were quite a few references to Xi’s Global Development Initiative as well as the Global Security Initiative – which by the way was launched at Boao in 2022.

The problem is these two initiatives are directly linked to the UN’s concept of peace and security and the extremely dodgy Agenda 2030 on “sustainable development” – which is not exactly about development and much less “sustainable”: it’s a Davos uber-corporate concoction. The UN for its part is basically a hostage of Washington’s whims. Beijing, for the moment, plays along.

Premier Li Qiang was more specific. Stressing the trademark concept of “community of shared future for mankind” as the basis for peace and development, he linked peaceful coexistence with the “Spirit of Bandung” – in direct continuity with the emergence of NAM in 1955: that should be the “Asian Way” of mutual respect and building consensus – in opposition to “the indiscriminate use of unilateral sanctions and long-reaching jurisdiction”, and the refusal of “a new Cold War”.

And that led Li Qiang to the emphasis on the Chinese drive to deepen the RCEP East Asian trade deal, and also advance the negotiations on the free trade agreement between China and ASEAN. And all that integrated with the new expansion of the Belt and Road Initiative (BRI), in contrast to trade protectionism.

So for the Chinese what matters, intertwined with business, is cultural interactions; inclusivity; mutual trust; and a stern refusal of “clash of civilizations” and ideological confrontation.

As much as Moscow easily subscribes to all of the above – and in fact practices it via diplomatic finesse – Washington is terrified by how compelling is this Chinese narrative for the whole Global South. After all, Exceptionalistan’s only offer in the market of ideas is unilateral domination; Divide an Rule; and “you’re with us or against us”. And in the latter case you will be sanctioned, harassed, bombed and/or regime-changed.

Is it 1848 all over again?

Meanwhile, in vassal territories, a possibility arises of a revival of 1848, when a big revolutionary wave hit all over Europe.

In 1848 these were liberal revolutions; today we have essentially popular anti-liberal (and anti-war) revolutions – from farmers in the Netherlands and Belgium to unreconstructed populists in Italy and Left and Right populists combined in France.

It may be too early to consider this a European Spring. Yet what’s certain in several latitudes is that average European citizens feel increasingly inclined to shed the yoke of Neoliberal Technocracy and its dictatorship of Capital and Surveillance. Not to mention NATO warmongering.

As virtually all European media is technocrat-controlled people won’t see this discussion in the MSM. Yet there’s a feeling in the air this may be heralding a Chinese-style end of a dynasty.

In the Chinese calendar this is how it always goes: their historical-societal clock always runs with periods of between 200 and 400 years per dynasty.

There are indeed intimations that Europe may be witnessing a rebirth.

The period of upheaval will be long and arduous – due to the hordes of anarco-liberals who are such useful idiots for the Western oligarchy – or it could all come to a head in a single day. The target is quite clear: the death of Neoliberal Technocracy.

That’s how the Xi-Putin view could make inroads across the collective West: show that this ersatz “modernity” (which incorporates rabid cancel culture) is essentially void compared to traditional, deeply rooted cultural values – be it Confucianism, Taoism or Eastern Orthodoxy. The Chinese and Russian concepts of civilization-state are much more appealing than they appear.

Well, the (cultural) revolution won’t be televised; but it may work its charms via countless Telegram channels. France, infatuated with rebellion throughout its history, may well be jump to the vanguard – again.

Yet nothing will change if the global financial casino is not subverted. Russia taught the world a lesson: it was preparing itself, in silence, for a long-term Total War. So much so that its calibrated counterpunch turned the Financial War upside down – completely destabilizing the casino. China, meanwhile, is re-balancing, and is on the way to be also prepared for Total War, hybrid and otherwise.

The inestimable Michael Hudson, fresh from his latest book, The Collapse of Antiquity, where he deftly analyzes the role of debt in Greece And Rome, the roots of Western civilization, succinctly explains our current state of play:

"America has pulled a color revolution at the top, in Germany, Holland, England, and France, essentially, where the foreign policy of Europe is not representing their own economic interests (…) 

America simply said, - We are committed to support a war of (what they call) democracy (by which they mean oligarchy, including the Nazism of Ukraine) against autocracy (…) Autocracy is any country strong enough to prevent the emergence of a creditor oligarchy, like China has prevented the creditor oligarchy."

So “creditor oligarchy”, in fact, can be explained as the toxic intersection between globalist wet dreams of total control and militarized Full Spectrum Dominance.

The difference now is that Russia and China are showing to the Global South that what American strategists had in store for them – you’re going to “freeze in the dark” if you deviate from what we say – is no longer applicable.

Most of the Global South is now in open geoeconomic revolt.

Globalist neoliberal totalitarianism of course won’t disappear under a sand storm. At least not yet.

There’s still a maelstrom of toxicity ahead: suspension of constitutional rights; Orwellian propaganda; goon squads; censorship; cancel culture; ideological conformity; irrational curbs of freedom of movement; hatred and even persecution of – Slav – Untermenschen; segregation; criminalization of dissent; book burnings, show trials; fake arrest mandates by the kangaroo ICC; ISIS-style terror.

But the most important vector is that both China and Russia, each exhibiting their own complex particularities – and both dismissed by the West as unassimilable Others – are heavily invested in building workable economic models that are not connected, in several degrees, to the Western financial casino and/or supply chain networks. And that’s what’s driving the Exceptionalists berserk – even more berserk than they already are.

Pepe Escobar is a Eurasia-wide independent geopolitical analyst and author. His latest book is Raging Twenties (Nimble Books, 2021). Follow him on Telegram at @rocknrollgeopolitics

Russia, China record highest trade surplus in 2022, says data

Moscow Edited By: C KrishnasaiUpdated: Mar 27, 2023
.

Russia and China have topped the list of countries that registered the highest trade surplus in 2022, according to a report by the national statistical services of both countries, reports state-owned RT news.

China positioned itself as the top among the major economies as its surplus trade grew by 30 per cent last year to an all-time high of $877.6 billion.

It exported nearly $3.59 trillion worth of goods—a growth in export value of about 7 per cent compared to the last year. While imports surged only by 1.1 per cent to roughly $2.72 trillion.

Russia was placed second as its surplus increased by 1.7 times over the year to a record $333.4 billion. The country’s total exports reached $591.4 billion, up 19.9 per cent from 2021.

According to the data, energy sales constituted the bulk of Russia’s foreign exports, reaching $383.73 billion—a 42.8 per cent year-on-year increase. Imports, however, slid 11.7 per cent to $259.1 billion compared to the previous year.

The report notes the impact of Western sanctions on Russia, and President Vladimir Putin’s efforts to promote self-sufficiency and import substitution measures on dwindling imports.

Saudi Arabia positioned itself third after registering its highest trade surplus since 2012 at $221.3 billion, followed by Norway, Australia and Qatar.

According to the Sputnik news agency, 26 major economies recorded a trade surplus of $2.45 trillion in 2022, compared to 32 countries with $2.1 trillion a year earlier.

The only country that managed to move from a trade deficit to a surplus last year was Nigeria.

Whereas, Germany saw its figure drop 2.4 times to $85.34 billion, slipping to the seventh spot from the second place a year earlier.

The data was presented by the national statistical agencies of the world’s 60 largest economies. Sputnik and RT conducted a study based on this data.

(With inputs from agencies)

What is like to come to a supermarket in China?

The simple reason why the US wants ‘full spectrum dominance’ of the Earth

.

The United States demands that the world bow down to its leadership. A failure to do so is met with the full force of the international military-industrial complex controlled by the US.

Imagine the uproar if China or Russia—or any other country for that matter—said it aimed to exercise military control over land, sea, air, and space to protect its interests and investments.

This amazingly has been the stated United States policy since 1997.

Full spectrum dominance, as the doctrine is known, is the reason the United States behaves the way that it does on the international stage.

The United States demands that the world bow down to its leadership. A failure to do so is met with the full force of the international military-industrial complex controlled by the US government.

Enforcement has included everything from the funding of opposition forces in sovereign nations, the removal or even assassination of political leaders who refuse to toe the line, economic sanctions, and military intervention.

Of course, there are choices to be made by the United States about which approach—or combination of approaches—it might take. There are also decisions to be made about the degree of action within each approach.

But fundamentally the point is that Washington believes it has a right to inflict on the rest of the world its interpretation of democracy—which seems to essentially amount to agreeing with whatever course of action the United States wants to take.

So what is full spectrum dominance really for?

There’s a famous scene in the Oscar-winning film Reds where the great revolutionary journalist and activist John Reed, played by Warren Beatty, was asked at a dinner what the war in Mexico he had just returned from was all about. Before sitting down he said just one word: profits.

The United States is interested in safeguarding the profits of monopoly capital, which carries politicians in Washington around in its pockets like loose change.

The United States also will not tolerate others, such as China, muscling in on potential new markets or swaying people away from its sphere of influence.

China is seen as the biggest threat to the profits of the companies that currently decide pretty much what we will eat and even when we can eat it.

Anyone who expects the Chinese to simply sit back and take the provocations dealt out by the two-faced United States is living in cloud cuckoo land.

China’s State Council Information Office recently issued a report that accused the United States of being the world’s biggest offender of human rights.

In “The Report on Human Rights Violations in the United States in 2022,” the Chinese government said the United States “has sanctions in place against more than 20 countries, including Cuba since 1962, Iran since 1979, Syria since 2011 and Afghanistan in recent years.”

Calling the United States out as the most prolific enforcer of unilateral sanctions in the world, the report said Washington pursues power politics in the international community, frequently uses force, provokes proxy wars, and is a saboteur of world peace.

The report added that under the guise of anti-terrorism activities, the US has killed some 929,000 civilians and displaced some 38 million others in 85 countries.

Between 2017 and 2020, the United States launched 23 “proxy wars” in the Middle East and Asia-Pacific region, the report stated.

The report said that violations of immigrant rights and the refusal of Washington to close the Guantanamo Bay detention camp created “an ugly chapter of unrelenting human rights violations.”

The report slammed the United States for holding up to 780 people at Guantanamo, most of whom were held without trial for years, while subjecting them to cruel and inhumane treatment.

Essentially the United States will go to any lengths to enforce what it sees as its unipolar dominance of the world.

As far as it is concerned, “might is right,” and there are no consequences for its behaviour.

There is no legal redress as the United States is not part of the International Criminal Court—which it lauds for threatening to prosecute Russian President Vladimir Putin, even though Russia is also not a signatory.

It has a veto at the United Nations and much of the world relies on its military shield as well as the mighty dollar with which to trade.

Given the cards stacked against those of us who oppose US full spectrum dominance and the seemingly invincible power of the biggest bully on the planet, the question is: What can we do?

The answer to full spectrum dominance is full spectrum resistance and organising.

It is necessary to gear our efforts away from piecemeal change and toward revolutionary transformation.

This will mean bringing together unions, climate activism, equality organising, and a range of other social and economic movements in a serious change away from liberal posturing.

The guardians of capital are highly organised and put the resources where they need to go to protect and expand what they have. Activists generally just pretend that we are organised and fall out with each other at the first available opportunity.

I am not arrogant enough to believe I have all the answers. But what I do know is that we have to gaze beyond the Global North for what radical transformation might look like.

It really is time to shift the paradigm and bring movements together to work out how to pool our resources for real results—full spectrum resistance and organising.

Chili Elegante

slow cooker chili 25
slow cooker chili 25

Equipment

  • Pressure Cooker

Ingredients

  • 2 tablespoons vegetable oil
  • 1 pound ground beef
  • 2 onions, chopped
  • 1 clove garlic, minced
  • 2 cups celery, cut into 1 inch diagonals
  • 1 green bell pepper, cut into 1/2 inch strips
  • 1 (16 ounce) can tomatoes
  • 2 teaspoons salt
  • Dash cayenne pepper
  • 1 to 1 1/2 tablespoons chili powder
  • 1/2 cup red wine
  • 1 (#300) can kidney beans
  • 1 (4 ounce) can button mushrooms

Instructions

  1. Heat pressure cooker. Add oil and brown meat. Add onion, garlic, celery and green pepper. Sauté lightly. Add tomatoes and liquid drained from beans and mushrooms,
  2. Combine salt, pepper, chili powder and wine. Mix well. Close securely. When it comes to pressure, reduce heat to medium and cook for 8 minutes. Cool cooker at once.
  3. Add beans and mushrooms and reheat to boiling. Keep at low simmer for flavor development for a few minutes, if time permits.
  4. Serve with warm garlic or corn bread.

Nuke Leak in American Nuclear Aircraft carrier

The nuclear leak on the Reagan has been ongoing for at least TWO MONTHS, but the US still cannot handle it until it is exposed. Wait, isn’t that the best ship in America? Who should be held accountable for this matter? Are the child laborers in the shipyard suitable?

 

2023 04 12 19 33
2023 04 12 19 33

Sophie B. Hawkins – Damn I Wish I Was Your Lover

A little trip to New York City…

The Autochrome: A Revolutionary but Brief Moment in Photography History

1 2
1 2

The Autochrome was a groundbreaking photographic process that revolutionized the industry in the early 20th century. Developed by the Société Lumière in 1907, the Autochrome was the first industrial color photography process available to the public. American photographer Edward Steichen even described it as the “most beautiful process that photography has ever given us to translate nature.” This new process quickly gained popularity and created a craze for color photography.

h/t: flashbak

2 2
2 2

However, the Autochrome was not without its drawbacks. The plates were expensive, fragile, and difficult to expose. They also could not be easily reproduced, making it challenging to create multiple copies of the same image. Despite these limitations, the pleasure of capturing images in color was so great that many photographers embraced the Autochrome and developed their own unique styles.

3 2
3 2

The Autochrome’s popularity was relatively brief, lasting only about two decades. By the 1920s and 1930s, the process had fallen out of favor, as newer and more advanced technologies emerged. Nevertheless, the Autochrome remains an important milestone in photographic history, and the pictures created with this process are still admired for their beauty and unique aesthetic.

4 2
4 2

Today, the Autochromes are featured in exhibitions such as the one at Jeu de Paume, where the AN collection, curated since 2006 by Soizic Audouard and Élizabeth Nora, is displayed alongside a fascinating collection of Autochromes from the First World War kept at the Médiathèque du patrimoine et de la photographie. While the Autochrome may no longer be in use, its impact on photography and its role in shaping the art form will not be forgotten.

29
29

28
28

27
27

26
26

25
25

24
24

23
23

22
22

21
21

20
20

19
19

18
18

17 1
17 1

16 1
16 1

15 1
15 1

14 1
14 1

13 1
13 1

12 1
12 1

11 1
11 1

10 1
10 1

9 1
9 1

8 1
8 1

7 2
7 2

6 2
6 2

5 2
5 2

Film Noir: Somewhere In The Night. A Masterpiece by Joseph L. Mankiewicz | Full Movie!

This truly is wonderful. Take the time to watch it.

The film tells the tale of a man called George Taylor, who returns home to the U.S. from fighting in World War II. 

He is suffering from amnesia, having been badly injured by a grenade. He tries to find his old identity, following a trail left behind by the mysterious Mr. Larry Cravat. He ends up stumbling into a murder mystery involving Nazi loot. 

----- 

A recent film critic Dennis Schwartz praised the film, writing, "A dark moody noir tale about a marine who gets blown up by a grenade in the South Pacific during a skirmish in WW-II and survives, only to become an amnesia victim...

Mankiewicz does a nice job of creating the dark noir mood. 

The film is spiced up with comedy, excellent performances, plenty of suspense, plus a tense voice-over by John Ireland, and it manages to keep the pot boiling with a quintessential amnesiac story." 

https://youtu.be/iFP3byHk_fQ

When will the United States issue everyone with 8-track players to listen to when Tiktok is completely banned?

It’s messed up. The State of Montana banned Tiktok, but allows Facebook, Google, and all the rest to exist. Why? Well, it’s obvious, Tiktok is the platform of choice of American citizenry, and it bypasses (by design) all the “back-doors” the NSA has installed in American social media.

I feel bad about this. But, you know, it’s not my problem.

Youse guys voted these clowns in office, and it’s your problem. Not mine. I ran away from the sinking ship as fast as my feet could carry me.

Took them forever…

2023 04 16 17 52
2023 04 16 17 52

Top economist frets that US is getting ‘lonely’

Former US Treasury Secretary Larry Summers has warned that America is losing global influence as other powers form trading blocs
.

Globalization and the American-led financial order are giving way to a more fragmented world economy in which other powers are aligning in trading blocs that diminish Washington’s global influence, former US Treasury Secretary Larry Summers has claimed.

“There’s a growing acceptance of fragmentation, and – maybe even more troubling – I think there’s a growing sense that ours may not be the best fragment to be associated with,” Summers said on Friday in a Bloomberg News interview. He made his comments following a week of World Bank and International Monetary Fund meetings in which finance chiefs reportedly discussed efforts to “reshape supply chains away from China and other strategic competitors.”

Summers, a former World Bank chief economist who was an adviser to President Barack Obama and served as treasury secretary under Bill Clinton, suggested that US tactics have alienated some governments. “Somebody from a developing country said to me, ‘What we get from China is an airport. What we get from the United States is a lecture. We like your values better than we like theirs, but we like airports more than we like lectures.’”

The emergence of competing economic blocs has accelerated amid the Russia-Ukraine conflict and the US-led sanctions campaign against Moscow. As financial officials of the US and its allies gathered in Washington, Brazilian President Lula da Silva was making a state visit to China and calling for developing nations to move away from the US dollar. China brokered last month’s normalization of relations between Saudi Arabia and Iran, while Russia, the Saudis and OPEC announced a cut to their oil-production quotas, portending more inflation struggles in the West.

“We are on the right side of history – with our commitment to democracy, with our resistance to aggression in Russia,” Summers said. “But it’s looking a bit lonely on the right side of history, as those who seem much less on the right side of history are increasingly banding together in a whole range of structures.”

Policy makers face a bigger challenge than the normal World Bank-IMF issues like debt relief and promoting sustainable development, Summers said. What’s at stake, he added, is “what the broad structure of the system is going to be.”

The current system – born out of the 1944 Bretton Woods Agreement that pegged other currencies to the US dollar, which was then linked to gold – is under threat, Summers said. “If the Bretton Woods system is not delivering strongly around the world, there are going to be serious challenges and proposed alternatives.”

Thai Kai Pad Prik Haeng
(Chicken with Chile and Nuts)

I recently had this at a Thai restaurant and just had to go out and find the recipe!

2023 04 16 17 13
2023 04 16 17 13

Yield: 1 serving

Ingredients

  • 1 cup chicken meat, finely sliced
  • 1/2 cup tua fak yao (long beans), cut into 1-inch pieces
  • 1/2 cup celery, sliced on a bias
  • 1/4 cup prik haeng (dried red chiles), crumbled
  • 1/4 cup cashews
  • 1/4 cup mam sup (stock)
  • 1 tablespoon kratiem (garlic), thinly sliced
  • 1 tablespoon nam pla (fish sauce)
  • 1 tablespoon si iew khao (light soy sauce)
  • 1 tablespoon si iew dhum (dark soy sauce)
  • 1/4 teaspoon nam tan paep (palm sugar – can substitute plain sugar)

Instructions

  1. Place a wok or skillet on medium heat and carefully toast the uncooked cashews until they begin to turn golden, and are just cooked through (test by biting one).
  2. In a mortar and pestle or food processor briefly pound the cashews to produce a broken consistency.
  3. Heat the wok or skillet over high heat, and add a little peanut oil, and when it is hot, sauté the garlic until it is golden brown and slightly crispy, then remove it and drain on a kitchen towel.
  4. Sauté the chiles briefly, then add the chicken and continue stirring until it begins to change color.
  5. Working quickly add the remaining ingredients in turn, stirring to mix, adding the soy sauces and fish sauce, then finally the stock after the dry ingredients, as this will cool the mixture to allow the cooking to finish.
  6. Return the garlic to the pan, and cover, leaving for about a minute to complete cooking. Check that the meat is cooked, and taste for seasoning balance.
  7. Serve with steamed/fried rice, and the usual table condiments.

Notes

One of the cookbooks I crosschecked this recipe with described it as “chile hot,” which seems a fair description, though their version was a little milder than this one. As always remember that you can reduce the chile if you wish. This dish offers an excellent example of texture contrast with the crunchy nuts and the softer meat.

CIA Larry Johnson: “What’s Coming IS WORSE THAN A WORLD WAR, THIS IS SERIOUS”

https://youtu.be/jEEUZcR7FNY

Putin meets Chinese defense minister on ties

Source: Xinhua

Editor: huaxia

2023-04-17 11:28:31

MOSCOW, April 16 (Xinhua) — Russian President Vladimir Putin met with Chinese State Councilor and Minister of National Defense Li Shangfu here on Sunday.

Asking Li to convey his sincere greetings and best wishes to Chinese President Xi Jinping, Putin recalled Xi’s recent fruitful Russia visit, during which they charted the course for the development of Russia-China relations in the new era, and agreed to further strengthen the strategic coordination between the two countries and deepen practical cooperation in such fields as economy, culture and education, among others.

Military cooperation plays an important role in Russia-China relations, he said, voicing hope that the two militaries will strengthen cooperation in joint training, professional exchanges and other fields, and that the strategic mutual trust between the two countries will continue to be deepened.

Conveying Xi’s cordial greetings and best wishes to Putin, Li said that the two heads of state steer the development of China-Russia relations and the China-Russia comprehensive strategic partnership of coordination for a new era has been continuously deepened. The military mutual trust between the two countries has been increasingly consolidated with substantial progress in cooperation.

China is willing to work with Russia to fully implement the consensus reached by the two heads of state, further strengthen the strategic communication between the two militaries and bolster multilateral coordination and cooperation so as to make new contributions to safeguarding global and regional security and stability, said the Chinese defense minister.

This Sexy Piece Of Clothing Is Becoming Increasingly Popular In Japan

1 18
1 18

This knitted sleeveless sweater with a large cut-back is all the rage in Japan right now, and it’s not hard to see why.

6 15
6 15

5 15
5 15

4 15
4 15

3 15
3 15

2 15
2 15

When the barbarians openly acknowledge the Boomerang costs of their looting policy , that mean the situation is very bad.

Economic sanctions imposed on Russia and other countries by the United States put the dollar's dominance at risk as targeted nations seek out an alternative, Treasury Secretary Janet Yellen said on Sunday (Apr 16).

"There is a risk when we use financial sanctions that are linked to the role of the dollar that over time it could undermine the hegemony of the dollar," Yellen said on CNN.

Article HERE

All Roads Lead to Beijing

Pepe Escobar
April 15, 2023

.

This is the tale of two pilgrims following the road that really matters in the young 21st century.

This is the tale of two pilgrims following the road that really matters in the young 21st century; one coming from NATOstan and another one from BRICS+.

Let’s start with Le Petit Roi, Emmanuel Macron. Picture him with a plastic grin in his face strolling alongside Xi Jinping in Guangzhou. Following the – long and gentle – sound of classic “High Mountain and Flowing Water”, they enter the Baiyun Hall  to listen to it played by the 1000-year-old Guqin (a beautiful instrument). They taste the fragrance of 1000-year-old tea – and muse on the rise and fall of great powers in the new millennium.

And what does Xi tell Le Petit Roi?

He explains that when you hear this eternal music played by this eternal instrument, you expect to be in the company of a bosom friend; you are in synch as much as the high mountain and the flowing water. That’s the deeper meaning of the ancient tale of musicians Yu Boya and Zhong Ziqi, 25 centuries ago in the Kingdom of Chu: bosom friendship.

Only bosom friends can understand the music.

And with that, as Chinese scholars explained, Xi brought up the concept of Zhiyin.

After Zhong Ziqi died, Yu Boya broke his Guqin: he thought that no one else could understand his music. Their story imprinted the term “Zhiyin”: someone who understands music, with the added meaning of close friends that can completely understand each other.

All bets are off on whether a narcissist puppet like Macron would ever be cultured enough to understand Xi’s subtle, sophisticated message: those that get it are true soul mates.

Moreover, Macron was not dispatched to Beijing and Guangzhou by his masters to do soul mating, but to try to bend Xi towards NATO on Russia/Ukraine.

His body language is a dead giveaway – complete with crossing his arms demonstrating boredom. He may at first have been impervious to the notion that true friendship requires mutual understanding and appreciation.

But then something extraordinary happened.

Xi’s message may have touched a key spot in the tortured inner depths of the narcissist Petit Roi. What if, in international relations, mutual understanding and appreciation is the key for nations to find common ground and work together towards common goals?

What a revolutionary notion; not exactly the Hegemon-imposed “rules-based international order”.

Are you a true Sovereign?

By inviting Le Petit Roi to China, and personally spending no less than 6 hours with his guest, Xi enacted millenniums-old diplomacy at its best. He reminded his guest of the turbulent history between France and the Anglo-Saxon powers; and he talked about sovereignty.

The key subtle sub-plot: “Europe” better think hard about being subservient to the Hegemon and minimize as best as possible the massive economic turbulence when Confrontation Day with the U.S. arrives.

Implied is Beijing’s priority of breaking up growing U.S. attempts to encircle China.

So Xi treated France as a potential true Sovereign even under the EU; or somewhat splitting from EU dogma.

Of course another key message was implied under this Confucian invitation to epistemological growth. For those not willing to be friendly to China because of complex geopolitical layers, it will never be too late for Beijing to show the less “friendly” side of the Chinese state – if the situation arises.

Translation: if the West goes for Total Machiavelli, China will apply Total Sun Tzu. Even if Beijing would rather go for international relations under the aegis of Beauty, Goodness and Truth rather than “you’re with us or against us”, war of terror and sanctions dementia.

So did Petit Roi have a “road to Damascus” moment?

The verdict is open. He literally freaked the Hegemon out with his outburst that Europe must resist pressure to become “America’s followers”. That’s pretty much in synch with the 51 points agreed upon by Beijing and Paris, with emphasis on “legitimate security concerns of all parties”.

The Americans got even more spooked when Macron asserted that Europe should become an independent “third superpower”. Le Petit Roi even advanced some baby steps in favor of de-dollarization (certainly under supervision of his financial masters) and not in favor of Forever Wars.

So the Americans, in panic, had to send German 5th column Annalena “360 Degrees” Bearbock in a hurry to Beijing to try to undo Le Petit Roi’s outbursts – and reaffirm the Washington Dictates Brussels official script.

No one, anywhere, paid the slightest attention.

That came on top of the most glaring subplot of the whole tale: how European Commission dominatrix Ursula von der Leyen was treated by Beijing as worse than irrelevant.

A Chinese scholar scathingly described her as “just the mouthpiece of a canine organization with no teeth. Even her bark sounds like whimpering from a terminally ill dog that is about to be euthanized.”

The “terminally ill dog” had to go through passport control and customs (“Anything to declare”?) No diplomatic status. No official invitation. No sovereignty. And no, you cannot take the special high-speed train alongside Macron to go to Guangzhou. So here’s another message – this one quite graphic: Don’t mess with the 3,000-old Middle Kingdom ethos.

Lula and “Zhiyin”

Top Chinese scholars were absolutely riveted by Xi applying diplomatic stratagems that had been so useful 25 centuries ago, now re-enacted on the road-to-multipolarity global stage.

Some are calling for a new “Strategies for the Warring States” rewritten for the 21st century. The massive round table set up by Chinese protocol with the “jungle” in the middle and Macron and von der Leyen positioned as if for a job interview was a monster hit on Weibo and We Chat. That led to endless discussions on how China is now finally able to “drive a wedge among the barbarians”.

Compared to all this hoopla, the tale of Brazilian President Lula coming to Shanghai and Beijing reads like a graphic illustration of Zhiyin.

Lula went for the jugular right from the start, during the inauguration of former President Dilma Rousseff  as the new president of the NDB, the BRICS bank.

In simple, direct language that anyone from Sahara to Siberia can understand, Lula said, “Every night I ask myself why should every country need to be tied to the dollar for trade? Why can’t we trade in our own currencies? And why don’t we have the commitment to innovate?”

Directly implied is the fact that the expanding BRICS+ should design and promote its own currency (the long, complex process has already started), on top of allowing trade in national currencies.

Lula’s powerful message was addressed to the whole Global South. A Brazilian example is China’s ICBC setting up a clearing house in Brazil allowing direct yuan-real exchange.

It’s no wonder that the CIA official rag, the Washington Post, foaming at the mouth, immediately issued the Deep State verdict: Lula is not obeying the “rules-based international order” diktat.

That means the Deep State will come after Lula and his government – all over again, and will go no holds barred to destabilize it. Because what Lula said is exactly what Saddam Hussein and Colonel Gadaffi said – and tried to implement – in the past.

So Lula will need all the help he can get. Enter, once again, “Zhiyin”.

This is how Xi officially welcomed Lula in Beijing. Very few people around the world, non-Chinese, understand that when someone of Xi’s stature tells you, right in front of you, that you are “an old friend of China”, this is it.

All doors are open. They trust you, embrace you, protect you, listen to you, help you in times of need and will always do their best to keep the friendship close to their hearts.

And that ends, for now, our tale of “bosom friends” taking the road to Beijing.

The BRICS friend certainly understood all there is to know. As for the NATOstan Little King dreaming of becoming a true sovereign leader, the moment of truth is knocking at his door.

Movies dance scene mashup 2022

It’s already worth zero.

main qimg 8ba3583cf747e09cdc5844056d261e74
main qimg 8ba3583cf747e09cdc5844056d261e74

The only reason why it is still being used is that those nations that wanted to stop using it ended up being assaulted by the American Military.

Remember Gaddiffi?

Ah. The rest of the world “shut their traps” and continued to endure. No one wanted to be gifted with “American Democratic wars”, and Lord help the people if a few “freedom bombs” and “American Exceptionalism” color-revolutionized the surrounding cities. Those stealth democracy bombers, and elite democracy warriors often blow-up first, and ask questions later.

Maybe that’s why we never seem to get answers…

To quote Donald Trump. “Sad. So very sad”.

Then came Russia and China.

They said “no more”.

Russia didn’t have much choice in the matter. American “freedom” came a knocking in Ukraine, and when Russia demanded that the USA obey their treaties, they were carpet-bombed with “freedom” Sanctions. One after the other. Democracy stealing this, Freedom sanctioning that, and let’s not forget the delicious “I make the rules and you obey them orders” out of Washington DC.

The rest of the world… jumped up holding their butts. Yikes! they thought. I don’t want any of those democracy-battle carriers being rammed up my backyard. Oh no!

And this has created the massive tidal wave of Geo-political changes that we are all now watching. Everyone is running. Heck.. sprinting as fast as they can away, far, far away from the smunching monster called “Dollar exceptionalism”.

I mean, if Beelzebub ever was a banker, he would make the USD exactly like what the United States uses. It is that evil incarnate.

So now, most of the rest of the world joined with the Asian block. And they are all trading among themselves using a “basket of currencies”. You know; stuff you can touch and feel.

  • Gold
  • Silver
  • Lithium
  • Manufactured goods
  • Solar panels

You know, stuff that everyone can feel and use.

Not the “slight of hand” and “emperor wears no clothes” IOU-dollar.

main qimg 6bc0c9d31a69d2f5052c1a67ef765a28
main qimg 6bc0c9d31a69d2f5052c1a67ef765a28

This means that the US Congressional spending spree will generate MUCH LARGER inflation increases than what we have seen so far.

Yikes!

Oh, to be certain, the OIU-USD will still be used. Just not as much.

Like really, REALLY not so much.

Perhaps to buy a few freedom nuclear submarines. But pretty much useless if you wanted a Starbucks Latte.

What is different is the International cause-and-effect mechanism will no longer be mitigated by dilution.

This is going to seem to the end-user as organic change.

But it is not, really. It will not be sudden, but it will be constant, and terrible. Over time, over months, and years, less and less will become the norm for Americans.

Those that wanna-be-Americans, like Australians, and Germans, and South Koreans, and Japanese will also feel the back-door of American influence. Don’t you know. Yikes.

Like I said. Slowly, and gradually. But never ending…

And those nations that hold US Treasure Bills (Like China) are taking steps to mitigate a backlash with the American house of cards comes a crashing down…

Yi Says China Largely Ended Currency Intervention in Market Tilt

People’s Bank of China Governor Yi Gang said that Beijing has largely ended regular foreign-exchange intervention, and pursues a policy aimed at enhancing the ease of use of the yuan for Chinese households.

So China is going to allow the “USA House of Cards” to come a crashing down.

No “brakes”. No “fail safes”.

Those bridges are BURNED.

How bad will it get?

As an American, well I just cannot picture it. But as a history buff, I can see some serious parallels with other nations that fucked up financially. Not as bad as the United States today, but they can serve as a “tell tail”.

It will get to be really bad. REALLY bad.

2023 04 16 17 50
2023 04 16 17 50

Wrestle Your Mailman And Other Small Ways To Feel Happy

1 62
1 62

Life is pain and everything is awful. That’s why you need this book of SMALL WAYS TO FEEL HAPPY.

These scientifically proven methods are designed to boost your mood and make you a happier person in mere seconds! Under the name Obvious Plant, Jeff Wysaski is widely recognized as one of the funniest people on the Internet. You’ve seen his work trending on Facebook, Buzzfeed and The Huffington Post. Now, his comedy is available in book form.

More info: Jeff Wysaski, Shop

10 42
10 42

9 45
9 45

8 47
8 47

7 49
7 49

6 53
6 53

4 58
4 58

5 5re7
5 5re7

3 59
3 59

2 59
2 59

The 90s: Tonight Tonight – A Pop Culture Tribute

How China Is Breaking The Colonial Effects Of Western Lending

In their latest Geo Economical Report economists Radhika Desai and Michael Hudson discuss Russia’s move away from the ‘West’.

The points on Russia are certainly interesting. But they also remark on the tussle between China and ‘multilateral’ international lenders about debt forgiveness. This is a theme that played out in Washington DC last week during a high-level sovereign debt roundtable on the sidelines of the World Bank and International Monetary Fund Spring meetings in Washington.

In their talk Radhika Desai explains the basic problem with international debt:

RADHIKA DESAI: Well I think that the whole issue of debt, world debt in particular, has become a really important issue at this point, and it’s become an important issue because precisely now China is such a large part of the scene.I remember going back to the earliest days of the pandemic when Third World debt had also figured as a major issue. Already at that point, the key reason why the debt issues were not going to be settled is because the West could not come to terms with the fact that it had to deal with China, and that it had to deal equitably with China.

Because what the West wants to do is precisely to get China to refinance the debt owed to it so that Third World debt repayments go to private lenders.

And China is basically questioning the terms of all of this, because for example China is saying, “Why should the IMF and the World Bank have priority? Why should its debt not be canceled?”

And the West is saying, “But this has always been so.”

And China is saying, “Well, if you don’t want to reform the IMF and the World Bank, then we are not going to accept their priority. If we have to take a haircut, they will also have to take a haircut.

They simply do not accept that these institutions, the Bretton Woods institutions, have any sort of priority.

And this is part of the undermining, as you were saying. This is one of the biggest changes since the First World War. And part of these changes is that the world made at the end of the Second World War by the imperialist powers, who are still very powerful, is now increasingly disappearing.

On Wednesday a Reuters report claimed that China was changing its stand on the issue:

WASHINGTON (Reuters) – China is expected to drop its demand for multilateral development banks to share losses alongside other creditors in sovereign debt restructurings for poor nations, removing a major roadblock to debt relief, a source familiar with the plans said.The development is expected at a high-level sovereign debt roundtable on Wednesday on the sidelines of the World Bank and International Monetary Fund Spring meetings in Washington.

Beijing would no longer insist multilateral lenders take “haircuts” on loans to poor countries, the source said on Tuesday, while the IMF and World Bank agreed to ensure their debt sustainability analyses of countries undergoing debt restructurings would be made available to Chinese authorities earlier in the process.

The rumor that China would change its principle position turned out to be wrong. Reuters being abused by anonymous sources to make politics is not unusual. But in this case the piece came with a picture of U.S. Treasury Secretary Janet Yellen so its likely that she was the “source familiar with the plans” which pushed this false rumor.

As the New York Times reported yesterday in way too many misleading words the issue was not resolved:

WASHINGTON — China, under growing pressure from top international policymakers, appeared to indicate this week that it is ready to make concessions that would unlock a global effort to restructure hundreds of billions of dollars of debt owed by poor countries.China has lent more than $500 billion to developing countries through its lending program, making it one of the world’s largest creditors.

The United States, along with other Western nations, has been pressing China to allow some of those countries to restructure their debt and reduce the amount that they owe. But for more than two years, China has insisted that other creditors and multilateral lenders absorb financial losses as part of any restructuring, bogging down a critical loan relief process and threatening to push millions of people in developing countries deeper into poverty.

Ghana appealed to the Group of 20 nations this year for debt relief through a fledgling program known as the Common Framework after securing preliminary approval for a $3 billion loan from the I.M.F. That money is contingent on Ghana’s receiving assurances that it can restructure the approximately $30 billion that it owes to foreign lenders. Officials from Ghana have been meeting with their Chinese counterparts about restructuring the $2 billion that it owes China.

Wang Wenbin, a spokesman for the Chinese Foreign Ministry, said on Friday that China had put forward a three-point proposal that included calling for the I.M.F. to more quickly share its debt sustainability assessments for countries that need relief, and for creditors to detail how they will carry out the restructurings on “comparable terms.”

The three point proposal is not a change but simply a repeat of China’s long standing position:

Spokesperson发言人办公室 @MFA_China – 15:09 UTC · Apr 14, 2023To effectively resolve the debt issue, the key lies in joint participation of multilateral, bilateral and commercial creditors under the principles of joint actions and fair burden-sharing.

The case of Ghana shows that the IMF, over which the U.S. has a veto, will only lend fresh money if bilateral lenders like China, but not the ‘multilateral’ IMF or World Bank, nor private ‘western’ lenders, take haircuts.

A long People’s Dispatch piece about the IMF and Ghana’s debt crisis describes how the debt spiral is hitting the poor but resource rich countries again and again. The debt is a continuation of colonialism and China has little to do with that:

Based on the World Bank’s International Debt Statistics, 64% of Ghana’s scheduled foreign currency external debt service, which includes principal and interest amounts, between 2023 and 2029 is to private lenders. 20% of the debt is to multilateral institutions and 6% to other governments. Notably, while mainstream reporting on Ghana’s debt scenario tends to emphasize China as the country’s “biggest bilateral creditor,” only 10% of Accra’s external debt service is owed to Beijing.Approximately $13 billion of Ghana’s external debt is held in the form of Eurobonds by major asset management corporations including BlackRock, Abrdn, and Amundi (UK) Limited. “Ghana’s lenders, particularly private lenders, lent at high-interest rates because of the supposed risk of lending to Ghana,” the open letter read.

“The interest rate on Ghana’s Eurobonds is between 7% and 11%. That risk has materialized… Given that they lent seeking high returns, it is only right that following these economic shocks, private lenders willingly accept losses and swiftly agree to significant debt cancellation for Ghana.”

In 2020 the G20 promised to implement a Common Framework for debt relief:

[T]he Common Framework had the opportunity to provide a broader debt cancellation, involving private creditors alongside bilateral lenders in the process to ensure that countries’ debts became sustainable.“But very little was done to outline the details of how that would work. While the G20 stated that government and private lenders would be included in the scheme, however, multilateral lenders were excluded,” [Tim Jones, the head of policy at Debt Justice,] said.

“They did not give any new mechanisms to countries to negotiate a reduction in their debt owed to private creditors, leaving it to the debtor governments to say ‘If you want debt cancellation from governments, you have to negotiate the same deal from private creditors.’ But they did not offer any tools to help indebted countries to do that.”

There should of course be a mechanism by which countries can restructure their debt and in which all lenders make similar concessions. However the IMF and others offer no such thing. They are only willing to give more money when a country makes political concessions over IMF prescribed austerity measures and uses the fresh money to pay private ‘western’ lenders.

China is now determined to end that scheme. China insists that the IMF, the World Bank and private lenders take a similar share of debt losses as it is willing to take:

China is willing to implement the common framework for debt disposal with other countries, China’s central bank governor Yi Gang said during the World Bank and International Monetary Fund (IMF) spring meetings, according to a statement released by the People’s Bank of China on Friday.

Echoing Yi’s remark, Chinese Foreign Ministry spokesperson Wang Wenbin said at a press conference on Friday that China attaches great importance to the sovereign debt issue of developing countries and called for multilateral creditors, bilateral creditors and commercial creditors to participate in debt handling in accordance with joint action and fair manner.

“China has contributed more than anyone else to implementing the G20 Debt Service Suspension Initiative (DSSI). Besides, we have played a constructive part in the treatment of individual cases under the G20 Common Framework,” Wang said.

In contrast, Western creditors claim they need to maintain their credit rating and have thus refused to be part of the debt relief and service suspension effort, Wang said, noting that unprecedented massive interest rate hikes have led to tightening of financial conditions worldwide, making the severe debt problems of certain countries even worse.

China continues to press for its new scheme of international debt relief under equal terms for all lender. I am not ware of any pressure point the ‘West’ could use to change that position.

The IMF and its abusive role in global debt was likely a subject matter in the hours long talks Presidents Xi and Putin had in Moscow last month. Lets remember what was said at the end of that visit:

“Right now there are changes – the likes of which we haven’t seen for 100 years – and we are the ones driving these changes together,” Xi told Putin as he stood at the door of the Kremlin to bid him farewell.The Russian president responded: “I agree.”

As Radhika Desai, quoted above, said about China’s debt relief standpoint:

This is one of the biggest changes since the First World War.

Michael Hudson summarizes the consequences:

Well obviously, the one thing the characterizes the new global World Majority order is a mixed economy where other countries will do what China has done. They will make money and land, meaning housing, and employment into public rights and public utilities instead of commodifying them and privatizing them and financializing them as has occurred in the West.So we’re really talking about, in order to move away from the dollar-NATO-sphere, we’re not really talking about just one national currency or another.

It’s not going to be a question of the Chinese yen and the Russian ruble and other currencies replacing the dollar. It’s a whole different economic system.

That’s the one thing that is not permitted in the mainstream media to discuss. They’re still on the “There Is No Alternative” Margaret Thatcher slogan, instead of talking about: What is the alternative going to be?

Because obviously things cannot last the way they are now.

Posted by b on April 15, 2023 at 17:30 UTC | Permalink

Thai Chicken Bundles

2023 04 16 17 15
2023 04 16 17 15

Yield: 4 servings

Ingredients

  • 8 Rhodes Texas Rolls or 12 Dinner Rolls, thawed
  • 2 tablespoons sour cream
  • 1 tablespoon creamy peanut butter
  • 1 1/2 teaspoons curry powder
  • 1 teaspoon ginger
  • 1 teaspoon garlic salt
  • 1 teaspoon soy sauce
  • 1 cup cooked chicken, chopped
  • 1 1/2 cups grated carrots
  • 1 cup grated hot pepper Monterey jack cheese
  • Mango chutney, if desired

Instructions

  1. Combine 2 Texas rolls or 3 dinner rolls together and flatten into a 6 to 7 inch square. Repeat with remaining rolls.
  2. In a large bowl, combine sour cream, peanut butter, curry powder, ginger, garlic salt and soy sauce. Mix well.
  3. Add chicken, carrots and cheese and toss until well combined.
  4. Divide chicken mixture evenly between dough squares. Bring 4 corners of each dough square up over filling, to meet in the middle, overlapping slightly. Secure with a toothpick.
  5. Bake at 350 degrees F for 20 to 25 minutes or until golden brown.
  6. Serve with mango chutney, if desired.

The way things have been going, I believe so.

The actions and words from the US seems toxic and desperate. From stealing oil from Syria to generating conflicts whether ’hot’ or ‘cold’ with Ukraine and China, it is obvious they have chosen confrontation instead of diplomacy. My take on the Nordstream sabotage bears signs of US bullying footmarks. (With the EU response in dragging their feet on the issue only indicates that their leaders have been forewarned not to tread on it.)

Their indiscriminate issuance of their currency foretell their financial shortfall in their attempt to maintain that do-or-die hegemonic privileges that they’ve enjoyed at the expense of others, EU and allies included.

Now it looks like they’ve trampled on more grounds than they can possibly handle, they turn to allies to do their dirty work by proxy.

Their hegemony is rotting so badly that one can’t avoid but ‘smells’ it daily.

Is there a worldwide run on the Bank of the United States of America?

.
In talking this week with a friend about the United States seemingly imploding from within across multiple sectors, my friend stressed:

“It’s not just from within. There is a run on the United States from certain nations and business interests around the world. 

Just like there was a run on banks after the collapse of Silicon Valley Bank, many nations are either thinking about — or actually proceeding with — transferring at least a portion of their allegiance, assets and commitments from the ‘Bank of the U.S.’ to the ‘Bank of China’ or elsewhere.”

This was not just some person sitting on a porch casually talking about current events while whittling a stick waiting for his Social Security or pension check to hit the mailbox.

This was a former high-level U.S. government official, now a CEO, someone who sits on the boards of directors for multiple companies. He has massive real-world and business experience and believes the United State may be on the verge of collapse.

He is far from the only one to think that.

Some fear the Biden administration is losing control of our southern border; losing control of our decaying, crime-infested big cities; creating a recession; vilifying and needlessly destroying the fossil fuel industry while pushing suspect and subsidized “green” energy alternatives; leaving tens of billions of dollars in military equipment in Afghanistan while withdrawing our troops and abandoning an ally; stepping closer to a trip-wire in the Ukraine war, which could trigger a nuclear strike; turning on Israel over ideological issues as Turkey and others call on Arab and Muslim nations to unite and crush the Jewish State; weakening our military with one “woke” edict after another; focusing on “trans” issues at the expense of failing transportation infrastructure; cheerleading the social justice warrior takeover of our colleges and universities; and weakening the dollar (the currency much of the world depends upon).

Is it any wonder, then, that nations such as France, India, Saudi Arabia, Japan, Mexico, Brazil and others are suddenly hedging their bets by looking beyond the United States of America for partnerships and stability?

On top of those problems, our allies and certain foreign corporations now have the legitimate concern of wondering what between them and the United States will be kept private and secure, in light of the massive and reportedly deliberate leak of classified Pentagon documents.

Who is an ally or foreign business partner to trust? More importantly, in the eyes of some of these nations and foreign business interests, who will prove to be the more stable and dependable partner in the coming years and decades?

In an example of a world leader hedging his bets, French President Emmanuel Macron recently traveled to Beijing to meet with China’s President Xi Jinping. Macron did not travel alone. He brought along Ursula von der Leyen, the president of the European Commission. Some saw this as Macron advertising that much of the European Union was with him in spirit as he met with Xi.

On his way back to France after the meeting, Macron emphasized that Europeans should not be “just America’s followers” and “get caught up in crises that are not ours.” Even though the French leader seemed to be spelling it out in 100-font, one could read between the lines and assume he meant not getting dragged too deeply into the Ukraine war or defending Taiwan, should China invade. The last part was music to the ears of China’s strongman, Xi.

Next, we have Mexican President Andrés Manuel López Obrador openly criticizing — and challenging — the leadership of the United States for months, by calling the U.S. an “oligarchy, not a real democracy.” He threatened to sabotage calls for U.S. military action against Mexican cartels, and has made it clear that he is not afraid to pick a fight with what he may see as a United States in decline.

Or, last month’s news that Saudi Arabia was inching closer to joining a China-led Asian security and economic bloc, after having been granted the status of a dialogue partner in the Shanghai Cooperation Organization (SCO). Aside from China and Russia, the bloc also includes India, Pakistan and some ex-Soviet states. It’s an organization  one might view as not always having the best interests of the United States in mind.

As Ali Shihabi, a Saudi analyst and writer, made clear during an interview: “The traditional monogamous relationship with the US is now over. And we have gone into a more open relationship, strong with the U.S. but equally strong with China, India, [the] UK, France and others.”

Finally, we have Brazil — China’s most important trading partner in South America — announcing a new agreement to conduct bilateral commerce in their respective currencies, rather than the U.S. dollar. The move not only shocked many in the U.S. government but opened the eyes of others around the world to the possibility of decoupling from the dollar.

Some believe these things are happening because a growing number of political and business leaders around the world now lack confidence in the United States, believing our country truly is in disarray, decline and increasingly polarized and politicized. Will such concerns accelerate a “run on the Bank of the United States” with assets being transferred to China or even Russia?

Only time will tell. But as with the collapse of Silicon Valley Bank, the signs are out there, should the analysts care to pay attention.

By the time the American public discovers what actually has happened, it will be far too late, and rage will ensue

"This is outright disgusting. The USA is slipping into a medieval autocracy."

-When discussing the anti-VPN, anti-Tiktok bill.

This is the BILL here.

The following is something that I wrote for Quora…

Going to war is a FAILURE of diplomacy.

China, which has endless lists of successes, is not prone to failure. From poverty elimination to coronavirus, from high speed trains, to technological advancements. China runs things with intelligence, and fully funds efforts lead by merit. For China to fail at something; anything would be truly a rare event.

The United States, at least in the last fifty years, has a near endless list of failures. It seems that there is nothing it can get right. From a simple pedestrian bridge, to building a high speed rail. From solving homelessness, to simple maintenance of roads and bridges, the United States is flailing and failing at everything.

Now, let’s talk “WAR”.

China who is able to succeed in anything it puts it’s mind to, and the United States that fails at everything. The two nation go head to head.

What is the probable outcome?

  • The United States will start a war.
    • It will under-estimate China.
    • It will make foolish decisions.
    • It will under supply.
    • It will make political decisions instead of practical ones.
    • It will count on allies who are unreliable.
    • It’s media will spew out disinformation.
    • It will bear tremendous losses.

 

  • China will finish the war.
    • Nothing will be reported.
    • It’s all robotic, calculated, precise and detailed.
    • It will be one surprise after the other.
    • China will dictate the terms of American surrender.

And that is that.

And everyone knows that this is what will happen. You can put lipstick on a pig, but after all, a pig is still just a pig.

A Tale of two leaders–and of two regions

What a study in contrast! At exactly the time when Ma Ying-jeou, the former Taiwan leader, made a nostalgic trip to the mainland, returning to his roots for the first time and welcomed home like a long-lost son, Tsai Ing-wen, his successor, was offering herself as a pawn in America’s geopolitical game, kowtowing to China-bashing US politicians. Ma’s emotional visit was shared on social media and melted millions of mainland hearts. In a single day, it exploded with 120 million likes. With an uncanny sense of timing, Ma shows Taiwan how to repair the ruptured relationship. Tsai, by contrast, was cursed as a traitor by sputtering-mad Chinese patriots in America. One offers a common future, the other pumps boatloads of cash into the accounts of American merchants of death for deadly weapons. One promises the sunny upland of peace, the other the gates of hell.

Despite her LSE education, Tsai can’t think straight. How can she not realize that Beijing will never accept Taiwan as a US surrogate? It’s like having a foreign master in your household. During Ma’s rule, Taipei and Beijing enjoyed a long honeymoon, with the 1992 Consensus anchoring cross-strait relations, and both practically breathing through the same nostrils. Now all you hear is saber-rattling and the drumbeat of war. By prostituting herself to America, Tsai is hastening Taiwan towards doomsday. How does she sleep at night?

Beijing had pinned its hopes on Taiwan’s eventual and peaceful return to the motherland on the Hong Kong and Macau model. It works like a charm in Macau. But Hong Kong is another story.

Hong Kong is vulnerable—unlike Macau, it is only half-decolonized at best and crawling with sinister foreign agitators.

Hong Kong is historically an economic city, and its people are economic animals. Pre-Handover, they were indifferent to politics. Suddenly, they were handed more freedom than they could handle. Under the British, street demonstrations were banned. But after they left, Hong Kong quickly descended into the “protest capital” of the world—the curse of excess freedom.

A high degree of autonomy opened the floodgates to freedom, quickly weaponized by anti-China activists. What Hong Kong needed was not more freedom but better local leadership, not the likes of the disaster-prone Carrie Lam.

Neither New York nor Washington, nor London enjoys this much freedom. None allows rioters to occupy its streets for 10 months. Yet, the unrest was portrayed to the world as a fight for freedom. Hong Kong was on the brink.

Simple-minded activists never told us they were fighting for a worthless objective: Under the Basic Law, candidates for the top job must first win Beijing’s blessing. Given the poor slate of candidates, a universal vote is meaningless. The city is awash with smart money-makers, but wise leaders are a rarity.

For this useless one-person-one vote over lousy leaders, they paralyzed the city—a high price exacted for a hollow ideal.

Organizers of lawless protests included a tenured professor of law. He and his cohorts got carried away, playing superhero to American cheerleaders, making pilgrimages to Washington for photo-ops with Nancy Pelosi.

In an ugly show remote-controlled by America, rioters reached a point of no return. Local political clowns began hallucinating of winning the Nobel Peace Prize. The circus had come to town.

But soon, the pendulum has swung the other way– a belated chaos control, not a trampling on basic rights.

Unconscionable Western press came to Hong Kong blindfolded, determined to bad-mouth China and acted as American mouthpieces.

They didn’t tell the world that “One country Two Systems” was faithfully honored by Beijing, but dishonored by anarchists. Under the system, locals were not supposed to call for the downfall of the CCP. They wanted two systems but no country. Washington knew, if things went south in Hong Kong, geopolitical repercussions will spread, most notably, across the Taiwan strait. Tsai was teetering on 11% popular support. The mess in Hong Kong breathed new life into her politics.

Had Hong Kong carried off the experiment smoothly, Taiwan might have been ready for Beijing’s embrace. Instead, it now threatens to become another Ukraine, despite being Chinese territory. But China is not Russia, and Taiwan is not Ukraine.

China has a big dream, and America is determined to derail it–inadvertently aided and abetted by an incompetent local leader who in five short years sold the national reunification master-plan down the river.

Hong Kong must reboot its system, heal the wounds and seize the opportunities dangled by the Greater Bay Area. This time, give to Caesar what belongs to Caesar, and focus on local livelihood. As for Beijing, bring Taiwan back into the fold, using Ma’s healing ways. Dialogue, not dogged enmity. A purely domestic quarrel must never escalate into a history-ending global conflict. Outside meddlers trying to fish in troubled waters can take a long walk on a short pier.

No, not at all, America just desperate, unable to hide its bandit culture and behavior.

America is begging China for help, bandit style/ways, pointing gun at you demand help, threatening blow out if not meet demand.

America is sick, broke on the brink of collapsing, lost in all wars it found over the years. How can it fight with superpower like China.

Unfortunately, this time around China will not heal or buy the bandit culture and behavior.

China has better things to take care at home and around the world. All American provocations do not mean anything to China.

China popular idiom said, a wise man will not take near eye-sighted humiliation, revenge is a long term mission. Just like recent landing of military aircraft in Taiwan to antagonise China.

America can not effort to have another war let alone war with superpower China.

Collective Soul – Shine (Official Video)

Trump Posting on Truth Social — “World War III”

Trump TruthSocial WW3 2 large
Trump TruthSocial WW3 2 large

President Donald Trump took to his social media account this afternoon and posted “WORLD WAR III” . . . nothing else.   S going to HTF is my guess . . .

I am endeavoring to find out what may be going on worldwide that would give rise to a man like him, making a posting like that.  On Easter Sunday, no less.

Something’s up . . . something big.

UPDATE 8:15 PM EDT —

BRICS+ is taking over and there’s nothing the West can do about it. The US dollar won’t be the worlds reserve currency and the US Govt won’t be able to print money on the backs of other nations. US Govt bonds will be junk because of insane US debt. The End

Top 10 Nations by natural resources:

RUSSIA $75 trillion BRICS+
UNITED STATES $45 trillion The West
SAUDI ARABIA $35 trillion BRICS+
CANADA $33 trillion The West
IRAN $27 trillion BRICS+
CHINA $23 trillion BRICS+
BRAZIL $22 trillion BRICS+
AUSTRALIA $20 trillion The West
IRAQ $16 trillion BRICS+
VENEZUELA $14 trillion BRICS+

       Multipolar world wins!

 

FRANCE ABANDONING U.S.

FRENCH PRESIDENT EMMANUEL MACRON on the plane returning from his 3-day trip to China: “Europe should reduce its dependence on the extraterritoriality of the U.S. dollar… If the tensions between the two superpowers heat up … we won’t have the time nor the resources to finance our strategic autonomy and we will become vassals.”

FtRoMOrWYAAKF 9
FtRoMOrWYAAKF 9

MACRON also said: “Europe must reduce its dependency on the US and avoid getting dragged into a confrontation between China and the U.S. over Taiwan.”   So not only is France abandoning the US, they are now actively encouraging Europe to do the same.  Bear in mind, France just conducted the first-ever transaction for Liquified Natural Gas in a currency OTHER THAN the U.S. Dollar!   So the French are cutting off their use of our Dollars as well.

 

EUROPEAN UNION

Ursula von der Leyen, the head of the “European Commission” (of un-elected tyrants) departed the PRC through a regular terminal at the airport with baggage screening and passport checks, just like any other nobody.  Notice the look on her face as she has to be around us commoners . . .

FtRnlOcXwAAXxM
FtRnlOcXwAAXxM

 

China’s amazing “dis” of the head of the European Commission in this way — and in such a public manner, is a statement by China that the EU isn’t even worth paying attention to.   Not militarily, and not with its “EURO” currency.    It shows that the EU doesn’t matter anymore.  Not in the coming version of the world.

MORE:

The Germans are asking the Kremlin to allow them to produce cars in Russia. The German publication Speigel reports that it has sent a three-page letter to Vladimir Putin with a proposal to resume cooperation.

This shows that EU Sanctions against Russia are literally starting to come apart at the seams.   If trade with Russia resumes in any meaningful way, the West ENTIRE plan in Ukraine and to takeover Russia, will fail before it starts.

 

CHINA

China controls 98% of the world’s rare earth Minerals  production and plans to ban their export to the US for national security reasons!

The U.S. __must__ have some of those rare earth minerals to manufacture for our Military.  Without those rare earth minerals, our military might – and the accuracy of our weaponry in particular – is __gutted__.    No more playing Globo-Cop; except it won’t be by OUR choice, it will be forced upon us by China.

 

UKRAINE

Ukrainian medium-range air defense systems will be completely depleted by May 23.

They will be able to withstand only two or three more waves of blows. /The Washington Post, citing Pentagon documents/ Air defense systems

NASAMS, Iris-T, and Patriot Missile Systems will soon arrive in Ukraine, said Yuri Ignat, adviser to the country’s Air Force Command.   Not certain if – or how many such systems – can arrive in Ukraine before May 23.

If Russia strikes 3, 4, or more times, Ukraine will be finished before May 23.   This __may__ prompt a direct NATO entry, and thus . . . WW3.

MORE

Russia is moving elite units of its army, including paratroopers, to Bakhmut.  Wagner PMC has suffered very severe losses, but has gained significant ground there.  Now, the regular Russian Army is sending its units.

If  (OOOOPS)  WHEN Russia takes Bakhmut, the entire south and southeast of Ukraine will be cut off from supplies.  It will likely fold fast.  Again, another possible reason for NATO to come “all-in” and thus trigger WW3.

All these developments are troubling, but I am not sure any of them individually, or all of them collectively, get us to what President Trump said: “World War 3.”

 

More if I get it.

Greek Mint and Ouzo Flavored Meatballs (Keftedakia)

f82a6178b47e3fbec0a9d4e57fe3448b
f82a6178b47e3fbec0a9d4e57fe3448b

Ingredients

  • 2 slices Italian bread, crusts removed
  • 1/4 cup ouzo
  • 7 tablespoons olive oil
  • 1/2 cup onion, finely chopped
  • 1 pound ground turkey
  • 1 egg
  • 1 teaspoon dried mint flakes
  • 1 clove garlic, minced
  • 1/2 teaspoon oregano
  • 1 teaspoon salt
  • Fresh ground black pepper
  • 1 cup all-purpose flour

Instructions

  1. Pour Ouzo in a small bowl. Tear bread into small pieces and soak in Ouzo 5 minutes.
  2. In a skillet, over medium heat, sauté onion in 3 tablespoons oil until translucent, about 5 minutes. Remove from skillet with a slotted spoon and place in a large mixing bowl. Squeeze bread dry, discarding Ouzo, and combine with onions. Add in turkey, egg, mint, garlic, oregano, salt and pepper and mix well to combine. Form meat mixture into 1-inch balls and roll in flour to coat evenly. Place on a cookie sheet and refrigerate 1 hour.
  3. Add remaining 4 tablespoons olive oil to a large skillet, over medium high heat, and sauté meatballs until browned, about 8 – 10 minutes.

“Tranheuser Busch?”

The public fallout and Brand destruction of “Bud Light” beer continues, with Internet meme’s and all sorts of public criticism.  Sales, though, show the facts: Stunning LOSS of customers, nationwide.

The brief video below, from an affiliated Distributor for Anheuser Busch makes it plain: The company is losing customers and sales at a ferocious pace:

This PLUNGE in retail sales is a direct result of Bud Light hiring a trans-something as a spokes-something.

When I was a Regional Sales Manager for North American Van Lines, I had occasion to speak with R. Allan Brogan, a Senior Vice President of Norfolk Southern Railroad (which owned North American Van Lines) and he told me something I never forgot.

"I had to fire a couple sales guys and they were family men.  

I had major concerns about firing them BECAUSE they had mouths to feed.  I 
never fired ANYONE flippantly; to me firing someone is waaaaaay serious.  

There was just no hope for these guys, but I still felt bad. 

R. Allan Brogan told me 

"Hal, it's not the people you fire who hurt you the most.  It's the people you KEEP . . .  but SHOULD HAVE fired, that hurt you the most." 

I never forgot that.

With that sage advice firmly in mind, I can say with confidence that the people who PROPOSED this “Tranny” hire should be FIRED by Anheuser Busch for bad judgment.  The people who APPROVED this “Tranny” hire and ad campaign for Anheuser Busch, should be FIRED.

Their poor judgment is literally destroying the Bud Light Brand and costing millions in lost sales.  Out the door with all of them!

I suspect it’s only going to get worse.

Now, people are going to wonder if any guy they see drinking a Bud Light is a homo.  Worse, people are going to look at any GIRL drinking a Bud Light, and wonder if she’s __really__ a girl!

No normal person wants to be associated with this “Trans” mental illness.   Grown-ups playing “make-pretend” they’re something they’re not.   It’s sick!

Clearly, the people at Anheuser Busch who put this project together have NO IDEA AT ALL who their customers are.    I suspect that very shortly, there won’t BE very many customers anymore.  NOT because customers are “Bigots” but because the Trannies and their movement are deranged perverts.

But . . .

Maybe there is a bright side to this ?????? After all, it seems to me in two days Anheuser Busch has done more to stop drinking than AA has done in 80 years.

麥小兜 – My First Song『你聽,這是我真實的聲音。』【動態歌詞Lyrics】

‘Peace In The Middle East? That’s A Threat.’

Thanks to China’s and Russia’s mediation peace is breaking out in the Middle East.

Elijah J. Magnier @ejmalrai – 4:14 UTC · Apr 8, 2023#BreakingNews:

The United Arab Emirates has begun withdrawing its forces from #Yemen. The Saudi-Emirati-Yemenite agreement will be announced soon.

The Middle East is solving its conflicts without the #US negative impact.

Elijah J. Magnier @ejmalrai · Apr 7
Great news:
#SaudiArabia will announce the end of the war in #Yemen after the Eid al-Fitr. Saudi is ending all its (high/low) conflicts in the Middle East with #Iran, #Syria, #Iraq, #Yemen & #Lebanon(not interested in the country for now) to turn towards its own development. …

Peace will also come to Syria. The foreign minister of Saudi Arabia will soon visit Damascus. He will invite Syria to rejoin the Arab League. An Arab League summit will be held next month in Saudi Arabia and the Syrian president Bashar al Assad is expected to be there.

This comes after agreements between Iran and Saudi Arabia to bury the hatchet and after agreements between Iraq and Iran to reign in a Kurdish uprising in Iran that was controlled by Kurdish forces in Iraq.

‘We can’t have that’, says U.S. president Joe Biden. He sent CIA director Bill Burns to Saudi Arabia to threaten consequences:

CIA Director Bill Burns made an unannounced trip to Saudi Arabia this week where he reportedly aired Washington’s frustrations over Riyadh’s opening to Iran and Syria through mediation brokered by US rivals China and Russia.Speaking on condition of anonymity, a US official confirmed the trip to Al-Monitor. “Director Burns traveled to Saudi Arabia where he met with intelligence counterparts and country leaders on issues of shared interest,” the US official said.

The official did not disclose the exact day of the trip but said that Burns discussed intelligence cooperation, especially in the area of counterterrorism. The CIA director met the country’s Crown Prince Mohammed bin Salman, The Wall Street Journal reported on Thursday.

Burns likely threatened to withhold U.S. intelligence on terrorist groups from the Saudis. The CIA could additionally push some of its ISIS assets to make some nasty appearances in Saudi Arabia to then offer ‘help’ to ‘fight terrorism’.

I do not think that this will work. The Saudis have had enough of U.S. interference in their region. They are looking for development and development requires peace.

Thus the U.S. is upping its threat:

The US Navy deployed a nuclear-powered submarine capable of carrying 154 Tomahawk cruise missiles into Middle East waters via the Suez Canal, a spokesperson revealed Saturday.The Pentagon’s rare disclosure of the location of one of its Ohio-class submarines came amid heightened tensions between the United States and Iran after an American military contractor was killed by a drone attack on a US base in Syria last month.

The USS Florida arrived in the region before transiting the canal on Friday “to help ensure regional maritime security and stability,” Cdr. Tim Hawkins, a spokesperson for the US Navy’s 5th Fleet, told Al-Monitor via email.

Last week, the Pentagon extended the deployment of an aircraft carrier strike group led by the USS George H. W. Bush in the Mediterranean to support US forces in the Middle East in case of further conflagration and moved up the planned deployment of a squadron of A-10 attack aircraft to the region, CNN first reported.

Meanwhile the Zionists finally have to confront their ideological core:

Sina Toossi @SinaToossi – 15:28 UTC · Apr 8, 2023Israel’s former defense Minister Moshe Ya’alon says Jewish extremists who believe in “Jewish supremacy” & seek a “big war” are influencing the Israeli government’s decision-making. He likens their agenda to a “Mein Kampf” in reverse.

Muhammad Shehada @muhammadshehad2 · 15hExtremely important! #Israel’s *right-wing* ex-Minister of Defense, Moshe Ya’alon, sheds light on Israel’s most dangerous government & the supremacist ideology of its Finance & Security Ministers.

Listen to every word to understand the ongoing escalation!
video

Moshe Ya’alon describing the ideology of two current ministers: “Jewish supremacism. Mein Kampf in reverse. … To as soon as possible get to a big war. … This is what goes into the decision making process in the Israeli government.”

When government ministers seek a big war they will probably get one. A civil war between ‘liberal’ Zionists and hard core ideological Zionists, fought on the back of Palestinians, may become a prelude to that.

Posted by b at 16:17 UTC | Comments (183)

Iraqi Transport Minister Announces that Sumerians Launched Spaceships 7,000 Years Ago

ancient Spaceships 1
ancient Spaceships 1

The Minister for Transport in Iraq made a controversial speech at the inauguration of a new airport in southern Dhi Qar, in which he stated that ancient Sumerians built the first airports 7,000 years ago and launched spaceships from there to explore other planets. He also claimed that all the world’s angels were Sumerian. To support his claims, he referred to the work of Samuel Kramer, Russian professor and Sumerian expert, who highlighted the Sumerians’ advanced understanding of the cosmos.

The Sumerians were the first known people to settle in Mesopotamia over 7,000 years ago.  Located in the southernmost part of Mesopotamia between the Tigris and Euphrates rivers (modern day Iraq), Sumer was often called the cradle of civilization. By the 4th millennium BC, it had established an advanced system writing, spectacular arts and architecture, astronomy and mathematics.

The Sumerians recorded their astronomical observations as early as 3500–3200 BC.  Astronomical phenomena were important to the Sumerians, who equated planets with gods that held an important role in their mythology and religion.  Jupiter, for example, was associated with their main god, Marduk, patron deity of the city of Babylon.

ancient Mesopotamia 0
ancient Mesopotamia 0

 

Illustration of ancient Mesopotamia. (Credit:  Jeff Brown Graphics )

Arab news channel  Albawaba reported that Minister Kadhem Finjan al-Hamami made his comments during a visit to a new airport construction site in southern Iraq, near Basra, where he claimed that the Sumerians had built an airport in exactly the same location in around 5,000 BC.

“It’s a long story, maybe you don’t know about it. Maybe even people from Dhi Qar don’t know – the first airports that were built on planet Earth were built in the 5th Millenium BC in Dhi Qar,”  al-Hamami said , in an Al Jazeera translation. “There were Sumerians who launched spaceships [from Dhi Qar] and headed to other worlds,” he added, arguing that all the world’s angels “were Sumerian” and stating that Sumerians were the first to discover Pluto.

The video of his statement [in Arabic] can be viewed below.

While no one in the audience questioned the Minister, listeners were quick to jump to social media to rubbish his claims.  The  Middle East Monitor  shared comments from internet users who criticized the incompetence of the government. “Iraqi transport minister’s hallucinations about spaceships in Nasiriyah proves that the [Haidar] Al-Abadi government is full of fools, hashish addicts and the most worthless of humanity,” said Dawood Al-Basri.

To support up his claims, Finjan referred to the work of the late Samuel Kramer, who was one of the world’s leading Assyriologists and a world-renowned expert in Sumerian history and Sumerian language.  In his book, ‘History Begins at Sumer’, Kramer argues that the Sumerians had the first achievements in all the major fields of human endeavour, including government and politics, education and literature, philosophy and ethics, law and justice, agriculture, and medicine. However, critics of the Transport Minister’s speech say that Kramer never mentioned ancient airports or spaceships.

The Lament for Ur 0
The Lament for Ur 0

The Lament for Ur  at the  Louvre Museum  in Paris. The Lament was one of several literary works that Kramer studied. ( public domain )

Nevertheless, the Minister does have a number of supporters among ancient astronaut theorists, who argue that intelligent extraterrestrial beings visited Earth and made contact with humans in antiquity, with many believing that ancient Sumer was the first place in which contact was made.

Ancient astronaut 0
Ancient astronaut 0

Ancient astronaut proponents suggest that aliens came to Earth long ago, citing such evidence as this ancient Mesopotamian cylinder seal as proof of advanced technological influences ( public domain )

Irish Joe Biden’s Irish Sopranos

Declan Hayes
April 8, 2023

Biden’s visit is just one further shameful act of degradation of a people who once stood for something infinitely nobler than Joe and Hunter Biden.

The ostensible reasons for POTUS Joe Biden, the Grim Reaper himself, to visit his Irish colony in mid-April is, firstly to view the areas of Ireland that were unfortunate enough to spawn his ancestors and, secondly, to cash in on the 1998 Good Friday Agreement, the deal that allegedly brought peace in our time to Ireland.

Because pretending to be Irish brings political dividends and lots of uninformed votes in America, it is not currently worth dwelling on. Vichy Ireland’s Peace Process is a very different matter. This deal copper-fastened NATO rule in Ireland by allowing the gerrymandering of politics and the fruits of office flowing from it in the occupied north east to be divvied up between the Protestant Democratic Unionist Party and the Catholic Sinn Féin group, both of whose histories are mired in organised crime. It allowed the Southern and nominally independent Southern part to be fully subsumed within the American umbrella and, more importantly, it allowed its CIA and MI5 architects to bestride the narrow world, falsely claiming they were peace-makers rather than war-makers. Far from being an exercise in bridge-building that Gandhi or the Buddha himself would be proud of, it is one of the CIA’s most cynical moves of the last half century.

These latter points can be seen by how rabidly the Irish government and the toadyish Sinn Féin opposition support NATO’s Ukrainian campaign and with Mary Lou McDonald, Sinn Féin’s nominal boss, demanding Russia’s entire diplomatic delegation be immediately expelled from the country over a war she and her drug dealing friends know absolutely nothing about. This is the same Sinn Féin nominal boss who was “profoundly shocked” that Jonathan Dowdall, her right-hand man, was also the right-hand man of top mobster Gerry Hutch, whose charge of murdering a top capo in the Kinahan organised crime cartel will be decided by a non jury Irish court at about the same time Biden’s plane is fecking off back to America.

The main thing, the obvious thing to emerge from the Hutch and Dowdall trials is that the Irish secret service, together with their MI5 and CIA bosses, have all of Ireland’s criminal and paramilitary groups totally infiltrated and that, far from being progressives, these drug dealers are, in effect, just an extension of the British and American armed forces. Because NATO has used the same human dregs to much the same purpose in Iraq, Syria, Ukraine and a host of other countries, the Irish experience that Irish Joe Biden is now cashing in on is therefore worth a second glance.

Although the so-called Troubles kicked off in 1969, much like Syria and Ukraine, the British and Irish security services had already laid the groundwork for the mayhem that was to follow. Protestant gangland boss Gusty Spence has recalled how British generals briefed him on what was required of his counter gang and Irish military intelligence recruited Joe Cahill and other dodgy IRA leaders to do their bidding.

Though Cahill had received the death penalty in 1942 for murdering a Belfast cop, the authorities instead hanged brave Tom Williams for it, whilst Cahill was allowed work unmolested in Harland & Wolff shipyards, whose workers had a history of murdering Catholics whenever the fancy took them. That a notorious Catholic cop killer could work there unmolested for decades defies common sense; the only explanation is that he was a protected species.

But then, as noted journalist Kevin Myers points out, the Cahill and other families that were at the centre of the Belfast IRA also had a side hustle in raping children, a low-risk crime, given their omerta practices they passed down through the generations.

Thus, when the Troubles erupted to scar entire generations of Irishmen and women, the British, Irish and American security services were ready. They would let chaos reign but then emerge with a peace process that suited their needs, rather than those of the combatants or the civilians unlucky enough to have endured hell.

As Ireland was at the centre of a major low intensity counter-insurgency operation from 1968 to 1998 and, as that campaign continues to this day, MI5 and the CIA had plenty of time to put their assets in place. John Joe McGee and Stakeknife, the bosses of the IRA’s Internal Security Unit, were both MI5 agents. As was Denis Donaldson, a long time confidant of IRA martyr Bobby Sands and Sinn Féin boss Gerry Adams, whose IRA brother and IRA father were both notorious child sex abusers and who was himself implicated in some of the worst war crimes of the Troubles. As were so many others, the list is an almost endless geometric progression.

Although I have touched on Sinn Féin’s flawed pedigree here and here, the main thing to take going forward is how M15 and their CIA bosses not only choose the ground on which they fight but fashion it to their needs. One key way to do this in Ireland was through the supergrass trials where scores of IRA diehards would be remanded in custody for years on the word of a paid MI5 agent and their places within the IRA be filled by others on the MI5 payroll, who would rise through the IRA ranks over time.

This tactic was so successful that, at the time of the Good Friday Agreement, the IRA had been reduced to a single sniper in South Armagh and recalcitrants in nearby East Tyrone were dealt with by the simple shoot-to-kill expedient, of summarily executing them, in other words.

Following the Good Friday Agreement, the British Government allowed the IRA to do some housekeeping, as British warlord Mo Mowlam euphemistically put it, to slaughter those opposed to their criminal shenanigans in plainer English. Although that housekeeping continues with Protestant and Catholic criminal gangs to this day, with more government-approved murders in South Armagh and savage beatings in East Tyrone, it has metamorphosed into the Irish wing of ANTIFA Inc, where women, children and others in the U.S. Democrats’ cross hairs are as much fair game as they are anywhere else in America’s evil empire. To see how this works elsewhere, one only has to see the criminal contribution of Ukraine’s Nazi thugs to the post-Maidan “peace process”, or the widespread slaughter of minorities and secular Sunnis by Syria’s “moderate rebels”.

Though Biden can don his leprechaun hat and claim himself to be as Irish as a crock of cow manure, the key thing to see is how the CIA, MI5 and Irish military intelligence have shifted the goal posts to such a degree that Biden is neither immediately lambasted nor run out of Dublin town for the senile creep that he is. As with Sir Keir Starmer’s British Labour Party and the joke that is the Scottish National Party, the practical effect of all this is that whatever Western resistance might emerge to NATO’s litany of war crimes, the Irish, with their joke of a Peace Process, won’t even offer token resistance to Biden and NATO’s other war devotees. Biden’s visit is just one further shameful act of degradation of a people who once stood for something infinitely nobler than Joe and Hunter Biden and their crack cocaine fueled wars of conquest, expropriation and extermination.

BRICS countries and candidate countries for the BRICS Plus

(source: The New Candidate Countries For BRICS Expansion – Silk Road Briefing).

main qimg f0afd4742f3a65f2495b7213cc1594b3 lq
main qimg f0afd4742f3a65f2495b7213cc1594b3 lq

Enigmatic Artifact: Possible Chinese Bi Disk Found in a Kentucky Garden

ancient Chinese bi disks
ancient Chinese bi disks

“It was just lying there” the finder explained as he recalled the moment and the previous two years of inquiries to understand what it was, along with his frustration of not knowing how it ended up in his garden. Afterwards, and with a look on his face that he expected me to have all the answers, asked, “How do you think it got here?”

As with other such Chinese in appearance artifacts found in North America without supporting contextual information, I could only respond that there are multiple possible explanations

A more informative answer came from the Burke Museum in Washington State, responding to the finder’s earlier inquiry and photograph. Paraphrasing… we don’t know what it is, but similar objects have been found on the West coast of Mexico and “it should not have been found in your part of the country.”

Other responses, also based upon photographs, from universities, art dealers and museums were equally inconclusive. Some thought it was a 20th century fraud, others didn’t know, and one based on personal inspection thought it to be authentic. Until it is subjected to rigorous examination its authenticity remains unknown.

What Is Known

This artifact was found in a small garden next to a pond, on heavily wooded acreage in a sparsely populated area of Harrison County (Kentucky, USA), which the owner characterizes as being “in the middle of nowhere”. Several miles away are two earthen mounds and the general area has long been popular with surface collectors of Native American artifacts.

Possible Chinese Bi disk1
Possible Chinese Bi disk1

Possible Chinese Bi-disk, 2.5″ diameter, Chinese script, Harrison County, KY USA. Credit: Precontact, Indigenous Peoples Research Foundation 2015©

Possible Chinese Bi disk
Possible Chinese Bi disk

Possible Chinese Bi-disk, 2.5″ diameter, Bird and Dragon Motif, Harrison County, KY USA. Credit: Precontact, Indigenous Peoples Research Foundation 2015©

Based upon a specific gravity test and visual inspection, the disk is Nephrite Jade and has areas of a white surface film, which is concentrated around the bas-relief features. Interestingly, one side is darker than the other. The depictions of a possible Shui dragon and a partial bird, both playing prominent roles in Chinese mythology, add to the Chinese theme of the disk, along with the four script characters.

The history of the bi extends back to Neolithic China some 5,000 years ago. The earlier versions were simple disks with no ornamentation, but became increasingly ornate with motifs representing deities associated with the cardinal directions and heaven and earth images. Aside from its religious symbolism, the bi also represented the person’s high social status and that he was of high moral character… and it ultimately accompanied the person in his grave.

Disc with Dragons
Disc with Dragons

Perforated Disc (Bi) with Dragons. China, Ming Dynasty, 1368-1644 ( CC BY 2.0 )

Perforated Chinese Disk
Perforated Chinese Disk

Perforated Chinese Disk (Bi) with unfinished Relief Spirals, circa 481-100 BC. ( Public Domain )

Until such time when more definitive testing results are available, the enigmatic artifact remains a mystery to be solved.

Sixbomb (식스밤) – Step To Me /東大門ミリオレライブ/스텝 투 미

How to Take Off a (Political) Straitjacket

Tatiana Obrenovic
April 6, 2023
.

Serbia should understand that it could and must take off the straitjacket the West put on it with its generous assistance.

This issue should have already been resolved which means that there is no reason for concern until ‘the next one reason comes round’. Namely, Kosovo is Serbia and even after the Ohrid meeting in the same proportion it used to be before that meeting on the 18th March between Belgrade and Pristina – the first lady of the Russian diplomacy, Maria Zakharova explained a few days ago, which means that Kosovo remains the heart of Serbia with its essential autonomy in accordance with the Resolution 1244. Above all, Zakharova, when we asked her a few further questions gave two very important and equally reassuring questions.

Firstly, the Serbian province of Kosovo entering any international organizations is non-negotiable. These are not the UN only. We asked specifically about Interpol and UNESCO and we got said response. And secondly, the EU can change their negotiating positions as much as they wish, and regrettably with our (Serbian) forced consent. but the Security Council is to be asked i.e. Russia and China with it. Anyhow, if the matters were any different, the self-proclaimed pseudostate of Kosovo would have joined the UN a long time ago. In this way it cannot move an inch from the UN Resolution 1244. That goes to say in case that the unfavorable total turnaround does not happen, except that we do not have anything to hope for, we should not fret too much about the International Donors’ conference for Kosovo (without the asterisk and a footnote) and this crippled rump of a country which might be left behind out of Serbia.

It is common knowledge that by Ohrid Annex we were threatened within the next 150 days. Mercifully, it is written there that no allocation of funds will occur before the EU determines that all the clauses of the Agreement are fulfilled in its entirety. Lest we forget, the President of Serbia Aleksandar Vucic himself pledged in public that not all the clauses will be fulfilled. We should take his word for it. And not only because the breaching of his pledge would mean the breaching of the Serbian Constitution. Our ‘not meant to be’ donors did not express enough resourcefulness yet again. We were offered the very same thing earlier as well: to bribe us into selling our Kosovo to them demanding from us to agree to the Faustian bargain.

At the time of the Ahtisaari negotiations, as part of the secret K1 strategy, which was later exposed by Wikileaks so as ”to move us away from the Kosovo issue laden with emotions, towards a more democratic, more prosperous future”. They offered us the long-term programme worth $23 million ‘most generously’ for agriculture, $14 million in technical aid for the sectors with high growth potential, $21 million for Sandzak and the south of Central Serbia; One may wonder why there exactly because that was not a mere coincidence, and $1 million for the Dom Omladine (Youth Cultural Centre) in Belgrade ‘to throw the Serbs off the scent’. All in all, it was about $60 million in total. In other words: beads and trinkets – the good old recipe already tried and tested on all the other ‘Indians‘.

But back then the benefactors were, at least they thought they were, in a much better financial state than today. Back then they were not going through the great economic crisis such as the one in 2008 which did not happen out of the blue, whereas now 15 years later, they should brace up for a perfect storm, so to speak. That is most probably the crucial aspect of this war between the Collective West and the rest of the world. Thus it is vitally important for us to pay particular attention. Anyway none of this can be avoided, be it good or evil so it would not go amiss for us to prepare to any extent imaginable.

For starters we should understand that we could and we must take off the straitjacket they put on us with our generous assistance and moreover that for this procedure of taking off a strait jacket we do not need the skills of Harry Houdini but the readiness to do it. On one hand, the banking crisis is gaining momentum as we speak. The collapse of a few smaller banks in the USA nobody has heard of before immediately spilt over to the systemically important Credit Suisse Bank of which many have heard of course, and then even more important Deutsche Bank is mentioned for its notoriety, which in its portfolio has an abnormal amount of speculative financial derivatives which is dozen times bigger than the total GDP of Germany.

Bloomberg reports that Charles Schwab’s financial empire worth 7 billion dollars is in turmoil. This is not the Klaus Schwab of Davos but nonetheless both belong to the same order. Fox TV warns that out of 17 thousand billion dollars in deposits with the U.S. banks only 128 billion dollars are insured. Dr Mohamed el Erian, the President at King’s College, Cambridge University, warns that the crisis which is now taking shape will not be limited to the banking sector only. By the way, all those who are prone to believe the pledges given by the ‘competent authorities’ that all will be fine, it might be worth remembering that the very same ‘competent authorities’ claimed the very same thing 15 years ago.

The crisis which began to raise its ugly head in February 2007 exploded with the bankruptcy of Lehman Brothers only in September 2008. It further goes to say that most probably the chaos is yet to unleash. And this chaos will be substantially bigger because that crisis back then was concealed by using all the means said ‘competent authorities’ had at their disposal. This crisis now starts exactly for that reason because the unlimited amount of fiat money printed and low interest rate. But other means are non-existent. Additionally not even the causes of the original crisis were resolved. Now there is an ever worse backlash against them because there is an ongoing parallel process which did not exist back then.

The news comes in quick succession. The ASEAN members are giving up on the trade in dollars, euros, pounds sterling and Japanese yen. India and Russia are now using ruble and rupee. Brazil and China are ditching the dollar currency from their trade calculations. Kenya will be buying oil from Saudi Arabia for their own currency and not for U.S. dollars. All these are the news from the past few days and there are more similar news. Saudi Arabia and China already agreed on yen currency to be used to purchase oil. And it is not a coincidence that at the same time Iran and Saudi Arabia are en route to mutual reconciliation helped by China and Russia and all of them together complete with India will be members of Shanghai Cooperation Organization.

The formula: oil for security as the basis for petrodollar and the basis of power of the U.S. dollar ever since it had to leave the Gold Standard is becoming meaningless. The key word is de-dollarization. It goes without saying that it did not come from nothing and it is not a random matter either. In fact, in all likelihood now mechanisms which were designed after 2008 to be used under these circumstances are being set in motion now. And sanctions against Russia and the freezing of its assets in the range of Western finances and control, those finances which are already within their range must wonder who may well be the next one in line to be brutally robbed (by the U.S. and NATO) has accelerated matters. And then add to all this the protests throughout the USA and Europe to all this. And what we see now is just the beginning of what will inevitably escalate because the discontent will not be any less, the bigger the economic crisis becomes. And the accompanying efforts by the government to suppress the expression of this discontent.

In line with that, draconian measures are being issued even for bad mouthing Macron on Facebook – which is now, strangely enough, a verbal injury whereas the regime in the USA in the eve of the U.S. elections 2024 is issuing an indictment against Donald Trump, the leading opposition candidate and the former U.S. President. (N.B. indicting a former U.S. President is illegal and unconstitutional per se according to the very same U.S. Constitution) These are the golden standards of the Western ‘democracy’. And we are still wearing said (political) straitjacket. We are so constrained with this straitjacket that we ‘banned ourselves’ by the Law of National Bank of Serbia (Article 62) from borrowing as a country with our own National Bank. But we are allowed to borrow from foreign banks which leads to debt slavery which is the most ‘desirable’ state of the matter we should sink deep into.

The same applies to Greece, which is now more indebted than before it even entered into the savings programmes and it sold off everything they could, somewhere along the line. It is worth noting that the aforesaid Article 62 has one crucial exception. Namely, we can borrow from our own National Bank of Serbia but only for the liabilities we have with the IMF. As if the IMF had written that law for its own interests and not ours. But times change. Bloomberg informs us these days that there is an alternative to the IMF. China, research indicates, has become the chief financial saviour of the developing countries rather than the IMF. It is already carrying out the rescuing missions throughout Africa. And we (Serbia) are a developing country. And we are in close (economic and political) proximity to China in the same manner Africa is, which means that even that excuse of not having another economic choice to make is inapplicable any more, in order to ‘keep on wearing the straitjacket’.

The former U.S. Deputy Secretary of Treasury, Monica Crowley, is warning these days that with the current political process and economic realignment in the world, the dollar will lose its status of a global reserve currency and alongside that, she says the USA will lose their economic dominance and the status of the super power in the world. One should not even elaborate on how favorable this development will have to be for Serbia. But only if we do not fritter it away for the proverbial ‘trinkets and beads’. Otherwise, we do not deserve to be rescued from the straitjacket. Because we would prove we truly deserve it.

Based on the video report for RT Balkan by Nikola Vrzic , a renowned Serbian reporter.

“Why does Russia think NATO is the biggest threat?”

SHORT ANSWER

Because it’s what makes the most sense for our political class right now.


LONGER ANSWER

At the start of his rule, President Putin had no problems either with NATO or with its enlargement. There’s no record of his anti-Westernism ahead of his moving to the Kremlin. Moreover, after the Washington ’99 summit, he quietly asked the Americans if he could join the club, too.

Road fork

But about 15 years ago, Russia entered a period of turbulence. The model of commodity-fueled growth from the 2000s exhausted itself. Radical nationalists were gaining ground. And the ruling oligarchical clans got a piercing sense of danger. Any rotation of power would lead to some new hungry predators grabbing their riches and tearing to pieces themselves and their families.

How to get out of such an impasse? Anywhere in what people in my time in propaganda called the “Third World,” the easiest way has always been “FIGHT THE WEST!” and “DOWN WITH AMERICA!”

In 2012–13, President Putin obviously made a decision to raise this flag. Then, barely a year passed before Crimea was captured. The Ukraine war started in anything but name.

Now you see why Putin must be our President for life?

No grand vision

Most in the West seem to believe our hybrid war with them sprang from Putin’s “imperial visions.” That’s silly.

It’s fully a product of our internal politics. Ever since 2014, the war has been Putin’s perfect tool for defanging the radical nationalists—the most numerous and largely invisible threat to our state-oligarchical elite.

“Liberals” in Russia are a joke as a political force. Most of them are easy to buy. Among the rest, the principled ones are easy to scare enough for them to flee to the West. After all, it’s where their home is, isn’t it? And the most obstinate can be eliminated like Nemtsov or locked up behind bars. There are not too many of them, no problem.

The enemy inside us

The radicals are different. They’re hard to spot. The most dangerous of them are the silent ones lurking among the security services and the military. They are Ridley Scott’s xenomorphs, waiting for their hour here and there inside the body of our Derzháva (“the mighty State”).

The beasts are ready to burst out any day they decide their moment has arrived.

This is why it’s so imperative to keep the State busy with what seems like a radical nationalist project. It’s the Russian Reconquista. As long as they believe that it’s exactly this, President Putin and his men are safe in the Kremlin. And hey, many thanks to Western propaganda! They repeat in a thousand voices that this is the “USSR 2.0” going on, too!

Why the West?

There are three major reasons why the West—and not China, or the “Great Displacement” by the Muslims from the south—was picked as a mortal threat to Russia’s existence.

  • Foreign invasions from the west (Napoleon, the Keizer, Hitler) are deeply embedded in our collective memory.
  • Rotation of power and civil society are distinctive Western concepts, toxic to our Statist foundation.
  • Centuries-long pent-up hate among the lower classes against anything they associate with progressivist activism on the part of our State. Our rulers’ modernization projects rarely failed to bring pain and misery to millions of their subjects. When Putin says the Westerners are the ones to blame for all our carnage, this deeply resonates with something in our national archetypes.

Below, a piece by Vitaly Podvitsky from the early days of Putin’s second presidency. Vladimir Putin is St George striking the serpent of Russophobic globalism in the shape of Obama.

To us, Obama was easily the most harmless American president since Reagan. His administration considered us a “regional power”, and was asleep at the wheel when the Ukraine war broke out in 2014. What made him the most hated US president for our propaganda? My bet is on the unique combination of “black” + “clueless” + “progressive” + “American.” Go Brandon, try to beat that!

https://youtu.be/87p_LbZd-lE

Controversial Claim by Geologist: Mysterious tracks in Turkey caused by unknown civilization millions of years ago

Mysterious ancient tracks
Mysterious ancient tracks

In what is sure to cause controversy, a researcher has claimed that the mysterious and ancient ruts which crisscross the Phrygian Valley of Turkey were caused by an unknown and intelligent race between 12 and 14 million years ago.

Dr. Alexander Koltypin , geologist and a director of the Natural Science Scientific Research Centre at Moscow’s International Independent University of Ecology and Politology has recently completed investigations at the site in Anatolia which is marked with strange ruts, described as “petrified tracking ruts in rocky tuffaceous deposits’ made from compacted volcanic ash,” according to MailOnline.

volcanic rock in Turkey
volcanic rock in Turkey

Repeated travel with vehicles eventually cut into the soft, volcanic rock in Turkey. Credit: Alexander Koltypin, Dopotopa.com

The tracks cut across the landscape of the Phrygia Valley, dating back to various historical periods, according to conventional academia. The earliest roads are thought to have been made during the Hittite Empire (circa 1600 BC – 1178 BC). As time went on, paths were cut deeply into the soft rock by the Phrygians, then by the Greeks, and Alexander the Great with his armies. They eventually became part of the Roman road network, writes Culture Routes in Turkey .

Relief in basalt battle chariot
Relief in basalt battle chariot

Relief in basalt depicting a battle chariot, Carchemish, 9th century BC; Late Hittite style with Assyrian influence. Did such vehicles leave the tracks in the ancient Phrygia Valley?   (CC BY 2.0 )

Koltypin and colleagues have examined the rocky fields interlaced with deep grooves, and have suggested that it was indeed vehicles which caused the tracks, but not lightweight carts or chariots. Instead he suggests the “unknown antediluvian all-terrain vehicles” were huge and heavy. In addition, he dates them back to approximately 14 million years ago, and claims they were driven by an unknown civilization.

He told MailOnline, “All these rocky fields were covered with the ruts left some millions of years ago….we are not talking about human beings.”

The geologist says with certainty that the ruts are prehistoric without a doubt, due to the weathering and cracks observed.

“The methodology of specifying the age of volcanic rocks is very well studied and worked out,” Koltypin said.

deep tracks
deep tracks

The deep tracks run along the landscape, some reportedly as deep as 3 feet (1 meter). Credit: Alexander Koltypin, Dopotopa.com

The scientist notes that the distance between each pair of tracks remains consistent, and that the measurement fit that between the wheels of a modern vehicles. However, the tracks are much too deep for today’s cars, raising more questions about what sort of transport device was being used.

The deepest ruts are three feet (one meter), and on the walls of these ruts are horizontal scratches, very much appearing to have been left by the ends of axels poking out of ancient wheels.

scratch marks side tracks
scratch marks side tracks

Photograph showing the scratch marks along the side of the tracks. Were these caused by ancient axels?  Credit: Alexander Koltypin, Dopotopa.com

News site Express reports that Koltypin believes the deep channels were cut into the soft, wet soil and rock due to the sheer weight of the large prehistoric vehicles. He says, “And later these ruts – and all the surface around – just petrified and secured all the evidence. Such cases are well known to geologists, for example, the footprints of dinosaurs were ‘naturally preserved’ in a similar way.”

prehistoric mysterious vehicle tracks
prehistoric mysterious vehicle tracks

The prehistoric mysterious vehicle tracks as found in the Phrygian Valley of Turkey, with a modern car for scale. Credit: Alexander Koltypin, Dopotopa.com

Koltypin is aware that his claims are controversial, but says mainstream academia will not address the subject matter as it will “ruin all their classic theories.”

“I think we are seeing the signs of the civilization which existed before the classic creation of this world. Maybe the creatures of that pre-civilization were not like modern human beings,” he proposes.

Very similar interesting and mysterious tracks exist in other locations of the world, notably in the Maltese archipelago. These ancient grooves continue to puzzle researchers. Some of the strange tracks of Misrah Ghar il-Kbir deliberately plunge off cliffs or continue off land and into the ocean. It is not yet known who made the tracks, or why.

Like the channels at Malta, questions remain surrounding the deep tracks cut into the stone in the Phrygian Valley.

Koltypin’s research work continues as he investigates anomalous sites, but it will likely be some time before established academia embraces his unconventional theories.

Korean boy kpop.

Bank Robbery

During a robbery in Zimbabwe, the bank robber shouted to everyone in the bank: “Don’t move. The money belongs to the State. Your life belongs to you.” Everyone in the bank lay down quietly. This is called the “Mind Changing Concept” Changing the conventional way of thinking.

When a lady lay on the table provocatively, the robber shouted at her: “Please be civilized! This is a robbery and not a rape!” This is called “Being Professional” Focus only on what you are trained to do!

When the bank robbers returned home, the younger robber (MBA-trained) told the older robber (who has only completed Year 6 in primary school): “Big brother, let’s count how much we got.” The older robber rebutted and said: “You are very stupid. There is so much money it will take us a long time to count. Tonight, the TV news will tell us how much we robbed from the bank!” This is called “Experience.” Nowadays, experience is more important than paper qualifications!

After the robbers had left, the bank manager told the bank supervisor to call the police quickly. But the supervisor said to him: “Wait! Let us take out $10 million from the bank for ourselves and add it to the $70 million that we have previously embezzled from the bank”. This is called “Swim with the tide.” Converting an unfavourable situation to your advantage! The supervisor says: “It will be good if there is a robbery every month.” This is called “Killing Boredom.” Personal Happiness is more important than your job.

The next day, the TV news reported that $100 million was taken from the bank. The robbers counted and counted and counted, but they could only count $20 million. The robbers were very angry and complained: “We risked our lives and only took $20 million. The bank manager took $80 million with a snap of his fingers. It looks like it is better to be educated than to be a thief!” This is called “Knowledge is worth as much as gold!” The bank manager was smiling and happy because his losses in the share market are now covered by this robbery. This is called “Seizing the opportunity.” Daring to take risks!

So who are the real robbers here?

Armies of Azerbaijan and Armenia FIRING at each other along border

Azerbailjan Armenia 04 11 2023 large
Azerbailjan Armenia 04 11 2023 large

Heavy Fighting between Azerbaijani and Armenian Forces is being reported North of the Village of Tegh near the Lachin Corridor in Eastern Armenia. What started as a Small Skirmish earlier now reportedly involves Artillery and possible Heavy Armor. Fighting took place near Tegh during the Border Clashes in 2021 as well.

Ministry of Defense of Azerbaijan confirmed the death of at least 6 Azerbaijani soldiers. It is __said__ that Armenia has suffered substantial losses of troops in today’s fighting.

Armenia’s MoD says that Azerbaijani forces are firing mortars in the vicinity of the armenian village of Tegh.

Armenian Forces are Shelling the Positions of the Azerbaijani Army across the Border near Tegh utilizing 120mm Mortars and 152mm Self-Propelled and Fixed Artillery.

Azerbaijani Sources are claiming that they have seen indications that Armenian Tanks and Armored Equipment is currently being Transferred to “Forward Positions” near the Fighting along the Border with Azerbaijan and along the Lachin Corridor.

UPDATE 11:28 AM EDT —

Azerbaijan accuses Armenia of using Iranian shahed drones to target troops. Armenia is denying reports

– Reports that Armenia has abandoned posts in Tegh in anticipation of Azerbaijan drone attack.

– Armenian parliament cancels meetings

Greek Orange Cake in Orange Syrup (Pontica)

5dc91cbd149027e9f0ac078df5530ec9
5dc91cbd149027e9f0ac078df5530ec9

Ingredients

Syrup

  • 1 cup orange juice
  • 1 cup water
  • 1 cup sugar

Cake

  • 1/2 stick butter, melted
  • 6 eggs, separated
  • 1 cup granulated sugar
  • 1 teaspoon grated orange rind
  • 1 teaspoon vanilla extract
  • 1 cup flour
  • 1 tablespoon baking powder

Instructions

  1. Heat oven to 350 degrees F.
  2. First make the syrup by combining the orange juice, water and sugar in saucepan. Bring to a boil; reduce the heat and simmer for about 20 minutes. Remove the syrup from the stove and allow to go cold.
  3. Butter an 8 x 12-inch baking pan with all the melted butter. Mix the flour and baking powder together in a small bowl. Beat the egg whites until stiff and they can form a peak.
  4. In a large bowl, beat the egg yolks until light yellow; add the sugar, orange rind and vanilla and blend well.
  5. To the egg yolk mixture add the egg whites a little at a time, alternating with 2 tablespoons of flour mixture until all used. Pour the batter into the pre-buttered baking pan.
  6. Bake for 35 minutes or until a wooden pick inserted in the center of the cake comes out clean.
  7. Remove the cake from the oven and allow to cool slightly. Remove the cake from the baking pan and cut into diamond shapes. Place the cake pieces on a large plate that will trap the syrup when poured over.
  8. Pour the cooled syrup over the still warm cake. Allow the cake to cool completely before serving.

Rema, Selena Gomez – Calm Down (Official Music Video)

African pop music. I will be present much more African music as Africa is going to replace America as a middle class of value.

Testosterone Levels in Men Chart

There is a tremendous benefit for men who are experiencing symptoms of fatigue, muscle loss, joint pains, stiffness, hair loss, low libido, erectile dysfunction, mental fog, depression, or memory loss to contact their doctor to discuss the possibility of getting a blood test for Low T. These are all possible signs that testosterone levels are below what is needed by the body for optimum functioning.

Take a look at the normal testosterone levels in men by age chart below as a reference:

Age Years Free Testosterone Average Range Total Testosterone Average Range Normal Total Testosterone
30 – 40 8.7 – 25.1 pg/mL 219 – 1009 ng/dL 600 – 675 ng/dL
40 – 50 6.8 – 21.5 pg/mL 201 – 993 ng/dL 500 – 550 ng/dL
50 – 60 7.2 – 24.0 pg/mL 170 – 918 ng/dL 400 – 450 ng/dL
Over 60 6.6 – 18.1 pg/mL 156 – 700 ng/dL 300 – 350 ng/dL

What is considered normal testosterone levels in men by age varies considerably, as shown above. A man in his early fifties who falls at the low end of the average range will most likely be experiencing many of the symptoms associated with Low T. Treatment with bioidentical testosterone therapy will be beneficial at this point.

No More Conspiracy Theory; They ADMIT Using Chemtrails!

.

2023 04 10 15 50
2023 04 10 15 50

Former CIA Director John Brennan gave a speech at the Council on Foreign Relations and in it, he admitted government is engaged in “Stratospheric Aerosol Injection (SAI)” which, he claims, can slow or halt “Climate Change.”

So all of us who have seen these “chemtrails” with our own eyes, but been called “Tin Foil Hat Conspiracy Theorists” are proven right once again; they ARE spraying from planes – deliberately.    And we’re all breathing it.

Within the US Constitution, there is no power delegated unto Congress, to allow them to engage-in, or even pay for, this type of atmospheric experimentation. It is a power NOT granted to the UNITED STATES.

Worse, they are doing this activity based on a “theory” of “Climate Change.”

So, since it is only a theory, it is now clear they are experimenting UPON THE AIR WE BREATHE, to examine their little pet theory.

I have not, do not, and will not, consent to be experimented upon.

Abbey

main qimg c4e6d940863291ac82366e219899c4d3 lq
main qimg c4e6d940863291ac82366e219899c4d3 lq

Our 14-year-old dog Abbey di*d last month. The day after she passed away my 4-year-old daughter Meredith was crying and talking about how much she missed Abbey. She asked if we could write a letter to God so that when Abbey got to heaven, God would recognize her. I told her that I thought we could so, and she dictated these words:

Dear God,

Will you please take care of my dog? She died yesterday and is with you in heaven. I miss her very much. I am happy that you let me have her as my dog even though she got sick.

I hope you will play with her. She likes to swim and play with balls. I am sending a picture of her so when you see her you will know that she is my dog. I really miss her.

Love, Meredith

We put the letter in an envelope with a picture of Abbey and Meredith and addressed it to God/Heaven. We put our return address on it. Then Meredith pasted several stamps on the front of the envelope because she said it would take lots of stamps to get the letter all the way to heaven.

That afternoon she dropped it into the letterbox at the post office. A few days later, she asked if God had gotten the letter yet. I told her that I thought He had.

Yesterday, there was a package wrapped in gold paper on our front porch addressed, ‘To Meredith’ in an unfamiliar hand. Meredith opened it.

Inside was a book by Mr. Rogers called, ‘When a Pet Dies.’

Taped to the inside front cover was the letter we had written to God in its opened envelope. On the opposite page were the picture of Abbey & Meredith and this note:

Dear Meredith,

Abbey arrived safely in heaven. Having the picture was a big help and I recognized her right away.

Abbey isn't sick anymore. Her spirit is here with me just like it stays in your heart. Abbey loved being your dog. Since we don't need our bodies in heaven, I don't have any pockets to keep your picture in so I am sending it back to you in this little book for you to keep and have something to remember Abbey by.

Thank you for the beautiful letter and thank you.

Deagel Map summary

Deagel.com’s [infamous] 2025 forecast was removed from their website sometime in 2020. The content is reproduced here for reference and educational purposes. This map is a visual summary of the forecast percentage population change by country, 2017-2025.

2023 04 10 17 43
2023 04 10 17 43

The United States is using a dusty 1950 era playbook on dealing with the rest of the world

When I was a young boy, I was a member of the “Boy Scouts”. We would attend weekly meetings at the homes of “Den Mothers”, do various projects for badges (that we would sew onto our clothing), and attend special events, often involving a bonfire and lots of hotdogs and soda.

One of the favorite things that I loved to do was to take a hot dog and cook it deep in the depths of the fire until it was too blacked to handle. Then, I would take it out and slather ketchup, mustard, onions (raw), tomatoes, and relish onto the dog in the hotdog bun.

2023 03 28 19 04
2023 03 28 19 04

Of course, everyone loved marshmallows, and the potato chips. And there was always other snacks such as some baked beans. Often the beans would be cooked in the can by simply placing the can of beans on the fire itself.

2023 03 28 19 08
2023 03 28 19 08

I know that today, the top potato-chips are Lays brand. But when I was growing up, it was Wise Potato-chips. They are crunchier, and saltier than Lays. Often, the Den mothers would place the chips in a big basin for all us kids to grab and place on our plates.

2023 03 28 19 10
2023 03 28 19 10

I loved to eat it with a nice dip. I found out later that it was always “home made” from a box of creme-cheese, and salad mix packets. I have really loved those days. The food flowed easily and the times were wonderful.

2023 03 28 19 12
2023 03 28 19 12

There was always a very nicely done potato salad. In fact, I find it difficult to imagine a campfire party without potato salad, and baked grilled (de-silked) corn. A well made potato salad always uses a nice spicy mustard, don’t you know.

IMG 7157 1
IMG 7157 1

As I got older, we still had these campfire get-togethers. Only now, we called them “Keggers” and we focused on the beer, and the music rather than the eating. It was a loss, that at the time we did not notice. But today, in hindsight, it was a serious omission.

2023 03 28 19 19
2023 03 28 19 19

Now, here I am in China. Food everywhere, and it is normal to drink (serious hard alcohol) and eat.

And I see the importance of filling your belly before you go on an all night binge. Whether it is a night with pretty girls and KTV, or whether it’s just you and the guys going to play some snooker, or Majiong.

Do not neglect the important aspects of your life.

Like a fine hotdog.

2023 03 28 19 22
2023 03 28 19 22

Spring is arriving.

Make the most of it.

You have friends, and a pack of hotdogs is cheap. You can outfit an entire party for a low price. All it take is a minor bit of organization, and a campfire.

Reserve a spot.

Make arrangements for a center base party location, and place a few tents for people to rest or nap if they want to. Plenty of alcohol and soft drinks. Lots of food. Music. Some ball to toss.

Have fun.

2023 03 28 19 26
2023 03 28 19 26

Meat Loaf Wellington

meatloaf wellington
meatloaf wellington

Ingredients

  • 1 pound lean ground beef
  • 1/2 pound ground veal
  • 1/2 pound ground pork
  • 1 teaspoon Worcestershire sauce
  • 2 eggs
  • 1 1/2 cups cracker crumbs with 1 tablespoon water
  • 3/4 cup ketchup
  • 1/2 cup warm water
  • 1 envelope dry onion soup mix
  • 4 bacon strips
  • 2 packages crescent roll dough
  • 1 egg white, lightly beaten
  • Flour

Instructions

  1. Heat oven to 350 degrees F.
  2. Mix ground beef, veal and pork together by hand in a large bowl.
  3. Add Worcestershire sauce, eggs, cracker crumbs, ketchup, water and soup mix.
  4. Mix by hand and shape into a loaf in a shallow baking dish.
  5. Drape the loaf with bacon strips.
  6. Bake for 1 1/2 to 2 hours or until done. Cool for 10 to 15 minutes.
  7. Separate 2 packages of crescent roll dough into 6 rectangles. Reserve the remaining 2 for decorating. Overlap the triangles on a large floured surface to make a large rectangle. Gently press together the seams and perforations. Place over meat loaf and mold to fit.
  8. Trim off excess dough. Use remaining rectangles to make a design for the top; cookie cutters may be used. Brush dough with egg white and return loaf to the oven for 15 to 20 minutes or until golden.

Israeli-made cluster mortar shells were found in the Ukrainian Armed Forces.

.

2023 04 07 15 17
2023 04 07 15 17

Israel has been caught red-handed supplying deadly cluster munitions to Ukraine for use against Russian forces.

Despite the fact that Israel SAYS it does not supply weapons and ammunition to Ukraine, it turns out that the Israel Defense Forces have transferred a large batch of 120-mm mortar ammunition to Ukraine.

Apart from the fact that such ammunition has a high destructive power, it turned out that the ammunition in question is M971, which is a cluster munition that not only causes serious destruction, but also poses an additional threat of landmines. This is not the first time the Israeli side has supplied such munitions to Ukraine.

In the footage published by the Ukrainian military, viewers can see that these are indeed M971 munitions. Their exact quantity transferred to Ukraine is not disclosed, but there could be tens of thousands of shells.

The Israeli 120 mm M971 mortar ammunition is equipped with 24 submunitions, which are scattered in open terrain and explode when dropped on the ground. The ammunition is actively used to defeat manpower and armored vehicles.

Deliveries of such munitions to Ukraine indicate that Israel is directly participating in the aggression against Russia.

.

The US has recently once again strengthened restrictions on sales of American chips to China, however Chinese chips are not sitting idly by. Recently a seven nanometer chip was officially launched for sale taking the opportunity to seize the domestic Market which put pressure on American competitors.

It is reported that a domestic GPU chip company in China has officially released the graphics cards launched last year. The price is about 500 Yuan cheaper ($73) than AMD and NVIDIA’s graphics cards of the same grade and the performance has reached the level of the latter two’s mid to high-end chips the floating-point performance of this graphics card is slightly stronger than AMD’s RTX 3060 graphics card since the release of GPU chips in China has attracted much attention overseas, reviewers quickly bought them after the release.

After testing by relevant overseas reviewers the actual test reached 13.9 teraflops which is indeed slightly stronger than RTX 3060, the domestic graphics card uses the self-developed 7nm GPU core as the first GPU core it is quite good to be able to reach such a level, after all the leading American chip company Intel has been developing GPU chips for many years but it has been difficult to keep up with invidious level, the fact that domestic GPU chips can reach such a level has shocked Nvidia, the leader in GPU chips.

This is why Nvidia quickly launched the customized GPU chip a800 after the United States restricted the sale of high-end GPU chips to China last year.

Analysts predict that the revenues of Intel and Nvidia will decline by 40 percent and 22 percent respectively in the first quarter.

At this time if the United States further restricts these chip companies from selling chips to China, they will only suffer further losses. In fact Chinese Chips have replaced imported chips with domestic chips as much as possible the volume of chips imported by China in the first two months of this year fell by 26.5 percent which is much larger than the 15 decline in chip Imports in 2022.

For GPU chips domestic GPU chips have reached Nvidia’s mid to high end level so they can replace most of Nvidia’s chips if Nvidia does not even sell custom chips such as the a800 to China what competitiveness does Nvidia still have in the Chinese market?

For those uninitiated in this field, NVIDA is the champion of GPU chips who has better advantage over AMD.

Remember one thing. China is not India. Chinese IQ horsepower is way higher than Indians who are nowhere on the world stage.

China is even ahead of the US – however tad bit that be.

Trans female former student, 28, armed with two assault rifles and a handgun, kills three nine-year-old kids and three staff members at Nashville private Christian school after writing manifesto and drawing maps of church campus

Six people – including three children – are dead after a transgender female shooter opened fire at a private school in Nashville, killing three nine-year-old children and three staff members.

The shooter was 28-year-old Audrey Hale, who at one time attended the school.

Police said she identified as transgender, and online profiles show Audrey used ‘he/him’ pronouns.

At around 10.13am, she opened fire at The Covenant School, shooting and killing nine-year-old Evelyn Dieckhaus, Hallie Scruggs and William Kinney.

Scruggs was the daughter of Chad Scruggs, the pastor at the affiliated presbyterian church.

Substitute teacher Cynthia Peak, 61, head of school Katherine Koonce, 60, and custodian Mike Hill, 61, were also killed.

Technically, ancient Egyptians remain frozen in time as the people who preceded modern Egyptians. Ancient Egypt came into decline when Greeks and Romans took over, especially in 30 BC, when Egypt became a Roman province. With the spread of Christianity in the 3rd and 4th centuries, the practice of revering animals was abolished along with all pagan rituals. Modern Egyptians are adherents of Islam for the most part with a Christian minority, the Copts. Neither group worships animals.

Ancient Egyptians did not worship cats as cats per se so to ask why they stopped worshipping them is a moot point. The matter is much more complex. The ancient Egyptians worshipped a goddess named Bast. Cats represented the divine Bast or Bastet, the goddess who assumed the image of a lion or panther initially then a cat-headed woman or a cat by the 2nd millennium BC. The reverence paid to cats as representatives of the goddess Bast can be compared to the respect paid to the cow in the Hindu religion as a representative of the nurturing mother earth.

Hence, cats were respected because they incarnated the qualities ascribed to Bast: ferocity, nurturing, motherhood, sensuality, pleasure, magic, warmth of the sun. The proud, haughty felines deigned to enter into a pact with humans, aiding them in return for shelter and food. Cats are intrepid stalkers, who not only rid the granaries of mice and rats but go hunting with humans as well. They also attack dangerous pests like reptiles and scorpions. Mother cats lovingly nurse and protect their kittens. As they produce copious litters, cats are associated with pregnancy.

Detail of a painting from the tomb of Nebamun, c. 1350 BC, showing him standing on a reed boat hunting birds. At left, his cat has grabbed three birds. Werner Forman/Universal Images Group/Getty Images:

2023 03 28 17 32
2023 03 28 17 32

Cats love to bask in the sun. The orange and red colour of cats was associated with the glow of the sun.

Cats are valued for being playful, amusing companions, very affectionate when they choose to be so, lavishing furry caresses as they rub against their humans. They purr in musical cadences, hence the association of Bast with music. Not only did Bast show her motherly instincts by protecting the baby Horus, she was associated with sensual pleasure and revellery. Her festival was held yearly in the city of Bubastis, named in her honour. Herodotus (c. 450 BC), who attended such a celebration, described the unbridled merry making, where women outnumbered the men and the party goers indulged in feasting, drinking, dancing and unbridled sexuality. Participating in this joyous orgy guaranteed the attendees good luck throughout the coming year.

The cat figures as a warrior in the journey of the soul in the afterlife as slayer of the serpent of chaos and darkness, Apep, enemy of the sun god Ra. The snake-demon had to be killed every night so that Ra could return every morning to light the world with his brightness. It has been pointed out that the type of cat portrayed in such a scene is probably a serval, a type of wild cat.

According to the Texts of the Pyramids, Bast stood on the boat of Sun god Ra alongside Thoth and Hathor to thwart the attacks of the giant serpent of chaos, Apep, who wanted to devour Ra. Note that according to the versions of this story, it is sometimes Ra who turns into a cat during the fight against Apep, without Bast being present. Papyrus from Book of the Dead of royal scribe Hunefer, ca. 1300 BC, detail. Jon Bodsworth (photographer). [Public domain], via Wikimedia Commons:

2023 03 28 17tw 33
2023 03 28 17tw 33

Another reason for the popularity of the cat was a fashionable attempt to imitate the royals and the upper classes. Cats were pampered in the homes of the rich and famous, bedecked in gold and jewellery, so even the lower classes made much of these furry companions.

A copy of wall painting found on The Tomb of Nakht , 18th dynasty, showing a cat eating fish under a chair where a woman sits. Werner Forman/Universal Images Group/Getty Images:

Several goddesses were represented with feline features, such as the lion-headed Sekhmet, Mut, Tefnut, Shesemtet, Wadjet, Mafdet, Pakhet and others, who appear with a sun disc on the head.

When a cat died, the family went into great mourning, shaving off their eyebrows. According to Diodorus Siculus (fl. 1st c. BC), an Egyptian mob lynched a Roman who had killed a cat. Cats were also embalmed and placed in tombs. However, the desire to have a mummified cat to please Bast led to the practice of raising cats for the sole purpose of killing and embalming them to sell as offerings.

.

Cats, Bastet and the Worship of Feline Gods

By Yekaterina Barbash
.

Cats are among the most iconic animals in ancient Egyptian art and culture. The Egyptians encountered lions, panthers and jungle cats in the wild. Smaller cats lived among humans from early on, hunting vermin in homes and granaries. Through close observation, the Egyptians came to admire felines for their complex, dual nature. Felines combine grace, fecundity and gentle care with aggression, swiftness and danger. Gods ascribed with these qualities were often represented with feline features. But Egyptians did not worship felines. Rather, they believed these ‘feline’ deities shared certain character traits with the animals.

Bastet is probably the best-known feline goddess from Egypt. Initially depicted as a lioness, Bastet assumed the image of a cat or a feline-headed woman in the 2nd millennium BCE. Although she combined both nurturing and violent qualities, her shielding and motherly aspects typically were emphasized. Countless representations of a seated cat, cat-headed goddess or cat with kittens include dedicatory inscriptions addressed to Bastet. By offering such inscribed images, donors expressed their wishes for health and children or, more generally, life and protection.

Such revelations were important to an exhibition I organized at the Brooklyn Museum, called Divine Felines: Cats of Ancient Egypt. The idea for this show began while I was exploring our storerooms.  A gilded wooden statuette of a goddess with a leonine head and the body of a woman peered at me from a shelf and stopped me in my tracks. I was intrigued by its beauty and elegance and by the unusual combination of her features. She has been in Brooklyn since 1937 but remained off view because of her poor condition.

This goddess with a feline head and leonine ears wears a tripartite wig. Judging by the remains of a peg on top of the head, a separately made sun-disk once adorned her, holding the bronze uraeus in place. She sits on a floral base with both her feet and buttocks touching the floor and knees drawn up to the torso. Her feet appear tightly bound together, connecting her to the underworld as if on a mummy. Her arms are bent at the elbows, with the right hand clenched in a fist while the left palm extends beside her left knee. The black painted base, reminiscent of a papyrus umbel, has an opening on the stem-end. Unexpectedly, a small cat mummy was originally enclosed in the hollow interior of the figure. But why was it there? To whom was it offered?

Although the Brooklyn statuette incorporates features familiar from Egyptian art, the compilation of these features makes it very unusual and, at first consideration, mysterious. For example, our goddess’ crouching or squatting position is used in two-dimensional representations of gods that appear in temples or tombs and on mortuary papyri. However, in three dimensions lion-headed female divinities are usually standing, striding or seated on a throne. Next, the umbel base of our figure recalls papyrus scepters frequently held by feline divinities and papyrus-form columns with cats on top dedicated to Bastet. Still, floral-shaped bases are unusual for wooden figures of gods of this size (just over a foot in height), and rarely appear as an animal mummy container. Such bases are more common in smaller bronzes and amulets or in large stone sculpture. Finally, containers for cat mummies do not typically take the form of a crouching feline goddess. Instead, animal mummy containers in the shape of a lion-headed woman generally represented the goddess seated on a throne and inscribed as Wadjet. And the Wadjet container, usually was for ichneumons (mongeese), not cats.

Despite the unusual features, certain details are clues to the identity of our statuette. Many powerful goddesses were represented with her features, although they are notoriously difficult to identify without an inscription. Bastet, Sakhmet, Mut, Tefnut, Shesemtet, Pakhet, Mafdet, Wadjet and others all appeared as a lioness or lion-headed woman with a sun disk on her head. Each one was named a daughter of the Sun God and the Eye of the Sun. Egyptians associated cats with the sun for a number of reasons. They saw the red and yellow fur of cats and lions as the colors of the sun itself. Cats love warmth and basking in the sun. And most importantly, much like the self-contradictory nature of felines, the sun possesses a dual nature as a warming source of life or a scorching danger in the desert. Thus, many dangerous and protective daughters of the sun god were endowed with a leonine nature.

In Egyptian mythology, the terrifying and nurturing aspects of feline goddesses are most commonly represented by the Sekhmet and Bastet, with other daughters of the Sun worthy of this title. For instance, Hathor-Tefnut is described in the Myth of the Eye of the Sun in Philae as the one who “rages like Sekhmet and is friendly like Bastet.” All these goddesses should be seen as one fierce, feline, female force that carried the power of the sun’s fire to destroy, burn and scratch all who stood in her way, but turned into a motherly divinity when pacified.

The mummy found inside the Brooklyn figurine – indeed a cat mummy – offers a clue to the figurine’s function. Cats are one of the more numerous animals to be mummified by the ancient Egyptians. Each mummified animal was linked to a specific god and offered to that god in hopes of favor or a sign of gratitude. Egyptians dedicated cat mummies to the nurturing and dangerous goddess Bastet. Bubastis, the Delta city that was the center of worship of this goddess, is the origin of masses of cat mummies. Most of these were placed in rectangular or cat-shaped coffins or wrapped in linen and painted to resemble a cat. Mystery solved, as much as any ancient Egyptian puzzle can be: the Brooklyn Museum’s figurine served as a particularly fancy cat mummy container, probably an attempt to conjure extra favor from Bastet.

Funniest Raccoon Memes by Nocturnal Trash Posts

242575571 388401049666808 5257319989045533650 n 1 650x650 1
242575571 388401049666808 5257319989045533650 n 1 650×650 1

Who doesn’t like a good raccoon meme? Who doesn’t like a good raccoon? Wait, who doesn’t like a good raccoon anything? Trash pandas are awesome and no, there can’t be any other way. And speaking of raccoon memes, there is a dedicated Instagram page that celebrates raccoonhood with existential, funny, and straight up nonsensical memes.

More: Instagram h/t: sadanduseless

277901510 1147866645971342 5799793422506788298 n 650x650 1
277901510 1147866645971342 5799793422506788298 n 650×650 1

277870083 657077408913299 349792855689117492 n 650x813 1
277870083 657077408913299 349792855689117492 n 650×813 1

277808845 1430515454088876 2690551146055414594 n 650x650 1
277808845 1430515454088876 2690551146055414594 n 650×650 1

277324972 1325990857877001 358817500241113214 n 650x650 1
277324972 1325990857877001 358817500241113214 n 650×650 1

277254607 664152194876127 8974781344015348540 n 650x650 1
277254607 664152194876127 8974781344015348540 n 650×650 1

277109052 308014704592975 3132244384653268379 n 650x650 1
277109052 308014704592975 3132244384653268379 n 650×650 1

276003037 3239383826340428 8225826818097897327 n 650x650 1
276003037 3239383826340428 8225826818097897327 n 650×650 1

275938025 1586665341710310 5729085572256874227 n 650x650 1
275938025 1586665341710310 5729085572256874227 n 650×650 1

275726579 332295288859132 339319972496724703 n 650x650 1
275726579 332295288859132 339319972496724703 n 650×650 1

275519500 3183062282017026 7307725300819297678 n 650x650 1
275519500 3183062282017026 7307725300819297678 n 650×650 1

275495093 709155160466333 8437272823324737402 n 650x650 1
275495093 709155160466333 8437272823324737402 n 650×650 1

275222339 1857627711292907 2330580978690989781 n 650x650 1
275222339 1857627711292907 2330580978690989781 n 650×650 1

275035739 641702807087654 6765209267007798446 n 650x650 1
275035739 641702807087654 6765209267007798446 n 650×650 1

275029982 360375922568198 7163805535641981602 n 650x650 1
275029982 360375922568198 7163805535641981602 n 650×650 1

274842637 259527126231424 6549882912769219674 n 650x650 1
274842637 259527126231424 6549882912769219674 n 650×650 1

274374418 4677717875690646 6218489378867788305 n 650x650 1
274374418 4677717875690646 6218489378867788305 n 650×650 1

274302918 1844991972366455 5075729701816663803 n 650x650 1
274302918 1844991972366455 5075729701816663803 n 650×650 1

274205223 342097701142529 4403579335635771734 n 650x650 1
274205223 342097701142529 4403579335635771734 n 650×650 1

273788384 5195231577155621 7077127444591163663 n 650x650 1
273788384 5195231577155621 7077127444591163663 n 650×650 1

273766904 629155308313090 4268271209679649032 n 650x650 1
273766904 629155308313090 4268271209679649032 n 650×650 1

273265689 693971408638927 9046011000036820370 n 650x650 1
273265689 693971408638927 9046011000036820370 n 650×650 1

273148887 479652840208962 2614437699783335501 n 650x650 1
273148887 479652840208962 2614437699783335501 n 650×650 1

272846953 5629172877099733 8159211134627345489 n 650x650 1
272846953 5629172877099733 8159211134627345489 n 650×650 1

272316034 851261912939075 7724943024969867741 n 650x650 1
272316034 851261912939075 7724943024969867741 n 650×650 1

272258696 324455952899858 1065889172381297136 n 650x650 1
272258696 324455952899858 1065889172381297136 n 650×650 1

271918601 130984402738073 3781038251883858025 n 650x813 1
271918601 130984402738073 3781038251883858025 n 650×813 1

271776364 1349298545519811 6971939546381240937 n 650x650 1
271776364 1349298545519811 6971939546381240937 n 650×650 1

271684160 941280096762266 483268671086653228 n 650x650 1
271684160 941280096762266 483268671086653228 n 650×650 1

271682087 454289009406182 1226985005261937941 n 650x650 1
271682087 454289009406182 1226985005261937941 n 650×650 1

271339143 1581369925549734 1467989625500553026 n 650x650 1
271339143 1581369925549734 1467989625500553026 n 650×650 1

271233023 1069218063866234 8267865900769946143 n 650x650 1
271233023 1069218063866234 8267865900769946143 n 650×650 1

269735448 1269154033498182 7603731282704622058 n 650x650 1
269735448 1269154033498182 7603731282704622058 n 650×650 1

267396918 1025247054998930 1336034555704631280 n 650x650 1
267396918 1025247054998930 1336034555704631280 n 650×650 1

265768832 252717380288578 3972976786901529082 n 650x650 1
265768832 252717380288578 3972976786901529082 n 650×650 1

265103941 761090168131251 3285229057064731751 n 650x650 1
265103941 761090168131251 3285229057064731751 n 650×650 1

262694955 439381087718429 3115564554066412172 n 650x650 1
262694955 439381087718429 3115564554066412172 n 650×650 1

261808261 428740288865111 7257591659348836813 n 650x650 1
261808261 428740288865111 7257591659348836813 n 650×650 1

258578302 260796872687278 3026270068011643536 n 650x650 1
258578302 260796872687278 3026270068011643536 n 650×650 1

257740573 574398433844856 4296092029193779243 n 650x650 1
257740573 574398433844856 4296092029193779243 n 650×650 1

255208958 628956128105133 5976348868981764918 n 650x650 1
255208958 628956128105133 5976348868981764918 n 650×650 1

254298221 917735422463274 8130919592941455847 n 650x650 1
254298221 917735422463274 8130919592941455847 n 650×650 1

252525246 260722906022088 7630656974471498027 n 650x650 1
252525246 260722906022088 7630656974471498027 n 650×650 1

251680099 317436373069158 2222201154006497017 n 650x650 1
251680099 317436373069158 2222201154006497017 n 650×650 1

249346709 1142601452813316 9030308038382216462 n 650x650 1
249346709 1142601452813316 9030308038382216462 n 650×650 1

246979916 568262391120537 8158803984986991875 n 650x650 1
246979916 568262391120537 8158803984986991875 n 650×650 1

246694749 293557492612852 4313475179477189251 n 650x650 1
246694749 293557492612852 4313475179477189251 n 650×650 1

246212293 393087405646743 3506361074588439394 n 650x650 1
246212293 393087405646743 3506361074588439394 n 650×650 1

245268285 954789265107911 2878126823890170565 n 650x650 1
245268285 954789265107911 2878126823890170565 n 650×650 1

243797060 349079396967545 4938172229641502623 n 1 650x650 1
243797060 349079396967545 4938172229641502623 n 1 650×650 1

243694891 469362354115651 7869300969481982986 n 1 650x650 1
243694891 469362354115651 7869300969481982986 n 1 650×650 1

242669281 382458896679088 3228881696378169053 n 1 650x650 1
242669281 382458896679088 3228881696378169053 n 1 650×650 1

https://youtu.be/swRRsuKUTWg

Polish Nukes

I was busy today but had a good laugh over this entity of the "When 'we' do it" versus "When 'they' do it"  collection.

Poland suggests hosting US nuclear weapons amid growing fears of Putin’s threats - Oct 5, 2022 - Guardian

Poland: Nuclear arms in Belarus are further threat to European peace - Mar 26, 2023 - Anews

The Poles are (again) allowing the Anglo-Saxons to push them into rabbit hostility against Russia and Germany. Not that there is much pushing needed but still.

That won't end well.

Posted by b at 17:47 UTC

Chinese President Xi Jinping shakes hands with Russian President Vladimir Putin at the Kremlin in Moscow, Russia, March 21, 2023. Xi on Tuesday held talks with Putin in Moscow. Putin held a solemn welcome ceremony for Xi Jinping at the St. George’s Hall. (Xinhua/Xie Huanchi)

Chinese President Xi Jinping on Tuesday held talks with Russian President Vladimir Putin at the Kremlin in Moscow. They had sincere, friendly and fruitful talks on the bilateral ties and major regional and international issues of mutual interest, and reached new, important common understandings in many fields.

The two sides agreed to follow the principles of good-neighborliness, friendship and win-win cooperation in advancing exchanges and cooperation in various fields and deepening the comprehensive strategic partnership of coordination for a new era.

In the refreshing weather of March in Moscow, Xi arrived at the Kremlin in a motorcade. He was welcomed by the Kremlin’s horse guards and greeted by the Kremlin Commandant at the alighting point.

Putin held a solemn welcome ceremony for Xi at the St. George’s Hall. Accompanied by the majestic welcome music, Xi and Putin walked in big strides on a red carpet from the opposite ends of the hall to meet each other in the center. They had a firm handshake and took photos together. The military band played the national anthems of China and Russia.

The two presidents held small-group talks first and then large-group talks.

Xi pointed out that China and Russia are each other’s biggest neighbor and that consolidating and developing long-term good-neighborly relations with Russia is consistent with historical logic and a strategic choice of China, which will not be changed by any turn of events.

Since his first state visit to Russia 10 years ago, China and Russia have enjoyed mutual respect, mutual trust and mutual benefit, Xi said, adding that relations between the two countries have grown from strength to strength, showing the features of being more comprehensive, more practical, and more strategic.

Xi said that during this visit, he saw many ordinary Russians in the street waving their hands at the Chinese motorcade in a demonstration of goodwill. He sees clearly that China-Russia relations have strong public support.

No matter how the international landscape may change, China will stay committed to advancing the China-Russia comprehensive strategic partnership of coordination for a new era, he said, adding this state visit to Russia is a journey of friendship, cooperation and peace. China is ready to work with Russia to build on past achievements, enrich the comprehensive strategic partnership of coordination for a new era, bring more benefits to the two peoples and make greater contribution to human progress.

Xi noted that changes unseen in a century are evolving faster and the international balance of power is undergoing a profound shift. As permanent members of the UN Security Council and major countries in the world, China and Russia have natural responsibilities to make joint efforts to steer and promote global governance in a direction that meets the expectations of the international community and promote the building of a community with a shared future for mankind.

The two sides should support each other on issues concerning each other’s core interests, and jointly resist the interference in internal affairs by external forces, he said, calling on the two sides to enhance communication and coordination on international affairs, especially in the United Nations, the Shanghai Cooperation Organization, BRICS and other multilateral frameworks, practice true multilateralism, oppose hegemonism and power politics, contribute to global post-COVID economic recovery, advance the trend toward a multi-polar world, and promote the reform and improvement of the global governance system.

Xi and Putin heard reports by the leading officials of the relevant government departments of the two countries on cooperation in various fields.

Thanks to joint efforts, China and Russia have enjoyed deepening political mutual trust, convergence of interests, and understanding between the peoples, Xi said, adding that their cooperation in such areas as the economy and trade, investment, energy, people-to-people and cultural exchanges and at the subnational levels have made continued progress. There are a growing number of areas and an even stronger consensus for cooperation.

China is in the first year of fully implementing the guiding principles set forth by the 20th National Congress of the Communist Party of China, and it will foster a new development paradigm at a faster pace, promote high-quality development, and advance Chinese modernization in all respects, he said.

Noting China-Russia cooperation enjoys significant potential and space and is strategic, reliable and stable, Xi said that the two sides need to strengthen overall coordination, boost trade in traditional areas, such as energy, resources, and electromechanical products, continuously enhance the resilience of industrial and supply chains, expand cooperation in such areas as information technology, the digital economy, agriculture and trade in services. They should step up cooperation in areas of innovation and facilitate cross-border logistics and transportation, he added.

The two sides should cement the cornerstone of people-to-people exchanges, he said, calling for efforts to encourage more interactions between sister provinces/states and between sister cities, ensure the success of the Years of Sports Exchange, and facilitate the personnel movement between the two countries.

Putin once again extended Russia’s warm congratulations on Xi’s reelection as President of China by unanimous vote and the formation of a new government in China. He said that Russia-China relations are developing very well, and that good progress has been made in all fields of bilateral cooperation.

Noting exchanges and cooperation are active between the governments, legislative bodies, at different levels and in different areas, Putin said that amid a complex environment, such as the spread of COVID, Russia-China trade bucked the trend and realized growth.

He expressed hope that the two sides will make full use of their existing channels of exchange and work for new progress in practical cooperation in various fields, including the economy and trade, investment, energy, space and cross-border transportation and logistics, and bring people-to-people and cultural exchanges in sports and tourism and at subnational levels to new heights.

Russia firmly supports China in upholding its legitimate interests on issues related to Taiwan, Hong Kong and Xinjiang, Putin said, adding Russia congratulates China on helping to successfully bring about historic outcomes from the talks between Saudi Arabia and Iran in Beijing, which fully demonstrates China’s important status and positive influence as a major country in the world.

Russia appreciates China for consistently upholding an objective and impartial position on international affairs, supports the Global Security Initiative, the Global Development Initiative, and the Global Civilization Initiative China has put forward, and stands ready to further enhance international coordination with China, he said.

Xi and Putin believe the exchange between the two sides during the visit is in-depth, rich and comprehensive and has injected new impetus into the development of the China-Russia comprehensive strategic partnership of coordination for a new era.

They directed the competent departments of the two countries to follow through on the common understandings reached at the presidential level, enhance communication and work more closely with each other to deliver new and greater progress in China-Russia practical cooperation and boost development and rejuvenation in both countries.

They agreed to stay in close touch through various means to jointly guide the sound and steady growth of China-Russia relations.

After the talks, Xi and Putin jointly signed a Joint Statement of the People’s Republic of China and the Russian Federation on Deepening the Comprehensive Strategic Partnership of Coordination for the New Era and a Joint Statement of the President of the People’s Republic of China and the President of the Russian Federation on Pre-2030 Development Plan on Priorities in China-Russia Economic Cooperation.

During the visit, the two sides also signed bilateral cooperation documents in such areas as agriculture, forestry, basic scientific and technological research, market regulation, and the media.

Ancient China, known as a state of propriety and decorum, has long been hailed as the “Kingdom of Costume” with its exquisite clothes.

2023 03 28 19 28
2023 03 28 19 28

In the minds of ancient Chinese, in addition to keeping a warm and beautifying appearance, clothing was also an effective measure to establish social order, distinguish nobility from inferiority, grant rewards, and exert punishments, serving as a yardstick of court rituals.

A form of dress code was first constitutionally established at the beginning of the Western Zhou Dynasty(1046-771BC). In order to show dignity and majesty, the king and ministers would wear different colors of robes in sacrificing ceremonies, weddings, and funerals.

Also, wearing fur clothing was strictly in line with rank. Hunters who gained precious furs had to contribute them to the ruler, for they were prohibited from selling or using furs without permission.

People aged above 70 were allowed to wear silk and eat meat. Such regulations allowed seniors to enjoy such precious items in their twilight years, rewarding them for their lifelong contributions to society. This was the origin of the Chinese tradition of respecting the elder.

Costume is more of a diverse term that encompasses different clothing styles in various dynasties. All dynasties had rules and orders that stipulated the textures, colors, patterns, and styles of clothes in detail.

After the unification of China in the Qin (221-207BC) and Han (202BC-9AD) dynasties, the style of costumes was gradually standardized.

Generally speaking, the formal dress had wide sleeves extending to the knees out of proportion, presenting a solemn style. Everyone could quickly tell the social status and rank of people from what they wore daily. The upper class wore clothes with wide sleeves, while servants had short clothes with narrow sleeves (such a style of clothing was for ease of movement and labor).

2023 03 28 19 2e8
2023 03 28 19 2e8

Common types of commoners’ costumes in ancient China’s Han Dynasty

2023 03 28 19 2w8
2023 03 28 19 2w8

Common types of dress for nobles costumes in ancient China’s Han Dynasty

The color of the official robes was a significant indicator to distinguish hierarchy. Adopting colors to classify official ranks was initially shaped in the Sui Dynasty (581-618) and officially established in the Tang Dynasty (618-907).

After the founding of the Tang Dynasty, the system of official robes inherited the tradition of the Sui. During Emperor Gaozong’s reign, official ranks were indicated by clothing color. Decrees made stipulations about clothing colors and ornaments. Since then, all the following dynasties have observed the color system of the Tang, using four colors, including purple, scarlet, green, and blue, to classify the hierarchy.

2023 03 28 19 2ee9
2023 03 28 19 2ee9

An ancient painting of one of Tang’s emperors: Emperor Gaozong. During Tang Dynasty, yellow is only for the emperor. And another people cannot dress in this color.

2023 03 28 19 29
2023 03 28 19 29

The pottery cultural relic from Tang Dynasty exhibited at Xi’an museum. The pottery people dressed in green, which is ruled as the color for low-level officials in Tang Dynasty.

The manifestation of appearance has evolved over its long history, dazzling and colorful, elegant and simple. Different tastes epitomized the pursuit of beauty and people’s ideal life in a specific era.

China Announces Naval Enforcement in Taiwan Strait – ships to be stopped, boarded, inspected. US Says “No”

2023 04 07 15 16
2023 04 07 15 16

China’s Fujian maritime safety administration launched a three-day special joint patrol and inspection operation in the central and northern parts of the Taiwan Strait that includes moves to board ships, it said on its WeChat account.

The move comes amid heightened tensions between China and Taiwan, with U.S. House Speaker Kevin McCarthy hosting Taiwanese President Tsai Ing-wen in California on Wednesday, becoming the most senior U.S. figure to meet a Taiwanese leader on U.S. soil in decades.

The maritime safety authority in the southeastern Chinese province said on Wednesday the operation included “on-site inspections” on direct cargo ships and construction vessels on both sides of the Taiwan Strait “to ensure the safety of vessel navigation and ensure the safe and orderly operation of key projects on water.”

Taiwan’s Transport Ministry’s Maritime and Ports Bureau said in a statement late Wednesday said it has lodged a strong protest with China about the move.

It said it has notified relevant shipping operators that if they encounter such requests from China they should refuse them and immediately notify Taiwan’s coast guard to render assistance.

“If the mainland side insists on taking one-sided actions, it will create obstacles to normal exchanges between the two sides. We will be forced to take corresponding measures,” it added, without giving details.

Areas covered by the operation include the Pingtan Taiwan direct container route, the “small three links” passenger route, the Taiwan Strait vessel customary route, the densely navigable areas of commercial and fishing vessels, and areas with frequent illegal sand mining activities.

The “small three links” passenger route refers to boat routes between Taiwan’s Kinmen and Matsu islands which sit opposite China and Chinese cities.

Once again, US meddling in the affairs of foreign nations is at the root of this latest international trouble.  Taiwan’s President, met yesterday with U.S. Speaker of the House, Kevin McCarthy.  That set off alarm bells in Beijing and China’s Ministry of Defense laid out what’s what.

The Chinese Ministry of Defense stated:

  • The US government must cease all official contact with Taiwan and the island’s ruling Democratic Progressive Party;
  • The US authorities must stop interfering in China’s internal affairs on Taiwan;
  • The US should stop developing ties with Taiwan and emasculate the “one China” principle;
  • The Chinese army will maintain a high degree of combat readiness to protect national sovereignty in the Taiwan Strait.

So there we have it.  The gauntlet has been thrown down by China.  The U.S. is officially, publicly, told to stop.

Of course, the U.S. will not stop because it arrogantly believes it is the pre-eminent power of this planet and no one else can tell the US what to do.

That, ladies and gentlemen, is a recipe for a fight.  Soon.

Bacon Cheeseburger Meat Loaf

Meat loaf is boring no more, especially when it has the flavors of a bacon cheeseburger.

cheesy bacon cheeseburger meatloaf
cheesy bacon cheeseburger meatloaf

Ingredients

  • 2 pounds ground beef
  • 4 slices crisply cooked bacon, chopped
  • 1 1/2 cups shredded Cheddar cheese, divided
  • 1/2 cup plain bread crumbs
  • 1 egg
  • 1 teaspoon McCormick® Mustard, Ground
  • 1 teaspoon McCormick® Onion Powder
  • 1/2 teaspoon McCormick® Garlic Powder
  • 1/2 teaspoon McCormick® Black Pepper, Ground
  • 1/2 teaspoon salt
  • 1 cup ketchup

Instructions

  1. Heat oven to 350 degrees F.
  2. Mix ground beef, bacon, 1 cup of the cheese, bread crumbs, egg and seasonings in large bowl.
  3. Shape into a loaf on shallow baking pan. Pour ketchup over top.
  4. Bake 45 minutes.
  5. Sprinkle meat loaf with remaining 1/2 cup cheese; bake 10 to 15 minutes longer or until meat loaf is cooked through.
  6. Let stand for 5 minutes before serving.

Prep: 15 min | Cook: 1 hr | Serves: 8

Substitution Tip: Prepare as directed, using 1 teaspoon McCormick® Garlic Salt in place of the garlic powder and salt.

 

It is a military empire. The largest one in history.

When viewed from this perception, instead of the ludicrous idea that it is a democracy, everything becomes crystal clear. Not only can American actions and behaviors be clearly understood, but future actions can be predicted with ease. Making adversarial nations quite capable of thwarting American belligerence.

https://youtu.be/ZUzR0wYMfTI

CONFIRMED: Russia Building Troops In Syria to “EXPEL U.S. Army”

The Russian government has begun an “unprecedented” build-up of military might inside Syria with the plan to “expel the U.S. Army” from the country.

The Russians are inside Syria with the permission of the Syrian government.  U.S. Troops are in Syria WITHOUT such permission.

The U.S. entered Syria on the grounds that the terrorist group “ISIS” was taking over vast swaths of the country, stealing the oil to fund itself, and was growing and spreading into other countries.

But when the US entered, they did very little to stop ISIS.  Instead, the US began backing a group calling itself “the Free Syrian Army (FSA)” which was openly trying to overthrow Syrian President Bashar Assad.

Things went very badly for Assad, and his government was losing against ISIS and against the FSA, so Assad went to Moscow to ask for military help.

Russia agreed to help and sent the Russian Army.

Within months, the Russians absolutely smashed ISIS — and the FSA.   Assad’s government was able to re-establish control over vast portions of the country.

But the US never left the country and, to this very day, maintain control over huge sections of northeast Syria . . .  where the oil is.

A couple years ago, Russia provided video surveillance footage showing the US allowing tanker trucks to come into Syrian oil areas, fill-up, and then go into Turkey or Iraq.  Once there, the oil was partially refined, re-labeled, and sold on the oil market.   But no one says where all that money ever went!

It later came out that the US, along with Israel, and Turkey, were grabbing upwards of thirty million dollars a MONTH in Syrian oil, and selling it.   Where the money went, no one knows.

This theft of Syrian oil caused Russia to tell the US, Israel, and Turkey to halt the theft of oil.   No one listened.  SO Russia BOMBED certain oil facilities in northeastern Syria to physically prevent the ongoing theft of oil.  This turned out to be a short hiccup in the theft.   The damaged facilities were repaired, and the oil flowed again.

This has now gone on for years.

Now, Russia is moving large numbers of troops, armor, artillery, and planes into northeast Syria, to face the US Army.

Word coming from the area is explicitly clear: Russia is planning to EXPEL the US from Syria.

Unlike Ukraine, where all the nations of NATO have been supplying weaponry to that government so as to fight Russia, there is no such arrangement within Syria because Syria and Russia are allies.   So if there’s a face-off between Russia and the US inside Syria, NATO will not be able to do very much.

We are now faced with the very real possibility that Russia will tell the US “get out of Syria by this date, or be put out by force.”   What happens next is anyone’s guess, but war is on the table.  Direct war – between the US and Russia.

Light and darkness

Growing up as a young boy, I lived off “breakfast cereals” every morning. These are just sugar-coated wheat or rice pellets. I would put sugar in generous heaps piled on top of the pellets and then add icy cold milk to it.

2023 04 01 08 09
2023 04 01 08 09

Over the years, I have grown away from this expensive habit. Accepting warmer cooked foods, often not sugary, and coffee as replacements.

As we age; we change.

I call this growth.

Is it good or bad,  Time will tell. But right now, I feel healthier than I ever did when I lived in the USA; twenty years ago.

Things that make you go hum…


Personally, I view all this military and nuclear weapons systems, as China and Russia holding a “shotgun to the head” of the United States. They are telling “President” Biden that they know that the United States is either bat-shit crazy, or acting irrational (on the global Geo-political scene) intentionally for Geo-political advantage.

Don’t fuck with us. They say.

Just do though your death spasms, but don’t fuck with us.

If you do, well then…


Suicide by cop might actually be the way the United States ends.

But, you know, there are smart people in both China and Russia. They know everything that I am describing right now.

And you and I, well…

We don’t know the full story; getting the full intel. But one thing is certain, the USA is totally fucked.

It’s just simply a matter of perception about how bad it actually is.

ALL roads now lead thru China

  • within three hours
  • three leaders from Malaysia, Singapore AND Spain
  • arrived in Beijing
  • others like President Macron of France and EU President Ursula von der Leyen
  • will arrive in the next several weeks

It is clear that the world have now ANOINTED a new leader

  • disgusted with the constant war and death
  • the bullying and belittling
  • most of all, its constant diatribe of hate

that the prior leader had inflicted on others

THAT this world seek peaceful coexistant

a FAMILY of NATIONS

Americans have no idea how bad badly it’s going to affect them.

White House — Americans in Russia Should LEAVE IMMEDIATELY

.

The White House today publicly told Americans residing in, or traveling in Russia, to “Leave Russia Immediately.”

Here’s the White House Statement:

US AmericansShouldLeaveRussiaImmediately
US AmericansShouldLeaveRussiaImmediately

Absolutely Fantastic Coconut Lamps by Vainius Kubilius

1485
1485

Artist Vainius Kubilius carefully crafts lamps that project visually exciting and exotic patterns of light on adjacent walls. Unlike your typical light designer, Kubilius doesn’t simply work with metals and manmade materials. Instead, this creative innovator incorporates coconuts into his products, which he designs under the label Nymphs.

1654
1654

1564
1564

1486
1486

13114
13114

12132
12132

11153
11153

10114
10114

9138
9138

8150
8150

7159
7159

6172
6172

5206
5206

4209
4209

3219
3219

2253
2253

The TikTok Hearing and Xiang Zhuang’s Sword Dance as Viewed by Two CICIR Analysts

“If incidents like TikTok were to occur repeatedly, the [world’s] digital future would indeed be a worrying one. In this sense, the TikTok saga cannot be given enough strategic scrutiny and attention”

Today’s edition of Sinification focuses on one of the many reactions in China to the US Congress’s recent hearing on the popular video-sharing app TikTok. The piece presented below is co-authored by two analysts from the influential China Institutes of Contemporary International Relations (CICIR) and offers a somewhat more moderate appraisal of TikTok’s recent scrutiny in the US than others (for a more hawkish and propagandistic commentary, see for example Tian Feilong’s recent opinion piece for Guancha.cn). Their article is entitled “Xiang Zhuang’s Sword Dance: What is he after? — The Prismatic Effect of the TikTok Incident”, which refers to a famous plot by warlord Xiang Yu (项羽) to kill the future founder and first emperor of the Han dynasty Liu Bang (刘邦) in 206 BC. In TikTok’s case, the one performing this deceptive sword dance is, of course, the United States. The authors base most of their commentary on arguments made by Western analysts, another reminder perhaps of how much more closely the Chinese follow discussions in the West than we do theirs – yet also how much easier it is for them to do so. Beyond the language barrier, I am referring here to both the censorship and self-censorship that hinder public political discussions in China. Though less acute than what is often assumed, such constraints are nevertheless real and make the analysis of these debates all the more difficult.

SUMMARY

  • US concerns that TikTok may pose a threat to its national security are, of course, dismissed with the company being compared to “an innocent man whose talent has aroused the envy of others”.
  • The US’s crackdown on TikTok is said to be a case of “treating the symptoms but not the root cause” and the result of the politicisation of America’s tech and industrial policies.
  • It is seen as a symbol of Washington’s quest for cyber “dominance and leadership” in the world and its desire to constrain China in this field.
  • The TikTok affair is depicted as particularly worrying and as potentially auguring further TikTok-like crackdowns – a trend that would also exacerbate an already fragmented internet.

EXCERPTS

It is widely believed that this [TikTok] affair, although seemingly targeted against TikTok, is in actual fact ‘unrelated’ to it [与其’无关’]. It is but the tip of the iceberg in the US government's many overpowering measures to crackdown on China in the digital sector. Thus, our attention should go beyond this event itself and focus on the various implications it brings to light.”

“[The crackdown on TikTok] is nothing other than a case of the innocent man whose talent has aroused the envy of others [无他,匹夫无罪,怀璧其罪罢了]. Washington’s new National Cybersecurity Strategy clearly states the need for the US to rebuild the digital ecosystem and cyberspace so that it can ensure America’s [global] dominance and leadership. And as we all know, the future digital ecosystem and cyberspace will be built on new technologies and apps. Digital market giants such as leading tech companies are undoubtedly one of these key elements.”

“Meanwhile, the rise of TikTok in recent years has seen it become one of the very few competitors to Facebook, Google and others.”

“Throughout the [TikTok] hearing, there was a common feeling among onlookers that ‘Xiang Zhuang was dancing with a sword’ [i.e. the US had ulterior motives]. The US government's wielding of its so-called data security weapon [数据安全大杀器] was the nominal focus of the questioning. However, members of the hearing committee consistently turned a deaf ear to the data security protection model that TikTok had agreed on during its discussions with the Committee on Foreign Investment in the United States (CFIUS). Instead, they latched onto its Chinese-owned background and wouldn’t let go of this. Before the hearing, FBI Director Chris Wray claimed that the Chinese government could control TikTok's activities in the US remotely. During the hearing, House Committee on Energy and Commerce Chair Rodgers and [Ranking Member] Pallone both said that its Chinese-owned background made it impossible for TikTok to adhere to American values and continued to repeat the cliché that TikTok is a Chinese government proxy corporation in the US that has the potential to harm America’s domestic security. After the hearing, US Senate Select Committee on Intelligence Chair Mark Warner stated that the hearing had failed to allay lawmakers' concerns about TikTok's links to the Chinese government.”

“In fact, as the US side itself admits, all of this is just an excuse [一切只是由头]. According to Glenn Gerstell, a researcher at the Center for Strategic and International Studies (CSIS) and former general counsel of the US National Security Agency (NSA), the data held by TikTok does not in fact constitute a strategic risk [Comment: As far as I am aware, Glenn Gerstell has not said this. His arguments have been a lot more nuanced. See here and here for some of his thoughts on the matter].”

“Caitlin Chin, another researcher at CSIS, further stated that recent [measures], such as the US DATA Act and the RESTRICT Act, have all been targeted against China, and that TikTok's Chinese-owned background is itself an easy target to attack [Comment: I am not familiar with Caitlin Chin’s views, but here are two of her most recent commentaries on this issue: 1. Banning TikTok Will Not Solve U.S. Online Disinformation Problems; 2. The Plans to Ban TikTok Aren’t Really About TikTok].”

“All this shows that these various acts of political grandstanding [各种粉墨登场式的卖力表演] really have little to do with TikTok itself, which has become nothing more than a political mobilisation ‘tool [工具人]’ for the US government in the digital sphere.”

“Discussions are still underway on how to resolve this issue properly. For example, Justin Sherman, a researcher at the Atlantic Council, a US think tank, believes that the solution to the TikTok issue should not be limited to the binary choice of banning or not banning it. Additionally, US Secretary of State [Antony] Blinken has said that, apart from a ban, there were [other] ways of addressing the TikTok problem [Comment: In response to the question ‘Shouldn’t a threat to United States security be banned?’, Blinken’s word-for-word answer was, ‘It should be ended one way or another. But there are different ways of doing that’].”

“James Lewis, senior vice president of the American think tank CSIS, also recommended that while the US should take action against TikTok, it should not be banned [Comment: Since no references were provided in this article, the authors could be referring to Lewis’s argument that it would be unconstitutional to ban TikTok on account of America’s right to free speech]. Instead, CFIUS [could] set oversight conditions and form an oversight committee, thereby reducing the national security risks posed by TikTok.”

“In reality, however, these solutions will be treating only the symptoms and not the root cause [‘治标’不‘治本’]. That is because the issue itself is a result of the ‘politicisation’ of [the US’s] tech and industrial policies. If the politicisation problem is not addressed in a fundamental way, not only will the plight of TikTok itself be difficult to resolve, but one can even foresee many other such ‘TikTok’ incidents emerging in other areas.”

“Although the final outcome of the [TikTok] case has not yet been decided, the longer-term and deeper ramifications of this event have already emerged. These deserve deep consideration and very close attention. For example, does this episode indicate that the US government's regulation of global cyberspace is set to shift further from ‘behind the scenes’ to ‘the front of the stage’ [从’幕后’走向’台前’]? Well-known global cyber-surveillance incidents such as ‘PRISM gate’ have shown that the US’s [attitude towards] cyber-surveillance has both a dark and a light side. Ostensibly, [the US] has been a proponent of so-called freedom and equality online and has been promoting the flow of data and content. But following the TikTok saga, the international community is [now] worried that as Washington’s digital and online policies become increasingly assertive and politicised, its intervention in and regulation of [this space] will intensify. As Marietje Schaake, a researcher at Stanford University's Cyber Policy Centre and former Member of the European Parliament, has said, a by-product of [America’s] oversight of TikTok has been that the US has recognised the failure of its so-called hands-off approach towards online businesses and that a political consensus is emerging in government to further regulate cyberspace.”

“In light of this, there have been increasing concerns about the further fragmentation of the internet. As Lewis claims, a US ban on TikTok is bound to trigger Chinese countermeasures. Alena Epifanova, a researcher at the German Council on Foreign Relations, believes that should a US ban trigger an escalation in conflicting US and Chinese policies, the impact would go far beyond China and the US and could jeopardise the future of the global internet. Katja Muñoz, [another] researcher at the German Council on Foreign Relations, says that a US ban could trigger emulation around the world, setting a bad precedent for internet protectionism across countries and prompting the introduction of more bans against online businesses, which would be extremely destructive.”

“Therefore, from the perspective of geopolitical security and strategic rivalry, the TikTok issue may be only the tip of the iceberg. But the entire future of the online and digital space ecosystem will be shaped by [the fact that] ‘many a little makes a muckle’ [聚沙成塔: lit. grains of sand put together can make a tower] or even ‘dripping water turns into ice’ [滴水成冰]. If incidents like TikTok were to occur again and again, the [world’s] digital future would indeed be a worrying one. In this sense, the TikTok saga cannot be given enough strategic scrutiny and attention.

Bacon, Lettuce and Tomato Pie

2023 03 31 21 40
2023 03 31 21 40

Ingredients

  • 12 slices bacon, fried crisp and crumbled
  • 1 cup shredded cheese
  • 1/2 cup milk
  • 1/2 cup mayonnaise
  • Chopped onion to taste
  • 4 eggs
  • 1 cup Bisquick
  • Salt and pepper to taste

Instructions

  1. Butter a 9-inch pie pan.
  2. Layer bacon crumbs on bottom and cheese on top.
  3. Beat remaining ingredients until smooth. Pour over top.
  4. Bake at 400 degrees F for 30 to 35 minutes.
  5. Cool for 5 minutes.
  6. Garnish with mayonnaise, lettuce, tomato and bacon.

I am from Taiwan.

Yes, that is more or less where things are going. Not only will it be considered a CCP propaganda, but the agenda is to make the word “China” sound dirty, and those who invoke the word feel filthy and ashamed, thus shy away from using it.

IBM recently unveiled its new suite of quantum computer modules, named after many Asian cities. You would think, with China’s status in research in quantum computing, it would include many Chinese cities. Wrong. There are modules named after Auckland, Mumbai, of course, even Hanoi, but not a single Chinese city. IBM doesn’t want to look non-sexy, or even filthy.

Recently I saw an American woman promoting rice bowl dishes on TV. She said, “You know, there are so many wonderful rice bowl dishes in Asia, Korean rice bowl, Japanese rice bowl, Vietnamese rice bowl, ….”, at which point she caught herself, lest she should sound filthy and shameful. Good for her that she narrowly escaped becoming the pariah of that TV show.

The antithesis of that is Taiwan, where I am from. In the West, if you say anything negative about Taiwan, you are labeled a CCP mouthpiece. This happened recently with the University of London, which labeled everyone a Chinese spy who inquired about the Taiwanese president’s self-proclaimed but unprovable PhD degree. BBC (of course!) even gave those people colluding with the University of London ample airtime to broadcast this accusation.

“If you are curious about whether the Taiwanese president really has a PhD, you are a Chinese spy!”

— University of London

When Dize Does Matter – Bestiarum Vocabulum: Last Of The Earth’s Giants

Patrick Aryee is a biologist. After studying Cancer Biology at the University of Bristol, Patrick decided to pursue a career in wildlife filmmaking and was an integral crew member for a number of BBC productions. Now, Patrick Aryee’s gets up close and personal with some of the world’s biggest creatures in his new three-part series. Episode one airs on Sky1, Wednesday 13 June, 9pm.

2023 03 31 15 13
2023 03 31 15 13

The Amphimachairodus, an early member of the cat family, was 1.3m in length and weighed an estimated 490kg. (Photo by Sky TV/The Guardian)

h/t: theguardian

2023 03 31 15 1d4
2023 03 31 15 1d4

The ice age giant ground sloth (Megatherium) stood a colossal 5.5m high. Meanwhile the Glyptodon is a prehistoric relative of the modern armadillo – albeit one the size of a VW Beetle. While the terror bird from the Cenozoic era was a truly terrifying 3m high. (Photo by Sky TV/The Guardian)

2023 03 31 15 z14
2023 03 31 15 z14

This giant snake, Titanoboa, lived around 58 to 60 million years ago. (Photo by Sky TV/The Guardian)

2023 03 31 15 15
2023 03 31 15 15

The Gigantopithecus Blacki, a giant ape from nine million years ago, was 3m tall. (Photo by Sky TV/The Guardian)

2023 03 31 15 g5
2023 03 31 15 g5

Canis Dirus translates to “fearsome dog” and the creature is also known as a “dire wolf”. It lived in the Late Pleistocene and Early Holocene epochs. (Photo by Sky TV/The Guardian)

2023 03 31 15 16
2023 03 31 15 16

This prehistoric sperm whale was 16m long from nose to tail. (Photo by Sky TV/The Guardian)

2023 03 31 1f5 16
2023 03 31 1f5 16

The D einotherium, a prehistoric relative of the elephant, was 4.1m high. (Photo by Sky TV/The Guardian)

2023 03 31 1fa5 1af7
2023 03 31 1fa5 1af7

This Megalodon (big tooth) lived between 23 and 2.6m years ago. It is an early relative of the great white shark and palaeontologists believe it was a staggering 20m in length. (Photo by Sky TV/The Guardian)

2023 03 31 15 17
2023 03 31 15 17

The A mphimachairodus giganeus and the D inocrcuta gigantea where both 1.3m high with truly fearsome teeth and powerful jaws. (Photo by Sky TV/The Guardian)

2023 w03 31 15 17
2023 w03 31 15 17

This enormous prehistoric relative of the brown bear, Arctotherium angustidens, was the height of a grown man when walking on all four paws. (Photo by Sky TV/The Guardian)

2023 03 31 15 18
2023 03 31 15 18

Fossil records indicate that this early lizard, Megalina prisca, was a whopping seven metres in length. (Photo by Sky TV/The Guardian)

The American hypersonic “Dagger” died without being born

The United States took the appearance of Russian hypersonic missiles “Dagger” and “Zircon” very painfully and in recent years has been desperately trying to catch up with us in this critically important segment of weapons.

One of the “answers” to Russia was to be the new AGM-183A air-launched missile (ARRW). It was supposed to be deployed in the first half of the 2020s.

But, this “product”, having failed its next test on March 13, 2023, completely lost the “trust” of the US Air Force command and yesterday it was announced that work on this program was being curtailed.

In general, the American “Dagger” died without being born, and ours has successfully hit the enemy for the umpteenth time, incl. and officers of the NATO countries who had the imprudence to end up in Ukraine in specially protected bunkers of the Armed Forces of Ukraine.

P.S. How did they write in the USA about “Daggers” several years ago – “beautiful pictures for Putin”? Well, now let them admire their pictures, which will forever remain just pictures…”

Twitter HERE

Looks like they never solved the maneuverability, ramjet and communication problems related to hypersonic technology, needed to create a weapon like Zircon. They might be able to make some missile that can fly over mach 5 intermediary flight stage, but has to slow down and become regular supersonic missile for target acquirement and maneuvering.

Posted by: unimperator | Mar 30 2023 14:03 utc | 2

Geopolitics & Car Manufacturing

As I have detailed before, the global car manufacturing industry is the largest and most impactful manufacturing sector, with huge spin-offs in electronics, software, electric battery and general mass manufacturing technologies. With the replacement of internal combustion engines (ICE) with electric propulsion system vehicles (EV), the area in which Chinese car manufacturers lagged was replaced with an area where they could leap-frog (along with Tesla). Already in the Chinese car market, the largest car market in the world, the sales of ICE cars have peaked and are falling:

2020 Chinese Car Sales: 19.7 million, of which 1.27 million were EVs

2021 Chinese Car Sales: 21.48 million, of which 2.9 million were EVs

2022 Chinese Car Sales: 23.6 million, of which 5.92 million were EVs

Therefore, Chinese ICE car sales were 18.43 million in 2020, 18.58 million in 2021, and dropped to 17.68 million in 2022 even as the overall car market grew substantially. Estimates for Chinese EV sales in 2023 are forecast to reach 8 million with little overall car market growth, meaning that ICE sales will fall to 15.6 million – a fall of 2.08 million sales (11.7%)! This fall will be concentrated in the foreign car manufacturers (excluding Tesla) as they provide the majority of the ICE vehicles while having little or no share of EV sales (excluding Tesla). The Chinese car manufacturers are generally represented in both ICE and EV sales (e.g. SAIC, GAC, Changan, Geely, Chery) or are completely focused on EV sales (BYD, Li, Xpeng, Nio). Every extra EV sale will tend to reduce sales of foreign manufacturers brands and increase those of local brands.

Could things be much worse for the foreign ICE brands? Yes, for two reasons, the Tesla instigated price war and new ICE emission standards coming into effect in July. The Tesla China price cuts in October of last year then in January of this year, together with the falling costs of manufacturing inputs (e.g. Lithium) has produced somewhat of a price war which has brought EVs on par with ICE cars with respect to purchase price. This has easily offset any negative effects from the reduction in EV incentives at the end of 2022 and may lead to a faster displacement of ICE vehicles; EV sales will be higher than forecast and therefore ICE sales less than forecast. Lower sales for the European manufacturers and higher sales for Chinese manufacturers (plus Tesla). In the first two months of 2023, Volkswagen only outsold BYD by about 60,000 cars (ICE and EV) with Toyota lagging far behind and with Changan and Geely nipping at its heels. The German and Japanese manufacturers used to dominate the Chinese car market and rely on China for a large share of their profits (e.g. 50% for VW); none have a meaningful position among EVs in China. This will only get worse in 2024 and 2025, as EV market share moves well past 50%.

With sales lagging far behind production, the ICE manufacturers and their dealers have an increasing number of cars swelling their inventories. The problem is that those cars will become illegal to sell in China from July, when the new emissions regulations come into place (Electric Viking covers this well in the video below). The ICE manufacturers only option is to slash prices to move those cars, with the EV price war significantly reducing the prices required to move the cars, or ship the cars abroad to sell them at significantly lower prices (with the net price reduced even further by shipping costs). There could possibly be millions of cars sold at losses of US$10,000s, producing overall losses of tens of billions split between the dealers (who own the cars once they take delivery) and the car manufacturers; possibly bankrupting much of the European, Japanese and US manufacturer’s Chinese dealership network and producing large losses for the manufacturers themselves.

The end result will be a financially damaged set of Western car manufacturers, some impact to Chinese manufacturers (some of the smaller more marginal ones may go by the wayside), and a significant jump by the winners which may include BYD, Tesla, GAC and many other Chinese manufacturers; with domestic manufacturers taking a much larger, and increasing, share of the Chinese market.

With a recession in the offing for both the US market (important to Japanese as well as US manufacturers) and Europe, together with the effect of the Tesla price cuts in the US and the price cuts plus increasing China brand sales in Europe (e.g. MG), the traditional Western ICE car manufacturers may find themselves in a rapidly falling downward spiral. They will not only have falling revenues, and losses from selling Chinese ICE cars below cost to clear them, but also many of their assets (e.g. ICE manufacturing plants) may be rendered obsolete; requiring significant write-offs for not fully depreciated assets. As a manufacturer’s revenue and asset levels form the basis for loan agreements, and these ICE manufacturers have extremely large amounts of debt, they could rapidly find themselves in both liquidity and solvency crises.

There may be some protection for the US home market from the Trump implemented China tariffs and the recent protectionist Inflation Reduction Act (for example, BYD has no plans to set up a US plant), but the European market has no such protection. GM has pretty much exited its international operations, with its Chinese sales produced through joint ventures with SAIC (SAIC-GM) and SAIC and Wuling (SGMW) that it does not have majority control over. The recent travails of GM joint-venture sales in China:

Ford has already significantly retrenched its international operations, and its Chinese sales are handled through a joint-venture with Changan-Ford; with a 2% market share. To all intents and purposes GM and Ford have become US domestic manufacturers of mostly trucks and SUVs. In China both SAIC and Changan have the possibility of offsetting falling GM and Ford sales with sales of their own brands, including EVs. The threat in the US will tend to come from Tesla for the next few years, the real battleground will be Europe and the rest of the world outside the US and China. The biggest losers may be VW, Toyota, BMW and Mercedes Benz – exposed to the Chinese, European and US markets.

This will be at a time when Western government deficits are stretched by increased defence spending and recession, European deficits have been stretched by subsidies to cushion populations from huge increases in energy costs, and COVID has already produced much higher debt levels. The significantly increased interest rates to fight inflation, from near zero levels, will also exacerbate deficits due to increased interest payments. It is these stretched governments that will be asked to bail out the failing Western car manufacturers. Even if some manufacturers are bailed out, the result will be a much-reduced Western car industry (excluding Tesla) and a significant increase in the Chinese share of that industry; one where they already dominate the battery sector.

Such a realignment within the largest and most important manufacturing sector in the world will have very significant geopolitical impacts, with the West being further “hollowed out”. The inclusion of Japan and possibly South Korea in this hollowing out may significantly impact the balance of power within Asia, and the relationship between the nations of ASEAN and China. Any protectionist measures taken by South Korea or Japan to protect their car industries will most probably doom their car sales in the largest global car market, China. The possible devastation and downsizing of the European car industry, combined with the self-harming sanctions fallout, may remove Europe (and especially Germany) as a significant geopolitical player. Chinese automobile dominance in Latin America will further pull that region into the Chinese economic sphere.

Geopolitical strength is most fundamentally based upon geo-economic considerations, and the realignment of the most important global manufacturing industry will have impacts that ripple throughout the world over the next years and decades. The major winner will be China, with a Russia benefitting from a much-weakened Europe.

Yeah, I learned I'm feeling sick , whats happening to my country. Born in '57, it was great, you youngsters wouldn't believe how cool it was. Really you wouldn't .

China, Brazil strike deal to ditch U.S. dollar for trade

.

China and Brazil have reached a deal to trade in their own currencies, ditching the US dollar as an intermediary, the Brazilian government said on Wednesday, Beijing’s latest salvo against the almighty greenback.

The deal will enable China, the top rival to US economic hegemony, and Brazil, the biggest economy in Latin America, to conduct their massive trade and financial transactions directly, exchanging yuan for reais and vice versa instead of going through the dollar.

“The expectation is that this will reduce costs… promote even greater bilateral trade and facilitate investment,” the Brazilian Trade and Investment Promotion Agency (ApexBrasil) said in a statement.

China is Brazil’s biggest trading partner, with a record US$150.5 billion (S$200 billion) in bilateral trade last year.

The deal, which follows a preliminary agreement in January, was announced after a high-level China-Brazil business forum in Beijing.

Brazilian President Luiz Inacio Lula da Silva was originally scheduled to attend the forum as part of a high-profile China visit, but had to postpone his trip indefinitely on Sunday after he came down with pneumonia.

The Industrial and Commercial Bank of China and Bank of Communications BBM will execute the transactions, officials said.

China has similar currency deals with Russia, Pakistan and several other countries.

.

.

.

The most dramatic effects of a weakening dollar will be scrutiny of the Pentagon budget. So long as the dollar is protected by its reserve currency status the party can continue. It is a pity that the US wasted the enormous value it got from its seignorage on arms destined to be useless but that is life. The public, as noted in the Boston Review article cited @16 above, has been axcuded from foreign policy decisions since 1945 so the enormous amounts spent on what is laughably called ‘Defence’ have been subject to very little public or congressional scrutiny.
The Pentagon says “Jump” and the American People reply “How High?”

De-dollarisation will put an end to that. Having to fork out money for weapons that are never used except on targets that they are totally inappropriate for (cf B52s and Afghan wedding parties) will help concentrate minds as Americans are asked to finance expenditure out of the taxes that they pay. And which bear disproportionately on those least able to pay them.
This year’s budget is discussed by William D. Hartung at Information Clearing House, which is returning to form after a lean period due to ill health.

“On March 13th, the Pentagon rolled out its proposed budget for Fiscal Year 2024. The results were — or at least should have been — stunning, even by the standards of a department that’s used to getting what it wants when it wants it.

“The new Pentagon budget would come in at $842 billion. That’s the highest level requested since World War II, except for the peak moment of the Afghan and Iraq wars, when the United States had nearly 200,000 troops deployed in those two countries.

“It’s important to note that the $842 billion proposed price tag for the Pentagon next year will only be the beginning of what taxpayers will be asked to shell out in the name of “defense.” If you add in nuclear weapons work at the Department of Energy and small amounts of military spending spread across other agencies, you’re already at a total military budget of $886 billion. And if last year is any guide, Congress will add tens of billions of dollars extra to that sum, while yet more billions will go for emergency aid to Ukraine to help it fend off Russia’s brutal (sic)* invasion. In short, we’re talking about possible total spending of well over $950 billion on war and preparations for more of it — within striking distance, in other words, of the $1 trillion mark that hawkish officials and pundits could only dream about a few short years ago.

“The ultimate driver of that enormous spending spree is a seldom-commented-upon strategy of global military overreach, including 750 U.S. military bases scattered on every continent except Antarctica, 170,000 troops stationed overseas, and counterterror operations in at least 85 — no, that is not a typo — countries (a count offered by Brown University’s Costs of War Project). Worse yet, the Biden administration only seems to be preparing for more of the same. Its National Defense Strategy, released late last year, manages to find the potential for conflict virtually everywhere on the planet and calls for preparations to win a war with Russia and/or China, fight Iran and North Korea, and continue to wage a global war on terror, which, in recent times, has been redubbed “countering violent extremism.” Think of such a strategic view of the world as the exact opposite of the “diplomacy first” approach touted by President Joe Biden and his team during his early months in office. Worse yet, it’s more likely to serve as a recipe for conflict than a blueprint for peace and security….”
HERE

* The (sic) is mine. The ‘brutal invasion’ hyperbole part of the price that Tom Englehard and Hartung pay for not thinking things through. After seventy years of Cold War its as unsurprising as it is lamentable

Posted by: bevin | Mar 30 2023 21:58 utc | 60

France Buys 65,000 Tons of Natural Gas from “China” – Pays for it in Yuan, NOT DOLLARS!

.

China has just completed its first trade of liquefied natural gas (LNG) settled in Chinese yuan currency, the Shanghai Petroleum and Natural Gas Exchange confirmed.

Chinese state oil and gas giant CNOOC and TotalEnergies completed the first LNG trade on the exchange with settlement in the Chinese currency, the exchange said in their statement.

The trade involved around 65,000 tons of LNG imported from the United Arab Emirates, the Shanghai Petroleum and Natural Gas Exchange added.

NOTE: China will never admit that it is re-exporting Russian LNG even though it now does it all the time!

The French supermajor, one of the world’s top LNG traders, confirmed to Reuters that the trade involved LNG imported from the UAE, but declined to comment further on the deal.

Hal Turner Commentary Opinion

So now our ally, France, is ditching the US dollar for fossil fuel trades. One by one, countries of the entire world seem to be giving-up on using the U.S. Dollar. Instead, countries are negotiating currency values between each other, then using local currency to settle trade deals.

This is the death knell for the USA.

As more and more countries use fewer and fewer dollars, all those excess dollars they’ve been holding in the central bank reserves, will end up coming back here to the United States because countries don’t need (or want) them anymore.

As all that cash returns to America, the value of the US Dollar will plummet against foreign currencies.

Since the US doesn’t manufacture much of anything here anymore, but instead imports from foreign countries, all the things we have to import will get more and more expensive as the dollar falls further and further in value. America will see inflation similar to what the Weimar Republic suffered before World War 2, with wheel barrows of cash needed to buy a loaf of bread.

All this is happening because our federal government is meddling in the affairs of so many foreign lands. If those foreign countries fail – or refuse — to do what America wants, our federal government imposes economic sanctions, forbidding those countries from using “our” money for “their” trade.

In the past, economic sanction from the US would mean a country was literally cut off from most foreign markets because almost all foreign trade has always been settled in US dollars.

As countries see the US meddling, they’re deciding they don’t want to be pushed around by the US federal government. As such, they are negotiating trade deals with each other, to accept each other’s currency, thus by-passing the US, and making it impossible for the US government to meddle with them.

As more and more countries do this, all those hundreds-of-billions of Dollars they all hold in the central banks, will come flooding back to the US and our inflation will break our country.

Our federal government is directly to blame for this.

When YOU cannot feed YOUR family because the money is so worthless, remember, it was YOUR member of the US Congress who did this. It was YOUR US Senators who did this.

As you watch your children suffering pains from hunger, hold those sniveling, lowly, government public servants accountable.

Ukraine President Posts Petition for U.S. Nukes on Ukraine Soil; Russia Suggests They Would make Pre-Emptive Nuclear Strike

.

A potential Russian “petition” on a preventive nuclear strike could come in response to any initiative to transfer US nuclear weapons to Ukraine, Russian Security Council Deputy Chairman Dmitry Medvedev opined on his Telegram channel on Thursday.

A petition calling for the deployment of US nuclear weapons on Ukrainian soil was posted on Ukrainian President Vladimir Zelensky’s website on Thursday.

Commenting on the petition on Zelensky’s website, Medvedev blogged that the response could, in all probability, take the form of “a Russian petition in favor of the immediate pre-emptive use of Russian nuclear weapons.”

Jan 6 “Q-Anon Shaman” Jacob Chansley, Released from Prison Early

.

q anon Shamon large
q anon Shamon large

Jacob Chansley, the man known as the “QAnon Shaman” has been transferred to an Arizona halfway house.

Chansley was moved from federal prison to the Phoenix area with a projected release date of May 25. Chansley had pleaded guilty to obstructing the Electoral College proceedings and was sentenced to 41 months in prison back in November.

“Recent changes have been made regarding First Step Act assessments such that the 28-day assessment will count as the first, and that an inmate will be able to earn 15 days after two assessments rather than three,” a Federal Bureau of Prisons spokesperson told the outlet. “These additional time credits were calculated during the last scheduled application rollout in March 2023. therefore, these changes will allow inmates to earn the extra 5 days of time credit for every 30-day period.”

The release comes after video showwing Chansley being escorted by police around the US Capital, was publicly shown, proving he did no violence or property damage, and that the government’s claims were lies.  This video had been in the possession of the Democrat’s January 6 Committee, and they kept it hidden to perpetuate the outright lies they were peddling about January 6 being an “insurrection.”

Little White Fleet?

Red sails in the Sunrise

In Ukraine, Russia enjoys armaments escalation dominance, thanks to its massive weapons industry, which dwarfs America’s and produces 50,000 shells and missiles every day. Ukraine gets 5,000 from the all the armories of the West – and no likelihood of more.

Outside Divine intervention, the contest can end only one way. Russia’s capacity to escalate its existing manufacturing dominance means it can do more of anything Ukraine can do.

That’s why military professionals spend so much time on economics and logistics. They’re taught that fleets win battles and economies win wars. But since fleets fight battles, we must look more closely at how things are shaping up in the Pacific.

Fleet escalation dominance

The PLAN enjoys fleet escalation dominance over the US Navy in the West Pacific.

China’s 340 warships are newer and better armed than America’s 290. And, thanks to launching five Burke-class destroyers simultaneously this year, the PLAN will have 400 boats in 2025, while the USN hopes for 300 by 2030.

Says US Naval War College Professor – and former Navy Captain – Sam Tangredi, “In naval warfare, the bigger fleet almost always wins. In 28 naval wars, from the Greco-Persian Wars of 500 BC, through Cold War interventions, we found just three where superior technology defeated bigger numbers”.

Armaments escalation dominance

China has turned its research lead in chemistry and math into powerful, innovative weapons. Beijing contends with Moscow for the lead in hypersonic missiles while the US has yet to test one.

Even conventional Chinese missiles outrange their American counterparts by 50%-100%, and in some cases, the US has no counterpart to their innovative, specialized weapons.

Quality

China’s naval technology is superior to America’s simply because it’s a generation younger. PLAN boats have much lower mileage, and are more powerfully armed than ours.

We’re Number Three!

If the foregoing is accurate, we’re Number Two in the West Pacific.

Russia’s victory in Ukraine, over the USA, NATO and the EU, will drop us another notch. Do voters want to spend $1 trillion a year to boast, “We’re Number Three!”?

Politically and economically, navally and terrestrially, can the US even afford industrial warfare?

2023 03 31 16 30
2023 03 31 16 30

And if we to go to war, we know who has morale escalation..

China’s President Announces “Preparing for War”

.

Xi Parliamnet large
Xi Parliamnet large

Chinese leader Xi Jinping says he is preparing for war. At the annual meeting of China’s parliament and its top political advisory body this month, Xi wove the theme of war readiness through four separate speeches, in one instance telling his generals to “dare to fight.”

His government also announced a 7.2 percent increase in China’s defense budget, which has doubled over the last decade, as well as plans to make the country less dependent on foreign grain imports. In recent months, Beijing has unveiled new military readiness laws, new air-raid shelters in cities across the strait from Taiwan, and new “National Defense Mobilization” offices countrywide.

It is too early to say for certain what these developments mean. Conflict is not certain or imminent. Yet something has changed in Beijing that policymakers and business leaders worldwide cannot afford to ignore. If Xi says he is readying for war, it would be foolish not to take him at his word.

RUSSIA TO HELP CHINA MAKE MORE NUCLEAR BOMBS

Russia plans to provide fast breeder nuclear reactor technology to China, an agreement that could allow Beijing to significantly grow its nuclear arsenal and tip the prevailing global balance of nuclear weapons.

Russian President Vladimir Putin and Chinese Premier Xi Jinping announced a long-term agreement to continue developing fast breeder nuclear reactors optimized for plutonium production for nuclear weapons.  

In December 2022, Russia’s-state owned Rosatom nuclear power company finished transferring 25 tons of highly-enriched uranium to China’s CFR-600 nuclear reactor, which analysts say has the capacity to produce 50 nuclear warheads a year.

US Department of Defense (DOD) officials and US military planners have assessed that the CFR-600 will be critical in building China’s nuclear arsenal from 400 warheads today to 1,500 by 2035.

The U.S. Air Force secretary says he’s seen nothing ‘more disturbing’ in 50-year career than this move by China.

Air Force Secretary Frank Kendall compares China’s nuclear threat to Russia’s during Cold War.

A Visit To The World’s Only Black-Cat Cafe

There are well over a hundred cat cafes all over Japan, but there’s only one devoted exclusively to black cats – a cafe called Nekobiyaka in the castle town of Himeji.

544
544

Yes, it’s the world’s first and only black-cat cafe, located near Himeji’s central station and along the route to the town’s famous landmark castle. While there were only six cats present when we visited (the website lists a dozen), they were an extremely lively bunch – running around, jumping in the air, and playing a vigorous game of fetch with a cloth-covered toy. We’re not sure, but we suspect that catnip may have been involved.

443
443

Since it’s hard to tell the cats here apart, they all wear different-colored bandanas around their necks, and their names incorporate their identifying color. The staff will lend you a little book with photos of all the cats, listing their names and birthdays.

346
346

The cafe is attractively furnished in residential living-room style, with windows looking out onto one of Himeji’s shopping streets. Background music is an odd mix of easy-listening and music-box arrangements of pop songs. Cat treats are not available, however.

257
257

The cats here are unusual in that they like playing fetch with a cloth-covered cat toy, and two of the cats were in hot competition to catch the toy in mid-air and then bring it back. By the way, although the cats all look very similar, they wear different colored bandanas around their necks so that cafe visitors can tell them apart.

1109
1109

When you’re not playing with the cats you can drink your coffee (or a beer), being careful that it doesn’t get knocked over, and browse through the cafe’s collection of manga and magazines, many of them cat-related. All in all it’s a very relaxing way to spend an hour of your afternoon.

1418
1418

1323
1323

1226
1226

1130
1130

1030
1030

936
936

835
835

739
739

639
639

Russia Has HALTED all Nuclear Notifications to USA; Including Test Launches

.

RUSSIAN DEPUTY FOREIGN MINISTER: RUSSIA HAS STOPPED ALL NUCLEAR-RELATED NOTIFICATIONS TO UNITED STATES, INCLUDING WARNINGS ABOUT TEST LAUNCHES.

Deputy Foreign Minister Sergei Ryabkov told Russian news agencies that Moscow has halted all information exchanges with Washington envisioned by the last remaining nuclear arms pact with the U.S. after suspending its participation in it last month.

Along with the data about the current state of the countries’ nuclear forces routinely released every six months in compliance with the treaty, the parties also have exchanged advance warnings about test launches. Such notices have been an essential element of strategic stability for decades, allowing Russia and the United States to correctly interpret each other’s moves and make sure that neither country mistakes a test launch for a missile attack.

If Russia terminates missile test warnings, it would mark yet another attempt by the Kremlin to discourage the West from ramping up its support for Ukraine by pointing to Russia’s massive nuclear arsenal. In recent days, President Vladimir Putin announced the deployment of tactical nuclear weapons to the territory of Moscow’s ally Belarus.

Last month, Putin suspended the New START treaty, saying Russia can’t accept U.S. inspections of its nuclear sites under the agreement at a time when Washington and its NATO allies have openly declared Moscow’s defeat in Ukraine as their goal. Moscow emphasized that it wasn’t withdrawing from the pact altogether and would continue to respect the caps on nuclear weapons the treaty set.

The Foreign Ministry initially said Moscow would keep notifying the U.S. about planned test launches of its ballistic missiles, but Ryabkov’s statement appeared to signal an abrupt change of course.

 Hal Turner Analysis and Opinion

For literally years, both the US and Russia have notified each other about all aspects of their nuclear aresenals; movement of warheads/missiles, test launches and track of test launches, etc.   That has now stopped.

Now, when Russia Tests a missile, the US has no way of knowing if it is a test – or real.

This is now the time when mistakes get made.

A misinterpretation.   A misreading of direction of travel.  A misreading of intent.

I have warned for months that when the nuclear war commences, it will happen like a lighting bolt out of the blue.  I have warned that we may get little or NO NOTICE.

Now you know my warnings were right.

This situation between the US/NATO/Ukraine and Russia, is growing more dangerous by the hour.

We are in grave danger.

I think the reason you feel this way is because the price of everything in your country is way too high.

Pizza Pork Chops

2023 03 31 21 38
2023 03 31 21 38

Ingredients

  • 6 (1-inch thick) pork loin chops
  • 1/2 teaspoon salt
  • 1/4 teaspoon pepper
  • 1 tablespoon vegetable oil
  • 1 medium onion, chopped
  • 2 cups tomato pasta sauce
  • 4 cups cooked orzo
  • 1 cup (4 ounces) shredded mozzarella cheese

Instructions

  1. Remove excess fat from pork. Sprinkle pork with salt and pepper.
  2. In 12-inch skillet, heat oil over medium-high heat.
  3. Add pork; cook about 5 minutes, turning once, until brown.
  4. Place pork in 3 1/2- to 4-quart slow cooker.
  5. Sprinkle onion over pork.
  6. Add pasta sauce.
  7. Cover; cook on LOW for 4 to 6 hours.
  8. Place orzo on platter. Top with pork and sauce. Sprinkle with cheese.

Yield: 6 servings

URGENT: 300 RUSSIAN MOBILE NUCLEAR MISSILES ON THE MOVE

.

YARS NukeMissileAndLauncher large
YARS NukeMissileAndLauncher large

Russia’s Strategic Missile Forces began WHAT THEY SAY are planned exercises involving the Yars mobile nuclear intercontinental ballistic missile systems, Russia’s defense ministry said minutes ago (11:06 PM EDT on Tuesday, 28 March 2023)

“In total, more than 3,000 military personnel and about 300 pieces of equipment are involved in the exercises,” the defense ministry said in a statement on the Telegram messaging app.

Each YARS missile carries of nuclear warhead with a yield of 800 kilotons.

Remember that the bomb at Hiroshima was 15 kilotons, and has been considered to be less than 5% efficient. - MM

Mistakes are being made by Western governments, but what about you personally?

You know guys, I look back at all the mistakes I have made, and the stupid, stupid and so very embarrassing things that I did. I look at the opportunities that I had, but didn’t take, and the times where “paradise” was thrown at me, and I was oblivious to it. I look at my life in hindsight and the term “What the fuck were you thinking?” comes to mind.

I don’t know if youse guys understand. I mean, to say, I’ve really done some stupid things.

Sometimes over girls. Maybe mostly

Sometimes not being serious when I needed to, while at other times being too serious when I should have lightened up some.

I know that when I was born, I told myself not to forget: “this is going to be an adventuresome life!” Truth this. But so damn exhausting. I wonder if I was the fellow who scripted this life. Not that some committee “convinced” me to accept it. And in so scripting it, man! It’s be cray-Zee.

Makes you think. That I scheduled out this life that I am living.

That I made it. That I planned it. That I am living it…

Don’t you know.

Anyways, been thinking alot about “telltales” and “signposts”. I’ve been seeing a lot lately. Hum. What could that mean? I wonder…

Tell-tails.

Signposts.

Hum…

Today’s installment.

One of the many reasons why I love Asia… the KTV scenes are EDITED OUT. But you can see entering the establishment, and read my writings to discern what happens inside.

Hostess lineup HERE

 

Confessions of an Underachieving High IQ Individual

What’s it like to have an extremely high IQ?

Years ago, aged eighteen, I joined MENSA. I left after a year, having seen ample evidence to support the old description of MENSA as “The society for people impressed by their own intelligence”. In truth, the whole organization was creepy.

Anyway, when I applied they sent me an IQ test which you sent in to be scored. If you scored highly enough they asked you to attend a monitored exam. I scored 158 on the test at home and 159 when I went to London to be tested.

I have never encountered anything, either at school, university or at work that has been intellectually difficult for me.

I got an English degree and a law degree and barely worked to get either.

My memory has always served me well. I quickly see patterns that others don’t seem to notice (that’s your IQ test sewn up right there) and just find concepts come easier to me than to a lot of other people.

I do get bored with most subjects quite quickly but, so far, so good.

The problem, for me, lies in the fact that I never developed any sense of urgency about anything.

People will be impressed by how hard I worked on something when, in truth, I zipped through it in no time at all, paying it almost no attention.

I learned to let people think I have worked hard because it serves me well.

I’m essentially, and incurably, lazy.

I should have achieved so much more and I am bright enough to know it.

I’m fifty years old now, have been married twenty years and have three beautiful children, so my life is no train wreck, but I know I have shortchanged myself and my family.

I constantly look at others with envy; never of their material success but of their professional achievements and work ethic.

I could have done pretty much anything I wanted to do, but have ended up drifting into a sales career which pays well but gives me not one ounce of professional satisfaction or pride.

A high IQ is a great advantage but, in later life, it will torment you in ways the young cannot imagine.

If you don’t learn to make best use of it, a high IQ will remind you on an almost hourly basis that you threw it all away.

This is why so many underachieving people are unable to shut the fuck up about it – we become addicted in childhood to praise which dries up once more diligent, if less intelligent, peers start overtaking us.

Those who are not socially intelligent enough to recognize how obnoxious it is will mention their intelligence whenever they get a chance, imagining that other people care.

The world and its prizes belong, quite rightly, to hard working people, not intelligent ones.

Italian Chicken Packets

f4cdcc8b455f6e7d30ca542bcce9c662
f4cdcc8b455f6e7d30ca542bcce9c662

Ingredients

  • 1 chicken, quartered, or 2 pieces chicken per packet
  • 2 tablespoons olive oil
  • 1 onion, chopped
  • 1 garlic clove, minced
  • 4 fresh ripe tomatoes or 1 can drained tomatoes, chopped
  • 4 large green olives, chopped
  • 1/2 teaspoon oregano
  • 1/2 teaspoon celery salt
  • 1/2 teaspoon basil
  • 1/4 teaspoon black pepper
  • 4 bay leaves

Instructions

  1. Wash chicken quarters or pieces; drain and pat dry.
  2. Peel and chop tomatoes if using fresh tomatoes.
  3. Cut 4 (12-inch) pieces of aluminum foil, and grease one side of each with olive oil.
  4. Place a chicken quarter or chicken pieces in center of each piece of foil.
  5. Combine onion, garlic, tomatoes, olives, basil, oregano, celery salt, and pepper and mix well.
  6. Spoon sauce over each chicken packet. Top with a bay leaf.
  7. Fold foil into neat, sealed packages. Place on a cookie sheet.
  8. Bake at 425 degrees F for 40 minutes to one hour, until chicken is cooked.
  9. Serve from package.

People having a bad day

1678262236 00
1678262236 00

1678262214 2
1678262214 2

1678262197 5
1678262197 5

1678262191 7
1678262191 7

1678262241 11
1678262241 11

1678262214 14
1678262214 14

@@@1678262191 15
@@@1678262191 15

2023 03 17 15 22
2023 03 17 15 22

2.5 Tons of Uranium Ore Concentrate “Missing” from Libya Mine

The UN nuclear agency said on Wednesday that approximately 2.5 tons of natural uranium ore concentrate had gone missing from a site in Libya.

International Atomic Energy Agency (IAEA) chief Rafael Grossi told the organization’s member states that inspectors on Tuesday found that 10 drums containing uranium ore concentrate “were not present as previously declared” at the location in Libya.

The IAEA will conduct further activities “to clarify the circumstances of the removal of the nuclear material and its current location”, it said in a statement, without providing further details on the site.

Libya in 2003 abandoned a program to develop nuclear weapons under its long-ruling former dictator Mohammar Qadhafi.

The North African country has been mired in a political crisis since Qadhafi’s fall in 2011, with a myriad of militias forming opposing alliances backed by foreign powers.

It remains split between a nominally interim government in the capital Tripoli in the west, and another in the east backed by military strongman Khalifa Haftar.

China’s incredible space technology achievements are being recognized as well as their future potential. Thanks Alex for sharing this well researched video!

GT Voice: US’ hooligan nature laid bare in forced divesting of TikTok

Published: Mar 16, 2023 10:31 PM Updated: Mar 16, 2023 10:38 PM
There has been an absurd development of the political farce surrounding the crackdown on TikTok, which has recently been playing out in the US and spreading to Canada and some EU countries.

The Biden administration has threatened to ban TikTok if its Chinese owners don't divest their stakes in the popular video app, Reuters reported on Wednesday.

Even though TikTok has tried its best and done almost everything possible within the technical range in response to the so-called national security concerns, it remains helpless in the face of Washington's economic vandalism. 

The message is clear: if Washington cannot see TikTok ending up in an American hand, it will shut it down. Judging by the various bans and legislation involving TikTok that US politicians have been working on, it is not impossible for the worst to happen.

Yet, the Emperor's New Clothes surrounding national security concerns cannot hide US politicians' selfish and hooligan nature. The US claims that TikTok threatens to undermine US national security, but there is no evidence at all supporting the killing or robbery of such a globally successful app on national security grounds. The fact that Washington can suppress and even rob TikTok without justification and only because it is owned by a Chinese company is the latest manifestation that in order to maintain the US hegemony, Washington can make any rogue behavior that is against the law and business rules. This could serve as a wake-up call to companies around the world about the political risks of doing business in the US. If they are successful enough to pose a real challenge to American business titans, a rogue government in Washington will start finding fault with them.

TikTok has been seeking various technical solutions to soothe the so-called national security concerns. For instance, it has committed to spend $1.5 billion on a plan known as "Project Texas," which would enact a stronger firewall between TikTok and employees of its Beijing parent company. It has also built what it called a Transparency Center in Los Angeles to help legislators and journalists understand how it safeguards data and how its algorithms work.

But what has happened to the company has laid bare that there is no way to play by the rules to address the US politicians' so-called concerns. This is because it is not national security issues, but TikTok's ability to challenge the supremacy of the US internet industry, that is what really upsets Washington.

With more than 1 billion active users, TikTok is the most downloaded Chinese app in the world last year. The US has 113 million active TikTok users aged 18 and above, and a 2022 Pew Research Center survey of American teenagers aged 13 to 17 found that 67 percent say they use the app, which would add up to about 17.4 million teenagers.

By comparison, the development of some American internet giants has been overshadowed. Facebook-parent Meta Platforms announced on Tuesday it would cut 10,000 jobs this year, marking a second round of mass layoffs following the first one in fall 2022. Since 2020, Meta CEO Mark Zuckerberg has spoken out on several occasions about TikTok's threat to American values and technological dominance.

Of course, the US government's crackdown on Chinese technology companies has not only aimed to rob economic interests off Chinese companies, but also to curb China's high-tech development and to maintain the US technological and financial hegemony.

However, it should be noted that the fact that Washington cannot allow a Chinese company to have the potential to beat American internet giants in market competition doesn't mean China will allow its hegemony to rob Chinese companies of core technology. Behind TikTok's success is the rise of a new algorithmic technology, which is the representative of Chinese high-tech companies gaining an advantage in international markets.

When the former Trump administration tried to push through a forced sale of TikTok in 2020, China's Ministry of Commerce already made adjustment to its catalog of technologies that are subject to export bans or restrictions, which includes certain advanced information process algorithms. It goes without saying China will resist any bully-like robbery of Chinese companies' core technologies.

12 People Reveal What It’s Like To Have Loving Parents

 

1. Best way I can describe it is just a general feeling of security. Just knowing that they’re behind you 100%, and even when they’re mad at you it’s almost always because they’re trying to help you in the long run.

It’s not something you really appreciate until you get older and start to notice kids around you that have to deal with some pretty fucked up shit from their parents. It’s kind of slowly realizing how many bad things you’ve just never had to worry about thanks to your support system.

 

And, the best part is how your relationship changes as you get older. When they slowly start treating you like a fellow adult, and you get to see them as more of a whole person.

2. I had a loving mom, but a very shitty dad.

My mom supported me through all my school. Would go to different stores to get me supplies for my projects. She’d try to read the same books I had to so she could engage in critical thinking discussions. Attended my sporting events and cheered me on. Would lay in bed with me after I’d have a nightmare and run her fingers through my hair till I fell asleep. Would constantly reassure me that I was capable of pursuing my dreams. She made sure to tell me she loved me every day and give me hugs frequently. She’s an amazing woman and am so grateful I have her.

3. It’s safe to take risks, they’ll catch you

4. It’s affirming – that whatever goes wrong or right, they’re “there” for you.

Not everyone has this, I understand. But for those that do, it’s something for which to express gratitude.

5. I have loving parents and am an adult.

They are not perfect. I’ve got baggage. We’ve all made mistakes in our relationship.

I was never abused in any way.

As an adult, I have a very good relationship with them. Maybe the big thing is that we can forgive eachother easily for the errors of our past. Now it’s more like having very good friends than patents. And the roles are changing as I give more advice than I recieve these days.

6. I’m 25 (nearly 26). My parents were incredible growing up, and they still are. I grew up middle class, never extravagantly wealthy or anything, but we never had to worry about where our next meal was coming from.

My mom is a pretty tough lady. She’s a 3rd generation Italian immigrant and grew up on The Hill, St. Louis’s Italian neighborhood. She kept us (my brother, sister and I) in line and was never very sentimental, but she always cared for us and stuck up for us.

My dad is one of 6 siblings. He’s the second oldest. He is a very caring, sentimental guy. He’s 62 and retired now, but he worked as an information technology project manager for Anheuser Busch and made good money.

They both provided well for us, gave us what we needed and were fair in their discipline when they needed to be. I realize at my age now that they sacrificed a lot along the way – taking us to soccer and baseball games, dropping us off and picking us up from school every day, dealing with our being whiny and annoying, all kinds of stuff. I suppose I really did have the sort of classic, American dream childhood and I think I’ve always taken it for granted.

What was it like, OP asks? It was nice. It was comfortable when it needed to be and challenging when appropriate. I live on my own now and I’m going over to see them for Father’s Day today. I may mention a word of thanks for giving me a pretty nice life.

7. You just always feel 100% safe and that no matter what happens EVERYTHING will be okay.. it makes life way better.. you don’t have to seek companionship outside of your family as much because you already got that “loved” feeling from your family.. basically you rarely feel alone when you have loving parents/family.

8. The most beautiful part is watching your parents love EACH OTHER! Didn’t even see how this would be valuable until I became an adult and learned that not everyone gets to grow up seeing healthy love. This plays an important factor in the relationships I have and it’s the reason why I’m glad to say I’m a healthy SO. Whenever I hear about people I know in a abusive and toxic relationships, the first thing I always ask is how were their parents relationship…trauma is a real and unfortunate learning mechanism.

9. I’m not going to lie to you, it’s incredible. I was born to two loving parents who waited until they were well-off financially to have children. The only struggle I’ve ever had in my life is with depression (genetic/hereditary, nothing I can really do about it). I’m in college now, my parents pay for my expensive university with all their heart, they go out of their way to do little things to make me happy. My mom will surprise me with take out from my favorite restaurant, my dad will surprise me with basketball tickets or take me to see a movie. We have “arguments” but its 99% of the time over little things that we don’t remember 10 minutes later, and it rarely happens. We operate as a family, make decisions as a family. Like every important decision I make is not all on me, its as a family, so it’s low risk, high reward. A big part of parents being loving is parents being responsible, and my parents have always been responsible adults. I think its a special kind of cruel when a child loses the strong image of parents, or they never had it in the first place. I view my parents as strong figures, anchors. They have their moments of weakness but overwhelmingly are always strong.

I only hope to continue this and be an even better parent to my eventual kids.

10. Especially my mom told and still tells me that she loves me nearly every time we see each other.

They don’t tell me they are happy or mad with my life choices but tell me that I am the one who need to live with them and as long as I am happy, they are too.

They weren’t perfect though but they were able to apologise when they realised they deeply hurt me. They always explained their parenting choices and I never once in my life heard the famous “my house, my rules”.

And the last thing that is very important to me is that they are absolutely loyal to their kids. Other adults or family members like older cousins or so are mocking me? They would always step in and defend me if I weren’t able to. Always took my feelings seriously. I realised in elementary school that this wasn’t normal for most of the adults

 

11. Amazing! My mother is the most loving and caring mother you could ask for. Im 30, but still close as hell with my mum, visit every weekend and help her with the DIY side of things in her home. She’s slowly going blind which is heartbreaking to watch her struggle with day to day life!! Once she’s completely blind, I’m leaving my job to help look after her as much as i can. She gave me and my siblings the best upbringing she could of given us, so I have to repay her.

So yeah, its great having loving parents. You will do anything for each other.

12. The feeling of acceptance, understanding, and security. Also the immense knowing that they will do anything for you, even if it means that they go through hell.

My father and mother escaped from communist countries (Poland and Vietnam), and nearly died during it. Upon arriving they worked many jobs and went through hell in order to give us a good upbringing. My father owns a pizza shop, and in its early days he worked from 8am-3am, usually not being able to sleep beacuse of the stress of knowing that if something goes wrong, his family will starve. At the worst of it (that I know of), he had to set up a mattress at the back of the store, and slept there so he knew that it would be okay.

My parents have been through hell and back for us, and will in the future if they need to, nevertheless they gave us enough attension and love.

One of the biggest thing for me is trust, I trust them, and they do trust me. We have a mutual respect.

What if, Tomorrow Morning, You Wake Up to: “Banking Crisis Shuts ALL Banks – ATM’s Credit, Debit Cards ALL Shut Off”

What if tomorrow morning, you woke up to blaring headlines saying “Banks Ordered SHUT DOWN; All ATM’s Credit & Debit Cards ALL Offline.”

What if, as you listened to, or read the story, you found out that because of systemic losses and stock market crashes, ALL banks had to be shut down completely . . . . for two weeks . . . . until authorities could isolate the failed banks, and control the financial contagion?

For most people, the idea that their bank would be closed for a couple weeks is never even a passing thought.  And the notion that all credit cards and debit cards would suddenly be offline and unusable, is even less of a possibility.  Yet that is PRECISELY what could happen given the ongoing bank failures and stock plunges!

So, let’s just play “make pretend” for a minute and ask yourself “How would I get by for a couple weeks with no bank, no ATM’s and no credit/debit cards?

How would you eat?   How would you feed your family?   Do you even HAVE two weeks worth of food in your house?

How would you put fuel in your car to get to/from work?  Do you even HAVE a 5 gallon gas can (or two) on your property?  Is it full?

Most folks have never even considered this situation and that . . . . that right there . . . . is why most folks would be in shear panic (and shit outta luck) if this situation actually takes place.

Now, a lot of you might be thinking to yourselves “I can write a check.”   Fat chance.  If you’re a business, are YOU going to accept checks when you know the banks are failing?   Uhhhhhhhh. . . . . . .  hmmmmmmmmm. . . . .  NOPE!

Cash only!

Supermarkets?   Grocery stores? Gas stations? Same thing.  CASH ONLY.

Now what do you do?

I pose this scenario to get you thinking.  Because PLANNING has to be done BEFORE a crisis hits.  Sadly, most people today, don’t plan beyond their next 5 minutes.

You see, those of us who actually DO plan . . . . you mock us as the “tin foil hat crowd and/or “conspiracy theorists.”   We think about such things.  We plan.  We’re as ready as anyone can be for local, limited, disruptions to regular life.

And we have some bad news for you.  Don’t come calling to us when you and your kids are going hungry.  Don’t come calling to us when your car is out of fuel.  Because if you come calling for such things, we have a stark choice to make: Either feed you, or feed ourselves.

Guess what?  In that situation, YOU LOSE.

I have to feed me and MY family before I feed you or yours.  And I am not going to take food out of MY family’s mouths because YOU never thought (or couldn’t be bothered) to plan.

That may sound harsh, but that’s reality.

So take a few minutes right now and take a look at what food you have in your pantry.  Do you have enough Pasta, Rice, dried beans, canned tuna, canned chicken, a couple jars of sauces for over the pasta or rice,  a jar or two of mayonnaise?  Do you have a couple loaves of bread?  Any canned soups that are heat and eat?  How about a manual can opener?

You need to have this stuff to make sure YOU and YOUR FAMILY can eat if everything goes to hell with the banks.

You need to have some spare fuel.

Most of all, YOU NEED TO HAVE CASH MONEY stashed in the house somewhere, to get by if everything falls apart.

Don’t say you weren’t warned.  Because the plain truth is, most people just couldn’t be bothered to plan . . . . and those folks get no sympathy.

Chinese troops set out for China-Cambodia joint exercise amid intensive foreign military exchanges

Liu XuanzunPublished: Mar 16, 2023 10:18 PM

2023 03 17 11 53
2023 03 17 11 53

A Type 071 comprehensive landing ship is carrying Chinese troops on their way to participate in a large-scale joint exercise with Cambodia, marking yet another major event in a busy month of foreign military exchanges by the Chinese People’s Liberation Army (PLA).

In accordance with a bilateral agreement, the armed forces of China and Cambodia will hold the Golden Dragon-2023 joint exercise in Cambodia from late March to early April, with the subject of the exercise being operations for the security of important events and humanitarian aid, China’s Ministry of National Defense said in a press release on Wednesday.

More than 200 troops from the Army, the Navy and the Joint Logistic Support Force of the PLA Southern Theater Command held a departure ceremony on Wednesday in Zhanjiang, South China’s Guangdong Province, on the flight deck of the Jinggangshan, a Type 071 comprehensive landing ship, China Central Television (CCTV) reported on the day.

After the ceremony, the Chinese forces set sail for a port in Cambodia, where they will mobilize motorized vehicles to the exercise area, CCTV reported.

The goal of the exercise is to further advance the comprehensive strategic cooperative partnership between China and Cambodia, enhance political mutual trust, expand military exchanges, and boost the two militaries’ capabilities in anti-terrorism work and humanitarian aid, the report said.

More than 3,000 personnel and over 300 vehicles will participate in the drill, which is the fifth such joint exercise between China and Cambodia, CCTV said.

The Golden Dragon-2023 exercise comes amid China’s intensive foreign military exchanges. Other major events include the ongoing China-Iran-Russia joint naval exercise in the Gulf of Oman, the China-Russia-South Africa joint naval exercise off the South African coast in late February, the AMAN-23 multinational maritime drills in Pakistan in early February, the Edelweiss Raid 2023 international mountain infantry competition in Austria in late February, and the Cobra Gold 2023 joint exercise in Thailand from February to March.

China’s participation in all of these exercises is focused on communication, exchanges and cooperation to boost understanding and joint capabilities. The training subjects focused on safeguarding regional peace and stability from non-traditional security threats such as terrorism, piracy and natural disasters, a Chinese military expert who requested anonymity told the Global Times on Thursday.

In the post-COVID era, the Chinese military will continue to resume, expand and deepen foreign exchanges, contributing to peace and stability and displaying China’s international responsibilities, Zhuo Hua, an international affairs expert at the School of International Relations and Diplomacy of Beijing Foreign Studies University, told the Global Times.

By comparison, the US has been rallying gangs in exercises that stir up regional military tension and serve its hegemonic geopolitical aims, experts said, citing events like the recent US-Philippines Balikatan exercise, the US-Japan Iron Fist exercise and the US-South Korea Ulchi Freedom Shield exercise.

The world should see that the Chinese military is providing public security goods to the international community and acting as a stability factor for peace, while the US is creating tensions and even conflicts for its own interests, observers said.

Chumbawamba – Tubthumping

“Gender Fluid” Director of Credit Suisse Draws Scrutiny as Bank Collapsing

As the world watches the stock value of Credit Suisse implode, people are asking how this could happen. That question is causing attention to be paid to the company Directors; one of whom is “Gender Fluid.” Folks are now asking “How can this guy run a company when he can’t even decide if he’s a man or a woman?”

Director Credit Suisse Gender Fluid large
Director Credit Suisse Gender Fluid large

Pictured above is Credit Suisse Director Philip Bunce.  However, depending on how he feels on any given day, he may come to work dressed in a wig and women’s clothes, calling himself “Pips” Bunce.

And while he’s busy trying to decide on whether he is a male or female on any given day, the company he is supposed to be Directing is seeing it’s stock value collapse.

Of course, none of this would matter in most other business situations, but Credit Suisse just happens to be a “Systemically important” bank.   Now that it is is serious liquidity trouble, the company and its Directors have BECOME the public’s business because the public is being asked to “backstop” Credit Suisse with about $54 Billion in liquidity from public funds through the Swiss National Bank.

Switzerland has agreed to provide that funding.  Yet folks are rightly asking whether or not this “Director” should continue to be with the firm now that his actions and those of the other Directors, have made Credit Suisse a public welfare recipient?

Maybe Mr./Ms. Bunce should be sent on its merry way and be replaced with someone who is actually mentally/sexually stable, who can actually do the job necessary to make the company solvent and stable?

On, and the other “Directors” who hired this . . . . thing . . . . it seems to many people THEY should be given THEIR walking papers as well.  Clearly, THEIR judgement – in hiring this . . . . thing . . . . – seems questionable.

Confessions of a Hypersexual Woman

 

What are your urges like?

The need for sex is constantly present. The pleasure it brings is pure euphoria. And I have the constant need for it so when I get it, I want it even more. All the time. The better the sex, the more sex, the happier I am.

Is having a relationship hard?

It’s hard on my partner. We hooked up when I was 16 and I was needing to have sex multiple times a day. At one point 10 times in one day. Which he could keep up with back then. But now 10 years later he is understanding of my needs but not quite meeting them. In 2020 he agreed to letting me do onlyfans to get some of my needs out without being unfaithful. But became uncomfortable with that after a while. It does put a strain on our relationship because his sex drive cannot match mine.

Have either of you brought up an open relationship?

We’ve talked about it and it’s just not for us. I NEED sex but I want it from him. And he doesn’t want me with anyone else. And I don’t want to be with anyone else.

That’s not to say I don’t have strong urges that could make me cheat and I do worry about what would happen if I were put into a position of temptation.

Do you avoid situations where you cheat? Like bars or clubs?

I do go out but I have to bring one of my sisters with me who will decide when I’m getting out of line or in a dangerous situation and have a bouncer wait outside with us for a ride/Uber. But I go out less now since I’ve put my sisters in situations where they feel I/they are unsafe.

When I drink I become very bubbly and friendly and sometimes respond to that behavior in ways that could get me in trouble or seem like an invitation.

Is it the act of sex (penetration) or the orgasm that you seek? Like, is masturbation a part of it as well?

I would say it’s both. It started getting worse around 12 with like obsessive masturbating. But now I also need the penetration to feel close to someone.

Does it satisfy you if he uses toys on you? Is that an option for you to get your needs met and him to be a part of it?

Absolutely! But he works a lot so he’s often tired and has to go to bed early

Have you tried denying/avoiding those instincts/feelings for a while? If you did how long have you lasted?

Even after having a baby I was supposed to wait 6 weeks to have sex and I only waited 2 lol it’s complicated to explain I guess. I need sex to be happy and I need it very often. I’m very horny all the time and I get disappointed and upset if I can’t have it. Which can cause problems

How does your sex drive correlate to your mood? Does bad/good mood bring it down temporarily?

Usually when my head is in a bad place I want it even more and I’m pan

Is there an event in your life that contributed to your hyper-sexuality? Was there any sexual abuse that you think may have led to your hyper sexuality?

I was molested at age 7. But also very over sexualized by men from a young age due to my features. And then I was introduced to chat rooms like Omegle around 12 and would have inappropriate relationships with adult men

Have you found any solutions or working towards a solution to break your addiction?

It has gotten better over the years to wear I can go 2-3 nights a week without it but meds do not work for my specific mental illness, I’ve done 10 years of therapy and 7 years with a psychiatrist.

Were you diagnosed?

Borderline personality disorder. I’m diagnosed with ptsd as well.

Have you been prescribed medicaiton?

I have been on Latuda, Wellbutrin, Prozac, Zoloft, lamictal, risperdal, the list continues. 7 years of different medication combinations with little to no difference in most symptoms.

How do you counter this huge thing in your life to allow yourself to lead a normal life?

I mean it’s not debilitating I still function and do things like a normal person

Obviously you were a victim in your childhood, do you resent your hyper-sexuality sometimes because of the circumstances in which you got it?

I guess it’s hard for me to really resent sex because I do love it. I guess I would like it if it wasn’t too much for my partner sometimes but it’s not his fault it’s fully on me

Russian Navy Blockades Downed US Drone

RussianNavyBlockadesDownedUSdrone large
RussianNavyBlockadesDownedUSdrone large

The Russian Navy has located the downed US MQ-9 “Reaper” Drone in the Black Sea, about 50 nautical miles from Sevastopol and has created a blockade around the crash site.

The Russian Navy salvage Vessel Kumma is enroute to the location.

RussianNavtSalvageShip
RussianNavtSalvageShip

 

It is reportedly going to attempt to retrieve the drone, which is said to be under about 90 meters of water.

The Just Won’t Stop – NYT Pushes New False Claims By Debunked Anti-Russia Propagandist Clint Watts

This propaganda is way too obvious.

Russia’s Spring Offensive in Ukraine Could Include Cyberattacks, Microsoft SaysNew York Times, Mar 16 2023
Moscow also appears to be stepping up influence operations to weaken European and U.S. support for sending more aid to the Ukrainian government.

A hacking group with ties to the Russian government appears to be preparing new cyberattacks on Ukraine’s infrastructure and government offices, Microsoft said in a report on Wednesday, suggesting that Russia’s long-anticipated spring offensive could include action in cyberspace, as well as on the ground.

For now Russia’s main influence campaign is concentrated in Europe, but it will shift to the United States “as the year gets closer to a presidential election debate going into fall,” said Clint Watts, the head of Microsoft’s Digital Threat Analysis Center.

Where, again, have I seen that name?

Latest Twitter Files show media, Dems relied on single source alleging ‘Russian bot’ activity: ‘It was a scam’Foxnews, Jan 28, 2023
Elon Musk says ‘shame on MSNBC’ for pushing misleading Russian bots narratives

Substack writer Matt Taibbi previously reported how top Democrats like California Democratic Rep. Adam Schiff and Sen. Dianne Feinstein, as well as Connecticut Democratic Sen. Richard Blumenthal, kept promoting claims that the Kremlin had significant influence in public discourse despite being told otherwise by Twitter executives.On Friday, Taibbi did a deep dive into their source, Hamilton 68, a so-called “dashboard” that purportedly monitored Russian bot activity.

Hamilton 68, which was spearheaded by former FBI special agent and MSNBC contributor Clint Watts, was operated by the Alliance for Securing Democracy (ASD), a “neoliberal think tank” founded in 2017 with an advisory council that includes Clinton ally John Podesta, former Obama-era acting CIA director Michael Morrell, former Obama official Michael McFaul and The Bulwark editor-at-large Bill Kristol.

Taibbi wrote Hamilton 68 “was the source of hundreds if not thousands of mainstream print and TV news stories in the Trump years.”

But behind the scenes, Twitter executives trashed Hamilton 68 and deliberated whether they should publicly rebuke ASD.

“I think we need to just call this out on the bulls— it is,” Twitter’s then-head of trust and safety Yoel Roth wrote in an October 2017 email, later writing in January 2018 that the dashboard “falsely accuses a bunch of legitimate right-leaning accounts of being Russian bots.”

“Virtually any conclusion drawn from it will take conversations in conservative circles on Twitter and accuse them of being Russian,” Roth wrote in February 2018.

Despite such fact based reporting three big wig NYT ‘reporters’, Julian E. Barnes, David E. Sanger and Marc Santora, continue to repeat the baseless ‘disinformation’ lies of the known anti-Russia propagandist Clint Watts . This without adding any critical context.

As the first commentator on my previous media education piece noted:

Reporters are garbage.

I would not generalize it like that. Matt Taibbi for one is a good reporter. But some other ‘reporters’ are indeed producing nothing but a constant stream of the most stinking refuse ever.

Posted by b on March 16, 2023 at 9:46 UTC | Permalink

2023 03 17 11 58
2023 03 17 11 58

2023 03 17 11 56
2023 03 17 11 56

Confessions of Parents Who Absolutely Regret Having Children

 

1. I’m tired of people trying to make me feel bad because I didn’t want to deal with this nightmare of a diagnosis. I straight up admit I absolutely did not fucking want a special needs child which is why I aborted my first pregnancy – there was a chromosomal abnormality so I noped out real quick.

Got just about every damn test you could with the second pregnancy and everything was fine. But no. Autism.

All I ever fucking wanted was a normal family, is that so much to ask? My life growing up was walking on eggshells because of my mentally ill father and intellectually disabled sister. Then I was free. Only to get dragged back into hell.

I’m tired of all the extravagant accommodations and never ending extra shit that goes into autism. We’re supposed to bend over backwards to children who only care about their immediate needs and themselves no matter what the fuck anyone else’s needs are – and then we get blamed for churning out entitled assholes.

I’m tired of this broken fucking kid and never ending heavy burden. While I would never hurt him I can absolutely see how this breaks some parents and these nightmare kids end up getting thrown off a bridge. (I’m not saying I would throw him off a bridge you drama queens, I’m saying I can understand how parents snap)

Pre natal diagnostics needs to get on the fucking ball.

Edit: like moths to a flame the autists are in full force to bitch about how awful I am.

Autism isn’t a shield for shit behavior. I’m allowed to be irritated with shit behavior no matter the origin. I’m human.

Guess what, you don’t live in a vacuum and your caregivers matter too. I’m sorry (not sorry) that the truth of raising an autistic child triggers you so much but, well, it’s not my job to cater to your feelings. Go somewhere else if you hate it so much.

2. My (40M) son (12M) has been physically and verbally abusing my wife (42F) and daughter (9F) for 3-4 years. Dozens of medicinal combinations, 4 hospitalizations (writing this from the hospital while waiting for a placement for his 5th), 8 months in a residential center, making his needs/problems the center of our lives (wife has had not worked or done anything but be his full time caretaker for years), have yielded no relief. I pay for a house the wife+kids live in, and an apartment I live in and work from a few miles away, because my presence/existence is an irritant to my son (and wife prioritizes son’s preferences/comfort above all else), and my daughter occasionally has to stay in the apartment with me when son attacks her.

Yesterday, wife and MIL and both kids went for ice cream, but the store was unexpectedly closed. That disruption in plans was enough that son escalated from standard daily behavior of punching my wife, to attempting to strangle her, and attacked elderly MIL with a heavy wooden board (luckily she knocked it out of his hands and was uninjured).

So, marriage in shambles, finances and mental health destroyed, daughter traumatized… all societal systems (US) from hospitals to cops to therapists to public schools to private schools to psychiatrists to psychologists to residential centers to crisis response (and probably more I’m forgetting) unable to help at all.

My daughter is mostly a joy and (aside from removing what she’s been exposed to) I would change nothing about her.

I regret my son’s existence.

3. The actual reason I had a kid was just pressure from society. I mean, this is what people are supposed to do you know? I’ve always made so much effort ticking all the boxes what people are “supposed to do”. I’m 30 years old and my biological clock is ticking. All my friends have kids so I thought to myself that it was now or never. Now I have this beautiful, healthy, lovely 2 year old whom I love more than words – make no mistake, I’m a good mom. But what I want is sleeping in, going to the gym whenever I want, travel, doing spontaneous things etc. That was my life before my daughter was born. I don’t feel this “rewarding” feeling everyone are talking about. I feel bitter and unfulfilled. I wouldn’t dare saying those things out loud to anyone.

4. I was told the moment you push out your baby & hold it in your arms is the most amazing, most magical, euphoric moment you will ever experience in your entire life.

So there I was..in the hospital, holding my new baby, waiting for it… I felt NOTHING. But I did lose a lot of blood though. I was told that C-Sections are not that bad. I’ll be fine! I couldn’t talk for weeks & barely had any energy to move. But I do have a long nasty cool looking scar that my wax lady points out to me every time I get a wax.

I was told that my breast would just go back to my regular size. My breast are so flat and saggy that I literally have to rush to put clothes on after I get out the shower bc I hate lookin in the mirror. I was told that it’s just “baby weight” it’ll go away after birth. My stomach is so fat & sloppy that it looks like I’m in the early stages of pregnancy.

I was told by my OBGYN that “I’m just in a phase, I’ll get my confidence back!” Today, as I write this in tears, I haven’t felt like me in years. Something’s off..I always look like I’m feeling & feel how I look (which is ugly).

I was told that “Kids are a blessing, you’ll enjoy it!” I literally look forward to every freakin day & night when my kid goes to sleep for that little peace & quiet time that I have to myself. This is the biggest highlight of my day! I use every bit of that time thinking about all that I could be right now before I enter parenthood.

I was told that I have “18 Summers to get it right” That is true & I take that to the heart, but I might just spend my whole adulthood living for my kid & I haven’t even enjoyed my life yet. Thing is, I could be the best parent ever & it still won’t ever be enough cause in the end, kids grow into individuals w/ a mind of their own. 70% comes from me & the other 30% will come from life itself. Life is the greatest teacher. Hopefully when she turns 18, I’ll have something to look back & smile about.

Knowing all the sacrifices, blood, sweat & tears it took to get here will be more than enough for my warm heart to accept. I wait everyday for that moment. I was told that this sht comes easy, being a parent is natural. I’ve been a mom for damn near 3 years & ain’t sht been easy yet. Literally been winging this sh*t since day 1.

I was told just taking 10 mins for yourself will do wonders for you. I can’t even take a shower w/o thinking I’m hearing someone crying & banging on my bathroom door. I was told that child support payments will ease the load. The court ordered $194 in payments & he doesn’t even pay that. I was told from friends & family that I have their support. I’ve had to quit so many jobs bc I had no one to watch her. I had to steal food so many times bc I just don’t have it right now. I was told that it’ll get easier, when?

The fact is, I was lied to.

5. My son is gifted. He’s also a gigantic fucking asshole.

What they see is the tiniest little sliver of a moment, and have no idea that the rest of the time is absolutely exhausting. He has behavior problems, is constantly argumentative, and lives to push every fucking one of my buttons every single goddamn day. It is honestly a battle not to hit him the way I would have been, and my reward for restraint and respecting his person is constantly eating shit.

He has no friends, acts half his age, and is a gigantic brat no matter what we do. I’ve had to give up my life to revolve around his, and I expected to be done by now honestly. Most mothers can get back to work when their kid starts school… I cant.

All of my fucking time is taken up by his endless needs, the time he’s in school is the only time I can get anything meaningful done. The entire parental load is dumped on me, as well as every speck of housework, and society thinks I need to bring in an income too because I’m not doing enough?

It’s all shit. All of it.

When he is on stage and captivates everyone, if just for a moment… I would trade all of it to go back and remain childless. I see parents whisper to each other that they wish their kid could be more like mine and it makes me want to cry. Because they don’t realize how difficult having a gifted kid is. Honestly I would have preferred a normal child.

I put on a brave face though, and gush about how proud I am. But I’m dying inside.

Lots of us regret. Even the ones you would never think do. But I regret all of it.

It’s funny how when I was younger the idea of a hardworking husband that could afford for me to be a stay at home mother to a gifted kid – that was like a dream scenario.

But that’s exactly what I got, and it’s a prison.

I love him and I will continue to do my best for him, but Christ this is the worst job I’ve ever had.

6. I fucking hate being a mother (and wife). There, I said it.

I’ll preface with saying that I do love my children , but It absolutely drains every single part of my being. To the point where I’m not sure I can keep going much longer.

I hate how I went undiagnosed with a neurological disorder my entire life until recently, which makes being a parent/partner so damn difficult. I could have made better choices had I known.

I hate that I grew up thinking because I was a girl, having kids was just part of life. I hate how we don’t normalize conversations surrounding the topic of NOT having children.

I hate that I even feel this way. Not like they asked to be here. So I go through the motions and try my best . For them. But what I wouldn’t give to go back 20 years and make different choices.

Confessions of a Tech CEO Who Had Millions Tied Up In Silicon Valley Bank

So something like from that show Silicon Valley? You stocks went from millions to nothing?

Worse. Our bank account had millions of dollars in cash in it which we use to pay rent, employees, etc. All of that money has been frozen now that the bank has collapsed and the FDIC has stepped in. We can’t access it, use it, or transfer it to another bank.

This has happened to countless companies. Hundreds of companies missed payroll on Friday or will miss payroll over the next few days.

Why would you keep so much in one bank knowing it’s uninsured? Why not buy US treasuries as an alternative?

There’s a lot to dig into here, and arguably this is the most important cultural shift that needs to occur amongst venture-backed companies going forward.

Large companies – and thus more mature ones – absolutely diversify. For that reason, SVBs implosion is mostly hurting small and medium sized startups who maintained all of their capital in SVB. The question is: why were these startups not more proactively defensive? I think there’s a lot of contributing factors.

1) Most early stage startups are founded by and focus entirely on employing non-admin talent, meaning no HR, no finance, etc. In fact, one of our investors (a tier 1 investor with several billion dollar funds) explicitly talked me out of hiring a CFO until we were “50-100 employees”. So, what you end up with is a talent pool of specialists whose strength and focus isn’t in financial risk aversion, but rather in the skills needed to build product, find traction, and drive growth.

2) Focus. In early stage startups, you’re so frantically working to find product/market fit, recruit key talent, close customers, and navigate investors that you quickly deprioritize anything that doesn’t immediately drive revenue or product market fit. This leads to a bunch of blind spots in the business that are easy to take for granted. One is financial risk aversion. There are only so many tasks you can commit your attention to each day, and the purely administrative ones tend to fall by the wayside.

3) Convenience. Take your typical seed stage startup. In 2019, a seed round would be 2 million, plus or minus. In 2021, that same seed stage round could be 4-8. That means 32 bank accounts required to ensure that no more than $250k is present in any account. Amongst all of the other stuff you have to do as both a manager and individual contributor, this degree of oversight feels untenable.

4) Hubris. Probably a bit too strong language, but worth at least mentioning. Startups are inherently risky and financially insecure businesses, but we tend to have faith that our institutional partners — VCs, banks, etc. — are trustworthy and secure. We try to focus on the things we are most in a position to control, and we trust our partners to support us in the gaps. That’s not a good perspective to have going forward.

There are a lot of reasons. Going forward, all startups should probably have CFOs actively protecting cash. That hasn’t been the standard in the past for small companies. It should be going forward.

You weren’t notified of the potential problem before ?

I received an email.at 3:09 ET on Thursday.from.one of our investors saying, “This is probably alarmist, but you might want to move your money out of SVB.” That’s it. I immediately contacted another bank, but by the time the application was submitted, approved, created, and transfer submitted, it was already too late. About 16 hours.

Do you think your company can bounce back from this?

The next few days are critical.

The industry is expecting the FDIC to provide $250k in insurance on Monday. If that miraculously happens, it provides limited relief for the smaller companies, of which mine is one. With that $250k, I can make 2 payrolls. So, that gives me 3 weeks to figure out our next step.

The biggest question is whether or not the government will step in to make all of the depositors whole (meaning ensure companies like mine get access to the cash we already had). Even if that happens, there’s no way to know how quickly that can occur. Many Americans don’t think it should at all.

If that doesn’t occur, then we’ll likely be looking for a new source of capital (probably an investment) and use that to keep the company alive long enough to hopefully find a buyer.

What happens to a company that can’t make payroll?

We held a 2 hour company wide call, during which I explained what happened, what’s next, and the options we have. Then we did breakout sessions with each team. People are understandably concerned, but not because they’re in the dark.

There is a lot of he said/she said going on about when any of this money will be returned. The truth is nobody knows. My plan A is to access (hopefully on Monday) the FDIC $250k insurance to cover my team’s next two payroll cycles. That gives me time to do two things: 1) see if there’s a short-term resolution of SVB that benefits us, and 2) work with our partners in a bridge loan. The latter is the most likely path for any early-stage startup that has the option.

After that, who knows. If it takes months or years for any of the capital to be returned, then probably look for an acquirer so my team has a soft landing somewhere.

Do you think that the failures on the bank should be settled by tax payer money?

It’s a great question. I think the potential reverberating damage of not making the depositors as whole as possible is catastrophic. Not just for those companies, but for the us economy itself and the future of the US as a global innovator. Seeing online chatter, it’s clear to me that most people don’t understand how broad reaching this situation is. It’s MUCH bigger than a few “coastal leftist capitalist millionaires”.

Does that mean that taxpayers should be responsible? No. Ideally the capital would come from another bank acquiring the assets over the coming days/weeks. That seems unlikely, at least at a price that would cover all depositors.

Somebody is getting fucked. It shouldn’t be the depositors who only held cash. And it shouldn’t be the taxpayers. Very difficult situation.

Who do you think will be the White Knight? (Do you believe there will be one?)

Interesting question. No for-profit institution can truly be the white knight. They’re self-interested parties (which is fine) and are going to try to acquire the assets for pennies on the dollar. Meaning whatever is left will be a fraction of what was there before. The govt. Can certainly intervene, but to what end.

Ultimately, I think the question is really: Who is going to get screwed over the most in order to protect the rest.

If the government is the only way to make yourselves whole, what are your thoughts about the government taking equity positions in those companies rather than providing a cash bailout?

We need cash to operate. If that cash is in exchange for equity, I’m generally okay with the idea. In fact, I would personally love a closer relationship between govt and innovation companies. I have long maintained that we need our best people thinking about the biggest problems – govt, education, healthcare, etc. But because public sector salaries can’t compete, we often pool too much top talent in the private sector. A closer relationship between the two might have positive outcomes.

Did you start the company or just rise up to the position?

I started the company with two co-founders. They made salaries first. I started getting paid about a year later.

CEO compensation varies WIDELY by company, stage, and sector. I firmly believe that CEOs at most large corporations are grossly overpaid. That is far from my personal case. I currently make $150k/yr. I’m far from the highest paid employee at my own company. For early stage companies, there is a director correlation between a startup’s likelihood to fail and how much s/he pays him/herself. I made much more at previous companies, but founder/CEOs typically don’t work for the salary. They work for the potential equity outcome.

What were your roles and responsibilities as a CEO for this company.

At my stage, the primary responsibilities are hiring, budgeting, HR, team management, fundraising, and investor relations. After that, each CEO has a unique set of skills based on their background which determine what else they do. My background is in product management, so I also lead the design and development of our software product.

Typically external CEOs are brought into a startup after the company has achieved a certain degree of scale. Maybe the founder is ready to move on, or maybe the company needs someone with more expertise at that growth stage. Typically external CEOs come from within the existing social network, via investor introductions, or through an executive recruiter.

17 People Reveal The Biggest Problem Plaguing Their Life Right Now

 

I’m 60. My biggest problem is having to work 50 or 60 (or more) hours a week just to keep up with the bills. Plus I have a bedridden wife with cancer and we’re raising our oldest grandson. As Sargeant Murtaugh once said, “I’m getting too old for this shit.”

37 and I’m going blind. I don’t know how long it will take, when I will be legally blind and unable to live my life with the richness, independence and everything else I expected, but it’s coming. It’s a crushing inevitability. Every day that I get to see my loved ones faces is a gift.

40’s and I drink too much and need to lose weight. I only drank 3 times in February and I’ve increased my daily walks so I’m working on it!

I’m just trying to find some reasons to not hate my own existence. But here we are. A couple days ago was my 43rd. My finances suck. I’ve been depressed most of my adult life but I’m just really sad right now too. There is a difference between sad and depressed and I’m both right now. And I don’t deserve to be either, I’m healthy, I still have all my limbs and digits thanks to modern medicine, and there are people who care about me, which makes the depression just feel like even more of a failure. I hope you feel better soon. I hope I do too.

74 and don’t really have any problems other knowing my time is limited. Don’t buy any green bananas. 🙂

78, and knowing I am terminal. I can handle it, but everybody else is in denial. I’m hearing lots of, “After all, doctors don’t know everything, do they?”

No. They don’t. But they do know the five-year survival rate is 1%. Now let’s all say that together boys and girls.

“The five-year survival rate is 1%.”

59 and my thoughts are consumed with losing my wife(and best friend). I’ve loved her for 42 years. I want 42 more.

The older I get the shorter it all seems, Ive heard the same from everyone. Everyone pretends to be at peace, I thinik it’s more for the others than that they really believe it. There isn’t anything you can tell someone when they are 16 that they will ever truly understand until they’re 60. I suppose this is where the bitter sweet thing hits. But it hits really fucking hard when it does.

61(F)… Relationship heartache and likely to be let go at work. I’m too old for either of these when 6 years away from retirement.

29. All my bills are going up, but my paycheck is not.

I’m 62 and I am watching my wife die day by day from pancreatic cancer. She is the love of my life, God’s gift to me. I had been married before but never have I known love until I met her. I cannot breathe. I cannot cry because I must be strong for my beautiful bride. My heart is breaking day by day. When the end comes I cannot imagine living a day without her smile and laughter.

My mother just passed away, leaving me with implied responsibility for my same-aged brother with special needs. There was no plan, despite me begging them for years to figure something out. I live ten hours away and work full-time plus. Now I’m supposed to figure it all out.

31, grief, anxiety, money, never being able to afford a home and by extension claw my way out of poverty. I have more money now than I ever did in my life and it still won’t get me anywhere.

55, live alone, work 100% from home, and have no friends and family. Shit be lonely.

27 and more and more I’m coming to the horrifying realization that I don’t really like the world, where it’s headed, the way we idolize and reward cruelty and selfishness, the way the world is just kind of… ugly. This is not the world I envisioned living in when I was younger, and that crushing realization is a lot to come to terms with. Some days are especially difficult. Other days I wonder whether it’s worth sticking around for something I dislike so much.

I’m 48 and my son is 16. He has a muscle eating disease call Muscular Dystrophy and has lost the use of his legs, his arms have weakened to the point that he can barely lift a glass and he’s in a wheelchair. He has an upcoming major surgery for scoliosis (caused by the disease) that will enable him completely for up to a week. He worries about it and about the disease (dying) and on top of that, he gets very depressed about not being able to do the things that other kids his age can do. I worry constantly about him, but there is nothing I can do. That’s my biggest problem (he’s not the problem, but the fact I can’t do anything but worry).

My kids won’t stop getting sick. They’re missing so much school. It’s like their bodies have decided to just alternate weeks with different respiratory viruses.

via

1678345751 qeb4jggynm
1678345751 qeb4jggynm

1678345662 drht25qqgv
1678345662 drht25qqgv

1678345681 5qzblytzev
1678345681 5qzblytzev

1678345691 569iee54ce
1678345691 569iee54ce

1678345704 11zvggbnwn
1678345704 11zvggbnwn

1678345753 82tcs5uyie
1678345753 82tcs5uyie

1678345704 cn8jyd74mq
1678345704 cn8jyd74mq

1678345694 khjmjufgf7
1678345694 khjmjufgf7

1678345685 jsmcw3pbh6
1678345685 jsmcw3pbh6

1678345719 szxfs6a4o6
1678345719 szxfs6a4o6

1678345730 va02bnt9ub
1678345730 va02bnt9ub

1678345714 cw4kot6zr4
1678345714 cw4kot6zr4

1678345680 i81sdq8xg4
1678345680 i81sdq8xg4

1678345752 yq45a61mrx
1678345752 yq45a61mrx

1678345733 wmvrk7spla
1678345733 wmvrk7spla

1678345715 vkqbta4ofk
1678345715 vkqbta4ofk

1678345696 h8dg67k2vc
1678345696 h8dg67k2vc

1678345725 shz86f8jtv
1678345725 shz86f8jtv

1678345672 61h4zyxu4w
1678345672 61h4zyxu4w

1678345696 mmm6am3fw6
1678345696 mmm6am3fw6

1678345686 3p9vcxxhtv
1678345686 3p9vcxxhtv

1678345717 oxl98jo0w4
1678345717 oxl98jo0w4

1678345747 xo4yimir33
1678345747 xo4yimir33

1678345695 fa6kzrmn24
1678345695 fa6kzrmn24

1678345704 ltku0kayfr
1678345704 ltku0kayfr

2023 03 17 14 40
2023 03 17 14 40

1678345743 gezit5pl1n
1678345743 gezit5pl1n

1678345711 fy1k4860gx
1678345711 fy1k4860gx

1678345747 xpgkj10699
1678345747 xpgkj10699

1678345680 quzh42e57s
1678345680 quzh42e57s

1678345679 8c5ph557lw
1678345679 8c5ph557lw

1678345671 eqqxkmtlgn
1678345671 eqqxkmtlgn

1678345702 q05yrqa2x0
1678345702 q05yrqa2x0

2023 03 17 14 38
2023 03 17 14 38

This video was hidden inside the movie folder in all Windows 95.

30 of the Best Non-Sexual Feelings in the World

 

1. When you unexpectedly catch a smell that reminds you of a person or a place that you love.

2. Laying awake with someone, and being so lost in conversation that you talk for hours without even realizing it.

3. When something funny catches you off guard in just the right way, and you laugh uncontrollably.

4. A dog or cat or just a fluffy, non threatening animal coming up to you and cuddling you until you can’t breath.

5. Being close to someone you have a crush on and just nearly touching. The almost touch is a magical thing.

6. Farting away a stomach ache

7. Seeing someone happy with the gift you gave them.

8. Taking a piss after holding it for the whole car ride

9. Getting that popcorn kernel out of your teeth

10. Tingles from listening to some good music

11. Having a 3 day weekend and waking up on that Saturday realizing you still have two more days off.

12. Having a conversation with someone who’s genuinely interested in what you have to say

13. Waking up in the middle of the night and realizing you still have 5 hrs more to sleep.

14. Head massage. Even those wire “hands” you can get to do it yourself feel amazing.

15. Sleeping in a bed with clean and warm sheets straight out of the dryer.

16. That moment of clarity when your brain stops going and you’re just present, wherever you are.

17. Silence. Just go to an area with no civilization whatsoever and sit. No expectations, obligations or unnecessary needs.

18. Waking up at 3 am with massive thirst and then you take that nice, cold and godly sip of water

19. First sip of coffee when you wake up on vacation.

20. Water coming out of your ear after it’s been stuck there for a bit.

21. When you’re at someone’s house and their pet chooses your lap to sit on.

22. Taking a smooth, efficient, clean poop. Also taking a huge shit that you’ve been holding for too long.

23. Contagious laughter, to the point no one remembers what made us start laughing.

24. Love. Long ago in a relationship -I said something awkward that revealed my feelings but not directly and the response was ‘I love you too stupid, let’s go get some coffee’

25. When you find yourself genuinely looking forward to the next time you’ll see/talk to someone, then you realize you’re smiling like an idiot.

26. When you feel like someone truly sees you.

27. When you put down your judgment long enough, to let yourself be proud of the things you’ve accomplished.

28. When someone says, “I love you” for the first time, or you finally muster up the courage to say it yourself.

29. When you get together with siblings or cousins, and laugh for hours while retelling childhood stories that you all have already told 100 times.

30. Watching people enjoy the food you cooked.

Chicken and Sourdough Dumplings

404d2189bc19abeb20e2363c578d8455
404d2189bc19abeb20e2363c578d8455

Ingredients

Dumplings

  • 2 1/2 cups flour
  • 1/2 teaspoon salt
  • 1 teaspoon baking powder
  • 1/2 teaspoon baking soda
  • 3/4 cup milk
  • 1 egg
  • 1/2 cup sourdough starter
  • 1/4 cup vegetable oil or melted shortening
  • 2 quarts boiling water

Chicken

  • 2 tablespoons flour
  • 3 tablespoons melted shortening
  • 1 (6 ounce) can evaporated milk
  • 1/2 cup milk
  • 2/3 cup water
  • 1 (10 ounce) can cream of chicken soup
  • 1/2 teaspoon salt
  • 1/4 cup chopped pimiento
  • 1 (2 to 3 pound) fryer, cooked, boned and cut into bite-size pieces

Instructions

  1. Dumplings: In large bowl, thoroughly stir together flour, salt, baking powder and baking soda.
  2. Combine milk, egg, sourdough starter and vegetable oil or melted shortening and add to dry mixture all at once, stirring just until moist. Drop dough from tablespoon into boiling water. Cover and simmer 15 minutes. Remove with slotted spoon. Drain and place on top of cooked chicken.
  3. Chicken: Over medium heat, add flour to shortening. Stir constantly while adding milks, soup, water, salt, pepper and pimiento. Add chicken. Pour into a 3-quart casserole and top with dumplings.
  4. Bake, uncovered, at 350 degrees F for 10 minutes.

Serves 4 to 6.

All sorts of stuff going on…

Today’s post is going to be all over the place. Geo-politically, China is making moves that will define the future of the globe. The United States is making all sorts of moves about putting dangerous missiles in Taiwan aimed at China, and so China says… We will supply Russia then.

“Your move.”

The USA had best back down, if not, then it’s truly “game over” for the Ukraine conflict. But you know, the Biden administration doesn’t know where the “brakes on the car” are, and the probably outcome is…

  • Offensive missiles in Taiwan – Crossing a Chinese RED LINE.
  • So… China arming Russia.
  • Now, Russia and China together overwhelms the Western war effort.
  • Thus, the Ukraine conflict a big write-off for the West. A loss. BIG.
  • The USA sanctioning China in response.
  • And China is ready, so the result is…
  • An enormous economic collapse in Europe, and the United States.

Spicy times.

Other content here is to mediate the flow of Geo-political stuff with reality. Food, relationships, thoughts and such. Lots of stuff about PA where I came from.

This post is all about where the vector of geopolitical realities are pointing towards.

The world will never be the same. China understands that only a multipolar world will work for such a large and diverse human race. China, Russia, and India understand what they HAVE to do to never allow the West to trample on the rest of the world’s population.

The United States put weapons in Taiwan, and China will put weapons in Russia.

Confessions Of An Unattractive Woman Living In A Superficial World

 

I am ugly. I am unattractive. I know that my skin is awful, my hair is greasy, and society simply does not permit women to weigh as much as I do.

But, mind you, this is not the same as having low self-esteem. Because when I look in the mirror, I hate my body, not myself. I simply shake my head and think, “This isn’t me. This mediocre sack of meat isn’t me. I’m just renting it out, driving it around. It’s a tool. It’s a vehicle. I use it to take myself places that I need to go, and that’s all there is to it.”

 

Ok fine, I’m not Zen enough to actually believe I can escape with that train of thought. The truth is, I am frustrated with the irreconcilable disconnect between my pride and my presence. The acne mask and the fat suit egregiously fail to conform with my mental mockups of my perfectly badass self. I suppose the only real solution then, besides undergoing extensive surgeries, is to upload my conscience to a supercomputer.

Maybe the Singularity will happen, and everything will be great, but in the meantime, I much prefer the Internet to real life interactions because most of you haven’t got a clue as to what I look like, and if you don’t like me it’s because my ideas suck and not because you find my face unpleasant. The Internet allows me to temporarily abandon the limitations of my subpar physical avatar.

Even if people are especially curious about my appearance, I only allow them to make vague inferences based off a single profile picture, uniform across all my social media haunts, taken a very long time ago at a surprisingly flattering angle, in which I actually manage to trick them into thinking I look quite average. Well, I don’t. I’ve gained 50 pounds since then, and academic stress makes my acne flare up like nobody’s business.

Regardless, I decided a while back that everyone has his or her own strengths and weaknesses, and I would do well to focus on my strengths instead of my weaknesses. Even people who are bad at everything are less bad at some things than they are at others. After some introspection, I concluded that I was less bad at learning things than I was at looking pretty, so I would ultimately benefit far more from sharpening my skills and pursuing a technical career than from trying in vain to undo the effects of losing the genetic lottery.

As for the romantic side of things, I avoid unnecessary heartbreak by keeping myself from harboring silly delusions about reciprocated love in the first place. I have rationalized that it is okay for me to be ugly because 1) marriage is not the optimal arrangement for everyone and 2) the human race would likely carry on just fine without my genetic contribution.

I am irritated with the cliché that “everyone is beautiful” because surface friendliness and pretending to be PC don’t solve anything. It doesn’t help the young girl with confidence issues because even if you’re “nice” enough to tell her that she’s beautiful, are you nice enough to, like, actually date her? Words mean nothing without actions, yet it’s patently unfair to expect people not to be shallow because at the end of the day, beauty is beauty, attraction is attraction, and sexual desire is governed by deep-rooted evolutionary impulses that people don’t understand and can’t control.

It would be far more useful to promote the idea that people can contribute to the world in a variety of interesting and fulfilling ways besides making others salivate over their bodies. You can make original scientific breakthroughs! You can regale people with tales of heroic conquest! You can build products that make people’s lives easier! But I guess changing the world wouldn’t make for an effective beauty products campaign.

CS Undergrad at MIT 

Cocada Branca
(White Coconut Flake Candy)

This candy is from Brazil.

2023 03 02 11 34
2023 03 02 11 34

This is a recipe for white coconut candy. It is called “white” because there is also black cocada (cocada preta). The black cocada is made with brown sugar and has a dark brown color.

Ingredients

  • 4 cups granulated sugar
  • 1 cup water
  • 2 coconuts
  • 4 cloves (optional)
  • 1 cinnamon stick (optional)

Instructions

  1. Grate the coconuts with a hand grater (Do not use blender, food processor. Coconut flakes already grated will not taste the same as freshly grated coconuts).
  2. In a large saucepan, combine the water, sugar, cloves and cinnamon. Boil for about 20 minutes or until you get a thick syrup. Test by dropping a full teaspoon of syrup in a glass with water. The syrup should become a soft candy. (You can remove the clove and cinnamon from the syrup at this point).
  3. Remove the saucepan from the heat and mix in the grated coconut with a wooden spoon.
  4. Return the saucepan to heat and cook additional 5 minutes. Perform the water glass test again to make sure it has boiled long enough.
  5. Use a large spoon to drop amounts of melted cocada onto a buttered baking sheet.
  6. Let cocadas cool, then serve.

“I live in Pennsylvania and I can confirm that Nick nailed it in this video! Great work!”

Confessions Of A Former Drug Mule

 

Why did you get into this business?

The city I live in is extremely corrupt. I’ll be honest, I was living at home, working a minimum wage job, paying too much money a month for a decent car (2014 25k car), I was struggling financially overall. I had a hunger for money and power, ultimately leading me to find someone who could get me in, a “recruiter” if you will.

How did you get into this business?

I went to someone in the business. It took me 6 months before he offered me a job

How did you find this guy?

He ended up being a friend of a friend. It took him 6 months to offer me a job because the way I approached him was highly unusual.

Which cartel did you work for?

Cartel del Golfo (Gulf Cartel)


What were the top commodities of your cartel when you were in?

Coke, weed and meth. I never touched meth, that was a whole different league.

What were the wholesale prices of the drugs you were smuggling?

The price of one brick if cocaine in southern Texas/ California is about 19k. In Pittsburgh it’s about 38k.

How much did you make?

3 thousand to 5 thousand a week.

How easy were the jobs? Did you have to come up with creative ways to transport the drugs? If so, what’s your most creative way of doing it?

It was easy. The cartels have been doing this for a long time. They know exactly how to mask the smell and modify the vehicle. I can’t tell you the most creative way I’ve done it. The cartels have adopted it and now use it regularly, sorry.

What was it like the first time?

I wasn’t scared or nervous, I’m not really sure what I felt. I guess if anything I was excited because if it went well then I was going go get 3k for 2 days. The job was to drive from Point A to Point B. My recruiter called me once and hour to check up on my well being. My girl bestfriend would also check up on me every so often, so I was okay mentally. I had to drive for X number of hours and it was exhausting. I didn’t like to stop except for gas and energy drinks. When I finally arrived to my destination I was put in a hotel room where I had to wait 10 hours. This hotel was in the middle of nowhere in a different state so there was nothing to do. When the merchandise was finally unloaded from the vehicle I immediately drove back home. I remember feeling happy and relieved. I turned in the car and got paid.

Were you doing any drugs yourself?

When I got back from a job I would go out and party. I’d get quite a bit of coke as a reward so I’d do that with my friends.

Have you ever at any point had a close call or had your life in imminent danger by the cartel for a mistake or misunderstanding such as losing a load?

The 3 times I was caught and was able to walk away made everyone paranoid. The cartel thought I had ratted them out. I had to convince the boss in Mexico I would never. That was probably the scariest moment I had with them.

I’m more afraid of the cartel than the Feds.

You mentioned that you were more afraid of the cartel than the feds, in reality, how much power would you think they ACTUALLY have in terms of operating a murder in the US from Mexico? I’ve heard that despite they’re financial and man power, they can’t carry out kills in the states like they do easily in Mexico. To the point of having to either contract the killing to an American gang like MS13 or hope the target visits Mexico.

The cartel makes more than 10 billion a year. With enough money they can hire anyone. If they want someone dead then it’s going to happen. Like you stated, they may send their own people or just hire a gang, it really depends.

I was asked if I wanted to kidnap a woman for 10k. I said no. When I got involved in this business I promised myself I would not hurt innocent civilians.

What happened the first time you got caught and how did you walk away?

So the job was to drive from Point A to Point B as usual. There were a total of 4 cars. 1 lead car, followed by me, a buyer behind me and my bosses in the back. This job was delicate. This was the 2nd time I introduced my buyers to my bosses. They had bought 2 bricks of coke, but wanted it higher up north. So basically they were paying me to transport it farther up north. The lead car was purposely speeding in case there was any cops, they’d pull him over and not me. This was just an added precaution. I want to say a good 10 hours in, the 2 cars behind me start losing distance between me and the lead car. I call them and they’re 2 hours away, well fuck… I talk to the lead car and we make the decision to go on anyways. Another 8 hours in I pull over at a gas station and unexpectedly run into the lead car. He tells me the 2 back up cars are 4 hours away from us. He wants to go to a restaurant and wait for them, but I insist on driving forward without them. He agrees. Couple hours later we finally arrive to our destination. Now this is where the stupid luck comes in. There’s 2 hotels right next to each other. My buyer wanted me to stay at the same hotel as him, I think it was a “let’s have each other’s back” type play. I wait for him to get a room and then I walk across the street and get a room at the other hotel. At this point it’s about 2200 and I’m tired. I buy a case of beer and go to my room and wait for my boss. He arrives to the hotel around 0300. Job well done right? Not so fast, my buyers are only buying 2 bricks. The other bricks are being bought by my boss’s clients. Around 1100 my boss tells me he’s going to go meet his clients. He tells me to stay put with the car and as soon as he calls me to head over there with the car. 1 hour later I still don’t recieve a call so I decide to head over to the restaurant downstairs and grab a bite. One of my clients joins me and we start talking about business. 30 minutes later we finish and I walk back to my room.

I insert my keycard only to find it doesn’t work. No big deal, I’ll go to the front desk and have them give me a new one. I walk into the lobby and immediately notice a Police Officer and a DEA agent. The DEA agent was wearing a kevlar vest with DEA in gold on the back of it. They both turn and look at me and I just kept my poker face and approach the front desk clerk. He gives me a new keycard and I leave. I remained as calm as possible and started thinking how I’m gonna get out of this one. I walk upstairs to my room and I look around. Across the street I notice 2 SUVs parked in a way that if shit went down they were in the position to respond immediately. I go with my gut feeling and ditch going inside the room. I walk around aimlessly to see if I was being followed and sure enough I notice a civilian car following me. I walk towards a business and right before I walk in the same car pulls up and the same DEA agent I saw earlier steps out.

Agent 1: What’s your name kid?

Me: I don’t have to answer that.

Agent 1: What kind of car are you driving, is it here?

Me: I’m not answering that.

Agent starts getting frustrated and his partner gets off the vehicle and immediately makes me put my hands behind my head.

Agent 2: Look kid, we’re investigating a car break in and the suspect fits your profile.

Me: They called DEA for a break-in?

Agent 1: Ugh, we’re not DEA. We’re city name police department.

Me: Those 2 unmarked SUVs are yours, your firearm is in your waistband and you’re driving a civilian car. Police department doesn’t operate that way.

Agent 1 and 2: …

Agent 2: What kind of training do you have?

Me: I was a former cop.

They take my I.D. out of my wallet and tell me to stay put. They say they’re going to see if I had any warrants. After about 10 minutes they hand me my I.D. and let me go. I take a bus back home and nobody knows what to think of it. The cartels started pointing fingers at everyone, they even suspected me of being an undercover DEA agent. It was later revealed my boss’s ex ratted us out. To this day, we don’t know what happened to my boss. We’ve tried looking for him in jail/prison through online database but to no avail.

My best theory as to what happened is this. The meeting between my boss and his clients was a setup. The DEA thought he would have the coke in his car and moved in, once they realized he didn’t have the coke they scrambled back to the hotel to try and salvage their operation. They ended up towing the car. My buyers went into hiding and so did I. The DEA never went after me again after this ordeal.

What happened the 2nd time you got caught?

The 2nd time I was smuggling weed and I was caught under different circumstances. The DEA was called in and I thought they would know who I was because of the first time. They did not… So it worked out.

And the 3rd?

The 3rd time I was caught I was in handcuffs and surrounded by state police, local police, DEA, CID (criminal investigations divison), etc. I thought for sure I was going to do some time. When I was able to walk away I knew my lifestyle had to change.

Why were you not imprisoned?

I’ll just leave this link here:

http://statelaws.findlaw.com/texas-law/texas-drug-trafficking-distribution-laws.html

How many people did you rat on to be caught smuggling 3 times and not be in prison?

None at all, but that was the main concern the cartel had.

What did convincing them of such look like?

Basically me going to Mexico and explaining what happened.

How did they respond to the loss in money? Any warnings?

Wasn’t my fault so I was in the clear.

Who’s fault is it?

A bitter ex girlfriend of my boss. She ratted us out. She was killed 1 month later.

How did you eventually leave the cartel (if you did)?

After my 3rd run in with the Feds, everyone said it was in my best interest to quit, which I did.

I was under the impression that joining a cartel was a permanent arrangement. You could just quit whenever and they’d be cool with that? Or was it specifically because of the close call.

As a mule you can quit whenever. By the 3rd time I was somewhat important. In addition to recruiting mules I was also bringing in buyers (people who buy in bulk). When I got caught the 3rd time the big bad boss in Mexico had no problem with me walking.

What’s the process of recruiting other mules involve?

Every “recruiter” has different methods. Me personally I target people who are working minimum wage jobs or college kids.

How much was total wealth at the end? Did you invest it and get out?

I laundered my money into a local bar. I still receive revenue. I made at least 200k

How did you launder the money?

The city I live in is extremely corrupt. Many business owners are tied to the cartel in some way. Cops are on Cartel payroll. All I had to do was ask for a favor and it was granted.

How corrupt are the police, border patrol and government officials in the surrounding border towns? How do they get away with it?

I would say majority of them are corrupt. I don’t mean corrupt in the sense that they’ll fight against their own government, but if they see something they’ll look the other way.

How have your experiences changed your perspective on the world around you

I learned a lot of things about this world. The people you’d least expect buy narcotics in bulk because there is money to be made. Judges, patrol units, court clerks, lawyers, business owners, churches, priests, etc.

Are the cartel bosses as professional and educated as any CEO/executive of a legitimately successful company in the US? What are their credentials? (MBA, etc.)

The top cartel bosses are damn near geniuses from what I’ve seen. To operate an entire network across the states is an accomplishment. Most of them attended school in the states or just learned from being in the business. It was said that if all the top cartel leaders came together to eradicate world-wide poverty they could do so easily.

What do you think it would take to stop the drug smuggling into the US?

Legalize drugs which won’t happen.

The USA wants to send weapons to Ukraine, and so here’s a tit-4-tat Chinese response. Hum.

What Goes On In The Mind Of A Potential School Shooter?

I suffer from Autism, social anxiety, PTSD, and severe anger issues. At school I’m usually mocked by other peers for being the “weird white kid” and called a school shooter a lot because I had a crazy big obsession with the Columbine shooting.

I was and still an 16 yo and live in a small country with strict firearm laws but have access to them.

I was going through a really bad time in my life, I was struggling with my old best friend who helped me through my mental health but started to ghost me and use me for my money, my ex girlfriend at the time has just broken up with me and my social anxiety had turned me into a suicidal and angry mess.

 

I was dumped the night before because my ex liked another guy and I was really really upset and angry because it was like the straw that broke the camels back.

I was at school, this was on the 25th of August 2018 where I was super angry, these kids were picking on me and threatening to beat me up and laughing at me.

I was in a really bad mood and ranting in this journal I carried around where I ranted about my anger when I suddenly got the overwhelming feeling of rage and suicidal thoughts, I started writing about an idea to shoot Up the school.

I was writing quite a lot about it when I decided it would be a good idea to just do it.

I walked out of class when my friend walked past me and I remember saying “dude, go home.” and he asked why and I just said “I’m just going to do something, I recommend you just get the fuck out of here”.

I remember sending a message to my ex basically saying I was doing something and to just forget about me.

She had known I’d had these thoughts for a long time (I’ve had thoughts of brining a firearm to school since I was 10).

She started freaking out, calling me and trying to calm me down, I was about to walk out of school when all of a sudden I realized what I was going to do.

I remember calling the mental health clinic where I live and asking for my psychologist to pick me up and I told them what had nearly happened.

It was a very very scary experience, something that still messes with me to this day.

I’m in a happy relationship with someone else now.

I cut that ex best friend out of my life and still talk to my ex today, we’re good friends.

I’ve been a lot calmer lately after I started doing more therapy with my psychologist about my past bullying and all other stuff going on that I didn’t talk to.

I even temporarily moved to a school for people with mental health to calm down.

I’ve told a few friends about this and I ended up telling my mum and my older brother.

https://youtu.be/yg9eZRHnFGI

Flank Steak with Chimichurri Sauce

The Argentine gauchos grill meats marinated in a chimichurri sauce.

2b09d8edb3fbf02cf2dcd244194270ef
2b09d8edb3fbf02cf2dcd244194270ef

Ingredients

  • 1 (1 1/2 pound) beef flank steak
  • 4 cloves garlic, minced
  • 1 cup vegetable oil
  • 1/2 cup white wine vinegar
  • 1/2 cup lemon juice
  • 1/4 cup minced parsley
  • 1 teaspoon crushed red pepper

Instructions

  1. Cut diamond pattern 1/8 inch deep into both sides of beef. Place beef in non-reactive dish.
  2. Shake remaining ingredients in tightly covered jar.
  3. Pour 1 cup of the sauce over beef. Cover remaining sauce.
  4. Cover and refrigerate beef, turning occasionally, at least 4 hours.
  5. Remove beef from sauce.
  6. Grill beef 4 or 5 inches from medium coals, turning and brushing with sauce once, until desired doneness, 6 to 8 minutes on each side for medium.
  7. Cut beef diagonally across the grain into thin slices.
  8. Serve with reserved sauce.

Yield: 4 to 5 servings

Confessions of a Couple Living The Van Life

 

Quick Facts

  • Age: Madelyn is 22, Caleb is 24
  • Van type: Toyota Hiace Super Custom
  • Miles: 215,000 km
  • Time spent in van: Seven months full time living in the van, a year since we bought the van.
  • Birthplace: Both born in Texas.
  • Relationship status: Engaged
  • Occupation: Self-Employed
  • Average monthly expenses: $1200
  • Go-to van meal: Linguine, Parmesan, and chicken sausage.
  • Favorite parking spot: Anywhere with nice weather, but we especially love the beachside cliffs.

What were you doing before living in a van?

Before the van, we lived in a small apartment. We quit our jobs to work fully for ourselves and start to build our brand. In those days, we would pack up our Fiat and drive across the country with a tent just to have some adventure.

Why did you get started in Van Life?

For us, van life was the best living conditions we could choose for the adventures we wanted to take. It made more financial sense for us to eliminate the bills from the apartment and stationary living.

What’s the best feature about your van?

The best feature of our van is easily the 4wd capability. It’s allowed us to take this vintage beast into some rugged, wild places.

How do you make money?

We consider ourselves to be digital nomads. All of our income comes through the internet and that enables us to be location independent. The majority of that includes branding, marketing, and advertising work.

What are some challenges you face on the road?

For the most part, the challenges we face involve finding proper places to do the simple tasks. Every day is a routine of locating bathrooms, parking spots, places to cook, places to sleep, etc. It can feel overwhelming being on the move so much, but we counter that by spending quality time outside.

What has surprised you most about this lifestyle?

We’ve been most surprised by the wonderful community that exists among vandwellers. We thought van life would be a lonely road until meeting so many amazing people living this same lifestyle. Until you get out there and start your trek, you‘ll never see the others who are on that path. It was unexpected, but we found lifelong friends through our fellow nomads.

It’s raining and you’re stuck in your van for a full day. What do you do?

Since we wake up so early most days, rainy days seem to be the time to sleep in a little later. With the van being so tiny, we like to get out and stretch our legs. We would hit up a coffee shop and maybe hang out at the library. For us, the weather is a huge determining factor for our day and activities.

 

Do you have any tips, tricks or hacks for van life?

We’ve found that the best tips and tricks are found by the locals. If you start exploring a city and finding the heart of it, you’ll run into people who are dying to share amazing advice about the area and region you’re visiting. Countless times we’ve gone on amazing adventures from the advice of locals and even made new friends through the process.

What is the most essential item in your vehicle?

Our most essential item in the van would be our phones. We not only use them to find new adventures, but we work and share our journey through these devices!

If anything, how would you improve your vehicle?

If anything could be changed, we would probably choose to have a high top ceiling. With a tiny van, things already feel tight so that extra head space would make a huge difference.

What is the most rewarding thing about Van Life?

The most rewarding thing about van life is the new possibilities and experiences it opens up. We have made countless memories that would’ve never been possible without the freedom vehicle that is our van.

What kind of advice would you give to couples pursuing the van life?

For couples interested in pursuing van life together, the greatest advice we can share is to start camping first. Get the two of you adventuring and dealing with inconvenient situations and see how you handle the tiny living space (tent or vehicle). The quicker you figure out how to work together through the hectic parts of a nomadic life, the smoother you‘ll find your transition into van life.

What advice would you give to someone starting or thinking about starting Van Life?

For someone interested in getting into van life, we suggest going as minimal as possible! The less stuff you have weighing you down, the easier it’ll be for you to travel and experience life. For us, van life wasn’t about the van. It was about getting OUT of the van and into nature or the world around us. Finding comfort being outside of your van and exploring can make the lifestyle so much more fulfilling.

.

Chuchitos
(Cornmeal Dumplings Stuffed with Meat – Guatemala)

2023 03 02 11 37
2023 03 02 11 37

Ingredients

  • 1 pound boneless chicken or pork
  • 1 tablespoon oil
  • 2 cups sliced ripe tomatoes
  • 1 chile guajillo, seeds and stem removed
  • 2 tablespoons water
  • 4 cups Masa Harina
  • 8 tablespoons margarine (at room temperature)
  • 1 1/2 cups cold water
  • 1 teaspoon salt
  • Fresh green or dried cornhusks, wet

Instructions

  1. Cut the chicken or pork into 1-inch cubes and fry in oil over medium heat for 3 minutes. Set aside.
  2. Process the tomatoes, chile pepper and 2 tablespoons water into a smooth sauce. Set aside.
  3. Mix the masa, margarine, 1 1/2 cups cold water and the salt together into a thick mush.
  4. Put 1/2 cup mush in each wet cornhusk, push an indentation into the mush, and add 1 tablespoon sauce and a chunk of meat.
  5. Cover the stuffing with the mush and wrap the dumpling into a sausage shape with the corn leaves.
  6. Steam the chuchitos over hot water over moderate heat for 1 1/2 hours.
  7. Unwrap and eat warm or at room temperature.

12 People Who Survived Suicide Reveal Their Last Thoughts Before Attempting To Take Their Own Lives

 

1. Tried to overdose on Oxycontin, last thoughts were immediate regret after I pushed the plunger on the syringe all the way down, all I felt was remorse and sadness that I wouldn’t be alive anymore. Woke up 20-24 hours later extremely grateful to be alive and got help with my drug addiction, now 4 year sober on 8/14.

2. I have severe depression and never knew what genuine happiness felt like until I was 17 and on meds from the hospital after my suicide attempt, it was so overwhelming I just started sobbing in the car with my mom, out of nowhere.

Anyway, I had taken a bottle of Xanax when my mom left for church, it wasn’t planned I was just at my mental breaking point and panicked, I sat in the kitchen floor and I felt an overwhelming sense of euphoria, I just kept thinking ‘it’s over. It’s over. It’s over’

I didn’t regret it until my mom found me because she turned around after realizing she left something at home, I will never forgive myself for putting her through that. I’m 24 and the memory of her crying and tell me she loved me and she was sorry still haunts me. I have a lump in my throat just typing this.

3. The 3rd attempt was the final one. After 2 failures (tried to OD on benadryl demerol and vodka, 2nd attempt was hanging), the pain was just too much to handle.

I remember it was the Monday after Easter in 2000 I just turned 21. I came home from my internship with a bottle of skky vodka and sheet plastic. It was after 9pm, I had finished the vodka and put the plastic over my bed. I made a few phone calls to say sorry and got the box cutter out. I had it on my wrist ready to slash down to my elbow, blood began welling up and my father came in.

I saw the look of disappointment in his eyes, just one more thing I did wrong. I went through my window and took off. I went running no idea where to but I wanted to find a busy road to jump into traffic. I jumped in front of a car, it stopped. My friends got out and put me in the car to go to a diner for coffee to sober up.

Sobered up some, took off again looking to jump in the street again. I remember being so tired and fell to the ground. Next thing I know is that I’m in a hospital waking up even more depressed.

I ended up getting the help I needed. I still take zoloft everyday, but I am well adjusted now. Still have ups and downs but never that low. That was 16 years ago and this was the first time I have fully told this tale.

4. “I hope this works.”

“Oh, gods, that hurts!”

“Fuck, I made a mess on the carpet. I always make a mess for other people.”

Getting light headed

“Damn it, he’s going to have to deal with my death if I let this happen here… He doesn’t deserve that.”

Goes to stop the bleeding

“Fuck, why did I do that? So stupid. What will everyone think of me?”

5. I took around 20,000 mg of ibuprofen. At first, all I felt was relief. I wouldn’t have to deal with the shit going on in my head any longer. But I started vomiting, and then I started having these weird visions of how people I know would react to my death. People at my school gossiping about it, my teachers talking to each other in hushed voices. I saw my little sister hearing the news and breaking into tears. I saw her go down the road of self-destruction, just as I did. I saw my mother devastated. Once I stopped having these visions, I threw up some more and told a family member to bring me to the hospital. They got all the ibuprofen out of my system. I’ve been seeing a therapist and I’m doing quite a bit better now.

6. I tried to cut my throat, but I stopped because my dog was scared. I didn’t want to lose her, or my family.

7. “Everything is so fuzzy. This could be it… Goodnight.” I tried to OD several times. It’s a wonder my organs are okay.

The last time I tried to kill myself was by jumping under a car. My last thought was “fucking do it, nobody will care and you know it.” Then a drunk person pulled me back. It hit me- what a selfish way to go. Someone would have had to live with that- hitting a 17 year old girl at 6am on their way to work.

8. I laid down in my bed hearing my husband prepare my infant daughter her nighttime bottle and thought: “she’ll be fine without me, better off in fact.” She didn’t know me yet and I thought my husband and parents could raise her without my toxic damaging influence. All I could think was that I was giving my daughter a gift, a life without me as her mother. She could imagine me as anything she wanted or needed. She’d never know my weaknesses and failures. I’d just be a few photos on her dad’s Facebook. And maybe not even that if he remarried. It was the ultimate feeling of release.

9. I jumped off of a bridge. 80 ft. I remember looking down and instantly diving off. In the air I had done a flip and was thinking “What happens next happens.” Falling was so peaceful, I felt free. It was only a few seconds but it felt like a life time of floating towards heaven. Then I hit the water. I thought I was dead until I took a breath of air and was like “oh shit. it didnt work. lol. now what.” I started laughing really hard and thought “of course i survived. lol. of course this would happen to me. It took me 30 minutes to get out of the Puget Sound. Very cold. Almost drowned. Almost died of hypothermia in the hospital.

I’m so much better now. I wouldn’t say that I am happy that I jumped off of the bridge, but it changed my life for all of the better. I found a therapy that worked for me and wow. lol.

10. I didn’t go through with it… But I wrote down my feelings about the time I came close.

Things all came to a head one night in the parking lot at WalMart. I was devastatingly drunk. Crying. Drowning in self-loathing and alcohol. I hated myself. I hated the person I was. I hated the things I had done to the people that loved me. I wanted to die. I kept a .40 cal semi-auto handgun tucked between the front seats in my truck. I looked at it. I picked it up. I felt like freedom was inside it. I had to let it out. All the pain would go away. Nobody would have to deal with me anymore. I couldn’t do any more damage to anyone if I were gone. I pulled back the hammer, I stuck the barrel into my mouth, it tasted just like it smelled… I started to squeeze the trigger… People in my life started to flash into my mind and the thoughts of how it would affect them. First was my son, 23 at the time. He had a girlfriend blow her head off with a .357 magnum when he was 19. How will this affect him, I asked myself. He got over it once, he’ll get over it again, I answered. I squeezed the trigger a little more. My step-daughters, 20 and 25 at the time, how will this affect them, I asked. They would be glad to see me go, I answered quickly. I squeezed the trigger a little more. My mom, who lost her oldest child to cancer 12 years ago, how will this affect her, I asked myself. She lost one, she can lose another, I answered. I squeezed the trigger a little more. My ex-wife… How would… I stopped squeezing. I saw an image of her on her knees. Crying. Not just crying, bawling. That deep, gut wrenching, terribly broken soul heaving cry. I pulled the gun out of my mouth, unloaded it and threw it into the back seat which was full of everything I owned. I broke down and cried harder than I ever had in my life. That was it. I was done.

11. “Finally I’ll have some peace.”

I tried to overdose- mixed opiates and benzos. My best friend found me and took me back to his place. I was severely loopy. Slept for hours. Woke up and immediately thought “I wasn’t supposed to wake up.” I walked to the pharmacy and bought gel cap sleep aids and liquid sleep aids. Proceeded to chase the entire box of pills with the syrup. Apparently my best friend found me scarfing the pills down in his bed saying “nothing matters.” 911 was called. I ended up in a psych ward for 5 days. I haven’t suffered from suicidal ideation in a while, I manage my depression with talk therapy.

For the record- I never felt regret or that last minute “what have I done?!”

12. I hung myself within the last year. Reasons are…..well my reasons. The last thought I render before blacking out was one of peace. It was the one and only time I remember feeling in control of my own life. I felt, for lack of a better word, right. It scares the absolute shit out of me, because I can’t stop thinking about it, and how much I wish I felt that way always.

Everything seems so much worse now, I have friends who are angry they couldn’t talk me through it so they have cut me off for being “selfish”. The only thing keeping me from trying again is my mom. But it’s strained our relationship.

I feel worthless, and love the feeling of being 100% in control.

This is where MM is from. All of my relatives live in Pittsburgh. The views here are about me. BTW, I used to work in McKeesport / West Elizabeth. Yikes.-MM

 Horrible, eh?

This is where MM lives now…

I own a house here.

Though I talk about my home in Zhuhai, and my home in Zhongshan Tanzhou, my main home is in Shenzhen. Check it out…

“Vaccine-Induced AIDS” – Military Records 500% Increase in HIV after COVID-19 Vax

The Armed Forces of the United States recorded a five hundred percent (500%) increase in AIDS after administering the COVID-19 Vaccine to US Troops. The COVID-19 Vaccine is implicated.

White Blood Cells (WBC) fight-off infection in the human body.   NORMALLY, the level of White cells in blood is usually about 5,000 cells per milliliter.  During an infection, that level jumps – perhaps as high as twenty-thousand (20,000) — until the body kills the invading bacteria or virus. Once the invader is dead, the WBC count returns to the normal range of about 5,000.

After getting the COVID-19 “vaccine” many (very many) people started noticing they were becoming sick more often, and taking far longer to fight-off whatever bug they caught.  Moreover, people with Cancer that had been in remission, suddenly found the cancer was not only back, but had metastasized and spread everywhere.

Doctors performing routine tests on people began noticing the level of WBC was lower than usual.  Instead of having 5,000 WBC as a baseline, Doctors began seeing patients with 4,000, or 3,000, and some as low as 2,000 WBC.  At those levels, the human body does not have enough of its front-line troops to fight-off infection very well.

When the level drops below 1,000, a person gets sick from their own natural gut bacteria, which gets out into the blood stream and they become Septic.  This leads to death.

Now, US military Doctors are seeing AIDS-like levels of WBC in our troops.   They cannot fight off infections.  Doctors are calling this “Vaccine Acquired Immune Deficiency Syndrome “VAIDS.”

It turns out that the COVID-19 “vaccine” contains three proteins found in the HIV virus!

So it now appears that those who got the COVID-19 “vaccines” gave themselves AIDS and will die from it.

The British Boradcasting Corporation (BBC) did a special TV show in the United Kingdom last year about this.   In that show, they revealed that a segment of HIV *** WAS *** used to manufacture the vaccine!   Here is that segment:

 

 

So they knew.   They actually KNEW they were giving a part of the HIV virus to everyone who got their “vaccine.”

Oh, and the people who developed this “vaccine” and others who pushed it off on the public as “safe and effective” . . .  they’re all still out walking free on our streets.

Where are the arrests?

If this pattern of VAIDS continues, then it would seem the COVID-19 “vaccines” will ultimately wipe out about six billion people on the planet.   Death on a scale this planet has never seen before.

Why are the people who did this still out walking free?  Sounds like Criminally Negligent Homicide to me.

Where are the police?

Where are the lawyers, suing?   Or are they just preparing to die like the rest after taking the “vaccine?”    Or maybe THEY didn’t actually take it?   Maybe THEY knew all along what this “vaccine” actually was?

If so, that’s the crime of premeditated murder.

 

The world after Taiwan’s fall

Study says China’s capture of Taiwan – whether with or without a US-led intervention – would be earth-shattering for US credibility
.

Let us start with our bottom line: a failure of the United States to come to Taiwan’s aid – politically, economically, and militarily – in the event of a takeover attempt by the People’s Republic of China (PRC) would devastate the Unites States’ credibility and defense commitments to its allies and partners, not just in the Indo-Pacific, but globally.

If the United States tries but fails to prevent such a takeover, the impact could be equally devastating unless there is a concentrated, coordinated US attempt with like-minded allies and partners to halt further PRC aggression and eventually roll back Beijing’s gains.

This is not a hypothetical assessment. Taiwan has been increasingly under the threat of a military takeover by the PRC and, even today, is under attack politically, economically, psychologically and through so-called “gray zone” military actions short of actual combat.

The US government, US allies and others have begun to pay attention to this problem. Yet, to this day, they have not sufficiently appreciated the strategic implications that such a takeover would generate.

The study

To address this problem, the Pacific Forum has conducted a multi-authored study on “the World After Taiwan’s Fall” with the goal of raising awareness in Washington, key allied capitals and beyond about the consequences of a PRC victory in a war over Taiwan – and, more importantly, to drive them to take appropriate action to prevent it.

On this question, the study provides six national perspectives (US, Australian, Japanese, Korean, Indian and European). Its findings and recommendations were fed into the second round of the Pacific Forum-run Track-2 “US-Taiwan Deterrence and Defense Dialogue” sponsored by the Defense Threat Reduction Agency.

It outlines these strategic implications in two alternative scenarios. In the first scenario, the PRC attacks Taiwan and it falls with no outside assistance from the United States or others. In the other scenario, Taiwan falls to the PRC despite outside assistance – a “too little, too late” scenario.

The study’s main finding is that Taiwan’s fall would have devastating consequences for the United States and many countries in the region and beyond. Regardless of how it happens (without or despite US/allied intervention), Taiwan’s fall to the PRC would be earth-shattering.

The PRC could eclipse US power and influence in the region once and for all. Taiwan’s fall could lead to the advent of a Pax Sinica in which Beijing and its allies would pursue their interests much more aggressively and with complete impunity.

Nuclear proliferation in several parts of the Indo-Pacific could also be the net result of Taiwan’s fall, leading to much more dangerous regional and international security environments.

To several authors, it would thus be necessary to build an Asian equivalent to the North Atlantic Treaty Organization to prevent PRC adventurism and ultimately retake Taiwan.

Accordingly, the United States, its allies and others should take major action – rapidly – to prevent such a development.

In particular, the United States should lead an effort to strengthen collective deterrence and defense in the Indo-Pacific; this is especially important in the aftermath of the Russian invasion of Ukraine, which has shown territory takeovers still happen in the 21st century.

The United States should also give serious consideration to establishing region-wide nuclear sharing arrangements. At a minimum, it should jumpstart research to examine the benefits, costs and risks that such arrangements would bring to the Indo-Pacific security architecture and assess the opportunities and challenges that such a development would present.

Each national perspective imagines broadly similar implications of a PRC takeover of Taiwan.

United States

Ian Easton’s chapter on the US perspective explains that Taiwan’s fall would be disastrous irrespective of how it might happen because the island is a leading democracy, has unique military and intelligence capabilities, plays a critical role in global high-tech supply chains and benefits from a special geographic location in the heart of East Asia.

Easton further contends that the outcome would be especially dire if Taiwan should fall without the United States and others trying (even if they failed) to defend it. The result would be Taiwan’s destruction as a “free and independent country”; the breakdown of the US alliance system, with some allies going nuclear and others falling into the PRC’s diplomatic orbit; and increased PRC influence globally.

Taiwan’s fall after an intense battle between the United States, its allies, and the PRC would not be as bad: Taiwanese resistance fighters would likely fight on, and the United States might be in a position to build a collective deterrence and defense system to keep the PRC in check. Still, the regional and global security orders would be shattered.

Australia

Malcolm Davis’s chapter on the Australian perspective paints a similarly dark picture. Regardless of how Taiwan’s fall might happen, Davis explains, the PRC would be “much better placed to deny US forward presence, to weaken American geopolitical influence in Asia, and expand Beijing’s domination in the region.”

He adds that a US and allied failure to intervene would generate a “highly permissive environment for Beijing from which it could expand its influence and presence as well as coerce other opponents, notably Japan as well as Australia.”

Meanwhile, in the event of a failed US/allied intervention, Davis contends that the outcome would be a substantial US defeat – which would reinforce the perception of US decline – or a protracted high-intensity war with the PRC. Neither outcome would be good for Australia.

Canberra, then, would have to recalibrate and fundamentally rethink its defense policy, its alliance with the United States, and its strategic relationships with other regional partners.

Japan

Matake Kamiya’s chapter on the Japanese perspective argues that Tokyo, too, would regard the Island’s fall to the PRC as deeply troubling. As Kamiya puts it, “If China seizes Taiwan, the consequences – in political, military, economic [terms] and even in terms of values and ideology – would have serious repercussions for Japan.”

Kamiya considers that the outcome of Taiwan’s fall would be “equally bad” whether the fall took place without or despite US/allied assistance.

He points out that, in Japanese eyes, US credibility would be at stake if a PRC takeover took place without US intervention and that the US ability to defend Japan effectively would be seriously questioned in the case of a failed US intervention.

Either way, serious problems would then likely emerge in the US-Japan alliance as a result.

South Korea

Duyeon Kim’s chapter on the Korean perspective echoes Kamiya’s on the Japanese perspective. Kim stresses that “the expected outcomes of Taiwan’s fall for Korea would be the same under the two scenarios – both equally bad in terms of South Korean perceptions and sentiments about the US security commitments to them and their interest in obtaining an independent nuclear deterrent.”

Kim, however, does insist that much would depend on the degree to which South Koreans question US credibility and lose trust in Washington, as well as on the political party in power in Seoul, the state of the US-Korea alliance, the state of Korea-PRC relations and North Korea’s nuclear capabilities and strategic calculus.

Still, she argues that a determining factor would be President Xi Jinping’s worldview and the PRC’s economic situation. Either way, Kim stresses that a “constant outcome” could be an emboldened and more aggressive North Korea.

India

Jabin Jacob’s chapter on the Indian perspective argues that a PRC invasion of Taiwan would “change very little on the ground for India in terms of the bilateral [India-Taiwan] relationship itself.” Yet he explains that a PRC invasion of Taiwan would force India to refocus its national security policy squarely on the PRC, making China its primary threat.

He adds that India would also reconsider its relationship with the United States by distancing itself from Washington – because a post-US world order would be in the making – and, at the same time, seeking to extract concessions from Washington.

More generally, Jacob stresses that Taiwan’s fall would have far-reaching, very negative implications for India in its immediate neighborhood, in its wider Asian and Indian Ocean neighborhood and at the international level.

Europe

Bruno Tertrais’ chapter on the European perspective begins with a reminder that Europe has only recently begun to worry about the PRC and the possibility of a conflict over Taiwan. As a result, views and perceptions on this matter vary widely.

Still, Tertrais explains that Europeans agree that the economic and strategic consequences of Taiwan’s fall to the PRC would be problematic for Europe. Tertrais argues that a failed US/allied intervention would be “less damaging for Europe.” A failure to intervene risks inviting “renewed Russian aggressiveness.”

In either case, however, Tertrais explains that “the fall of Taiwan would be a wake-up call for Europe that it must act fast to be in a position to defend itself.” He adds that several European countries would likely seek to strengthen their security and defense ties with several US Indo-Pacific allies.

This is the first of two parts. Part two will review in more depth some of the key findings and recommendations emanating from the study. This article was first published by Pacific Forum and is republished with permission.

David Santoro (david@pacforum.org) is President and CEO of Pacific Forum. Follow him on Twitter @DavidSantoro1. Ralph Cossa (ralph@pacforum.org) is president emeritus and WSD-Handa chair in peace studies at Pacific Forum.

Sandwich

16 990x990 1
16 990×990 1

A comparison where I came from and where I am today

I was once (not too long ago) asked why I stay in China.

This was on Quora, a writers’ platform.

Finally after plowing through insults and disparagement, over and over again, accusations, and snarly bullshit left and right.

My only question is this. Why even bother pretending to be an American here? 

If you’re spreading facts you don’t need to start with a lie. But you’re not, are you?

I threw up my hands and just presented some videos.

That shut everyone up.

As they say; “seeing is believing”. So I showed them where I came from, and where I live now.

Where I came from…

I spent most of my elementary school, and all of my High School years here in this tiny town. I lived on Grant Street, and the video goes right by my house. Yuppur. This is my neighborhood.  This is where I spent the majority of my elementary and High School years.

Yeah. It’s a pretty balanced video.

All of these towns host my relatives. My town is too small to be on the list, but there’s New Kensington where my first girlfriend lived. Check it out.

To know the REGION where I came from, you must see where my extended family, and my friends (that I grew up with) came from.

Ok. So that is my past. It’s pretty average. I am just an Average American, living in a small, but average American town. In a pretty typical American community.

Now, today…

Where I live now…

He spends most of this video in JiDa. This is my present “stomping grounds”. It’s not only where I have houses, but it is were my office is located.

His drive on “Lover’s Road” is where my one house is…

I have homes here in Shenzhen as well, so while we are at it, lets see where by other places are too…

Conclusion

Is there anyone; anyone, who believes that I should stay in Pennsylvania? That I should leave the Pearl River Delta of Shenzhen, Zhuhai, and Zhongshan?

If so, then please tell me WHY?

It’s now complete madness onslaught! WTF!

We are going heavy on videos today.

Why?

I got a comment from Ron that said…

"To long winded, I find myself scolling fast and deleting"

Short attention span. Likes bright colors.

We’ve all been there.

In our youth.

Today, we open with this video from REDACTED…

It’s a slow-motion train wreck. The kind where you are sitting there and watch yourself get disemboweled in slow-mo.

Jesus.

H.

Christ.

Sorry about the middle of the segment commercial, but someone has to pay his bills. Aside from that it’s a great video.

Russia and China ganging up against the USA at the UN…

The USA bombed Russian Energy pipeline. That is an act of war.

If you are not convinced, then check this out here…

It’s called “information warfare”.

China will have no choice but to engage with the US in a hostile way, this is like a final warning to the US that China will NO longer put up with all its obnoxious and rogue behaviour anymore, also make it clear China firmly stands against US/Western imperialist hegemony.

Now, let’s look at some things that you’ll never read about in Western “news”…

https://youtu.be/s0wXq6GiOgQ

AH, but the United States has it’s own submarines, don’t you know, and they are “stealthy”.

That’s the narrative, but sure as shit, China has decloaked them. Now they run into mountains, and need an entire fleet to pick up a scrap F-22 from the ocean floor. Don’t you know.

I have a video… somewhere… showing one of these Chinese boomers launching all of it’s missiles in under 30 seconds. This is amazing. I have NEVER seen or heard of a US submarine doing this.

Anyways…

It’s not just submarines…

Something you never hear about.

https://youtu.be/mE2d04t7_Es

Which is pretty cool. And how would it be used? Well…

Check this out…

Every country is welcome to the moon vase that China is going to build, except one specific country.

https://youtu.be/9ptB3BSOblE

But that’s not all.

Then there’s Mars.

Something you never hear about.

https://youtu.be/rw6lD1VURG0

So…

Which nation is looking towards the future? And which country is just playing the same old 19th century squabbling over resources?

Ok, so what is going on inside of the United States?

Fiasco! OMG!

Remember…meanwhile in China.

Something you never hear about.

https://youtu.be/1s2NQMrtYNo

Is the Biden Administration completely brain dead?

I’m reorganizing my archive index, and are placing things in better-to-access groupings. This is a work in progress. Please do not be alarmed.

As I have repeatedly stated, we are in the middle of World War 3. We are at the plateau. Anything can happen at this stage.

Overall, however, things are well in hand by the “adults in the room”. Don’t worry too much. It’s gonna be middle bads at the worst.

Then it will be all gravy.

Let’s do today’ installment.

Was There An Explosion In The Great Pyramid In Antiquity?

With recent discoveries of “new” spaces or chambers behind the so-called “Gantenbrink’s Door” in the Southern Shaft and another “door’ in the Northern

Shaft of the Queen’s Chamber in the Great Pyramid, interest in the ancient monument continues to capture the imagination of many people in the world.  Even Dr. Zahi Hawass, ex Chairman of the Supreme Council of Antiquities of Egypt, has remarked that the Great Pyramid has not yet yielded all of its secrets by any means.

In fact, the inner chambers of the Great Pyramid exhibit many anomalous features, which have never been adequately addressed or discussed by Egyptologists such as Hawass. In his landmark book, The Giza Power Plant (Bear & Co., 1998), engineer Chris Dunn made the suggestion there is evidence that the Great Pyramid may have experienced a cataclysmic event, an explosion some time in its distant past which ended its role as an active power plant, a machine, which is what Dunn proposes was its primary function in his book. I further advanced Dunn’s hypothesis of an explosion in the pyramid in my book, The Land of Osiris (Adventures Unlimited Press, 2001) due to investigations I made on site in 1997, 1998 and 1999.

My recent trip to Egypt enabled me to further pursue the hypothesis and gather even more evidence, not only in the Great Pyramid, but at other sites as well.

2023 02 26 18 38
2023 02 26 18 38

There are several features in the inner chambers of the Great Pyramid that cannot be explained by the structure merely being utilized as a tomb for a king, whether an actual or symbolic tomb. As mentioned in both my and Chris’s book, the King’s Chamber presents several anomalies. There are cracks in the granite beams on the southeastern ceiling of the room. I first noticed these cracks in 1992. Egyptologists have explained the cracks away as being the result of an earthquake, but there is no evidence of seismic damage in either the Descending Passage or Subterranean Chamber, which would have been closer to the epicenter. The SCA (Supreme Council of Antiquities) attempted to repair these cracks in 1998 but they are still evident today.

The walls of the King’s Chamber can be seen separating from the floor and seem to bulge out, suggesting that an explosion or powerful energy pulse acted upon them.  Chris Dunn is also the only investigator to remark that the stone box in the King’s Chamber (erroneously referred to as a “sarcophagus”) is today a chocolate brown color, not the original rose color of the Aswan granite it is from.  The color change could be due to tremendous heat, which could indicate it was chemically altered by an explosion or fire in the chamber in antiquity. If a sample of the box could be obtained, it could be tested to determine if this was so.

There are other anomalies to be found in the Grand Gallery.  First discovered by Chris Dunn in May of 1999 when we were in Egypt together, the upper wall of the Grand Gallery, near the entrance into the King’s Chamber, is made of granite, not limestone. The entire wall shows deep dark stains that may be the result of being exposed to tremendous heat, perhaps from an explosion. Along the side ramps of the gallery are several rectangular holes or sockets, evenly spaced throughout the entire distance of the ramps. Some Egyptologists, such as Mark Lehner and Zahi Hawass, have speculated that statues of Khufu, the supposed builder of the Great Pyramid, were situated in these holes, but no evidence of any statues has ever been found in the pyramid. Chris Dunn has speculated that some sort of devices, perhaps Helmholtz Resonators, may have been inserted in these slots to amplify the energy produced in the pyramid.  There is evidence that these resonators, or some other devices, may have exploded during the proposed cataclysmic event that occurred in the pyramid as there are burn or scorch marks on the ceiling of the Grand Gallery directly above and corresponding to the slots on the side ramps. This also may be why no traces of the resonators have also ever been found.

2023 02 26 18 39
2023 02 26 18 39

In January of 2003, I discussed the possibility of an accident/explosion having occurred in the Great Pyramid in antiquity with my Egyptian teacher, indigenous wisdom keeper Abd’El Hakim Awyan. While not specifically mentioning an explosion in the pyramid, Hakim stated that his tradition does record (orally) that a cataclysmic event occurred thousands of years ago on a global scale, an event that may have been sparked by a cometary flyby, a meteor strike or some other celestial/geosynchronous activity. Although Hakim does not often deal with exact dates, I believe this event may have occurred around 11,500 years ago as stated by Barbara Hand Clow in her book, Catastrophobia (Bear & Co., 2001).

In my book, The Land of Osiris, I mentioned a series of sites in prehistoric Egypt, from Dahshur in the south to Abu Roash in the north, and including Sakkara and Giza. These sites were all interconnected and linked by stone masonry pyramids and temples, and were all erected over 10,000 years ago according to the indigenous wisdom keepers of Egypt. I mention that the site of Abu Roash, some five to eight miles north of Giza, once had a pyramid, which is all in ruins today.  Egyptologists state that the pyramid was unfinished and therefore is insignificant, but my research indicated otherwise. Where I once thought the pyramid had been attacked and quarried by Arabs in the last few hundred years seeking stone to rebuild mosques damaged by earthquakes, I now speculate that the pyramid may have been destroyed in the same aforementioned cataclysmic event.  Abd’El Hakim also believed that this was the case.

Recent investigations at the Bent Pyramid at Dahshur also revealed some possible evidence to support this explosion hypothesis. The northwest corner of the pyramid, also believed by Egyptologists to have been recently quarried, appears to have been blown away as if from an explosion. The pyramid shows uneven loss of stone, inconsistent with systematic quarrying. Most of the original casing stones are still intact, yet this one side seems to be blown off.

A cataclysmic event in antiquity, proposed by many authors as having occurred around 11,500 years ago, whether celestial as a comet or meteor strike, planetary near miss, or even an ancient global war as suggested by David Hatcher Childress and Zecharia Sitchin, may have affected all the stone masonry pyramids on the gridline that I have labeled The Land of Osiris, approximately 25 square miles from Dahshur to Abu Roash. The evidence presented that can be found in the Great Pyramid does indicate that the inner chambers of the monument were once subjected to great heat and/or an explosion which caused the great 70 ton granite beams in the ceiling of the King’s Chamber to crack.  This evidence, obvious when carefully observed, cannot in any way be explained or accounted for by merely dismissing the monument as being a tomb for a king constructed 4500 years ago. There needs to be more independent holistic study by scientists and researchers of varied disciplines concerning these anomalies mentioned to determine, if possible, whether an explosion did indeed occur in the Great Pyramid in antiquity. There is enough evidence presently to bring into serious question the whole assumption of the pyramid being constructed as a tomb for a king in 2500 BC.

By Stephen Mehler

Transdneistria BANS Ukrainians from Entry Unless They Have Relatives in the enclave – Ukraine Military HIDING Equipment along border

The authorities of Transnistria have banned entry into the territory of citizens of Ukraine️ who do not have relatives among local residents.

The Ukrainian Armed forces are hiding their equipment near the villages of Topaly and Domnytsya, which are located near the Transnistria/Ukraine border, near the massive Arms Depot filled with 20,000 tons of Soviet-era weaponry, located in Cobasna, Transdniestria.

The fact that the Ukrainians are preparing a provocation is clear.

8 Guys Reveal What It’s Like To Be Good Looking

1. Everyone thinks I’m funny. People are always sneaking glances at me. Cashiers and waitresses and people like that are way nicer. People respect me when I haven’t given them a reason to. Women make excuse after excuse regarding why they fucked me on the first or second date and how they never do that. Thanks to dating apps, I can reliably get laid by Friday if I work on it starting Monday that week (though I am now dating).

But the biggest difference is just general day to day life and its impossible to describe. I used to be ridiculously ugly so I know the other side of the tracks. There is something unquantifiable – but everyone treats you differently. Everyone. Your parents will treat you differently. Your coaches, teachers, men, women. They probably don’t realize it but they definitely do.

2. On an everyday basis it’s easy to talk to strangers. Getting checked out by woman really does make me feel great, the more attractive the woman the better. When I’m nice to people it’s almost always reciprocated.

I’m a scientist and professionally it can be less stellar. I’m also pretty friendly and people often assume I am less intelligent. That can be a hurdle to get over for some people. In general people can be far more critical of my work or combative, often needlessly. This is especially true of around half of the women in my field and other men have noticed the same thing. As a result I get along much better with men and the other half of the women (who are great).

Socially it can be very difficult to remain friends wth single women. I’ve been with the same women for a third of my life. There has only been her (she’s freeking great). So I’ve had several relationships with women go sour when I’m not interested, or I don’t reciprocate feelings, or seemingly randomly.

3. I use to be unattractive a few years ago. Worked out, learned how to dress, became more social, have my own company, and get told quite a bit I’m cute,

  • girls just open up more. When you smile at a stranger, she’s more likely to smile back.
  • girls try to get your attention more. When I’m at a bar or a club, women will smile and make eye contact with me. Before I felt invisible.
  • girls are less flaky when it comes to dates. If I’m having dinner with someone, I don’t get bullshit texts 5 mins before that she can’t make it
  • way more options for dating, life’s just better

I wanna make one thing very, very clear. Any guy can become more attractive with some work. – workout – dress well – smile – be passionate about things in life

Looks do matter to girls, but not as much as it does to guys. Just dont expect your girl to be a 9 when you look like a 4, when u could easily be a 7 with some effort.v

Just kinda irks me when a guy says he’s forever alone but he’s 300lbs and stays at home all day surfing reddit and watching porn.

4. People are very trusting of you. I get asked for directions a lot on the street – far more than anyone I know. I live in Manhattan – but i’m talking 3 separate groups of women in 1 block. It’s almost silly sometimes, as if i’m famous.

People believe what you tell them, which is part of the reason I’ve been so successful in business. The flip side of that is you begin to believe that whatever you say is true. Then someone who doesn’t care that you are handsome ends up thinking you are just some arrogant cock bag.

Women hit on me all the time. For all their tough talk about how men are so weak, women are unbelievably arrogant around a hot guy. My wife and kids could be right next to me and they’ll still flirt with me. Very strange.

Tying into that last one, I get a lot of inappropriate advances too. I guess because there are proportionally fewer ‘hot’ guys around, women feel like the sexual harassment rules don’t apply. At work this can become awkward. When I was a teen/early 20’s I didn’t know how to handle this gracefully and so became a bit of a dick in these situations.

You tend to get invited places. Parties/events/friends etc – people just want to have you around a lot. This becomes very difficult to handle – and is one of the main reasons I don’t go out too much. With too many invites you must choose between friends, and that sucks. Those who you choose like you, and those who you overlook assume you look down on them. You cannot win.

Men have a 50/50 reaction to me – either they love me or hate me. It’s very easy to tell which guys are self confident and which are self conscious.

5. I have been told that I am attractive (I have a very beautiful wife, if that is any proof) and I am also very tall (6’5, I stand out in a crowd) so perhaps I have a little insight.

Remember the episode of 30 Rock with John Hamm as Liz Lemon’s boyfriend? He basically did whatever he wanted to do and nobody ever told him he was wrong; they just agreed and gave him whatever he wanted. It’s a little like that; people don’t obey my every command, but they are more accommodating. For example, if I make a suggestion to a group and someone who is considered unattractive also makes a suggestion I can almost guarantee that people will go along with my suggestion, even if it isn’t the best one.

One more thing: I catch people looking at me all the time. Women (and some men) will crane their necks or turnaround to look at me. Sometimes it’s a little flattering, most of the time it’s somewhat creepy.

6. Women stare at me quite a lot. Some stare like as if we are boxers staring at each other before the fight. Creepy as hell.
Older women tend to flirt a lot. Younger girls – less. Anyway, 75% of the interaction is light teasing and flirting. Girls at the office open and loud at the way I dress and look right in front of me, as if on purpose, sometimes I can hear “look at Sasha’s white shirt, omygawd” as I walk by. Also, I can get away with any shit when talking with woman, they may get upset for a moment, but never keep anything against me for long. Whenever I approach a woman, chance of her being mean or rude are less than 10%, I never heard stuff like “eew, get away from me” or something similar.

Here’s an example. I went slow dancing with the new girl at the office party last week, saw something like group of five-six other girls at the table staring at me like baby owls at the piece of meat. Later on, after the dance, they started acting out like I cheated on them or something. So I went to karaoke bar to escape the drama. Next time I saw them at the workplace some of them suddenly started to apologize that they did not dance with me at the party. That’s weird, to be honest.

7. 6’1″ 180 pound fit male who has been rated many times at “9-10”. I’ve had opportunities to do modeling and been asked to do several casting calls while in public. Not as great as you would think. I get groped and have my ass grabbed a lot, not by “hot” girls either. I’m still nervous and awkward around very attractive women, this doesn’t go away with good looks. Women stare ALOT and openly sexually harass you.

As far as being treated better by people: my female professors jumped through hoops to help me with anything, but my male professors were always uncomfortable/very standoffish before getting to know me well. Guys will take any chance to openly belittle you in front of anyone else. Probably an insecurity thing.

8. Black guy here. I’ve always known that i was considered cute/attractive by black women. I’ll say i am an 8/10, not tall, too skinny, but i almost always end up with attractive women.

I was never really able to read white and asian women, i grew up mostly surrounded by whites and asian so I actually didn’t get that much female attention, therefore i was a little unsure where i stood physically.

Fast forward to present day…

  • I am pretty confident i can get with most black women. White and Asian women tend to approach me more when they have a little alcohol in them…usually looking for casual fun.
  • I purposely avoid sexual relationships because it takes too much effort to end it
  • I have pretty high standards and i can find someone willing to have casual sex on any weekend without trying too hard
  • I’ve had a few awkward boyfriend is at the party but gf is sneaking around cornering and groping me
  • Someone ditched her date and attacked me sexually at a bar while the date was just a few feet away. We barely made it around the block before she started giving me head. I actually felt bad about this but it ain’t my fault.
  • Lots of failed public sexual encounters after bars and clubs close
  • I have asshole-ish tendencies and it doesn’t seem to diminish attraction women have for me.
  • Sex with one of my professor’s daughter in their garage during a christmas party (this is still one of my favorite sexual encounters)

Former Prime Minister of Malysia: World War 3 Has Begun

The former Prime Minister of Malaysia has now publicly warned World War 3 has begun; and it is the fault of Europe and the US.

Dr Mahathir Mohamad
@chedetofficial
WORLD WAR III

1. I hesitate to write this article. I may be accused of apologising for the Russians. I am not. I think the present war between Ukraine and Russia is caused by the Europeans’ love of War, of hegemony, of dominance.

2. Russia was the partner of the Western Europeans (including U.S. and Canada) in the war against Germany. The moment Germany was defeated, the west declared that Russia, their partner was their new enemy.

3. So they must prepare for war against Russia. And NATO was set up to form a military alliance against Russia. Russia then set up the Warsaw Pact. And a Cold war ensued. And the world had to choose between the west and the east.

4. After the Russians disbanded the Warsaw Pact and allowed the countries of the Union of Soviet Socialist Republics to leave the bloc, NATO did not disband.

Instead the countries freed from Russian hegemony were urged to join NATO as enemies of Russia. The pressure against the weakened Russia was heightened.

5. As the former socialist republics join NATO and the threat against Russia heightened, Russia rebuilt its military capabilities and confronted the powerful western alliance. Tension increased as NATO forces carried out exercises close to Russia.

6. Provoked, Russia pre-empted with the invasion of Ukraine. That invasion can be interpreted as the start of the Third World War. There is talk of using nuclear weapons. Already the world has to endure shortages of supplies due to sanctions against Russia and Russian retaliation

7. There is also provocation in the Far East. A visit by a high U.S. official to Taiwan caused an increase of tension between China and Taiwan. Both are arming and the U.S. has sold a lot of weapons to Taiwan, while China became more belligerent.

8. Even Malaysia is experiencing shortages and inflation. It is important that the country prepares contingency plans to deal with what may be the beginning of a Third World War.

DR MAHATHIR BIN MOHAMAD
24 Feb 2023

https://youtu.be/9NoCbQqkay8

Feijoada (Black Bean Stew – Brazil)

e3b1c3cf2070fd059526476fd46afaee
e3b1c3cf2070fd059526476fd46afaee

Black Beans and Meat is the national dish of Brazil. Although usually involving several days for the preparation and traditionally calling for pig feet, ears and tail, this version is made with readily-available pork cuts. Serve this with hot white rice, sliced oranges and sliced white onion.

Ingredients

  • 1 pound dried black beans
  • Water (to cover beans)
  • 6 cups water
  • 1 pound boneless ham, cut into 3/4-inch cubes
  • 1 pound boneless pork loin, cut into 3/4-inch cubes
  • 3/4 pound hot Italian sausage, sliced into 1-inch pieces
  • 3/4 pound smoked sausage, sliced into 1-inch pieces
  • 1 pint cherry tomatoes, stemmed
  • 1 onion, peeled and chopped
  • 1 teaspoon red pepper flakes
  • 6 cloves garlic, peeled and minced
  • 1/8 teaspoon orange zest

Instructions

  1. Heat oven to 350 degrees F.
  2. In a large Dutch oven, combine beans, the 6 cups of water and remaining ingredients. Bring to a boil, skimming if necessary. Cover and transfer to oven. Bake 1 1/2 hours; remove cover and bake another 30 minutes, stirring occasionally.
  3. Serve immediately, with cornbread, if desired. Or allow to cool slightly, then cover and refrigerate overnight.
  4. Remove any fat from surface. Reheat Feijoada slowly.

Serves 12.

Russia Halts Pipeline ** OIL ** Supplies to Poland

Russia has halted pipeline oil supplies to Poland. Unclear at this stage why and for how long.

It may come as a surprise to many that oil pipelines had NOT been shut off under the “Sanctions” imposed due to the Ukraine situation.  In fact, Russia has continued to sell and ship oil to most countries in Europe despite their howling over Ukraine.

Poland is still buying Russian oil!
The deal expiring at the end of 2024 covers around 10 percent of the needs of (Polish oil firm) Orlen. That means Poland is still buying 200,000 tons of oil from Russia each month.

Now, OIL is being shut off.

This situation continues to get worse.

Is the Biden Administration completely brain dead?

Russia has deployed tactical nuclear weapons on all its ships. The US arrogantly told China not to support Russia or that they would impose sanctions on China as they have done with Russia. That is like waving a red flag in front of a bull. Once you make such a public demand on China, they now MUST  act against it or their leadership will lose face.

I have dealt with governments for some 40 years +. Warnings of this nature have ALWAYS been communicated privately – NEVER publicly for that is confrontational and will necessitate the opposite side to vote against your demand. I cannot imagine that even I know far more about diplomacy than anyone in the Biden Administration. That suggests to me that this is deliberate. They just cannot be this stupid. They seem to be deliberately moving Russia and China together against the arrogant West in the very same manner that resulted in the Peloponnesian War with Sparta taking down Athens for its arrogance.

As the world teeters on the edge of World War III between Russia, China, North Korea, and Iran against the United States, Canada, Australia, Japan, and Europe,  diplomatic efforts to reach a peaceful settlement are seriously lacking. Europeans should be storming their own parliaments demanding the end to supporting Ukraine and honoring the Minsk Agreement to forestall a potential conflict the likes of which Europe has not seen since the end of the second World War and will certainly not survive.

2023 02 25 16 45
2023 02 25 16 45

The Biden Administration appears to be taking the advice of Adolf Hitler given the complete lack of any diplomacy whatsoever. China has offered a peace settlement but the Biden Administration wants war. China had no choice but to respond to Biden:

‘The US is in no position to tell China what to do’

It’s starting to come out…

OMG. United States military bio-labs…

Belarus Moving ISKANDER Missiles “for Combat”

Belarusian Ministry of Defense has relocated “Iskander” missile systems to a specified location in order to get them ready for combat.  Video below.

 

 

Ensalada Criolla (Argentina)

ensalada salsa criolla foto principal
ensalada salsa criolla foto principal

Ingredients

  • 2 tomatoes
  • 2 onions
  • 2 green bell peppers
  • 2 tablespoons vinegar
  • 4 tablespoons vegetable oil
  • Salt and pepper, to taste

Instructions

  1. Cut all the ingredients in small pieces, then add the dressing.
  2. Chill until serving time.

Serves 4 to 6.

Did Ancient Japanese Fishermen Reach South America 5,000-Years-Ago?

jomon Valdivia Hypothesis preview 0
jomon Valdivia Hypothesis preview 0

The notion that pre-Columbian cultures from Europe, Africa, or Asia sailed across the Atlantic and Pacific oceans to discover America, is a popular theory backed by numerous books and television documentaries. While most of these claims seem baseless, one theory did gain some credibility, in that it was backed by a reputable archaeologist from the esteemed Smithsonian Institution, the so-called ‘Jōmon-Valdivia hypothesis’.  Until recently, mainstream historians and archaeologists most often shunned ideas about ancient transcontinental oceanic travel and the entire notion was considered as pseudoscience. Even in the face of new findings around the world that support the idea that oceans were travelled by ancient peoples who had both the motivation, and means to do so, many archaeologists still refuse to engage with the term “ancient transoceanic voyage.” And this is not as dogmatic as one might at first think, for the history of the subject is infected with mistakes, misinterpretations, and hoaxes that have left trails of confusion in their wake.

image002 843
image002 843

A drawing of a raft (balsa) near Guayaquil, Ecuador in 1748. The drawing resembles the description given by 16th-century Spanish explorers of the rafts used by Indians. ( Public Domain )

Some theorists suggest that the lost tribes from Israel appeared in North America, or that Phoenicians made it to  Lake Titicaca  in Bolivia, but no one story has left such a wake of confusion as the ‘Jōmon-Valdivia hypothesis’, a 50-year long archaeological delusion that suggested the ancient peoples of Ecuador did not develop their own culture, but that they inherited it from prehistoric fishermen from Japan around 3000 BC.

image003 763
image003 763

Final Jōmon dogū (土偶) earthenware figure (c. 1000 – 400 BC) ( CC BY-SA 4.0)

The Jōmon period in Japanese history extended from circa 14,000–300 BC and represents an epoch when diverse hunter-gatherer groups merged with early agriculturalists through a common Jōmon culture. The name ‘Jōmon’ means cord-marked, referring to the twisted cord impressions that decorate the iconic pottery from this time, which is generally accepted to be among the oldest decorated clay crafts in the world. The earliest pottery fragments in Japan were found at the Odai Yamamoto I site in 1998 and date back to 14,500 BC, and similarly dated pottery was later recovered from the Kamikuroiwa archaeological site, and from within the Fukui cave.

READ MORE…

Like this Preview and want to read on? You can!  JOIN US THERE  with easy, instant access  ) and see what you’re missing!! All Premium articles are available in full, with immediate access.

For the price of a cup of coffee, you get this and all the other great benefits at Ancient Origins Premium. And – each time you support AO Premium, you support independent thought and writing.

Ashley Cowie  is a Scottish historian, author and documentary filmmaker presenting original perspectives on historical problems, in accessible and exciting ways. His books, articles and television shows explore lost cultures and kingdoms, ancient crafts and artifacts, symbols and architecture, myths and legends telling thought-provoking stories which together offer insights into our shared social history .   www.ashleycowie.com.

Top Image Utagawa Hiroshige’s Sailing Boats at Arai ( Public Domain )

By: Ashley Cowie

“Porn Fashions”: Obscenely Tasteless Apparel From 1980s Adult Magazines

1 71 1
1 71 1

It’s become a cliched joke to claim you read Playboy for its articles; but, in all seriousness, the best place to find wicked wild 70s and 80s fashions are in vintage porn.

Sounds like a flimsy excuse, I know. But when you get a load of some of these magazine scans, you’ll be a true believer. There was something magical about the admixture of drugs, sluts, and alcohol. The already unique 80s sensibility was taken to spectacularly awful (or awesome, depending on your tastes) extremes. Here are some of the finest fashions 80s porn had to offer. Enjoy.

h/t: flashback, retrospace

52 9
52 9

51 10we
51 10we

51 10
51 10

50 11
50 11

49 1e1
49 1e1

49 11
49 11

48 12
48 12

47 11
47 11

46 12
46 12

45 11
45 11

43 12
43 12

42 12
42 12

41 12
41 12

40 12
40 12

39 12
39 12

38 12
38 12

37 12
37 12

36 12
36 12

35 15
35 15

34 14
34 14

33 15
33 15

32 14
32 14

31 15
31 15

30 18
30 18

29 20
29 20

28 20
28 20

27 20
27 20

26 20
26 20

25 20
25 20

24 20
24 20

23 22
23 22

22 26
22 26

21 28
21 28

20 30
20 30

19 30
19 30

18 33
18 33

17 37
17 37

16 38
16 38

15 41
15 41

14 41
14 41

13 40
13 40

12 42
12 42

11 45
11 45

10 51
10 51

9 54
9 54

8 56
8 56

7 58
7 58

6 62
6 62

5 66
5 66

4 67
4 67

3 68 1
3 68 1

2 68 1
2 68 1

Putin’s ‘civilizational’ speech frames conflict between east and west

In his Federal Assembly address, President Putin emphasized that Russia is not only an independent nation-state but also a distinct civilization with its own identity, which is in conflict and actively opposes the values of 'western civilization.'
.

Russian President Vladimir Putin’s much awaited address to the Russian Federal Assembly on Tuesday should be interpreted as a tour de force of sovereignty.

The address, significantly, marked the first anniversary of Russia’s official recognition of the Donetsk and Luhansk People’s Republics, only a few hours before 22 February, 2022. In myriad ways, what happened a year ago also marked the birth of the real, 21stcentury multipolar world.

Then two days later, Moscow launched the Special Military Operation (SMO) in Ukraine to defend said republics.

Cool, calm, and collected, without a hint of aggression, Putin’s speech painted Russia as an ancient, independent, and quite distinct civilization – sometimes following a path in concert with other civilizations, sometimes in divergence.

Ukraine, part of Russian civilization, now happens to be occupied by western civilization, which Putin said “became hostile to us,” like in a few instances in the past. So the acute phase of what is essentially a war by proxy of the west against Russia takes place over the body of Russian civilization.

That explains Putin’s clarification that “Russia is an open country, but an independent civilization – we do not consider ourselves superior but we inherited our civilization from our ancestors and we must pass it on.”

A war dilacerating the body of Russian civilization is a serious existential business. Putin also made clear that “Ukraine is being used as a tool and testing ground by the west against Russia.” Thus the inevitable follow-up: “The more long-range weapons are sent to Ukraine, the longer we have to push the threat away from our borders.”

Translation: this war will be long – and painful. There will be no swift victory with minimal loss of blood. The next moves around the Dnieper may take years to solidify. Depending on whether US policy continues to cleave to neo-con and neoliberal objectives, the frontline may be displaced to Lviv. Then German politics may change. Normal trade with France and Germany may be recovered only by the end of the next decade.

Kremlin exasperation: START is finished

All that brings us to the games played by the Empire of Lies. Says Putin: “The promises…of western rulers turned into forgery and cruel lies. The west supplied weapons, trained nationalist battalions. Even before the start of the SMO, there were negotiations…on the supply of air defense systems… We remember Kyiv’s attempts to obtain nuclear weapons.”

Putin made it clear, once again, that the element of trust between Russia and the west, especially the US, is gone. So it’s a natural decision for Russia to “withdraw from the treaty on strategic offensive weapons, but we don’t do it officially. For now we are only halting our participation to the START treaty. No US inspections in our nuclear sites can be allowed.”

As an aside, of the three main US-Russian weapons treaties, Washington abandoned two of these: The Anti-Ballistic Missile (ABM) Treaty was dumped by the administration of former president George W. Bush in 2002, and the Intermediate-Range Nuclear Forces (INF) Treaty was nixed by former president Donald Trump in 2019.

This shows the Kremlin’s degree of exasperation. Putin is even prepared to order the Ministry of Defense and Rosatom to get ready to test Russian nuclear weapons if the US goes first along the same road.

If that’s the case, Russia will be forced to completely break parity in the nuclear sphere, and abandon the moratorium on nuclear testing and cooperation with other nations when it comes to the production of nuclear weapons. So far, the US and NATO game consisted in opening a little window allowing them to inspect Russian nuclear sites.

With his judo move, Putin returns the pressure onto the White House.

The US and NATO will not be exactly thrilled when Russia starts testing its new strategic weapons, especially the post-doomsday Poseidon – the largest nuclear-powered torpedo ever deployed, capable of triggering terrifying radioactive ocean swells.

On the economic front: Bypassing the US dollar is the essential play towards multipolarity. During his speech, Putin made a point to extol the resilience of the Russian economy: “Russian GDP in 2022 decreased only by 2.1 percent, estimates of the opposing side did not become reality, they said 15, 20 percent.” That resilience gives Russia enough room to “work with partners to make the system of international settlements independent of the US dollar and other western currencies. The dollar will lose its universal role.”

On geoeconomics: Putin went all out in praise of economic corridors, from West Asia to South Asia: “New corridors, transport routes will be built towards the East, this is the region where we will focus our development, new highways to Kazakhstan and China, new North-South corridor to Pakistan, Iran.”

And those will connect to Russia developing “the ports of the Black and Azov Seas, it’s necessary to build logistics corridors within the country.” The result will be a progressive interconnection with the International North South Transportation Corridor (INSTC) whose principals include Iran and India, and eventually China’s mega-trillion-dollar Belt and Road Initiative (BRI).

China’s plan for global security 

It’s inevitable that apart from sketching several state policies geared towards Russia’s internal development – one might even compare them to socialist policies – a great deal of Putin’s address had to focus on the NATO vs. Russia war till-the-last-Ukrainian.

Putin remarked on how “our relations with the west have degraded, and this is entirely the fault of the United States;” how NATO’s goal is to inflict a “strategic defeat” on Russia; and how the warmongering frenzy had forced him, a week ago, to sign a decree “putting new ground-based strategic complexes on combat duty.”

So it’s no accident that the US ambassador was immediately summoned to the Ministry of Foreign Affairs right after Putin’s address.

Russian Foreign Minister Sergey Lavrov told Ambassador Lynne Tracey in no uncertain terms that Washington must take concrete measures: among them, to remove all US and NATO military forces and equipment away from Ukraine. In a stunning move, he demanded a detailed explanation of the destruction of the Nord Stream 1 and 2 pipelines, as well as a halt to US interference in an independent inquiry to identify the responsible parties.

Keeping the momentum in Moscow, top Chinese diplomat Wang Yi met with secretary of Russian Security Council Nikolai Patrushev, before talking to Lavrov and Putin. Patrushev remarked, “the course towards developing a strategic partnership with China is an absolute priority for Russia’s foreign policy.” Wang Yi, not so cryptically, added, “Moscow and Beijing need to synchronize their watches.”

The Americans are doing everything to try and pre-empt the Chinese proposal for a de-escalation in Ukraine. China’s plan should be presented this Friday, and there’s a serious risk Beijing may fall into a trap set by the western plutocracy.

Too many Chinese “concessions” to Russia, and not as many to Ukraine, may be spun to drive a wedge between Moscow and Beijing (Divide and Rule, which is always the US Plan A. There’s no Plan B).

Sensing the waters, the Chinese themselves decided to take the offensive, presenting a Global Security Initiative Concept Paper.

The problem is Beijing still attributes too much clout to a toothless UN, when they refer to“formulating a New Agenda for Peace and other proposals put forth in Our Common Agenda by the UN Secretary-General.”

Same when Beijing upholds the consensus that “a nuclear war cannot be won and must never be fought.” Try to explain that to the Straussian neo-con psychos in the Beltway, who know nothing about war, much less nuclear ones.

The Chinese affirm the necessity to “comply with the joint statement on preventing nuclear war and avoiding arms races issued by leaders of the five nuclear-weapon states in January 2022.” And to “strengthen dialogue and cooperation among nuclear-weapon states to reduce the risk of nuclear war.”

Bets can be made that Patrushev explained in detail to Wang Yi how that is just wishful thinking. The “logic “of the current collective western “leadership” has been expressed, among others, by irredeemable mediocrity Jens Stoltenberg, NATO’s secretary-general: even nuclear war is preferable to a Russian victory in Ukraine.

Putin’s measured but firm address has made it clear that the stakes keep getting higher. And it all revolves on how deep Russia’s – and China’s – “strategic ambiguity” are able to petrify a paranoid west flirting with mushroom clouds.

(Republished from The Cradle by permission of author or representative)

Russia Engages GPS-JAMMING over Nuclear Weapons Facilities

Overnight, Russia implemented very strong GPS Jamming over and around its nuclear weapons sites; both missile and storage sites.

This is designed to thwart GPS-Guided precision missile strikes by causing the missiles to go severely off-course.

The image above shows the area being jammed (in red) in Russia’s far east, over a national-level-nuclear-weapons-storage facility.

More interestingly, Russia has also engaged GPS Jamming of Finland, Denmark, and Sweden.

There are three primary GPS systems in operation around the world, and Russia’s Electronic Warfare is JAMMING ALL THREE!

It should be noted that such electronic warfare jamming is not done routinely; it is __generally__ engaged when a country believes an attack is likely.

The big question then becomes, does Russia think it is going to be attacked first . . .  OR . . .  does Russia KNOW it is going to be attacked, perhaps because Russia itself is going to launch an attack first?

Amazing Vintage Photos That Show How Life Before AutoCAD Looked Like

1 40
1 40

Before the advent of AutoCAD and other drafting softwares, the engineering drawings were made on sheet of papers using drawing boards. Many equipments were required to complete a given drawing such as drawing board, different grade pencils, Erasers T-squares, Set square etc.

Almost 20 years ago, engineers and toolmakers used to draw all things on paper with the help of drafting tools and pencils. Drafting was indeed was tedious and time-consuming. Designing and putting everything on paper was a tough job, maybe that’s why the need of creation of AutoCAD software came up. The major disadvantage of paper based design was that, you can not actually change it after it’s been put on paper. So if design is changed, then start making sketches over!

AutoCAD and all that softwares are just tools which save us the time and give some more accurate designs. However initial designs are still done on paper which later converted to CAD design for better understanding!

h/t: vintag.es

18 14
18 14

17 15
17 15

16 15
16 15

15 15
15 15

14 16
14 16

13 17
13 17

12 20
12 20

11 22
11 22

10 28
10 28

9 30
9 30

8 30
8 30

7 32
7 32

6 33
6 33

5 36
5 36

4 37
4 37

3 38
3 38

2 38
2 38

Confessions Of A Retired Bank Robber

 

What made you get into bank robbery?

Bank robbery is the real American Dream. We make movies about it, and as long as innocent people aren’t hurt or killed, our society loves bank robbers.

Also, it seemed like a worthy challenge. I thought it would be quite an accomplishment if I could solve the puzzle and figure out how to get away with it.

Did you have a mentor of sorts that you learned from or did you have a community of bank robbers that you would talk to?

Only the Internet. I studied countless reports of other robberies that had gone wrong and people who were caught. I never told anyone what I was doing. One of the main things I learned from research was that an overwhelming number of people are caught because they didn’t do it solo. So I never let anyone (not even my wife or best friend) know what I was doing.

How much planning did you do before robbing a bank?

I researched for about five or six months prior to my first one. I studied mostly the things that people did to get caught, and I just tried to plan around those things. It’s hard to know how people get away since those details rarely make it to the news, but studying how people get caught was incredibly helpful in knowing what to avoid.

Once I did my first bank, very little planning was needed for subsequent banks. I never really scoped out a particularly location other than to make sure there was parking that was out of view from the bank.

Were any movies helpful or are they all too unrealistic?

Hollywood knows how to tell a story, but they don’t know how to rob a bank.

Could you walk us through the process?

Basic Outline: – Stand in line like a regular customer – Wait for the next available teller -Hand them an envelope and tell them to give me their $50s and $100s (usually this was written on the envelope rather than me verbally saying it) – Turning around and walking out like a regular customer

No gun. No threats. No Hollywood drama. No mask. No disguise. Nothing.

Just a regular customer. In and out in the same amount of time as if I was making a deposit.

I generally chose a time of day when I thought the cops were on shift change, which was usually around 3pm. Some cities actually publish that for whatever weird reason.

I usually went to Chili’s or somewhere to eat and chill out.

Doesn’t sound like a whole lot. How much would one teller even carry?

In their top drawer, it was usually less than $10k. I probably averaged around $5k per bank. But it was pretty low risk that way, so that was cool with me.

Why did you only want $50 and $100s?

I don’t know about today, but back then all of the marked bills, dye packs, and tracking stuff was in $20s, so I definitely didn’t want those. And $1s, $5s, and $10s were such a small denomination that they wouldn’t add up to much anyway. It wasn’t worth the extra time for them to get everything out of their drawer.

Also, if someone else noticed the teller clearing out their drawer, it might look weird and trigger some sort of response. Getting out a bunch of $50s and $100s, however, seemed to be the quickest way and drew no attention from other tellers.

Was there a threat involved? Or you just said “give me this money” and they did it?

No threat. I just told them what I wanted, and they complied. This is how it works in America because the amount of money a bank gives up ($5-$7k on average) per bank robbery is infinitely less than the amount of business they’d lose if shit got wild in a bank full of customers.

They just want to give you what you want and for you to get the hell out of their bank.

Why did the tellers give you the money if you had nothing to threaten them with?

Standard procedure at most banks.

Where did you look when the actual robbing was going on, did you stare at the teller in the eye, look down, just watch their hands?

Definitely stared at the teller’s eyes. You can see everything a person is thinking in that moment if you pay attention.

How did you get away? They would press some sort of alarm wouldn’t they?

Yes, and they always did. Button calls the alarm company. Alarm company calls 9-1-1. 9-1-1 dispatches an officer. An officer speeds to the bank. I’m out the door before all that happens.

Did you carry a weapon??

No. I strapped a hammer to my leg under my pants just below my knee in case I needed to break out of a locked door or something, but I never used a gun or anything like that.

There were no security guards at the banks?

I didn’t do banks with guards.

Would you have harmed someone if you found yourself in a position between that and getting caught?

That depends on the situation. If it was just some random guy trying to be a hero, I would have probably gone to any extreme necessary to get away because that’s a challenge. On the other hand, if it was a cop or a security guard of some sort, I would have probably let them win.

Did anything ever not go as planned?

Yes. The last one I did.

The teller freaked out as soon as I turned to leave the bank. She started screaming “lock the doors, lock the doors” but I ignored it and just kept walking like nothing was happening. I got out before the doors were locked, but a guy walking into the bank seconds later already found them locked. He was pissed, of course, because it wasn’t closing time, and he thought he had gotten there too late. He obviously didn’t realize the guy who had just walked out of the bank and past him had just robbed the bank.

Did you ever get one of those ink cartridges that blew up on you and the money?

No dye packs. Nothing like that.

How were you not found out with CCTV or anything without wearing a mask?

Imagine you’d never met me or read anyting about me. Now imagine if they sent you the video of the bank jobs I did. Would you be able to find me?

If all you have is a picture or video of someone, that’s not really useful. As long as I didn’t make it to the news, I was good to go. And nothing I did was newsworthy because nobody got hurt and I didn’t make a scene.

When you were robbing a bank was it intense or were you calm the entire time?

I was calm and controlled, but it was incredibly intense at the same time.

It’s like having sex while taking the SATs. You have to focus on both 100% even though that’s not totally possible, and that’s why it’s so rewarding when it works.

What’s the most memorable thing that someone has said to you while you were bank robbing?

One teller skimped out on me and didn’t give me all I had asked for, and I told her, “You can do better than that.” She just shrugged — palms up like a little kid — and said, “That’s all I got.”

Pretty ballsy on her part.

On a scale from 1-10, how fun or sacry was it?

I don’t think I would describe it as fun. It’s kind of like sex. Everyone will use a different adjective to describe it, but none of them are quite accurate. It’s just…aahhhhh. Ya know? It was scary the first time I ever went to do it, and I chickened out. I sat in my truck in the parking lot beforehand but couldn’t calm down, so I went home and came back the next day. Except for that one day when I backed out, I never experienced fear.

How many banks did you end up robbing?

I eventually stopped counting. I originally fessed up to one bank, but they didn’t believe me, so I gave them two more. I did time for those three.

What did you do with the money that you robbed?

I used the majority of it for charitable stuff like helping people in need or donating to worthy causes. I gave quite a bit of money to a local charity that helps out the families of first responders who are killed in the line of duty.

What made you turn yourself in?

I always figured prison was in the cards for me — even before I was doing crime — so it made sense to turn myself in and get it over with, but most of all, I became a father and wanted to just do my time while my son was a baby instead of the cops accidentally figuring out who I was and taking me to jail when my son was older.

How did the police react when you turned yourself in?

The police were very professional. They sent the SWAT team to the hotel where I told them to come get me, so that was pretty shit-your-pants scary, but they didn’t fuck me up or anything. Once I was cuffed and cleared and all that crap, they all talked to me like I was a rock star or something. It was really strange. They asked “why” and all that stuff, but it wasn’t like the cop style of “why.” It was more like a fascinated curiosity.

How much time did you serve?

Three years

How did you only get three years?

First time criminal, turned myself in, lots of crap like that. The judge just believed three years was enough. Who am I to disagree?

Are you and your wife still together even after she found out about the robberies?

No. We divorced while I was in prison for personal reasons not related to my crimes.

How did she take the whole robbery thing?

She thought it was crazy, but not totally unexpected either. I was a little wild back then.

And what was prison like?

Prison was like church camp without the girls or weird counselors. I played a lot of chess and read a lot of books. I also wrote a lot, of course. Mail is the highlight of anyone’s day in prison.

There are some pretty bad dudes there, but nobody really wants any trouble unless you just really fuck them over. There’s always trouble if you want it, but it’s pretty laid back most of the time. You learn the way of life pretty quick in there if you’re smart.

Prison is lonely and depressing, but it’s also a great place to really work on yourself if that’s what you want to do. Most men and women waste that opportunity. Thankfully, I didn’t.

Did you ever actually feel guilty about anything you did?

I never felt guilty because I never attacked or assaulted anyone. Under the circumstances, I was as nice as I could possibly be to the bank employees because I did feel a little sympathy for them.

Did you ever feel that the concept of stealing money was wrong?

I think morality is very subjective. I wouldn’t steal from an individual person because I’m not comfortable with that. The banks, however, consider this kind of theft an acceptable loss, so that was okay with me being part of the loss that they consider acceptable.

Part of my process did begin with how poorly I thought rich people handled their money. I’d always thought, “If I was that rich, I could change the world instead of just piling up cash.” I don’t use that to make bank robbery “okay” but that’s what made it okay for me at the time.

Did you keep the money?

I paid it all back.

If you could go back in time would you have still done it?

Yes. I still acknowledge what I’ve done, but the process and experience of going to prison and finding myself (as well as a purpose in life) has really made it all worth it, relatively speaking. It’s hard to regret something that has turned into something so good.

What do you do now for income?

I was working in the oil fields until recently. Now I stay at home with my boys, and I am trying to get a book published and turn that into some sort of career, if at all possible. I’ve been on a few shows, and people seem genuinely interested in hearing more, so that’s what I’ve decided to do.

How difficult was it to get a job after prison?

I got out of prison on a Tuesday and had a job on Thursday. It only paid $13/hr, but it was good work, and I was happy about it. I worked there for about 14 months (while steadily looking for something better) and finally found work in the oil fields making quite a bit more.

What’s the life lesson you can give from this whole experience?

I think generally, it’s important to embrace the shit you’ve done wrong and be accountable for the things you can change in your own life. I’m a big fan of just stepping up and admitting where I was at fault, and I take a lot of pride in accepting responsibility for the things I’ve done.

Romania Deploys Air-Defense Missiles Along Moldova Border

Overnight, Romania began deploying air-defense missile systems along its border with Moldova/Transnistria.

Tensions in the region are now at maximum, with Ukraine troops massing along their border with Transnistria/Moldova, just near a huge ammo depot filled with 20,000 tons of Soviet-era weapons and ammunition.   If Ukraine can grab that weapons depot, it will supply their war effort against Russia for a full year.

Yesterday, this web site reported that Transnistria is now BLOCKING entry by Ukrainians unless they have relatives in the local area. Story HERE

Ukraine began massing 15,000-20,000 troops near Transnistria earlier this week. Story HERE

It is now coming out publicly that Russia may have to use TACTICAL NUCLEAR WEAPONS to make certain Ukraine cannot get its hands on that ammo depot.  IT would serve to stop Ukraine and also serve the Russian military doctrine of “Escalate to De-Escalate” by showing adversaries that Russia is, in fact, willing to go all the way.

This is a flashpoint the world has never seen before, and it could happen within days.

Coconut-Raisin Pudding
(Dulce de Coco – Brazil)

f5798b80da57f372eb19783761fe2879
f5798b80da57f372eb19783761fe2879

Ingredients

  • 3 cups shredded fresh coconut
  • 1 cup granulated sugar
  • 2 cups milk
  • Dash of salt
  • 3 eggs, beaten
  • 1/2 cup raisins
  • 3 tablespoons rum

Instructions

  1. Heat coconut, sugar, milk and salt to boiling in 3-quart saucepan over medium heat, stirring frequently.
  2. Stir at least half of the hot mixture gradually into eggs. Blend into hot mixture in saucepan. Cook over low heat, stirring constantly, until mixture is thickened, 5 to 8 minutes.
  3. Remove from heat; stir in raisins and rum.
  4. Serve warm or cold.

Yield: 6 servings

A reflection of Geo-political incompetence

The United States led drive toward world war 3 is well on way. While world war 3 is in process, the “HOT” portion is just building up.

HOT refers to Americans dying, American cities being attacked, and American military actively fighting the Global South.

Pictures of some malls in the USA that are still running

A contemporaneous measure of the health of a given “middle class” is the local malls.

  • Vibrant and healthy middle class = vibrant malls.
  • Dead and dying middle class = dead malls.

While most American malls are dead, there still are some that remain open in the more affluent sections of the country. Here’s some pictures of the malls in the upper-middle class areas inside of the United States…

f9td7jqm3it91
f9td7jqm3it91

MmSglY1
MmSglY1

NVwwbFu
NVwwbFu

17lfc1r1d5d31
17lfc1r1d5d31

lj7yht60j8p61
lj7yht60j8p61

6c4e9yxcr6l51
6c4e9yxcr6l51

tr8fy6p0z3931
tr8fy6p0z3931

aaghr7sl24j41
aaghr7sl24j41

CAVwsxf
CAVwsxf

tw9i03tprtw71
tw9i03tprtw71

VFGq8tI
VFGq8tI

vvl4ob566hm41
vvl4ob566hm41

h1s49p3dx3v41
h1s49p3dx3v41

d6rfuhdkap171
d6rfuhdkap171

xbd7buimrm771
xbd7buimrm771

YfhthcM
YfhthcM

Keeping Up With The Joneses

There’s nothing quite like the feeling of seeing your neighbor drive up in their beautiful new car or hearing about their fabulous planned vacation.

It can make you forget about every other plan or goal you’ve made for yourself. Keeping up with the Joneses can eat away at your financial dreams.

“Keeping up with the Joneses” means to try to own all the same things as people you know in order to seem as good as them.

But when you’re making purchases that have no value beyond impressing others, you’re shortchanging your future.

For starters, it takes away your joy in life.

Nothing is ever quite good enough anymore. There’s always a nicer, newer something that’s siphoning off your money. Houses, cars, electronics. The list is endless.

And none of it makes you happy because it’s a continuous cycle.

Financially, it’s a catastrophe. Trying to keep up with those around you who appear to have it all is devastating financial accounts all over the country.

Many times, those others you are trying to keep up with are in crippling debt themselves. It’s all a house of cards.

Taking a good, hard look at previous expenditures is a key way to determine if you’ve fallen into spending based on others vs. your own plan.

As you look at those expenditures, ask yourself if you’d buy them if you had the opportunity to do it over.

Keep a list of purchases you regret and review regularly as a reality check on where you’re putting your money.

Next time you’re about to make a big purchase, especially one that will put you into debt, take some time to examine your motives.

Ask yourself if you truly want or need to buy that expensive item that will be replaced in a few years, or do you want to retire early?

If your real goal is financial freedom, keeping up with the Joneses is not the way to achieve it.

Wang Yi struck a friendly pose for Hungarian media as he met Foreign and Trade Minister Peter Szijjarto

By Tessa Wong

BBC News, Asia Digital Reporter

Over the past year, leaders in the West have tried to cajole China to help them end the Ukraine war. Now Beijing has given its firmest response yet – and it’s not something many in the West would like.

In recent days, China has launched an assertive charm offensive, kicking off with top diplomat Wang Yi’s tour of Europe, which culminated in a warm welcome by Russian President Vladimir Putin in Moscow.

Beijing has released not one but two position papers – the first offering the Chinese solution to the war, and the other outlining a plan for world peace. These largely retread China’s talking points from the past year, calling for respect for sovereignty (for Ukraine) and the protection of national security interests (for Russia), while opposing the use of unilateral sanctions (by the US).

The West may come away unimpressed – but convincing them was never likely the main goal for Beijing.

China’s goal: send a clear message to US

Firstly, it clearly seeks to position itself as a global peacemaker. An obvious clue about who it’s really trying to charm lies in one of its papers, where it mentions engaging South East Asia, Africa and South America – the so-called Global South.

In preaching an alternative vision to a US-led world order, it is wooing the rest of the globe, which is watching to see how the West handles the Ukraine crisis.

But another goal is to send a clear message to the US.

“There is an element of defiance,” said Alexander Korolev, an expert in Sino-Russian ties at the University of New South Wales. “It is signalling: ‘If things get ugly between us, I have someone to go to. Russia is not alone, which means that I will not be alone when there is a confrontation… don’t get comfortable in bullying me.'”

The timing, say observers, is a giveaway. Relations between the US and China have hit a new low, exacerbated by the spy balloon saga. Some have also questioned why China – if its intention is to help end the war – is only just now making its big diplomatic push for Ukraine peace.

“China had ample opportunities to display leadership, it was invited early on to contribute to ending the war… If the goal was to truly display the image of a global leader, you don’t have to sit on the fence for one year and try to perform a diplomatic dance,” said Dr Korolev.

There was a third goal, and it could be seen in Mr Wang’s itinerary.

By visiting France, Germany, Italy and Hungary, whose leaders China perceives as taking less of a hardline stance on Russia, Mr Wang may have been testing the waters to see if China could lure some of Europe into China’s orbit.

Watch: One year of war in Ukraine in 87 seconds

Beijing sees a “logical convergence of interests” with these countries, said Zhang Xin, an international political economy expert with the East China Normal University in Shanghai. “It believes the US has hegemonic power, and that a large part of the Transatlantic world could benefit from detaching from that system.”

But whether China will succeed in that particular goal is questionable. Mr Wang’s speech at the Munich Security Conference, where he criticised the US, did not play well in a roomful of America’s staunchest allies and, according to diplomats, only spawned greater distrust of China’s true motives.

His tour “was a very overt push to say: ‘We don’t have problems with Europe, we have problems with the US, we can fix things with you Europeans and you need to understand that the US is leading you down a problematic road'”, said Andrew Small, a senior fellow specialising in Europe-China relations at the German Marshall Fund think tank.

“But I think in most places in Europe, this message doesn’t have much traction.”

The key question now is whether Beijing will live up to its word of making peace as it tightens its embrace of Russia.

The US has warned this week that China was considering supplying lethal weapons to Russia, and that Chinese firms had already been supplying non-lethal dual-use technology – items which could have both civilian and military uses, such as drones and semi-conductors.

Publicly China has reacted with angry rhetoric. But behind closed doors, Mr Wang made it clear to top EU official Josep Borrell that it will not provide weapons to Russia.

EPA

Russian President Vladimir Putin warmly welcomed Mr Wang in Moscow

According to Mr Borrell, Mr Wang had also asked: “Why do you show concern for me maybe providing arms to Russia when you are providing arms to Ukraine?”

It is a revealing line, say observers, showing that Beijing still truly believes the West is to blame for fuelling the war.

“Sending weapons to any warring party is considered as further escalation – that is the position of the Chinese state so far,” said Dr Zhang.

There is scepticism that Beijing would supply weapons to Moscow, given how it runs counter to Chinese interests.

Such a move would be seen by others as a clear escalation of the war, and would lead to sanctions and disruption of trade with the West – hugely damaging for China, as the EU and US are among its top trading partners.

It would also raise global tensions significantly and likely push US allies further into Washington’s embrace, stymieing Beijing’s plan to woo some of them away.

What is more likely to happen, say observers, is that Beijing will continue or even step up indirect support to Russia, such as boosting economic trade – which has provided a financial lifeline to Moscow – and abstaining from sanctions on Russia.

They may even supply more dual-use technology through third party states such as Iran or North Korea, according to Dr Small, so that they can lend support “as deniably as possible”.

But as the war drags on, the issue of giving lethal weapons will resurface, he warned.

“There hasn’t been a question yet on what kind of significant things China could be asked to do, because previously Russia didn’t need to resupply,” said Dr Small. “But they are hitting that juncture. How long is China willing to say to Russia it will not do it?”

Days before the outbreak of war in Ukraine, Xi Jinping and Vladimir Putin declared they had a “friendship without limits”.

A year on, China will have to answer the question of how far it would go for its special friend.

Japanese Figure Maker’s Vitruvian Man Is Here To Beat The Hell Out Of Your Other Toys

1 62
1 62

Sometimes it’s just not enough to have super hero and anime figures duke it out, you’ve got to add some historical and artistic firepower to your action figure duels. Fortunately, Japanese figure maker Figma has your back with their Table Museum series, a lineup of action figures that brings history’s most famous works of art to life (such as The Thinker and Michelangelo’s David). Now joining their lineup is perhaps the most formidable of masterpiece art–a multi-armed monstrosity in the form of a badass Leonardo Da Vinci’s Vitruvian Man action figure.

Mopre: Good Smile h/t: grapee

2 59
2 59

Da Vinci’s Vitruvian Man is based on the correlations of the ideal human body using gemoetry, and is often described as an artistic masterpiece showing off “harmony of the human body.” So it should come as a fitting entry in the Table Museum series, which is made from a flexible type of plastic and fully posable joints. The Vitruvian Man figure comes with alternate upper-body parts and even a special dial to recreate his classic pose. Of special note, however, is just how freaking hard-boiled this Renaissance figure looks, with a permanent scowl, bulging muscles, and ability to just eviscerate the artistic egos of other masterpieces like this:

8 49
8 49

7 50
7 50

6 52
6 52

5 55
5 55

4 57
4 57

3 57
3 57

What’s It Like To Be A Member Of A Triad?

maxresdefault 2
maxresdefault 2

 

My involvement began in high school. There was nothing dramatic about it, I just became friends with a bunch of people I thought were cool and one thing led to another.

High school gangs are like triad training schools. They are not part of the triads per se, they’re more of a triad Mickey Mouse fan club where a group of young wannabes strut around pretending to be something they’re not. You’d be surprised at just how many of these there are.

The leaders of these high school gangs are usually affiliated with a low ranking triad member, called a 49 in triad lexicon. These are the foot soldiers. The 49 functions as big brother whose help the boys would call on in case of trouble, but big brother is also a scout who kept an eye out for promising young talent.

I must’ve seemed like one, because I was soon introduced to the 49er’s tailou (big brother), who was also a 49er. We met a few times at a local disco, snorted cocaine, gargled ketamine, popped ecstasy, and soon he trusted me enough to put me in charge of a few high-school gangs.

The triads are structured like a MLM scheme. At the lower levels, the more followers you recruit, the more powerful you become, the higher up you climb. The people above your rank are referred to as tailou or ____ ko which means elder brother, and your followers are referred to as DauGei, or children.

It’s all about the organization. So we organized.

We recruited the same way ISIS and Al Qaeda does: by giving disaffected and disenfranchised young men a sense of belonging. We start off by convincing the kids that we were cool by bringing them alcohol, drugs and other illicit goods. Then when they have issues they’d come to us for help and we’d help them. Many of the kids I recruited were bullied in school and looking for some revenge, and we’d give the kid’s bully a thorough trashing.

Some of the kids would naively come to see us as these cool guys who were looking out for them, and they’d seek to be a part of our circle. Once we got the kids on hooked on the illusion of brotherhood and coolness, they’re ours to keep. And they’ll bring their friends as well.

We went around the schools settling petty disputes such as who stole whose girlfriend – at the high school level, everything is petty- , and we enforced pax triadica with our fists. We demanded discipline from our members, and if one of our own went out of line we’d beat him up ourselves. We were a group of young bullies with our own set of rules and standards of behaviour. My recruits unwittingly traded one bully in school, for circle of friends who bullied one another.

From petty disputes we graduated on to settling disputes between local businesses. Unlicensed bars, moneylenders and illegal gambling dens would pay us a set fee, and in return we’d step in if they have problems. The money was terrible, but for a young kid, having adults and business owners turn to you for help is a huge ego trip.

I was able to grow the organization effectively because I understood the principles of peer pressure and groupthink. So if you’re a parent, I would advise you to obsess over who your teenager is hanging out with; there are many manipulators like me out there.

I must’ve been a pretty good recruiter, because the boss took me under his wing and introduced me to his boss, Suen Ko. Suen Ko was a hung kwan, or a mid-level lieutenant in the triad hierarchy. This is where I started to get involved with the actual organization. We had a short initiation ceremony in a karaoke room, and I became a 49 under Suen Ko.

Suen Ko owned a few nightclubs and bars, and virtually every night we’d be in one of his fine establishments drinking, partying, and partaking in every drug we could get our hands on. Our sort attracted a certain sort of girl, and there were girls aplenty. The bars were a money maker, but Suen Ko’s real money came from selling bootleg CDs.

At the time, bootleg CDs and eventually DVDs were an organized crime gold rush. This was before napster and way before bittorrent, and demand was so high that we filled up entire shopping malls with outlets selling pirated movies, music and software. A common joke was that if Bill Gates ever visited our malls, he’d have a heart attack on the spot.

For about 5 cents in costs for a blank CD, we sold the end product to the consumer for 15 local bucks a pop. Not even cocaine had that kind of margin. We were selling the bootlegs as fast as we could print them, and best of all piracy was perceived by the local cops as a low-impact crime and as such wasn’t rigorously enforced. Heck, many of our regular customers were cops. At the time, you could drive up to a police checkpoint with a stash of bootleg CDs on the backseat, give cheeky grin and a thumbs up, and the cops would just wave you through.

Suen Ko made millions within his first year.

I was good with computers, and I became his IT department. I helped him organize his production, and in return he gave me a handsome cut. I made quite a bit of money in my teens, but I quickly blew it all on drugs and girls.

The biggest eye opener was during the annual company dinner. They had to construct a tent hall on an empty field to fit all 5,000 of us in, and there were local politicians and community leaders on the front row tables. That drove in the impression of just how big the tree was, and how deep the roots went.

If I made the triads sound like corporations, that’s because that’s what they are. We were even registered with the Registrar of Companies as a multimedia company and we paid our taxes. The big bosses looked just like any other middle aged Chinese uncle you’d meet at the local supermarket. The best way to avoid detection is to be in plain sight and blend into the background. The so-called gangsters you see on the street strutting their stuff are amateurs; many of them are just aping what they see in the movies. The pros keep a low profile and get on with making money.

Once you go far enough up the hierarchy, violence is actually pretty rare. For the most part, being a triad is just like working in any other corporate job.

But when violence does occur at that level, it’s freaking terrifying.

Roundabout the end of my first year, there was a war. The politician who Suen Ko worked for was at odds with another politician from the same organization. There were a few shootings, grenade attacks, and choppings, but it didn’t affect me directly at first so I didn’t give much thought to it. Then a call came one night. All hands on deck. We dropped everything and converged on the HQ.

Pardon the expletive, but it was scary as fuck. There were a hundred or so of us milling about an office block, and someone started handing out machetes and sashimi knives. Suen Ko took me up to the office, and there were hard looking fuckers at every corner. The air was so full of cigarette smoke I could barely breathe. Everyone looked grim. Apparently we were expecting an attack.

I was a skinny teenager, and I was out of my depth. Till that point, I’d been involved on the white collar side of things. The guys I saw that night had the word hard etched on their faces. I’ve never felt more scared than I did that night.

We stayed there overnight, but no attack came so we went back to our branch office. They attacked us there. A dozen or so guys rushed in and we fought back with chairs, clubs, machetes, boxes of A4 paper, everything we could get our hands on. It was a hazy frantic panicky desperate fight for survival. We were cornered and if we lost it would’ve been game over. One of theirs died in the melee.

The police arrived fairly quickly and I went to jail for a bit. It was in a cell that I resolved that this life wasn’t for me. For some miraculous reason, I got off scot-free. I went home, packed my things, and left everything behind to start a new life.

So how did it feel like? Terrible.

It’s not a healthy way to live one’s life. It got to the point where I was so paranoid that whenever I went to a restaurant I’d sit facing the entrance so I’d know who was coming in. I saw potential threats everywhere, and I carried symptoms of PTSD for a long time afterwards.

It took me a very long time to put my past behind and to learn to live again without fear like a normal human being. I had cut off all ties with everyone I knew, and have difficulty trusting people. Till today I know many, but am close with very few.

If there’s any teenager reading this who is in a similar situation as I was, know that the world is vast and there are opportunities everywhere. The cool kids you see in school are anything but.

Don’t make the same mistakes I did

– Anonymous

What’s It Like To Date A Gold Digger?

 

When I was in my 20’s, I had a very, very beautiful woman wind up being the biggest gold-digger I ever went out with.

So let’s call her… Julie. Julie was a fitness contestant/exotic dancer with a body that stopped traffic. And while she had this super, over-the-top body, she also had over-sized implants that made her look like a real-life Jessica Rabbit, hair and everything. She stopped traffic, and that’s not an expression, cars literally slowed down or stopped to watch her walk down the street. She gave me a picture of her in a bikini. I would show my friends and most of them were in disbelief that I even knew her, let alone was going out with her.

And… how exactly did we meet? At a strip club of course. I was young and more naive than most, but it turned out we had mutual friends in common and we wound up spending a couple of hours together talking. We “seemed” to hit it off and have a lot in common… or so I thought.

At the end of the night, being the naive numb-skull that I was, I thought I actually had a chance with her, I asked her out. To my surprise, holy crap, she said yes— I was on Cloud Nine and couldn’t beliebe my luck. I’m not sure I even slept that night in anticipation of our first date.

However, I soon realized that one we did go out, every date suggestion she made (she always shot down what I wanted to do), was over-the-top. I was OK with that for our first date, and even our second, but soon realized that there was never an offer of a quiet evening at home or having an inexpensive dinner out, etc. Every date or date suggestion she had (and we had three dates) was a extravaganza that cost me well in excess of $500-$700.

Each time, it was the same; at the end of the date, we’d share a quick kiss and she’d find some reason she needed to go home ASAP. I began to sense I was being taken for a ride and decided to stop calling her.

But she wasn’t done with me… yet.

One day, she called and asked me what I was doing and wanted to get together. I was honest and told her she was kind of breaking me. Again, I was in my 20’s at the time, not making a lot of money, and this was killing my bank account.

Then she surprised me by offering me a quiet evening at my house, claiming that she wanted to make medinner. OK, this is better, I thought. And it was better… until about two hours before she was supposed to come over, when she called to inform me that her “Favorite comedian in the wooorrrld” was in town and for only “one more day. Can we PLEEEEEEASE do that instead??” She then threw in multiple references to the wild night at home we’d have later as a result. That was always her way; insinuate that you were going to have the time of your life with her later.

She could teach fisherman how to better bait a hook, she was that good at this.

OK, you probably get where this is going, right? Unfortunately, I didn’t. “Sure!” I said. Sounds great!! What time do you want to meet?” I should have known when she wanted to meet halfway what was coming.

Of course, she tells me that now that we’re doing this instead that we simply must go to her favorite local restaurant now (She “always went there first— it’s a tradition!”), and that came to $200+. Then front row tickets to the show plus drinks, and that came to another $300.

She’s also getting progressively drunk as the night goes on and is now telling me how her dress (a tight-fitting denim number with buttons from top to bottom on the front), “just pops right off… which is going to be really convenient.. tonight. Wink, wink.”

Ironically enough, while I certainly wanted to have sex with her, I also thought I liked her and that this might be a way for us to formalize a relationship. The show ends and we drive back to my house.

We get there, have drinks and talk for a few minutes about our the night. She seems to be having fun, and then suddenly and out of the blue… she totally clams up… and needs to leave “right away…” yet again. Something about not being comfortable that her car is parked in a public lot. Ironically, for being so hot, she drove a piece of crap econobox), which keep in mind, she hadn’t been concerned about all evening… that is until it was time for us to be romantic together.

Then it hits me– I’m totally being played by this gold-digger!! %(**@#&!!! And holy crap, she’s managed to do it to me… again!

I tell her she’s damn right she needs to leave right away, and that I will take her back to her car IMMEDIATELY. It was clear to me now… even naive twenty-something me. She was just using me to live the high life, couldn’t care less about me, and then once it was time to demonstrate that she actually liked me in some way, shape or form–and by that I mean even just some kissing and being openly affectionate- ran home.

I heard from other guys later that this was not uncommon for her, but that if that if you had enough money—and I’m talking private jet money—she actually would sleep with you. I also hear that these guys—the one’s who had that kind of money—used her just as much as she was using them, and threw her away when they were done with her.

Karma’s a bitch, right?

We drove back to her car in complete silence. It had been yet another expensive lesson, but this one stuck. I dropped her off without a word in the parking lot, pulled out before I saw her get in her car, and never spoke to her again.

– Errol Greene

IKEA Recreated Living Rooms From ‘The Simpsons,’ ‘Friends’ And ‘Stranger Things’ With Its Own Furniture

Ikea’s Billy bookcases, Poang chairs and Kallax shelves can be seen in real homes around the world, but they now have a place in the fictional living rooms of “The Simpsons,” “Stranger Things” and “Friends.”

The Simpsons

1 1 7
1 1 7

In the “Real Life Series” campaign running in the United Arab Emirates, Ikea, along with agency Publicis Spain, recreated iconic living rooms from each of the popular shows with only its own products. The campaign leverages the pop culture references with the aim to be relevant to all cultures, since the UAE is largely populated by expat families from all over the globe.

More: IKEA

“We brought to life the iconic living rooms of the most beloved families of all times, through tons of furniture combinations in lots of different styles and sizes – and at affordable prices. We’ve grouped all the products for each room for you, so it’s easy to recreate what you see here in your own home. Take a look and make your living room iconic with IKEA.”

Room for families
A living room is not just a place for families to get together and watch TV, it’s a place to share happy moments and have fun. And fun is what this room is all about. Combine new colourful and playful patterns and brighten-up your living room with your functional and favourite IKEA furniture pieces.

1 96
1 96

Friends

2 2
2 2

Room for mates
Whether you share the same surname or just each other’s companies on a regular basis. Family for IKEA goes beyond the traditional definition. And we also have rooms far from traditional to match that. Mix and match styles, throw some color in and build a comfy, flexible, friend-magnet living room to enjoy with your favourite people in the world.

2 87
2 87

Stranger Things

3 1 4
3 1 4

Room for everyone
Every living room tells a story of the family who lives there. And this one is not afraid to tell it out loud. So let your furniture do the talking. You can express your family’s romantic with a colourful string of lights or brag about your great book collection, perfectly organized on our display cases. Whatever your family loves, you’ll find a way to show it at IKEA.

3 85
3 85

What’s It Like To Own A Lamborghini?

 

thomas haas 1198159 unsplash
thomas haas 1198159 unsplash

 

I pondered this same question since I was 15. About 15 years later I am qualified to answer this. I’ve owned 2. 08 Gallardo and 2015 Huracan. How does it feel? I will break this down into two parts–from an automotive/mechanical perspective and an emotional/human perspective.

Both were V10’s and the moment you turned the key (or pressed the start button) you knew it was 10 cylinders. They were proper to use a bull for their logo because it sounds like a really pissed off bull being woken up too early on a Saturday morning each time you fire it up. Italians are about soul and lambos ooze soul compared to the other exotics and expensive cars I’ve owned. You feel alive when you drive them. Driving a lambo is a very visceral experience. It’s loud, and you can feel the engine rumbling through your bones as you shift (all paddle shift these days) and downshift. Everyone should experience a v10 downshifting hard through a tunnel at least once in their lives. Every drive is an experience and I would find myself with a big grin on my face any time I drove them.

A common misconception is that they’re expensive to maintain or are unreliable. 2005 and newer are head and shoulders above the pre 2005 models. Once Audi (or is it VW?) owned lamborghini and started sharing parts the car was so much better inside and out. Diablos and countach’s feel cheap and flimsy but the fit and finish after the gallardo came out is nice and tight like an Audi. Also I will say the AWD models make you feel like a great driver and safe even on wet surfaces.

Ok so here’s probably what you’re more interested in– what does it feel like, how do people react, etc. You’re going to get a lot of attention. I never had yellow or green or orange but those attract even more attention. Meaning when you drive it, expect at least a few people to take pics and/or video (while they have one hand on the wheel of their own car), people will try to race you, follow you, stare, honk their horn, give you thumbs up, etc. Sometimes it’s downright dangerous because they are paying attention to your car when they should be driving.

When you’re getting gas or stopped somewhere that’s when it can get awkward. Every week I’ll get a couple of questions that bug me:

“How much did that car cost?”

“So what do you do”

I don’t mind if you ask how fast it goes. Or if you can take a picture or look inside. I’ll even let people sit in it — all the time! But don’t ask me how much it costs. Just google it. And asking me what I do… As if you’re going to turn around and start doing it too? That’s like asking someone how much they make. You don’t want to know, trust me.

So I used to struggle with this and would try to avoid it. Now I just tell people. $285,000. Ok there. Are you happy now?

If you like the car you should see my house.

It’s a no-win situation. I tell you and it makes things weird or I don’t tell you and you think I’m a lambo driving asshole. Oh well, comes with the territory. I still don’t have a great way to handle that question.

People treat you like a celebrity (not justified) because of your car. Most people don’t know what kind of car it is. Most people have never seen one up close. Boys from the ages of 8-18 freak out — I did the same when I was their age.

Gas mileage sucks. 10mpg sounds about average.

Insurance is not that bad. I’m paying about $250 a month (over 25, no accidents, multiple car discount, etc). Not all insurance companies will cover lambos. Progressive does.

Cops. Beware. If you drive a lambo you are begging to get pulled over. That means you do one thing wrong: roll through a stop sign, run a yellow light, go 5mph over the speed limit, have an expired license plate, swerve in a lane (ESP at night), expect blue and red lights to come on especially if you aren’t in LA or Miami where they are common. Been pulled over 4 times in the lambos. 3 were fine. One was straight up harassment and I was scared. I won’t drink even a beer if I’m in the lambo, it’s just not worth it.

Overall I haven’t had that bad of an experience. It’s been positive and fun. I go to car shows. Take neighbors’ kids for rides. Answer everyone’s questions at gas stations and am as nice as I can be. I’ve been fortunate in my life and so I feel like it’s my job to share the car with people even if it’s just a selfie for a random stranger at the supermarket. (And yes I drive it to Kroger and yes a couple of bags of groceries fit in the trunk).

Lemon Bread (Denmark)

2023 02 25 18 24
2023 02 25 18 24

Ingredients

  • 1 cup granulated sugar
  • 6 tablespoons butter
  • 2 eggs
  • 1/2 cup milk
  • 1 1/2 cups flour
  • 1 teaspoon baking powder
  • Rind of 1 lemon
  • Pinch of salt

Instructions

  1. Mix all ingredients together.
  2. Put into loaf pan.
  3. Bake at 375 degrees F for 1 hour or until done.
  4. Drizzle glaze made of juice of 1 lemon and 1/3 cup sugar over hot bread.

Confessions From The Sociopath Community

 

1. It’s like everyone is a puppet and the world is a game. the rules are to manipulate the puppets in order to win the game for yourself. some puppets get in the way so they have to be removed others are more useful.

You gotta play the long game tho because you never know when someone might become useful again later. some puppets live some die, it’s just all part of the process. none of that affects me on the inside. Hack the system and achieve your short and long term goals. puppets are just part of the system.

Love doesn’t feel like a thing, it’s just usefulness of ppl. same with loyalty. It’s all temporary depending on usefulness of the puppet.

2. I feel like I don’t give a shit about 90% of things unless they’re directly affecting me. I find it really hard to relate to people and expressing my emotions because I don’t feel anything. Especially when consoling someone and you have to fake being upset too when deep down I couldn’t care less.

3. I spent a good chunk of my youth doing things because i thought they were right but i never really felt it, when i did a good deed i thought i was doing it to be nice but really i was looking for the reward of looking like a better person or maybe a physical reward like money etc, i dont believe now that selfless good deeds really do exist, instead i see selfish actions that can benefit others. When i study people i start to wonder if they are aware of this deep down and feel the same way or if they really think they are doing good, my mother is someone who goes out of her way to help people, i dont know if she realises but she is definitely rewarded with things like a thank you that makes her feel better or the thought that she has impressed someone, the thing i wonder is whether she is actively seeking these gratifications and is either aware of it or in denial about it or if someone can really just be a good person. I dont know if i’m just cynical but i think the normal people are just in this mental matrix, i think they are all sociopaths to some extent who have there human suits stuck on and we are just the ones that have woken up and have the understanding about what we really are.

4. We have spent our whole lives teaching ourselves to avoid detection and give a reasonable appearance of normalcy. I’m sure we’ve all had breakthrough moments of “oh, that’s how you perform a warm smile!” or “shit! you mean I’m not supposed to hold eye contact without blinking if I want people to feel comfortable loaning me money?”

5. Everytime I search something about psychopathy, sociopathy or NPD, I come across thousands of shit posts with huge bold headlines like ” How to avoid being in a relationship with a sociopath 101.” which usually follows with something like ” when narcs and other abusers go on ATTACK blah blah blah”. Ya’ll do realize sociopathy or psychopathy and npd have some huge differences right? Sure we are the bad ones but even then, it’s a disorder for god’s sake, stop victimizing yourself and stop believing that ya’ll are the “better humans”. Not every abuser is a sociopath or a psychopath and not every psychopath or sociopath is an abuser. Sure, there’s a huge possibility that your relationship with someone with aspd or npd (even bpd) can turn sour and toxic but we’re not monsters that’ll crawl out of the closet to ruin you. Please stop throwing the term around like a slang, being a sociopath isn’t funny nor is it a slang. Again, just because someone doesn’t give a fuck about your feelings doesn’t mean they have aspd.

6. Sociopathy takes away from the things of life that (I’m assuming) make it interesting. If your best friend gets engaged, you feel nothing. If your significant other gets a new job or a promotion, you feel nothing. If your sibling graduates, you feel nothing.

And I’m not saying “feel nothing” as in you feel ‘numb’ when good things happen to others, but more in the sense that events like those literally have 0 effect on your mood and how you feel.

This makes life pretty boring after a while, because the only things that affect how you feel are the things that affect you directly. And I mean, how many truly interesting things happen to each of us on a daily basis? I’m willing to bet not that many.

So from what I can tell, while NT’s might feel depressed or guilty every time they read the news/something bad happens to someone close to them, they also feel happy and excited when positive things happen to those close to them. Essentially, their emotions and thoughts are almost always being stimulated by events happening around them, good or bad. Meanwhile a sociopath is affected by neither; the only thing that could possibly make a sociopath’s day more eventful would be if something happened that directly affected them.

A sociopath’s world is a selfish one, and unless you have a wildly eventful and crazy life, that world can be pretty boring.

7. There are various cultural and personal reasons behind this assessment. 1. People tend to naturally demonise people with ASPD. I know it has been echoed into their heads by pop culture, and so it makes it much harder to be open about it. They treat it as if people with ASPD are responsible for having it. Which brings me to- 2. It is really lonely. People think being manipulative, or even having a non-emotional assessment of any situation is in itself a threat. They hate blatantly true people. And if you tell them such disregard is an outcome of your “sociopathy” it’s like a trigger word for danger. 3. You get bored when you don’t want to, really quick. Especially of people. You perpetually feel like you don’t fit in. And even if you are aware of your exact emotional state, you can often do nothing about it. This has made me crush so many relationships, simply because I was bored. Even if I didn’t want to. Something personal here- it is really regrettable for me. But I often distance myself emotionally as a precautionary measure so that I don’t end up hurting someone else’s feelings. And this has been getting on my nerve for a while now. 4. There’s trauma. Often unspoken trauma inside that rarely gets attention in the midst of all the ‘lack of empathy’ hysteria.

These are the ones I had personally been suffering with. I have both Bipolar I and ASPD so I think something may be on the BPD side. Even so, I have couple of friends who have BPD yet they experience a much more welcoming social structure. This is why I often do not even mention ASPD. At the end of the day, it feels like you are cornered. And that in any case is the worst situation for those on the ASPD spectrum.

8. When I do something wrong I get this anxiety that I’ll be caught and/or people will look down on me for it. I don’t actually feel guilt. I honestly think I’m above the law and should be able to do whatever I want but I know that’s not idealistic.

9. The way you feel about objects like the floor, walls, cars, trees, etc is probably how I feel about them, but I feel the same about people and pets as I do about inanimate objects: they’re useful, nice, can be something sentimental, or something to have fun with.

Empanadas (Meat Pies – Argentina)

4f833681e8394c4873a96b95362fd965
4f833681e8394c4873a96b95362fd965

Ingredients

Dough

  • 1/2 pound cold salted butter or margarine
  • 6 1/2 cups all-purpose flour
  • 1 teaspoon salt
  • 3/4 to 1 cup cold water

Beef Filling

  • 1/2 cup vegetable oil
  • 4 medium onions, peeled and chopped
  • 1 1/2 pounds ground chuck
  • 2 sweet roasted pimento, drained and chopped
  • 1/2 cup dark seedless raisins
  • 4 large eggs, hard-cooked, peeled and chopped
  • 24 small green pitted olives
  • 1 1/2 teaspoons oregano
  • 1 teaspoon sweet paprika
  • Pinch of black pepper
  • 1 tablespoon salt

Instructions

  1. Dough: Cut butter into pieces. Using an electric mixer, mix with flour and salt. Gradually add 3/4 cup water. Mix for 6 minutes. Dough should form a ball. Pat into round shape. Place dough in plastic bag and keep at room temperature for 20 to 30 minutes.
  2. Divide dough in half and knead for 2 minutes. Roll out on lightly floured surface to a thickness of 1/8-inch and 5 1/2-inches in diameter. This should make enough for 24 circles.
  3. Beef Filling: Heat oil in skillet and sauté onion until bright yellow. Add beef, stirring until beef loses its red color. Stir in pimento, raisins, oregano, paprika and salt and continue sauté ing for 2 minutes. Drain off excess oil; chill in refrigerator for 1 hour.
  4. Stir in chopped eggs just before filling the dough. Reserve olives to add to each empanada.
  5. Preparing the Empanada: Heat oven to 450 degrees F.
  6. Place 4 tablespoons of filling on each circle of dough. Insert 1 olive into each mound of filling. If dough is dry, moisten with cold water. Fold dough in half. Press down firmly just below the mound of filling. Turn edge over, pressing down firmly just below the mound of filling. Turn edge over, pressing down firmly. Then working from left to right; crimp and pleat in points to seal edges. Brush each empanada with glaze made of 1 egg, beaten with 1/2 teaspoon sugar.
  7. Place empanadas 1-inch apart on an ungreased baking sheet and bake for 20 minutes or until golden brown.

Yield: about 24

Leftover baked empanadas can be stored in the refrigerator and reheated for 10 minutes at 350 degrees F.

What Is It Like To Be A Trophy Wife?

 

I spend a lot of time complaining to myself and my friends (girlfriends and guy friends) about my life but overall it is good. I would not trade it for the alternative if that’s what you mean.

The good parts:

    • I love him, for real. Sure, I won’t lie that him being successful didn’t influence my decision to date him and later when he proposed it was a no-brainer, but there isn’t a single girlfriend of mine or woman I ever talked to honestly who didn’t want an older man with a good job and money. So it’s not a loveless marriage or a marriage of convenience, I fell in love with a man who happens to have a lot of money, and that’s still one of the things that makes me happiest about my life, having met someone who I love so much and who loves me, despite our age differences and whatever else.
  • Never having to worry about paying for things. I had a $27,000/year job trying to do writing for small (often failing) newspapers in a big city before I met him, sharing an apartment with a friend. I spent the money I made on my wardrobe and shoes and hair (and I guess I’m glad I did) but a couple months choosing between rent and utilities like phone or heat was a real issue. I know a lot of people think self-respect and making your own way is a big thing (including me) but I do not want to go back to that life.
  • He makes a lot of money. I mean a lot. I literally could not believe it when I first began to understand, but pretty much unless I want to buy a house or a very expensive car (like a Ferrari, not a Lexus) I don’t have to worry about the price ever. It’s nice being able to shop all you want and he is more than happy to provide so that I look my best. And what girl doesn’t want to look good? Especially since her man is the one who appreciates her more than anyone?
  • I get to associate with a lot of interesting people. I was raised with good middle-class manners, so I can get along passably with “high society” especially since many of the people he socializes with at work-related events are self-made and not “blue-blood European old money” types, so I get to meet lots of interesting and accomplished people and their spouses. Much more interesting than my slacker friends who I feel a bit bad not talking to as often but the truth is that a lot of my friends from high school are still doing nothing with their lives and smoking pot and these people aren’t (as much, or as openly).

Now the bad parts:

  • People (including yourself) judging me. There is always an unspoken feeling of disapproval about what I’ve done or the arrangement we have, even if both of us are happy. It’s obvious that society frowns on this sort of thing and feels like a talented young woman with a college degree should be making her own way instead of stopping out and becoming a kept woman. Probably my own worst critic is myself to be honest.
  • Not really feeling like I truly own anything. The most expensive thing I’ve ever owned myself was a used car I bought for $2400 with money I earned at my first job out of school. I loved that car, but it made too much sense to trade it in when he bought me a much, much nicer new car many years later as a birthday present. Everything else, even if it’s something I’ve picked out myself that he could never have any use for (like shoes, jewelry, makeup, accessories) still feels like it doesn’t belong to me because it’s really his money. Most days I try not to think about this and it’s all right but occasionally it comes to mind.
  • I feel like I have to keep the marriage together. It does feel a bit like a hostage situation, because I know if things were to break up, I would lose a lot of this. Yes I would be entitled to some of his stuff, but he is the one who has powerful lawyer friends so it probably wouldn’t turn out well for me. We don’t have children yet (but we are talking about it) so there wouldn’t be any child support. I’ve met some wives and ex-wives of his friends and the ex-wives say that in a divorce situation I will do okay but not great, and if I love him I should do my best for the marriage especially if we have kids (obviously).

All in all I can say that obviously we would like to be completely independent and financially-secure women but if life finds us in a situation where we are a trophy wife there are worse things that can happen to us.

Anonymous

35 Eerie Photos Of Abandoned Malls That Are Now Ruins Of A Lost Era

 

Empty malls across America are being abandoned at a staggering rate. But instead of demolishing these dead malls, most cities are allowing them to rot and be reclaimed by nature.

All things must come to an end, and the era of the American shopping mall is no exception. Brick and mortar retail shops — especially niche stores — are becoming increasingly unprofitable. As a result, empty and abandoned malls are now almost everywhere. And whether they’re left to be overtaken by nature or simply remain frozen in time, these dead malls are equally mesmerizing and unnerving.

Malls enjoyed a booming heyday in the 1970s and 1980s — even as the economy was tanking. This was when the wealthy (and usually white) people migrated away from urban zones and into the suburbs. They purchased glistening new homes and went shopping to fill their spacious rooms and closets.

abandoned malls torn stage
abandoned malls torn stage

abandoned malls shopping ghosts
abandoned malls shopping ghosts

abandoned mall bench balcony
abandoned mall bench balcony

abandoned malls shattered glass
abandoned malls shattered glass

abandoned malls sad storefront
abandoned malls sad storefront

abandoned texas mall
abandoned texas mall

abandoned malls puddles
abandoned malls puddles

abandoned malls outdoors in
abandoned malls outdoors in

abandoned mall broken phone
abandoned mall broken phone

abandoned malls cleanup
abandoned malls cleanup

abandoned malls jewelry store
abandoned malls jewelry store

abandoned malls no customers
abandoned malls no customers

abandoned malls outdoor sign
abandoned malls outdoor sign

abandoned malls green splash
abandoned malls green splash

decrepit florida mall
decrepit florida mall

abandoned malls dead plant
abandoned malls dead plant

abandoned malls dark stores
abandoned malls dark stores

Malls became cultural symbols of the time, as well as marketplaces. The wide variety of goods in one place was like a Sears catalog come to life. Add in the social gathering aspect, and it’s easy to see how the mall became as iconic as it did.

The media reflected this, as many films — especially ones from the 1980s and 1990s — heavily feature shopping malls as important locations. Mallrats, Clueless, The Blues Brothers, and Dawn of the Dead all have characters who spend major time in malls (though one just happens to be filled with zombies).

Today, as abandoned malls have become the norm, the very notion of these indoor shopping centers has taken on an entirely different character. Gillian Flynn, author of Gone Girl, says, “For kids of the ’80s especially, dead malls have a very strong allure. We were the last of the free-range kids, roaming around malls, not really buying anything, but just looking. To see all those big looming spaces so empty now — it’s a childhood haunting.”

What Shopping Centers Were Like Before The Era Of Dead Malls

The idea of the American mall began in Minnesota, and that’s where it reached its peak.

swansea mall closed
swansea mall closed

shapes store abandoned
shapes store abandoned

empty mall interior
empty mall interior

abandoned colorado mall
abandoned colorado mall

trashed mall corridor
trashed mall corridor

empty 80s mall
empty 80s mall

deadmall food court
deadmall food court

cloverleaf mall trees inside
cloverleaf mall trees inside

brick floor mall
brick floor mall

belz factory outlet dog
belz factory outlet dog

abandoned california mall 1
abandoned california mall 1

abandoned malls ceiling tiles 1
abandoned malls ceiling tiles 1

abandoned mall alexandria 1
abandoned mall alexandria 1

abandoned malls water damage 1
abandoned malls water damage 1

abandoned malls two halves 1
abandoned malls two halves 1

Edina, Minnesota is home to the very first enclosed shopping mall. Designed by Victor Gruen in 1956, the Southdale Mall is a climate-controlled complex. It has a central atrium, two floors, and escalators.

Gruen wanted to recreate the pedestrian experience of European cities by designing a place for the community in the deserts of suburbia. Americans were enthralled by their automobiles, and the mall would be primarily used for shopping, but also for relaxation, green space, food, and fun.

Until this first enclosed shopping mall, retail areas were characteristically extroverted. They had separate windows and entrances. The new malls were introverted: Everything was focused on the inside.

Not everyone was a fan of this concept. “You should have left downtown downtown,” architect Frank Lloyd Wright grumpily proclaimed during his visit to Southdale.

It has undergone numerous renovations and store closings over the years, but when Southdale first opened, it was downright glamorous. It cost $20 million, which went a long way back in 1956.

Minnesota also hosts one of the biggest malls in the nation, and it attracts approximately 40 million visitors a year. The gigantic Mall of America takes up 96.4 acres — enough to fit seven Yankee Stadiums inside. This may seem like it’d be an environmental disaster, but the mall does its part to be green.

With no central heating, indoor temperatures are maintained year-round with solar energy, skylights, and lighting. More than 30,000 live plants act as natural air purifiers, which is helpful as the mall is large enough to require its own zip code.

Both Southdale and The Mall of America still stand today, but whether or not they’ll survive the culling of retail chains, or succumb and become dead malls, remains to be seen.

Why Abandoned Malls Are Everywhere Today

The insane popularity of the mall ultimately meant that corporations built too many of them. “Developers realized they could put a large, flat building in the middle of a field and quickly make money — so for decades… that’s what they did,” notes Amanda Nicholson, a professor of retail practice at Syracuse University.

But they didn’t account for one thing: the invention of the internet.

Online shopping meant you could get virtually anything you needed without leaving the comfort of your home. So malls that were trying to survive during the start of the online shopping boom never stood a fighting chance.

Not really true. Malls are everywhere in the rest of the world, and they use the Internet extensively. -MM

Of course, now customers are no longer wanting to keep their shopping introverted, as was the mall’s design. Products are tied to influencers in a world with instant access to everything. Deliveries and un-boxings have become YouTube “haul” videos as attention is bought and sold like currency.

Who needs to “be seen” by locals at a likely empty mall when the whole world is now your oyster?

It’s also arguable that malls aren’t actually dying at the same rate they once were. Some believe that malls are evolving — and offering experiences and amenities you can’t replicate online. Millennials and Gen X-ers express the desire to spend their money on experiences, rather than on material goods.

Whatever the case, the abandoned malls of yesterday aren’t likely to be renovated. They’ll probably be leveled to make way for the next Southdale, or the next big, glamorous advance in commerce.

abandoned california mall
abandoned california mall

abandoned malls ceiling tiles
abandoned malls ceiling tiles

abandoned mall alexandria
abandoned mall alexandria

abandoned malls water damage
abandoned malls water damage

abandoned malls two halves
abandoned malls two halves

abandoned winstons
abandoned winstons

 

.

Jeff Drew and his witty and sarcastic artwork

We are here.

Most can agree the majority of our World Citizens are as unsettled with the present American Administration as are the majority of Americans. This "UNCOOL" Administration comes across as Judgmental, Undisciplined, and Disrespectful. They seem to deny themselves any attempt to "understand" other points of view which in short time will result in others just giving up on them.

The pivot point will happen this year or the next. Not quite sure of the timing. It could be short, brief and quick, or could be a long drawn out nastiness. I do not know.

Don’t believe what you read in Western media when it comes to China

From HERE

Frank Sade Bilaupaine*
Honiara

How many times have you picked up a newspaper or social media platform and read something on a topic you’re familiar with and realized that you’re reading something which isn’t true? Annoyingly, it happens to us all.

When the news reports something we know is untrue and then reports on something we don’t know about, why do we believe that must be true? Well, it’s a real thing and Michael Crichton, the famous doctor, writer, scientist movie maker among many other things, gave it a name – the Gell-Mann Effect.

I’ve said it before and will no doubt say it again, when I arrived in China, I had a very different perspective on what I was seeing to what I thought I knew about China. It really didn’t take me long to understand much of it was wrong; probably about 24 hours.

What I was seeing in real life didn’t matter at that time because my media consumption was telling me China was slowing, China was collapsing, China was a bad place to be and it must have been true because even the BBC, CNN and other western media platforms said so. But… China didn’t collapse when they said it would.

As my years of living in China extended then, I started to notice things: China said it would build a bridge to Hong Kong, they said they would put 15 high speed train stations into the city where I lived, they said they would build a new university and another hospital in downtown and I’ve seen many governments promise to do things like this; but then China actually went and did them all.

In the UK, back in 2013, I read about a high-speed rail link that will be completed by 2045 and, if it ever finishes, it will be a total of 530 kilometers. Most of it is still being planned and much of it is still unapproved by Parliament – it might be finished in 2045… We shall see!

China, while in the process of a reported collapse, has put 4,100 km of new railway lines into operation across China in 2022, including 2,082 km of high-speed tracks.
Australia’s Western Sydney was promised a new Airport in 1946, yet the work finally started in 2022 and it’s scheduled to be completed in 2026. China opens an average of eight new airports a year, while reportedly collapsing.

Why is my news telling me one thing, when my ears and eyes are showing me something completely different?

I also noticed that the standard of living has improved. When I first went o Wuhan city in central China in 2012, almost not many had a car, now almost everyone does. Corruption, pollution, and crime are almost non-existent. Education, health, and the economy have all improved and yet, everything I read in the news from the likes of BBC, CNN and others about China says the opposite.

I witnessed how life in China has improved, it was clear that people in the West were being misinformed about this one topic that I actually know about. But I still wanted to believe the rest of the things I read were true – that was the Gell-Mann Effect.

I started to question the things I don’t know about. Why are Australians sure that China is a threat when China has never uttered a threatening word against Australia?
Why do people think China is waging a trade war on Australia when Australia was the country that had almost 100 items of trade from China blocked before anything happened with Barley, coal, lobsters and wine? Go look it up, it’s true.

What’s going on in Ukraine and why can’t I easily find information from both sides of this conflict?

Why did the US invade Iraq when there were no weapons of mass destruction there but that was their reason for doing so?

It’s simple, we’re being misinformed about almost everything we’re reading, hearing and watching in Western media platforms. From time to time, we know we’re being misinformed but we continue to believe it when we don’t know. That’s the Gell-Mann Effect in action. We want to believe something is true when we want it to be so.

If you want to believe China will collapse soon and you want to believe China is a threat you can read that every single day in your media but think about the logic of that. How can a country that’s been in decline for dozens of years build all that infrastructure. How is a country that has never invaded or attacked another in your lifetime be a threat? Think about this: who is telling you these things?

Remember the expression: “if you don’t read the papers you’re uninformed, if you do, you’re misinformed” and we’re all told it was said by Mark Twain, well, once again, we’re misinformed even about that – there’s no record Mark Twain ever said it but there is a similar quote from Thomas Jefferson who, in an 1807 letter said “nothing can now be believed which is seen in a newspaper” and went on to say that “the man who never looks into a newspaper is better informed than he who reads them.”

So, this is not new, consider who owns or controls your media. If you believe your government and you believe your news then that’s great for you. But please, read wisely, be critical and don’t believe everything you read – I can’t say for certain about much else, but I can absolutely and certainly say, most of the things you’re reading about China is wrong and not true.

This 75 Year Old Grandpa Visits An Animal Shelter Every Day And Naps With Cats

terry lauerman cat nap 2
terry lauerman cat nap 2

 

Safe Haven Pet Sanctuary/Facebook

A 75-year-old man visits a local animal shelter every day so he can enjoy “brushing cats” while often falling asleep on the job and napping with the felines.

Terry Lauerman decided one day to introduce himself to a local Green Bay-area animal sanctuary, telling the folks at Safe Haven Pet Sanctuary Inc. that he likes to brush cats.

According to Elizabeth Feldhausen, the founder of Safe Haven Pet Sanctuary, “He just walked in and started brushing,” noting that he never asked to be a volunteer. “So eventually we told him he was an official volunteer and had him fill out our volunteer form.”

The shelter, which opened in 2016, rescues cats with disabilities that would be at risk of euthanasia at other facilities.

 

Feldhausen said Lauerman visits the cage-free sanctuary daily and stays for about three hours. After he grooms a cat for a bit, he typically dozes off. “He sleeps for about an hour, then he’ll wake up and switch cats.”

Feldhausen adds: “he is able to get cats that normally don’t like to be touched to jump up on his lap and want to be held and brushed,” she said. “He knows all of their names and all of their personalities.”

terry lauerman cat nap 333
terry lauerman cat nap 333

 

Safe Haven Pet Sanctuary/Facebook

‘The Cat Grandpa’ has had a lifelong love for felines.

“I’ve always liked cats and I always had cats when I was kid, and I loved them,” he told the newspaper. “In many ways, I see my old cats in these cats here.”

The group decided to dedicate a Facebook post to him, which has since gone viral.

“We are so lucky to have a human like Terry,” the shelter wrote, alongside a few photos of Lauerman cat napping with felines. “Terry just came along one day and introduced himself. He said he’d like to brush cats. Eventually, it became everyday. He brushes all of the cats, and can tell you about all of their likes and dislikes. He also accidentally falls asleep most days. We don’t mind – Cats need this! Terry is a wonderful volunteer.”

terry lauerman cat nap 4
terry lauerman cat nap 4

 

Safe Haven Pet Sanctuary/Facebook

Confessions Of A Prison Corrections Officer

What are some of the worst things you’ve seen in prison?

I remember coming onto shift one night and I look over to the eight and on the top tier the inmate had a razor stuck in the foreskin of his penis and finally got it out and cut his sack open and handed his testicales when I finally came up there to relieve the other officer.

What’s the weirdest thing you have found up an Inmate’s butt?

A galaxy note 8. Took him 3 hours to get it out.

How did they get it out?

Medical staff was down there with him getting it out and it was HANDED/RETRIEVED to the Sergeant for evidence and a report

Is prison rape as common as most people think?

Not really. ALOT of them lie about because we treat it with such seriousness and gets another inmate into trouble and into confinement immediatley.

Wouldn’t a prisoner have to be insane to lie about being raped considering the stigma it carries?

They all know it’s a game so really no stigma.

People think it’s a sure thing that child abusers will get taken out once they get to prison. Is that true?

They will most likely get beaten up but rarely killed.

How would the other inmates find out what that person is in for?

When they make phone calls they ask someone on the outside to look it up since it’s all public info especially since they’re a predator.

Aren’t the calls monitored to keep this from happening?

Yeah but they aren’t intercepted at that point in time. Someone reviews that later at night and that’s how they find out about anything dumb they might be trying to do.

How do the sex offenders behave in prison?

In my experience, S.O. inmates behave relatively well for the most part. Usually quiet and playing table games with other S.O. inmates.

Do upstanding/peaceful inmates receive a special treatment?

Kinda in a way yeah. Like me for instance if a inmate is really respectful and genuine about it if he asks me to charge his tablet during the day (they are only supposed to be charged at night) I might do it if I get a chance. I do it to show the other inmates that I can work with them if they are respectful.

Inmates get tablets now? Is there an internet connection too?

Yeah and no it’s based off a kiosk system they hook it up to if they want anything new on it.

What do they do with the tablets? DO they load games and ebooks onto them or something?

Exactly what you said and music

In the podcast ‘Ear Hustle‘ , they talk about racial segregation as just default. The exception, if I remember correctly, being the inmates who play the game DND. Are there programs or efforts to encourage racial integration, or is it just a matter of course?

Really just a matter of course. Now when I say that they are plenty of inmates that don’t care about racial segregation. But whenever it comes to a fight or brawl they will side with their race 99% of the time.

How much incoming mail gets read?

Everything. Multiple times.

What’s the most heartbreaking experience you’ve had?

Having a good friend of mine kill himself because his wife that worked at the same prison was having sexual relations with a couple of inmates.

How was the wife treated? What was her reaction?

She went to jail for a little while and didn’t seem to care.

Do officers get into it with the inmates?

Oh yeah. Shouting matches for the most part.

Worst physical altercation you’ve seen between an officer (maybe yourself) and an inmate?

An inmate beat the dog shit out of an officer out of nowhere cuz he was high on k2. And no the officer is not an asshole.

Have you ever met someone you genuinely believed to be innocent/wrongfully convicted?

Yeah. An inmate is in prison for life because some POS raped his 5 year old daughter and he killed them. He is a very stand up person.

How is the food they give the inmates, do you get the leftovers?

It’s not that bad for the most part. And no I bring my own stuff.

Does your facility sell the whole shabang chips? Have you tried them?

Yeah they do and no I haven’t but the inmates sat they are really good.

How is it as a career? Pay, benefits, environment, rewards, etc?

Depends on the jurisdiction, but overall, likely not bad. State COs start out at like 55k a year, with various ways to bump it up (location pay, hazard pay, and a shitload of overtime available). My state also does this thing where when you retire after 25 years, they take the beat 3 of your last 5 years, average them out, and that’s your annual pension for the rest of your life. And since most COs are in their early 20s when they get hired, they end up with a 70-90k pension for life by 50 years old. State insurance and shit is also pretty good, here.

Environment… well, it’s a prison.

What education is usually required?

High school where I’m at.

What is something that you think the general public does not know or understand about your job, or prison in general?

It’s not as bad or dramatic as people make it. As long as you’re fair and consistent and don’t let them walk over you then you will be fine. Inmates respect the staff that have time in.

What do you think of the US’s way of treating prisoners as well as the standards of the “corrections facility” you are working at?

I think it is very soft. They have 20 channel tv, tablets, endless hours of recreations, all kinds of commissary items. Don’t really seem like punishment. They are just segregated from the world. The standards on paper are great but in reality half the people don’t even follow it.

Isn’t rehabilitation something you want other than punishment?

Of course but ALOT and I mean ALOT of them don’t take advantage of it and it makes me a little biased (which I am admitting) on the subject . But I have seen plenty of inmates get their GED and have the prison give them the tools to succeed and come back 1 year or less under a different or same charge.

If you were given a blank check to reform the prison system what changes would you make and why?

Officers more pay. And more programs and better education for inmates to explore their interests so that when they get out they have all the tools ready to not come back. And better working facilities. We have 4 maintenance civilians that fix something broken all day everyday.

Bhakari

Serve this whole-wheat bread from the Gujarat region of India as you would pita bread. It’s good for dipping, and tastes great all by itself.

2023 02 19 18 19
2023 02 19 18 19

Ingredients

  • 2 cups whole-wheat flour
  • 1 teaspoon salt
  • 2 tablespoons vegetable oil
  • 1/4 cup milk
  • 1/2 cup water

Instructions

  1. Combine the flour, salt, oil, milk, and half the water in a bowl. Mix using a wooden spoon or fingers. Add more water, 1 tablespoon (15 ml) at a time until the dough forms a ball. Knead the dough with lightly oiled hands for 10 minutes. The dough should be fairly firm.
  2. Allow the dough to rest, covered with a dish cloth, for 15 minutes.
  3. Divide the dough into 4 to 6 pieces. Roll each piece into a round 1/4 inch thick.
  4. Heat a flat griddle or large skillet over moderate heat. Cook the dough, one piece at a time, pressing it down occasionally with a spatula, until cooked and lightly browned on the bottom. Turn the dough and repeat. The dough may balloon slightly during cooking.
  5. Repeat with remaining pieces of dough.

Meet Jeff Drew, the Award-Winning Illustrator Behind America’s Witty and Sarcastic Artwork

00
00

Jeff Drew, an Albuquerque-based illustrator, has gained national recognition for his exceptional and sharp-witted artwork. He has created cover illustrations for various publications across America, showcasing his stunning visual style.

More: Jeff Drew, Instagram, Shop

00a03f86309555529f927305 rw 1200
00a03f86309555529f927305 rw 1200

Drawing inspiration from 1950s advertising graphics, Jeff produces contemporary illustrations that pack a punch. In addition to his illustration work, he creates event posters, album covers, and product labels for various clients.

0e6ccd7a a190 4cc3 88d1 a19f20082cdc rw 1200
0e6ccd7a a190 4cc3 88d1 a19f20082cdc rw 1200

Through his illustrations, Jeff continuously pushes the boundaries while entertaining audiences with his sarcastic sense of humor. His unique and outstanding artwork is a testament to his talent and creativity, and we can’t wait to see what he comes up with next.

76ea6dcd 32d6 46ba b9fb 12449b5f0251 rw 1200
76ea6dcd 32d6 46ba b9fb 12449b5f0251 rw 1200

fbd6b1a7d0cf49a800262a02 rw 1200
fbd6b1a7d0cf49a800262a02 rw 1200

f2049116 42ac 47b5 bcd3 142ab2c8605a rw 1200
f2049116 42ac 47b5 bcd3 142ab2c8605a rw 1200

f1408001 186e 4bbd b990 cc4d6e98a70f rw 1200
f1408001 186e 4bbd b990 cc4d6e98a70f rw 1200

ef6441e7594bdfab0c67dd8d rw 1200
ef6441e7594bdfab0c67dd8d rw 1200

e032068f d79f 40a1 aca6 ce7bba8ed943 rw 1200
e032068f d79f 40a1 aca6 ce7bba8ed943 rw 1200

e675df7ba8a22e91235b8ca4 rw 1200
e675df7ba8a22e91235b8ca4 rw 1200

e001c7a2 d3cf 427c bb49 88bc11b55214 rw 1200
e001c7a2 d3cf 427c bb49 88bc11b55214 rw 1200

dd046352abb71241e361fa3a rw 1200
dd046352abb71241e361fa3a rw 1200

dbb9b2bf b600 4c3f b440 bb33cd70f368 rw 1200
dbb9b2bf b600 4c3f b440 bb33cd70f368 rw 1200

db0041e5 02b3 4227 a63f 0beb94406f90 rw 1200
db0041e5 02b3 4227 a63f 0beb94406f90 rw 1200

d7250475 fb4b 46d2 b302 dd92cf283bff rw 1200
d7250475 fb4b 46d2 b302 dd92cf283bff rw 1200

bfe014d9 4c50 4dcd 8c45 5a58625e14e8 rw 1200
bfe014d9 4c50 4dcd 8c45 5a58625e14e8 rw 1200

b0cbe720 ffd5 49ff 921f 77e43068f5f9 rw 1200
b0cbe720 ffd5 49ff 921f 77e43068f5f9 rw 1200

a9d4fdda 51d4 48e4 af92 284ab27238af rw 1200
a9d4fdda 51d4 48e4 af92 284ab27238af rw 1200

a8e1b065 4d8f 4967 823e 96bb20cfb713 rw 1200
a8e1b065 4d8f 4967 823e 96bb20cfb713 rw 1200

68471532 d60a 4202 8efc bf8e206c45bb rw 1200
68471532 d60a 4202 8efc bf8e206c45bb rw 1200

450867cb efb3 4163 9c57 b03cc7fa62f1 rw 1200
450867cb efb3 4163 9c57 b03cc7fa62f1 rw 1200

377002ed e6aa 437f 91d0 def9c6b222c1 rw 1200
377002ed e6aa 437f 91d0 def9c6b222c1 rw 1200

88341b0b 7195 4752 99d1 683d43de0c73 rw 1200
88341b0b 7195 4752 99d1 683d43de0c73 rw 1200

057955dc 2334 470e 85e3 17cd5646ce99 rw 1200
057955dc 2334 470e 85e3 17cd5646ce99 rw 1200

50c6a8d9e6194771702a8c50 rw 1200
50c6a8d9e6194771702a8c50 rw 1200

44bcda14 a353 4ae8 98c8 0ac02160e187 rw 1200
44bcda14 a353 4ae8 98c8 0ac02160e187 rw 1200

20af0bdd be64 481b a2eb 4589d4e78a07 rw 1200
20af0bdd be64 481b a2eb 4589d4e78a07 rw 1200

20a6fef8 0ab7 4a2b 9c5b 434d20267550 rw 1200
20a6fef8 0ab7 4a2b 9c5b 434d20267550 rw 1200

9eab4630 8fc1 4fa2 9502 1e42de9efc89 rw 1200
9eab4630 8fc1 4fa2 9502 1e42de9efc89 rw 1200

9c94118b d245 4669 86f4 c8aa755a6ec4 rw 1200
9c94118b d245 4669 86f4 c8aa755a6ec4 rw 1200

9c2dfd2e e863 4e5c b7cb 9033570f8bab rw 1200
9c2dfd2e e863 4e5c b7cb 9033570f8bab rw 1200

9b249bcc e3f1 4204 84d2 4aa5dc2c71a3 rw 1200
9b249bcc e3f1 4204 84d2 4aa5dc2c71a3 rw 1200

8a34769e d151 44bd 9f87 7004cc2eb969 rw 1200
8a34769e d151 44bd 9f87 7004cc2eb969 rw 1200

8a06f8ca 9d28 40e0 9deb b678e2045c2d rw 1200
8a06f8ca 9d28 40e0 9deb b678e2045c2d rw 1200

7df9904e 22f2 4ee7 817f f663b8454d65 rw 1200
7df9904e 22f2 4ee7 817f f663b8454d65 rw 1200

7c9f9d6b 5a73 46ec 9080 7ee4feabd15c rw 1200
7c9f9d6b 5a73 46ec 9080 7ee4feabd15c rw 1200

6f23a22a dfa4 4de3 8422 7d5ae5b79daa rw 1200
6f23a22a dfa4 4de3 8422 7d5ae5b79daa rw 1200

5fb11955 9aec 4063 96fc 6a83a638b346 rw 1200
5fb11955 9aec 4063 96fc 6a83a638b346 rw 1200

4e46edb7 41c5 4e54 ac53 d79f2d43ddaf rw 1200
4e46edb7 41c5 4e54 ac53 d79f2d43ddaf rw 1200

3f38f71a 1abc 4a40 b767 cafa263c7ef5 rw 1200
3f38f71a 1abc 4a40 b767 cafa263c7ef5 rw 1200

3dd51f21 8c6e 496b 9324 b4816074d8e8 rw 1200
3dd51f21 8c6e 496b 9324 b4816074d8e8 rw 1200

3da3a65e 05a2 42fa 8f6b b738cdb237ab rw 1200
3da3a65e 05a2 42fa 8f6b b738cdb237ab rw 1200

3ca5f785 abd0 4bef 84dd e749562212af rw 1200
3ca5f785 abd0 4bef 84dd e749562212af rw 1200

3a61d566 9443 4ca6 a293 f795e53f64ee rw 1200
3a61d566 9443 4ca6 a293 f795e53f64ee rw 1200

2e43fee812944b5514e1b416 rw 1200
2e43fee812944b5514e1b416 rw 1200

2cd307f5f4b7917b1396abf9 rw 1200
2cd307f5f4b7917b1396abf9 rw 1200

1c7d1188 3a49 4267 9546 04fb04780796 rw 1200
1c7d1188 3a49 4267 9546 04fb04780796 rw 1200

RUSSIA COMMENCES NUCLEAR ATTACK DRILL; NATO WARNS OF ATTACK IF THEY PERCEIVE RUSSIAN ACTUAL ATTACK!

Russia, on Sunday, began large-scale exercises of its strategic nuclear forces, on the eve of Biden’s visit to Europe.

The exercises include large-scale maneuvers for its strategic nuclear forces.

Meanwhile, the White House has told Zelenskyy to prepare for a major Russian offensive now!

There are “no plans” for President Biden to enter Ukraine during his upcoming trip to Poland, NSC spokesman John Kirby said Sunday.  HOWEVER . . . .

Biden will address the citizens of Russia and Putin, during his visit to Poland on February 21 according to John Kirby

As of today, Russia has 5977 nuclear warheads; the most in the world. They also have the most advanced nuclear missile technology in the world.

NATO has said if they see activity of Russian nuclear forces that could possibly be preparation to launch a nuclear attack, NATO will attack Russian nuclear forces ( with conventional weapons), it was said.

SARMAT?

Russia President Vladimir Putin is testing his hypersonic “SARMAT” missile and officials in remote districts in eastern Russia have been warned to be ready for a test launch between February 15 and 25

The giant 208-ton hypersonic missile is capable of carrying FIFTEEN individual nuclear warheads including Russia’s new Hypersonic “Glide” Vehicles which cannot be stopped by any missile defense presently on earth.

Unusual Flights

As this story is written at 8:36 PM eastern US time Sunday night, there are unusual flights circling over Poland of RC-135W Rivet Joint (electronic surveillance), and Boeing E-3B Sentry (Airborne early warning and control) aircraft accompanied by KC-135T Stratotanker for refueling.

It’s unusual because they very rarely fly at night over Europe, almost never.

I can also report a nuclear armed submarine has been placed on the ‘highest level’ of combat readiness and that strategic bombers have been moved to a base in Tambov, Russia.

HAL TURNER ANALYSIS

In a normal, rational, world, these Nuclear exercises would send a clear message. But in OUR world, this message will likely be laughed off by Biden. He doesn’t seem to care.

In fact, I think Biden wouldn’t understand a nuke being dropped on his head. In my view, he’s so addled by Dementia, he’s barely conscious.

At this point, I don’t think anything can halt what is coming.

It is not about occupation it is about survival for Russia, NATO has made it clear they intend on crushing them and dividing it up into regions and exploit their natural resources.

Russia is telling them to back the fuck up.

We are closer to WWIII today than we’ve ever been. This time, if nations continue down this road, there will be full blown world war.

Are we ready for that? Rationing? The threat of invasion? Bombings? Military policing? Internment camps? Bread lines? Hunger? Famine? Mass depopulation?

We can barely keep the supply chain going post-Covid. A world war?

Our government needs to let this Ukraine thing go.  They, however, will not.

The one thing that bothers me about Biden’s trip to Poland . . . .  what if it turns into an “Arch Duke Ferdinand” moment?

What if the US “Deep State” plans to kill Biden while he’s in Poland, and blame Russia?

Kamala Harris becomes President, and off to war we all go.

This week is likely to be historic.  I hope you are all prepared as best you can be with emergency food, water, medicine, communications gear, etc.

.

Bhindi Dopeaza

2023 02 19 18 20
2023 02 19 18 20

Ingredients

  • 1 pound okra
  • 2 medium onions, chopped
  • 1/4 teaspoon garlic paste or powder
  • 1/4 teaspoon coriander paste or powder
  • 1/8 teaspoon cumin
  • 3 large tomatoes
  • 2 tablespoons oil
  • 1/2 cup chopped cilantro

Instructions

  1. Cut the tip and the very bottom from the okra just to clean it, but do not slice.
  2. Heat oil in a pan over medium heat.
  3. Add onion and cook for 3 minutes.
  4. Add all ingredients except okra, tomato and cilantro. Cook for 3 minutes.
  5. Add okra, then cook for 6 to 8 minutes.
  6. Garnish with tomato and cilantro.
  7. Serve with rice, naan or pita bread.

12 People Reveal What’s It Like To Be Related To A ‘Karen’

 

(art: @yunacunn)

1. My mom is a Karen whose name is actually Karen. Simply put, I haven’t seen her in over a year because I couldn’t take it anymore. Living with her was just an exhausting nightmare.

Karen’s world revolves around Karen. Nobody else’s issues matter. If you tell her that you had a bad day, she’ll give you 20 reasons why her day was worse. You worked 60 hours this week? Well, when she was your age, she would work 80. You’re in the hospital after having major surgery? She has a pinched nerve in her arm, which is somehow worse. Your boyfriend cheated on you? She couldn’t even begin to tell you about all the heartbreak she’s experienced in her life.

She complains left and right about anything and everything. If you’re taking a week break after just getting back from college, she’ll ask why you haven’t gotten a job yet and claim you’re lazy. If you’re out to eat at a restaurant the food is always too cold or too burnt or too salty. You can only ever go to the places SHE wants to go to, because everything else is crap. My entire graduation dinner she complained about how cold the food was.

She THRIVES in getting attention and constantly seeks it, but she has very few ACTUAL friends. Facebook is her lifeblood and she’s always looking to start something on there. She’s the queen of sharing uninformed, misguided, conservative propaganda, which always starts fights in her comments. Also, if a tragedy happens in the family (like the passing of my teenage cousin), she’ll make a big scene on Facebook and expect condolences from anyone and everyone, and makes note of the people who don’t give her what she wants.

Don’t even get me started on the blatant racism. I’ve heard everything from, “watch out for black people on the subway. They’ll try to take your purse” to “It should be illegal for those Muslims to cover their faces. You should be able to see someone’s face.” One of my best friends is black and she once told me, “He’s one of the good ones. They should all be like him.”

2. Was married to a male Karen. Everything, and I mean everything, could set him off.

We were at a local taproom and his iPhone automatically connected to the Wifi. Keep in mind, he had full bars on our cell service. The WiFi was being wonky and wasn’t working. The manager, super nice guy, comes over and asks how we are doing, while he washes some glasses in the dish pit on the other side of the bar. The following conversation ensues, keep in mind, my husband is super irritated at this made up problem because our cell service is working just fine and he can literally just turn off the WiFi:

Husband: Your WiFi is fucking trash.

Manager: I’m sorry. We recently upgraded our internet, and Cox is sending us a new modem. It’s supposed to be here this week.

Husband: If you say you have WiFi, you should make sure it actually works.

Manager: I know. I’m sorry. I’d be happy to restart the modem to see if that helps.

Husband then ignores him and continues to talk under his breath about a made up issue.

This was my life for almost five years. The sense of entitlement was frustrating enough in public, but more so at home. I had apologized to more servers, retail workers, neighbors, and random people in public for him in five years than the rest of my life outside of him.

You know what, now that I’m talking about it, maybe he’s not so much a male Karen. He’s more like a piece of shit.

3. My sister is a Karen. Everyone feels so sorry for her husband. Everything is his fault. He is treated like a slave. She only addresses him by yelling. She constantly insults him. We have no idea why he hasn’t divorced her or flipped out and attacked her. We have all told her to cool it and her response is that he’s just so stupid. I could go on and on but my sister really is a horrible person.

4. My sister AND sister in law are both Karen’s. I will show up to restaurants 15 min early to warn them. I tell them if it’s not done to their liking they WILL hear about it and they will make your shift hell. I’m just there as a warning.

I used to work in restaurants and those people made life hell. I do what I can to help. Generally my drinks are better and we get a free appetizer as soon as those two tornados walk in all hell breaks loose. Not enough ice, table is too cold, it’s too loud, etc. I also tip really big because I DON’T want to be associated with the two tornados.

I live overseas so I only see them 2 weeks out of the year. So it’s manageable. I only put up with their attitude because they could take my nieces and nephews away from me. I pick my battles. I need my nieces and nephews to know I’m always here for them and they can talk to me about anything. I can’t risk our relationship being tampered with, especially since I only see them 2 weeks a year.

5. Not married to a Karen, but married someone with a Karen for a sister. Sister in law is the freaking worst. I hate more than anything going out to dinner with her, listening to her order food and talk to the servers. Holidays are also terrible, she sends out long lists of expected gifts list. She celebrates every holiday and birthday specifically for presents even when it not appropriate.

Funny thing is one time I was away from the dinner table when the bill came and SIL waited for me to return to pay the bill by actually handing it to me. She didn’t give it to her sibling/my SO or pay her half, but expressly handed it to me to pay.

6. My moms a Karen. Literally overreacts to everything. Whenever something doesn’t go her way- you guessed: gotta speak to the manager or whoever is in charge. Sometimes really embarrassing to go out in public with her because she’ll just yell at the service workers for the smallest of things. Also she has a bob cut.

7. 4 years of a toxic relationship though. I broke up with her on Monday, again. We’re kinda fucked financially because of this lockdown so we are just feeling things out for a month before we decide forsure. This cycle is on repeat.

I constantly have to cut her off and speak over her because of the way she treats people. Waiters, sales assistants, gym staff, neighbours , landlords, randoms we meet in bars etc.

Note: we were both waiters at the beginning of our relationship so she has worked in the industry, but still lacks empathy. She blames the waiter if something is out of stock etc.

My second major issue. We are both English second language teachers. She teaches kindergarten, while I teach highschool. Now that we are working from home I hear how she speaks to her students and it’s really opened up another aspect of her personality that I don’t like. She will berate a student for not understanding instead of evaluating her ability to explain. Shitting on 3 year olds in their second language doesn’t fly with me.

A lot of her behaviour stems from a self defence mechanism due to insecurity, but that’s not an excuse. She doesn’t realise how mean she is to people, including myself.

I’m a people pleaser that would rather sacrifice my own comfort than someone else’s. She expects me to be an asshole to people because I’m a biggish guy with tattoos, I don’t exactly look soft. It’s toxic as hell, please help.

8. Not married to one but my mom is absolutely one. I spend most of my time with her in public apologizing to people after she’s walked away. The one and only time it comes in handy is when I’ve bought cars and had her come in during the price negotiation phase – she’s knocked off thousands purely due to how unpleasant she is and how much people want her to just get the hell out of the door.

9. My ex wife is a Karen, in every sense but name. It was always so embarrassing. She was incapable of treating anyone like a human for the most trivial of occurrences. It was hell for me, as a person that’s pretty forgiving and don’t seek out confrontation. So in a 1 word description it was Hell. Lol

10. Engaged to one, she was raised as a princess by here military raised father. However she’s super down to earth but god forbid you work in the service industry and mess something up. She worked at IHOP during college so it humbled her compared to her family. She just writes reviews now (good or bad) and emails corporate to complain. If something is wrong with my food she refuses me to sit there and eat it. Which I hate but I have gotten her better about it (I believe). So maybe she is just a recovering Karen at this point.

11. I’m not married to a Karen but someone in my family decided to make a Karen family and let me just say.. it is freaking hell! I do not go to any social event if said Karen will be there (keeps the peace in my family tbh) nor do I go alone with Karen. She is a nightmare to everyone in her path, from car guards to cashiers, even her friends… No one can be better than her or smarter than her and she’s just freaking exhausting man. The worst part is… Her kids are becoming just like her

12. My mum is a bit of a Karen, and we’re pretty much no contact now so that should tell you everything you need to know. Whenever we’d go out to eat it’s always too cold, they don’t have the brand of sparkling water she likes, they’ve put ice in her drink when she didn’t want it, she always has to make some kind of modification to her order etc. the list goes on.

It got to the point where if we were out shopping and she said she needed to return something I’d just go somewhere else because I couldn’t stand watching her be rude and argue with the staff.

My parents are divorced and I live with my dad now instead. Part of the reason I barely see my mum is because I’d have to meet her in public (go for a coffee or something) and I just can’t deal with the way she behaves in these places so I don’t go.

Fireworks soon. Very soon.

In 2023 and into 2024 there will be some MAJOR events that will define the conclusion of “world war 3” and a movement towards a new post American-led world order.

Events are building up.

Heads up.

I am also going to phase out MM. Phase out You-tube, and strengthen my Patreon. There’s numerous reasons for this, but most revolve around time / money.

I have neither.

Patreon right now is mostly MAJ related subjects regarding souls and affirmation campaigns, but even at that, I had a 20% drop off of membership this month. My readership isn’t happy.

I will strengthen it though an emphasis on China, and the massive geopolitical turnings that are just about to phase in. For me personally, will enable me to focus on more meaningful efforts where those who wish to hear what I have to say contribute.

And those that don’t, won’t.

So Heads up.

Tarragon Chicken (Poulet a L’estragon)

This is a favorite dish in the Burgundy region of France.

829d7011b75d1405d012c35467fb1323
829d7011b75d1405d012c35467fb1323

Ingredients

  • 1 (2 1/2 to 3 pound) broiler-fryer chicken, cut up
  • 1 cup chicken broth or bouillon
  • 3 medium carrots, sliced
  • 1 tablespoon minced fresh tarragon or 1 teaspoon dried tarragon leaves
  • 1 1/2 teaspoons salt
  • 1/8 teaspoon pepper
  • 1 bay leaf
  • 4 ounces mushrooms, sliced
  • 2 stalks celery, sliced
  • 1 medium onion, sliced
  • 1/2 cup dry white wine
  • 1/2 cup Half-and-Half
  • 3 tablespoons all-purpose flour
  • 1 egg yolk
  • Hot cooked noodles

Instructions

  1. Heat chicken, chicken broth, carrots, tarragon, salt, pepper and bay leaf to boiling in 12-inch skillet or Dutch oven; reduce heat. Cover and simmer 30 minutes.
  2. Add mushrooms, celery and onion. Heat to boiling; reduce heat. Cover and simmer until thickest pieces of chicken are done, about 15 minutes.
  3. Remove chicken and vegetables to warm platter with slotted spoon; keep warm.
  4. Drain liquid from skillet; strain and reserve 1 cup. Pour reserved liquid and the wine into skillet.
  5. Mix Half-and-Half, flour and egg yolk until smooth; stir into wine mixture. Cook, stirring constantly, until thickened.
  6. Serve with chicken, vegetables and noodles.

Russian Diplomats Issue Dire Warnings that War with US Is Close

.

The Kremlin’s top diplomat has warned that Western involvement in Ukraine is nearing “the point of no return,” accusing the United States and the NATO bloc of attempting to transform the country into a “Russophobic military stronghold.” Meanwhile, Moscow’s UN envoy declared that all of Russia’s “red lines” have already been crossed.

Addressing lawmakers at Russia’s State Duma on Wednesday, Foreign Minister Sergei Lavrov outlined the causes of the current conflict in Ukraine and the deterioration of US-Russia relations, saying Washington has a “maniacal desire to revive the neo-colonial unipolar world order.”

“An integral part of this policy is the long-term containment of Russia, including through the expansion of NATO towards our borders, as well as the transformation of fraternal Ukraine into a Russophobic military stronghold,” he said. “In recent years, this line of Washington and its European satellites has reached the point of no return.”

After the fall of the Soviet Union, Washington and several other Western states gave assurances to Moscow that the NATO alliance would not expand beyond Germany. However, in the years since, Presidents Bill Clinton, George W. Bush, Barack Obama and Donald Trump have each allowed new members to join the alliance, all of them inching closer to Russia’s borders.

Starting in 2008, NATO has repeatedly declared its intention to someday allow Ukraine to become a member, again reiterating that pledge at a recent alliance summit. The move would cross the “brightest of all red lines” for Moscow, as was previously noted by then-State Department official and current CIA Director William Burns, who penned a 2008 memo warning of the geopolitical perils of extending membership to Kiev.

Still, President Joe Biden has refused to change course, insisting it is up to Ukraine whether it would like to join the US-led military bloc while effectively making Kiev a de facto member in the meantime.

In an interview with Newsweek on Tuesday, Russia’s UN envoy Dmitry Polyanskiy argued that the West has not respected Moscow’s core security concerns, and has become directly involved in the conflict in Ukraine.

“All the red lines have already been crossed by Western countries. There is already semi-direct involvement of NATO in the conflict because it’s not only weaponry but it’s intelligence,” he said. “It’s the situation when the targets of certain artillery systems, in particular HIMARS, these targets can be hit only with the coordination with Washington.”

Last week, the Washington Post reported that Ukraine relies on American intelligence for selecting targets. Since the start of the year, the White House has authorized the shipment of main battle tanks and long-range rockets to Kiev. Additionally, NATO appears to be preparing to send Western-made warplanes to Ukraine.

”It means that NATO is not only providing weapons but also are choosing the targets for Ukrainian strikes,” Polyanskiy continued.

He went on to allege that citizens from NATO countries are already fighting – as well as getting captured and killed – in Ukraine. ”We know this from the people that we capture and from the bodies that we see on the battlefield.”

The ambassador said Western weapons would only escalate the conflict, even warning that foreign intervention could eventually trigger a nuclear war.

“It’s absolutely clear that any deliveries of weapons to the zone of conflict, of course, is like pouring oil into the fire,” he said, adding “If you are dealing with a nuclear power and if you are citing the goal of inflicting defeat to this nuclear power, you should have all the options in mind of our possible response.”

In their remarks, both diplomats also pointed to potential American involvement in the destruction of the Nord Stream pipelines. Last week, investigative journalist Seymour Hersh published a bombshell report claiming that Washington planned to bomb the pipelines. The White House has denied that it had any part sabotaging the line, though Senator Mike Lee later acknowledged that it was possible.

On Wednesday, Polyanskiy said that Moscow requested a UN meeting next week to address Hersh’s reporting.

Lavrov rejected denials from the Biden administration, saying the West is “lying, hiding the truth about the terrorist attacks on the Nord Stream and Nord Stream-2 gas pipelines, just as they lied about the Minsk agreements.”

Former German Chancellor Angela Merkel claimed the Minsk agreement, which ostensibly was meant to end the civil war in Ukraine, was really intended to give Kiev time to build up its military. In a December 1 interview with Der Spiegel, Merkel said that she believes that during the Minsk talks, she was able to buy the time Ukraine needed to better fend off a Russian attack.

Tinker the Robot: The 1966 Real-Life Housekeeping Robot

1 13
1 13

In 1966, inventor David Weston from Yorkshire brought to life his creation: a remote-controlled robot named Tinker. This metal friend was capable of performing various tasks such as washing cars, taking babies for walks, going on shopping trips, and even weeding gardens. However, there was a catch – all of these tasks could only be done within 200 meters of David’s garage, where he controlled the robot through a control panel.

2 13
2 13

Tinker was equipped with 120 electronic motors, a zoom-TV camera, a memory, and 29 channels for receiving signals. This allowed Weston to keep an eye on the robot as it went about its tasks, thanks to a television camera installed in the roof.

3 12
3 12

Unfortunately, due to lack of space at David’s home, Tinker was eventually passed on to a family friend, Brian, in 1974. Brian owned a shop called Leeds Radio during the 1960s and 1970s, where he sold army surplus radio equipment. Unfortunately, it is likely that Tinker met the same fate as most of the gear that went through Brian’s shop – being stripped down and sold off as spare parts.

4 13
4 13

David Weston, who passed away at the age of 71 in 1995, had many “inventions” throughout his lifetime, many of which were just scribbled ideas on cigar packets. Sadly, Tinker was just one of many that were never fully realized.

7 12
7 12

6 12
6 12

5 12
5 12

3 First Hand Account Of Different Life Experiences

 

What’s it like to get out of prison after 28 years

I went to prison in 1982 and was released Feb 2009. To say the world I stepped into was a shock, it was a total shock. I felt everyone could tell I was a convict. I could feel the stares, going into stores you see people watching you. In prison you develop a sense where you are always aware of what’s going on around you. Your gaurd is always up.

I noticed people would cut the line in front of me and not think nothing of it, people would talk shit and if I checked them somehow I became the bad guy.

Society has made it so hard to get a job, with all them background checks, can’t rent, fill out a app for a job, list your last 3 employers and why you left. So you get creative on your answers, I put down I worked for the state. Get pulled over, cops whole demeanor changes when he runs your name. Some people don’t like you just because you doing better then them, and it’s my fault your stupid.

You scare people for no reason, trust issues all around. There’s no way I can make others feel the way they feel it’s them. Everything can be cool then they find out you were in prison that long. Some ask questions, some shun you, some don’t change its no factor to them, but once word gets out your judged by people you don’t even know haven’t even meet them. You hear it through friends, some will even say something when they hear another talking shit about you.

All in all out here is way way better then in prison, I’ve been out now for 7 and a half years, I’ve seen stuff that would get you killed on the inside. Out here people snitch, call cops, and not think twice of what there doing. I still hate pigs and always will. For I see them as bad as convicts on shit they do. When a pig sees another pig violate another person’s rights, harass him, beat him and don’t do something to stop the offending pig to me he’s just as dirty. When the pigs got shot up and everyone spoke how bad that was, what came to my mind was shoe just went to the other foot. But society don’t get to worked up when a pig kills one of us.

Prison made me into the person I am. Product of my environment. I can function well and do out here,but that underlinine factor of prison will never go away no matter what I do. No credit history,own a house but can’t barrow a dollar, no medical history, no job history, learn to use smart phone and computer. So much is differant, it’s a system set up for my failure that what society has created . But I won’t fail and I won’t become one of them.

– John Wussler

Chinese attack on Taiwan not ‘imminent’ and predicting it unhelpful to Pentagon readiness: US general

  • Cross-strait conflict is not ‘inevitable’ and American goal is to avoid military flare-up, says Air Force’s chief of staff
  • Concern about Beijing’s plans for self-ruled island has intensified amid Chinese military’s modernisation

Gather Round Children, This Is What Life Was Like Before The Internet

When I was a little kid, and I asked my parents a non-obvious question about the human body or biology, their answer would be “Ask your uncle Paulo next time we see him. He’s a doctor”. Other questions about various topics would get “Let’s call up grandma and ask her; She’s a teacher”, or “Let’s see if the encyclopedia has anything about this”, or “Ask your teacher if, during recess, you can go ask the librarian at school”.

What movies are playing? Let’s check the newspaper.

How do we get there? Either they sent us directions, or we can open up a map and figure that out, then carry the map with us in case the roads have surprises such as closed exits or in case we make a wrong turn. (Intermediary stage between then and today: Let’s open up that newfangled Mapquest and print out custom directions!)

Say I have an interest in learning photography, or model rocketry, or whatever. I tell my parents and my friends at school (and maybe the person at the store that sells supplies for that hobby). Hopefully someone says “I know someone who does that, and they have a club that meets every-so-often. Talk with them!”, otherwise I’m basically SOL. Nowadays, hobbyists have huge networks that allow them to learn quickly from others, share knowledge, and build things like Linux and Wikipedia.

When I was ~14, I started becoming seriously interested in the aerospace industry. Not just the “airplanes and rockets are cool” that so many kids feel, but the “Why is this company developing an airplane of this size and range? What technologies are implemented into it? How did they come up with these technologies? Why are they being implemented now, rather than in the past or in the future?”. So I started reading aviation magazines (I probably bought a couple to a few per month), and watching the Discovery Channel. Before long, and through all of high school and college, I had a huge pile of aviation magazines and books in my room, and a huge pile of VHS tape recordings of aviation documentaries and shows on the Discovery / History / NatGeo / Learning channels . When I made models, those were my resources for looking up markings, paint schemes, weapons loads, and other details. In the modern world of aviation blogs and Google Image Search and YouTube, the “database” that I used to maintain would be laughable. (And yes, I am now an engineer/researcher at a large airplane manufacturer).

Whatever happened to that guy I hung out with for a while when I was 14? Or that cousin-twice-removed that I met at that family gathering that one time, he seemed super cool and had some neat interests. Or that teacher I really liked? Back when I was young, if you didn’t interact with someone for a while, if they moved away, and don’t have friends/relatives in common, that person would effectively disappear. It would require phone-calls and letters to try to reconnect with them. Even once you did reconnect (if you really wanted to), it was unlikely that you would develop a meaningful long-distance relationship, more than a letter or quick phone call once every couple months. Seeing photos of each other’s projects and trips? Forget about it. Sure, in theory everyone is just six degrees apart, but in practice, harnessing those degrees and having regular interactions with someone who does not live nearby was pretty impractical. Now, I easily stay in touch (and in more meaningful touch) with more people in more places… and, I have corresponded with researchers and hobbyists all over the world when I wanted to ask something they knew about. (Sure, most knowledge can be found online, but not the knowledge in the brains of research scientists and other innovators. That knowledge may or may not be in books in a few years, but I wanted it now, so I just emailed them, and they emailed me back).

Which reminds me: Obviously, letters and even postcards are a huge pain in the butt (which is why they are so meaningful today when we do send them). And as someone who hates talking on the phone, and who dislikes being interrupted in general, I am SO GLAD for email and other delayed-response communication technologies that allow you to craft a response when you are good and ready (barring emergencies and whatnot).

Heck, it used to be possible to build an entire industry based on the fact that information costs some money to replicate and distribute. The music industry, the newspaper and magazine industry, movies and TV shows, books, universities … are all being completely up-ended by the fact that anyone can share any information with anyone else in the world at any time.

It was not that many generations ago that a significant fraction of the Earth’s surface could only be communicated with by physically carrying papers there through long treks by horse or on foot. I still can’t imagine how those large empires operated thousands of years ago, or the early multinational corporations of hundreds of years ago, or the Catholic Church. They ran using only PAPER! That’s like building and flying a moon rocket when the only fuels in existence are wax and whale blubber.

Nowadays, creating and running an organization costs nothing. This makes it much easier to organize criticisms and movements against large companies, large religious organizations, authoritarian governments, etc. This actually makes the world a better place .

If you are not completely blown away by modern information and communication technologies, if you don’t understand that people just a generation ago lived substantially differently when it came to how they thought about information… then, I would guess you’re probably not in your 30s yet. Which is fine. I would recommend you try talk to people just a few years older and ask them about life before the internet. It will be like asking people from the 1600s about life before medicine, the telephone, engines, flying machines, electricity, or philosophical naturalism. Except you don’t need a time machine, or a 100-year-old person. You just need to ask the people you know who were born around 1980 .

 

Russia-Ukraine Coming to a Head – Major “Historic” Speech by Putin on February 21

.

All Russian TV outlets have been told to drop everything and broadcast a speech by President Vladimir Putin, live, on 21st February. The speech is to the Russian Federation Assembly. Sources tell me this could be “it.”

TV stations have been told to expect the speech to last about an hour.  I am also told all radio stations in Russia are to carry the speech live.  This seems unprecedented.

Between this and Ukraine’s Foreign Minister Kuleba boasting that “something is going to take place on February 23 and 24 that Russia will not like, it will affect the whole world” it is appearing more and more likely that the crap is going to get real for all of us; maybe the formal outbreak of WW3.

Today, Russia called for an extraordinary meeting of the UN Security Council over US destruction of Nord Stream pipe line.

The Russians will get nothing out of the US-controlled UN other than obstruction.

This afternoon in Russia, Deputy Chairman of the State Duma, a guy named Tolstoy, announced new denunciations of international treaties by Russia: “There is no possibility and no desire to work with the Europeans on any issues.” 

Yesterday, this web site reported Covert Intel that Norwegian Intelligence Services reported, for the first time since the Cold War, Russia has started deploying tactical nuclear weapons to their Northern Fleet warships.

Today, it came out that The U.S military ordered depleted uranium (DU) munitions designed for the Abrams tanks that Biden is sending to Ukraine. DU caused cancer and birth defects in Iraq, Afghanistan, and former Yugoslavia. Russia considers these to be a “dirty bomb” and, as reported by this web site weeks ago, Russia will respond to being hit with a “dirty nuclear bomb” exactly the way anyone would expect them to — with nukes.

Right now Nukes and their delivery systems — Ships/Subs/Mobile Launcher Trucks are on the move everywhere.

Global tension is off the scale.

Putin’s speech on 21st February might be simultaneous with the Nukes being launched.   In my gut, I pesonally believe (and this is only my personal view) I’d expect Putin to give the West an Ultimatum and a date. After that a State of War will exist with the West…

I bet all those Russian ships that sailed out of port have attack orders. Once the go signal is sent, they will unleash their nuclear missiles…

We are so close.

He may announce that Russia will in the future interdict war supplies being sent to Ukraine. This could involve the seizure of ships.

He may announce that Russia now regards certain countries as being co belligerents with Ukraine, and that Russia may strike at weapons and training facilities in Europe. This is extreme though.

My money is that this is about Nordstream and the US. He may try to peel apart the US and some European countries. Then join forces with China saying there will be no peace treaty until Russia wins Ukraine and China wins Taiwan.

Russia doesn’t want to face Nato. It’s a losing situation. But if he can divide NATO over Nordstream and if he can ally with China he might go for it.

Nukes are reserved for when you’ve lost. But even then you don’t use them. You insist that even in defeat you retain the reins of your country, your country retains it’s sovereignty or you’re going to launch your nukes. This is damned believable and anybody will settle for that.

Make preparations.  Food, water, medicines, generator with fuel, communications gear, a plan for the family that everyone knows by heart where to meet, how to get there, what to do if some don’t make it.

If you can, try to avoid being around high risk targets.

2023 02 18 11 59
2023 02 18 11 59

Tales From The Meth

 

1. Had been up 4 days, didnt quite realize how wired i was and kept chasing a rush like an idiot. Took my last dose around 2:30pm, around 4pm started having chest pains. By 6pm, my heart was racing, and my left arm went numb. I was at a family gathering, Ha. I obviously hadnt been smoking any cigarettes by that time, and i had taken an aspirin, because i knew what was going on. I didnt allow myself to exert any physical energy, stayed that way until about 8pm and then made it home. I took a shower, to see if my circulation got any better, but it was only worse.

At 9:30pm my wife took me to the ER where I told them I was Overdosing on meth, and i needed to be monitered, i showed them the massive amount of crystals i was sweating from my palms. They werent surprised, i guess its frequent in my area. They were so nice to me surprisingly. I got to my room, and then had an xray, and blood work. My Heart Rate and Ekg actually were decent, but my BP was through the roof. By 11 or 12, i dont even know, i was in so much pain, i really thought that was it. My entire body was numb, and severely tingling, like when your foot falls asleep to the point where it hurts, but 100x worse and in my whole body, i mean stomach, face everywhere. I thought i was having a heart attack by this point my heart was racing and my vision was getting blurry my body was turning grey and i felt like a dumptruck was on my chest. I was insanely weak, could barely call for the nurse. I hit the button, and managed to say “get somebody in here please”. What seemed like forever, the nurse finally came in, i saw her approach me and then i passed out.

Woke up 40 minutes later, heart stable, but still in pain, and really really high on meth nonetheless. I was stable flr a while, and they sent me home. I didnt have a heart attack. I have an appoint with my family doctor this week. I also quit smoking cigarettes. Im still in pain, still sweating fuckin rocks basically. My circulation is back, which was the worst part of the whole thing. Im going to be taking it very easy the next little while. Gave my stash to a homie. Got me some really really good bud. Gotta take aspirin for a while. Yall be safe out there. Dont chase that fuckin rush yall. Do your shit and get on with it. Much love.

2. Okay so I’m not your run-of-the-mill tweaker I’m just a regular guy who like smoking meth from time to time but my meth dealer he’s kind of fucking weird so I was coming over to his house you know to get the hookup and I don’t know what was wrong with him this day but he was really really into my face like when I say that I’m not meaning he was in my face I mean he wanted to fuck my face and I’m just regular straight guy who likes smoking meth in public but that’s a different story anyway so I sit down I like the bowl up I get fucking blown and he looks at me dead in my eyes and just starts masturbating I’m high as fuck tweaking out of my mind and he just fucking nuts right in my face my high brain does not know what to do in this situation so I get up and I just run out the fucking door and he’s yelling screaming saying he’s sorry I understand meth makes you really horny you see I get that way too but never have I just randomly jerked off in someone’s face but I have jerked off of my cat’s face and that’s why you always have your phone charged when you’re high as fuck on meth that’s the moral of the story

2. I’m a 30ish year old woman, and I was no stranger to drugs, having done pressed E pills, MDMA, oxy, lsd, mushrooms and coke through the years. So when one of my friends brought over a small bag of Meth one day I was like “why the fuck not” and I did a few little lines. You guys know already how it makes you feel so I don’t have to describe it.. but I’ll tell you one thing… they say “not even once” for a damn good reason.

Week one – I just snorted small bumps here n there when i wanted to feel energetic and productive

Week two – snorted small lines

Week three – my nose hurt like a bastard and developed sores inside so I said fuckit and started to smoke it…

Month one – smoking was wayyyyyyy “better” so I started doing more.. I’d guess about two grams a week or so.

Month two – got fired from my job for missing too many shifts cos I enjoyed sitting at home and getting high more than anything. I graduated to about a half gram a day on the pipe.

Month three – full gram a day… sometimes more if people were over

Month 4 – received eviction notice.. ignored it until the last minute, went to court and got 60 days notice to gtfo. Didn’t really care much because Meth. Figured that I would find a way to pay rent or something.. IDK what my thought process was.

Month 5 – Meth Meth Meth… don’t remember much of anything else but I was starting to get really paranoid and I thought people were trying to break into my house all the time

Month 6 – water, heat and electricity shut off.. oh well, I had a woodstove and snow = water so fuck it. Meth paranoia, Meth psychosis, meth Meth, meth.. prob 2g/day

Sheriff gives me the boot, and three days to remove all my belongings.. I have no family (not because Meth, but because they died when I was 17) and my “friends” are just as methed out as I was, so I had nowhere to bring my stuff, and no money or way to put it in storage so I lose everything that wouldn’t fit in a suitcase.

Wander around freezing half to death for a couple weeks.. decide for the first time in my life to apply for welfare, but I was denied because I didn’t have proper identification.

No money and all my friends ditched me because I wasn’t useful anymore. No money = no Meth so I was really dopesick. Decided I was a total loser and I needed to clean my act up.

Hitchhiked about 500 miles away, allied for welfare again even though I still had no ID.. was accepted!

Got a small room, still no Meth. Deleted names, numbers, and anyfuckinthing related to Meth..

Jonesjonesjones…… got a job. Thought about Meth every day. Workworkwork… thought about Meth every day Got a half decent apartment Thought about Meth every day. Still working, drink on the weekends, smoke pot alot, still think about Meth every day but I am committed to never ever putting that goddamned drug in my body ever the fuck again. I was at a small party the other day and was offered some.. I politely said no while I watched other people smoke. I’m done. I’m literally crying as I type this but I did it! Though I still think of it, and I know I always will.

NOT EVEN ONCE. SERIOUSLY.

French Pepper Steaks

2023 02 17 16 12
2023 02 17 16 12

Ingredients

  • 4 beef tenderloins, 1 1/2 inches thick
  • 3 tablespoons cognac or brandy
  • 1/4 teaspoon tarragon
  • 6 tablespoons butter
  • 1/2 pint heavy cream

Instructions

  1. Grind lots of pepper into a dish, sprinkle in tarragon.
  2. Press tenderloins in dish and grind more pepper on top. Press in with flat of knife.
  3. In a hot skillet, add 2 tablespoons butter and brown meat on both sides.
  4. Turn and cook about 3 minutes more for rare (or cook more to your preference).
  5. Remove to a platter and turn heat to low.
  6. Add remaining butter, melt and add brandy slowly, letting brand cook out and scraping brown bits.
  7. Add cream, mix in well and season to taste.
  8. Pour sauce over tenderloins.

US will ‘lose fast’ in war with China, Air Force’s simulation shows

From HERE

March 11, 2021
.

In 2018, the U.S. Air Force played out a war scenario with China, in which China begins its attack by deploying a biological weapon throughout the Indo-Pacific region. the outcome for the U.S. was not a good one, a new report revealed this week.

This was an exercise that was planned before COVID hit Wuhan in 2019. And then implemented and run. -MM

“The definitive answer if the U.S. military doesn’t change course is that we’re going to lose fast,” Air Force Lt. Gen. S. Clinton Hinote, the deputy chief of staff for strategy, integration and requirements, told Yahoo News.

Many details of the war game remain classified and had not been made public until recently.

In the scenario, set a decade into the future (2028), China uses a biological weapon attack that spreads between bases and warships in the Indo-Pacific and then, under the guise of a major military training exercise, a Chinese invasion force is able to launch a speedy air and amphibious assault to take over Taiwan while targeting crippled U.S. warships and bases in the region with a hail of missile strikes.

The simulation was conducted amid the COVID-19 coronavirus pandemic months after aircraft carrier USS Theodore Roosevelt was temporarily sidelined with an outbreak of the virus.

Not true. That was on June 19, 2020. Six months after the coronavirus outbreak in Wuhan.

From HERE

Hinote described a trend between past conflict and this most recent scenario.

“More than a decade ago, our war games indicated that the Chinese were doing a good job of investing in military capabilities that would make our preferred model of expeditionary warfare, where we push forces forward and operate out of relatively safe bases and sanctuaries, increasingly difficult,” Hinote said. “At that point the trend in our war games was not just that we were losing, but we were losing faster.”

“After the 2018 war game I distinctly remember one of our gurus of war gaming standing in front of the Air Force secretary and chief of staff, and telling them that we should never play this war game scenario [of a Chinese attack on Taiwan] again, because we know what is going to happen,” Hinote continued. “The definitive answer if the U.S. military doesn’t change course is that we’re going to lose fast. In that case, an American president would likely be presented with almost a fait accompli.”

A fait accompli is a French term to describe a foregone conclusion, and is often used in U.S. military strategy contexts to describe a scenario in which an adversary of the U.S. is able to defeat a U.S. strategy before it can even be launched. In the example of a Chinese invasion of Taiwan, U.S. military planners sometimes see China’s anti-access area denial strategy (A2/AD) as a fait accompli that allows China to make major territorial gains in the Indo-Pacific while blocking the U.S. from launching a counter-attack. A fait accompli presents a dilemma for the U.S. in whether to escalate conflict further or cede captured territories or objectives to China.

Russia’s 2014 annexation of the Ukrainian territory of Crimea, has been described as a fait accompli, as the annexation was accomplished before the Ukraine our other nations could intervene, and the prospect of retaking Crimea from Russia might then suggest open war with Russia.

Hinote’s comments about the Chinese war game come on the same week U.S. Indo-Pacific Command (INDOPACOM) commander Amdl. Philip Davidson said China might try and annex Taiwan within this decade and possibly within the next six years.

The use of war games has reportedly helped the U.S. better understand how the Chinese strategy in the Indo-Pacific would play out, but some defense analysts are still uncertain the U.S. is heading in a direction to counter China’s strategies.

“Whenever we war-gamed a Taiwan scenario over the years, our Blue Team [those playing the role of the U.S.] routinely got its ass handed to it, because in that scenario time is a precious commodity and it plays to China’s strength in terms of proximity and capabilities,”

David Ochmanek, a senior RAND Corporation analyst and former deputy assistant secretary of defense for force development told Yahoo News.

“That kind of lopsided defeat is a visceral experience for U.S. officers on the Blue Team, and as such the war games have been a great consciousness-raising device. But the U.S. military is still not keeping pace with Chinese advances. For that reason, I don’t think we’re much better off than a decade ago when we started taking this challenge more seriously.”

Ochmanek said China has become increasingly confident in its military and that it is showing its confidence with increased aggression and provocative actions in the Indo-Pacific, such as conducting frequent air operations around Taiwan and closely following U.S. Navy ships operating in the region.

Hinote also said, “We’re beginning to understand what kind of U.S. military force it’s going to take to achieve the National Defense Strategy’s goals, but that’s not the force we’re planning and building today.”

Pentagon sends its China point man to Taiwan – media

18 Feb, 2023 02:37

Both US and Taiwanese military officials declined to comment on the reported trip.
.

The Pentagon’s top China official has arrived in Taiwan to meet with counterparts on the island, according to multiple media outlets. The reported visit is the first high-level defense delegation sent by Washington in several years, and comes at a time of soaring tensions with Beijing.

Michael Chase, the deputy assistant secretary of defense for China, traveled to Taiwan on Friday following meetings in Mongolia, the Financial Times reported, citing four unnamed officials. The Pentagon refused to confirm the visit, only saying that America’s “support for, and defense relationship with, Taiwan remains aligned against the current threat posed by the People’s Republic of China.”

“Our commitment to Taiwan is rock-solid and contributes to the maintenance of peace and stability across the Taiwan Strait and within the region,” Pentagon spokesperson Lieutenant Colonel Martin Meiners told the outlet.

The trip was corroborated by two sources reached by Reuters later on Friday, who discussed the visit on condition of anonymity and offered no further details about the junket.

Read the rest HERE

CHINA SHUTS OFF COMMS WITH U.S.

.

White House National Security Council Says Lines of Communication between U.S. and Chinese Military Officials have been Closed.

At present, China will not even answer our hotline calls.  Techs confirm the line is working.  It is ringing.  China simply will not answer.

This is very concerning. A top Pentagon official meets with Taiwan officials, and immediately China closes all dialog with the Pentagon. Interesting, and concerning. -MM

https://youtu.be/aXDK3hCNAVE

No man (or woman) is an island

Ah…

"Too much and for too long, we seemed to have surrendered personal excellence and community values in the mere accumulation of material things. Our Gross National Product, now, is over $800 billion dollars a year, but that Gross National Product - if we judge the United States of America by that - that Gross National Product counts air pollution and cigarette advertising, and ambulances to clear our highways of carnage. It counts special locks for our doors and the jails for the people who break them. It counts the destruction of the redwood and the loss of our natural wonder in chaotic sprawl. It counts napalm and counts nuclear warheads and armored cars for the police to fight the riots in our cities. It counts Whitman's rifle and Speck's knife, and the television programs which glorify violence in order to sell toys to our children. Yet the gross national product does not allow for the health of our children, the quality of their education or the joy of their play. It does not include the beauty of our poetry or the strength of our marriages, the intelligence of our public debate or the integrity of our public officials. It measures neither our wit nor our courage, neither our wisdom nor our learning, neither our compassion nor our devotion to our country, it measures everything in short, except that which makes life worthwhile. And it can tell us everything about America except why we are proud that we are Americans."

Robert Kennedy
from a speech at the University of Kansas on March 18, 1968

Just a thought, from HERE.

Hardcore survivalists and preppers cherish the lone-wolf scenario. It goes something like this: The world is crumbling and cities collapse into mayhem. We,the preppers are well equipped for the end of the world. We are the last chance of humanity since we can withstand anything faith throws at us. Our society is no longer built on everyday trust and neighborly reliance, but do you want to be alone in the end?

Do you really want to be alone for the end of the world?

The world around us is full of unfriendly strangers and nobody cares anymore about the person next to him. If the other guy doesn’t take care of himself…well, then to hell with him and his legacy. It’s a dog eat dog world and only the fittest survive. The media keeps bombarding us with nothing but violence, tragedy and sadness. It’s us or them!

And it is true, in any major, long-term disaster, preppers and survivalists could face deadly threats from desperate and unprepared people. The only problem when it comes to “them” is that they are much more numerous than us and a lone-wolf scenario doesn’t work like in the movies. There’s no one to yell “Cut!” when a scene goes wrong and life doesn’t give you do-overs.

The fact is, for most of rural people, one of the biggest things they’re going to face in the event of SHTF is this: a need to join forces with others who are in the same boat and who share similar beliefs.

We need a circle of well-prepared friends more than we need five guns and a ton of ammo. We need to make connections with trust-worthy people for when the world crumbles. And, we need to do this because there is a preparedness truth that some of us chose to ignore: no matter how well prepared we think we are, we will always lack something. It may be something obvious or it may be something obscure, it may be something we had that got lost or damaged, or used up. Don’t fool yourself, we will lack something no matter how good we think we have it.

You can’t wait for FEMA or the National Guard when a disaster strikes and you can’t count on luck when the world becomes a dark place. Luck won’t prevent the grocery store to run out of food and luck won’t keep you safe for the end of the world.

You need to start preparing to count on each other. You need to get together with neighbors and friends and enhance each other’s preparedness. And it’s not something you have to do out of altruism, but out of pure practicality. In the end the goal is the same: we’ll all be better off when SHTF.

“What can I do to connect with my friends and neighbors when it comes to preparedness matters?”, you may ask.

Well, you shouldn’t go running and tell just anybody what do you stock, where do you keep it and what guns and ammo you have stockpiled, and then ask them” Now show me yours”.

You can start with small steps and if some real disaster has recently struck your area, the aftermath gives a perfect opportunity to go to your neighbors and do a mutual check. Find out what they run out of, where they screwed up and what they can do differently next time. You can get this info just by discussing with your neighbors without appearing to organize any sort of preparedness league. You can even make agreements with them: for example you could store some extra gasoline for them if they help you with medical emergencies or anything else that you might need during a disaster.

People are always worried about something because self-preservation is part of our nature. You have an opening to discuss about preparedness and you need to approach the people from your community. Don’t tell them you are preparing for the end of the world as they might think you are crazy. Bring up for debate topics related to disasters/threats that are happening or are most likely to happen.

You can start practicing mutual preparedness:

Instead of selling your farm produce to your neighbors, start seeing what those neighbors might have to barter for them. Barter will be more useful than money in a serious disaster. It gives you a chance to know the people you are bartering with.

Get involved in a food co-op because you can always establish a cooperative network based on the main element: food. They may be open to the idea of organically grown crops, hormone-free meats or bulk food purchases on a budget.

If somebody in your circle of preppers has a pick-up truck and others don’t, the truck owner can offer to transport goods for a small fee or for barter. The same goes with any other type of machines that can be used by the community.

You can find some neighbors and sign up for and commute to skill-building classes together. It doesn’t matter if you learn about first aid, home canning or defensive shotgun use as long as you do it with somebody else. It will help you stay committed and motivated to the activity but also to the people.

Start offering to do things for your neighbors and ask them to do things for you. Do this even if you can actually take care of everything yourself. It’s a good way of bonding and getting to know each other’s skills. It provides a good opportunity to learn whom you can trust and whether you yourself are trustworthy.

If somebody close to you, especially relatives, feels unable to prepare for a disaster, see how you can change that by helpfulness and gentle persuasion. When you talk with certain people about preparedness, they feel overwhelmed, too unskilled or too poor to handle it all. Everybody is vulnerable to something and being prepared doesn’t mean you have to become an expert prepper. You have to make sure you increase your odds of survival and you have a fighting chance. Having a bug-out bag will make a huge difference. Knowing how to fortify your home will keep your family safe. Everyone can start with small steps if the mindset is there.

Garage sales are good occasions to know your neighbors and to stock up on preparedness supplies. You will be able to discuss with people from your community and know more about their prepping plans. If someone sells a camp stove because he never used it and thinks he never will, then that person might not be into prepping. But if someone sells a similar item because he got a better model, you might have some common topics to discuss. It’s all about knowing your neighbors and you can tell a lot about a person based on the stuff he owns.

With those you must trust, talk openly about others in your community who might become a problem in harsh times. Those who are clueless about fending for themselves will get desperate and might become real troublemakers. Thieves or chronic freeloaders will bring down the community. Everyone deserves a chance, but I’m willing to consider it for people who ask for one in the first place and are willing to work for it, rather than for those who “make a mistake” and ask for forgiveness and a second chance. When SHTF, there will be no luxury such as second chances and you must act first in order to survive the fall.

Safety in numbers will save you and the community. Your home could be on a map outlining evacuation routes for your area, the government could make your propriety an assembly area just for the fact that it is situated above the flooding zone. Regardless the scenario, there could be many who will come your way and most of “them” won’t have peaceful intentions. What then? You can’t keep up your fort for long if you are all alone. Joining a militia or having a group of trustworthy friends will help you deal with the masses. If some will try their luck with a just one person, nobody will be foolish enough to go against a number of well-armed individuals.

Another aspect that we need to consider during a crisis is what to do with friends and family that come rushing in, knowing you’ve been prepping for anything and your home is a safe haven. I know a few people that said “if the end of the world comes we’ll just move in with you”. Although it sounds like a joke, I’m pretty sure it isn’t. There will always be someone who knocks at your door during a time of need and you just can’t turn your back on them. They are the “friendly freeloaders” and although you are well intended, odds are you won’t be able to take care of them forever. This is why they need to be integrated in your local community and here is where it gets tricky.

If you are a lone wolf you won’t be supported by your community. Even worse, you will be seen as a threat if you bring in outsiders when things turn bad. However, if you have a good reputation amongst your peers, if you have the same interests. If they know how the skills of someone can help the entire community, it will be much easier to integrate others and give them a job insides the community. It is important to show how the new arrivals can help everyone and it can be done much easier if there is room for debate.

A last word

No man is an island and we should make sure we have someone to spend our time with, when the world around us dies. The government will tell you to wait for their help, to be passive and rely on experts and outsiders. They will ask you to behave like dependent children and wait for big daddy when in reality, you have people you can trust around you. People, who can help us out or, if need be, take us in.

Would you rather wait alone or turn to others, your friends and neighbors, when the world ends?

What’s It Like To Have A Photographic Memory?

I have a semi-photographic memory in that I can remember the content of most anything I’ve read and sometimes visually remember where the information is on the page or how far into the book/article it is.  I don’t generally remember the names of the author or possibly the article/book but can usually find it with the specific information I do remember.  I have almost no autobiographical/experiential memory ability and that usually feels like an unfair price to pay

What is it like?  It’s complicated.

There are certainly positive ramifications:

    • In college; I’ve never had to study as long as I took notes during lectures and I didn’t have to buy textbooks unless they were going to be used for independent reading and/or were interesting enough for me to want to buy them. I usually get 100% or thereabout on any test and if I miss any questions its usually because I missed a class or got lazy and didn’t take notes one day. I don’t experience any test anxiety because I know I will get an A. I can answer most people’s questions with some degree of certainty and back up my response with a reference to the research or source of my answer. I can write research papers more quickly than most people because I have the info in my head and know which references I need to collect in order to cite/back up my ideas. Professors tend to enjoy me as a student because I am knowledgeable about the topics and can participate in well-informed and interesting conversations about their work/research. I easily generate original ideas for projects and papers because I can remember and connect information from different fields and studies related to the topic.

 

  • In regular life; I don’t get lost (photographic navigational memory). I can provide accurate information to friends and family about topics ranging from legal problems, medical problems, psychological problems, investments, business, parenting, nutrition, politics, fashion, etiquette, art, crafts, and anything else I’ve been interested enough to research (I research for fun and relaxation). I know how to fix things. I’m useful to have around and this helps me socially. I can generally come up with a relevant and amusing quote or anecdote from history or current events to amuse people with, I rock at karaoke, and no one can beat me at word games (except my brother whose strategy skills blow me out of the water during scrabble).

It’s not all good though, on a personal and emotional level its quite costly.

  • In college; I feel guilty about getting As on tests I didn’t study for when really hard workers struggle to pass. I feel guilty about ruining the curve in classes that have one (and sometimes negotiate with the teacher to be removed from the curve equation, even if it might lower my scores). I hate working in groups because I end up doing more work when I have to not only carry more of the burden but also figure out how to make sure everyone looks like they’ve done an equal amount of work on the project. I hate working in groups because it takes me more time to complete projects when I generally have to spend a fair amount of time providing my group-mates with the information I have that they don’t. I am popular as a group member (particularly with average and below average peers) because working with me pretty much guarantees an A on the project– this is a disadvantage to me because I’d rather work alone but am afraid of hurting others’ feelings if I refuse to work with them.  I am unpopular as a group member with better students (usually those who actually work hard to earn their grades) because I choose unconventional projects and make them very anxious with my disorganization and procrastination. I have TERRIBLE study skills because I’ve never had to develop them and I fear it will one day bite me in the ass. I am a crazy perfectionist because I know what I am capable of and will punish myself severely for failing to get an A on a test or project. I find it hard to make friends because many people dislike me since I have an “unfair” advantage and don’t have to work to get the grades they struggle to approach. I find it hard to make friends because many people who like me in spite of my “unfair” advantage find it difficult to relate to me on a personal level and seem to feel like I have super-powers or am otherwise alien. I find it hard to make friends because I don’t fit in with most other people and they find it hard to comprehend that I research for fun and would rather spend a Friday night intensely discussing potential solutions to unsolvable problems than going out to drink and socialize with random people.Some professors dislike me because I ask questions that they don’t have the answers to or related to research on the topic that they haven’t yet read. Some professors dislike me because they feel like I am “too big for my britches,” and I often feel guilty for asking questions during class (so many questions) that are related to the topic but beyond the scope of what is being presented and often beyond the ability of others to understand when they haven’t accumulated as much information as I have about the subject.
  • At work:  I get bored easily because I have an insatiable drive for new information and most jobs are repetitive. I piss off my managers because they often feel like I’m making them “look dumb” and I don’t know how to keep my mouth shut if I have pertinent information. I piss off my managers because my coworkers often come to me for information and assistance instead of them. I have trouble working in groups because I usually have too much more information and I can easily dominate the discussion or make people feel like I’m being pushy. I have trouble working with other people because I often have more knowledge about any given topic we’re working on and its not actually a good thing to “always be right” about things because you can’t not remember what you remember.  I have trouble making friends at work because many peers find me odd, difficult to understand, and/or feel like I threaten their chances of advancing as much as they’d like.I have a lot of trouble even deciding on a career path because I am “really good at” (and really educated about) too many subjects and in order to choose one path I would have to give up my dreams and passion for the other paths I’m not taking. At 38 I haven’t yet been able to establish a track record or formal evidence of expertise in any particular field because my memory (and number of topics I’m passionate about) makes me have high aptitude for too many things and prevents me from being able to focus on one thing long enough to make tangible progress.  Worst of all, I have difficulty following through on projects because my memory is such that thinking through the problem (and figuring it out) seems like having done it completely and I then find it hard to muster motivation to take the time to finish it in real life.
  • The personal costs are what I hate the most: I have trouble in relationships because I’m “always right” when it comes to facts & information that I’ve accumulated knowledge about (non experiential) and have not yet figured out how to let other people “be right” without compromising my intellectual principles and/or unfairly hoarding information I could have shared. I have trouble finding people who connect with me intellectually because while many people are as or more informed than me in their particular domain of interest it seems impossible to find others who are equally informed in a wide range of domains of knowledge. I have trouble connecting with others because I often end up feeling guilty or becoming aware of the frightening potential of manipulating or unduly influencing others when they unquestioningly accept my input as fact due to my wealth of information about everything that I am compelled to learn about– It’s frightening to feel responsible for being infallible when you know you actually are not.I am disorganized because everything I experience internally or externally triggers a memory and demands that I contemplate the connection /relationship and I am rendered effectively incapable of reliably noticing the organization/cleanliness of my home or office. I lose track of time and days because I am distracted by associative memories triggered by anything; I forget to pay my bills & cannot properly manage money because I am usually stuck in my head and lose track of time or lose the bills in the clutter I’m failing to notice. Other problems associated with being constantly reminded of something that is potentially related to whatever: I can’t keep a schedule, I forget to eat, I forget to shower (or that I forgot to eat or shower), I forget important dates like birthdays and anniversaries,  I often have insomnia, I lose everything (If I were a man I’d be very grateful not to have a detachable penis), and I am always anxious that I’ve forgotten some important deadline or other task I usually forget.I can’t remember experiences like my 21st birthday, special times with my daughter (I think its a trade off for my other kind of memory ability), my first kiss or the first time I had sex, friends and lovers I have fallen out of contact with (I somehow completely forget many people which makes me sad), or most any personal accomplishment that would probably look really good on my resume.

    I feel really guilty about not being grateful for my “gifts.”  I feel really guilty for not using my ability as much as I could or should have.  From childhood, people have told me that I am responsible for using my gifts to improve the world, I don’t feel I have honored that responsibility and so feel guilty for letting “the world” down (irrational, I know).  I fear I am arrogant; I fear that others think I’m arrogant.  I struggle to achieve greater humility but have little success on that count.  I sometimes worry that I’m a “bad person” because I have failed to use my abilities or live up to the potential this memory gives me.

    The single worst thing for me, though, is that I feel like I’m not quite human.  I don’t have many experiences others have, have not developed skills that others have developed because they require repetition or other tools to remember information, and I have many experiences that others do not have due to the differences in how my brain works. If I could feel like I “belong” somewhere or that I am really “connected” to another human being then I might feel like all the other negatives are worth it for the benefits I experience.

I don’t know if this actually answers “what it is like” to have this type of memory because it seems more like I’m simply listing the effects it has on my life.  However, I don’t know what its like to NOT have this memory of mine and since this type of question requires a comparison between the two experiences… I think the question could only REALLY be answered by someone who has both had and not had this type of memory ability.

– Juliette Creech

Kartoffelkloese (Potato Dumplings)

Kartoffelklöße or kartoffelknödel are traditional German potato dumplings that are consumed all over the country but are especially popular in Bavaria, Thuringia, and the Rhineland area. Depending on the variation, the dumplings may consist of cooked potatoes, raw potatoes, or a combination of both.

2023 02 14 18 33
2023 02 14 18 33

Ingredients

  • 6 medium potatoes
  • 2 eggs
  • 1/2 cup all-purpose flour
  • 1 tablespoon grated onion
  • 1 1/2 teaspoons salt
  • 1/4 teaspoon white pepper
  • 1/8 teaspoon nutmeg
  • 1/8 teaspoon cinnamon
  • 1/4 pound butter, melted
  • 1/2 cup fresh bread crumbs

Instructions

  1. Boil potatoes in their skins; drain, peel, and grate or put through a food mill or ricer.
  2. Beat eggs and add flour, onion and seasonings; beat mixture into the potatoes with a wire whisk to make them as fluffy as possible.
  3. Roll potato dough into balls about 1 inch in diameter. Drop them into boiling salted water and simmer about 10 minutes. Drain well.
  4. Pour the butter over the dumplings and sprinkle with bread crumbs.

Serves 4 to 6.

Air Space Over Havre, Montana Closed for “National Defense” – Fighter Jets Scrambled from Multiple Bases

.

The Federal Aviation Administration has closed the air space over and around Havre, Montana under the designation “National Defense.”  U.S. Fighter Jets have been scrambled from THREE separate military bases.

Flash traffic came in to me stating the following: “Portland based F-15s just scrambled out on a northbound heading”

Those jets were scrambled out of the Portland Air National Guard base.

Additional fighters scrambled out of McChord Field.

Shortly thereafter, the ALERT TANKERS were sent into the air from Fairchild Air Force Base (AFB).

Flights in/around Seattle-area appear to be operating as normal. Overflights appear to be unaffected as well.

RUM-INT (RUMOR)  Another unidentified object over Vancouver — UNCONFIRMED

A new NOTAM has been issued by the FAA for an area over Havre, MT. The airspace has been defined as “National Defense Airspace.” Unclear if it is related to another “unknown” object.  It appears below:

Foug9F7XwAAg4w
Foug9F7XwAAg4w

NEW: reports of fighters scrambled from Malmstrom AFB in Montana.

USAF KC-135R mid-air refueling craft is on task just outside Great Falls, MT. Took off from Fairchild AFB.

FAA statement: “The FAA closed some airspace in Montana to support Department of Defense activities. Contact NORAD for additional information.”

Aircraft on Billings Approach being notified of closed airports to the north to do “National Security”

The NOTAM issued in northern Montana are about 50 by 50 nautical miles

Rep. Rosendale confirmed an object in the airspace. They will observe and ground the object in the morning…

The NOTAM has been pulled, effective 0112 UTC.

Billings-Logan International Airport and Havre City Airport have reportedly been reopened to incoming and outgoing Commercial Aircraft.

Canada closes air space – fighter jets scrambled again – ANOTHER Unidentified Object – Ontario! ! !

.

sECOND cANADA aIRSPECE cLOSURE 02 12 2023 large
sECOND cANADA aIRSPECE cLOSURE 02 12 2023 large

Canada has closed a portion of its air space over Ontario for yet ANOTHER “Unidentified Object.”  Fighter jets have been scrambled. . . live updates below . . .

Canada closes airspace near Tobermory in Ontario due to “active air defense operation”.

 

5:48 PM EST —

Canada has just Closed a section of Airspace over the Great Lakes and near the U.S/Canadian Border due to an “Active Air Defense Operation.”

FoylMR4WcAcIyAM
FoylMR4WcAcIyAM

The NOTAM over Lake Huron appears to quite large and cover almost 1/4th of the Lake

Just north east of Tobermory, Ontario is Canadian Armed Forces 22 Wing which is their Space surveillance unit which interacts with US Space counterparts 

6:03 PM EST —

Air Refueling Tanker now circling over Lake Huron.

Turned around and now heading back north toward the NOTAM

US Military has just “Decommissioned” another object over Lake Huron.   The U.S. military has shot down an unidentified object over Lake Huron.

An F-16 shot down the object over Lake Huron, according to a congressional aid who says the object was octagon shaped and was at an altitude of 20,000ft, posing a threat to civilian air traffic.

Pentagon used an F-16 fighter jet, armed with a Sidewinder missile, the same missile used on the other three objects.

Four of these incident in eight days.

Three in just the last three days.

PENTAGON SAYS OBJECT SHOT DOWN ON SUNDAY WAS A THREAT “DUE TO ITS POTENTIAL SURVEILLANCE CAPABILITIES” PENTAGON SAYS OBJECT FLEW IN PROXIMITY TO SENSITIVE U.S. MILITARY SITES

Search Engine Sleuthing

How Tightly-Controlled is Your Favourite Media Outlet?

Schmarrn (Scrambled Pancakes)

It is generally agreed that Schmarrn was first prepared for the Austrian Emperor Francis Joseph I (1830–1916).

2023 02 14 18 35
2023 02 14 18 35

Ingredients

  • 2 tablespoons butter
  • 2 tablespoons granulated sugar
  • 4 eggs, separated
  • Pinch of salt
  • 2 cups all-purpose flour
  • 1 cup milk or cream
  • 2 tablespoons butter

Instructions

  1. Cream butter until frothy, then add, one after another, sugar, egg yolks, salt, flour and milk.
  2. Beat egg whites until stiff and carefully fold in.
  3. Melt remaining butter in a cast iron skillet, and pour in batter. Fry on each side until golden brown.
  4. With 2 forks, chop the resulting pancake.
  5. Serve on a hot platter sprinkled with cinnamon and sugar and garnished with pieces of apple, cherry, seedless raisins or other fruit, sautéed in butter.

Prep: 10 min | Cook: 6 min | Yield: 4 servings

Getting ready to sew up the New Beginnings section and start a new reality

Let’s start with an American bullshit comment…

2023 02 13 16 38
2023 02 13 16 38

I tire of this nonsense. Don’t you?

Oh, let’s start a new reality, and I am going to reflect it in MM.

Oh, yes I am. The direction is clear now. The world has peaked during this transition period (oh sure, there’s some months left) but the world has steered though the high risk transitions, and now seems firmly on a vectored course. Don’t give up hope, the “news” will still be playing the pied piper of doom, but yeah, it’s over.

The world is moving on, and the ability for the United States to temper-tantrum out of it is decreasing daily. It’s not gonna happen, no matter how many bombs it threatens with, or the places it deploys.

That phase is over.

And a new one starts.

So I will be phasing out this section. Cleaning it up, and moving on to other things. Stand buy. It might take a while for me to transition…

Aji De Gallina (Chicken Pepper Casserole)

2023 02 13 15 14
2023 02 13 15 14

Ingredients

  • 1 (3 pound) chicken or 3 chicken breasts
  • 2 cups chicken stock
  • 7 slices white bread, crusts trimmed and discarded
  • 1 1/2 cups canned milk
  • 1 onion, chopped
  • 1 clove garlic, chopped
  • 5 tablespoons banana pepper paste*
  • Salt and pepper to taste
  • 1/4 cup extra virgin olive oil
  • 1 1/2 ounces Parmesan cheese
  • 1 ounce walnuts, chopped
  • 6 – 8 Yukon Gold potatoes, boiled and cut up
  • 12 black olives
  • 6 eggs, hard boiled, sliced
  • Parsley to garnish

Instructions

  1. Cook the chicken in the chicken stock. Remove the chicken and set aside to cool. Save the stock.
  2. When cool, cut the chicken into pieces.
  3. In a separate bowl, soak the bread in the milk. Puree the soaked bread.
  4. In the oil, sauté the garlic, onion and yellow pepper mixture. Add the pureed bread, and season with salt and pepper. Slowly add the chicken stock until the mixture is loose and slightly thickened. Add the olive oil. Continue heating until smooth and medium thick. At the end of cooking, add the chicken pieces, Parmesan cheese and walnuts. Continue cooking until the mixture is thick like a casserole.
  5. Place the potatoes on the bottom of the serving dish. Spread the chicken mixture over the potatoes. Decorate with olives, eggs, and parsley.
  6. Serve immediately.

* Puree peppers with oil to make a paste.

This is what China is like today. This is what I see every day.

7 Soul Crushing Confessions That Will Remind You That Life Isn’t All Sunshine And Rainbows

1. I was diagnosed with cancer a little over two weeks ago, after a regular checkup. Turns out I have a tumour on my colon that has spread to other areas (liver and lungs so far) and will require extensive chemo and surgery for any chance to live longer than 8 months

I’m not having any treatment and I haven’t told my wife because she’ll only pressure me to get the treatment, which result in months of pain and suffering for a relatively small chance

Instead, I’m making sure our last few months together are filled with only happy memories. I’m starting work later and finishing earlier each day, to make her breakfast in bed and take her on dates in the evenings

My landlord I rent my workshop from has agreed to let me run my business rent free for the next 6 months, which means significantly less financial stress and I can save a lot more, so she has something to carry her over afterwards

I hope she’ll forgive me for taking this path

2. My 13 year old died in Peru after getting caught in a whirlpool. We were on vacation. His mom (my ex) blamed me for his death and our other son also blames me so he doesn’t speak to me. He’s now 13 too. I don’t force him to see me. When I drive home from work, I pretend that I am talking to my son about how his day was at school, what kind of music he wants to listen to, what he wants for dinner, etc. That is why I haven’t gotten a new car. There are just too many memories.

3. I was 8 years old and he was 13. By that time, he’d already gotten sex ed classes at his school.

He came to me and for several months showed me pornographic material. I couldn’t escape because my parents would make me stay at home with him whenever they went out.

It ended up happening because I was a little bitch and was more terrified of sleeping alone in the dark than my brother sexually abusing me while convincing me it was just a little game.

I didn’t understand at the time.

I didnt even have a door in my room, so he’d often “accidentally” barge in while I was changing. I was 8 years old.

He fucking groomed me into being okay with him seeing me naked while at the same time saying I was acting “obscenely” for laying on the couch. I didn’t even know what obscene meant.

It was only once our parents caught us that I realised he’d used me for his sick fantasies.

For me it was this little “secret” my brother told me to keep. I didn’t realise the implication and that he’d abused my trust in my only sibling to have sex with me, a fucking CHILD.

Even after being caught he’d still touch himself in front of me (I don’t even understand how my parents could leave me alone with him after what had happened).

By the time I turned 12 I’d have recurring nightmares about it but convinced myself that they were fantasies (I had repressed my memories) and that I was disgusting for thinking my big brother could have done that to me.

I got into the habit of locking my door every night once I finally got one and I didn’t understand why. I slept with a lamp in my room, even more terrified of the dark than when I was a kid.

It was like my mind decided to entirely wipe every memory out of my mind, my own mind was telling me I was disgusting for having nightmares about my brother touching me. But everyday I would wake up and act as if everything was normal. None of my parents ever brought it up. We became the perfect little family once again.

At 18 I had a mental breakdown after realising I had almost no memories of my childhood save for my horrible nightmares. I confessed everything to my mom, having completely forgotten if I’d even told her or if she knew since nobody spoke about it.

She told me she didn’t remember and that it must have been experimentation. Just siblings playing with each other.

She didn’t believe me. She had to ask my brother for confirmation.

And of course he downplayed it. He played the victim, saying he didn’t want it to damage my family once again and that he hadn’t done much anyway. According to him it only happened once. Hahaha

I distinctively remember everything and it hadn’t been once.

Since then I’ve been diagnosed with OCD, Anxiety and depression. I’ve been getting help and have cut all communication with my brother.

4. I’m a gay, closeted, middle-aged man married to a woman for a long time. My secret double life involves occasionally visiting gay night clubs, among other things.

On June 12, 2016 I was at the PULSE night club, enjoying Latino night (I’m not, but I enjoy Latino men for the most part). When the shooting started, I was on the far end of the club, getting a drink. I was nearly herded into the bathroom where a last-stand and breach occurred, but instead went along the wall and was able to exit. (It turns out later a dude I had bought drinks for occasionally was killed in the shooting).

I took a ricochet to the back of my calf which touched bone but didn’t break it. Bled a lot. Once outside, I immediately got clear of the area, made my way to my car which was parked a distance away, and then retreated to my office, about 15 minutes away. I did my best field dressing of the wound, stabilizing it and stopping the seeping bleeding for the most part.

I ended up seeing my regular doctor the next morning as soon as he opened. He freaked the f**k out, told me it was a mandatory reporting situation, and then sent me to the ER. I refused that plan, told him to give my information to the police. The police eventually did contact me, and I referred them to my lawyer. I worked my lawyer to give a statement to the police under confidential terms. They immediately put me in touch with the FBI. Meanwhile, about 24 hours had gone by, and my wound hurt like hell but was no longer weeping blood. The FBI was not playing around, and was very aggressive with my lawyer.

I ended up getting treatment from the hospital, a consult with a surgeon, who removed the shrapnel. I told my wife/kids that I injured my calf during an early morning run, and wore a compression sock to hide the wound. The surgery to remove the fragment followed a few days later, and was uneventful, except the FBI was there to retrieve the fragment. A plastic surgeon did a slight touch up on the wound so it looks like a mole was removed.

No one in the entire world knows what happened and how PULSE affected me. I sometimes have violent and horrible flashbacks of the scene inside PULSE. It is almost beyond words. Many of my asshole “friends” I am forced to socialize with in my “straight life” are horrible bigots, and not a few of them made cracks after the PULSE shooting mocking the victims, expressing glee, etc. It can be very difficult to keep it all inside.

5. Sometimes the most intimate touch isn’t necessarily sexual in nature. Years ago, I was in an abusive relationship. The guy I was with broke into my home, and threw my hair straightener (at full force) into my bathtub (while it was hot) in an attempt to intimidate me. When that didn’t work he proceeded to physically abuse me. He didn’t “want” to punch or slap me, because that would leave black eyes and bruises (he left massive bruises anyway). Instead, he grabbed me by my arms and wrists and drug me through the house calling me every insult known to man while doing it.

He got me into my bedroom.

He locked the door.

He threw me on the bed.

He towered over me, and he proceeded to straddle me. Once he had the full weight of his body securing me in place, he pinned my elbows down with his knees.

Then he hurt my soul.

He squeezed my face by my jaw. He left dents in my cheeks. He brought my face impossibly close to his as I struggled against him, and validated every single one of my insecurities with screams. He suddenly stopped, looked down at my defenseless body, and smiled. His eyes went cold. I could feel his erection on my abdomen.

“I could do anything I want to you right now.”

I still shudder when I think about it. Luckily, my parents chose that particular day to visit me, so when he heard the car doors, he got startled enough to get off of me. I exhaled the breath I didn’t know I’d been holding and ran for the door. I later learned he’d fled through the window.

I cannot bear to let anyone touch my face because of this

6. My son, who would have been 21 this month, hanged himself on December 24 2016. Christmas Eve. My baby boy. Gone. There are no words to describe what it did to me, and what it did to the family. I went into his room midday expecting to find him still in bed. I found him hanging in the closet. Two lives were destroyed that day. The neighbors called the police when they heard my screams. I could not leave my house for months and lost my job. My older children had to move back in to support me because I refused to go out or clean the house or even eat food. My life had lost all purpose and for a while it was over. With time and extensive therapy I am just barely functional again, but life has never felt so empty. Not a moment goes by that I don’t think of him. I spend countless hours every day thinking of how I could have changed it. I know I could have.

My son was enrolled in a general health studies program at the local university. He didn’t have an interest in health and didn’t know what career he wanted to pursue, but I pushed him into it thinking it had the most potential for a successful career path and that he could develop an interest over time. He didn’t do very well in his first year but he made it through with a few failed courses. In April 2016 he had finished the winter term of his second year. I asked him about his final grades. He kept telling me that he hadn’t received them yet. I knew he had but I didn’t push. The summer term was starting and we had agreed that he would take summer courses for the courses he had failed in first year. He told me that he had applied to them, and he also told me he had finally received his second term grades and that he had passed them all. I didn’t know at the time that neither of those were true. It was all online so I never checked. If I did, I would have known that he had failed all five of his winter courses because he had stopped showing up to classes due to depression and he never applied to any summer courses. I found this out later in August when he broke down and admitted it all. I did not take it well. I was so upset that he had failed his program, that he lied to me so many times, and that he spent the entire summer pretending to go to class while doing other things. I called the school immediately and arranged an appointment with the faculty. I explained the situation to them and they agreed to let him reapply in the fall as a special student, and that if he did well in his remedial classes he would have a chance to continue his program where he left off. He didn’t want to do it. He wanted to take a break from school and apply to a different program later. I refused. I forced him to go back. I knew he hated it and I made him do it anyway. I didn’t do anything to treat his depression despite knowing about it. Instead I used it as an excuse to get him back in the program he never wanted to be in. I made him feel trapped enough to take his own life and I can never forgive myself for that.

Going back into his room was the hardest thing I’ve ever had to do. One step inside and I had a breakdown. Months passed. I tried again. Breakdown. We had to keep it locked until we hired a cleaner to pack his things because I could not bare to look at anything that belonged to him. I was only able to enter once the room was completely empty with just a few boxes stacked in the corner. Months later when I was home alone, I mustered the strength to open one of the boxes. Sitting on top was his laptop. I opened it and found it unlocked. I told myself I shouldn’t look through it. It would only ruin me again. But I had to. I had to know. Maybe he saw something that made him want to do it. Maybe he had messaged one of his friends, and maybe they said something to him. I just wanted to understand why. Why he would feel so hopeless that he had to take his own life. I found mostly things that would be normal to find in a young person’s browsing history. People’s Facebook profiles, assorted Youtube videos, a whole world I never knew. There was a file folder compiling images from what I could tell was shows/comics/games that he liked. I’ve never seen any of it before. I never cared. Another folder with images of my son with his friends from his high school. I didn’t recognize any of them. I never bothered to ask. I found a video in his Youtube history showcasing how to tie a noose. I had to stop there and weep until I had a migraine. Then I went back. I found entries on Kijiji. He was trying to sell his game consoles and games, which I later found out he spent his tuition to buy. I found a site called Liveleak, where there were a lot of entries on videos of people dying on camera. I believe he was trying to prepare himself for what he was going to do to himself. Then I found this site, Reddit, and I noticed his account still logged in. This one. Nothing could have prepared me for what I was about to find. Months of grief counseling undone in an instant. I had the worst breakdown of my life. Reading through everything he wrote in his last days tore my already scarred heart into a million shreds. He had reached out for help so many times. He felt so alone. He wrote that if he died I would only care about the money I wasted raising him. I thought I had felt the worst pain a person could feel until I read that. It was my fault. He felt so alone and hopeless in his last days. And I made him feel that way. I knew he wasn’t happy but I made him go back. I killed him.

If only I could tell him now that it doesn’t matter to me. That no school or degree was worth his life. That no matter what he did, I would love him no matter what. Oh how I miss my sweet boy. My baby is gone and I will never be okay again.

7. I don’t know where to start. But I’m hoping someone else on here can at least understand what I’m feeling without judging me or telling me things like “You don’t know how lucky you are; a lot of people can’t even have kids!” I know. And although I should be completely over the moon about my awesome kid, I’m just…not.

It started with the pregnancy. The second I found out I was pregnant, I had a strange feeling. Not the one of excitement or a bit scared, but that I didn’t want it. Deep in my gut, I knew I made a terrible decision to get pregnant. My husband and I agreed to try, though I was still on the fence. I also didn’t think I could get pregnant because I had horrible problems with ovarian cysts my whole life and doctors told me I wouldn’t be able to get pregnant past 30 (there were other reasons too but I won’t get into them). I was 34, so I figured, what the hell, we’ll try (he really REALLY wanted kids), and when I can’t have them at least we’ll say we tried. Well, turns out it only took ONE TRY. One. I know. Most people would consider that so incredibly lucky, and trust me I am well aware of the people out there who struggle with infertility.

As the weeks went on I struggled with absolutely terrible morning sickness until about week 22. That’s a long time, especially HOW sick I was. Around week 22/23 I started to feel a little better, especially because I knew I was more than halfway done with that hellish experience. At week 28 I was diagnosed with gestational diabetes and was back and forth to doctors every five seconds. I felt like a science experiment.

I was induced at 41 weeks and only pushed for 12 minutes. He came out in 5 pushes. Again, I know. Luckiest person on the planet especially because I had an epidural that worked like a charm. But the second I held him I didn’t want him. I wanted to give him back. I felt no emotional connection. Nothing. And then I hemorrhaged. And then I developed eclampsia, which is high blood pressure after birth. It was the most hellish three days of my life, thinking I was going to have a seizure or stroke and possibly die. Finally when I got released from the hospital on a myriad of medications, I didn’t want to be there at all. It wasn’t the same home.

It wasn’t the home of cooking all-day meals, spontaneous trips to the wineries, sitting and reading a book for hours. I didn’t realize I actually cared about any of these things until they were gone. And that gut feeling of not wanting a baby only got worse.

In the next weeks and months I struggled with what was eventually diagnosed with postpartum depression and postpartum PTSD. It was absolutely terrible and I contemplated suicide many times. The lack of sleep paired with those things made me feel like a complete lunatic. My marriage suffered, and I believe my husband and I will never be the same because of what occurred in those months with my emotions.

Fast forward to today. My husband does SO MUCH to help me. He’s there every second I need him and works right down the road, so he can be home in a second if I truly need something. My parents watch my son one day and my in-laws another day. But it’s not enough. I don’t want to be around him. I’m bored to tears playing toddler games and even going to the playground and stuff with him. I’m looking at my watch every five seconds.

Part of the problem is that I work from home. I was very, very talented and successful in my office job, which eventually converted to a work-at-home position. So by default, I became a stay-at-home mom. Let me make one thing clear: I NEVER EVER EVER wanted to be a stay-at-home mom. I made this very clear from the beginning, and I absolutely said I would never do it. I’m not cut out for it. And here I am. Sitting home with him, day after day. We do tons of things. He takes gym classes, art classes, we play outside all the time, go on outings, go to the food store. He’s clean and well-fed and loved and always entertained and happy. He really is a great kid.

But I HATE THIS. Like with every fiber of my being. Hate it. The sleep transitions, the teething, the not wanting to eat the food I make, the tantrums, everything. Like literally everything you can think about having a kid, I hate it. And the noise. OMG the noise. The tantrums, the kid songs that make you go insane…. I just can’t anymore.

I feel like being a mom brings out all of my worst personality traits. I didn’t even KNOW I was impatient, but apparently I am! I get so frustrated with him so quickly, and I have silent little anxiety attacks while I discipline him or deal with whatever is going on.

I just am so surprised – and weighed down – by the responsibility. I am one of the most responsible people on the planet. Always early to things, always organized, always on top of stuff. I have a dog, who I got as a puppy and I take a lot of pride in training her and being her dog mom. I have nieces who I take care of from time to time and I take what I say and do around them very seriously. And my job is extremely integrated with other people and working with teams. I am a director, so a lot of people rely on me. But SHIT. This is too much. Being responsible for a little human being, and every single thing I say is going to affect them in some way??? No thank you. It is a weight that is suffocating me every second of the day. And I wasn’t expecting that. On paper, I should actually be a great mom. But I’m really not.

And I don’t know what to do. I went to therapy, was on medication, everything. But I feel like the only thing that would truly make me happy would be to go back to work full-time in an office job. Right now I piece together my work. When he’s napping – if he naps – at night, early in the morning. I can never concentrate and what was once a bright and lucrative career now leaves me stressed out and awake at 3 a.m. wondering how I’m going to get my work done. I can’t concentrate and its like he needs something every other second. I keep waiting to like it. I keep waiting to feel something. But I don’t.

And don’t get me wrong. When he hurts himself or cries from being sad, my heart breaks. When my parents pull away with him in the car and I see his little face in the back seat I miss him. But then…he gets back and I’m like…when can he go over their house again???? It is truly the strangest feeling. I’m confused. And if anything ever, EVER happened to him I don’t know what I do. He is a sweet, gentle, loving, kooky kid. Always laughing and smiling, and I make sure to give him tons of hugs and kisses every single day and tell him I love him. I don’t ever want him to know these things I’m saying here.

Walking In Shenzhen Bao’an International Airport | Guangdong, China

This is what China is like today. This is what I see every day.

Confessions Of A Dude Addicted To The Dope Game

I’ve been drug dealing for the past 4 years now. I did have a year where I didn’t sell anything but besides that, it’s been pretty steady. The problem is, I just don’t think I can stop. It’s become an addiction. I don’t give a fuck about getting high. I smoke weed and drink alcohol occasionally but, besides that, I haven’t touched another drug.

There’s probably a lot that has lead up to this point, starting from a young age, but 4 years ago I found the markets and Bitcoin. It was at a point in my life where things were low and I found drug dealing. My first package was a package of Xanax bars. I made $1,000 profit in about 4 days because, at the time, pressed bars weren’t very well known so my prices were dirt cheap compared to everyone else’s.

That’s the moment things changed for me. The moment where I fucked everything up for myself.

4 years later, here I am. It’s a fucking addiction. When I stop, it’s for a month, max besides the one year I quit due to personal and OPSEC (Operational Security) related reasons. It’s a craving I can’t make go away. The adrenaline of doing something illegal, the money, the respect, the power you have over your little group of people…I don’t know…it’s impossible for me to explain so I’ll explain the more addicted part now.

The money.

You think drug dealing will ruin your life because of prison or getting robbed, and while true, there’s a sneakier way nobody tells you about; MONEY. I’ve dropped out of college and haven’t held down a job more than 6 months due to it. When you make $55-75K a year WITH NO TAXES, everything else is a blur. College? That takes 4 years of being broke! I can’t do that! Holding down a minimum wage job? That’s like $400 a week, if you’re busting ass. I was making $1,000(PROFIT, not GROSS) a week, making a couple moves. I moved bulk only so I wasn’t meeting people every 30 minutes to make my money.

I was my own boss and money gave me freedom. Freedom. That’s where the addiction comes into play. Drug dealing was 50% of it but the other 50% was the freedom money gave me.

I make about, on average, $4,000 NET profit a month. My monthly bills are only around $1,800 for everything besides food(Love living in a cheap state) so that leaves me a good chunk of change to myself. That leaves me $2,200 a month in my own pocket. This monthly cost includes a maid, once a week, dropping my clothes off at a laundromat to get washed, dried, and folded, and having my groceries delivered to my house.

I have all the time in the world to myself. It’s so addicting.

Want to go play Laser Tag and ride Go-Karts all day? You can! Want to go do 18 holes Monday, Tuesday, and Wednesday? Grab some cigars and let’s go! Want to take a week long vacation? Easy, all you gotta do is tell your people that you’re leaving and to re-up while they can! Then you’re free to leave! Want to smoke weed and play video games all day? Done! Want to learn a skill like playing piano, programming, or anything? You can practice 8 hours a day!

I’m not even mentioning the material items. I have a PS4, Xbox One, Nintendo Switch, Gaming PC, MacBook, TaylorMade golf club set, Boosted Board, HTC Vive, 4K TV’s, all the smart home gadgets an apartment can have(Lights, outlets, TV’s, ect), and clothes galore. I also have a pretty decent car. If I don’t have it, I have multiple options to get it. I can either save up and wait, trade product for it, or just up my sales to cover the cost.

Oh, that’s another thing you can’t do with a paycheck, upping your sales. If I need money or just want to thicken the savings account, I can just push my sales a little harder. Offer a slight discount for more bulk, bring in a different product, or seek out new customers. $6,000 months are not crazy uncommon. Drugs sell themselves.

So yeah, you can see where everything becomes an addiction. Life is just…easy. Drugs sell themselves. Money gives you freedom. It’s a bad mix.

The problem is quitting. I could quit today and have a pretty decent savings to hold me over but after that, I’d have nothing. I have no college education and no work experience I’d be willing to put down on a resume. I have no references. I have no legal connections. I have nothing besides the business I’ve. built around myself. Surrounded by people who do the same thing that I do.

I have a couple moves I could make but my motivation is shot. I could go back to college, that’s a great option. I could hone in on my weed growing skills and save up for when legalization comes to my state. I could build a legit business.

For now though, I’ll stick to what I know and what I’m good at. Hoping to find the dragon I’m chasing.

How do you avoid getting caught?

OPSEC, OPSEC, OPSEC, OPSEC!

I’ve had buyers busted before with much, much harder drugs than Xanax. I suspect all of them to have said my name. I doubt they’re going to do a prison sentence when they could just get a buy on me.

  1. They shouldn’t have any trial of communication between the two of you. Never, ever, ever, ever leave a trail! Once asked for proof they should go “uh….” and that’s it.
  2. Cut them off. I don’t care how close you are. I don’t care if the charge has nothing to do with drugs. If they are in handcuffs, they are cut off. Never to be spoken to again.
  3. Keep a tight knit circle. 3 people at most. None of them are to know each other. It’s less people you deal with, the less people you have to keep track of.
  4. House is always, always clean! Never keep ANYTHING drug related in your house.
  5. NO SOCIAL MEDIA!
  6. Your darknet laptop should not have a HDD and should be AWAY from your house. NEVER connect to a darknet site at your home internet.
  7. Packages should never come to your house. Always use a drop.
  8. Don’t use a bank. Hide your cash like you’d hide your drugs.
  9. Lawyer on retainer. This should be the first thing you pay for with drug money. Memorize his number. Give him bail money to use and only he has access to it.
  10. DO NOT TOUCH PRODUCT PACKAGING! Use gloves when packing up product and wipe down with rubbing alcohol. Wear gloves when dropping them off to your buyer.
  11. I take an extra step and do not do transaction. I take the money and the buyer will get the package via a dead drop within the hour. I DO NOT hand drugs over for money EVER. If your buyer doesn’t understand this rule, do not sell to them.

It seems like you have all your bases covered, although there are still ways of getting busted and to think you’re 100% untraceable seems ignorant

If your goal is to be 100% untraceable, you’ve failed from the beginning.

You just need to be worth less than the investigation. Think of your police force like a thief casing a house(Houses are drug dealers). The thief is going to pick a house that is easy to get into. They want low hanging fruit. They don’t want to have to use explosives or expensive drills to get your TV when the neighbors have one and the front door is unlocked. Now, if they know you’re holding diamonds or a mound of gold…they might be way more willing to use expensive tools and force to get in.

Is it worth going after a mid-range Xanax dealer who has his bases covered? The time, energy, and man-power spent on busting me wouldn’t net much. It’s my first offense and I have a decent lawyer ready to fight for me. There’s a story of a guy who ran a sled over the Canadian border with $55 MILLION worth of Xanax, they gave him 5 years of house arrest in a Federal court room. I’ve studied case after case of Xanax related busts.

Or

Would you rather focus on the “dumb” drug dealers who use Facebook and Snapchat to sell to anyone and everyone?

Could you give an example of a drop for ordering items? I just can’t see how this is secure. Abandoned house, PO box, neighbor, friend? How can you securely pick up a package at your drop location?

Rent a house in a ghetto area with a fake ID. I didn’t need to give up my social security or anything. I just showed my ID, signed papers, and handed over deposit and first months rent.

My buyer(s) will tell me the quantity they want, I’ll either do it hours later or the next day depending on how I feel, and I’ll go drop the product in a discrete location where I can check if anyone is following me or not and I usually use 2 level transportation(Car to one spot then ride my bike to another location), I’ll meet them, take cash, and then communicate with them later with the GPS location.

I’m a little surprised that your buyers are willing to hand you cash before you’ve given them the product. What guarantee do they have that you won’t just run off with the money?

They don’t have to do business with me. I have super competitive pricing so if they’re not willing to give me a try, there’s somebody who will. I always let the first pack go for a lower amount, like 100, but after that it’s 500 or more minimum. It’s not worth my time to do any less.

You said that you leave your phone at a different location and not your house. Doesn’t it not really matter if you’re using an app like wickr? Do you randomly go to the place and check your messages?

Your phone has GPS and more than likely a backdoor installed.

It’s a pain in the ass but here’s how I do it:

You can leave your phone at your drop house if you turn it off/take out the battery but I’ll leave my personal phone at home, go pick up the burner phone, drive to a coffee shop or library or something, and use it from there. I can usually get all my deals set up within an hour from there. After that, I’ll go drop the product off at my location, meet my person, and then go back to get my phone. I’ll use my phone in my car a good 5-10 miles away from my drop house, just a quick drive, to text them the location.

There were points in my life where I was meeting 3 people and points in my life I was working with just 1 person. The more people I’m working with, the more work I have to put in.

How do you avoid leaving a trail of your communications?

here’s a couple anonymous apps that you can use BUT do NOT use them on your personal phone. Get a “burner” phone and use them on there AWAY from your home. Turn the phone off and keep it in a different location than your home. NEVER TAKE IT TO YOUR HOME!

It’s a lot of work but you NEED to take your OPSEC seriously.

Walking In Shenzhen North Railway Station

This is what China is like today. This is what I see every day.

Humorous Illustrations Blending Sarcastic Nature and Adopted Cat’s Attitude

0 24
0 24

The Internet has been abuzz in recent years with the phenomenon of cats taking over, and now we have one more artist to add to their ranks. @st.aftercigs, an Instagram account with 190K+ followers, gives a whole new dimension to cat appreciation with their bold, humorous artworks inspired by the artist’s own adopted cat.

The artist behind the account expresses the stark contrast between cats’ sassiness, and their ability to be inspirational muses. By blending the artist’s own sarcastic nature with their cat’s grumpy cattitude, a unique and lively art style has been born. The artworks are a great reminder of the many nuanced personalities our cats possess and the joy they can bring to our lives.

More: Instagram h/t: boredpanda

st.aftercigs 326340476 704182134774296 3636887320990145096 n
st.aftercigs 326340476 704182134774296 3636887320990145096 n

st.aftercigs 326161701 492383959502303 6944949284073685548 n
st.aftercigs 326161701 492383959502303 6944949284073685548 n

st.aftercigs 326091536 1914112195620186 2036732411314689451 n
st.aftercigs 326091536 1914112195620186 2036732411314689451 n

st.aftercigs 325844777 1179672912922649 2465375065920544541 n
st.aftercigs 325844777 1179672912922649 2465375065920544541 n

st.aftercigs 325536078 1537675626731674 3648911405732526293 n
st.aftercigs 325536078 1537675626731674 3648911405732526293 n

st.aftercigs 324396159 1093361035396160 2433840462470620004 n
st.aftercigs 324396159 1093361035396160 2433840462470620004 n

st.aftercigs 324251152 1188970981745602 1036107897243876711 n
st.aftercigs 324251152 1188970981745602 1036107897243876711 n

st.aftercigs 324063654 495478079299590 8914003877273946382 n
st.aftercigs 324063654 495478079299590 8914003877273946382 n

st.aftercigs 323801362 476522161224051 1316968896815278729 n
st.aftercigs 323801362 476522161224051 1316968896815278729 n

st.aftercigs 322999820 489201646631499 5234261128770036296 n
st.aftercigs 322999820 489201646631499 5234261128770036296 n

st.aftercigs 322315593 1309485606502194 6575624391381305792 n
st.aftercigs 322315593 1309485606502194 6575624391381305792 n

st.aftercigs 321915106 1858615004493455 2193433624028756012 n
st.aftercigs 321915106 1858615004493455 2193433624028756012 n

st.aftercigs 321431172 2517419241729539 8694652960645006090 n
st.aftercigs 321431172 2517419241729539 8694652960645006090 n

st.aftercigs 321362840 1399191737281548 7422898996155001315 n
st.aftercigs 321362840 1399191737281548 7422898996155001315 n

st.aftercigs 321093598 981023092856656 1157427619576452026 n
st.aftercigs 321093598 981023092856656 1157427619576452026 n

st.aftercigs 320576632 149444957851244 1945382939234211796 n
st.aftercigs 320576632 149444957851244 1945382939234211796 n

st.aftercigs 320033722 847079823207839 686328077588218985 n
st.aftercigs 320033722 847079823207839 686328077588218985 n

st.aftercigs 319576865 484703283787068 5920769697401412908 n
st.aftercigs 319576865 484703283787068 5920769697401412908 n

st.aftercigs 319017736 1288501955330646 2064153331250516774 n
st.aftercigs 319017736 1288501955330646 2064153331250516774 n

st.aftercigs 318945862 817959202648406 2733618535498747504 n
st.aftercigs 318945862 817959202648406 2733618535498747504 n

st.aftercigs 318658856 197116759478992 1728329015353713005 n
st.aftercigs 318658856 197116759478992 1728329015353713005 n

st.aftercigs 317581475 487187193479878 2640930639346825054 n
st.aftercigs 317581475 487187193479878 2640930639346825054 n

st.aftercigs 317233841 1275478703018118 1075190629124168387 n
st.aftercigs 317233841 1275478703018118 1075190629124168387 n

st.aftercigs 316851646 881530016312895 6829075798402457156 n
st.aftercigs 316851646 881530016312895 6829075798402457156 n

st.aftercigs 316464843 455651496750578 4607795120096396839 n
st.aftercigs 316464843 455651496750578 4607795120096396839 n

st.aftercigs 315748860 3397093910562528 3767193727718838658 n
st.aftercigs 315748860 3397093910562528 3767193727718838658 n

st.aftercigs 315651559 1312335829590704 9036197101383951677 n
st.aftercigs 315651559 1312335829590704 9036197101383951677 n

st.aftercigs 315169067 667045138153582 809241922543704057 n
st.aftercigs 315169067 667045138153582 809241922543704057 n

st.aftercigs 315006807 712799706427143 1053043598212447133 n
st.aftercigs 315006807 712799706427143 1053043598212447133 n

st.aftercigs 314931418 539702891321707 4614182520855539160 n
st.aftercigs 314931418 539702891321707 4614182520855539160 n

st.aftercigs 314838321 462976725818808 2447629064478921982 n
st.aftercigs 314838321 462976725818808 2447629064478921982 n

st.aftercigs 314405364 679913473457889 4680235251650514324 n
st.aftercigs 314405364 679913473457889 4680235251650514324 n

st.aftercigs 313855340 845343506666258 5561304820952571611 n
st.aftercigs 313855340 845343506666258 5561304820952571611 n

st.aftercigs 313845350 959474558344366 5211609794034595898 n
st.aftercigs 313845350 959474558344366 5211609794034595898 n

st.aftercigs 313030872 874482237263837 4647471020022600583 n
st.aftercigs 313030872 874482237263837 4647471020022600583 n

st.aftercigs 312566247 782484019503004 8457927505515225928 n
st.aftercigs 312566247 782484019503004 8457927505515225928 n

st.aftercigs 312062126 1302560647163977 3766725780895898673 n
st.aftercigs 312062126 1302560647163977 3766725780895898673 n

st.aftercigs 311783994 646031000438608 2245926907081966513 n
st.aftercigs 311783994 646031000438608 2245926907081966513 n

st.aftercigs 311597396 1155083515426517 3799212911398713158 n
st.aftercigs 311597396 1155083515426517 3799212911398713158 n

st.aftercigs 311445464 5553064184771399 5601761213090309575 n
st.aftercigs 311445464 5553064184771399 5601761213090309575 n

st.aftercigs 311278264 119623187559809 2736660505560455951 n
st.aftercigs 311278264 119623187559809 2736660505560455951 n

st.aftercigs 311137651 134136249365279 1656859363366704773 n
st.aftercigs 311137651 134136249365279 1656859363366704773 n

st.aftercigs 310717260 1244245459641023 6646756878224667543 n
st.aftercigs 310717260 1244245459641023 6646756878224667543 n

st.aftercigs 310001574 111784545033239 7847973970163820234 n
st.aftercigs 310001574 111784545033239 7847973970163820234 n

st.aftercigs 308896233 651588909728694 588135794763099260 n
st.aftercigs 308896233 651588909728694 588135794763099260 n

st.aftercigs 308674434 653796576031464 5595320014653056021 n
st.aftercigs 308674434 653796576031464 5595320014653056021 n

st.aftercigs 308366317 206786075030343 6605415084716426753 n
st.aftercigs 308366317 206786075030343 6605415084716426753 n

st.aftercigs 308069893 171097372176231 1291220151343982285 n
st.aftercigs 308069893 171097372176231 1291220151343982285 n

st.aftercigs 307754016 1238975340256339 4580656368762373589 n
st.aftercigs 307754016 1238975340256339 4580656368762373589 n

st.aftercigs 306666246 6056750701006469 6686451606472729264 n
st.aftercigs 306666246 6056750701006469 6686451606472729264 n

st.aftercigs 306580054 427621869468520 4813371645265092330 n
st.aftercigs 306580054 427621869468520 4813371645265092330 n

st.aftercigs 306275325 398363955779380 4153061923570357164 n
st.aftercigs 306275325 398363955779380 4153061923570357164 n

st.aftercigs 305588066 1096220857955696 1757166333145894353 n
st.aftercigs 305588066 1096220857955696 1757166333145894353 n

st.aftercigs 305389632 748594099542960 5572154795611407320 n
st.aftercigs 305389632 748594099542960 5572154795611407320 n

st.aftercigs 305111995 190532623426054 4214691528003195515 n
st.aftercigs 305111995 190532623426054 4214691528003195515 n

st.aftercigs 302452830 468554255142082 5347564908745877126 n
st.aftercigs 302452830 468554255142082 5347564908745877126 n

st.aftercigs 301918316 1160605011478489 4165356843169133206 n
st.aftercigs 301918316 1160605011478489 4165356843169133206 n

st.aftercigs 301913423 629359161915449 928104562369399154 n
st.aftercigs 301913423 629359161915449 928104562369399154 n

Is THIS Really The Best Place To Live In The Country??

4 Thoroughly Depressing Confessions

[1] I have lung cancer and Stage 4 Non-Hodgkin Lymphoma

Non-smoker by the way, just lost the lottery, that’s all. Considering the damage found from early on during the initial diagnosis, I am not expected to live for too long. I don’t want to get into details in case some friends recognize this.

I’m roughly 27 years old. I’ve been trying out new things, I have had so much fun with new hobbies, instruments I’ve learned over the last year, and have developed a routine for the gym since high school. I didn’t manage to go into what I wanted, entering college, but I’m happy with the jobs I managed to pick up from connections. I’m very happy with what I’ve done so far.

But the one thing I’ve tried to do, and have failed at, is to find a girl who likes me. I don’t bring up what I have and my outlook, but as of dozens of approaches and some new friendships kindled, there has been no one attracted to me. Apart from my physique, I’m not physically attractive up in the face, to say the least. Been trying different things since high school, nothing has changed as of yet.

I don’t want a hookup, not that I have one so far, or a pity fuck since I don’t want to bring up my cancer as I don’t want it to be the reason or my identity, but honestly this is the one thing that keeps me up at night. I’m still waiting for someone and I likely won’t find this person.

My lungs are starting to deteriorate, I’ve started exhibiting symptoms of where things will start to go downhill from here on out. I’ve seen the specialists and it’s not looking so good. 2019 might be my last year.

I don’t know why I’m writing this. I have lots of friends who love me, parents that I’m blessed to have in my life, and have done things I’m proud of. But something as selfish as wanting someone to love me and be attracted to me, I can’t achieve that.

[2] My parents adopted my siblings and I just so they could rape and molest us.

I’ve never told anyone this before, ever, in my life, except for the legal authorities after it all came out. I guess this is the perfect place to finally do so, for the anonymity.

My parents are pedophiles. I’m not entirely sure how they met; us kids got a story growing up, but I don’t think it’s true, because how big of a coincidence is it that two people with the same awful and taboo fetish hooked up? They were always active as swingers, apparently, so maybe they met in the fetish world.

They decided to adopt kids together to sexually abuse, because it wouldn’t be as messed up considering we weren’t biologically their children. (I’m not saying that. That was their logic.)

They adopted my sister and I when I was 2 and she was a baby first, we had the same crack addicted bio mom who lost both of us to the state. When I was 4 and 7 they adopted my two brothers, and when I was 10 they adopted my youngest sister.

I know all of this because when I was 19 my dad bragged about all of this to the other couple they were swinging with, who I guess seemed like they were pedophiles as well. They weren’t, and turned my parents in to the police.

They are both in prison for life.

I don’t want to get into the gory details of everything, except that by the time I was ten I had lost my virginity to my dad and had basically done every sex act under the sun. I thought it was completely normal, and what all little girls did with their fathers. I was a daddy’s girl through and through.

My father molested my sisters as well, and my mother molested my brothers; everything was hetero. Looking back we were the stereotypically abused kids in school, way too knowledgeable about sex/sexually forward for our ages, and my brothers both got in trouble for playing with their own poop. My parents were never suspected, however, because of our traumatic beginnings as the kids of drug addicts.

By the time I was a teenager my dad pretty much lost interest in me because I was too grown up and was instead molesting my youngest sister. I basically had free reign to do whatever I wanted from the time I was 12.

I became hugely promiscuous with older boys at school, which ended with me getting pregnant at 15. The father was my first boyfriend who wasn’t one of the scummy guys at school, and his family had shown me that my home life was hugely abnormal.

I worried that if my baby was a girl it would be molested by my dad (at the time, I didn’t know my mom was abusing my brothers as well) and asked if I could move in with my boyfriend’s family. I used the story that my parents were angry about my pregnancy and kicking me out. As for my parents, they didn’t care much about me at that point and I suppose they guessed correctly that abusing their grandchild would not work as well since it was also attached to this very loving and functional family. They let me go.

In a way getting pregnant and moving out so young saved me. All of my younger siblings struggle with drug addiction in some shape or form, be it heroin, meth, alcohol, or multiple substances.

One of my brothers shows sociopathic tendencies likely due to the abuse. My youngest sister has been diagnosed with Reactive Attachment Disorder.

After my parents were arrested, they all went back into the foster care system, which did not help at all. I am 26 today and while I still have a lot of problems with depression and suicidal thoughts I feel like having my son to live for is the only way I have avoided being addicted to drugs. He is 11 now and a great kid.

The worst thing for me wasn’t the abuse, shockingly. I grew up with it and never felt victimized during it, though I would never EVER do what my mom did to my son. It was the fact that during their trial it came out that my parents never thought of us as their “real” kids. We were just adopted kids that they took in to use as their playthings. All the love they showed us was just for show. I think that is what will haunt me for the rest of my life.

[3] I’m HIV positive

Earlier this year I had a relationship with a guy I mistakenly trusted. I don’t want to get into it because it upsets me a lot, but the short and short of it is, he told me he was clean, he was actually HIV positive, and now I am too because I felt safe enough not to use a condom with him during our relationship together.

I found out because I got what I thought was the flu, but it hit me so, so hard. I went to the ER twice. The first time they gave me fluids and some meds for my massive headache and sent me home. The second time I had a fever of 103 and they didn’t have any beds and wouldn’t for hours so I said fuck it and decided I’d rather die/go braindead in the comfort of my own bed. Two and a half weeks later I was still having fevers over 101 and couldn’t get out of bed except to pee. A rash like chicken pox that didn’t itch covered my entire body including my palms and my liver enzymes went off the chart. A month later after more tests and head scratching by a team of doctors, they finally diagnosed me with syphillis (stage 3) and HIV.

I took it in stride and went to therapy, took my new meds, and now my viral load is undetectable. My CD4 levels are normal (that means my immune system is working normally). Honestly the treatment for the syphillis was the worst. Three rounds of huge shots of penicillin in my butt, one in each ass cheek each time. I would be so sore it would be hard to drive out of the parking lot.

Life is pretty much the same, all except for I can’t donate blood anymore and I had to unregister from the bone marrow registry. Also I avoid this man like it’s my job. I don’t shop at the grocery store he works at. I don’t go to the park he lives near. I do a double take every time I see an older guy dressed in black on a bike now. I tried reporting him to the police but there’s nothing they could do for me because my governor changed the laws recently to reduce prison crowding.

Few people close to me know I’m positive. I haven’t even told my dad even though he asked me point blank when I was really sick and I just lied even though he’s a doctor himself and would love me all the same. I just don’t want him to worry, or to have to be burdened with knowing someone hurt me giving it to me, or if I leave that part of the story out for him to think I was reckless with my own health. But not telling him weighs on me too.

[4] When they first told me that I had cancer I thought that I would make it.

I did make it for a while. Things went alright and I went into remission when I was 18.

Things looked good and I started college as a film student in a 4 year University but by the age of 21 it came back and had was progressing rapidly. I ended up quitting school because It didn’t seem like it was worth planning for a future that I wouldn’t have.

I’m 22 now and recently was given an estimate of 4-6 months. I’ve been trying to stay strong for my family, but I’m so fucking scared.

I’ll be 23 years old when I die. There were so many things that I wanted to do that I’ll never get the chance to do.

I feel like I should be trying to find some rhyme or reason, or rationalizing some sort of after life or a god, but I just want to stay in my room and play videogames.

I love my family but I am tired of being around them, I see that they are trying to stay strong but I feel like I have to comfort them. They are also extremely religious and have tried to use this to make me a believer.

I don’t want to comfort people, or explain what I feel to people who won’t get it, or be fucking preached to.

I pre-ordered Red Dead Redemption two and my current goal is to stay healthy enough to beat it. That’s the one good thing about dying, I don’t have to save my money or worry about my future. It my sound selfish but at this point I’m just trying to play as many videogames as possible and try not to think about any of it.

Times like this are rough though, it’s 5 in the morning and I’m too tired to do anything. So I have a lot of thoughts popping up in my mind that I want to avoid

Poisoned pet | I try to save New life cat was poisoned

What Does It Feel Like To Be A Hot Girl Who Gets Old?

 

I was very, very hot.

Now I’m 61.  I’m not hot.  I’ve had two babies.  I’ve been sleep deprived most of my life.  My hair is a mess (possible Asperger’s symptom).  I have never been married, legally.   Funny, because I used to wonder how all those girls around me were ever going to find husbands, looking like that.

In high school, someone started a rumor I was on the cover of Seventeen.  The freshman girls began to follow me around, giggling.  They were so excited.  This went on for months.  I could see them admiring me from across the cafeteria, or down the hall.  They’d stop, to worship.  At last, one nervously came up to ask me about it.  I told her: I was a model, but not in Seventeen.

If my parents had had their acts together, I could have been.  But they were dysfunctional people.

I was raised with the understanding that I was important because I was beautiful.  It was not just the most important thing.  It was the only important thing.

Being shy, I was never comfortable with “hot”.  Back then, I assumed it was normal to walk into a restaurant and everyone would stop eating.  I took it for granted this happens all the time.

Then it stopped.

 

At the same time, I was competitive — I needed to be the most beautiful woman in the room.  I wanted to crawl into a closet and escape if a more beautiful woman entered the same space.  I felt deprived.  I felt unappreciated.  I felt worthless.  I was nothing.

All based on my looks.

Men I did not know told me they were in love with me.  Once, when I was 18, during my short modeling career, I received fan mail from 1000 miles away — including a pro football player requesting for a date — asking for “pinups” and a letter.  It was unnerving.  I do not miss those weird communications.

Some men could not help themselves; they wrote me poems.  Their words were often beautiful.  But they didn’t know me at all.

Any conversation with the opposite sex took place on eggshells.  I prayed the chat would NOT end with a request for a date…. or an embrace.  I’d try to be nice.  But I knew it was coming.  In my head, at every smile, I’d plead:  Please don’t hate me when I turn you down.  I avoided the question.  I kindly rejected them.  I never, ever got good at that.

So they hated me.  They’d be angry, they’d resent me, they’d be embarrassed, they’d need to prove that was not good enough for them…  They turned rude and awful.

Needless to say, my looks and my desperate need not to upset men led to many a sexual harassment at work situation, which back then was not illegal.

I was fired from a magazine by a man I would not date.  I didn’t flat out refuse.  Trying to be diplomatic, I simply replied that we should “all” go out to lunch together.  He saw right through this.  I don’t miss that part of being hot one bit.  He went ballistic.  Like I said, today, it would be illegal.

I moved to Park Slope in the mid-80s.  On a hot summer day I put on a pair of shorts and walked down the street.  To my right, a pickup truck went flying past me.  Then came the screech of breaks, the zoom of an engine racing backwards, and it stopped.  I didn’t look.  But I could hear them.  One yelled:

“OH!  MY!  GOD!”

When the staring stopped, it was a relief in many ways.

I no longer had to give a damn about what I wore.  No one is scrutinizing me for imperfection.  When a beautiful woman has a pimple, no one stops discussing it.

AM imperfection.  I don’t have to prove to anyone anymore that I am more gorgeous than you.

I stopped wearing makeup — what is the point at 61?  I still look much younger than my age, but I haven’t looked 30 since I was 45.

It was easy to get younger men to work with me when I was “hot”.  It is now impossible.  I am great at what I do but getting a 20-something guy to work with me as a team is threatening — and frankly it creeps me out too, to call someone a “colleague” when I’m old enough to be their mother.  These young men are embarrassed to be seen talking to me now.  Needless to say, I eat lunch alone.  I am lonely.  Funny, that.

I remember sitting next to an otherwise lovable guy named Mark at a bar in Elaine’s in Manhattan ca. 1986.  At one point Mark asked me what I did for a living.  At the time I was a freelance writer.

“Yeah?” he said, stifling a guffaw.  “Whadya write?  Romance novels?”

When I was hot, I could get out of anything.   

I sailed through a red light once and at the top of the hill, a policeman was waiting for me.  In my most adorably angry way, I got out of the car, put my hands on my hips, glared at him, and squeaked:  You’re just picking on me because I have an old car!”

He pointed out I’d just gone through a completely red light.  I pointed out right back:  “Well, if I had known you were here would have stopped!”

Admission of guilt.

No license.  No registration.  No insurance.  These were all home on my kitchen table.

A crowd began to form.  The beautiful girl yelling at the cop. He reeled at them:  Whadyou lookin’ at!  Geddouda here!  Go!

In the back seat of the car was a New York Times, and a story with my byline.  This was what I used for identification — a newspaper with a byline.

I was telling the truth.  But how the hell would he know?  

He tried not to smile.  But he couldn’t help it.  Finally he laughed, said some warm and friendly things to me as he drove off.

I would never get away with that today.  

I told that story to coworkers once and was met with blank stares — disbelief.

It upsets me when people look at beautiful women and remark how stupid they are.  It’s a running joke.

Beauty = idiocy in this country.

I am smart, educated, refined, socially terribly awkward — and not an idiot.  I am not hot and people respect me.

I did not get that when I was gorgeous.  

I desperately wanted to be taken seriously.   It was hopeless.  No one could look like that and be heard except on paper.
So I write.

One time I arrived for a midtown New York press conference.  I was sent to the “43rd floor”, a modeling agency.  I was late for the p.c.

Of course I miss those days sometimes.

Days when men would hold the elevator for me and compete to pick up something I had just dropped.

When they would stare at me as we passed on the escalator and remark to a friend next to them, “Gooooood MORNing!

When a boss would have me go to a conference room to ask a wealthy client if he would like to order dinner, knowing the guy was not hungry, just to show me off?

When a female coworker would suddenly hate me because her would-be paramour said something flattering about the way I looked, compared to her?

Yah.  I do.  I am invisible.

I don’t have many good photos of myself.   I really can’t prove this at all.  Worse, my current boyfriend who still has no idea what I used to look like tells me:  “All women tell me they used to be beautiful“.So witnesses are all that’s left.  Hard to believe I used to walk down the street and people would want my autograph or that modeling agents would want to sign me or that two male coworkers would request desks facing mine “for the beautiful view”.

Today, unlike others, I feel close to beautiful women.

I have no resentment; I have no jealousy; I totally relate to them A-Z.  And I see what they go through, their struggle to navigate encounters with aggressive men in hot pursuit, the vicious rumors started by other women, the assumptions they are sluts if they are friendly, the belief they are morons.

The security department in the building where I work apparently had a picture of me posted on the wall, taken by a security camera.  I heard from a man I work with: “Do you know they’ve got a picture of you in the security office hanging on the wall down there?”  It had been there for years, apparently.  When I asked to see it, someone told me it had “just” been taken down.  Trust me, it’s a very old picture.  I would have liked a copy, but no one’s talking.

I tell my daughter, Be grateful you’re not beautiful.  Instead, be pretty.  You don’t know what you’re not missing.

There was a downside.  There was an upside.  I think I was lucky to have lived both.

– Anonymous

They did the SAME thing to get us into WW2 and WW1

Carne en Adobo (Beef in Tomato
and Pepper Sauce – Guatemala)

2023 02 13 15 16
2023 02 13 15 16

Ingredients

  • 1/4 cup vegetable oil
  • 1 medium onion, chopped
  • 3 cloves garlic, chopped
  • 2 red peppers, seeded and chopped
  • 3 pounds lean boneless beef chuck, cut into 1-inch cubes
  • 10 ounces canned tomatillos
  • 4 medium tomatoes, coarsely chopped
  • 1 bay leaf
  • 2 cloves
  • 1/2 teaspoon oregano
  • Salt and pepper
  • 1/2 cup beef stock
  • 2 stale flour tortillas

Instructions

  1. Heat oil in saucepan and sauté onion, garlic and pepper until onion is soft.
  2. Add meat and everything else except tortillas. Add more stock if needed so liquid barely covers meat. Cover, simmer gently 2 hours until beef is tender.
  3. Soak tortillas in water, squeeze them out and crumble. Add to casserole and simmer uncovered until sauce thickens.
  4. Serve with Arroz Guatemalteco.

DIO- Caught In The Middle- Don’t Talk To Strangers- Straight Through The Heart (Live 2005)

Classical social media imagery

Our leaders in Washington and the collective west in general are lost in their own sea of arrogance, ignorance and incompetence. They are also certifiably insane. They will escalate the world into oblivion.

JustAMaverick | Feb 8 2023 14:55 utc | 4

Crazy times. A little depressing. Sigh.

Check out the death throes of the United States.

From <redacted> …

Unz can find all the MSM stats he wants and maybe he doesn’t leave home, but Florence and I just lost a third good friend in the last year, all younger than us, all jabbed. That’s statistically abnormal.

Our Indian friend, 42 years old, just dropped dead from a heart attack. Fit, practicing Muslim, father of two children, whom we adopted as honorary niece and nephew starting 22 years ago.

He told me he was going to call me for chat and two weeks later, he’s gone.

Luckily, his wife will have the support of her family in India, but that is no compensation.

Interestingly, on French TV, they recently reported that there is an epidemic of heart attacks in India and they actually suggested it was being caused by the jabs.

-<redacted>

Bank of America “Preparing for U.S. Debt Default”

.

In a conversation with CNN, Bank of America CEO Brian Moynihan says the company is preparing for possible US sovereign debt default. The financial institution is the second largest bank in the country and is reportedly preparing for the worst regarding Congressional conflict over the nation’s debt ceiling.

Moynihan spoke about the Congressional debate, and specifically how that impacts corporate America. Subsequently, defaulting on the country’s debt remains a very real possibility that entities, like Bank of America, cannot disregard.

In the first few months of the new year, the National debt has reached unprecedented levels. Thus, that development has led to debates on both sides of the political aisle on whether or not the national debt ceiling should be increased before the summer months.

“We have to be prepared for that, not only in this country but in other countries around the world,” Moynihan told CNN. Additionally stating, “You hope it doesn’t happen, but hope is not a strategy – so you prepare for it.”

There is some expectation that President Joe Biden could address the debt ceiling debate during Tuesday’s State of the Union Address. Conversely, US Treasury Secretary Janet Yellen has already expressed a warning over the nation’s debt crisis. Noting the possible default as soon as June, if the ceiling is not raised.

The US government has so over-spent, for so many years, it does not have enough cash on hand to pay its debts AND fund ongoing operations.  If the debt ceiling is not raised by Congress, then the government would only have the cash on hand which comes in each month from things like Payroll taxes.   That __may__ be enough to keeps some parts of the government operating, but it would not be enough to pay off debt – or even interest on the debt.

.

https://youtu.be/cpGfyp6MxkM

China War Mobilization Law Begins March 1, 2023

.

The China Communist Party (CCP) has quietly passed its Reserve Military Service Law, which will take effect on 3/1/2023. Anyone who is 18 years or older is obliged to enlist after a national defense mobilization is announced.

The notice, instructs China citizens “After receiving the call-up notice, reservists must report to the designated place at the specified time in accordance with the requirements. After the state issued the mobilization order, the reserve personnel who have not received the call-up notice shall not leave the reserve registration place without the approval of the troops and the military service organs of the reserve registration place; if they have left, they shall return immediately or standby in the same place.”

Full text: http://www.npc.gov.cn/npc/c30834/202212/675bfdf572d1440d89e29080e7310b6f.shtml

Females need to serve too, except during pregnancy, maternity leave, and breastfeeding. The shortest serving time is 4 years.

Ordinary reservists can apply for discharge after reaching the age of 30. Officers need to serve until 45-60, depending on their ranks.

A brave cat

195
195

Hersh: “How America Took Out The Nord Stream Pipeline”

Updated below:

You all will want to discuss this …

Seymour Hersh:

How America Took Out The Nord Stream Pipeline
The New York Times called it a “mystery,” but the United States executed a covert sea operation that was kept secret—until now

The above was only just published. I am currently busy but will eventually read it and make up my mind.

Update: 13:50 UTC

I have now read the piece but I am someone in doubt over it. The story that Hersh tells seems incomplete and not researched as deeply as possible. I bet that his sources know more than that.

But it still fits with the tale I had constructed from open data a day after the pipeline was destroyed:

While the Baltops 22 maneuver already took place in June and July of this year the U.S. Sixth Fleet left the Baltic Sea only a few days ago (in German, my translation):

Big Fleet Group From U.S. Navy Passes [German island passage] FehmanbeltOn Wednesday morning the amphibious assault ship USS Kearsarge, escorted by the Landing Ships USS Arlington and USS Gunston Hall, was en route towards west. Previously, the ships were part of US units that took part in NATO maneuvers and called at numerous ports in Germany, Scandinavia and the Baltic States.

The “USS Kearsarge”, flagship of the association and largest warship of the US Navy, which was in action in the Baltic Sea in the last 30 years, has 40 helicopters and fighter planes as well as more than 2000 soldiers on board, the escort ships about 1000. For the around 4,000 soldiers are heading back home on the east coast of the US after their six-month deployment.

Parts of the Kearsange operations in the Baltic Sea were dedicated to test special sub sea mine destruction technologies:

A significant focus of BALTOPS every year is the demonstration of NATO mine hunting capabilities, and this year the U.S. Navy continues to use the exercise as an opportunity to test emerging technology, U.S. Naval Forces Europe-Africa Public Affairs said June 14.In support of BALTOPS, U.S. Navy 6th Fleet partnered with U.S. Navy research and warfare centers to bring the latest advancements in unmanned underwater vehicle mine hunting technology to the Baltic Sea to demonstrate the vehicle’s effectiveness in operational scenarios.

Experimentation was conducted off the coast of Bornholm, Denmark, with participants from Naval Information Warfare Center Pacific, Naval Undersea Warfare Center Newport, and Mine Warfare Readiness and Effectiveness Measuring all under the direction of U.S. 6th Fleet Task Force 68.

Off the coast of Bornholm, Denmark, is where the pipelines were hit. Just days ago the USS Kearsarge was in that area:

Back then I presented just the available fact and left the conclusion open for the reader to chose.

But it has since become more and more obvious that the U.S. was responsible for the enormous economic damage to Germany that its action has caused.

The gloating by Sec State Anthony Blinken and his deputy Victoria Nuland is just too too obvious. It is a”tremendous strategic opportunity for the years to come” said Blinken and Nuland, in a Congress hearing, was “very gratified to know that Nord Stream 2 is now, as you like to say, a hunk of metal.”

Most Germans, if not their pliant government, have drawn their conclusions from that.

Posted by b at 13:10 UTC | Comments (246)

Spinach and Ricotta Pizza Dough

This is a pretty-looking dough and a good way to get spinach into the kids. The spinach flavor is very mild and the color is a healthy green. A good pizza for a Christmas party could be made from this green crust with a bright red tomato filling.

4dd6e956ac395ecd17e69ed1390a2a8a
4dd6e956ac395ecd17e69ed1390a2a8a

Ingredients

  • 1 cup fresh spinach, leaves lightly steamed
  • 1 cup ricotta cheese
  • 1 egg
  • 4 1/2 cups all-purpose flour
  • 1 teaspoon salt
  • 1/4 cup (4 tablespoons) olive oil
  • 2 packages dry yeast
  • 2 teaspoons light brown sugar
  • 3/4 cup warm water

Instructions

  1. Dissolve the yeast in the warm water and stir in the light brown sugar. Set the yeast mixture aside for at least 5 minutes.
  2. Meanwhile, put the ricotta cheese and the spinach leaves in a blender and blend at high speed until you have a smooth, bright green mixture.
  3. Sift the flour into a bowl with the salt. Make a depression in the center of the flour and add 3 tablespoons of the oil, the egg, the spinach mixture and the yeast mixture.
  4. Put flour on the kneading board and place the dough mixture on the flour. Knead the mixture for 8 to 10 minutes until the dough is uniformly mixed and elastic. Add flour as you knead if necessary to keep the dough from becoming too sticky.
  5. When the dough is ready, place it in a clean bowl that has been brushed with oil.
  6. Brush the top of the dough with oil and place a clean cloth over the bowl.
  7. Put the bowl in a warm, draft-free place for 1 1/2 hours.

This recipe will make:

2 thin crust pizzas, 12-inches each
2 stuffed pizza pockets
1 thick crust pizza, 14-inch
6 (6-inch) pizzas

The Superb Retro-Inspired Illustrations by Alexey Kot

0 7 990x1238 1
0 7 990×1238 1

Poland-based illustrator Alexey Kot creates beautiful vintage-inspired artworks reminiscent of J. C. Leyendecker and Norman Rockwell’s work.

More: Instagram

242227087 552483829165450 1477049306514429599 n 990x1238 1
242227087 552483829165450 1477049306514429599 n 990×1238 1

167653287 283173323377503 8035754710771709726 n 990x1238 1
167653287 283173323377503 8035754710771709726 n 990×1238 1

118695805 658925774745276 7435782622051542846 n 990x1238 1
118695805 658925774745276 7435782622051542846 n 990×1238 1

91302149 1312287135623598 1620024099286026453 n 990x990 1
91302149 1312287135623598 1620024099286026453 n 990×990 1

80819708 167535501160793 6074355320115360195 n 990x990 1
80819708 167535501160793 6074355320115360195 n 990×990 1

80042595 200566277648808 3526361577062575987 n 990x990 1
80042595 200566277648808 3526361577062575987 n 990×990 1

79514775 159885578611609 5986459931336969345 n 990x990 1
79514775 159885578611609 5986459931336969345 n 990×990 1

78802145 465421094378240 669678269791483374 n 990x990 1
78802145 465421094378240 669678269791483374 n 990×990 1

74928167 545708162943331 4767032851916180077 n 990x1238 1
74928167 545708162943331 4767032851916180077 n 990×1238 1

73533226 709698019513922 1019768286949997518 n 990x1238 1
73533226 709698019513922 1019768286949997518 n 990×1238 1

73457381 1012025012488219 6213378998806180362 n 990x1238 1
73457381 1012025012488219 6213378998806180362 n 990×1238 1

62119695 513980329425718 567466598183307585 n 990x659 1
62119695 513980329425718 567466598183307585 n 990×659 1

56178992 2151480151640253 3920670059629206889 n 990x1238 1
56178992 2151480151640253 3920670059629206889 n 990×1238 1

54215143 2328484044095809 8233185881896448539 n 990x743 1
54215143 2328484044095809 8233185881896448539 n 990×743 1

52901937 375724896343221 7191458253256346286 n 990x611 1
52901937 375724896343221 7191458253256346286 n 990×611 1

46938895 153176642325880 7342931075805839396 n
46938895 153176642325880 7342931075805839396 n

43913897 2776739095685424 8902170765913342333 n
43913897 2776739095685424 8902170765913342333 n

39578058 2058518787791911 1071563730120081408 n
39578058 2058518787791911 1071563730120081408 n

37729352 528993957520731 7630480679515455488 n
37729352 528993957520731 7630480679515455488 n

34210631 349499722242929 8261928764238200832 n
34210631 349499722242929 8261928764238200832 n

30933706 981929678653823 4666867083936530432 n
30933706 981929678653823 4666867083936530432 n

28763826 2107764256176955 5323813384473280512 n
28763826 2107764256176955 5323813384473280512 n

27575628 174272529879734 2255240477626335232 n
27575628 174272529879734 2255240477626335232 n

25014380 231519744054251 9058256644839833600 n
25014380 231519744054251 9058256644839833600 n

25012963 770879876452815 2980572115033391104 n
25012963 770879876452815 2980572115033391104 n

21041465 126222758011379 2209857606908903424 n 990x990 1
21041465 126222758011379 2209857606908903424 n 990×990 1

18889286 315583448876663 6490167618341699584 n 990x562 1
18889286 315583448876663 6490167618341699584 n 990×562 1

16584868 246736132396596 9186567749130780672 n 990x990 1
16584868 246736132396596 9186567749130780672 n 990×990 1

16230815 1242022139167126 5186287045596676096 n 990x990 1
16230815 1242022139167126 5186287045596676096 n 990×990 1

14566633 1020804581399130 8694466249079390208 n 990x990 1
14566633 1020804581399130 8694466249079390208 n 990×990 1

14565104 1176249292422453 5852110141591126016 n 990x990 1
14565104 1176249292422453 5852110141591126016 n 990×990 1

14350396 693653830792081 1619604157 n 990x990 1
14350396 693653830792081 1619604157 n 990×990 1

13388489 584781538363528 1360936149 n 990x990 1
13388489 584781538363528 1360936149 n 990×990 1

13266696 637685566379110 447200194 n 990x990 1
13266696 637685566379110 447200194 n 990×990 1

12627888 1076805582340353 494991722 n 990x743 1
12627888 1076805582340353 494991722 n 990×743 1

12558321 188890008135330 370712432 n 990x990 1
12558321 188890008135330 370712432 n 990×990 1

12501605 1707276379552066 1555827148 n 990x990 1
12501605 1707276379552066 1555827148 n 990×990 1

12328499 589687837860634 1960102357 n 990x990 1
12328499 589687837860634 1960102357 n 990×990 1

11910192 1604788126468526 1752989668 n
11910192 1604788126468526 1752989668 n

11850173 1153936357955924 1428194607 n
11850173 1153936357955924 1428194607 n

11428694 718427504967891 645403699 n
11428694 718427504967891 645403699 n

11311113 128237310852301 2129596780 n
11311113 128237310852301 2129596780 n

Pizza Sauce

2023 02 01 18 27
2023 02 01 18 27

Ingredients

  • 1 1/2 tablespoons extra virgin olive oil
  • 2 teaspoons minced garlic
  • 3 tablespoons tomato paste
  • 1 (15 ounce) can crushed tomatoes
  • 1 teaspoon dried basil
  • 1 1/2 teaspoons dried oregano
  • Salt
  • Freshly ground black pepper

Instructions

  1. Heat olive oil in a medium saucepan over medium heat.
  2. Add garlic and saute for 10 seconds, then add tomato paste and stir.
  3. Cook and stir for 1 minute, spreading paste on bottom of pan and stirring while cooking.
  4. Remove from heat, stir in crushed tomatoes and oregano and season with salt and pepper to taste.
  5. Spread over pizza dough or store in the refrigerator for up to 5 days or freeze up to 3 months.
  6. Store in the refrigerator for up to 5 days or freeze for up to 3 months.

Yield: almost 2 cups

BEDAZZLED Clip – “Drug Lord” (2000) Brendan Fraser

The United States, Norway and NATO have Committed an Act of War Against Russia

.

During the final minutes of my radio show last night, I told my audience I wish I didn’t know some of the things I know.  Now, one of those things has become public: It was the United States that bombed Russia’s Nord Stream 1 & 2 Pipelines in the Baltic Sea.  Norway participated by flying-in a sonar buoy which gave off the Detonation Signal.  NATO is an accessory, by willfully using BALTOPS 22 “Exercise” as a cover to plant the bombs.  War is now likely.

In an article written by long-time, world-renowned Investigative Journalist, Seymour M. Hersh, the truth has now come out.

 

First, from Wikipedia:

Seymour Myron “Sy” Hersh (born April 8, 1937) is an 85 year old American investigative journalist, and political writer.

Hersh first gained recognition in 1969 for exposing the My Lai Massacre and its cover-up during the Vietnam War, for which he received the 1970 Pulitzer Prize for International Reporting. During the 1970s, Hersh covered the Watergate scandal for The New York Times and revealed the clandestine bombing of Cambodia. In 2004, he reported on the U.S. military’s mistreatment of detainees at Abu Ghraib prison. He has also won two National Magazine Awards and five George Polk Awards. In 2004, he received the George Orwell Award.

Hersh accused the Obama administration of lying about the events surrounding the death of Osama bin Laden and disputed the claim that the Assad regime used chemical weapons on civilians in the Syrian Civil War. Both assertions have stirred controversy.

In his “substack” web site, published about nine hours ago (about 7:00 AM eastern US time today) Hirsh published a story entitled “How America Took Out The Nord Stream Pipeline”  with the sub-title being “The New York Times called it a “mystery,” but the United States executed a covert sea operation that was kept secret—until now.”   (Direct Link to Story)

It’s a long read, but worth it.

In the story, Hirsh reveals that the Operation was planned for nine months.  It was planned in the top floor of the Old Executive Office Building, on the grounds of the White House.  The biggest concern: How to leave no evidence tying it to the United States.

The story reports that the explosives were placed during the annual NATO Naval Exercise “Baltic Operations 22”  (BALTOPS 22) by US Navy Divers.  The NATO exercise was used as a “cover” for the explosives to be planted.

It also reveals that months later, after receiving the “Go” signal from CIA Director Burns, a plane from Norway’s military dropped a special sonar buoy into the Baltic Sea which gave-off the Detonation signal, triggering the explosives and destroying the pipeline.

Ladies and Gentlemen, make no mistake: This was a crime.   It was a criminal conspiracy to commit a bombing, and later, the actual commission of that bombing.  It seems to me, as a layman, the people who engaged in this are personally guilty of federal Felonies.

The planning for this crime was so thorough, they even chose the use of a specific US Navy undersea dive team which would NOT trigger any reporting to the US Congress about its activities!

Now, I could go paragraph by paragraph to report and analyze Seymour Hersh article, but it is much better if you go read it yourselves.

Very long story short: The President of the United States ordered his staff to come up with a way to “deal with” the Nord Stream Pipeline.  They did.  It got blown up.  This was a crime.  It is also, an Act of War against Russia.

I suspect the Russians are absorbing and analyzing Hersh’s article.  As Russians are well known  to do, they will think about this for a good while.  Then, they will take well-thought-out and thought-through action.

We have committed an Act of War.  Russia now has a Casus Belli – a cause for just war.

I suspect that Russia may publicly demand President Biden be Impeached and criminally prosecuted, along with the other Conspirators.  I also suspect that Russia may add, if Biden is not impeached and prosecuted, and the Conspirators not prosecuted, then it will be war.

Knowing that the US Government will never agree to impeach its apparently now-criminal President, nor hold accountable the other Conspirators, I also suspect war is coming — a lot faster than any of us think, and a lot worse than any of us know.

 

UPDATE:

The Biden regime said an article by Pulitzer Prize-winning investigative journalist Seymour Hersh claiming the United States was behind the explosions of the Nord Stream gas pipelines was “utterly false and complete fiction.”

“This is utterly false and complete fiction,” said Adrienne Watson, a spokesperson for the White House National Security Council.

Spokespeople for the CIA and State Department said the same thing, according to Reuters.

  • At this point, insanity is beyond belief, and stupidity has consequences!
  • The military didn’t clap. That says it all.
  • Each day is more shocking than the last.
  • I will strongly suggest to change our leadership quickly before we sink.
    • We sinkin
    • To late
    • too late , point of no return has been reached, asta la vista baby
  • People believe China will have a hard time invading Taiwan because there is a 100 mile stretch of water between China and Taiwan, but the US will have no issue with the thousands of miles required to move weapons and ships to the China theatre. LOL.

Jerry Finds Out Elaine Faked It

Celebrities Recreated As If They Were In Classical Paintings By Kyès

1 3
1 3

Art from the past comes back into our lives once again. The internet fell in love with classical paintings that were turned into memes, therefore, we are sure you will be interested to see what this artist did.

Kyès (previously featured) is an expert in using the style of French 17th-18th century paintings to reimagine what today’s celebrities would look like if they lived back in the day. His first works portrayed French rappers, but later on, he included other celebrities. These paintings are made digitally using computer programs, but the results seem to look just like real paintings from the past.

2 3
2 3

Kyès’ first shared digital painting was of Charles Baudelaire, a French poet, portrayed as a joker. The artist seems to give attention to French artists and celebrities first, but over time has incorporated other well-known people such as Rihanna, Beyonce, Kanye West, and others as well.

3 3
3 3

Kyès uses Photoshop to recreate old classical paintings into ones with celebrities that are famous today. Such an approach makes us look up original paintings that let us revive our memories of old art. We noticed that Kyès usually uses paintings from the 16th to 18th century as a base. The art style varies from the High Renaissance to Rococo and Neoclassicism.

4 3
4 3

Most Renaissance artwork is thought to be made between 1300 and 1600. After that, all the art is classified as High Renaissance. The difference between these two is that while earlier Renaissance artists would emphasize a work’s perspective or technical aspects, High Renaissance artists were willing to forego technical principles to create a more beautiful, harmonious whole.

14 2
14 2

13 3
13 3

12 3
12 3

11 3
11 3

10 3
10 3

9 3
9 3

8 3
8 3

7 3
7 3

6 3
6 3

25 1
25 1

24 1
24 1

23 1
23 1

22 1
22 1

21 1
21 1

20 1
20 1

19 1
19 1

17 2
17 2

16 2
16 2

15 2
15 2

30
30

29
29

28
28

27 1
27 1

26 1
26 1

5 3
5 3

BREAKING NEWS: Video Proof Ukraine Using Chemical Weapons Against Russian Troops

.

WARNING. GRAPHIC VIDEO BELOW. VIEWER DISCRETION STRONGLY ADVISED. Video has been put out by the Ukrainian Armed Forces laughing about their use of Chemical Weapons to kill Russian Troops. The video, complete with happy, carnival-type music, appears below.

The video, shot from the drone which drops the chemical weapon, shows two separate cartridges being dropped on Russian troops who have taken cover in a small creek or stream.

The first cartridge has limited effect.  The second cartridge, with a blue end cover,  is utterly horrifying.

The soldiers go into spasms and convulsions, with balled-fists, and flailing legs.

As the chemicals saturate them, the soldiers clearly cannot control their body movement, and end-up failing to keep their heads above water, presumably because they are neurologically impaired from the attack, and their brain cannot think to keep their heads out of the water.  Both soldiers die within minutes.

The Geneva Protocol

The 1925 Protocol for the Prohibition of the Use of Asphyxiating, Poisonous or Other Gases, and of Bacteriological Methods of Warfare, commonly known as the 1925 Geneva Protocol, bans the use of chemical and bacteriological (biological) weapons in war.

Based upon this video, it appears Ukraine is now using such banned weapons, and boasting about what they’re doing by releasing videos with happy music.

This is the single most disgusting display of inhuman cruelty I have so far seen from the battlefields of Ukraine.

WARNING: This video is extremely graphic.  Viewer discretion is advised.

HERE

.

Easiest Homemade Pizza Dough

2023 02 01 18 23
2023 02 01 18 23

Ingredients

  • 1 cup plain Greek yogurt
  • 1 to 1 1/2 cups self-rising flour, divided

Instructions

  1. Combine yogurt and 1 cup flour in the bowl of an electric stand mixer. Mix until combined, scraping down the bowl as necessary until combined.
  2. Knead on medium high for 5 minutes.
  3. Slowly add additional flour as necessary to help dough come together. Depending on how thick your yogurt is, you may need up to an extra 1/2 cup of flour.
  4. Dust clean counter top with flour and remove dough from bowl. Knead a few turns until dough is tacky, but not sticky. Roll out and add toppings as desired.
  5. Bake in a preheated 450 degrees F oven for 10-12 minutes.

Yield: 2 medium pizza crusts or one extra large pizza crust

China Rejects “Shoot First, Talk Later” Attitude

Here is demonstration of typically childish-arrogant behavior of the U.S. government towards foreign countries.

China Isn’t Ready to Pick Up Phone After Balloon Incident
Chinese officials rejected a request from the U.S. defense secretary to speak with his counterpart after an American fighter jet shot down a Chinese spy balloon.

The Pentagon said on Tuesday that China had rejected a request from Defense Secretary Lloyd J. Austin III to speak with his Chinese counterpart on Saturday soon after an American fighter jet shot down a Chinese spy balloon off the coast of South Carolina.

That statement by the Pentagon immediately raises a question. Why hadn’t the U.S. defense secretary called the Chinese defense minister before shooting down the Chinese weather ballon?

The U.S. apparently detected the balloon on January 29 when it was over the Aleutian Islands. Austin could have called his Chinese counterpart anytime in the seven days between that detection and the time the decision was taken to shot it down:

“We believe in the importance of maintaining open lines of communication between the United States and the P.R.C. in order to responsibly manage the relationship,” Brig. Gen. Patrick S. Ryder, the Pentagon press secretary, said in an emailed statement, referring to the People’s Republic of China. “Lines between our militaries are particularly important in moments like this.”It was not to be. “Unfortunately,” General Ryder said, “the P.R.C. has declined our request” to arrange a call with Wei Fenghe, the Chinese defense minister.

The purpose of high level lines of communication between military and political leaders is to prevent that crises happen or, if one has happen incidentally, to prevent their escalation.

Before the shot down the Chinese defense minister Wei Fenghe likely would have taken that call. But the U.S. decided to shoot first and to talk later. That was and is inappropriate.

On January 29 the Chinese weather balloon was drifting westward over Alaska and Canada. There was no expectation that it would cross into the United States. But an unusual low pressure formation over east Canada eventually caused that. Low pressure areas in the northern hemisphere turn counter-clockwise. High pressure areas turn clockwise. The unusually strong low pressure zone over east Canada pushed arctic air masses south through Canada and then south west to west to New England. This phenomenon, on February 1 and 2, caused a cold snap in east Canada and the northeast of the U.S.. But the wind also caused the 200 feet high balloon to turn south.

 

bal3
bal3

bigger

Picture the coldest Canadian night imaginable. What did you think of it? Clear skies? Calm winds? A deep snowpack? This cold snap had none of these ingredients align because this type of cold is different. Meet advection cold.The cold air wasn’t developed on location. The imported cold was fed south by a strong low and the trajectory of the polar vortex. The polar vortex was swirling near Hudson Bay and was slingshotted south by favourable atmospheric dynamics.

The cold air wrapped around a developing low, lifting across Labrador. Not just any cold air, either — the stratospheric polar vortex mixed down in what’s known as a tropopause fold and occurs near the core of a jet stream.

A wind and pressure map from February 3 shows the then already waning low pressure area in the upper right. The red arrow shows the balloon’s course.

 

bal5
bal5

biggerBoth the cold snap and the balloon’s turn were surprising. The jet stream would usually have prevent both from happening. But this time the low pressure area proved to be stronger if only for a short moment. It is the reason why the balloon ended up crossing the U.S.

 

bal4
bal4

Source: WikipediabiggerThere was no way the balloon could have been steered against the prevailing wind. Here is a CBS meteorologist, who had used NOAA software to predicted the course of the ballon, confirming that fact:

Ed Russo @EdRussoWX – 11:37 UTC · Feb 4, 2023Replying to @soonersfan2022
The balloon is flying at the height of the jet stream. The jet stream winds will steer the balloon… even a simple rudder won’t be a match for the 200+ mph upper level winds.

By no means could China have planned the balloon’s course. Any allegations that the balloon was being ‘steered’ and intentionally crossed U.S. missile fields and military bases to ‘spy’ on those are thereby bogus. China has some 300 satellites in the earth’s orbit. It does not need balloons to take aerial pictures of static missile silos in the mid-west U.S.

The NYT also writes:

China has insisted that the electronics-laden machine was simply a weather balloon that had drifted off course.

The balloon debris has not been recovered yet. Based on what fact is the NYT then claiming that it the balloon was an ‘electronics-laden machine’?

China is not happy that the Biden administration is hyperventilating over the incident. But it will keep its calm:

Different political forces within the US, including President Joe Biden and the Republican Party, are still hyping up the incident of a Chinese civilian unmanned airship in the US media in order to gain political interests ahead of the annual State of the Union address, rather than making efforts to cool down the matter.Chinese experts said on Tuesday that it shows that the chaotic, messy and sick political situation in Washington means that China-US tensions are unlikely to ease in the near future. It also proves that the Biden administration is incapable of setting so-called guardrails for bilateral ties under the complex situation within the US.

China will keep calm and observe what the US does next, and whether Biden creates conditions for engagement or Washington allows bilateral ties to keep worsening, China is ready to handle any possible moves by the US, experts noted.

Austin’s attempts to call his Chinese counterpart AFTER the shit happened is seen as an attempt to additionally insult the Chinese government.

Austin will not be given a chance to do that.

 

Posted by b on February 8, 2023 at 14:34 UTC | Permalink

Big News regarding China and Russia

Yessur!

Summary

China released a Global Security Initiative (GSI) Concept Paper on Tuesday, fully elaborating a common, comprehensive, cooperative and sustainable security concept and providing China’s wisdom in tackling growing risks and challenges in traditional and non-traditional security areas.

Chinese diplomats and experts hailed the release of the document as timely, necessary and targeted, saying that it provides a detailed blueprint in tackling global and regional hotspot issues in an effective way, especially further clarifying China’s peace-promoting position on the Ukraine crisis.

In a forum held at the Chinese Foreign Ministry on Tuesday morning, Chinese Foreign Minister Qin Gang unveiled the concept paper and told the audience that the document underscores China’s responsibilities and determination in safeguarding world peace.

“‘What kind of security concept the world needs and how countries achieve common security?’ has become a topic of our days,” Qin said.

Chinese President Xi Jinping put forward the GSI at the opening ceremony of the Boao Forum for Asia Annual Conference 2022 held in Hainan Province in April 2022.

The concept is now welcomed and supported by more than 80 countries and regions, the Chinese foreign minister said.

Ambassadors, diplomats and representatives from 137 countries and international organizations attended the forum on Tuesday. Some diplomats told the Global Times during the event that they welcomed China-proposed security initiative, saying that it would be important to solve disputes through dialogue rather than bloc confrontation or hegemony.

During his speech, Qin noted that China’s development is impossible without a safe international environment. Similarly, without China’s security, there will be no global security.

“President Xi first proposed a new vision for security, which goes beyond traditional security ideas and power politics in the West,” Xu Bu, president of the China Institute of International Studies and secretary-general of the Xi Jinping Thought on Diplomacy Studies Center, told the Global Times on Tuesday.

It transcends the long-term practice of Western countries of building their own security on the basis of jeopardizing the security of other countries. When times are so turbulent, “we need a correct vision as guidance,” Xu said.

As the world is approaching the one-year anniversary of the Ukraine crisis this week, the US and some Western countries have been continuing to fan the flames and escalate the tension by sending more weapons to Ukraine, and making political gestures like the surprise visit by US President Joe Biden to Kiev on Monday.

“China is deeply concerned about the escalating conflict and potential for situation to spiral out of control,” Qin said, commenting on the Ukraine crisis during the forum. China will continue to promote peace talks, provide Chinese wisdom for a comprehensive solution to the Ukraine issue, and work with the international community to promote dialogue and consultation to address the concerns of all parties to seek common security, he said.

The Chinese foreign minister urged relevant countries to immediately stop adding fuel to the fire on the matter, stop blaming China and stop provoking the situation by using references like “Ukraine today, Taiwan tomorrow.”

Clear blueprint

Some Western media outlets, citing experts, claimed that the concept paper “continued Beijing’s ambiguity over the Ukraine crisis,” suggesting that it appears to be largely a strategic messaging exercise rather than a fully thought-out strategy.

“In fact, we have a very clear position on the Ukraine issue. This document points out some basic ideas on solving the crisis in a much clearer way,” Fu Cong, head of the Chinese Mission to the EU, told the Global Times in an exclusive interview on Tuesday.

China will also put forward a more comprehensive and authoritative position document in the next few days in terms of a political settlement of the Ukraine issue including some basic ideas such as respecting territorial integrity and sovereignty, respecting the UN Charter and resolving disputes through peaceful means, Fu said.

“One country’s security cannot be built on the non-security of another country. All the security concerns of relevant countries should be respected… those basic ideas have been included in the paper, which should play a leading role in preventing further escalation and in seeking peaceful solutions,” the Chinese envoy said.

The concept paper provides an important path to further implement the GSI over 20 key cooperation areas and six cooperation platforms, which could be actionable measures, Wang Dong, an expert on China-US relations at Peking University, told the Global Times on Tuesday.

“Although Qin did not mention the US by name in the speech, he urged the US not to fuel the conflict, stop interfering in China’s internal affairs and stop comparing the Ukraine crisis with the Taiwan question, to which we are firmly opposed to,” Wang from Peking University said, noting that China’s position is always clear as it always stands on the side of peace, de-escalation and advancing peace talks.

“The document was unveiled in order to fully elaborate our position – that is supporting a UN-centered multilateralism when some Western countries keep blaming us for being pro-Russia,” Wang Yiwei, director of the Institute of International Affairs at the Renmin University of China, told the Global Times on Tuesday.

Some Chinese experts believe that China holds the most objective and neutral stance on the Russia-Ukraine conflict as it is taking a very fair attitude toward both sides. In contrast, the US – part of the root cause of the conflict – raised doubts over China’s position as Washington views it from its own interests and perspective.

“Under the GSI concepts and ideas, when it comes to the Ukraine crisis, the future security of Europe can’t exclude Russia,” Wang said. As now some forces like the US hope to bring down Russia and exclude the country from Europe in security and energy, that is unrealistic, Wang Yiwei noted.

New type of foreign relations

During the forum, the Chinese Foreign Minister emphasized that security is the right of all countries in the world, which is not held exclusively by some countries nor should it be decided by certain countries. “We welcome any country who is willing to join the GSI and support world peace and development,” Qin said.

The document highlights the positive interaction between major countries and the special responsibilities that a major country needs to shoulder in safeguarding global peace. Security and development are complimentary to building a new type of international relations, which is underscored in China-proposed ideas, Chinese experts said.

In terms of cooperation mechanisms, the paper supports multilateral platforms, for example, on establishing a new security framework in the Middle East, it supports the League of Arab States and other regional organizations in playing a constructive role, while in resolving regional conflicts, fighting terrorism and safeguarding maritime security, it supports the efforts of African countries, the AU and sub-regional organizations’ efforts.

“We are firmly opposed to hegemony and power politics in all forms, the Cold War mentality and bloc confrontation,” Qin told the forum.

Some Western media also highlighted that although the GSI paper mentioned some multilateral mechanisms but it did not mention the organizations like NATO.

Against the backdrop of the US-China rivalry, the China-proposed security vision clearly targets US-led bloc confrontation which desires to expand NATO, including into Asian Pacific, that only creates enemies or restructure supply chains in the name of security, creating more confrontation, Wang noted. “In contrast, China is pushing forward a new type of international relations that are inclusive and balanced, tackling challenges together and achieve the security for all.”

China has had enough.

mmexport1677043572682
mmexport1677043572682

Introduction

Since becoming the world's most powerful country after the two world wars and the Cold War, the United States has acted more boldly to interfere in the internal affairs of other countries, pursue, maintain and abuse hegemony, advance subversion and infiltration, and willfully wage wars, bringing harm to the international community.

The United States has developed a hegemonic playbook to stage "color revolutions," instigate regional disputes, and even directly launch wars under the guise of promoting democracy, freedom and human rights. Clinging to the Cold War mentality, the United States has ramped up bloc politics and stoked conflict and confrontation. It has overstretched the concept of national security, abused export controls and forced unilateral sanctions upon others. It has taken a selective approach to international law and rules, utilizing or discarding them as it sees fit, and has sought to impose rules that serve its own interests in the name of upholding a "rules-based international order."

This report, by presenting the relevant facts, seeks to expose the U.S. abuse of hegemony in the political, military, economic, financial, technological and cultural fields, and to draw greater international attention to the perils of the U.S. practices to world peace and stability and the well-being of all peoples.

My video on this subject:

And Gonzalo Lira on this subject;

As Gonzalo Lira understood perfectly (to my utter amazement), the short Chinese document is in the traditional form (with contemporary words) the Chinese Sovereigns used in the past (near past, remote past, very remote past) before going to war as an axio-epistemo-political proclamation justifying "a holy war", meaning for the Chinese mindset a war ordained by God restoring Justice & Harmony in a troubled Universe before striking mercilessly at the entity judged as troubling the Harmony of the Universe.

Of course, the Chinese don't say God but Beauty-Goodness-Truth as an Unity is God's name for the Chinese. The Timeless Heavenly Universal Principles. If you need one word in Chinese closest to God, it's 道 (Dao) meaning the Way. By metonymic transfert, the Way to Beauty-Goodness-Truth represents symbolically Beauty-Goodness-Truth or God in the Western lexicon.

As Gonzalo Lira said, it's "a prosecution case". He used a straightforward expression equivalent to mine : an axio-epistemo-political proclamation explaining the legitimacy of "a holy war".

From the Duran…

The Duran observations…

China’s “white paper” should be read and shared widely…

 

Stewardess Skill Training In China

In regards to all the “news” in the world; and the role that the United States plays…

I am a US citizen. I am beginning to understand what things might have looked like at the end of the Roman Empire.

Ha. Ha. Great quote. Accurate. Love it.

Read this article… it is what is REALLY going on behind the scenes right now.

A panicked Empire tries to make Russia an ‘offer it can’t refuse’

By Pepe Escobar, originally posted at The Cradle, reposted with the author’s permission

Realizing NATO’s war with Russia will likely end unfavorably, the US is test-driving an exit offer. But why should Moscow take indirect proposals seriously, especially on the eve of its new military advance and while it is in the winning seat?

Those behind the Throne are never more dangerous than when they have their backs against the wall.

Their power is slipping away, fast: Militarily, via NATO’s progressive humiliation in Ukraine; Financially, sooner rather than later, most of the Global South will want nothing to do with the currency of a bankrupt rogue giant; Politically, the global majority is taking decisive steps to stop obeying a rapacious, discredited, de facto minority.

So now those behind the Throne are plotting to at least try to stall the incoming disaster on the military front.

As confirmed by a high-level US establishment source, a new directive on NATO vs. Russia in Ukraine was relayed to US Secretary of State Antony Blinken. Blinken, in terms of actual power, is nothing but a messenger boy for the Straussian neocons and neoliberals who actually run US foreign policy.

The secretary of state was instructed to relay the new directive – a sort of message to the Kremlin – via mainstream print media, which was promptly published by the Washington Post.

In the elite US mainstream media division of labor, the New York Times is very close to the State Department. and the Washington Post to the CIA. In this case though the directive was too important, and needed to be relayed by the paper of record in the imperial capital. It was published as an Op-Ed (behind paywall).

The novelty here is that for the first time since the start of Russia’s February 2022 Special Military Operation (SMO) in Ukraine, the Americans are actually proposing a variation of the “offer you can’t refuse” classic, including some concessions which may satisfy Russia’s security imperatives.

Crucially, the US offer totally bypasses Kiev, once again certifying that this is a war against Russia conducted by Empire and its NATO minions – with the Ukrainians as mere expandable proxies.

‘Please don’t go on the offensive’

The Washington Post’s old school Moscow-based correspondent John Helmer has provided an important service, offering the full text of Blinken’s offer, of course extensively edited to include fantasist notions such as “US weapons help pulverize Putin’s invasion force” and a cringe-worthy explanation: “In other words, Russia should not be ready to rest, regroup and attack.”

The message from Washington may, at first glance, give the impression that the US would admit Russian control over Crimea, Donbass, Zaporozhye, and Kherson – “the land bridge that connects Crimea and Russia” – as a fait accompli.

Ukraine would have a demilitarized status, and the deployment of HIMARS missiles and Leopard and Abrams tanks would be confined to western Ukraine, kept as a “deterrent against further Russian attacks.”

What may have been offered, in quite hazy terms, is in fact a partition of Ukraine, demilitarized zone included, in exchange for the Russian General Staff cancelling its yet-unknown 2023 offensive, which may be as devastating as cutting off Kiev’s access to the Black Sea and/or cutting off the supply of NATO weapons across the Polish border.

The US offer defines itself as the path towards a “just and durable peace that upholds Ukraine’s territorial integrity.” Well, not really. It just won’t be a rump Ukraine, and Kiev might even retain those western lands that Poland is dying to gobble up.

The possibility of a direct Washington-Moscow deal on “an eventual postwar military balance” is also evoked, including no Ukraine membership of NATO. As for Ukraine itself, the Americans seem to believe it will be a “strong, non-corrupt economy with membership in the European Union.”

Whatever remains of value in Ukraine has already been swallowed not only by its monumentally corrupt oligarchy, but most of all, investors and speculators of the BlackRock variety. Assorted corporate vultures simply cannot afford to lose Ukraine’s grain export ports, as well as the trade deal terms agreed with the EU before the war. And they’re terrified that the Russian offensive may capture Odessa, the major seaport and transportation hub on the Black Sea – which would leave Ukraine landlocked.

There’s no evidence whatsoever that Russian President Vladimir Putin, and the entire Russian Security Council – including its Secretary Nikolai Patrushev and Deputy Chairman Dmitry Medvedev – have reason to believe anything coming from the US establishment, especially via mere minions such as Blinken and the Washington Post. After all the stavka – a moniker for the high command of the Russian armed forces – regard the Americans as “non-agreement capable,” even when an offer is in writing.

This walks and talks like a desperate US gambit to stall and present some carrots to Moscow in the hope of delaying or even cancelling the planned offensive of the next few months.

Even old school, dissident Washington operatives – not beholden to the Straussian neocon galaxy – bet that the gambit will be a nothing burger: in classic “strategic ambiguity” mode, the Russians will continue on their stated drive of demilitarization, denazification and de-electrification, and will “stop” anytime and anywhere they see fit east of the Dnieper. Or beyond.

What the Deep State really wants

Washington’s ambitions in this essentially NATO vs. Russia war go well beyond Ukraine. And we’re not even talking about preventing a Russia-China-Germany Eurasian union or a peer competitor nightmare; let’s stick with prosaic issues on the Ukrainian battleground.

The key “recommendations” – military, economic, political, diplomatic – were detailed in an Atlantic Council strategy paper late last year.

And in another one, under “War scenario 1: The war continues in its current tempo,” we find the Straussian neocon policy fully spelled out.

It’s all here: from “marshaling support and military-assistance transfers to Kyiv sufficient to enable it to win” to “increase the lethality of military assistance transferred to include fighter aircraft that would enable Ukraine to control its airspace and attack Russian forces therein; and missile technology with range sufficient to reach into Russian territory.”

From training the Ukrainian military “to use Western weapons, electronic warfare, and offensive and defensive cyber capabilities, and to seamlessly integrate new recruits in the service” to buttressing “defenses on the front lines, near the Donbass region,” including “combat training focusing on irregular warfare.”

Added to “imposing secondary sanctions on all entities doing business with the Kremlin,” we reach of course the Mother of All Plunders: “Confiscate the $300 billion that the Russian state holds in overseas accounts in the United States and EU and use seized monies to fund reconstruction.”

The reorganization of the SMO, with Putin, Chief of the General Staff Valery Gerasimov, and General Armageddon in their new, enhanced roles is derailing all these elaborate plans.

The Straussians are now in deep panic. Even Blinken’s number two, Russophobic warmonger Victoria “F**k the EU” Nuland, has admitted to the US Senate there will be no Abrams tanks on the battlefield before Spring (realistically, only in 2024). She also promised to “ease sanctions” if Moscow “returns to negotiations.” Those negotiations were scotched by the Americans themselves in Istanbul in the Spring of 2022.

Nuland also called the Russians to “withdraw their troops.” Well, that at least offers some comic relief compared with the panic oozing from Blinken’s “offer you can’t refuse.” Stay tuned for Russia’s non-response response.

Thai Chicken Bundles

Yield: 4 servings

2023 02 05 16 55
2023 02 05 16 55

Ingredients

  • 8 Rhodes Texas Rolls or 12 Dinner Rolls, thawed
  • 2 tablespoons sour cream
  • 1 tablespoon creamy peanut butter
  • 1 1/2 teaspoons curry powder
  • 1 teaspoon ginger
  • 1 teaspoon garlic salt
  • 1 teaspoon soy sauce
  • 1 cup cooked chicken, chopped
  • 1 1/2 cups grated carrots
  • 1 cup grated hot pepper Monterey jack cheese
  • Mango chutney, if desired

Instructions

  1. Combine 2 Texas rolls or 3 dinner rolls together and flatten into a 6 to 7 inch square. Repeat with remaining rolls.
  2. In a large bowl, combine sour cream, peanut butter, curry powder, ginger, garlic salt and soy sauce. Mix well.
  3. Add chicken, carrots and cheese and toss until well combined.
  4. Divide chicken mixture evenly between dough squares. Bring 4 corners of each dough square up over filling, to meet in the middle, overlapping slightly. Secure with a toothpick.
  5. Bake at 350 degrees F for 20 to 25 minutes or until golden brown.
  6. Serve with mango chutney, if desired.

What Is It Like To Have Depression?

Imagine your head is like a whirlpool in a hurricane – thoughts are just whirling around (and around and around) in there. Positive thoughts float, and they just sit there on the surface until they are blown away, but negative thoughts get sucked into the whirlpool and spin around, repeating themselves over and over, and worse and worse, until you have arrived at the worst-case believable scenario, at which point they sink down the spout and you internalise them as truth. Worse, the whirlpool is mesmerising – it’s a natural disaster, a tidal wave, a train wreck, and you just can’t look away.

You can throw as many “chin up!”, “get over it”, “come out to this party”, “you’ll be OK” and “just get out of the house” comments at that as you like, but it won’t do shit. My mind will just force me to blow those comments off – I’ll probably ditch the party, or make some non-committal noise about leaving the house or cheering up, so I can get back to the whirlpool. Because, I’m USED to watching the whirlpool. In some sick (mentally sick) way, I LIKE that I have a whirlpool to watch.

When I’m sick enough, I’ll cling to the whirlpool as the only thing that makes me different, more realistic than those annoying little shits that keep asking me to go to parties and telling me to cheer up – what is there to cheer up about? The world is all going to end in fire eventually, everybody dies, what difference does it make when I die? If I killed myself, people might stop making their glib remarks that I should cheer up and go out partying – that would be nice – how awesome would it be to shut all of those bastards up, to make them feel what I feel for just one day?

At this point, the only thing stopping me was what it would do to the people I didn’t want to feel bad – my family. I couldn’t put them through that, even for revenge against everyone that didn’t care. (Remember, I’m talking from the perspective of my sick mind here). Don’t get me wrong, it was CLOSE. I was going through ways I could kill myself that I would be comfortable with. I explored my fear of dying in minute detail to find a scenario in which I would be able to kill myself. If my depression had gotten even a little worse, that would have been the end of me.

Do you know what helped to bring me back from the brink many times – helped to control the seething desire to do something drastic? Cutting myself. It helped me gain some control, helped me associate my mental anguish with physical pain so I could dissociate from it a little, treat it, know it.

I sought professional help, and ended up on a series of different antidepressants, all of which failed for one reason or another (some had bad side effects, which led me closer to suicide). But, then something remarkable happened while I was dosing up on one of them and getting counselling – I got into a relationship.

Now, I had to drop that antidepressant (I believe it was an NSRI), but having someone around me most of the time who would just listen to what I had to say, would let me vent and just HOLD me, that helped me get back to a place where I could get some perspective and climb my way out of the pit. It was a small improvement, but it was one that interrupted the whirlpool. The counsellor got me talking, and my partner kept listening and supporting me, and not forcing me to go anywhere or do anything I wasn’t OK doing.

You have to remember, that for me at least, going out was just a tiring way to get back to bed at night, depressed. It changed nothing, and because it tired me out, I actually felt worse, not better.

332K subscribers

Photos That Prove The Station Wagon Was Actually The Best Family Car Ever

1 30
1 30

Who else remembers piling as many kids as we could into the old wagon and watching a movie at the drive-in? No seat belts, no stress, just playing games and waving at the people in the other cars!

If you are over 40, you definitely remember driving around in a station wagon like these!

h/t: vintag.es

27 6
27 6

26 6
26 6

25 6
25 6

24 6
24 6

23 8
23 8

22 8
22 8

21 8
21 8

20 10
20 10

19 11
19 11

18 12
18 12

17 12
17 12

16 12
16 12

15 12
15 12

14 12
14 12

13 12
13 12

12 14
12 14

11 15
11 15

10 14
10 14

9 16
9 16

8 16
8 16

7 17
7 17

6 19
6 19

5 20
5 20

4 21
4 21

3 25
3 25

2 26
2 26

In case you haven’t been able to hear under all the media thunder of doomsday prophesying by so-called “experts” on China’s future economic performance (which has been going on for close to a decade and is more akin to wishful thinking than economic analysis), Japan’s economy does not require a prophet or crystal ball to tell you what lies ahead in its very near future: that is, that Japan has become the ticking time bomb for the world economy.

According to NIKKEI Asia, in an October report, Japan’s “yen weakened past 150 against the dollar reaching a new 32-year low as the policy gap widens between the Bank of Japan and the U.S. Federal Reserve…The Fed has repeatedly raised interest rates to tackle inflation, while the Bank of Japan maintains its ultraloose monetary policy to support the economy.

The Fed’s hawkish monetary policy, along with persistent inflation expectations, has pushed the benchmark 10-year U.S. Treasury yield up to 4%. The Bank of Japan, meanwhile, is continuing to hold the 10-year Japanese government bond yield near zero. The Japanese central bank conducted a bond-buying operation for the second straight day to keep the yield within its implicit range of -0.25% to 0.25%.

The yield gap is prompting investors to invest in dollars rather than yen, exerting strong downward pressure on the Japanese currency.” [emphasis added]

In response to this the Bank of Japan (BOJ) decided to maintain its “ultraloose monetary policy” as BOJ Governor Haruhiko Kuroda “highlighted downside risks to the economy and indicated his willingness to accept a weaker yen.” By mid-November it was reported that the Japanese economy shrank for the first time in four quarters as inflation and the weak yen hit the country. “Japan has a history of having suffered from extreme yen strength,” Kuroda added, suggesting that excessive weakness is easier to bear than a too-muscular currency.

By mid-November, NIKKEI Asia reported “Bank of Japan’s ultreasy policy under pressure as inflation hits 40-year high,” with food prices increasing by 3.6% on the year in October, well above the 2% target. Governor of the BOJ, Kuroda responded “The bank will continue with monetary easing, aiming to firmly support Japan’s economy and thereby achieve the price stability target of 2% in a sustainable and stable manner, accompanied by wage increases.

By mid-January Japan had reported a record low in annual trade deficit of $155 billion USD for 2022.

2023 02 06 21 02
2023 02 06 21 02

This is not a sudden outcome for Japan’s economy but rather has been a slow burn over a 12 year period. Alex Krainer writes: “Over the ensuing 12 years and several rounds of ever greater QE [quantitative easing], the imbalances have only worsened and in February last year, the BOJ was forced to go full Mario Draghi, all-that-it-takes, committing to buy unlimited amounts of JGB’s [Japanese Government Bonds]. At the same time however, the BOJ capped the interest rates on 10-year JGBs at 0.25% to avoid inflating the domestic borrowing costs…Well, if you conjure unlimited amounts of currency to monetize runaway government debt, and you keep the interest rates suppressed below market levels, you are certain to blow up the currency.”

Not unrelated to this unfolding of Japan’s economy was the meeting of the Trilateral Commission in Tokyo, Japan for their 50th anniversary this past November.

For those who are unaware, the Trilateral Commission was founded in the wake of the Watergate and oil crisis of 1973. It was formed under the pretense of addressing the “crisis of democracy” and calling for a reshaping of political systems in order to form a more “stable” international order and “cooperative” relations among regions.

Alex Krainer writes:

The commission was co-founded in July of 1973 by David Rockefeller, Zbigniew Brzezinski and a group of American, European and Japanese bankers, public officials and academics including Alan Greenspan and Paul Volcker. It was set up to foster close cooperation among nations that constituted the three-block architecture of today’s western empire. That ‘close cooperation’ was intended as the very foundation of the empire’s ‘three block agenda,’ as formulated by the stewards of the undead British Empire.”

Its formation would be organised by Britain’s hand in America, the Council on Foreign Relations, (aka: the offspring of the Royal Institute for International Affairs, the leading think tank for the British Crown).

Project Democracy would originate out of a Trilateral Commission meeting on May 31st, 1975 in Kyoto Japan, where the Trilateral Commission’s “Task Force on the Governability of Democracies” findings were delivered. The project was overseen by Trilateral Commission Director Zbigniew Brzezinski and its members James Schlesinger (former CIA Director) and Samuel P. Huntington.

It would mark the beginning of the end, introducing the policy, or more aptly “ideology”, for the need to instigate a “controlled disintegration of society.”

However, it appears certain participants of this Trilateral Commission are starting to catch on that this alliance between the United States, Western Europe and Japan for the restructuring of regions (à la League of Nations) is not what they so naively thought it would be, that is, that it would not be just about the disintegration of competing economies but would include their very own.

In the end, all would be expected to bend the knee in subservience to the head of a new world empire. As one of the attendees of this latest Trilateral meeting jokedsome…say that all the significant events in the world have been predetermined by the Trilateral Commission,” he said to laughter from the veteran attendees, however, “we don’t know who’s in, what they are saying!

Interestingly, three reporters from NIKKEI Asia were invited to observe this 50th anniversary gathering of the Trilateral Commission, the first time that press has been allowed entry into the notoriously secretive meetings. The meeting began with Rahm Emanuel, the U.S. Ambassador to Japan, delivering his remarks in a speech titled, “Democracy vs. Autocracy: You are going to see 2022 as an Inflection Point in the Success of Democracy.”

Interestingly, it seems that the Asian delegates weren’t too impressed.

NIKKEI Asia reported: “the press has been invited to highlight a rift that may be emerging between Asia and the other wings of the organization. ‘We feel that the U.S. policy toward Asia, especially toward China, has been narrow-minded and unyielding. We want the people in the U.S. to recognize the various Asian perspectives,’ said Masahisa Ikeda, an executive committee member of the Trilateral Commission. Ikeda has been named the next director of the Asia Pacific Group [of the Trilateral Commission], and is scheduled to assume the position next spring.

A new sentiment has now emerged from the Asia Pacific Group: Without proper steering, the U.S.-China rivalry may lead the world into a dangerous confrontation.” [emphasis added]

The U.S. Ambassador to Japan, Rahm Emanuel was quoted as saying while democracy is “sloppy” and “messy,” “the institutions of the democratic process, the political stability of the United States, NATO, the European countries, have held.”

However, there were many attendees who disagreed with Emanuel’s pro-U.S., pro-NATO, anti-China stance. “What is the ambassador saying?” a former Japanese official said on background. “We must engage China. If we force countries to choose sides, the Southeast Asian nations will choose China. The key is to not force them to choose,” he said.

I feel very much embarrassed and disappointed to see the complete void of Chinese participation in this meeting,” said a former Japanese financial official. A veteran member from the Philippines agreed, saying there is no point talking about Asia without the participation of the region’s largest country and expressed concern about dividing the world into two camps. “When two elephants fight, the ants get trampled. And we’re feeling it. When two elephants fight to the death, we will all be dead. And the question is: What for?” [emphasis added]

A South Korean professor told Emanuel in the Q&A period that there are concerns in Asia about the zero-sum thinking in U.S. foreign policy toward China. “We have to develop some deliverable strategy to persuade and engage un-like-minded countries as well.”

NIKKEI Asia also reportedThere were also members who noted how the liberal international order that Washington advocates is different from the original liberal order that was formed after World War II. ‘The original order, led by the U.S., sought a multifaceted extensive international system based on multilateral institutions and free trade among the democratic bloc,’ a South Korean academic said. The Six Party Talks on North Korea’s nuclear weapons was one such example of the original order, the academic said, noting that the U.S., China and Russia were all at the table.” [emphasis added]

The NIKKEI Asia report ended with a veteran of the Trilateral Commission – a former Philippine cabinet minister – who stated “Just in the past week, we edged toward a nuclear confrontation,” referring to the missile blast in Poland, that was initially suspected to be a Russian-made missile, but was more likely a Ukrainian air-defense missile that landed in NATO territory ‘by mistake.’ “And we edged toward that because of the type of zero sum games that us elders are playing. Is this what you want for your future? You don’t want a situation in the future where everybody’s edging toward the cliff and being macho about it without realizing that this is a zero-sum game that could wipe out the planet. It is beyond climate change,” the veteran said.

Japan’s “Shock Therapy” as a Response to the “Crisis of Democracy”

The Trilateral Commission is a non-governmental body, its members include elected and non-elected officials scattered throughout the world, ironically coming together to discuss how to address the “crisis of democracy” in the most undemocratic process possible. It is an organisation meant to uphold the “interests” of its members, regardless of who the people voted into political office.

On Nov 9th, 1978, Trilateral Commission member Paul Volcker (Federal Reserve Chairman from 1979-1987) would affirm at a lecture delivered at Warwick University in England: “A controlled disintegration in the world economy is a legitimate object for the 1980s.” This is also the ideology that has shaped Milton Friedman’s “Shock Therapy”. By the time of Jimmy Carter’s Administration, the majority of the government was being run by members of the Trilateral Commission.

In 1975 the CFR launched a public study of global policy titled the 1980’s Project. The general theme was “controlled disintegration” of the world economy, and the report did not attempt to hide the famine, social chaos, and death its policy would bring upon most of the world’s population.

The study explained that the world financial and economic system needed a complete overhaul according to which key sectors such as energy, credit allocation and food would be placed under the direction of a single global administration. The objective of this reorganization would be the replacement of sovereign nation states (using the League of Nations model).

This is precisely and demonstrably what has occurred to Japan’s economy over the past four decades, as showcased in the Princes of Yen documentary based off of Richard Werner’s book by the same title. As Werner demonstrates, Japan’s economy was purposefully put through multiple economic crises throughout the 80s and 90s in order to push through massive structural reform despite their economy having been one of the world’s top performing before foreign tampering.

As Werner insightfully remarked, the best way to have a crisis is to manufacture a bubble, that way, nobody will stop you.

To understand the incredible significance of this, we will need a quick review of what occurred to Japan’s economy over a 40-year period.

Japan’s Offering to the Gods on the Altar of “Free Trade”

By the 1980s, Japan was the second biggest economy in the world next to the United States and was a leader in the manufacturing of consumer technology products to the West, including the United States. Due to Japan’s investment in automation tools and processes, Japan was able to produce products faster and cheaper than the United States that were also superior in quality.

One of the examples of this was competition between the two in the memory chip DRAM market. In 1985, there was a recession in the United States in the computer market, resulting in the biggest crash in over ten years for Intel. Complaints from certain quarters in the United States began criticizing Japan for “predatory” and “unfair” trade practices despite the recession in 1985 being a demand problem and not a competition problem.

Long story short, President Reagan, who was supposed to be all about free markets, in the spring of 1986 forced the U.S.-Japan Semiconductor Agreement with METI (Ministry of Economy, Trade and Industry in Japan).

Part of the conditionalities of this agreement were that the American semiconductor share in the Japanese market be increased to a target of 20-30% in five years, that every Japanese firm stop its “dumping” into the American market and the Americans wanted a separate monitoring body to help enforce all of this.

No surprise here, the Japanese companies refused to do this and METI had no way of forcing them to do so.

President Reagan responded by imposing a 100% tariff on $300 million worth of Japanese goods in April 1987. Combined with the 1985 Plaza Agreement which revalued the Japanese Yen the U.S.-Japan Semiconductor Agreement gave the U.S. memory market the extra boost it needed. (for more details on story of how the U.S. tampered with the Japanese semiconductor market refer here).

The Plaza Accord was signed in 1985 by Japan, Germany, France, Britain and the United States. The agreement depreciated the United States Dollar against the Japanese Yen and the German Deustche Mark in an effort to improve the competitiveness of American exports. How very “free market”!!! (Refer here for the story of De Gaulle and Adenauer’s attempt to form the European Monetary System which was sabotaged by Anglo-America). Over the next two years after the signing of the Plaza Accord, the dollar lost 51% of its value against the yen. Japan entered the Plaza Accord to avoid having its goods tariffed and locked out of the American market.

2023 02 06 21 03
2023 02 06 21 03

The Yen’s appreciation plunged the Japanese manufacturing sector into recession. In response to this, the Bank of Japan loosened monetary lending policies and lowered interest rates. This cheap money was supposed to be funneled into productive efforts. Instead, it went into stocks, real estate, and asset speculation. This is when Japanese real estate and stocks reached their peak price level.

Between 1985 and 1989, stocks rose in Japan by 240% and land prices by 245%. By the end of the 80s the value of the garden surrounding the Imperial Palace in central Tokyo was worth as much as the entire state of California.

Although Japan is only 1/26th of the size of the United States its land was valued at four times greater. The market value of a single one of Tokyo’s 23 districts, the Central Chiyoda Ward exceeded the value of the whole of Canada.

With asset and stock prices rising inexorably even traditional manufacturers could not resist the temptation to try their hand at playing the markets. Soon they expanded their finance and treasury divisions to handle the speculation themselves. The frenzy reached such proportions that many leading manufacturers, such as the car maker Nissan, made more money through speculative investments than through manufacturing cars.

The Princes of Yen documentary explains: “Many credited the boom in Japan’s economy to high and rising productivity. In reality, Japan’s stellar performance in the 1980s had little to do with management techniques. Instead of being used to limit and direct credit, window guidance was used to create a giant bubble. It was the Bank of Japan who had forced the banks to increasing their lending by so much. The Bank of Japan knew that the only way for banks to fulfill their loan quotas was for them to expand non-productive lending.

Between 1986 and 1989, Toshihiko Fukui was the head of the Banking Department at the Bank of Japan and would later become the 29th Governor of the Bank of Japan. This was the department that was responsible for the window guidance quotas.

When Fukui was asked by a journalist “Borrowing is expanding fast, don’t you have any intention of closing the tap of bank loans?” Fukui replied “Because the consistent policy of monetary easing continues, quantity control of bank loans would imply a self-contradiction. Therefore, we do not intend to implement quantitative tightening. With structural adjustment of the economy going on for quite a long period, the international imbalances are being addressed. The monetary policy supports this, thus we have the responsibility to continue the monetary easing policy as long as possible. Therefore, it is natural for bank loans to expand.”

In Japan, total private sector land wealth rose from 14.2 trillion yen in 1969, to 2000 trillion yen in 1989.

The Princes of Yen documentary reported: “At his first press conference as the 26th governor of the Bank of Japan, in 1989, Yasushi Mieno said that ‘Since the previous policy of monetary easing had caused the land price rise problems, real estate-related lending would now be restricted.’ Mieno was hailed as a hero in the press to put a stop to this silly monetary policy that was responsible for the increasing gap between the rich and the poor. However, Mieno was deputy governor [of the Bank of Japan] during the bubble era, and he was in charge of creating the bubble.

All of a sudden land and asset prices stop rising. In 1990 alone, the stock market dropped by 32%. Then in July 1991, window guidance was abolished. As banks realised that the majority of the 99 trillion yen in bubble loans were likely to turn sour, they became so fearful that they not only stopped lending to speculators, but also restricted loans to everyone else. More than 5 million Japanese lost their jobs and did not find employment elsewhere. Suicide became the leading cause of death for men between the ages of 20 and 44.

Between 1990 and 2003, 212,000 companies went bankrupt. In the same period, the stock market dropped by 80%. Land prices in the major cities fell by up to 84%. Meanwhile, the Governor of the Bank of Japan, Yasushi Mieno, said that ‘Thanks to this recession, everyone is becoming conscious of the need to implement economic transformation’.”

Between 1992 and 2002, ten stimulation packages worth 146 trillion yen were issued. The thought was domestic demand had to be boosted by government spending and then loan demand would also rise. For a decade the government executed this approach, boosting government debt to historic levels.

Richard Werner remarkedThe government was spending with the right hand, putting money into the economy, but the fundraising was done through the bond market, and therefore it took the same money out of the economy with the left hand. There was no increase in total purchasing power, and that’s why the government spending couldn’t have an impact.”

By 2011, Japan’s government debt would reach 230% of GDP, the highest in the world. The Ministry of Finance was running out of options. Observers began to blame the Ministry of Finance (despite the clear sabotage by the Bank of Japan’s actions) for the recession, and started to listen to the voices that argued that the recession was due to Japan’s economic system.

In Japan, the authorities and the Bank of Japan argued, as did the Western powers almost two decades later, that the taxpayer should foot the bill. However, taxpayers have not been responsible for the banks problems, therefore, such policies have created a moral hazard (a moral hazard is a situation where an economic actor has an incentive to increase its exposure to risk because it does not bear the full costs of that risk).

According to the Princes of Yen documentary, Finance Minister Masajuro Shiokawa had turned to the Bank of Japan asking it to help stop deflation, or fight deflation at least. The Bank of Japan consistently defied calls by the government, by the Finance Minister and the Prime Minister of Japan, to create more money to stimulate the economy and end the long recession. At times the Bank of Japan even actively reduced the amount of money circulating in the economy, which worsened the recession. The Bank of Japan’s arguments always came to the same conclusion, namely that the blame lay in Japan’s economic structure.

It should also be noted that a whole generation of Japan’s economists were sent to the United States to receive PhDs and MBAs in U.S. style economics. Since neoclassical economics assumes that there is only one type of economic system, namely, unmitigated free markets, where shareholders and central bankers rule supreme, many Japanese economists quickly came to regurgitate the arguments of U.S. economists.

By the late 1990s, Japan’s economy was heading for the rocks. Ira Shapiro who worked as a U.S. ‘negotiator’ of U.S.-Japan talks during this period statedPrimary sector deregulation is needed to overcome the entrenched interests of large insurance companies, life and non-life, and the Ministry of Finance bureaucracy.

On Shapiro’s Federalist Society biography page, he is described as playing “a central role in the negotiation and legislative approval of the North American Free Trade Agreement (NAFTA) and the multilateral Uruguay Round that created the World Trade Organization and the current trade rules.”

These U.S.-Japan talks needed to reach an agreement by a deadline decided by the United States. If no agreement were met after the declared deadline, then the U.S. had threatened to impose trade sanctions.

Richard Werner clarified what would be the consequences of Shapiro’s demands to the Japanese; that securitisation of the real estate was being pushed however, in order to have meaningful securitisation we need deregulation, and to get deregulation you have to reduce the power of the Ministry of Finance. This in turn would allow the Bank of Japan, who was under the purview of the Ministry of Finance, to gain power.

From the mid 1990s onwards the Government began to dismantle much of the power structure of the Ministry of Finance. The Bank of Japan, on the other hand, saw its influence grow significantly. The Bank of Japan was cut loose from the Ministry of Finance pretty much making it independent.

Soon after his retirement from the position of governor of the Bank of Japan in 1994, Mieno embarked on a campaign, giving speeches to various associations and interest groups. He lobbied for a change in the Bank of Japan law. His line of argument was to subtly suggest that the Ministry of Finance had pushed the Bank of Japan into the wrong policies. To avoid such problems in the future, the Bank of Japan had to be given full legal independence.

In 1998 monetary policy was put into the hands of the newly independent Bank of Japan.

In early 2001, a new type of politician was swept into power. Junichiro Koizumi became the Prime Minister of Japan. In terms of his popularity and his policies he is often compared to Margaret Thatcher and Ronald Reagan. His message was simply: no recovery without structural reform.

Princes of Yen remarked: “During 2001, the message of no economic growth without structural reform had been broadcast on an almost daily basis on the nation’s TV screens. Japan was shifting its economic system to a U.S. style market economy, and that also meant that the centre of the economy was being moved from banks to stock markets. To entice depositors to pull their money out of banks and into the risky stock market, reformers withdrew the guarantee on all bank deposits, while creating tax incentives for stock investments.

As U.S. style shareholder capitalism spread, unemployment rose significantly, income and wealth disparities rose, as did suicides and incidents of violent crime. Then, in 2002, the Bank of Japan strengthened its efforts to worsen bank balance sheets and force banks to foreclose on their borrowers…Heizo Takenaka [the new Minister for Financial Services] was supportive of the Bank of Japan’s plan to increase foreclosures of borrowers…Takuro Morinaga, a well-known economist in Tokyo, argued forcefully that the Bank of Japan inspired proposal by Takenaka would not have many indigenous beneficiaries, but instead would mainly benefit U.S. vulture funds specialising in the purchase of distressed assets…[When Toshihiko] Fukui’s support for the bankruptcy plan was voiced… [he] was an adviser of the Wall Street investment firm Goldman Sachs, one of the largest operators of vulture funds in the world.”

Richard Werner remarked: “Mr. [Toshihiko] Fukui [29th Governor of the Bank of Japan], and also his mentor Mr. [Yasushi] Mieno [26th Governor of the Bank of Japan], and his mentor Mr. [Haruo] Maekawa [24th Governor of the Bank of Japan], and you’ve guessed it, these are some of the Princes of the Yen that the book is all about. They have said on the record in the 80s and the 90s, ‘What is the goal of monetary policy? It is to change the economic structure.’ Now how do you do that? Well, you need a crisis. They made a crisis in order to change the economic structure.”

The department responsible for the window guidance quotas at the Bank of Japan, was called the Banking Department. The man at the head of this from ‘1986 to ’1989, was Toshihiko Fukui. Mr. Fukui thus directly helped create the bubble. When Fukui had become governor of the Bank of Japan, he would sayWhile destroying the high-growth model, I am building a model that suits the new era.

Richard Werner remarked: “They have succeeded on all counts. If you look at the list of their goals, destroy the Ministry of Finance, break it up, get an independent supervisory agency, reach independence for the Bank of Japan itself by changing the Bank of Japan law, and engineer deep structural changes in the economy, by shifting from manufacturing to services, opening up, deregulating, liberalising, privatising, the whole lot.”

What’s it like to be rich and then go broke?

One of the worst things that can happen and one of the best things that can happen.

Lost multi-millions in saved wealth, a beachfront house, and a business generating mid six figures every year after the GFC.

Like others have said it was the darkest days of my life, not only for the tangible loss, but the loss in all faith in people, government oversight, justice and the way society functions in general. My losses were due to fraudulent business people within publicly listed investment companies, and after it all washed out, billions were lost of mine and others money and only one person went to jail, which really mattered for nothing as none of us got our money back. Also in hindsight it became clear that the supposed oversight created to protect us investors was actually the secret portal for the investment managers to have carte blanche to do whatever they wanted with investors money, including personally paying themselves and leveraging to unrealistic levels to generate more fees.

The first reaction is failure and anger, then fear as you realize how hard it will be to ever get back to where you were, then fear to even survive kicks in as saving dwindle, no new prospects of work, and then debts start to add up and minor bills start falling late as you begin to try to balance the small money you have like a circus juggler. When the debt collectors start calling and showing up it brings out the worst of the worst in life and you realize that your life has absolutely no value, is really only worth the $800 for that phone bill, or the $600 car payment and the people chasing you for this money would not care if you were dead or alive as long as they get their small collection fee. You also realize how cruel the world of money is, and how the late fees and interest are 30%/40%/50% and it throws you into disaster zone so quick.

The positive thing is I developed an incredible empathy for those who struggle a feeling I would not really consider when everything was going well. Our society values the rights of a $100 creditor over the life of a citizen, and literally it feels that any person who for whatever reason cannot pay a bill, is the scum of the earth.

I learned that our society has a very, very unhealthy value and emphasis on money.

It has taken many years to try to get back on my feet and I still suffer from fear and anxiety, probably similar to a depression era survivor. I am not sure if I will ever catch up but I am trying and fighting everyday. This is something that is hard, as it is almost impossible to relax and enjoy as it seems every action and decision is life or death.

I have to work so much harder and smarter now which has helped me to acquire new skills and hone my existing skills. I realize now that despite being in a low position wealth wise, I am so much more skilled and knowledgeable than many of my peers who are getting paid salaries 1000% higher than I am making, and I hope and trust that one day this will come to serve me well, as long as I keep at it.

Probably the hardest impact of the financial loss is how it impacted loved ones, my spouse and children. To go from having it all to not, and not really understanding how it happened and not being in control of changing things was a huge burden on me, and many times led me to think life for them would be much better without me. However I also thought I can be the solution, and what a great lesson that would be to overcome adversity and to succeed against all odds. This is one of my current drivers.

The benefit (I think & hope) is that my young adult children will have a much better understanding of the value of things, and what is really important, and not get sucked into the materialistic world that is so easy to fall into when life is easy and money is around. Hard work is valuable and the results and benefits from that hard work is much more enjoyable and lasting than easy money or daddy’s money.

Another benefit is that my wife and I now work together in our business, so she has grown in ways she never would have and discovered skills and abilities that has made her feel much better about herself and equipped her to be more helpful and supportive to our children and others. We also respect each other and understand each other so much better, and also know we have weathered a storm that would destroy most marriages, and have a solid foundation to take with us for the rest of our lives.

The world is corrupt and I am pretty sure it always will be, and most people are only looking out for their own interest, but by being aware of this, and not expecting anything different gives a person more control over their life and allows them to make choices in line with what really matters the most to them.

I would not wish this loss on anyone as it is as dark as dark can be, but I also think that the lessons learned from it are ultimately worth more that the loss itself.

Would I like my money back….sure….but only with the life experiences I have gained along the way. I see friends with so much money, their pocket change could dramatically improve my situation, but they have nothing, and I know they never will, as they are so blind to so much about the reality and values of life.

John Thomson’s Remarkable Photographs of China from the 1870s

1 11
1 11

John Thomson (1837-1921) created work that was ground-breaking and pioneering. Far more pioneering than an innovative coiffure or a teen’s product placement on YouTube.

Thomson was an Edinburgh-born photographer who travelled to China in the late 1860s. From 1870-71, Thomson travelled extensively in China photographing the people he met, documenting their customs, lives, costumes, and traditions. Thomson feared much of China’s culture would be swamped by the expansion of Empire and the opening of trading routes.

2 11
2 11

Thomson travelled with a camera the size of a large packing crate. He used the collodion process or wet plate process which was a time-consuming and difficult. Thomson hoped his work would bring an appreciation of the rich diversity of ethnicity and culture to Victorian Britain. That he succeeded and his works are still held in high esteem today is testament to Thomson’s pioneering work as a photographer.

20 3
20 3

19 4
19 4

18 4
18 4

17 4
17 4

16 5
16 5

15 5
15 5

14 6
14 6

13 6
13 6

12 8
12 8

11 9
11 9

10 9
10 9

9 9
9 9

8 10
8 10

7 11
7 11

6 11
6 11

5 11
5 11

4 11
4 11

3 11
3 11

What is life like in modern day Mongolia?

  • Those “Mongolian BBQ” places you see all around town is pure bullshit. Our ancestors didnt eat stuff like that, and we sure as hell dont eat that except at our own “Thai” or “Chinese” restaurants. Our food (traditionally) consists of mostly meat, mostly from lamb or beer, with lamb being extremely common. We usually boil these and either eat em with veggies, or make it into nice soup. We also eat food called “Buuz”, which looks similar to a dumpling, except bigger in size. Edibles (no 420 sorry) and drinkables made of dairy is also common. Things like yoghurt, dried curds, milk tea and kumis. Kumis is a sour milk-like drink made by fermenting a mare’s milk. The people love to drink it, especially when going to the countryside. There are some cases of much of it causing people to get tipsy due to the fermentation. Good shit. But that’s the traditional stuff, an average regular Joe living in the city wouldnt eat those stuff all the time. People mostly cook food with rice, meat, veggies etc. You know the standard stuff. We also have many famous restaurants and eateries here like most other countries. KFC, Burger king, Pizza Hut are all here. However for some, these restaurants are considered a delicacy where they only go once in a while.
  • While traditional sports such as wrestling, bow and arrow, horse racing are common especially during national holidays, the global sports are what the most youth is all about. Soccer and basketball are extremely popular. Baseball and hockey not so much. Whenever theres an open space somewhere, you can be sure that some kids will kick some balls there. However our national teams suck in terms of team sports. Maybe the notion that we were once fierce and arrogant horselords who dont take shit from nobody is still in our DNA and takes its toll during team plays? Who knows. Individual sports however, have brought quite a few joys to the nation. In many big events such as the Olympics, Mongolians do well every once in a while, bringing home gold, silver, bronze and all of them are celebrated proudly. I do hope I live to see the day that my country plays in a world cup, or atleast an Asian Cup or something.
  • Although it is true we were quite ferocious in battles during the 13th century and upwards, we werent always the bad guys the western media makes our ancestors to be. Genghis Khan was one of the first men to tolerate religious freedom, allowing people to worship whatever they like as long as they paid tribute to the Mongol Empire. The Mongol Empire also had laws against looting, raping, murder, fraud, etc. It was a well established empire that had its own strict rules and boundaries. Our recent history however is filled with revolutions and struggles. Things such as 200 years of Manchurian dominance in Mongolia and the religious violence have really set our country back. The entire history of Mongolia is very rich, long and complex, nevertheless very interesting. However I cant write it all here nor do I know the entire history.
  • Think the word “Mongolia”. What comes into your head? If the answer is people living in rural huts, riding horses, herding sheep and being nomadic, then you would be right. However, that part of Mongolia is quickly fading away. Our whole population is at only 3,081,600. That is around 1/3 of the population of New York City alone. With 1.31 million in the capital city, almost half of the country’s population (46%) lives in an urban environment. Completely toying with the notion that all Mongolians are barbarians riding horses and plowing women. The city life is quickly developing as more and more people seek refuge in the city rather than the harsh and blistering winter winds in the countryside.
  • However, the capital city, Ulaanbaatar, is originally designed to withhold only over 500,000 people. Now crammed with more than a million, much of the people live in the traditional nomadic “Ger”, but instead of in the countryside, far from eachother, they are cramped up together in what we call a “Ger district”. These district are proving to be a huge problem as the air pollution tightens. The country is ranked #6 with most air pollution as of 2017. And this winter things only got worse. In fact, its gotten so worse that our youth finally decided to take action, pleading for help and organizing various activities to make the people aware of the growing problem of air pollution. People are being told to wear masks that filter out the polluted air. There have been reports that the pollution levels are extremely harmful, much so that the polluted air is equivalent for someone to smoke a few dozen packs of cigarettes. The air quality during the wintertime is in no way healthy for any child to be living in. And the Ger Districts dont help at all. You see, Ulaanbaatar is a city built in a valley between 4 big mountains surrounding it. Making the air exchange very minimum in and out of the city. Pollution made in the city stays in the city. People living in Gers need to stay warm, and to do that, they burn wood, coal, fossil fuels, or even sometimes any types of plastic. The smoke burned from all these materials go up the chimney. Now imagine for a second, hundreds, maybe even thousands of these, all cramped up in one district. Yeah.
  • Since globalization is a thing, and Mongolia is part of the world (After all, we’re between two of the greatest nations right in history), things like social media, cultural diversity, global culture are very much a thing. Everyone uses social media and are obsessed by it. Facebook, Instagram, Snapchat, Twitter are all extremely common and everyone wants to voice their opinion nowadays. Mongolian teenagers are affected by alot of aspects of western culture. Netflix is here, people like western tv shows, we watch Game of Thrones on HBO or in huge auditoriums near the central department store, the Avengers fangirling is real. Basically anything you could expect from most teenagers around the world, is the same in Mongolia.
  • Speaking of socializing and teens. Clubbing and nightlife is becoming huge in Mongolia. Well, mostly Ulaanbaatar. Teenagers at the age of 14,15 are already going out, some sneaking out with their daddys BMWs. The clubs are affected by money, and will let just about anyone inside, though lately rules might have been getting more strict… It is considered “normal” in the teenage culture to have smoked cigarettes, drinking alcohol, having underage sex. Although I guess you could say the same about most countries.
  • Most of the youth spend their time in PC gaming places, with most being ‘addicted’ to games like Dota, CS, PUBG. It is encouraged to play sports and spend time outdoors. However it is rare to find a place to do such activities. Sports recreational areas and parks are extremely rare. Very rare soccer fields, very small but trashed basketball courts, dusty and gravel filled open spaces are common. When there is a nice park or open space, it is often packed full of people trying to get away from their busy stressful lives.
  • The city planning in Ulaanbaatar is very poor, although it is turning into a big city, filled with skyscrapers. It still lacks most basic things that make a decent city. These include proper transition systems, clean paved walkways, biking roads, proper lightning system, and most importantly, the traffic. The traffic is horrendous and the jams could get you stuck in one place for hours if you’re unlucky enough to be in it during peak hours. Many road constructions take place with the taxpayers money however ironically, these roads seem to break down every 6 months. There are buses going places in the city however no subtrain systems at all. Although to make up for it, the taxis are pretty damn cheap, and many people with a car usually does a taxi service for some easy bucks.
  • We have the worlds largest Man and a Horse statue. Its that of Genghis Khan and its few dozen kilometres outside the capital. Its beautiful and certainly a place to visit if you’re in Mongolia.
  • Speaking of places to visit: Mongolian countryside is the place to be rather than the city. Although some areas are affected by desertification. Our nomadic lifestyle treated the lands well and in turn, these lands blessed us with its beauty. Heres a few you could check out off the top of my head:
    • Erdenezuu Monastery, in Kharkhorin city
    • Terelj National Park, few KM outside the city. Mongolian version of grand canyon
    • Gobi Desert
    • Khorgiin Togoi & Terkhiin Tsagaan Nuur. A volcanic crater beside a huge lake. In Arkhangai region
    • Altai Tavan Bogd Mountain range
    • Khuvsgul Lake
    • Bogd Khan Mountain
  • Theres alot going down in Mongolia, and life is happening just the same as anywhere else. If you’re up for a wild adventure then definitely Mongolia is the place to be. However I shouldnt say to expect a 5 star western luxury when traveling through the countrysides. As most part is left untouched and wild. But hey, thats the beauty isnt it?

US Airmen Respond With Shock, Mockery as General Urged Service Members to Prepare for War With China

Incredible And Futuristic Bookstore-Themed Shopping Mall In China

1 71
1 71

Undergoing a cultural renaissance, the city of Xi’an, China, is home to a new bookstore-themed commercial complex designed by Lafonce Maxone and intervened by Gonverge Interior Design for the interiors. The project offers a lifestyle and an educational experience with multiple business models through its ‘culture and commerce’ design strategy. The 18m-high and 240m-long artistic book walls in the building are striking and pioneering, brining a new model for urban commercial space to the city.

More: Gonverge Interior Design (ch) h/t: designboom

2 68
2 68

Based on the long, narrow site oriented in the south-north direction and the four-storey-high illuminated atrium, Gonverge Interior Design carried out project orientation planning as well as commercial and spatial design by appealing to all the senses.

12 36
12 36

11 37
11 37

10 39
10 39

9 42
9 42

8 42
8 42

7 48
7 48

6 53
6 53

5 60
5 60

4 64
4 64

3 68
3 68

Thailand to ban Pfizer after the Thai Princesses falls into a coma immediately after a booster jab

From HERE

12967360 6980853 image a 2 1556732150815
12967360 6980853 image a 2 1556732150815

Just days after receiving her covid booster jab, the daughter of the king of Thailand collapsed and fell into a coma.

Princess Bajrakitiyabha, who is the potential heir to the Thai throne, is in a grave condition weeks after she collapsed.

Some reports suggest she had suffered a heart attack though her family were told she likely suffered a bacterial infection. None the less, six weeks later and the princess is still in a coma and being kept alive by machines.

The Royal Family have now been alerted to the fact that the princess has most likely been a victim of the jab.

Top Thai authorities including advisors to the King have been in discussions with Prof. Sucharit Bhakdi and are preparing to have the Pfizer contracts declared null and void according to reports.

If this happens Thailand will become the first country to make the contract null and void, meaning that Pfizer will become responsible for all vaccine injuries.

The USA is now scheduling wars like a doctor’s appointment.

Stewardess Skill Training In China

1 41
1 41

Students attend a stewardess skill training for the upcoming 2017 entrance examination for art majors in colleges in Luoyang, central China’s Henan Province. These photos portray the bizarre range of skills Chinese air hostesses require before they take to the skies.

8 34
8 34

7 34
7 34

6 35
6 35

5 37
5 37

4 39
4 39

3 40
3 40

2 41
2 41

China’s hypersonic triad pressing down on US

China’s new sea, air and land-based hypersonic weapons are a formidable three-pronged deterrence against US and Taiwan forces
.

2023 02 06 20 24
2023 02 06 20 24

For the first time, China has revealed the specifications of its YJ-21 hypersonic anti-ship missile, which was first observed last April during a test launch from a Type 055 cruiser. Alongside air-launched and land-based hypersonic missiles, the YJ-21 signals China’s nascent hypersonic weapons triad for conventional deterrence.

This week, South China Morning Post (SCMP) reported that the People’s Liberation Army – Strategic Support Force (PLA-SSF) published an article in its official Weibo account that states the YJ-21 missile can travel at a speed of Mach 10, or 3,400 meters per second.

It also claims that any known shipboard defense system cannot intercept the missile at that speed and that even without an explosion its tremendous kinetic energy will have devastating effects on its target.

The PLA-SSF article also claims that the YJ-21’s introduction marks a significant evolution in China’s anti-access/area denial (A2/AD) capabilities, highlighting the operational flexibility and survivability advantages of a sea-based launch platform such as the Type 055 cruiser.

The PLA-SSF’s release of information on the YJ-21 may serve as a deliberate warning against the US and its allies after then-US Speaker of the House Nancy Pelosi’s controversial visit to Taiwan last August.

The unveiling of the YJ-21’s export version at last year’s Zhuhai Airshow, designated the YJ-21E, indicates that the domestic version of the YJ-21 is no longer China’s most advanced model of the type and that it may have more capable missiles in its inventory.

The SCMP article notes that since it was the PLA-SSF that released information about the YJ-21, the missile relies on satellite guidance provided by the PLA-SSF to hit its targets. This implies that the YJ-21 is reserved as a strategic weapon against US carriers, the most critical assets of US force projection in the Pacific.

Asia Times has noted that the integration of the YJ-21 on the Type 055 cruiser makes the class one of the most heavily-armed warships in the world, with 128 VLS cells arranged in two silos of 64 cells each, a 130 mm H/PJ-38 main gun, Yu-8 anti-submarine rockets and Yu-7 lightweight torpedoes launched from two triple torpedo tubes.

Brent Eastwood mentions in a December 2022 article from 1945 that with the YJ-21 the Type 055 cruiser will become one of the People’s Liberation Army-Navy’s (PLA-N) most capable assets.

Furthermore, Eastwood notes that the Type 055 cruiser, the Type 052D destroyer and the upcoming next-generation frigate will be integrated into China’s carrier battlegroups, which would operate in the East China Sea, South China Sea and Taiwan Strait.

At the strategic level, China’s emerging hypersonic weapons triad may align with its evolving concept of conventional deterrence, which seeks to deter the US and its allies from intervening in a Taiwan contingency.

Michael Chase and Arthur Chan state in the 2016 book China’s Strategic Deterrent Concepts that China views conventional deterrence as an essential complement to nuclear deterrence.

Chase and Chan note that Chinese military publications state that conventional deterrence is increasingly becoming more powerful due to the “informatization” of conventional strike capabilities.

They also say that conventional weapons are more applicable to a broader range of circumstances, have greater flexibility than nuclear weapons and are not subject to the political constraints of using nuclear arms.

Richard Weitz, in a January 2022 article in China-US Focus, outlines five possible Taiwan scenarios that include China using military force to seize all of Taiwan, a limited operation to blockade Taiwan and capture its frontline islands, deliberate manipulation of risks in a squeeze-and-relax strategy, and avoidance of conflict altogether through transparency and confidence-building measures.

In all but the last scenario, conventional weapons such as China’s hypersonic weapons will play a vital role, from an outright seizure of Taiwan to conveying the threat of force and raising costs to discourage US and allied intervention on behalf of Taiwan.

Indeed, China’s unveiling of its YJ-21 hypersonic anti-ship missile may be essential in its efforts to build a flexible conventional deterrent against US and allied intervention in a Taiwan scenario.

Besides having the ship-based YJ-21 in its Type 055 cruisers, China’s strategic bombers may also carry an air-launched variant. Defense analyst H I Sutton has previously reported on an air-launched variant of the YJ-21 carried by the People’s Liberation Army-Air Force’s (PLA-AF) H-6 strategic bomber.

As noted by Asia Times, air-launched hypersonic anti-ship missiles improve China’s standoff strike capabilities against US bases and warships in the Pacific, with an air launch potentially increasing the YJ-21’s already formidable performance, with the H-6 bomber adding 3,500 or more kilometers to the missile’s range.

In such a configuration, the YJ-21 may be employed against US and allied forces in faraway locations such as Okinawa or Guam.

China’s sea and air-launched hypersonic anti-ship missiles can also complement its land-based arsenal of such weapons. As noted by Missile Threat, China’s road-mobile DF-17 was first spotted in 2014 and may have entered PLA service in 2019.

The report notes that the DF-17 is estimated to have a range between 1,800 to 2,500 kilometers, is capable of extreme maneuvers and can reach up to Mach 5 in its glide phase. Notably, Chinese state media outlet Global Times has previously reported that the DF-17 was among the missiles China fired in a show of force to protest Pelosi’s visit to Taiwan last year.

2023 02 06 20 26
2023 02 06 20 26

Those launches may have intended to show that China can effectively “box in” Taiwan with long-range precision fires alongside a persistent blockade of warships and submarines.

The emergence of hypersonic anti-ship missiles puts the importance of carriers as the mainstays of modern naval warfare into question.

As noted by Jon Harper in a 2019 article in National Defense Magazine, hypersonic missiles such as the YJ-21 – unlike conventional ballistic missiles – can maneuver during their terminal phase to evade shipboard missile defenses.

Harper also notes that while existing anti-ship missiles can be highly maneuverable, they typically fly at subsonic speeds, unlike hypersonic anti-ship missiles that give little to no window for their targets to react.

Thai Larb

Larb Moo is a popular dish made in Thailand. It’s a meat salad consisting of ground pork and many fresh and flavorful veggies and ingredients. It’s naturally on the low carb side, but I made keto Thai Larb by omitting toasted rice and using toasted cashews instead. This also makes my version paleo and Whole30 friendly as well! If you like meat and veggies in a tangy, semi spicy homemade sauce, this Thai Larb recipe will blow you away!

Thai Larb 9 1365x2048 1
Thai Larb 9 1365×2048 1

What You’ll Need to Make Keto Thai Larb Recipe

Before you get started, gather the ingredients needed to make Larb Moo, including:

  • Cashews: Cashews are used as a paleo replacement for toasted rice, and adds crunch to the recipe. Make sure you’re using raw cashews to toast them yourself.
  • Avocado oil: I love avocado oil because it’s great for high heat cooking, and doesn’t add any flavor to the final dish. If you don’t have avocado oil, feel free to use any other cooking oil of your choice.
  • Shallots: If you can’t find shallots, you can use onions instead.
  • Ground pork: Ground chicken or turkey can also be used if you don’t consume pork.
  • Lime juice
  • Coconut aminos: A paleo and Whole30-friendly alternative to soy sauce. It’s delicious and adds a slight natural sweetness as well!
  • Fish sauce: Fish sauce is a crucial flavor in Thai cooking, and adds a delicious umami saltiness. This is my favorite brand of fish sauce with high quality, clean ingredients.
  • Thai Chili Flakes: Also called prik bon, you can get these at your local Asian mart or online.

You’ll need a few other ingredients, including green onions, chopped cilantro, mint leaves, lettuce, and cucumbers.

Ingredients

  • 1 pound ground chicken
  • 1/2 cup chopped fresh cilantro
  • 1/4 cup lime juice (about 2 limes)
  • 1 to 2 tablespoons seeded and chopped jalapeno chiles*
  • 3 large garlic cloves, minced
  • 1/3 cup chopped scallions
  • 2 tablespoons fish sauce
  • 1 cup chicken broth
  • Salt
  • Large whole leaves of iceberg lettuce
  • Sliced cucumbers, chopped cilantro and lime wedges (garnish)
  • Hot rice (optional)

Thai Larb 6 1365x2048 1
Thai Larb 6 1365×2048 1

Instructions

  1. In large bowl, combine chicken, cilantro, lime juice, chiles, garlic, green onions and fish sauce.
  2. Bring broth to simmer in wok or large frying pan.
  3. Add chicken mixture and stir, breaking up mixture, until cooked through but not brown, and broth has evaporated.
  4. Spoon off any fat that may have melted out.
  5. Add salt to taste.
  6. Chill or serve at room temperature on lettuce leaves.
  7. Add garnishes.
  8. Serve with hot rice.

What If I Can’t Find Thai Chili Flakes?

If you’re unable to find Thai chili flakes, you can use any chili flakes that you can get at the grocery store. I find that Korean chili flakes, or gochugaru, is a close substitute.

Do I Need to Use Fresh Lime Juice for ?

Using fresh lime juice while preparing the Larb Moo is best. However, if you don’t have fresh lime, you can use lime juice from a bottle. You may need a bit less since I find that bottled lime juice is more concentrated in flavor.

Which Type of Lettuce Should I Use When Serving?

You can use romaine lettuce, butter lettuce, or even shredded iceberg lettuce when you’re serving paleo Thai Larb. I personally prefer butter lettuce and find that it holds up well.

Thai Larb 12 1365x2048 1
Thai Larb 12 1365×2048 1

Thai Larb Recipe Tips and Suggestions

If you taste the Larb Moo and feel like it needs to be a bit saltier, you can add more fish sauce to it. The fish sauce also brings a level of saltiness to the dish that enhances the flavor of the pork and vegetables.

When you want to make the dish a bit spicier, you can add more of the chili flakes to it. If you can’t tolerate spiciness, you can omit the chili flakes or decrease the amount when preparing this Keto Thai Larb dish.

How to Store Leftovers

Store your cooked pork and vegetables together, but store your lettuce and cucumbers in a separate container. Put these containers in the fridge to keep your ingredients fresh. You can assemble more of the Larb Moo when you’re ready to eat it, adding the ground pork and vegetables to a bed of lettuce with cucumbers.

Thai Larb 13 1365x2048 1
Thai Larb 13 1365×2048 1

Excellent and informative program. Really enjoyed it.

Don’t live a life of regret

Will the West's Christian Colonial Cretins attack China?

I doubt it. John Pilger's 2016 doco The Coming War On China, and a short-lived abc.net.au weekly current affairs program called China Tonight from March, 2022, have persuaded me that:

1. China hasn't forgotten the Opium Wars nor the perps. And has made Opium Wars part of the school curriculum...

2. One suspects that China will have a much shorter Nuclear War fuse than Russia if subjected to an(other) Unprovoked Attack by the CCCs.

Posted by: Hoarsewhisperer | Feb 1 2023 17:06 utc | 4

Lately, I have been dancing with my little girl.

It’s a great work out, but I have to tell youse guys, it’s EXHAUSTING. Try this little number. See if you can keep up the pace for a full three minutes! Ugh!

On the plus side, she’s learning Japanese along with her English and Chinese…

Yes. Do not live a life of regret…

The Story Of David Glasheen, A Real Life Robinson Crusoe

0 9
0 9

David Glasheen is a 70-year-old former businessman from Sydney’s North Shore who traded in his suit for a loincloth after losing most of his money in the stock market crash of 1987. He first visited Restoration Island in 1993, he acquired an interest in the island with his remaining money in 1994, and moved there permanently in 1997 with his girlfriend. But with no hot water, a bath or the mod cons she found it tough and left to return to the city. Since then he has upgraded accommodation on the island, and has lived there happily with his dog Quasi.

4 51
4 51

3 52
3 52

2 52
2 52

David Glasheen, who has lived alone on the island off Cape York Peninsula since 1993, made international headlines four years ago, when he went online looking for a “Girl Friday” to live with him.

8 40
8 40

7 45
7 45

6 47
6 47

5 49
5 49

David Glasheen says sometimes he gets lonely with his dog his only companion but he is occasionally visited by passing yachtsmen, kayakers and organic farmers.

A meme

A meme shared: Gas prices are rising again because China stopped its Covid crackdown.

Of course, that’s 100% absurd. China has noted it and this op/ed is a result, “Blaming China’s economic recovery for global inflation? More nonsense from US media”:

For years, the Western perception of the Chinese economy has alternated between two extremes: either the Chinese economy is at the cusp of collapsing - the "China collapse" theory - or the Chinese economy is rising so rapidly that it poses a threat to the world - the "China threat" theory. 

There is virtually no middle ground between the two totally contradicting narratives, though both serve the same goal - smearing China's socialist market economic system. 

Western media outlets switch between the two narratives at different times to best serve that goal.

Such a twisted, malign practice cannot be clearer than what we have seen over the past several weeks, as China moved to downgrade its COVID response, went through a COVID infection peak and embarked on a rapid economic recovery in such a short time span. 

The transitions happened so swiftly that the shift in Western media outlets' narrative of the Chinese economy could barely catch up. 

Before the Chinese Spring Festival holidays, many foreign media outlets made grim predictions about China's epidemic situation and economic recovery. 

Bloomberg on January 20 predicted a "COVID catastrophe" during the Chinese New Year, while CNN on January 18 claimed that a "COVID-19 tsunami" was brewing in the countryside amid the holiday travel rush.

And of course, China’s Spring Festival is a smashing success.

Some of the info provided would make great material for comedians; Carlin would make much of the narrative swap. What’s happening is NEVER the fault of the West; it’s always somebody else’s fault. I’m reminded of the Family Circus cartoon and the “Not Me” ghost. Bill Keane was very insightful with that.

From the glory days of pie in America. Enjoy!

15 49
15 49

14 59
14 59

13 64
13 64

12 74
12 74

11 83
11 83

10 95
10 95

9 102
9 102

8 109
8 109

7 115
7 115

6 122
6 122

5 140
5 140

4 149
4 149

3 156
3 156

2 160
2 160

1 187
1 187

Don’t live a life of regret…

Like this person.

When I was 7 or 8 years old, I snapped at my dad for getting me the wrong video game and I can still see the disappointment in his face. Haunts me to this day.

Scott at his brilliant best! A voice of reason and reality amid a dangerous climate of delusional esculatory rhetoric in the West.

Relics of the past…

2023 01 25 10 42
2023 01 25 10 42

2023 01 25 10 4z2
2023 01 25 10 4z2

2023 01 25 10 41a
2023 01 25 10 41a

2023 01 25 10 41
2023 01 25 10 41

2023 01 25 1a0 40
2023 01 25 1a0 40

2023 01 25 10 40a
2023 01 25 10 40a

2023 01 25 10 40
2023 01 25 10 40

Don’t live a life of regret…

Like this guy.

I called my buddy one night because I knew he was having a tough time, I told him I'm coming over, he kept saying no I'm good I'm good...he was less than a mile away. I said okay and then his mom called me in the morning saying he was dead and what did he say to me in the phone call.

I wish I went over

Philly Cheese and Ground Beef Casserole

Bring the fabulous flavor of Philly cheese steak sandwiches to a comforting casserole!

2023 01 25 07 44
2023 01 25 07 44

Ingredients

  • 1 1/2 pounds lean (at least 80%) ground beef
  • 1 (8 ounce) package sliced mushrooms
  • 1 teaspoon salt
  • 1/2 teaspoon pepper
  • 8 (1 ounce) slicesprovolone cheese
  • 2 tablespoons butter or margarine
  • 2 large onions, halved and thinly sliced into wedges
  • 2 medium red bell peppers, cut into strips*
  • 2 cloves garlic, finely chopped
  • 1 (16.3 ounce) can Pillsbury® Grands!® Homestyle original biscuits

* To easily cut peppers, cut a thin slice off bottom of pepper. Set pepper on cutting board, cut side down. Cut strips of pepper from stem down to board, cutting just the flesh and leaving seeds and core attached to stem.

Instructions

  1. Heat oven to 350 degrees F. Spray 13 x 9-inch (3-quart) baking dish with cooking spray.
  2. In 12-inch skillet, cook beef, mushrooms, salt and pepper over medium-high heat 7 to 9 minutes, stirring frequently, until beef is thoroughly cooked; drain.
  3. Place in baking dish.
  4. Arrange cheese over beef mixture, overlapping slices if needed.
  5. In same skillet, melt butter over medium-high heat. Add onions and bell peppers. Cook over medium-high heat 3 to 5 minutes, stirring frequently, until peppers are crisp-tender.
  6. Stir in garlic; cook 1 to 2 minutes longer. Spoon over cheese in baking dish.
  7. Separate dough into 8 biscuits. On lightly floured surface, pat biscuits into 5-inch circles. Arrange biscuits over vegetable mixture.
  8. Bake for 35 to 40 minutes or until biscuits are golden brown on top.

High Altitude (3500-6500 ft)

Don’t live a life of regret…

Like this chick.

I deeply regret picking on this very socially challenged girl when I was younger. I wasn't particularly vicious or anything but I should have used my popularity to stand up for her, or at least treat her right. 

Through Darkness Into Light: The Concept Art Of Ivan Khomenko

1 75
1 75

Ivan Khomenko is a freelance concept artist and digital illustrator based in Kostroma, Russia. He currently works as a concept artist at One Pixel Brush. We have an amazing collection of science fiction and fantasy themed works by the artist below from both commercial and personal projects. Ivan Khomenko’s illustrations and paintings have an incredible cinematic quality.

29 19
29 19

28 20
28 20

27 20 1
27 20 1

26 20
26 20

25 20 1
25 20 1

24 20
24 20

23 22
23 22

22 24
22 24

21 27
21 27

20 28
20 28

19 29
19 29

18 30
18 30

17 31
17 31

16 32
16 32

15 35
15 35

14 36
14 36

13 38
13 38

12 40 1
12 40 1

11 44
11 44

10 46
10 46

9 49 1
9 49 1

8 54
8 54

7 56 1
7 56 1

6 61
6 61

5 65
5 65

4 67 1
4 67 1

3 69 1
3 69 1

2 71
2 71

Don’t life a life of regret

Like this person…

I was seven years of age, I had an argument with my mother the night before she died. Before I went to bed she asked me for a hug I told her NO and stormed off to bed. The next morning I woke up to find everyone in the house was gone, it was very surreal and confusing. My father came back in that morning crying and told me my mother had died of a brain hemorrhage.. 

Never go to bed on an argument.

Oddly and curious

daily picdump 4246 640 44
daily picdump 4246 640 44

daily picdump 4246 640 high 06
daily picdump 4246 640 high 06

daily picdump 4246 640 high 25
daily picdump 4246 640 high 25

daily picdump 4246 640 high 18
daily picdump 4246 640 high 18

daily picdump 4246 640 07
daily picdump 4246 640 07

daily picdump 4246 640 high 05
daily picdump 4246 640 high 05

Don’t live a life of regret

Like this man.

Not getting a second opinion sooner on my back injury. Injured my back at work in 2014, was taken to a WorkCover doctor where I now know I was misdiagnosed and then gaslighted by the workplace OH&S officer. Had I known that I could seek a second opinion from another doctor while on WorkCover, it would have been found that I had a permanent disc protrusion that was impacting a major nerve.

I waited 7 years to seek a different opinion, all because I believed the WorkCover doctor and a physio couldn't be wrong as they were professionals and that I had 'just a simple back strain'. Meanwhile I'm walking around in chronic pain with a disc protrusion that could've ruptured at any given moment. 

I'm now permanently disabled thanks to my own stupidity. And I can't take legal action against the company now as there is only a 3 year grace period to do so.

Illustrator Perfectly Captures The Beauty Of Single Life

1 69 1
1 69 1

Mexico-based artist Idalia Candelas draws women who are content to be alone. Using pencil, ink, and watercolor, “Postmodern Loneliness” is a series that celebrates being single. Candelas says she was inspired by her time living alone in Mexico City.

2 64
2 64

“The theme of the loneliness has been recurring in my drawings,” Candelas told Mic. “I like to show women who exist in solitude but do not suffer. They are not depressed or crying. Rather [they] are safe, exalting in the sense of enjoying the company of just herself.”

8 49
8 49

7 50 2
7 50 2

6 55
6 55

5 57
5 57

4 61
4 61

3 61 2
3 61 2

Do not live a life of regret

Like this person…

My mom died nearly one year ago. She battled ALS for two years. It was very sudden and a horrific experience. The last thing she said to me before she lost the ability to speak was “stay”. She was dying and afraid and just wanted her daughter to stay by her side, but I couldn’t do it. I couldn’t sit and watch her die. It was excruciating for me. I did visit her every day until her death, but she was no longer there really. She died a week later. I will never forgive myself.

Oddly funny

funny picdump 640 high 26
funny picdump 640 high 26

funny picdump 640 high 12
funny picdump 640 high 12

funny picdump 640 02
funny picdump 640 02

My last note today about China:

Within this decade, China, Russia and India as well as other nations will cease being technologically dependent on the West, “Top meeting urges expedited establishment of new pattern of development”:

China will ramp up efforts to stand on its own feet in science and technology and solve the issue of foreign stranglehold in the sphere, as part of a push for the country to become a global forerunner in key technological areas, according to key takeaways from the top leadership on Tuesday.

Xi Jinping, general secretary of the Communist Party of China (CPC) Central Committee, has stressed the efforts to accelerate the establishment of a new pattern of development and enhance the security and initiative of development when attending the second group study session of the Political Bureau of the 20th CPC Central Committee on Tuesday afternoon.

As the country eyes expediting the establishment of a new pattern of development, technological self-sufficiency and competitive yet secure supply chains will become all the more important, industry observers said, citing the US-led technology decoupling from China....

On top of that, the country will take accelerated steps toward science and technology self-sufficiency and self-strength and address foreign "stranglehold" issues, Xi said.

The actions by the Outlaw US Empire and its vassals that began decades ago to thwart development within the Global South has finally blown-back onto their economies as becoming sovereign in all areas is now the goal of all Global South nations and is a Movement that will not be beaten back again as it was in the 1950-60s when it was first attempted.

Recall that nobody holds a patent on Nature’s Secrets; they can be discovered by anyone and used.

The Global South holds a big advantage over the West in STEM grads and better economic models to follow to promote that advantage.

What remains to be seen in the future is the Global South’s behavior towards the West: Can the urge to put the West down and trample on it as the West did to the Global South be resisted?

Can Xi’s vision of a Win-Win world of a shared human destiny triumph? Only Time will tell.

Do not live a life of regret

As in this…

Being too kind to people that do me wrong all the time.

Some more Godzilla Haikus

godzilla haikus10
godzilla haikus10

godzilla haikus11
godzilla haikus11

godzilla haikus12
godzilla haikus12

godzilla haikus13
godzilla haikus13

godzilla haikus14
godzilla haikus14

godzilla haikus15
godzilla haikus15

godzilla haikus16
godzilla haikus16

godzilla haikus17
godzilla haikus17

godzilla haikus18
godzilla haikus18

godzilla haikus19
godzilla haikus19

godzilla haikus20
godzilla haikus20

godzilla haikus21
godzilla haikus21

Don’t live a life in regret

Like this fellow…

I deeply regret letting my creative writing and piano playing skills go to s**t. Ever since I entered the corporate world 19 years ago, it has consumed me and I no longer feel passionate about those things. I stopped practicing everything. When I try to make myself do them, it feels like just that, like I am forcing myself and it is no longer fun. I feel like I’ve become a shell of my former self in so many ways.

Preacher Man Casserole

The preacher isn’t the only one who will enjoy this great casserole.

2023 01 25 07 45
2023 01 25 07 45

Ingredients

  • 1 pound ground beef
  • Garlic (to taste)
  • 1 teaspoon salt
  • 1 teaspoon granulated sugar
  • 8 ounces tomato sauce
  • 8 ounces egg noodles
  • 8 ounces sour cream
  • 8 ounces cream cheese
  • 1 1/2 cups shredded cheddar cheese

Instructions

  1. Heat oven to 350 degrees F.
  2. Cook and drain ground beef.
  3. Mix beef with garlic, salt, sugar and tomato sauce.
  4. Cover and simmer for 15 minutes.
  5. Meanwhile, cook and drain egg noodles.
  6. Mix sour cream and cream cheese together in a small bowl.
  7. Layer, in order twice – noodles, sour cream mixture, meat.
  8. Sprinkle Cheddar cheese on top.
  9. Bake for 20 minutes.

Yield: 4 servings

Stoltenberg has spoken in Japan

Stoltenberg has spoken in Japan and Global Times has produced an editorial in response, “Why Stoltenberg’s speech was so blatant in Tokyo”. Here are the opening paragraphs:

NATO Secretary General Jens Stoltenberg delivered a speech at Keio University in Japan on Wednesday. 

If we are to describe this speech, it would be labeled with "poor level, bad influence, and insidious intentions." 

It was not worth mentioning, but the negative trends of NATO and Japan exposed from its content deserve the high vigilance of the entire Asia-Pacific region. It can be said that the speech is full of "ominous omens."

Before visiting Japan, Stoltenberg went to South Korea. 

Although he also played up the "China threat" in South Korea and tied China, Russia and North Korea together with malicious intent, his words were far less straightforward and blatant than in Tokyo. 

Facing the Japanese audience, Stoltenberg spent a lot of time attacking China. 

He said in a sensational tone, "What is happening in Europe today could happen in East Asia tomorrow." 

He also said unctuously, "China is not our adversary," but what he said later was basically to smear and slander China. 

He accused China of "substantially building up its military forces, including nuclear weapons, without any transparency" and said that China (the Chinese mainland) is attempting to assert control over the South China Sea, and threatening Taiwan.

Stoltenberg's words and actions in Japan and South Korea are very different, which reflects many deep-seated problems, indicating that the two countries play different roles in NATO's strategic design. 

In Seoul, he mainly spoke to the South Korean side, which was the target of his persuasion and incitement, while in Tokyo, he spoke to the entire Asia-Pacific region, and the Japanese authorities stood by as accomplices and co-conspirators.

A joint statement was issued after talks between Stoltenberg and Japanese Prime Minister Fumio Kishida on January 31, in which the common stance against China and Russia was very prominent, and the intention to interfere in the situation across the Taiwan Straits was very strong. 

These will undoubtedly create risks of camp confrontation and division in the Asia-Pacific region. 

The role NATO is playing is exactly the same as it has played on the European soil. 

In other words, it is actually NATO itself that is promoting the idea that "what is happening in Europe today could happen in East Asia tomorrow."

A wolf whose base camp and activities have been in the far west for a long time has found a foothold in East Asia with great ambitions. 

Japan is the one who lured the wolf into the house, and also seeks a high-sounding reason. 

As the secretary general of NATO, Stoltenberg is not qualified to dictate East Asian affairs, not to mention even giving outrageous statements. 

To some extent, Japan created such an opportunity for him and NATO. Stoltenberg did not hesitate to speak sweetly about Japan, saying that among NATO's partners, none is closer or more capable than Japan. 

He also praised Japan's substantial increase in defense budget and revision of its security strategy, behaviors that have been widely questioned in the Asia-Pacific region. 

NATO and Japan formed a vicious mutual reinforcement.

Like Germany, Japan is captured and lacks sovereignty.

Only its people can alter the situation, which is the same formula for Europe.

IMO, NATO will likely last through the 2030s, although it will likely shrink to just the Outlaw US Empire, Canada and UK, and perhaps Denmark and Netherlands.

Once Russia establishes its new security arrangements, it will become clear there’s no longer any need for NATO within Europe. But as with its evil twin the EU, it will take time for its complete demise.

This Woman Restored An Old Van To Make All Her Traveling Dreams Come True

1 5
1 5

Marina Piro wanted to travel the world with her rescue dog Odie, but she couldn’t find the right van to travel with. After a little bit of searching she decided that the best thing to do would be to build one herself.

More info: Instagram, Pamthevan

2 5
2 5

“The main reason why I chose to be travelling by van was that I wanted to have Odie with me. A van seemed the most viable option. Too many bus, train, plane companies do not accept dogs, not to mention the difficulties you might have to find a suitable accommodation. Despite being the most practical solution, van life with a dog can be difficult at times and you must consider various aspects of it before throwing yourself into it,” she said.

10 4
10 4

9 5
9 5

8 5
8 5

7 5
7 5

6 5
6 5

5 5
5 5

4 5
4 5

3 5
3 5

2s4
2s4

23s
23s

22 s1
22 s1

21 s1
21 s1

20 s2
20 s2

19 s2
19 s2

18 s2
18 s2

17 s2
17 s2

16 s2
16 s2

15 s2
15 s2

14 s2
14 s2

13 s2
13 s2

12 s3
12 s3

@@@11 3
@@@11 3

27g
27g

26g
26g

@@@g25
@@@g25

Pizza and cats and the end of the world

The United States is a mess.

It really is.

That’s all that I have to say about this today.

Pretty good.

What’s It Like To Take Part In An Ayahuasca Ceremony?

The first time I heard about Ayahuasca was in a Rolling Stone article and it did not paint a pretty picture: sitting blindfolded in a dark room, puking into buckets, and wearing adult diapers. I suppose in an attempt at journalistic fairness the author talked about both the psychedelic wonders of the experience and also the corridors of hell. I found the article terrifying.

A year or so later a close friend of mine had his own experience with the spirit vine and upon telling me about his beautiful adventures he continually prodded me, “When are you going to drink Ayahuasca?” In my mind, not soon, but now at least I had an invitation. Through my ongoing spiritual journey I learned more about the “Grandmother” and after about two years of education I decided it was time for a visit. I asked my friend if he could help arrange an upcoming session and two months later I found myself in an Ayahuasca healing ceremony with a Peruvian Shaman direct from the Amazon.

I arrived at the temple about an hour or so before the ceremony was to begin. There were twenty total participants and everyone was setting up little beds – mattress pads, blankets, pillows, personal “power objects” and everyone had a small white bucket for purging into. Another first-timer friend of mind accompanied me for the journey. The group was arranged in a U-shaped circle and with not much room left so my friend and I arranged ourselves at opposite ends of the U with the Shaman between us. Later I discovered that my friend and I were the only two virgin Ayahuascaros of the group. I found it pleasing that the two of us ended up at the opposite anchors of the circle and next to the Shaman.

The Shaman spoke for about twenty or thirty minutes about what we were embarking upon. He blessed the Ayahuasca, called in the four spirits, and then called us up one by one to receive our dosage. I was the last of the group to get the medicine. I was relatively calm in those final moments but had plenty of anxiety beforehand. I had been preparing for the experience a long time with a special recommended diet of no salt, no dairy, no refined sugar, no red meat or pork, no alcohol, no sex, no drugs of any kind, and also meditation in both the morning and evening.

I knelt down to receive my brew. Knowing it was my first time the Shaman asked me through a translator, “Do you have experience with other psychedelics?” I said, “Yes.” “Are you sensitive?” I said, “No,” but haltingly. He poured a dark brown gooey liquid from one container into a thimble shot glass like cup – he looked me in the eyes – poured a bit more from a second container – looked me in the eyes – and then poured a bit back into the container. I felt he was sensing some kind of innocence and I trusted his dosing completely. I drank the goo, which tasted like battery acid mixed with echinachia extract. I thanked him and sat down on my mat.

We sat in silence for about thirty minutes. I was not feeling any effects besides intense anticipation. The sun had now receded and total darkness descended upon the room. I breathed and closed my eyes and after 30 or 40 minutes it began.

I started to see geometric like patterns. Something was happening. I heard someone purge. It was my friend. “Oh no. Is he okay? Here we go,” I thought. The Shaman began shaking a rattle and singing. The visuals rapidly increased into a multi-colored, fractal, ever changing Tron-like laser light show moving at hyper speed. It was amazing but fast. Soon I felt a buzzing of energy around me that was incredibly strong. I got very hot and uncomfortable. I was sweating profusely and I couldn’t find relief – just too hot. I began to accept the fact that I would likely have to throw up. I was having a hard time with it. I reached in the darkness for my little white bucket and put it between my legs. As the buzzing grew and against the cacophony of the light show I heard a voice – a cheerful little spirit – it said, “Okay, so we’re going to do this and it won’t be that bad and after it’s over with things are just going to be great, are you ready?” I mumbled a weak “Okay.” The voice added, “No don’t think about it too much we’ll just get it over with, ok, here we go…” And then I purged. Considering I had been fasting for the previous twenty-four hours all that came up was the same Ayahuasca battery acid and a little bit of water. It came out in an explosion of colors and a wild burst of energy. I heaved as much as I could, tried to clean myself up, and lay back down in a fetal position with my puke bucket as my new best friend.

I lay there on my side and entered hyperspace. The Absolute. I immediately felt better. One of the three sitters in the room changed out my bucket. It was so strong. A muscular force that was lifting me into another dimension. I had no idea where we were going next – I just focused on my breathing: long slow breaths in through the nose, out through the mouth. This was my lifeblood. My meditation practice before the journey was invaluable. Being able to continually return to by breath and release thoughts helped steer the balance of my sanity. The more I was able to breath with total purity – without thought or judgment – the more I slipped into ecstatic enlightenment. I could feel little flittering floating elf like creatures buzzing around me and pulling away layers of beauty.

The music was beautiful and the Shaman was seemingly everywhere. Sometimes I would sing along with his songs to help regulate my breathing. I would hang onto his singing like a life preserver in a stormy ocean. His rhythm was incredible. He would sing a song and then stop for what seemed like long stretches of time when I could forget there was any music before at all. And in the pauses was total silence as we gently rocked in a womb of absolute being.

I felt we were in a hall or pantheon of cosmic peace and wisdom – an infinite space of pure thoughtless being. All twenty of us were there together, all absolutely in the same space, all breathing together – in and out. It was incredible. A room of souls just hanging out in Timelessness, purring and utterly connected. If someone was in need – in pain or purging – we would breath for him or her and bring the individual back into the space. I realized that we were taking turns breathing for one other – we took turns at many tasks, looking after one another – so we could all do the “work” that needed to be done. I found this to be a poignant model for building community on planet Earth: each of us taking care of one another, taking turns, trading, sharing, not waiting or expecting, pure giving.

Throughout the ceremony my mind, my ego, was a masterful clever fellow. I saw my mind as a separate sentient being with thirty-one years of experience and it would use unbelievable complex tricks to grab my attention. Anytime I found myself “thinking” and falling down an uncomfortable void of anxiety I would (as in meditation) return to the breath – in and out – and almost instantly the bliss returned, the cosmic knowledge returned: “this is the lesson, this is your being.” The never ending back and forth from our minds to our body, from our ego to our souls, from our thoughts to our breath, is an endless lesson in forgiveness – I was learning how to let go and surrender to what is, to the moment, absent of any punishment or perceived outcome. I felt profound forgiveness. I felt a lifetime of judgment and guilt for all my perceived shortcomings and apparent failures disintegrate in one breath. The simplicity ushered absolute peace. Just one breath and it was gone.

At one point I felt the voice return and it said, “Do you want to know what enlightenment is?” “Yes,” I replied. I took another slow deep thoughtless breath and understood it in pure manifestation. “There it is, it’s as simple as that” replied the voice, “and it’s with you at every moment.” It’s all inside us – enlightenment is as simple as letting go of your mind – letting go of attachment to yourself, to outcomes, to just letting go to the way things are. But the realization wasn’t a rejection of my ego. Instead it’s about embracing the false duality of our existence with compassion.

The songs continued. The journey pressed on. Sometimes other people offered music. Much of it was transcendent: antique guitars, chimes, solo voices, flutes, and myself with a drum.

Throughout the ceremony I sometimes wondered how long it had been or how much longer it would continue but such “thoughts” only brought discomfort and I found them to be yet another trick of the mind. The Shaman came over to me at one point and put his hand on my head and whispered in my ear, “How are you?” “I’m listening to you, I’m here, I’m listening,” I whispered. He blew something around me and under my shirt and I felt as if gold rain was washing away all my fears, all menacing spirits, and I melted into surrender with bottomless gratitude.

The absence of validation and judgment with the embracing of total surrender and forgiveness for the Self and others (many times being the same thing) was a critical lesson. I felt this was the ticket to the highway of eternity. Forgive myself, let go, and breath – in and out.

China reveals tailless concept for next-generation fighter jet

.

4a5e7b9b 2a8f 4468 a114 ce073c0c9a5a
4a5e7b9b 2a8f 4468 a114 ce073c0c9a5a

A promotional video released by the Chinese aviation industry on Tuesday featured computer generated images showing what analysts said on Wednesday could represent a concept of the country’s next-generation fighter jet, which reflects China’s determination to outpace the US in new warplane development.

The video, published in the WeChat video channel of the state-owned Aviation Industry Corporation of China (AVIC), introduced China’s airborne radar development and featured near its end a computer-generated clip showing three unknown aircraft flying in formation.

The aircraft looked like the J-20 stealth fighter jet, but with no canards, tails or fins, and the diamond-shaped wings appeared bigger than those of the J-20, giving it what seems to be a blended wing-body configuration, observers said, who also speculated that it might be China’s next-generation fighter jet.

At the Airshow China 2022 held in Zhuhai, South China’s Guangdong Province in November 2022, AVIC put on display a concept model of a next-generation fighter jet, which also had a tailless design like the aircraft shown in the latest video.

Other countries are also conducting research and development into next-generation fighter jets, and tailless designs similar to the one shown by China are some of the most popular concepts, Fu Qianshao, a Chinese military aviation expert, told the Global Times on Wednesday.

A tailless design will give the next-generation, or the sixth-generation, fighter jet superior stealth capability in all directions than current fifth-generation ones, and a blended wing body design will provide higher lift, longer range and lower fuel consumption. However, without vertical tails, the new aircraft will lose out on maneuverability if it does not use other designs or technologies to compensate, like thrust vectoring control-capable engines and split brake rudders, or other innovative approaches, analysts said.

With the project name Next Generation Air Dominance, the US’ next-generation fighter jet might also use a tailless design, according to a computer-generated rendering by US military warplane contractor Lockheed Martin, US news website Defense News reported in September 2022.

Based on the information available now, China has started research and development in terms of the next-generation fighter jet, and it is in a confident place to eventually outpace the US, Fu said.

What’s It Like To Work For Elon Musk

After working for Elon for over 5 years at SpaceX as the Head of Talent Acquisition, there are many potential answers to this question.  Any answer I might give will be completely colored by my own experiences, so full disclaimer this is not an unbiased piece free of personal narrative.

It is said that you cannot dream yourself a character; you must hammer and forge one yourself. If any leader and any company has done that, and continues to do that it is SpaceX.  To try and capture in words what  working with Elon is like, I’d like to share some specific memories, particularly of one really rough day and its epic aftermath.

On Aug 2 2008, 8 months after I joined the company, SpaceX launched its third flight of the Falcon 1 launch vehicle.  Falcon 1 was the predecessor to the Falcon 9 launch vehicles that the company flies today.

It was a defining moment for the company. Elon had a couple years prior stated in the press that his $100M personal investment in the company would get us up to 3 tries and if we couldn’t be successful by the third flight we may have to admit defeat.  In addition to the pressure created by this narrative in the press, the lobbyist armies of our competitors  (largest, most powerful defense contractors in the world) had been in overdrive in DC trying to undermine SpaceX and damage our credibility by painting us as too risky and inexperienced in order to protect their multi-billion dollar interests in the space launch business.

SpaceX executed a picture perfect flight of the first stage (portion of the flight that gets the vehicle away from Earth’s gravity and where the vehicle experiences max Q/maximum dynamic pressure, or basically where the conditions on the vehicle are physically the harshest) clearing some of the highest risk points of mission.

However, shortly after the first stage flight, immediately following stage separation (when the first stage of the vehicle detaches and falls away from the 2nd stage of the vehicle which continues its journey to space) we lost the vehicle and mission.

SpaceX, VP of Propulsion Tom Mueller, the modern day godfather of rocket science and one of the most brilliant scientific minds on the planet, and his team had done such a great job redesigning the vehicles engines systems that they were even more efficient and powerful than in some ways projected.

We turned off the first stage engine, and then proceeded to separate the vehicle stages; however when the stages uncoupled there was still a little leftover ‘kick’ or thrust in the first stage engine- so our first stage literally rear ended our second stage immediately after we had tried to separate the two sections of the vehicle. It was a devastating emotional experience.

I stood around with the then 350 or so employees, and we cheered the vehicle on as it took off, and as we were watching the mission clock and knew that the stages were about to separate – the video feed was cut.  The company is on a 20 second viewing delay from the mission control team as we are being projected the external press feed which is delayed in case of major mission anomalies.  So when we lost video, we knew something had gone  wrong in a big way.

Elon and about 7-8 of the most senior technical people at SpaceX were commanding the mission from a trailer in the back of the Hawthorne factory; and we all waited anxiously for the trailer door to open and for someone to tell us something.  The mood in the building hung thick with despair; you have to keep in mind that by this point SpaceX was 6 years old, and many people have been working 70-80+ hours a week, swimming against extremely powerful currents, like difficult  barriers in technology, institution, politics, and finance- by sheer force of their blood and sweat.

They had all given so much, were mentally and physically exhausted, and really needed a win in order to replenish their spiritual wells and give them the faith to keep following this man up a treacherous mountain that had depleted the hopes and resources of the many others who had come to conquer it.

This night would forever impact the future of the company, it had the potential to send the company into a downward spiral, from which we may not have ever recovered. A failure in leadership would have destroyed us not only from the eyes of the press or potential consumers but it would have destroyed us internally.

When Elon came out he walked past the press and first addressed the company. Although his exact words escape me in how he started off, the essence of his comments were that:

  • We knew this was going to be hard, it is after all rocket science;  then listed the half dozen or so countries who had failed to even successfully execute a first stage flight and get to outer space, a feat we had accomplished successfully that day.
  • Elon has (in his infinite wisdom) prepared for the possibility of an issue with the flight by taking on a significant investment (from Draper Fisher Jurvetson if I recall correctly)  providing SpaceX with ample financial resources to attempt 2 more launches; giving us security until at least flight 5 if needed.
  • And that we need to pick ourselves up, and dust ourselves off, because we have a lot of work to do.  Then he said, with as much fortitude and ferocity as he could muster after having been awake for like 20+ hours by this point that, “”For my part, I will never give up and I mean never,” and that if we stick with him, we will win.

I think most of us would have followed him into the gates of hell carrying suntan oil after that.  It was the most impressive display of leadership that I have ever witnessed.  Within moments the energy of the building went from despair and defeat to a massive buzz of determination as people began to focus on moving forward instead of looking back. This shift happened collectively, across all 300+ people in a matter of not more than 5 seconds. I wish I had video footage as I would love to analyze the shifts in body language that occurred over those 5 seconds. It was an unbelievably powerful experience.

What happened in the days and weeks following that night is nothing short of a series of miracles:

  • Within a matter of hours the SpaceX team identified the likely cause of the launch failure. Typically turnaround time from others in the launch business can range from weeks to months for failure investigations. Our team combed through every ounce of data to make sure we understood exactly what went wrong as quickly as possible.
  • By Aug 6th we announced the results of our investigation and came 100% clean with our supporters and customer community in order to make sure we could retain their trust in this difficult time. (Space Exploration Technologies Corporation)
  • In 7 weeks, we had another rocket fully manufactured, integrated and on location ready to fly again. No one else could have done this in less than 6 months with unlimited human and financial resources; SpaceX did it in 6 weeks, with less than 400 people and on a restricted financial diet.
  • On Sept 28 2008, SpaceX flew its Falcon 1 launch vehicle from Kwajalein Atoll in the south pacific and executed its first 100% successful launch becoming the world’s first privately built rocket to achieve earth orbit.  An accomplishment of truly epic portions and a task previously completed by only 6 mightiest nations in the history of the world.  A much needed and much deserved victory for the entire SpaceX team and as it hopefully will turn out the future of humanity overall.

So for those who ask the question, this is in my opinion the true character of Elon Musk. Undeterred in the face of all odds, undaunted by the fear of failure, and forged in the battlefields of some of the most terrifyingly technical, and capital intensive challenges that any human being could choose to take on.  Somehow he comes out alive, every time – with the other guy’s head on a platter.

Working with him isn’t a comfortable experience, he is never satisfied with himself so he is never really satisfied with anyone around him. He pushes himself harder and harder and he pushes others around him the exact same way. The challenge is that he is a machine and the rest of us aren’t. So if you work for Elon you have to accept the discomfort. But in that discomfort is the kind of growth you can’t get anywhere else, and worth every ounce of blood and sweat.

Dolly Singh

Major news regarding the Chinese nuclear submarine fleet and development

And yet another new 093B hull was spotted.

main qimg 2a323ec05c2830ecd430ccc785098973 lq
main qimg 2a323ec05c2830ecd430ccc785098973 lq

I find three details more interesting though.

1- It seems to have a pump jet.

2- There can be a VLS farm under that blanket.

main qimg 5d457fd6a643ac88db88d9bc3ed625cd pjlq
main qimg 5d457fd6a643ac88db88d9bc3ed625cd pjlq

3- Most importantly, look at the number of hull sections in the bottom left. Counting their pixels, their size is in line with what you’d expect from a hull section for 093.

main qimg 782a3248dd9cced59e0c34ac1550f374 lq
main qimg 782a3248dd9cced59e0c34ac1550f374 lq

So far this model which was ordered by the shipyard that builds real subs has proven accurate.

main qimg 45110d79bcaea6f27f66020f39ff62e9 lq
main qimg 45110d79bcaea6f27f66020f39ff62e9 lq

Kai Yat Sai (ไข่ยัดไส้หมูสับ)

2023 02 01 18 41
2023 02 01 18 41

Ingredients:
¼ cup (60 ml) oil
150 g ground pork or chicken
3 tablespoon diced cherry tomatoes
3 tablespoon fresh or frozen green peas
2 tablespoon minced onion
2 teaspoon sugar
1 ½ tablespoon fish sauce
¼ tspn black soy sauce
¼ teaspoon ground white pepper
3 eggs, beaten
Method:
Heat half of the oil in a wok high heat and stir-fry the meat for 2 minutes or until cooked. Add the tomatoes, green peas, onion, sugar, fish sauce, soy sauce and pepper. Stir-fry another 2 to 3 minutes until cooked then set aside.

2023 02 01 18 44d
2023 02 01 18 44d

Heat a small skillet or omelet pan 12 to 20 cm in diameter and add a drop of the remaining oil. Pour in enough egg to thinly cover the base. Brown the omelet lightly on both sides, being careful to turn the omelet over gently halfway through cooking.

2023 02 01 18 4f7
2023 02 01 18 4f7

To stuff the omelet, place 1 to 2 spoonfuls of the meat mixture in the center, fold two opposite sides toward the center and then fold in the remaining sides so that the omelet forms a square. Place on a serving plate and repeat until all the egg and pork mixture is used.

2023 02 01 18 44
2023 02 01 18 44

Garnish with coriander leaves (cilantro) and finely sliced red chilli.

What’s It Like To Date A Model

I dated a model during what you might call her “declining” years. I put that in quotes because to a normal person the idea is absurd. Models have a shelf-life of maybe 10 years, 15 if they are lucky. Once a model hits 30, the modeling industry considers her old and used up, and there is no shortage of eager 15- and 26-year-olds from Eastern Europe who are willing to work longer hours, fly more places, and get paid far less. Almost every model in her late 20s (including the woman I dated) begins to worry incessantly (when she isn’t worrying about nonexistent eye wrinkles) about how to make herself into a “brand” and transition into being a supermodel, which is pretty much the only post modeling career available to you in this line of work.

Dating a model is pretty interesting. As a couple and as a man, you are immediately accorded utterly absurd amounts of social consideration. Any time we were out, we’d get special treatment. Not just from service people but just regular people. People would regularly offer to let us cut in front of them in lines at restaurants, grocery stores, even once at the DMV(!) when we happened to go together. Of course we could get into clubs, although this is not as great as it seems because every two-bit wannabe pickup artist would try to chat “us” (really just her) up when we were just there to dance and have a good time with friends. Probably the biggest benefit is that we always stood an extremely good chance of being offered upgrades to first class when flying. Airlines look for well-dressed people to offer first-class upgrades to when seats are open, and dating my girlfriend had led me to up my game in terms of dress so I always wore a jacket and tie when flying, so we were a pretty good-looking couple (well, she was—I was a chump in a nice suit), and we would always get offered the first-class upgrades. And we flew a lot, because my job is pretty portable and she would have shoots all over the world. I eventually decided that dating a model was potentially a cash-flow-positive arrangement in that during the seasons where we traveled frequently enough, the value of the first-class upgrades we would receive (sometimes thousands of dollars) actually exceeded the amount of money I spent taking her out on dates or covering for her fraction of the rent (more on this below).

Speaking of money, her finances were always a mess. I’ve heard this is often an issue with people who work in industries where you get irregular lump-sum payments for your work. She would get huge checks every few months, but on a highly irregular and totally unpredictable basis. And as a contractor, she would be responsible for handling her own tax withholdings (which she would never do), so she would always have a huge unexpected tax bill in the spring that she would freak out about, and each time she was only saved in the nick of time by the next check that (luckily) came in the mail. I was brought up to be pretty good with money, so I tried to help her keep her finances in order, but she never understood why she should put away about 45 percent (“That’s like half my earnings!”) from every check to account for the self-employment taxes that would be due at the end of the year. After being together for a couple years, I got a good sense of how much she earned over time, and I tried to explain to her what she should try to think of as her average income stream over time and to keep weekly expenses in line, but it was something she just wasn’t very interested in. Instead she would go on partying and shopping binges in the weeks following getting paid and the rest of the time scraping by when she wasn’t. Luckily, I made the wise decision to keep our finances completely separate even when we started living together and “splitting” the rent, which more often than not turned out to be me footing all of the rent for that month and her paying me back months later when she got paid. But like I said, sometimes this was offset by the tremendous material consideration in the form of airline upgrades or hotel room upgrades when we would go on vacation.

Ultimately though, the most frustrating thing about the whole experience is that despite being absolutely drop-dead gorgeous (some models look “strange,” while others are more conventionally beautiful, and she was one of the conventionally beautiful ones), she became increasingly insecure and worried about her “declining” looks. To give you an idea of what this is like, imagine someone who is literally better looking than anyone else you know or ever meet on the street. Not only this but they are, by dint of their profession, an expert in terms of how to dress and apply makeup, so you are basically dating a walking Photoshop commercial. Despite this, she would obsess about what I could only perceive to be completely invisible fat on her thighs and just-as-invisible wrinkles around her eyes. She would literally ask me, “Do I look fat?” or “Don’t you think I look old?” and of course as a man with a good sense of perspective about what I’d managed to snag, at first I would enthusiastically answer, “Of course not! You’re the most beautiful woman on the planet!” which as far as I could tell was 100 percent the truth. The problem was, none of these really assuaged her insecurities (of course) so she would keep asking over and over, and there is a limit to how many times you can enthusiastically exclaim about how beautiful your girlfriend is, even if you do believe it to be the truth. Obviously, she noticed this difference in the enthusiasm of my answers, and it didn’t help her insecurity about her supposed fading looks. Remember, again, during all this time she is still better looking than 99.99 percent of all human beings, so you get a sense of the utter absurdity of the situation.

She was also spending all of her spare time trying to “make it” as a supermodel, which for those who aren’t familiar with the industry, doesn’t mean “extra-good-looking model,” it means models who have the brains to figure out that they have to leverage their looks into building themselves into a brand and business before their shelf-life runs out. She had several friends who were doing the same thing (models have wised up to the game, with the success of supermodels like Tyra Banks and Heidi Klum who have parlayed their careers into television shows and such), one of them is having some measure of success at it—you would probably recognize her name since she hosts a minor show on cable. But of course to build a business, you need to, at a minimum, be pretty good with finances, and she had no interest in it, despite my continuing attempts to try and get her to pay attention to the basics. It wasn’t that she wasn’t smart—she just hated finance. As a result of this, she became gradually more demotivated, insecure, and would complain often that she was “over the hill,” which is pretty absurd at 28 or 29 (although I hear it sometimes from Silicon Valley entrepreneurs, which I consider equally absurd) and it became a continual source of negativity in our day-to-day interactions.

I met her when she was 25, and we dated nearly four years until finally breaking up just a couple months before she turned 30. I know I’ve sounded pretty negative in this answer, but in the first couple years the relationship was so good that I thought she was marriage material, but her insecurity and negativity became such a problem later on that despite my attempts to be supportive and make it work, we eventually had to part ways. I really thought we were meant to be together so I probably let things go on for much longer than was wise, in retrospect. At one point, I thought maybe we could make it work as a joint venture, with her doing the modeling and speaking and industry relationships, and I would handle the finance and “business” pieces, but her negativity and insecurity about everything had totally poisoned things between us so much by then that I just couldn’t handle it anymore.

One funny postscript is that my mom perhaps recognized this before I did, and (to my chagrin at the time) tried to set me up with various hometown girls when I would visit for holidays. Finally, I met someone when I was home for Christmas when my mom, before I could stop her, introduced me as “my son, who is dating the supermodel” to a girl I’d been friends with in high school, which of course got her to talk to me. She now says she was impressed not because I was dating a supermodel, but because I was helping her with her finances and “good with business,” and now she is my fiancee.

Anonymous 

.

US ‘not sincere’ in fixing ties with China, as Washington still wants to copy ‘bloc-to-bloc confrontation’ in Asia-Pacific

‘Bloc confrontation, Cold War mentality’ not welcomed in Asia-Pacific
Yang ShengPublished: Feb 01, 2023 08:42 PM Updated: Feb 01, 2023 09:10 PM

Senior officials of the US and US-led military organization NATO are continuing to create hostile atmosphere against China among its allies and partners in the Asia-Pacific region, but at the same time, the US is seeking more engagement with China to better manage the so-called competition and the US even wishes to cooperate with China on some issues of great concern to the US, such as finance and the economy, climate change and the Russia-Ukraine conflict.Chinese analysts said the insincerity of the US side is very obvious, so China will also be cautious when it deals with the US. If the US refuses to correct its mistakes to fix the bilateral ties that have been unilaterally damaged by the US side since 2018, but  instead, keeps urging and using its allies to contain China, then Washington should not expect China to be cooperative in those fields.

According to the Associated Press, US Defense Secretary Lloyd Austin was in the Philippines on Wednesday for talks about deploying US forces and weapons in more Philippine military camps to ramp up deterrence against China’s actions around the island of Taiwan and the South China Sea.

In an interview with the Financial Times earlier this month, Philippine President Ferdinand Marcos ruled out the reopening of the former US military bases of Subic Bay and Clark, saying it was against his country’s constitution to allow foreign bases on its soil.

On Tuesday, visiting NATO Secretary General Jens Stoltenberg and Japanese Prime Minster Fumio Kishida pledged to strengthen their ties and discussed “challenges,” including “China’s coercive behavior” in the region. Stoltenberg also implied to the media that an incident like the Russia-Ukraine crisis in Europe could also happen in Asia while labeling China as a “threat” to regional peace.

Mao Ning, a spokesperson of the Chinese Foreign Ministry, responding to a question related to the NATO chief’s remarks raised at Wednesday’s routine press conference, said that on hot spot issues like the Russia-Ukraine conflict, “China has always played an active role in promoting peace and negotiation,” and that NATO should carefully rethink what role it has played in the security of Europe.

“What I want to stress is that the Asia-Pacific region is not a battlefield for geopolitical struggles, and we don’t welcome a Cold War mentality or bloc confrontation,” Mao said.

The VOA said in a report that US experts hold low expectations for US State Secretary Antony Blinken’s potential trip to China as they believe it will not “reset” ties with China. So far, the Chinese side is yet to confirm Blinken’s visit despite the spokesperson of China’s Foreign Affairs Ministry previously expressing an attitude of welcome.

Lü Xiang, an expert on US studies at the Chinese Academy of Social Sciences, told the Global Times on Wednesday that the reason why the Chinese side has held such low expectations is that it knew the US will not change its hostile attitude toward China, and it knew Washington will not be sincere in fixing the bilateral relations.

“China will also hold a cautious attitude to deal with the US. We are open to any efforts for engagement, but we are also clear that the US will not give up its attempt to contain China’s development, and China will never give up its legitimate and rightful development,” Lü noted.

When US leaders and officials talk about setting “guardrails” for the China-US tension, they are actually talking about setting unfair rules and standards issued by the US and they want China to follow those rules to eventually contain China’s development, a Beijing-based analyst said.

However, China hopes “setting guardrails” is about making sure Washington does not do anything to provoke the China’s core interests like the Taiwan question, he  remarked.

The US will not get what it wants from China if Washington shows no sincerity to correct the mistakes it has made since it launched a trade war with China in 2018, and the tough economic situation will make the US pay a higher price for its China policies, analysts noted.

.

Bakhmut, Ukraine within 10KM of Encirclement; Supply Routes for Ukraine Troops CUT OFF

BakhmutMap large
BakhmutMap large

The city of Bakhmut, Ukraine is besieged and all available highway routes to supply Ukrainian troops, have been captured by Russian forces.

The final state highway #00506 remains uncaptured, but is now well within range of Russian artillery, so for all intents and purposes, Bakhmut has been captured.

The mass-media has been reporting that Ukraine is “winning” its conflict with Russia.  This is **not** what “winning” looks like!

What Does It Feel Like To Go From Being Wealthy To Being Poor?

 

The global financial crisis destroyed me in 2008. The years immediately after were some of the worst years of my life. I lost everything; or at least I thought I did.

As it turns out, I didn’t lose much at all (assuming you don’t count approximately $3 million in real estate equity and a couple of hundred thousand dollars in cash, as “much”).

I was in Vegas when Lehman Brothers folded… It was my birthday … and it was the first time I’d ever lost big there. I should have known something wicked was coming, but I didn’t. So when my consulting contract didn’t get renewed, I didn’t panic. I kept doing business as usual. When my tenants defaulted on rent, I kept paying mortgages. A year later, I still had $50,000 plus in the bank … enough of a cushion.

I suppose at this time I should make you aware that I was not exactly a low-profile person. I was (and am) in luxury goods and hospitality, and I consulted with companies catering to high-net worth individuals. I helped them design sales and business strategies to keep their clients happy in the short and long term. Needless to say, the luxury sector was massacred, and is still clawing its way out of the muck and mire, at least in the United States.

So, with enough money to float for six to ten months, I kept looking for work in my field.

And looking, and looking … nothing.

Any kind of business consulting … nothing (six more months go by).

Any kind of sales … nothing (six more months … this was where it got scary).

Waiting tables, bar-tending, limo driving, grocery bagging … ANYTHING!

Nope.

Bear in mind that up until this point, I had never even gone a month without a job since I was 12 years old.

My confidence was shot – I mean decimated. I was a shell of the man I had been only two years previously.

I had the stink of failure all over me.

A friend of mine owned a couple of car-washes. He offered me a job. It was outside work, taking orders when people drove in to the wash. “Would you like the undercarriage done?”

It was winter in Colorado

I declined.

I was sharing a huge house at the time with my best buddy and his new girlfriend, who became his fiancé, and we were ALL broke. It was brutal. I don’t think I would have made it without them. I was depressed and miserable. I’m lucky they didn’t bury me in a snow bank and leave me there. I’m sure there were times they wanted to.

“Cocky” doesn’t do failure well.

My buddy with the car-wash called again a few weeks later. I said no again. Not just because of the embarrassment. Not just because of the cold weather and the elements, or standing on my feet for 10 hours a day on concrete without Wi-Fi.

It was because of my father.

Almost every good father has a catch phrase that he uses to motivate his sons to do better than he did. Typically, it’s the threat of being stuck doing any minimum-wage job that no teenager from the Gekko era would ever aspire to. For some reason, the example that my father chose was “car wash”. We’d go through Towne Auto Wash after Little League and he’d always point to that guy who asks, “Do you want a regular wash, or deluxe?” and then hands you that little piece of paper.

“Mickey” He’d say. “You have to save some money/get better grades/quit chasing girls/do your homework. You don’t want to end up like that guy, working in a car-wash, do you?” The last time I heard the speech was around 1996. The words, however, hung in the air for years to come.

So, you can see my quandary. To me, working in a car-wash was the ultimate admission of failure. Not losing all my assets. Not selling my watches and cars. Not letting go of a few rugs and some art.

I was living with friends, driving a 17-year-old car, had less than $200 in the bank with no idea where the next $200 was coming from, and I was worried about being seen as a failure.

A little deluded?

Perhaps, but reality kicked in when I didn’t have money for a niece’s birthday present.

So I called my friend back and asked if I could still have the job at the car-wash. My utter failure as a human being was complete, my humiliation final -or so I thought.

On my third day of dragging myself in to work, the raven-haired stunner that I’d hired as my assistant five years previous pulled in – driving a brand new Lexus.

NOW my humiliation was complete.

There was nowhere to run, no place to hide.

And yet … just as I was about to die from shame, something happened that literally changed my life. She smiled, jumped out of her car, pointed her Louboutins right at me, ran over and gave me a hug. We chatted for about 10 minutes while her car was getting done. She said she was happy to see me, that I’d been a great boss, and that she was glad I was working. “Sooooo many” of her friends(able-bodied twenty-somethings) were unemployed, and at least I wasn’t trapped behind a desk.

I realized that I’d been beating myself up needlessly, and saw how lucky I truly was.

In that instant, I decided that instead of just showing up until I could find something better, I would use all my skills to increase my friend’s business, and I did. Over the next few months, something amazing happened to me. Something I never saw coming, and something that impacted my life and made me a better man.

I saw hundreds of people every day and none of them thought I was a failure, and it energized me. I smiled. They smiled back. I was happy and engaging, and I sold about a gazillion deluxe washes. But also, my worst fear morphed into something I started to look forward to. I got my confidence back, and it was obvious. I saw DOZENS of people I knew – clients, old customers, friends I’d lost touch with, and every single one of them said something positive.

They respected me.

They held me in higher esteem for seeing me in the cold, wearing a red nylon jacket with a car wash logo on it. Nobody made fun of me or called me names. Nobody laughed.

There was even an article in a local lifestyle magazine about me.

They respected me for doing what had to be done (I’m sure a few were secretly happy that I’d been taken down a few pegs … but hey, we’re all human, right?)

The truth of my situation was laid bare for the world to see … there’s no way to spin a story when you are asking people if they want the basic or deluxe wash. There’s no amount of charm of polish or bullshit that can hide the truth.

I was working in a car wash – and nobody thought I was a failure. Not even my father.

Then, about 6 months later, one of my old clients called. He needed some help setting up a new luxury club. We put a deal together and when I resigned from the car-wash, my friend was genuinely sad, saying I was the best employee he’d ever had.

I approached that new consulting contract with a vigor and zest for life I hadn’t felt for years! A few months after that, another contract took me to Asia, and I’ve been consulting over here ever since.

So, my worst fear turned out to be my salvation.

It gave me confidence, paid my bills for a while and put me in a position to move my company to Asia and have access to an abundance of new cultures and growing markets.

Sure, I’m not quite back to where I was that day 9 years ago in Vegas, but I have a red nylon jacket with a car wash logo on it that reminds me that for my version of success, I don’t have to be.

– Michael Aumock

Bpeek Kai Yat Sai Koong
(Stuffed Chicken Wings)

This recipe was a popular one at the restaurant that my wife was working in, located in Merrimack, New Hampshire (now I believe no longer in business – at least not under the same management). The original was available in two strengths quot;normal” and “five flames” – so you can suit yourself as the heat by simply increasing and decreasing the amount of chiles and curry paste that is added to the stuffing mixture.

As for the question “how many does it make” the answer is that it depends on how well you stuff the wings.

The original was known as “mini drum sticks” incidentally, and the ingredients added to the stuffing were the plain chiles, ginger and garlic, not the marinated variants that my wife includes in this version.

These little morsels can be eaten as a starter, or as a snack on their own. They are also served as a side dish with a larger Thai dinner.

If you choose to make some wings hotter than others, then you can dip the hot ones in a little red food coloring diluted in water to turn them red… as a warning to the unwary!

Ingredients

Marinade

  • 1 teaspoon fish sauce
  • 1/4 cup takhrai (lemon grass), very finely sliced
  • 2 tablespoons minced garlic
  • 1 teaspoon freshly ground prikthai (black pepper)
  • 1/4 cup chopped pak chi (coriander/cilantro plant)

Stuffing

  • Drained nam jim wan (see method)
  • Drained khing dong (see method)
  • 1 cup shrimp, pureed or finely chopped
  • 1 tablespoon prik ki nu daeng (red birdseye chiles), finely chopped
  • 1 tablespoon prik nam pao (chili paste in oil)
  • 1 tablespoon red curry paste

Wings

  • 12 chicken wings

Instructions

  1. Chop the chicken wings in half.
  2. Combine the marinade ingredients and marinade the wings overnight.
  3. Now you must separate the meat from the bones by gripping one end of each piece and jerking the meat and skin from the other end back to your hold (alternatively you can insert the stuffing using a cake icing bag).
  4. Drain about 1 tablespoon of the ginger from a bottle of khing dong.
  5. Similarly drain a tablespoon of the chili/garlic mixture for a bottle of nam jim wan.
  6. Combine all the ingredients of the stuffing to form a fine paste, making sure that the ingredients are thoroughly incorporated to avoid “hot spots” in the mixture. and then stuff the wing portions with it.
  7. The mini drumsticks can now be barbecued or deep fried until golden brown.
  8. Serve with khing dong and nam jim wan.

US to arm Ukraine with ‘longer-range’ missiles

.

President Joe Biden’s administration has reportedly decided to send longer-range rockets to Ukraine, giving Kiev’s forces the capability to hit targets farther behind the frontlines, just as a top Ukrainian intelligence official threatened more strikes deeper inside Russia.

The gift of Ground Launched Small Diameter Bomb (GLSDB) rocket artillery munitions with a range of 150 kilometers (94 miles) will be part of an upcoming military aid package for Ukraine valued at more than $2 billion, Reuters reported on Tuesday, citing two unidentified US officials familiar with the plans. The package will also include additional Javelin anti-tank weapons, mine-resistant vehicles, multiple-launch rocket systems (MLRS), and support equipment for Patriot air defense systems.

The GLSDB rockets will give Ukrainian forces further reach, nearly doubling the range of the MLRS and HIMARS munitions that Washington and its NATO allies have previously been provided. Biden had been reluctant to send weaponry that could strike Russian soil, risking escalation into a wider conflict with Moscow, but he has authorized increasingly provocative aid in recent weeks.

Bpeek Kai Mao Daeng
(Drunken Chicken Wings)

In Thai mao means drunk (kimao means to be drunk), and daeng means red. Bpeek kai are chicken wings.

2023 02 01 18 37
2023 02 01 18 37

This is a useful recipe for something to do with chicken wings. My wife cuts the wings off all the chickens she uses and keeps them in a large bowl in the freezer, when the bowl is full we make this up, and serve it as “tapas” in the restaurant in the evenings. It is good finger food, but perhaps only for adults.

Ingredients

Chicken

  • 1 1/2 pounds chicken wings

Marinade

  • 1 tablespoon fish sauce
  • 1/4 cup thinly sliced lemon grass
  • 10 to 15 cloves garlic, crushed
  • 1 tablespoon freshly milled black pepper
  • 1 tablespoon chopped red birdseye chiles (prik ki nu)
  • 1/4 cup chopped coriander/cilantro (including roots if possible)
  • 1/4 cup tomato ketchup
  • 1/4 cup whiskey (preferably bourbon or rice whiskey

Instructions

  1. Mix the marinade, stir the wings until thoroughly coated and leave to marinade for 12-24 hours in the fridge.
  2. They should then be barbecued or grilled over fairly high heat until cooked through.
  3. This is then served with a dipping sauce that consists of 4 parts mayonnaise, 4 parts tomato ketchup to one part hot chili sauce (Tabasco is suitable, or anything hotter than that).

As for the leading question, most if not all the alcohol is burned off in the barbecuing process, so it is quite safe for children, but if you are making it for the kiddies, you might want to reduce or leave out the chiles!

SAMSUNG ELECTRONICS QUARTERLY PROFIT DROPS ALMOST 70%

Samsung Electronics said Tuesday that its fourth-quarter operating profits plunged nearly 70 percent, the biggest drop in more than eight years, as the global economic slowdown dealt a blow to electronics and chips sales.

The South Korean tech giant said operating profits for the October to December period slumped to 4.3 trillion won ($3.4 billion), a 69 percent drop from a year earlier.

The drop is in line with the estimate Samsung released earlier this month and marks the company’s worst decline in quarterly profits since the third quarter of 2014.

“The business environment deteriorated significantly in the fourth quarter due to weak demand amid a global economic slowdown,” Samsung said in a statement.

Sales fell eight percent to 70.46 trillion won from the same period the previous year.

Samsung singled out weak demand for memory chips, saying the sector had been hit hard “as prices fell and customers continued to adjust inventory amid deepening uncertainties in the external environment”.

The firm is the flagship subsidiary of the giant Samsung Group, by far the biggest of the family-controlled conglomerates that dominate business in Asia’s fourth-largest economy.

The fourth-quarter drop is the second consecutive margin squeeze for Samsung, which saw a 31 percent fall in operating profits in the third quarter year-on-year.

For the full year, Samsung reported 43.38 trillion won in operating profit and a record-high annual revenue of 302.23 trillion won.

– ‘Heavy blow’ –

Until the second quarter of 2022, Samsung, along with other tech companies, significantly benefited from strong demand for electronic devices — as well as chips that power them — during the pandemic.

But the global economy is now facing multiple challenges, including soaring inflation, rising interest rates and higher energy costs.

Global memory chip revenue dropped 10 percent last year, as electronic equipment manufacturers “started to deplete memory inventory they had been holding in anticipation of stronger demand,” according to tech research firm Gartner.

“Consumers also began to reduce spending, with PC and smartphone demand suffering, and then enterprises starting to reduce spending in anticipation of a global recession, all of which impacted overall semiconductor growth,” said Andrew Norwood, VP Analyst at Gartner.

The macroeconomic uncertainties are expected to persist in 2023, Samsung said, adding: “the Company anticipates demand to begin recovering in the second half.”

“Samsung was dealt a heavy blow with deteriorating external factors, like weaker demand and rising costs,” Samsung Electronics vice chairman Han Jong-hee said during CES 2023 in Las Vegas earlier this month, according to the Yonhap News Agency.

“I think this difficult business environment will continue this year as a prolonged economic slowdown and risks in supply chains increase uncertainties.”

Garden Fresh Pizza Sauce

2023 02 01 18 34
2023 02 01 18 34

Ingredients

  • 2 pounds very ripe plum tomatoes or Roma tomatoes
  • 1 tablespoon extra-virgin olive oil
  • 1 tablespoon tomato paste
  • 1 teaspoon granulated sugar
  • 1 teaspoon dried oregano
  • 1 clove garlic, minced
  • 1 tablespoon salt
  • Freshly ground black pepper

Instructions

  1. Halve the tomatoes lengthwise through the stems. Squeeze the tomatoes over a bowl or sink to remove the seeds and watery centers. Chop the remaining tomato flesh roughly and transfer to a food processor.
  2. Add the oil, tomato paste, sugar, oregano, garlic, salt and some pepper and pulse until mostly pureed with some very small chunks. Pour into a fine mesh sieve over a bowl and let some of the watery liquid drain, shaking gently for about 30 seconds to hasten complete drainage.
  3. Pour the tomato sauce into another bowl or jar, use immediately, freeze or refrigerate for up to 1 day.

Confessions Of A Former North Korean Citizen

How/when did you get out? 

I fleed from North Korea when I was 17, in 2006, by crossing the North Korean-China border with my mom and younger sister, with the help and under the arrangement of middlemen.

How easy was it to travel from Pyongyang to the border of China? Is it difficult? It seems like a fair amount of distance to travel when you are not in favor of the regime.

Not very difficult. No road block and no tracing, simply not exciting as you might think so. The distance is not very fair away and the travel only take 2 days.

Would you say most people in North Korea have an idea of what life outside of the country is? Were you able to pick up South Korean radio signals or use uncensored internet?

No, I never able to pick up South Korean radio signals or use uncensored internet. For common North Korean we nearly had no access to the information of what life outside of the country is life, save as those taught in school and in the media controlled by the party.

What does the population get taught about the outside world growing up?

We had little contact with the outside world in North Korea. We were taught that other countries are full of bad things such as oppression and crimes and pollution. We were taught that the imperialist United States and the South Korea were seeking all the chance to attack and occupy North Korea.

 

Are people in N. Korea really as brainwashed as they seem or do they just act like that to avoid problems?

We are taught to follow and not to question the official doctrines since kindergarten. I would say brainwashed may be not the most appropriate adjective and there was nothing to wash in the very beginning when we were growing up. However, I think just like in all other societies, there were someone who have rebellious mentallity whilst there were also a lot just follow the social norm. The only difference may be that for those who have rebellious mentallity, they might be forced to act in accordance with social norms just like that to avoid problems and for the majority others we were indoctrinated to act as the others.

Do you hold the belief that as a sentient being you are entitled to certain inalienable rights, such as: freedom of the press, freedom of speech, freedom of religion, and freedom of assembly? I would assume most of those would threaten the North Korean regime and would not be allowed. Also, do you believe that the North Korean people are being oppressed and mislead by their government?

I believe a person should have absolute freedom and no restrictions should be imposed unless he or she is doing harm to other person. I don’t believe in those doctrine that you have to give up a part of the freedom for the good of the country or the society.

To be fair, every governments are misleading and oppressing its people, just North Korea is far more serious in doing that. I believe that all governments are bad.

Have you read George Orwell’s 1984, from what I have seen in the media N. Korea seem scarily similar to the world in that book.

I have not seen the book but know what the book is about. In North Korea the survelliance was not as intentive as you may think. We were taught from very young to follow and obey the party line and the majority of the people just not bother to question the party line so far as the people were able to maintain a livelihood. Further, all the people well understood what was the consequence of saying or doing something wrong and the people knew how to do to avoid trouble.

Media reports show a lot of north Koreans mourning over their leader’s death. Was it genuine, faked or just nurtured?

When Kim Il-sung died (I was still very young at that time), I could feel many, including my grands and my parents, were really sad. For Kim Jong Il, I do not know. However, I would say most of the mourning was nurtured, though a few may be genuine.

What went though your mind when Kim Jong Il died?

No feeling, really.

Are North Korean people properly nourished? How is the amount and variety of food?

For those in Pyongyang I would say no to your question. The variety were not much and most food was only vegetarian but the food was just sufficient. However, for the countryside the situation is much different. I would say most of the resources and food went to Pyongyang and there was not much left in other part of the country.

What are north koreans taught about other countries? What about western nations such as the US and the UK?

We were taught from the very young that other countries were full of bad things such as oppression, crimes, pollution, low moral standard, etc. We were taught to prepare all the times for the agressive attack of the imperialist United States and South Korea as they were taking every opportunities wishing to attack and take North Korea.

Are there things about the United States/China/South Korea that you were told in North Korea while growing up that you found to be true?

Yes, they are :-

  1. United States is always agreesive and like to attack other countries;
  2. South Korean are arrogant, especially to North Korean;
  3. Pollution is wide-spread in China and all the Chinese already lost their revolution spirit and all are of very low moral standard.

What is an average day like for a family in North Korea?

An average day was very simple. Mother would prepare breakfast in the morning for the whole family. Father went to work and children went to school after breakfast. Mother usually remained in home for housework. Children usually had lunch at school. After school we did homework or other reading and playing to wait for father. Then the whole family had dinner and after dinner there was not much entertainment and we usually went to bed early.

In some special days such as the nationsal day and the bithday of Kim Il-sung, the school would usually arrange the students to join and participate some large scale rally or show to “celebrate” the special days. At the spring festivals, we would decorate the home and made some special food.

I understand the life in the countryside was much different and much harder.

How was life growing up there? How strong of an influence did Kim Jong Il’s have on the nation?

Actually I did not feel very bad with my life growing up in North Korea. I had a happy childhood and youth years, as my family was not amongst the lower class and we are not living in straving. My childhood and youth years were simple but happy. Contrary to what you may think about, I never think about we were living in no freedom and under many restrictions. As life was like that since I was born, there was no comparison.

I would say that the influence of Kim Il-sung was very strong in the North Korea, even after his death and in the Kim Jong Il’s era. You saw the protriats of Kim Il-sung everywhere and everythings were following the teaching and doctrines of Kim Il-sung. Kim Jong Il took his authority as the successor of the Kim Il-sung and his line, not as a leader on his own. North Korea is indeed ruling by a person who dead for years.

Did you have a hobby growing up (i.e. music, art, athletics), and what were the common pass times of children in N Korea? Also, what were you NOT allowed to do as a pass time?

I liked drawing and painting and was able to paint some good picture. I did spend a lot of time in drawing and painting in my leisure. We went to park or skiing during school holidays. No one had told me what was not allowed to do to pass time.

In a VICE documentary I saw that a lot of Pyongyang restaurants are always completely empty but the staff tried to make it look like they’re expecting a lot of visitors while putting old breadsticks on the table and rushing around with a stressful look on their face like hundreds of visitors will be coming in soon. Apparently there is a lot of (bad) acting in front of tourists to make North Korea look worldly and cultivated. Can you confirm this type of behavior?

I would say they were not doing that look like they were expecting a lot of visitors, but doing that to prove they had somethings to do and value of being employed. Even outside North Korea, I can see many people doing some meaningless work pretending they were busy to cheat their employer.

How is the education in North Korea? Were you only able to learn a second language because of your father’s position? Or is every student well-educated?

I agree that it may be because of my father’s position that I was able to receive a better education. I would say not every students in North Korea were well-educated, but at least every students in the family of party official or army received good education, no matter how lower was the rank.

I’ve always wondered about children of high ranking North Korean party officials that study abroad in Western countries. If they are allowed to live abroad and witness how life is outside of North Korea, how do they return to North Korea and still believe what is told to them by the North Korean government? How many of these children are “converted” to more Western norms yet hide that in order to get by in North Korea?

I don’t think those in the highest ranks do really believe the doctrine, even for those who never study aboard. They only believe in power and authority. Those children are not returning to take up the belief and party line, but returning to take up the power and authority. I do think many do “converted” to more Western norms but power and authority are much more attractive. You do not need to really believe in a doctrine in order to practise the doctrine.

How do marriages work? Is it usually arranged by the families to maintain social class or are people pretty much free to date/marry who they want without much outside pressure? Also, does the government have any role in this?

Amongst the young generation arranged marriages by the families were not common. The young generation were rather free to date and marry, but of course the parents’ opinion sometimes still played a part in the marry and the parent’s “objection” is usually “respected”. Just as in other society, the socal class was maintained not through arranged marriage but through the reality that most people could only have contacted and a chance to meet and date others in similar social class. I did not hear that the government have any role in that.

What do N.Koreans think of gay people? Are there any gay people that come out in N.Korea? Or does the country claim that no one in N.Korea is gay?

Never heard about any gay people come out in North Korea and personally I did not know any of the gay people in North Korea. This even not a topic in North Korea and so the country had never said anything on that.

So its true then….when some one defects their whole family get sent to a labour camp?

I can only say usually when someone defects his/her whole immediately family would have a high risks to be sent to labour camp. It also depends on how serious the allegations were.

Is there such a there such a thing as speaking ill of Kim jong?

No one would speaking ill on the the leaders, no matter openly or privately.

What is your opinion on the internet censorship in North Korea? What is the general public’s attitude toward such practices? (Do people try to circumvent it, or just live with it?)

Not many people had access to internet in North Korea. The usage of the internet were mainly for education and research and official purpose and was under a highly control environment. The general public even didn’t have the connection to access to internet, not to say any chance to try to circumvent the censorship.

Do you feel that most of the North Korean population, if they had the opportunity, would want unrestricted access to the internet? Would they challenge or defend their indoctrination (as you put it) as they learned more about the rest of the world?

I think sure. If you ask someone whether you wish unrestricted freedom to anything, I think nearly all will give you a positive answer.

Indoctrination will surely collapse with free access to information, just like the case of China.

Have you seen this video? Are things really that bad or is it “imperialist propaganda”? How many North Koreans live in these conditions? Do the people of Pyongyang know about this?

I did know there was famine and starving in the countryside though the media never told. We did see that when we have chance to go to the countryside. I wouild say there was about half of the population in North Korea living in the countryside and they were suffering from certain degree of famine and starving.

Are there charities in North Korea where more well off North Korean citizens can help the very poor citizens of North Korea? Are there charities of any kind or is that unheard of? 

I had not heard about there was any charities in North Korea. Everythings and every areas of life were controlled by the party and I do not think they would allow any charities operating in North Korea.

I heard that Choco Pies are used as currency in North Korea and nowadays North Koreans watch smuggled DVDs of South Korean TV Shows. Was this common when you were living in N.Korea or is this a more recent thing?

Choco pies are not used as currency, but commodities exchange were still practised in North Korea.

When I was still living in North Korea, the trend of watching smuggled DVDs of South Korean TV shows was just beginning and the party were trying its best to suppress that.

I’ve heard Marijuana grows freely in North Korea and smoking it is common. Is this true?

I can only said that I had never heard or seen any of that. If there is sufficient water and soil and fertilizer, why not rice but Marijuana?

Are there any illegal drugs, commonly consumed in North Korea? I read some articles about the country, trying to handle a crystal meth epedemic.

Personally I had never seen or heard about drug. I think it may be difficult to traffick drugs into North Korean and they were also too expensive and not affordable to North Korean.

What is an item that you have used after leaving N.Korea that has simplified/made doing something much easier than the how it is done in N.Korea?

Mobile phone. Mobile phone is not availale to common people in North Korea.

What is one custom from North Korea that you feel other countries should follow?

After thinking, I really can’t name anyone, sorry.

Who do you think is really pulling the strings in North Korea? How much power does the military have?

I think it was the few military and party heads, collectively, not Kim Jong Un, who were actually in charge of the country. It is difficult to say how much power does the military have because most of the party highest ranks come from the military and the two ranks were widely overlapped.

Do you think this the Kim Jongs will ever been taken out? Is there a possibility of North Korea becoming what most of us would consider a “normal” country?

I would have a hope on that. You can never know what would happen tomorrow and there is never anything impossible. To be honest, I don’t think the present Kim’s dynasty can survive for hundreds of years and change is only a matter of time.

Would you ever choose to return to North Korea? That is, if the current regime were to fall.

Not if the current regime were to fall, but when there is an hopeful new and good regime. The falling of the current one does not automatically mean the next one will be good.

If you could introduce one aspect of a stereotypical democratic nation to North Korea, excluding freedom or the right to vote or things like that, (examples are press, right to rally, simple freedoms), what would that one thing be?

freedom to information

If the regime changed, do you think North Korea could become a good tourist destination? What are some places that would be considered “Tourist spots” in North Korea?

I think North Korea is now a good tourist destination for many people in the World. Many people just want to see how different the country is in comparing to the rest of the world. That is a selling point and everywhere in North Korea are “tourist spots” in such circumstances.

What are your thoughts on an unified Korea? Also what do you think about the rehabilition programs in S Korea which help N Korean children and adults into adapting S Korean lifestyle?

Just as an usual Korean, I hope for a peaceful, democratic and strong unified Korea. But I don’t think when will the hope be truth. And do you know that in the 2,000 years’ history of Korea, there was only an unified Korea in about 1/3 of the time.

I don’t know much about the rehabilitation programs. Wish those are not another type of indoctrination.

Would you ever want to move to a western country like the United States, the United Kingdom, Australia, or any other?

Wish to move to European countries or Australia or New Zealand, but not United States or South Korea. Not much good feelings about United States and South Korea.

Can you expand on your feelings about the United States? Is this because of things you were taught in North Korea, and do you feel they were accurate?

Not because the things taught in North Korea. I understand United States is not a good place to live especially for the poor and the Asians. And also becasue personally I have some bad experience with Americans and South Koreans, I would say both are usually arrogant.

What was something about life outside of N.Korea that surprised you the most/seemed strange to you after leaving? (This can be something that might not seem significant to everyone else. Just something that really shocked you.

The wasting of food. Why do the Chinese always orders more than what they are able to eat and why do the American and the Europeans destroys the corn or wheat or milk just to put up the price in the market?

Is there one thing you actually liked about North Korea you miss?

The simple living and everythings are in order.

What political ideology do you belong to?

You mean now? I hate any form of government.

Dancing and Enchiladas

What are your thoughts?

2023 02 01 16 40
2023 02 01 16 40

2023 02 01 16 4fd0
2023 02 01 16 4fd0

2023 02 01 16 41
2023 02 01 16 41

2023 02 01 16 4f1
2023 02 01 16 4f1

And now you know.


Have a great day. Today’s postings…

One of the best Australian movies ever made.

January 29, 2023

Something worse than anything seen even amidst the dark years of the Cold War has awoken, Matthew Ehret writes.

It feels like today’s world is spinning quickly out of control.

Fear of nuclear confrontation between Russia and NATO has increased to a fever pitch and something worse than anything seen even amidst the dark years of the Cold War has awoken.

A strange form of insanity has swept across the collective west as the US Congress infuses billions of dollars of more lethal aid to a regime in Kiev which a smiling Senator Lindsey Graham has said Kiev “will fight Russia to the last Ukrainian”.

This is the same American Congress which unabashedly fuels Nazi-infested military units in Ukraine, and ISIS-affiliated groups in Syria and Iraq who additionally chose to declare Russia a “state sponsor of terrorism” with the senate voting unanimously to this effect on July 27, and the House of Representatives following close behind with a resolution that has vast bipartisan support of both parties.

Meanwhile in Brussels, and across the Five Eyes, pressure mounts to ban Russia’s president from the G20, while a glorification of Nazi “heroes” accelerates across the many nations of the former Soviet Union including Latvia, Estonia, Lithuania etc… all of whom having been absorbed into NATO during the past two decades.

Talk of nuclear Armageddon has become commonplace, and it appears that no effort to heal the divide between east and west is considered by any of the neo-liberal politicians occupying positions of authority

What is going on? Has the world gone insane?

Why have leading figures of the “free and democratic” west become so blind to even their own strategic interests to the point that they would voluntarily risk spreading thermonuclear fire across the globe rather than end the policy of “global NATO” and international unipolarism?

This man-made crisis- like all man made crises, has solutions.

But these solutions require that both sides Russian and American alike, properly identify the nature of those agencies pushing the world to the brink of extermination.

For it is only by doing this, that we may properly appreciate the potential of restoring the USA itself back to its constitutional traditions while at the same time establishing a basis of a genuine new security architecture so desperately needed if the world will survive the remaining decades of the 21st century.

Understanding the pathway needed to navigating through the current storm requires revisiting a bit of recent history starting with the collapse of the soviet union and the three pregnant moments which nearly saw humanity embrace a new epoch of win-win cooperation driven by a US-Russian strategic alliance.

1988-1992: The first attempt at an age of multipolar cooperation is subverted

By 1988, it was becoming increasingly clear that the system of mutually assured destruction was coming to an end.

The rigid economic systems of the Soviet bloc had been incapable of introducing the needed technological innovations to the general civilian economy which would have been needed to avoid a general breakdown.

Everyone knows of the dark days of Perestroika and the western-directed looting of the 1990s…

but few are aware of the ripe potential for a new age of cooperation and abundance driven by forces within the American intelligentsia and their Russian counterparts who saw in this crisis, an opportunity to turn swords into plowshares.

These figures sought to build a new architecture based on mutual development, trust building measures and scientific progress.

Backchannel discussions had been arranged for several years with leading figures of the new Gorbachev administration and their American counterparts within the Reagan administration and even the industrial leaders of Germany led by Deutsche Bank Chairman Alfred Herrhausen. These anti-Malthusian statesmen may not have fully appreciated the evil forces they were challenging, but they none the less worked hard to end the Cold War not by crushing Russia into oblivion, but in providing a new synergy of industrial and scientific cooperation between east and west.

The story of these plans and possibility for an age of cooperation premised on large-scale industrial progress is told both in the recent autobiography of American University in Moscow’s Dr. Edward Lozansky as well as in the 2008 Schiller Institute documentary The Lost Chance of 1989.

These figures worked hard to present development plans which involved billions of dollars of promised investments into the modernization of all sectors of the Soviet economy premised around large scale infrastructure, and industrial growth.

Despite the many promises of east-west cooperation, the 1990s instead saw a bloodied Russia swimming with sharks.

Figures like Strobe Talbott, and Jeffrey Sachs were assigned the task of breaking the Russian government and its people economically, psychologically and morally under a program of Shock Therapy overseen by the worst elements of the IMF, City of London and Washington utopians.

Even basic security guarantees were abandoned as the promises made by then Secretary of State James Baker to “not move NATO one inch beyond its 1992 configuration” were increasingly abandoned, as NATO transformed from a Cold War defensive alliance to an aspiring new global offensive structure absorbing as many former Soviet Nations it could acquire.

Instead of cooperation, speeches calling for a New World Order and “end of history” became part of the western political discourse

Even then Senator Joe Biden was quick to get into the action writing such 1992 tracts as “How I learned love the New World Order

For those nations resistant to this New World Order, Balkanization and bombs were swiftly deployed to shake them into “correct behavior”

Behind the illusion of America’s victory over communism, a rot could be felt growing ever faster as the post-industrial policies of the 1970s and 1980s were transforming America’s once powerful industrial base into a useless services economy with no sovereign capacity to stand on its own feet, produce for itself or even maintain basic infrastructure.

Poverty, drug use and crime increased under Clinton while a wealth transfer was taking hold that saw America’s dwindling small and medium sized entrepreneurs wiped out under new behemoth corporations who enjoyed free reign to gobble up everything they could acquire under the financial deregulation bonanza of the North American Free Trade Agreement and Europe’s Maastricht Treaty. In both treaties, former zones of sovereign nations were stripped of their power to legally emit productive credit, use protectionism to defend their interests, or control their own national banking systems. Where sovereignty over these vital powers was once legally the prerogative of the nation, after NAFTA and Maastricht, supranational entities now enjoyed this privilege.

Within this decay on all sides of the former Iron Curtin, two new leaders came to power.

With their ascension in 1999 and 2000, it was hoped that Vladimir Putin and George Bush Jr might be able to restore a measure of sanity after a decade of betrayal.

1999-2001: The second attempt at an age of multipolar cooperation is subverted

By the year 2000, hopes were again high that the dismal decay of US-Russian relations could be healed as a young trouble shooter named Vladimir Putin was brought into play in Moscow replacing the alcoholic trainwreck that was Boris Yeltsin.

The defeat of Al Gore (whose deep relationship with Russian traitors such as Chernomyrdin and Chubais left him with no shortage of Russian blood on his hands) awoke a weary optimism among patriots in both nations.

Within the USA, over 100 elected representatives endorsed a call led by republican congressman Curt Weldon of Pennsylvania who commissioned a report titled “US-Russia Partnership: A Time for New Beginnings“.

In this influential document published in early 2001, a coherent vision not seen in over a decade was presented that called for a new paradigm touching on every aspect of US-Russian relations.

Cultural diplomacy, the teaching of Russian in American schools, Agricultural assistance, full spectrum energy development, space exploration, defense cooperation, asteroid defense, and fusion research all figured prominently in Representative Weldon’s dossier.

The sensitivity to the existential moment not being lost to history can be seen in the report’s opening remarks:

America and Russia must forge an alliance beneficial to both, or face the near certainty that

historical suspicions will reassert themselves and plunge the world into a new Cold War. Such an eventuality would be especially tragic since the United States and Russia have more in common than not. Indeed, given that the gravest and most imminent threats to both nations are terrorism and WMD proliferation, these great common enemies should make the United States and Russia natural allies.

The Cold War era model of bilateral relations and arms control is predicated on mutual antagonism and nuclear threats: a situation that is unacceptable as the basis for 21st Century U.S.- Russian relations. Russia and the United States each have unique security concerns, but have more security concerns that are shared in common. U.S. policy should encourage Russia to recognize the  advantages of U.S.-Russian cooperation in areas like counter-terrorism, non-proliferation and missile defense… The key to forging a U.S.-Russian alliance is to do it now, before U.S.-Russian relations deteriorate further. The United States must offer Russia a relationship that clearly benefits Russian as well as U.S. interests, and begin as soon as possible, working jointly toward mutually beneficial goals.”

It was this spirit of goodwill within the leading strata of American policy makers that Vladimir Putin spoke towards when he made his intention for Russia’s participation in NATO known to the west.

Of course, Putin was not ignorant to the dangers NATO posed under the influence of unipolarists like Gore, Soros, Nuland et al, but as long as figures who thought differently exercised power among western nations, then Russia’s intelligentsia presumed it to be an organization whose destructive orientation could be neutralized.

It was for this reason that Putin’s early appearances in the USA during this period alongside President Bush demonstrated the optimism that a sane foreign policy might be adopted.

me29012301
me29012301

 

Sadly, another darker current within the US governing class was emerging with the incoming Bush Administration which had a very different view of things.

This group not only carried on the worst elements of the Clinton-Gore-Talbott Russia policy of the 1990s but added an obsessive militaristic drive for global supremacy with a Pax American flavor not seen in the previous regime.

Figures like Strobe Talbott’s assistant Victoria Nuland went on to find new employment as Dick Cheney’s assistant and soon US Ambassador to NATO where she oversaw the military bloc’s vast expansion from 16 to 24 nations by 2008.

Under Nuland’s lead, Georgia and Ukraine’s aspirations to join the alliance is welcomed officially by NATO.

Nuland also worked closely with the CIA front group National Endowment for Democracy and George Soros in setting the stage for a new era of regime change operations in the form of color revolutions in Georgia (2003), Ukraine (2004) and scorched earth humanitarian bombing of nations back to the stone age across the Middle East in the wake of 9/11.

Nuland’s husband Robert Kagan was an early co-founder of the Project for a New American Century- a neoconservative think tank which produced such dystopic policy visions for the 21st century as the September 2000 Rebuilding America’s Defenses which saw both Russia and China, not as potential allies, but as intrinsic enemies to be destroyed if the planned global hegemony of the USA was to be ensured.

In total opposition to the positive spirit of win-win cooperation envisioned by Representative Curt Weldon and company, the unipolarist networks outlined in the PNAC RAD document envisioned a much more dystopic world order of Hobbesian struggle of each against all when they envisioned the wars of the future saying:

“Although it may take several decades for the process of transformation to unfold… “combat” likely will take place in new dimensions: in space, “cyber-space,” and perhaps the world of microbes. Air warfare may no longer be fought by pilots manning tactical fighter aircraft sweeping the skies of opposing fighters, but a regime dominated by long-range, stealthy unmanned craft… Space itself will become a theater of war, as nations gain access to space capabilities and come to rely on them; further, the distinction between military and commercial space systems – combatants and noncombatants – will become blurred. Information systems will become an important focus of attack, particularly for U.S. enemies seeking to short-circuit sophisticated American forces. And advanced forms of biological warfare that can “target” specific genotypes may transform biological warfare from the realm of terror to a politically useful tool.”

The thinking of grand strategist Zbigniew Brzezinski was visceral in the pulse of ideologues like Kagan, Nuland and other neocons like Paul Wolfowitz, Richard Perle, John Bolton, Donald Rumsfeld and Dick Cheney who ran the malleable Bush Jr presidency.

It was former National Security Advisor Brzezinski who outlined the needed carving up of Russia in his 1997 Grand Chessboard under Washington diktat could also be smelled across the pages of the PNAC white papers.

In his 1997 book, Brzezinski wrote:

Potentially, the most dangerous scenario would be a grand coalition of China, Russia, and perhaps Iran, an ‘anti-hegemonic’ coalition united not by ideology but by complementary grievances.”

Brzezinski added: “How the United States both manipulates and accommodates the principal geostrategic players on the Eurasian chessboard and how it manages Eurasia’s key geopolitical pivots will be critical to the longevity and stability of America’s global primacy.”

Unfortunately for the world, the policy doctrine which was adopted by George Bush was not that of the better American patriots surrounding Curt Weldon, but rather this hive of unipolarists who sought to do everything possible to ensure that the world would remain as divided and suppressed as possible while a new Pax Americana could consolidate its possessions under a program of Full Spectrum Dominance.

It was this group that ensured the USA would soon quit the Anti Ballistic Missile Treaty which Bush announced in December 13, 2001.

The 1972 ABM Treaty had ensured that both Russian and American militaries cease deploying, testing and developing sea, air, space and mobile land based anti-missile systems for intercepting strategic ballistic missiles.

The USA’s withdrawal from this treaty made the increased danger of the ballistic missile shield built up around Russia (and China’s) perimeters an unbearable existential threat, and a new arms race between offensive and defensive systems was launched.

A day after the USA officially left the ABM Treaty, Russia announced its withdrawal from the START II Treaty which would have not only banned the use of multiple warheads on ICBMS but also vastly reduced the total number of warheads.

It wasn’t long before President Putin called out this threat during his famous 2007 Munich Security speech which laid out not only Russia’s understanding of the true intentions underlying the offensive properties of the Ballistic Missile systems built up across her borders, but also set firm red lines regarding NATO’s continued encroachment on Russia.

2016-2020: The Third attempt at an age of multipolar cooperation is subverted

Between 2007-2016 the western unipolarists had doubled down on Full Spectrum Dominance despite the fact that the contours of world politics had drastically changed with the new Russian-Chinese alliance that had become a bedrock of the success of Eurasian integration.

Other nations had been swept into hell under a western-manipulated Arab Spring followed by the 2011 humanitarian bombing of Libya and the targeting of Syria for similar “nation building” treatment.

In the Pacific, the Clinton-Obama Asia Pivot had accelerated US military commitment across China’s perimeter with THAAD Missiles in South Korea and 100,000 troops spread across western-manipulated Asian governments.

me29012302
me29012302

 

Under Biden and Victoria Nuland’s lead, Ukraine was lit on fire as a pro-Russian government of Viktor Yanukovych was overturned in a 2nd color revolution and a regime chosen by the US State Department was installed in power.

Amidst this world of darkness, a light was beginning to shine as China announced the Belt and Road Initiative as its new foreign policy in October 2013, which soon began merging with Russia’s Eurasian Economic Union.

In 2015, Russia was sufficiently strong to launch into a new foreign policy doctrine in Syria which prevented another regime change project from lighting the heartland on fire.

By 2016, things were looking bleak for the world as all public opinion polls in America were forecasting certain victory for Hillary Clinton as the 45th President of the United States.

But something changed.

The upset victory of Donald Trump did more than merely derail the continuation of neocon agenda which had found a new home in the worst elements of the Democratic Party of Obama and Clinton, but a new potential for rebuilding US-Russian relations was beginning to be felt as the new president called for good relations with Russia and China while also pushing for ending the “never ending wars” and re-calibrating American military activity in Syria with the Russians.

Throughout the 2016-2020 presidency of Trump, a full assault was launched to undo the vote of the majority of American citizens through gaslighting, “Russiagate” propaganda, and vast media witchhunts which attempted to paint Trump as “a Kremlin stooge”.

Despite this, Trump was able to fend off impeachment attempts, and managed a variety of reforms that entailed cutting NED funding in Ukraine, Hong Kong and beyond, severing vital components of the CIA from conventional military operations, harmonized US miliary operations with Russia in Syria, and drove a vast program of diplomatic bridge building across the middle east with the Abraham Accords, and in Asia where Trump brokered meetings with South and North Korean leaders. This bridge building was most important in regards to the leadership of Russia and China.

It was in April 2019, that President Trump appeared at the White House alongside Chinese Vice Premier Liu He and said:

“Between Russia, China and us, we’re all making hundreds of billions of dollars’ worth of weapons, including nuclear, which is ridiculous. I think it’s much better if we all got together and didn’t make these weapons those three countries I think can come together and stop the spending and spend on things that are more productive toward long-term peace.”

Although deep state operations active within the US State Department worked tirelessly to sabotage these positive initiatives, and although neo con swamp creatures like John Bolton, and Mike Pompeo continued to surround Trump’s inner circle like vipers, it would be foolish to ignore these positive, albeit short lived initiatives to revive the missed chances of 1990 and 2000.

Will “The Other America” Please Stand Up?

Two years after the installation of Biden into the White House, the world has slid once again towards an existential cliff of confrontation not only with Russia over the events in Ukraine but increasingly China with the build up of a new NATO-of the Pacific which some have come to dub the “Quad”.

Where a post-NED color revolution Ukraine was used as a flashpoint for this antagonistic program against Russia, a post-NED color revolution in Taiwan (under the 2014 Sunflower Revolution) was used to turn this Pacific island province of China into a new potential flashpoint of war in the Pacific.

With 140+ countries joining onto the Belt and Road Initiative, and an increasing list of nations waiting to join the BRICS+ and Shanghai Cooperation Alliance, it is becoming increasingly clear that the nightmare of Zbigniew Brzezinski of a Russia-China-Iran led new Eurasian Alliance is threatening to forever upset the unipolar paradigm.

President Putin made such a point clear in a recent speech calling out the end of the unipolar system

The American population know that they do not benefit from the proxy war in Ukraine, and according to recent polls, the situation of Ukraine doesn’t even make the top 10 concerns for most Americans who care more for increased gas, food and rent prices over the geopolitical ambitions of detached neocons.

Additionally, polls by Rasmussen demonstrate that nearly 70% of Americans strongly believe America to be heading down the wrong track and approval of both the president and congress has hit historic lows.

The previous three attempts to overthrow the unipolarist ideologues and establish a sustainable foundation of US-Russian cooperation were made possible not only through well positioned politicians but a network of well organized, informed and engaged American citizens who understood how to think about the direction their nation was headed.

If today’s world is to avoid the consequence of the insane policies of Global NATO which can lead only towards thermonuclear war, then it will be thanks to the important factor of this “other America” whose time, energy and sacrifice may make all the difference between a new dark age or new age of cooperation.

2023 02 01 16 36
2023 02 01 16 36

Tex-Mex Enchiladas 2

How to make Enchiladas YT 3
How to make Enchiladas YT 3

Ingredients

  • 1 tablespoon shortening
  • 1 1/2 tablespoons flour
  • 1 tablespoon red chili powder (or more)
  • 1 1/2 cups warm water
  • 1 tablespoon vegetable oil
  • 6 corn tortillas
  • 1 onion, chopped
  • 2 cups grated Longhorn cheese

Instructions

  1. Melt shortening in a heavy skillet. Stir in flour and make a roux.
  2. Add chili powder and water; stir and cook until the gravy is thick. Keep warm while preparing the tortillas.
  3. Heat oven to 450 degrees F. Lightly grease an ovenproof casserole dish.
  4. Heat oil in a skillet and lightly fry each tortilla for about 5 seconds on each side. Do not overcook or they will get rubbery. Drain on paper towels.
  5. Dip each tortilla into the gravy, put some of the onion and cheese on the tortilla, roll it up, and place it, seam side down, in a casserole dish.
  6. Repeat until all 6 tortillas have been rolled.
  7. Pour the chili gravy over the tortillas, top with more cheese, and bake for about 10 minutes.

Yield: 2 servings

To The Vanishing Point: The Obscure Broken Worlds Of Artist Sergey Kolesov

0a 23
0a 23

Sergey Kolesov aka Peleng is from the city of Ivanovo, Russia. He uses the fantasy style creating his pictures. Basically he draws kind of horror pictures, a bit scary, but cool and rather dramatic… well, some of them are more scary, than cool.

58 5
58 5

57 2
57 2

56 2
56 2

55 3
55 3

53 3
53 3

52 2
52 2

50 3
50 3

49 2
49 2

47 3
47 3

46 3
46 3

45 3
45 3

4dsd4
4dsd4

4ds3 1
4ds3 1

3s8 2
3s8 2

37s 2
37s 2

36s 4
36s 4

35 4 1
35 4 1

3d4 5
3d4 5

33 d6
33 d6

32d 6
32d 6

30d 9
30d 9

28 d10
28 d10

27 1d0
27 1d0

26 1d1
26 1d1

25 1dd5
25 1dd5

25 15 1
25 15 1

24 16
24 16

23 1d7
23 1d7

22 1d8
22 1d8

21 1d9
21 1d9

20 2d7
20 2d7

19 2d9
19 2d9

18 3d0
18 3d0

17 3d1
17 3d1

16 3d2
16 3d2

15 37
15 37

14 40
14 40

13 45
13 45

12 49
12 49

11 51
11 51

10 57
10 57

9 61
9 61

8 67
8 67

7 71
7 71

6 73
6 73

5 79
5 79

4 78 1
4 78 1

3 82
3 82

2 79 1
2 79 1

1 86
1 86

Very interesting.

Tex-Mex Chops

A very tasty and easy five-ingredient recipe for Tex-Mex Chops.

2023 01 25 09 11
2023 01 25 09 11

Ingredients

  • 4 pork chops, pork steaks or stuffed pork chops
  • 1 1/2 cups salsa, chunky style
  • 1 (4 ounce) can diced green chiles
  • 1/2 teaspoon ground cumin
  • 1/4 cup shredded cheddar cheese

Instructions

  1. Heat oil in nonstick pan over medium-high heat. Brown chops on one side, about 2 minutes.
  2. Turn chops. Add salsa, chiles and cumin to skillet; mix well. Lower heat, cover and barely simmer for 6 minutes until internal temperature on a thermometer reads 145 degrees F.
  3. Uncover; top each chop with 1 tablespoon cheese. Cover and simmer an additional 1 to 2 minutes, until cheese melts.
  4. Allow to rest for 3 minutes before serving.

Servings: 4 | Prep: 5 min | Cook: 15 min

Advice From Cats On How To Survive The Holidays

1 74
1 74

The holidays can be quite stressful—between buying gifts, coping with family, attending various functions, and realizing that mixing Jagermeister and egg nog together to make “Jag Nog” was a bad idea, it can get a bit overwhelming. Fortunately, the felines behind You Need More Sleep: Advice from Cats are here to give you all the tips you need to enjoy a joyful, peaceful, and—most importantly—restful season.

11 33
11 33

10 41
10 41

9 43
9 43

8 47
8 47

7 53
7 53

6 57
6 57

5 61
5 61

4 65
4 65

3 66
3 66

2 69
2 69

Remember High School pizza?

Today’s…

"...For Confucian societies that value the family and the community more than the individual, people will be more willing to suffer a little bit to save the lives of the old and the weak. Whereas, for societies that value the individual rights and their freedoms, they will not care about the underprivileged that are more likely to die, and that is their freedom of choice. They must have their freedom and their economy. They have a million deaths yet they laugh at China with five thousand, in total. It is their freedom to be stupid and delusional." 

I guess you could classify 2023 as a settling down, begrudgingly by some, of new Geo-political realities. Things are shaking out. It will be choppy, but good!

Remember High School pizza?

City School Pizza

Yield: 24 servings

2023 01 30 16 34
2023 01 30 16 34

Ingredients

French-Style Pizza Crust

  • 2 packages dry or cake yeast
  • 1 tablespoon granulated sugar
  • 3 1/2 cups lukewarm water
  • 9 cups all-purpose flour
  • 1 tablespoon salt

Topping

  • 1 pound ground beef
  • 1 tablespoon instant minced onion
  • 1 (8 ounce) can tomatoes, drained and chopped
  • 1 (6 ounce) can tomato paste
  • 3/4 cup water
  • 3/4 teaspoon oregano
  • Salt
  • 3/4 teaspoon dried sweet basil
  • 3/4 teaspoon garlic powder
  • 3/4 pound ground or chopped luncheon meat
  • 1 1/2 cups grated Parmesan cheese

Instructions

French-Style Pizza Crust

  1. Dissolve yeast and sugar in water.
  2. Add flour and salt. Knead until smooth.
  3. Cover and let rise until doubled in bulk.
  4. Cut into 2portions. Let rest 15 minutes.
  5. Pat or roll to even thickness in 2 (15 1/2 x 10 1/2-inch) jellyroll pans. Set aside.

Topping

  1. Brown ground beef and onion in a large skillet.
  2. Add tomatoes, tomato paste, water, oregano, salt to taste, basil, garlic powder and luncheon meat. Sauté until mixture comes to a boil. Simmer for a few minutes.
  3. Spread sauce on prepared French-Style Pizza Crust in pans. Sprinkle each crust with cheese.
  4. Bake at 400 degrees F for 30 to 35 minutes or until cheese layer is bubbly and browned.

Notes

Source: Los Angeles Times – 9/23/82

Marine Corps reactivates base on Guam

The U.S. Marine Corps reactivated a new base on Guam in a ceremony, Jan. 26, honoring the long-shared history of the Marine Corps and Guam and establishing a forward presence in the Indo-Pacific that will endure into the future.

USMC press release

Marine Corps Base (MCB) Camp Blaz is the first newly constructed Marine Corps base in 70 years and serves as a testament to the U.S.-Japan alliance. Guam was chosen as the location for the new base during the 2012 Bilateral Agreement between the U.S and Japanese governments, under the Defense Policy Review Initiative, which set the framework for the relocation of Marines from Okinawa to Guam. The base is named in honor of Brigadier General Vicente Tomas “Ben” Garrido Blaz, the first CHamorro Marine to attain the rank of general officer.

“Today is an important day that marks the future of the Marines on Guam, and it is also a day to reflect on the century-long history of the Marine Corps in this beautiful place we call home,” said Col. Christopher Bopp, Commanding Officer, MCB Camp Blaz. “On this island Marines and their CHamoru brethren have lived in peace and fought in war together and we are proud to carry on this legacy of honor and courage.”

Guam’s history is marked by the enduring partnership between the U.S. military and the people of Guam. Since the establishment of Marine Barracks Guam in 1899, the Marine Corps has had a nearly continuous presence on Guam. The Marine Barracks was reactivated after World War II and deactivated November 10, 1992.

During the ceremony at War in the Pacific National Historical Park, honors were rendered to the Honorable Lou Leon Guerrero, Governor of Guam; Commandant of the Marine Corps; U.S. Assistant Secretary of the Navy for Energy, Installations and Environmental; Japanese Parliamentary Vice-Minister of Defense and Parliamentary Vice-Minister for Foreign Affairs provided remarks. The ceremony ended with a traditional pass and review.

From HERE

Gotta love this dude. Lives in a literal true warzone, was interrogated and held hostage by SBU and just brushed it like nothing, had bike accident, AGAIN BRUSHED IT LIKE NOTHING AT ALL, and just went full "anyyyyyway, look at those fools!" and started dropping truth-bombs like it's nothing, another day in our mundane lives.

It will worsen for one more president after Biden.

why?

Simple, the U.S. has been in a denial mode since 2014 all the time they underestimated China and China is happy to let the U.S. lulled themself into oblivion.

Biden ought to have woken up to know that China is no pushover but he is too old and too uninformed about China except for fear mongering by his handlers.

I think it needs about 15 years of adjustment by the U.S. to move from disbelief to denial to refusing to accept China is in most way bigger than the U.S. and that the more you contain or to control China the worst it is for America.

By the next president, they will be in a refusing to accept reality stage. That president will know China is really a big deal and the U.S. can hardly match China but for political reason it is better to still pretend that they are still weaker and we the U.S. can still tell them what to do.

But by late 2020s say after 2028 China’s strength is too big to pretend and denying it looks very daft and silly even as a U.S. president. Also by that time the U.S. dollar is a shade of it is even now. By that time it makes sense politically to move into reluctant acceptance stage and to try to benefit by China’s size and market beats trying g to contain China.

American pain will have been rather unbearable by then and it’s people will recognise that selling to China and cooperation with China and Asia is the way to go.

Not at the moment. Today the chest beater and the blind optimism of the U.S. group overwhelmed the realist. And politicians are smart. They know that politically it is still better to talk as though China can be beaten. And talking shit on China is still fashionable. I see 2028–2032 period as the time the realist becomes the majority in America.

A Secret Roswell Journal Becomes The Focus Of A New UFO Documentary

From HERE

A secret journal offers new clues about the nature of the alleged 1947 AD UFO crash at Roswell in the New Mexico desert, or does it? Before we kick off, this isn’t one of these Reddit stories that emerged from some basement decorated with posters of the Starship Enterprise and Chewbacca. Quite the opposite.

Live Science is one off the internet’s more respected academic media outlets and today they tell the story of a hitherto unknown personal diary belonging to Major Jesse Marcel, a head intelligence officer at the Roswell Army Air Field. The secret Roswell journal could change the narrative of this famous UFO event. In particular, the secret Roswell journal may contain secret coded observations that will help to “clarify” what really happened in Roswell in 1947 AD.

Marcel investigated the famous Roswell site in New Mexico where in 1947 a UFO is believed by many to have crashed. Furthermore, he claimed to have recovered some of the debris from whatever ditched in the desert that July night near the Roswell Army Air Field (RAAF). Newspaper photos at the time showed Marcel with pieces of metallic material and on the afternoon of July 8, 1947 AD he disclosed to the media “the crash and recovery of a flying disc.” However, the following day, an army official made a statement saying that a weather balloon had fallen to earth, “not a flying saucer.”

Will The Secret Roswell Journal Change Everything?

The Roswell story now takes a new turn since Major Marcel’s family revealed they had kept his personal secret Roswell journal which contains “hitherto unknown clues about the crash.” The secret diary and its equally secret contents will soon be presented on History Channel´s History’s Greatest Mysteries series in an episode called Roswell: The First Witness . The episode was released on December 12th, Saturday, 2020 AD. The show host, Ben Smith, is a former CIA operative and the show’s lead investigator. He thinks it is very unusual that the day after the government claimed they had recovered a UFO they switched stories and maintained it was merely “a weather balloon,” Smith told Live Science .

This story is another in a long line of recent reports pertaining to UFOs. According to The Guardian in 2017 AD a former Pentagon official confirmed the existence of a federal agency that had been “secretly investigating UFOs since 2007.” Then, in 2018, U.S. Navy pilots reported “three encounters with fast-moving UAP, or unidentified aerial phenomena” better known to us civies as UFOs. And building up this emerging alien narrative, officially declassified videos of these occurrences were published in April of this year, as previously reported on Live Science .

Secret Roswell Journal: A Problem Of Might, May And Could

Let´s now return to Major Jesse Marcel, the author of the secret Roswell journal. He investigated the site at Roswell and recovered what he believed to be debris from a flying saucer . In 1997 AD, Time published an article saying Marcel told an interviewer at the time that “he believed” the object that crashed in the New Mexico desert had extraterrestrial origins. Now, a forensic analysis of Marcel´s secret Roswell journal “could reveal” coded messages that he wrote about the crash at the time that it happened, Ben Smith said.

This application of the word “could” will no doubt have skeptics raging, because they all know what’s most probably coming here. If the diary really contained any actual evidence that the Roswell incident was nothing more than a collapsed weather balloon we would certainly have heard about it by now. Unless of course thousands of unpaid interns and staff at the network have all kept quiet, doubters can argue. Perhaps the skeptics greatest argument is presented in plain sight in the newspaper photograph of Marcel on the evening of the crash. To all but the most committed believers in extraterrestrials it is perfectly clear that Marcel is holding a piece of a crumpled (crashed) weather balloon.

When the History Channel episode airs and unavoidably “no evidence” of extraterrestrials is offered, perhaps then maybe some “believers” will consider the content of the Ancient Origins news article I wrote about this only last month.

A team of Oxford University researchers recently applied statistics to the question “are we alone” and concluded that “life on earth is probably a unique universal phenomena, and that it´s ‘extremely unlikely’ that any other intelligent life exists anywhere else in the universe.” This is a profound claim that sceptics love and believers loathe.

And the same extreme opinions are likely to flood across social media channels when the details of Major Marcel’s secret Roswell journal are revealed.

Japanese Illustrator Suzusiro Puts Maids On Skateboards And We Can’t Complain

1 4
1 4

If you’re a fan of Japanese manga and anime, you may have seen skateboarding characters before (Misaka Yata in K comes to mind), and there’s a very strong probability that you’ve seen maid characters before (Roberta from Black Lagoon, Ram and Rem from Re:Zero Beginning Life In Another World, etc.), but unless you’re a fan of Japanese illustrator Suzusiro, you’ve probably never ever seen the two of them combined!

21 2 1
21 2 1

20 2 1
20 2 1

19 2 1
19 2 1

18 2 1
18 2 1

17 2 1
17 2 1

16 2 1
16 2 1

15 2 1
15 2 1

14 2 1
14 2 1

13 2 1
13 2 1

12 2 1
12 2 1

11 2 1
11 2 1

10 2 1
10 2 1

9 2 1
9 2 1

8 2
8 2

7 3
7 3

6 4
6 4

5 4
5 4

4 4
4 4

3 4
3 4

2 4
2 4

Bialys

What is a bialy? It’s a disk of dough with slight depressions in the center and topped with onions. These are as popular as the bagel is in the northeast. They are a great snack which tastes great with some cream cheese.

2023 01 25 15 57
2023 01 25 15 57

Ingredients

  • 1 1/2 cups warm water ( 105 to 115 degrees F)
  • 5 teaspoons rapid rise yeast
  • 5 teaspoons granulated sugar
  • 5 to 5 1/2 cups unbleached bread flour
  • 2 1/2 teaspoons kosher salt
  • 1/2 cup dehydrated minced onion*
  • 2 tablespoons vegetable oil
  • 1 1/2 tablespoons poppy seeds (optional)
  • 1 tablespoon coarse kosher salt for sprinkling (optional)
  • 1/4 cup cornmeal for baking sheet
  • 1 egg beaten with 2 tablespoons water for wash

Instructions

  1. Heat oven to 450 degrees F.
  2. Whisk together warm water, yeast and sugar in a large bowl, stir in one cup of flour and salt. Add most of the remaining flour and stir with a wooden spoon to make a soft mass. To your mixer, attach the dough hook and mix 8 to 10 minutes or you can knead by hand.
  3. Cover the dough and allow to rest for about 45 to 60 minutes. Line 2 large baking sheets with baking parchment paper and lightly sprinkle with cornmeal.
  4. Place dehydrated onions in a bowl and add hot water and allow the onions to soak for 15 minutes. Drain the onions well, place in a bowl and add the oil and poppy seeds if using. Set aside.
  5. Punch down the dough and divide into two. Divide each half of dough into six equal pieces. Allow dough to rest 10 minutes.
  6. Roll of stretch each portion into a 4- or 5-inch oval or circle, being careful not to overwork the dough. Place bialys on prepared baking sheets, with your fingers make indentations in the center( do not go through the dough). Lightly brush the outer perimeter with the egg wash. Spoon about 2 teaspoons of prepared onion topping and a little sprinkle of salt, if using. Cover with a floured tea towel and allow to rise 30 to 40 minutes or until puffy.
  7. Bake until golden brown, approximately 25 to 30 minutes. (If the bialys are browning too fast, reduce heat to 425 degrees F).

Notes

* or you may substitute 1 cup finely chopped fresh onion, the dehydrated onions will stick better to the raw dough

If you like a thicker bialy (which is great for sandwiches) let the dough rise longer. For thin bialys, reduce the rising time.

Why The Bialy Is Better Than Any Bagel You’ve Ever Had

Onions, bro. Onions.

Have you ever wondered what would happen if you didn’t boil a bagel before baking it? Have you ever wondered why bagels have a hole in the middle instead of more bagel? Have you ever wished that bagels contained more onions, were slightly more tender and weren’t the size of manhole covers? Guys, this unicorn exists, and it’s called a bialy.

The bialy is like the bagel’s older, less famous cousin who gets more handsome the longer you look at him. If you live outside of New York City, LA or a few very select spots in Chicago and Florida, you might have never even heard of bialys, but that should definitely change. Bialys show up anywhere there is a significant Jewish population — they’re Polish, by origin (from Bialystok!), but have been adopted by American Jews for just about as long as there have been American Jews. Here are a few reasons why bialys will always be better than bagels.

You’ll never have to unhinge your jaw to eat one. You’ll also never have to have jaws made of steel to chew them. You can eat one with your breakfast and you won’t feel so full you are going to die.

Biden Admin May Regret Shunning China’s FM as Ambassador

Shut him out for 500 days when he was “just” an Ambassador

“Through Fire And Water”: The Superb Concept Artworks Of Ross Tran

0 59
0 59

Ross Tran is a concept artist and illustrator who attended the Art Center College of Design in Pasadena, California. Ross has worked for companies such as Walt Disney Studios, Psyop and Tyler West Studio.

ross tran gunlady 1
ross tran gunlady 1

ross tran hanzo final web 2
ross tran hanzo final web 2

ross tran harleyquinnnn
ross tran harleyquinnnn

ross tran howlweb
ross tran howlweb

ross tran iceshard2
ross tran iceshard2

ross tran ifx final
ross tran ifx final

ross tran finale web copy3
ross tran finale web copy3

ross tran flower web
ross tran flower web

ross tran furiosa fial
ross tran furiosa fial

ross tran ghost in the shell plug web
ross tran ghost in the shell plug web

ross tran gotfinal2 333
ross tran gotfinal2 333

ross tran grandmashouse
ross tran grandmashouse

ross tran dae web
ross tran dae web

ross tran datumb
ross tran datumb

ross tran dragon girl web
ross tran dragon girl web

ross tran dresses web
ross tran dresses web

ross tran e78f7c0c 5760 429c a40d c10952f132cd
ross tran e78f7c0c 5760 429c a40d c10952f132cd

ross tran elsatumblr
ross tran elsatumblr

ross tran avengers web final
ross tran avengers web final

ross tran azula web
ross tran azula web

ross tran azula webfinal
ross tran azula webfinal

ross tran black panther web
ross tran black panther web

ross tran bride web
ross tran bride web

ross tran brigitte web 3
ross tran brigitte web 3

ross tran busstop web
ross tran busstop web

ross tran bw
ross tran bw

ross tran chunli rd
ross tran chunli rd

ross tran avalon
ross tran avalon

ross tran auyeee
ross tran auyeee

ross tran astromintfinal
ross tran astromintfinal

ross tran astrofirex
ross tran astrofirex

ross tran astro2
ross tran astro2

ross tran ana by rossdraws dccukho
ross tran ana by rossdraws dccukho

ross tran ahri lower
ross tran ahri lower

The USA is pretending it is not bankrupt.
Slowly, then suddenly as the observation attests.

If you can’t finance your trillions, with what medium of exchange will the goodies for military be paid?

Keep an eye on the trajectory of U$D. USG relies on its hegemony -the dollar as the global reserve currency -to finance its budget and trade deficits.

As the dollar is shunned…….Paul Craig Roberts former Assistant Sec. of U.S. Treasury, commenting on KSA’s recent announcement to accept other currencies in payment for oil…wrote

Article HERE

 

In recent years Washington has so abused the dollar’s reserve currency role with sanctions and asset seizes that many countries desire to settle their trade imbalances in their own currencies in order to escape Washington’s ability to threaten and punish them for serving their own interests rather than Washington’s. If Saudi Arabia abandons the petrodollar, the demand for dollars and the dollar’s value will fall.[.]

This is a major threat to Washington’s power and to the financial power of American banks[.]

 

Prime example of self-harm unfolding. Within hours of Blinkie’s (US Sec of State) departure:-

Egyptian parliament approves agreement to join the BRICS bank

 

Cairo, Jan 30 (Prensa Latina) The Egyptian Parliament approved an agreement adopted by the Government that allows the country to join the New Development Bank of the BRICS group, the Al-Ahram diary reported.
The vice-president of the Economic Committee of the House of Representatives, Mohamed Abdel-Hamid, affirmed that joining the institution benefits the country.

“We will benefit from the Bank’s financial and technical assistance in areas such as sustainable development, health, infrastructure, transportation, water, and telecommunications,” he said. “It represents a step in the fight against the dollarization phenomenon and opens up new markets for Egypt’s agricultural and industrial products,” he said.

Legislator Mervat Mattar, stated that “the BRICS group is an important forum that can divert the course of the international economy from US and dollar dominance.” He also welcomed the Russian central bank’s decision a week ago to add the Egyptian pound to its list of foreign currencies exchangeable for rubles.

Last December, the Egyptian Council of Ministers announced its decision to join the BRICS, after a proposal by President Abdel Fatah El-Sissi.

The addition of Egypt will also relieve the state budget of the pressure to find dollars to meet imports because members of that bank can use their national currencies in bilateral trade, he said.[.] (emphasis added)

LINK

 

BRICS founding members: Brazil Russia India China South Africa

Have you read the list of countries applying for BRICS membership?

Saudi Arabia, Qatar, Morocco, Mexico, Iran, Argentina, Uruquay are among some 22 countries named to date.

Chicken with Olives and Feta Cheese

Chicken with Olives and Feta Cheese 1 of 1 600x900 1
Chicken with Olives and Feta Cheese 1 of 1 600×900 1

 Ingredients
  • 2 pounds chicken thighs with skin and bones
  • 1 tablespoon olive oil
  • 1 cup chopped onion
  • 2 large garlic cloves, chopped
  • 1 (14 1/2) ounce can diced tomatoes in juice
  • 3/4 cup Kalamata olives or other brine cured black olives, pitted, sliced
  • 1/2 cup dry red wine
  • 1 1/2 tablespoons chopped fresh oregano or 2 teaspoons dried
  • 2/3 cup crumbled feta cheese

Instructions

  1. Sprinkle chicken with salt and pepper. Heat oil in large skillet over medium high heat. Add chicken and sauté until brown, about 4 minutes per side. Transfer chicken to plate. Pour off all but 2 tablespoons drippings from skillet. Add onion to drippings in skillet.
  2. Sauté over medium heat 3 minutes. Add garlic and stir until fragrant, about 1 minute. Add tomatoes with juices, olives, wine and oregano.
  3. Return chicken and any accumulated juices to sauce; bring to boil. Reduce heat to medium low, cover and simmer until chicken is tender and cooked through, about 25 minutes.
  4. Transfer chicken and sauce to platter. Sprinkle with feta cheese and serve.

Yield: 6 servings

My cat says fuck you

Actually, that’s a name of an “art series”.

...that's the thing... all this money going to the military and banking complex means eroding living standards for the same citizens.. 

the destruction of the fabric that holds a country together - medical system, gov't funding for any number of programs that benefit people - have to be removed so that more can be spent on the military.. 

oh and forget about this global economy where all boats rise.. no.. cordon it off into areas of exchange that remove all the so called bad guys... 

i hope this bites the west in the ass really hard to the point more people wake up to this bullshit.. 

i am not counting on anyone waking up though, or if they do - probably too late...

Posted by: james | Jan 31 2023 18:24 utc | 11

Today we are going to have some irreverent fun. Lot’s of cussing, profanity, and other anti-social behaviors. We are “letting loose”.


When I was in second grade, we were living in our new home in Monroe, CT. (Which by the way, ended up turning into a multi-million dollar house, in a very exclusive neighborhood. Too bad we sold the house, for a job in Pittsburgh. But it was the 1960’s, and my dad couldn’t peer into a crystal ball for the future…)

It was Easter.

My bad brought a cute white rabbit home. And all of us kids played with it all day. And of course, it being Easter, we had tons of hard-boiled Easter eggs, and chocolate. And of course, being kids, we fed that rabbit a long stream of our chocolate.

What we did not know, being all of seven or eight years old, and what our parents did not know, is that while we (as humans) could eat chocolate, little animals such as a dogs, cats and rabbits could not.

The next day; Monday, we woke up to a dead rabbit.

And there, on Monday morning, we had a little memorial service and buried the rabbit in our back yard.

The End.


Let’s get on with today’s installment…

This Instagram Account Creates Sinister Parodies of Kid’s Cooks To Ruin Your Childhood Memories

0 36
0 36

Thomas Columbo is the creator of Digital Meddle. He alters vintage children’s books through the use Photoshop, adding the text in order to give the stories a different meaning with a comedic effect. Something that drives his passion for this unique art form is people’s disapproving comments, although overall his work is well received.

More: Instagram

174326039 1132162847297700 957452926923010520 n
174326039 1132162847297700 957452926923010520 n

200510946 391593412216538 8495082616923375429 n
200510946 391593412216538 8495082616923375429 n

200351952 509874450440704 1787650128162545429 n
200351952 509874450440704 1787650128162545429 n

200005538 4063768273708881 4825873713403426611 n
200005538 4063768273708881 4825873713403426611 n

199967598 339378850957639 8857000294750450806 n
199967598 339378850957639 8857000294750450806 n

197395975 117341147210434 7549476072146951403 n
197395975 117341147210434 7549476072146951403 n

197044615 295460045593070 1460099768000564670 n
197044615 295460045593070 1460099768000564670 n

195477156 565060934899055 3062968629557859123 n
195477156 565060934899055 3062968629557859123 n

194522074 541384903520849 4452995071740130235 n
194522074 541384903520849 4452995071740130235 n

194360593 499909874758072 4474531839999308272 n
194360593 499909874758072 4474531839999308272 n

194091749 188607633155245 4486643133024292781 n
194091749 188607633155245 4486643133024292781 n

193391674 4344314095581411 2056358902438608790 n
193391674 4344314095581411 2056358902438608790 n

193240731 836676910262873 4046253958286775516 n
193240731 836676910262873 4046253958286775516 n

192309080 1096584734084814 5316491564629381516 n
192309080 1096584734084814 5316491564629381516 n

191807384 485244429569379 2337448150423934787 n
191807384 485244429569379 2337448150423934787 n

189578500 2945722238973278 6170612394998408381 n
189578500 2945722238973278 6170612394998408381 n

189456936 121423110084651 7323239447811290775 n
189456936 121423110084651 7323239447811290775 n

188594949 383477056259081 4513167988691501005 n
188594949 383477056259081 4513167988691501005 n

186238479 470402784017972 3615733548301163039 n
186238479 470402784017972 3615733548301163039 n

185849804 496153861701511 4522680189247872111 n
185849804 496153861701511 4522680189247872111 n

185275559 753347848697084 4036913694370563154 n
185275559 753347848697084 4036913694370563154 n

184119102 825472534769916 1519164347322134138 n
184119102 825472534769916 1519164347322134138 n

183672366 297682525291088 8979529150655417067 n
183672366 297682525291088 8979529150655417067 n

182377377 508143853892819 5291600349324565406 n
182377377 508143853892819 5291600349324565406 n

181568991 475947006950049 5110013190606849825 n
181568991 475947006950049 5110013190606849825 n

181110334 163062635645549 4458093370956082882 n
181110334 163062635645549 4458093370956082882 n

179950352 1156861604754238 2167773595830140868 n
179950352 1156861604754238 2167773595830140868 n

177452131 534060764645144 5929878265163683292 n
177452131 534060764645144 5929878265163683292 n

176165449 145282274207420 7451727307410217016 n
176165449 145282274207420 7451727307410217016 n

175320102 451364816154567 326959045255421598 n
175320102 451364816154567 326959045255421598 n

175044479 288067976330766 4519294136967599538 n
175044479 288067976330766 4519294136967599538 n

174329831 234667511768855 7799091839023194654 n
174329831 234667511768855 7799091839023194654 n

174326039 1132162847297700 957452926923010520 n 1
174326039 1132162847297700 957452926923010520 n 1

173633794 2936925019921232 8102506755615162712 n 1
173633794 2936925019921232 8102506755615162712 n 1

172870639 120439686787741 3351918030830206516 n 1
172870639 120439686787741 3351918030830206516 n 1

172621988 581050962857645 8154726775542251827 n 1
172621988 581050962857645 8154726775542251827 n 1

170787045 2670697549888167 5593608973116164661 n 1
170787045 2670697549888167 5593608973116164661 n 1

170761712 4330377600314891 3849863082966991459 n 1
170761712 4330377600314891 3849863082966991459 n 1

170220150 738248956844275 2896805781016672241 n 1
170220150 738248956844275 2896805781016672241 n 1

173633794 2936925019921232 8102506755615162712 n
173633794 2936925019921232 8102506755615162712 n

172870639 120439686787741 3351918030830206516 n
172870639 120439686787741 3351918030830206516 n

172621988 581050962857645 8154726775542251827 n
172621988 581050962857645 8154726775542251827 n

170787045 2670697549888167 5593608973116164661 n
170787045 2670697549888167 5593608973116164661 n

170761712 4330377600314891 3849863082966991459 n
170761712 4330377600314891 3849863082966991459 n

170220150 738248956844275 2896805781016672241 n
170220150 738248956844275 2896805781016672241 n

167511475 892606761519001 6582405741795167130 n
167511475 892606761519001 6582405741795167130 n

167365511 118426340324511 8139887738873380517 n
167365511 118426340324511 8139887738873380517 n

166417954 993550011176840 9207235853591640450 n
166417954 993550011176840 9207235853591640450 n

166263705 199200404981001 5096267514931618381 n
166263705 199200404981001 5096267514931618381 n

166215759 273446111031794 8908275843741645977 n
166215759 273446111031794 8908275843741645977 n

165204670 1635661899964063 871572645949376132 n
165204670 1635661899964063 871572645949376132 n

164527539 121770019916624 3138519289238938193 n
164527539 121770019916624 3138519289238938193 n

164456746 1146251525803233 4851507497802354758 n
164456746 1146251525803233 4851507497802354758 n

163232804 464657184948761 1222198924965979210 n
163232804 464657184948761 1222198924965979210 n

163230864 717213648896215 4502758255065551216 n
163230864 717213648896215 4502758255065551216 n

162232449 2182305235237574 6313284707527299384 n
162232449 2182305235237574 6313284707527299384 n

162026833 879169322934809 1864362831063934337 n
162026833 879169322934809 1864362831063934337 n

161817539 1115862405599867 3084977276209234676 n
161817539 1115862405599867 3084977276209234676 n

161425039 114342600683048 8080822392922419014 n
161425039 114342600683048 8080822392922419014 n

161268638 1784364721741764 642256035419480214 n
161268638 1784364721741764 642256035419480214 n

160378763 975754372959948 1653371030305644291 n
160378763 975754372959948 1653371030305644291 n

160372282 476513770152978 3285575577161084046 n
160372282 476513770152978 3285575577161084046 n

160312665 979127546258845 2448805809104284141 n
160312665 979127546258845 2448805809104284141 n

160287138 363402738094637 7147414792633869989 n
160287138 363402738094637 7147414792633869989 n

159515708 882752355841915 3190689105588930647 n
159515708 882752355841915 3190689105588930647 n

158372465 834850090708402 7126359290927541238 n
158372465 834850090708402 7126359290927541238 n

158216673 255154669535090 8196878668081300743 n
158216673 255154669535090 8196878668081300743 n

158135123 430687124691759 6928112994379858489 n
158135123 430687124691759 6928112994379858489 n

156939119 992044054664368 7835969473183972395 n
156939119 992044054664368 7835969473183972395 n

156866361 1987065751435521 868081825536527409 n
156866361 1987065751435521 868081825536527409 n

155587822 262471845364600 4098541149336550621 n
155587822 262471845364600 4098541149336550621 n

154799139 139446268042110 7536777444206989759 n
154799139 139446268042110 7536777444206989759 n

153625902 178474253782133 3378627862270643836 n
153625902 178474253782133 3378627862270643836 n

153083011 505109337545336 4108965325738030391 n
153083011 505109337545336 4108965325738030391 n

152044611 129896632345515 3563374360807425090 n
152044611 129896632345515 3563374360807425090 n

151309052 1114697442276890 8576626368083286712 n
151309052 1114697442276890 8576626368083286712 n

151273371 484595652945641 1608326838439916396 n
151273371 484595652945641 1608326838439916396 n

151205065 1046356762539161 1881507375092250904 n
151205065 1046356762539161 1881507375092250904 n

150939354 143374084278065 5974269160367858404 n
150939354 143374084278065 5974269160367858404 n

150784845 261016918795614 6679126863909497790 n
150784845 261016918795614 6679126863909497790 n

149468649 272040810967429 1785208655352687882 n
149468649 272040810967429 1785208655352687882 n

148231496 449273502934479 7408541574524050961 n
148231496 449273502934479 7408541574524050961 n

146295138 2466759380299226 8830111057964032743 n
146295138 2466759380299226 8830111057964032743 n

146127235 776033219682453 3328825676855277968 n
146127235 776033219682453 3328825676855277968 n

145806730 1401790606824434 2110849689972045976 n
145806730 1401790606824434 2110849689972045976 n

144664063 167841988181742 7680187467830066562 n
144664063 167841988181742 7680187467830066562 n

144563835 2898876183765484 8226829425717842332 n
144563835 2898876183765484 8226829425717842332 n

144326314 163515945534399 54920324488779898 n
144326314 163515945534399 54920324488779898 n

144103799 320924149324803 6707247765723164282 n
144103799 320924149324803 6707247765723164282 n

142666619 135249345092167 5345257106316357971 n
142666619 135249345092167 5345257106316357971 n

142510464 467185207997427 3277134664812900018 n
142510464 467185207997427 3277134664812900018 n

Egyptian FM delivered a Blinkie message to Russia

Lavrov: Blinken’s message on Ukraine contains only calls on Russia to ‘quit and stop’

From HERE

“It is reported that [yesterday] Jens Stoltenberg said in one of his speeches that Russia must lose, must be defeated, and that the West cannot afford to let Ukraine lose, because in that case the West will lose and the whole world will lose”

MOSCOW, January 31. /TASS/. US Secretary of State Antony Blinken’s message on Ukraine, handed over by Egyptian Foreign Minister Sameh Shoukry, contains only calls on Russia to “quit and stop,” Russian Foreign Minister Sergey Lavrov told a media conference following talks with his Egyptian counterpart on Tuesday.

Mr. Minister, while answering the previous question, said that he had conveyed a certain message from Secretary of State Blinken, who was recently on a visit to Cairo. I confirm this,” Lavrov said, answering a question from TASS. “Russia is ready to listen to any serious proposal that is aimed at resolving the current situation in its comprehensive context.”

“We have had one more message Egypt’s foreign minister has handed over to us to the effect that Russia should stop, that Russia should quit, and then everything will be fine,” Lavrov went on to say, adding that at the same time “Blinken omitted something.”

“The other part of the message, showing the true interest of the United States and the West, was stated by NATO Secretary General Mr. [Jens] Stoltenberg, when he was in the Republic of Korea yesterday,” Lavrov noted. “He said in one of his speeches that Russia must lose, must be defeated, and that the West cannot afford to let Ukraine lose, because in that case, he argued, the West will lose and the whole world will lose.” Stoltenberg, as Lavrov pointed out, “took the liberty of speaking not only on behalf of the North Atlantic Alliance, but also on behalf of all other countries of the world.”[.] (emphasis added)

Certainly, that message will not move 1 millimeter for talks.
3 Strikes: the West cheated at Minsk. Theft of RF’s foreign reserves. “Terror attack” on NordStream 1, 2 and last week very publicly bragged about the dastardly act.

Wake up. It will take decades to restore Confidence, Trust, Credibility.
Russia will soldier on (pun intended) until ALL its goals for de-militarizing and de-NATOfying to its 1993 borders are achieved.

Posted by: Likklemore | Jan 31 2023 18:39 utc | 19

Common School Blueberry Muffins

IMG 7463 682x1024 1
IMG 7463 682×1024 1

Ingredients

  • 1/4 cup granulated sugar
  • 2 cups all-purpose flour
  • 2 1/2 teaspoons baking powder
  • 1/2 teaspoon salt
  • 1 cup blueberries
  • 1 egg, beaten
  • 1 cup milk
  • 1/4 cup melted butter

Instructions

  1. Heat oven to 400 degrees F. Grease tins.
  2. Sift together dry ingredients; add blueberries to flour mixture and stir gently.
  3. Mix egg, milk and butter together. Add to flour mixture; mix only enough to moisten flour. Do not beat. Fill tins 3/4 full.
  4. Bake for 25 minutes.

Size Matters – On A U.S. Ground Intervention In Ukraine

A European financial research company has sent me one of their quarterly research letters. It is a ‘contrarian review of political and military ramifications’ of the war in Ukraine. It analyzes ‘winners and losers’ of the war.

It is contrarian only in the sense that it counters the false views of ‘western’ mainstream media with reality. The losers of the war are all on the ‘western’ side with the only two winners being the owners of the U.S. defense industry and Russia.

I was sent the courtesy copy because, as the company writes, the discussions at Moon of Alabama were “immensely helpful” in forming their view.

Note to the authors: You are welcome.

I will not quote from the paper as it seems to be a somewhat confidential business product. But I will steal two graphics from it that will help to understand the size of the war in Ukraine and how it will NOT end.

There have been theories that Poland or some U.S. led coalition force would intervene with their troops on the ground in Ukraine to ‘kick the Russians out’.

The two graphics though dispel any hope for such an operation.

The following is an operational map of Desert Storm. The U.S. led operation in spring 1991 to kick Iraq out of Kuwait.

 

iukr1
iukr1

biggerIt took the U.S. some nine month to assemble a forces of some 700,000 U.S. and 250,000 allied troops with all their equipment. Iraq had an estimated 650,000 troops in the theater. The U.S. first created total air superiority by destroying Iraq’s fighter aircraft and air defense forces. With that done it took only 100 hours of ground operation to destroy a third of the Iraqi forces. The rest of the Iraqi army retreated under fire towards Baghdad.

There are some 550,000 Russian troops in and around Ukraine. A hypothetical operation to ‘kick Russia out’ would thereby have about the same size as Desert Storm. But the geographic dimensions differ drastically.

The following is an operational map of Desert Storm from above overlaid in scale on the map of Ukraine.

 

iukr2
iukr2

biggerThe map was turned to the left by 90 degree. North is to the left, east at the top and Crimea in the south to the right.

Russia occupies some 87,000 square kilometer of Ukraine. The Desert Storm theater around Kuwait was five times smaller.

A hypothetical U.S. coalition of the size of Desert Storm could probably cross the Dnieper and cut of Crimea. But it could do little more than that. The Donetz and Luhansk oblasts and Crimea itself would still be in Russian hands.

But there are many reasons why no such operation will ever be planned and executed.

  • The U.S. no longer has a force of the size it committed to Desert Storm. Nor do its allies.
  • The U.S. was able to create air superiority in Iraq because it could fly from nearby Saudi airfields and from aircraft carriers in the Persian Gulf. Air superiority in eastern Ukraine could only be achieved with the destruction of long range air-defenses within Russia. The next safe air fields the U.S. could use are in Poland and Romania. No U.S. aircraft carrier will dare to enter the Black Sea. U.S. fighter planes to not have the necessary reach for combat missions in eastern Ukraine.
  • The Ukrainian rail system is by now a mess. It is incapable of moving a large force from the west into east Ukraine.
  • Any attempt to move a large force through Ukraine would be subject to deep battle interdiction by Russian and Belorussian forces.
  • Iraqi equipment was badly maintained and Iraqi forces were barely trained. Russia has a well trained high tech army.

I could go on but you can certainly see the point.

No U.S. ground troops will move into Ukraine. It is ludicrous to think otherwise.

Posted by b on January 30, 2023 at 16:23 UTC | Permalink

Humorous Illustrations Blending Sarcastic Nature and Adopted Cat’s Attitude

0 24
0 24

The Internet has been abuzz in recent years with the phenomenon of cats taking over, and now we have one more artist to add to their ranks. @st.aftercigs, an Instagram account with 190K+ followers, gives a whole new dimension to cat appreciation with their bold, humorous artworks inspired by the artist’s own adopted cat.

The artist behind the account expresses the stark contrast between cats’ sassiness, and their ability to be inspirational muses. By blending the artist’s own sarcastic nature with their cat’s grumpy cattitude, a unique and lively art style has been born. The artworks are a great reminder of the many nuanced personalities our cats possess and the joy they can bring to our lives.

st.aftercigs 326340476 704182134774296 3636887320990145096 n
st.aftercigs 326340476 704182134774296 3636887320990145096 n

st.aftercigs 326161701 492383959502303 6944949284073685548 n
st.aftercigs 326161701 492383959502303 6944949284073685548 n

st.aftercigs 326091536 1914112195620186 2036732411314689451 n
st.aftercigs 326091536 1914112195620186 2036732411314689451 n

st.aftercigs 325844777 1179672912922649 2465375065920544541 n
st.aftercigs 325844777 1179672912922649 2465375065920544541 n

st.aftercigs 325536078 1537675626731674 3648911405732526293 n
st.aftercigs 325536078 1537675626731674 3648911405732526293 n

st.aftercigs 324396159 1093361035396160 2433840462470620004 n
st.aftercigs 324396159 1093361035396160 2433840462470620004 n

st.aftercigs 324251152 1188970981745602 1036107897243876711 n
st.aftercigs 324251152 1188970981745602 1036107897243876711 n

st.aftercigs 324063654 495478079299590 8914003877273946382 n
st.aftercigs 324063654 495478079299590 8914003877273946382 n

st.aftercigs 323801362 476522161224051 1316968896815278729 n
st.aftercigs 323801362 476522161224051 1316968896815278729 n

st.aftercigs 322999820 489201646631499 5234261128770036296 n
st.aftercigs 322999820 489201646631499 5234261128770036296 n

st.aftercigs 322315593 1309485606502194 6575624391381305792 n
st.aftercigs 322315593 1309485606502194 6575624391381305792 n

st.aftercigs 321915106 1858615004493455 2193433624028756012 n
st.aftercigs 321915106 1858615004493455 2193433624028756012 n

st.aftercigs 321431172 2517419241729539 8694652960645006090 n
st.aftercigs 321431172 2517419241729539 8694652960645006090 n

st.aftercigs 321362840 1399191737281548 7422898996155001315 n
st.aftercigs 321362840 1399191737281548 7422898996155001315 n

st.aftercigs 321093598 981023092856656 1157427619576452026 n
st.aftercigs 321093598 981023092856656 1157427619576452026 n

st.aftercigs 320576632 149444957851244 1945382939234211796 n
st.aftercigs 320576632 149444957851244 1945382939234211796 n

st.aftercigs 320033722 847079823207839 686328077588218985 n
st.aftercigs 320033722 847079823207839 686328077588218985 n

st.aftercigs 319576865 484703283787068 5920769697401412908 n
st.aftercigs 319576865 484703283787068 5920769697401412908 n

st.aftercigs 319017736 1288501955330646 2064153331250516774 n
st.aftercigs 319017736 1288501955330646 2064153331250516774 n

st.aftercigs 318945862 817959202648406 2733618535498747504 n
st.aftercigs 318945862 817959202648406 2733618535498747504 n

st.aftercigs 318658856 197116759478992 1728329015353713005 n
st.aftercigs 318658856 197116759478992 1728329015353713005 n

st.aftercigs 317581475 487187193479878 2640930639346825054 n
st.aftercigs 317581475 487187193479878 2640930639346825054 n

st.aftercigs 317233841 1275478703018118 1075190629124168387 n
st.aftercigs 317233841 1275478703018118 1075190629124168387 n

st.aftercigs 316851646 881530016312895 6829075798402457156 n
st.aftercigs 316851646 881530016312895 6829075798402457156 n

st.aftercigs 316464843 455651496750578 4607795120096396839 n
st.aftercigs 316464843 455651496750578 4607795120096396839 n

st.aftercigs 315748860 3397093910562528 3767193727718838658 n
st.aftercigs 315748860 3397093910562528 3767193727718838658 n

st.aftercigs 315651559 1312335829590704 9036197101383951677 n
st.aftercigs 315651559 1312335829590704 9036197101383951677 n

st.aftercigs 315169067 667045138153582 809241922543704057 n
st.aftercigs 315169067 667045138153582 809241922543704057 n

st.aftercigs 315006807 712799706427143 1053043598212447133 n
st.aftercigs 315006807 712799706427143 1053043598212447133 n

st.aftercigs 314931418 539702891321707 4614182520855539160 n
st.aftercigs 314931418 539702891321707 4614182520855539160 n

st.aftercigs 314838321 462976725818808 2447629064478921982 n
st.aftercigs 314838321 462976725818808 2447629064478921982 n

st.aftercigs 314405364 679913473457889 4680235251650514324 n
st.aftercigs 314405364 679913473457889 4680235251650514324 n

st.aftercigs 313855340 845343506666258 5561304820952571611 n
st.aftercigs 313855340 845343506666258 5561304820952571611 n

st.aftercigs 313845350 959474558344366 5211609794034595898 n
st.aftercigs 313845350 959474558344366 5211609794034595898 n

st.aftercigs 313030872 874482237263837 4647471020022600583 n
st.aftercigs 313030872 874482237263837 4647471020022600583 n

st.aftercigs 312566247 782484019503004 8457927505515225928 n
st.aftercigs 312566247 782484019503004 8457927505515225928 n

st.aftercigs 312062126 1302560647163977 3766725780895898673 n
st.aftercigs 312062126 1302560647163977 3766725780895898673 n

st.aftercigs 311783994 646031000438608 2245926907081966513 n
st.aftercigs 311783994 646031000438608 2245926907081966513 n

st.aftercigs 311597396 1155083515426517 3799212911398713158 n
st.aftercigs 311597396 1155083515426517 3799212911398713158 n

st.aftercigs 311445464 5553064184771399 5601761213090309575 n
st.aftercigs 311445464 5553064184771399 5601761213090309575 n

st.aftercigs 311278264 119623187559809 2736660505560455951 n
st.aftercigs 311278264 119623187559809 2736660505560455951 n

st.aftercigs 311137651 134136249365279 1656859363366704773 n
st.aftercigs 311137651 134136249365279 1656859363366704773 n

st.aftercigs 310717260 1244245459641023 6646756878224667543 n
st.aftercigs 310717260 1244245459641023 6646756878224667543 n

st.aftercigs 310001574 111784545033239 7847973970163820234 n
st.aftercigs 310001574 111784545033239 7847973970163820234 n

st.aftercigs 308896233 651588909728694 588135794763099260 n
st.aftercigs 308896233 651588909728694 588135794763099260 n

st.aftercigs 308674434 653796576031464 5595320014653056021 n
st.aftercigs 308674434 653796576031464 5595320014653056021 n

st.aftercigs 308366317 206786075030343 6605415084716426753 n
st.aftercigs 308366317 206786075030343 6605415084716426753 n

st.aftercigs 308069893 171097372176231 1291220151343982285 n
st.aftercigs 308069893 171097372176231 1291220151343982285 n

st.aftercigs 307754016 1238975340256339 4580656368762373589 n
st.aftercigs 307754016 1238975340256339 4580656368762373589 n

st.aftercigs 306666246 6056750701006469 6686451606472729264 n
st.aftercigs 306666246 6056750701006469 6686451606472729264 n

st.aftercigs 306580054 427621869468520 4813371645265092330 n
st.aftercigs 306580054 427621869468520 4813371645265092330 n

st.aftercigs 306275325 398363955779380 4153061923570357164 n
st.aftercigs 306275325 398363955779380 4153061923570357164 n

st.aftercigs 305588066 1096220857955696 1757166333145894353 n
st.aftercigs 305588066 1096220857955696 1757166333145894353 n

st.aftercigs 305389632 748594099542960 5572154795611407320 n
st.aftercigs 305389632 748594099542960 5572154795611407320 n

st.aftercigs 305111995 190532623426054 4214691528003195515 n
st.aftercigs 305111995 190532623426054 4214691528003195515 n

st.aftercigs 302452830 468554255142082 5347564908745877126 n
st.aftercigs 302452830 468554255142082 5347564908745877126 n

st.aftercigs 301918316 1160605011478489 4165356843169133206 n
st.aftercigs 301918316 1160605011478489 4165356843169133206 n

st.aftercigs 301913423 629359161915449 928104562369399154 n
st.aftercigs 301913423 629359161915449 928104562369399154 n

About the USA

The US only engages in direct conflict against a far weaker opponent.

It’s preferred method of controlling other states is to work in the shadows – propaganda and psy ops to turn people against their government, support of extremist factions, manipulation of elections, color revolutions, and sanctions.

All underhanded and sneaky.

The neocons were sure their toolkit would work in Ukraine.

The US government is committed to this as long as it doesn’t have to confront Russia directly.

The US public is committed to this as long as it doesn’t come down to thousands of boys coming back in body bags.

Otherwise it’s one big reality show to entertain.

There are descriptors to be used for people who act like the US acts, none of them complimentary.

Posted by: Mike R | Jan 30 2023 17:08 utc | 19

School Style Sloppy Joes

2023 01 30 21 09
2023 01 30 21 09

Ingredients

  • 1 pound ground beef
  • Chopped onion
  • 1/2 cup ketchup with water to make 3/4 cup
  • 1/4 teaspoon dry mustard
  • 1 tablespoon brown sugar
  • Shake of Worcestershire sauce
  • 1 can tomato soup (undiluted)

Instructions

  1. Brown ground beef with onion and drain.
  2. Add other ingredients and simmer until warm through.
  3. Serve over hamburger buns.

The Married Kama Sutra

World War 3 is proceeding. NATO and the United States are “throwing everything, including the kitchen sink at Russia”.  At this point in time, details are trivial. Russia will eventually win, and the United States will sulk, but then “pivot to China”.

China is ready for this. And if they are not, then they deserve what will be coming.

Preventative efforts to minimize the “bads” from being big, to something more manageable are in process. But I have no “crystal ball” and cannot predict the future.

I, like you all, tire of war talk, covid talk and all the rest.

We all should be exhausted of this nonsense. But the evil son-of-bitches won’t stop. They are functionally evil.

Here, let’s take a light view of human relationships today. I’m throwing this post in the art section.

The Married Kama Sutra: The World’s Least Humorous Erotic Sex Manual

married kama sutra1b
married kama sutra1b

For centuries, lovers have found inspiration and advice in the ancient text of the Kama Sutra. Now, Simon Rich – ‘one of the funniest writers in America’ (The Daily Beast) – and Farley Katz have unearthed a valuable new document – a guide to the positions most common after marriage.

From ‘the interrupted congress’ to ‘the beaching of the whales,’ here are the poses, positions, and games married lovers play to keep the spark alive – and the dishwasher properly loaded. Complete with four-color, full-page illustrations in the style of the original Kama Sutra, but with modern, domestic accoutrements: dirty diapers, TV remotes, and wine glasses aplenty.

married kama sutra13
married kama sutra13

married kama sutra12
married kama sutra12

married kama sutra11
married kama sutra11

married kama sutra10
married kama sutra10

married kama sutra9
married kama sutra9

married kama sutra8
married kama sutra8

married kama sutra7a
married kama sutra7a

married kama sutra6
married kama sutra6

married kama sutra5
married kama sutra5

married kama sutra4
married kama sutra4

married kama sutra3
married kama sutra3

married kama sutra2a
married kama sutra2a

.

 

If China falls for this American trap, it deserves to die.

Bad news for US: there is no competition between US and China. US lost it already. 

All those economic sanctions stuff against China have been like mending a broken fence after the horses gone. 

US is inconveniencing China right now, but can US hinder Chinese people to innovate, to progress, to revenge, and so have the last word? 

Nyet.

Posted by: KitaySupporter | Jan 27 2023 18:22 utc | 46

I read some disturbing things about where the United States is heading. Anyone who believes that the USA isn’t going to “start a war with China” is delusional. No matter what China does, good or bad, the United States is planning on fighting. And it is planning on a remote far-away war.

If China falls for this trap, it deserves what ever happens to it. No excuses.

Meanwhile the United States (and it’s proxies) are pushing, pushing, and pushing. These are dangerous times.

I need a drink.

How to Make a Whiskey Sour: Classic Whiskey Sour Recipe

Written by MasterClass

Last updated: Dec 25, 2022

The Whiskey Sour is a structured and refreshing cocktail that can be drunk from the afternoon until late in the night.

2023 01 29 10 38
2023 01 29 10 38

What Is the Whiskey Sour?

The Whiskey Sour is made with two parts rye whiskey or bourbon, to one part each of lemon juice and simple syrup. Classic sours typically call for two parts of a spirit, along with one-to three-quarter parts each of sweetener and acid. Some sour cocktails feature an egg white for a bit of froth and volume, which is optional for the Whiskey Sour. The acidic citrus in this classic cocktail brings levity to the spice and smoke of barrel-aged whiskey. The Whiskey Sour is shaken and served over ice in a rocks glass.

Ingredients

  1. Combine the whiskey, lemon juice, and simple syrup in a cocktail shaker and fill with ice cubes. Shake well for 30 seconds.
  2. Strain into a rocks glass with fresh ice. Garnish with the orange slice and maraschino cherry.

Iran Under Attack!

The United States / Israel (obviously) hit Iran because of shipments of weapons and drones to Russia. -MM

More than half a dozen explosions have rocked different cities inside Iran as of 7:17 PM eastern US time on Saturday, 28 January 2023.  The explosions have all been hitting military industrial facilities.  Micro Aerial Vehicles (drones) and hitting numerous targets throughout Iran.

In addition, reports are now coming in claiming the Israeli Defense Force (IDF) is engaging in air attacks inside Syria, against allegedly Iranian targets.

This is happening right now.  Details coming in, Check back for updates.

7:20 PM EST — 5-6 explosions reported in different provinces of Iran just now – Industrial Plants, Weapons Depot, Airbase, Military buildings targeted, – Drones/Quadcopters suspected

7:27 PM EST — The map below shows the locations of several attacks so far:

2023 01 29 10 23
2023 01 29 10 23

***** BULLETIN *****

“Israel launches a special military operation inside Iran” – Al Arabiya News Network

More:

“The official goal of the Israeli army is the destruction of Iran’s military industry” – Al Arabiya

 

7:31 PM EST — Videos surfacing of Iranian Air Force scrambling

Russian and Iranian State Media is already claiming that the Drone Attacks on Military Facilities inside of Iran tonight are suspected to be from a NATO or NATO-Linked Country.

 7:35 PM EST — There are rumors tonight that the Azerbaijani Embassy in the Iranian Capital of Tehran is currently being Evacuated with several trucks seen being loaded with Equipment and Documents from the Embassy.

TEHRAN NOW: GROUND DEFENSE SYSTEMS ARE RESPONDING TO AIR TARGETS OVER THE CAPITAL, TEHRAN.

 

7:37 PM EST — The Iranian National Security Council has convened an emergency meeting

7:39 PM EST — The strikes on Iran are extremely distributed all over the country. No less than 7 large cities have been struck.

The Iranian security council has been convened for an emergency session.

The Iranian Ministry of Defense: The air defense hit one of the drones that were trying to target the Isfahan Military Factory, and the other two planes fell into defensive traps and exploded.

 

7:42 PM EST — Explosion at the air base in the city of Dezful, Iran

Confirmed (locally) blast-incidents in the past hour in Iran:

1. Isfahan, #Isfahan Province

2. Khoy West Azerbaijan Province

3. Azarshahr East Azerbaijan Province

4. Karaj #Alborz Province

Multiple Middle Eastern Media Sources tonight are reporting that Israel has launched a Military Operation against Iran but so far nothing has been Officially Announced by the Israeli Government or Military.

 7:47 PM EST — IT IS ALLEGED THAT SOME GOVERNMENT BUILDINGS IN IRAN WERE HIT. (UNCONFIRMED)

Reports indicate that there is an intense security situation in the routes leading to the ammunition manufacturing centers of the Ministry of Defense in Isfahan

 

8:07 PM EST — Blast in Hamedan, Hamedan Province of Iran. 4th one tonight Each incident site is at least a couple of hundred km far from each other.

 

8:13 PM EST — The flow of news out of Iran has just . . . stopped.   Nothing coming through.  Complete silence.   Something is up . . .

ANALYSIS — The most peculiar part of the reporting above is that the Embassy of Azerbaijan, in Tehran,  is being EVACUATED right now.   So I found myself asking, WTF?

Then I recalled, Azerbaijan is having a tiff with Armenia (again) and the Iranians (Shia Muslims) are backing (Christian) Armenia.  So I started wondering if tonight’s attacks inside Iran might actually have something to do with Azerbaijan?

I note as well, that both Russian and Iran state media are reporting, almost from gitgo, that tonight’s attacks “are suspected to be from a NATO or NATO-Linked Country.”  Would that be Azerbaijan?

Just yesterday, the head of security at the Azerbaijan Embassy in Tehran was murdered.   It is now being RUMORED (unconfirmed) that he was part of an operation to smuggle micro drones into the Embassy, and those drones might be what’s attacking inside Iran tonight.  These RUMORS (again, unconfirmed) go on to claim that Iran found out what was coming, couldn’t do anything in time to prevent it, so they allegedly outright murdered the Head of Security for the Azerbaijan Embassy.

All very convoluted.  

Still awaiting more info.

Toothpick Artist Takes Nine Months to Create Jaw-Dropping Replica of Barcelona’s Sagrada Familia

1140
1140

It has taken nine months and more than 35,000 toothpicks – but Stan Munro has once again proved he is a real stickler for detail with the unveiling of his latest masterpiece. The model-making maestro has recreated Barcelona’s iconic Sagrada Familia out of nothing but tiny pieces of wood and PVA glue.

And the stunning construction is entirely faithful to Antoni Gaudi’s original design – with the Basilica’s nativity facade and highly detailed spires all visible.

638 2
638 2

545
545

451
451

363
363

289
289

World Health Organization issues List of Medicines Nations Should Stockpile “In case of nuclear emergency”

.

In yet another indication that governments of the world are preparing for a coming nuclear war with Russia, the World Health Organization (WHO) has issued instructions as to which medications nations must stockpile to deal with “nuclear emergency.”

The global health body issued guidance on how to survive a nuclear catastrophe in a new report today, warning against ‘intentional uses of radioactive materials with malicious intent’.

The report was published as the spectre of nuclear war looms over the world after the West supplied state-of-the-art tanks to Ukraine to the fury of Vladimir Putin.

The Kremlin accused NATO of a ‘blatant provocation’ and threatened a ‘global catastrophe’ in response to the deal.

Today, Stefano Sannino, secretary general of the European Union’s European External Action Service, said Putin had ‘moved from a concept of special operation to a concept now of a war against NATO and the West’.

He went on to claim the EU is not looking to escalate hostilities but is ‘just giving the possibility of saving lives and allowing the Ukrainians to defend (themselves) from these barbaric attacks.

Dr Maria Neira, WHO Acting Assistant Director-General warned that many governments today are not prepared for a nuclear or radiation disaster.

She said: ‘In radiation emergencies, people may be exposed to radiation at doses ranging from negligible to life-threatening. Governments need to make treatments available for those in need – fast.

‘It is essential that governments are prepared to protect the health of populations and respond immediately to emergencies. This includes having ready supplies of lifesaving medicines that will reduce risks and treat injuries from radiation.’

WHO outlines that a national stockpile normally includes PPE, trauma kits, fluids, antibiotics and painkillers.

But the health body said: ‘Many countries, however, still lack the essential elements of preparedness for radiation emergencies.’

Meanwhile, the Kremlin said today the US holds the key to ending the war in Ukraine but refuses to use it.

Spokesman Dmitry Peskov accused Joe Biden of ‘pumping weapons into Ukraine’ when he could instead be instigating a ceasefire.

Cinnamon Chicken (Kota Kapama)

2023 01 29 10 09
2023 01 29 10 09

Ingredients

  • 8 pieces chicken
  • 4 tablespoons butter
  • 1/4 cup extra virgin olive oil
  • 1 1/2 cups finely chopped onions
  • 1 teaspoon finely chopped or minced garlic
  • 6 fresh garden tomatoes or 1 cup chopped, drained, canned plum tomatoes
  • 2 tablespoons tomato paste
  • 1/2 cup chicken stock
  • 1 (4-inch long) cinnamon stick
  • Freshly-grated Parmesan cheese

Instructions

  1. Grind some sea salt and black pepper over the chicken pieces.
  2. Heat the butter and olive oil over moderate heat in a sauté pan, and brown the chicken pieces. Transfer them to a plate.
  3. Pour off all but a thin film of fat add the onions and garlic. Cook and stir for a few minutes until the onions are light brown. Stir in tomatoes, tomato paste, chicken stock, cinnamon stick, 1/2 teaspoon of sea salt and a few grindings of black pepper. Bring to a boil, and then return the chicken to the pan and baste it thoroughly with the sauce. Reduce the heat to low, cover and simmer, basting occasionally, for about 30 minutes.
  4. Serve with white or brown rice or pasta. Spoon the tomato sauce over the chicken and rice or pasta. Sprinkle with Parmesan cheese if desired.

‘My Gut Tells Me Will Fight in 2025’: US Four-star General Warns of War with China

Reuters

Last Updated: January 29, 2023, 06:37 IST

A four-star US Air Force general said in a memo that his gut told him the United States would fight China in the next two years, comments that Pentagon officials said were not consistent with American military assessments.

”I hope I am wrong,” General Mike Minihan, who heads the Air Mobility Command, wrote to the leadership of its roughly 110,000 members. ”My gut tells me will fight in 2025.”

The letter was dated Feb. 1 but had been sent out on Friday.

The general’s views do not represent the Pentagon but show concern at the highest levels of the U.S. military over a possible attempt by China to exert control over Taiwan, which China claims as a territory.

Both the United States and Taiwan will hold presidential elections in 2024, potentially creating an opportunity for China to take military action, Minihan wrote.

”These comments are not representative of the department’s view on China,” a U.S. defense official said.

US Defense Secretary Lloyd Austin said earlier this month he seriously doubted that ramped up Chinese military activities near the Taiwan Strait were a sign of an imminent invasion of the island by Beijing.

China has stepped up its diplomatic, military and economic pressure in recent years on the self-governed island to accept Beijing’s rule. Taiwan’s government says it wants peace but will defend itself if attacked.

Reuters reviewed a copy of Minihan’s memo, which was first reported by NBC News.

In response to a request for comment, Air Force Brigadier General Patrick Ryder said in a statement that military competition with China is a central challenge.

”Our focus remains on working alongside allies and partners to preserve a peaceful, free and open Indo-Pacific,” he said.

Greek Chicken with Artichokes
(Kottopoulo me Anginares)

2023 01 29 10 10
2023 01 29 10 10

Ingredients

  • 4 large chicken breast halves (about 2 pounds)
  • 2 tablespoons vegetable oil
  • 1/2 teaspoon salt
  • 1/8 teaspoon pepper
  • 1 clove garlic, chopped
  • 1 cup water
  • 1 teaspoon instant chicken bouillon
  • 1 (14 ounce) can small artichoke hearts, drained
  • 2 tablespoons lemon juice
  • 1 teaspoon cornstarch
  • 2 eggs

Instructions

  1. Remove bones and skin from chicken breast halves. Heat oil in 10-inch skillet until hot. Cook chicken over medium heat until brown on both sides, about 15 minutes; drain fat. Sprinkle chicken with salt, pepper and garlic. Add water and bouillon. Heat to boiling; reduce heat. Cover and simmer 10 minutes. Add artichoke hearts. Cover and simmer until chicken is done and artichoke hearts are hot, about 5 minutes.
  2. Remove chicken and artichoke hearts to warm platter with slotted spoon; keep warm. Beat lemon juice, cornstarch and egg in small bowl until smooth, using fork. Add enough water to pan juices to measure 1 cup. Beat into egg mixture, using fork. Return mixture to skillet. Heat to boiling over medium heat; boil and stir 1 minute. Pour sauce over chicken and artichokes. Sprinkle with minced parsley if desired.

Photographs Of Meals From Famous Novels

The photographs in this series, Fictitious Dishes, enter the lives of five fictional characters and depict meals from the novels The Catcher in the Rye, Oliver Twist, The Girl with the Dragon Tattoo, Alice’s Adventures in Wonderland, and Moby Dick. Created by Dinah Fried.

2023 01 29 09 55v
2023 01 29 09 55v

2023 01 29 09 55c
2023 01 29 09 55c

2023 01 29 09 55b
2023 01 29 09 55b

2023 01 29 09 55a
2023 01 29 09 55a

2023 01 29 09 54
2023 01 29 09 54

Maybe because they are very smart. Democracy in India made them 10 times poorer, Democracy in Philippines made them fall from 2nd biggest economy in Asia to a basket case in 3 decades!

They looked at the US and saw a million homeless living on tents, the watch people gunned down daily by random shooting, they know 64% of Americans living day to day on basic income and 35% have no health insurance and voted in a womaniser and con artist as a president and says we don’t want this shit.

They saw dilapidated infrastructure in America, witness police killing blacks for the slightest flaw, and know 25% of the world’s incasserated is in the US prisons and know 1% owns 90% of the US wealth and 99% share the balance 10% living with a double digit inflation and a 5 bucks gas a gallon, with empty supermarket shelves and they say thanks but no thanks!

But America says be democratic like us and you get to choose between 2 candidates chosen by the few rich and influential people once every 4 years and be proud of that. Chinese youth says go ahead and pretend you are free and have fun voting if Trump don’t steal the next election.

Scans Reveal Magic Amulets Inside Egyptian Golden Boy Mummy

Golden Boy mummy 0
Golden Boy mummy 0

CT scans conducted during a recent study of the “Golden Boy” mummy, which was discovered in 1916 in southern Egypt, has revealed a hidden collection of 49 magical amulets, including many forged in gold. It is believed the amulets were “strategically” placed on the mummy’s body to “vitalize the dead body.”

The ancient Egyptians believed amulets, figurines, and charms held powerful supernatural energies, offering protection for both the living and the dead. As such, amulets were deposited on or inside bodies during  mummification, which is exactly what happened in the case of the 2,300-year-old Golden Boy mummy.

coffin 3
coffin 3

The outer coffin of the Golden Boy mummy on the left and inner wooden sarcophagus on the right, which shows that the boy was draped with a garland of ferns and wore a gold gilded face mask. (Saleem, Seddik and el-Halwagy /  CC BY 4.0 )

Death Strategies in Ancient Egypt

Laid to rest inside two coffins, an outer coffin inscribed with Greek texts and an inner wooden  sarcophagus, the Golden Boy earned his nickname because a fabulous gilded head mask was found in the mummy’s sarcophagus. And because the deceased’s wisdom teeth had not yet emerged, researchers know the person was about 14 or 15 years old when he died.

After its discovery in Nag el-Hassay in southern Egypt, the mummy was stored in the basement of the  Egyptian Museum  in Cairo without further inspection where it has remained ever since. Now, lead author of a new study Dr. Sahar Saleem, a professor of radiology at the Faculty of Medicine in Cairo University, has taken high resolution computed tomography scans, known as  CT scans , of the mummy. The researcher has revealed 21 amulets in different styles, shapes and sizes placed strategically in and around the Golden Boy mummy.

scans 4
scans 4

CT scans revealed a number of amulets, many of them made of gold, within the mummified remains known as the Golden Boy mummy. (Saleem, Seddik and el-Halwagy /  CC BY 4.0 )

Prepped for the Afterlife with a Golden Tongue

The new study published in  Frontiers in Medicine  explained that the boy was discovered wearing a pair of  sandals with a garland of ferns draped across his body. A two-finger amulet was discovered next to the boy’s uncircumcised penis, and the boy’s tongue was capped in  gold.

While the boy’s identity is not clear, the vast collection of luxury grave goods discovered by the CT scans indicate that he was born into a family of high socio-economic status. According to  EurekAlert!, the researcher concluded that this mummy served as a “showcase of Egyptian beliefs about death and the afterlife” during the Greek ruled  Ptolemaic period  which lasted from 305 BC to 30 BC.

study 16
study 16

A series of images from the study, including CT scans that “digitally unwrapped” the Golden Boy mummy. Source: Saleem, Seddik and el-Halwagy /  CC BY 4.0

The Human Soul Vs the Goddess’s Feather

Ancient Egyptian cultures believed that different stones, metals, and crystals provided essential life energies and that they affected the body in various ways. But  amulets also served functional roles in the afterlife. Thus, the tongue of the teenage Golden Boy was capped in gold, “to ensure the deceased could speak in the afterlife,” and his sandals allowed him “to enable the deceased to walk and leave the tomb in the afterlife,” according to the  Frontiers in Medicine  study.

image005 379
image005 379

The tongue of the teenage Golden Boy was capped in gold, “to ensure the deceased could speak in the afterlife – https://ctt.ec/0zuR0+

Click To Tweet

 

Out of all of the artifacts identified by the CT scan, a golden heart scarab  amulet found inside the boy’s torso cavity stood out to Dr. Saleem. The heart scarab is mentioned in a specific chapter of the ancient Egyptian  Book of the Dead  and it was considered important for the soul’s journey in the afterlife.

This particular magical device was needed during the judging of the deceased, when the heart was weighed against a goddess’s feather. Specifically, it prevented the deceased’s heart from speaking during judgment, therefore, it was placed inside the torso during  mummification as a replacement for the heart.

3D Printing Ancient Artifacts

Dr. Saleem said this particular  scarab was engraved with spells on its back, created by priests to protect the boy during his journey in the  afterlife. And so fascinated was the researcher with this single piece that she used a 3D printer to recreate it. Saleem told  Live Science  it was “really amazing” to hold the ancient design in her hands.

Previous studies of the Golden Boy mummy, and the latest discovery of the hidden trove of  amulets, have provided valuable new insights into ancient Egyptian ideas surrounding death and the  afterlife. The amulets were placed on and around the mummy to protect the boy in the  afterlife, and this new study provides valuable information about the socio-economic status of the mummy, and how elite Egyptians prepared for death and rebirth.

Resulting from these new findings, the Golden Boy mummy has been moved to the main exhibition hall at the Egyptian Museum in Cairo where it can be viewed alongside the CT images and a 3D printed version of the heart scarab amulet.

Top image: CT images of the mummy revealed amulets were placed on or inside the mummified body of the Golden Boy mummy, including a two-finger amulet discovered next to the boy’s uncircumcised penis. (Saleem, Seddik and el-Halwagy /  CC BY 4.0 )

By Ashley Cowie

“Suburbia”: The Melancholic and Mythological Artworks by Carlos Barahona Possollo

1 17 1
1 17 1

Degree in Painting, final mark of 18/20, from the Faculty of Fine Arts of the Lisbon University. In 1995 Carlos Barahona Possollo accepted an invitation to teach at the Faculty. He had read Architecture at the Technical University of Lisbon from 1986 to 1989.

He was officially commissioned to paint the Portrait of the Portuguese president Cavaco Silva, shown at the Presidents’ Gallery permanent collection in the Museum of the Presidency of the Republic, since 2016. Since 1995 he has been co-operating with the Portuguese Mail in the production of originals for the printing of stamps, notably their commemorative series of the 500th anniversary of Vasco da Gama’s arrival in India (1996-98), and also with the Portuguese edition of the National Geographic Magazine (first nine issues).

His works can be found in private collections in Portugal, Spain, France, Switzerland, Italy – most notably, of many in this country, in that of Prince Jonathan Doria- Pamphilj – The Netherlands, the United Kingdom, U S A and Argentina. Also, in public collections such as the Vatican (IOR), The White House, the Bank of Portugal, the Portuguese Museum of Communications, The Setubal Museum, and the Union of Portuguese Speaking Capital Cities.

More: Carlos Barahona Possollo, Instagram, Facebook

57 5
57 5

56 5
56 5

55 6
55 6

54 3
54 3

53 6
53 6

52 3
52 3

51 3
51 3

50 4
50 4

49 3
49 3

48 2
48 2

47 4
47 4

46 4
46 4

45 4
45 4

44 3
44 3

43 3
43 3

42 4
42 4

41 4
41 4

40 1 2
40 1 2

39 1 2
39 1 2

38 1 2
38 1 2

37 1 2
37 1 2

36 2 1
36 2 1

35 2 1
35 2 1

33 3 1
33 3 1

31 4 2
31 4 2

30 4
30 4

29 4
29 4

28 4
28 4

27 4
27 4

26 4
26 4

25 5 1
25 5 1

24 4
24 4

23 5 1
23 5 1

22 5 1
22 5 1

21 5 2
21 5 2

20 7
20 7

19 7
19 7

18 7 2
18 7 2

17 7 2
17 7 2

16 8
16 8

15t 9
15t 9

14 9
14 9

13 10
13 10

12 10
12 10

11 12
11 12

10 11
10 11

9 12 2
9 12 2

8 13 1
8 13 1

7 14 1
7 14 1

6 13 2
6 13 2

5 16 1
5 16 1

4 17
4 17

3 17
3 17

2 17
2 17

Leopard Tanks and “Marder” Infantry Fighting Vehicles Already entering Poland

.
Video has emerged showing German “Leopard-2” main battle tanks and “Marder” Infantry Fighting Vehicles, on a train entering Poland, destined for Ukraine. These are the very weapons which Russia has said could trigger World War 3.

This train was seen earlier in northern Germany, and is shown above after having entered Poland.

Among the ammunition used by these weapons systems is Uranium-core shells; which Russia has already announced, “will be viewed as a dirty nuclear bomb being used against Russia, with all the ensuing consequences.”

REWARD OFFERED FOR FIRST KILL

A Russian Oil company has publicly announced it will pay a reward of five million Rubles, which equal fifty thousand US Dollars, for the “First Kill” by a Russian Soldier, of either a U.S.  “M1” or a German “Leopard-2” Tank in Ukraine.   Subsequent kills of such weapons systems will result in reward of five-hundred thousand Rubles, which equals five-thousand U.S. Dollars.

.

Greek Fried Cheese (Saganaki)

A visit to a Greek restaurant anywhere in the world is likely to feature waiters setting this dish ablaze and parading it through the room, accompanied by an appreciative “OPA!” from the guests.

2023 01 29 10 12
2023 01 29 10 12

Yield: 6 to 8 servings

Ingredients

  • 4 tablespoons butter
  • 1 egg, well beaten
  • 1 teaspoon all-purpose flour
  • 1/2 pound kasseri cheese*, sliced 1/2 inch thick
  • 2 tablespoons brandy
  • Juice of 1/2 lemon

Instructions

  1. Heat the butter in a large heavy skillet over moderate heat.
  2. Beat the egg and flour together, and dip the cheese slices into the mixture. Fry until well browned on both sides.
  3. Remove from the heat and add the brandy. Carefully ignite the brandy with a match, and shake the skillet until the flame is extinguished.
  4. Squeeze the lemon juice over the cheese, and serve from the skillet along with crusty bread.

Notes

* Available in finer supermarkets and Middle Eastern specialty shops. Parmesan or Romano cheese may be substituted.

Weapons carried by the US Bradley fighting vehicles use depleted uranium. Russia considers their use the same as detonating a nuclear bomb

In the 1980’s, newly married and laid off during the collapse of the American steel industry, my wife and I were living in a van and traveling to find work. This was after I left the Navy, and I was off in my period that I refer to as “lost in the wilderness”.

We left Pennsylvania and were on our way to California. It was Winter time, so we took a long circumambulating route though the Deep Southern states.

We were broken down, and waiting on a paycheck in the mail (that the manager was taking a long time to deal with) and just slowly starving in a broken down van sitting at the side of a rural road in Alabama.

When the police came over to investigate, we (my wife and I) were eating some old onions (that we found in the compost pile behind a house) with packets of yellow mustard that we got from the Rax fast food restaurant chain. We were eating it like you would eat an apple, and both of us were really thin. The police gave us five dollars for gas to get out of town and they escorted us to the next town, and deposited us at a private home for wayward souls, and they helped us get on our feet.

But, you know, I will never forget the look on the officer’s face when he peered inside and watched us eat old rotten onions with mustard.

Global Smartphone Shipments Plunge Most On Record

A new report via Massachusetts-based International Data Corporation (IDC) revealed worldwide smartphone shipments experienced the most significant quarterly drop on record over the holiday season as cooling consumer demand suggests trouble for smartphone manufacturers ahead of earnings releases.

Fourth-quarter global smartphone shipments plunged 18.3% year over year to 300.3 million units.

2023 01 26 08 48 52
2023 01 26 08 48 52

The decline was the largest on record for one quarter and contributed to an annual reduction of 11.3%. 1.21 billion smartphones were shipped for the year, the lowest yearly total since 2013.

Russian Navy Ship Conducts SIMULATED Hypersonic Missile Launch off U.S. East Coast – Electronic Warfare JAMMED U.S. Radar, Phones, Internet for 34 seconds

.

2023 01 27 12 04
2023 01 27 12 04

A Russian Navy ship in the Atlantic Ocean near Bermuda, conducted a simulated launch of a ZIRCON Hypersonic Missile against a “nautical target 900km away.” The Simulated launch involved the use of Electronic Warfare that actually JAMMED U.S. radar, cellular phones in the Mid-Atlantic USA, and even disrupted digital internet traffic for 34 seconds!

The Russian Navy Frigate “Admiral Gorshkov” tested the strike capabilities of Russia’s much-hyped Zircon hypersonic missile in the western Atlantic Ocean.

People in the mid-Atlantic region of the US experienced electronic warfare jamming of civilian devices like cellular phones and Internet for 34 seconds.

One source reports via COVERT INTEL “In accordance with the training situation, the frigate practiced arranging a ZIRCON hypersonic missile strike against a maritime target at a distance over 900 kilometers away.”

The 2023 Military Strength Ranking

The 2023 Military Strength Ranking by Globalfirepower.com shows that the United States, Russia, and China have remained the world’s top military powers with China continuing its climb to the No.2 spot.

inf116 armies 01 scaled 1
inf116 armies 01 scaled 1

Best Ever Biscuits

Best Ever Biscuits
Best Ever Biscuits

Yield: 10 to 12 biscuits

Ingredients

  • 2 cups all-purpose flour
  • 1 tablespoon baking powder
  • 2 teaspoons granulated sugar
  • 1/2 teaspoon cream of tartar
  • 1/4 teaspoon salt
  • 1/2 cup shortening or butter
  • 2/3 cup milk

Instructions

  1. In medium mixing bowl stir together flour, baking powder, sugar, cream of tartar, and salt. Mix well to distribute the baking powder and the salt.
  2. Using a pastry blender or fork, cut shortening into flour mixture until the mixture resembles coarse crumbs. If you use butter, be sure it is chilled. (Mixing by hand softens the shortening, making the dough sticky and hard to handle.)
  3. Gently push the flour-shortening mixture against the sides of the bowl, making a well in the center. Pour the milk into the well all at once. Using a fork, stir just until the mixture follows the fork around the bowl and forms soft dough.
  4. Turn the dough out onto a lightly floured surface. Knead gently 10 to 12 strokes.
  5. On the lightly floured surface, pat the dough to 1/2-inch thickness (or roll it out with a lightly floured rolling pin, if desired). Sprinkle a little flour over dough.
  6. Cut biscuit dough with a 2 1/2-inch round biscuit cutter, pressing the cutter straight down. Be careful not to twist the cutter or flatten the cut biscuit edges or you won’t get straight-sided, evenly shaped biscuits. Dip the cutter into flour between cuts to prevent sticking. If you do not have a biscuit cutter, use a straight-sided glass. Or, pat the dough into a 1/2-inch thick rectangle and cut into squares or triangles using a sharp knife.
  7. Using a metal spatula, carefully transfer the cut biscuits to an ungreased baking sheet. For crusty-sided biscuits, place about 1 inch apart. For soft-sided biscuits, place biscuits close together in an ungreased baking pan.
  8. Re-roll scraps of dough and cut into biscuit shapes. Try to cut out as many biscuits as possible from a single rolling of dough. Too many re-rollings of the dough causes biscuits to be tough and dry.
  9. Bake biscuits in 450 degrees F oven for 10 to 12 minutes or until biscuits are golden on the top and the bottom.
  10. Serve warm.

Ural Motorcycles: Break Out

Routine, boredom, office slavery and chains of marriage – this is not about people who ride bikes. Ural motorcycle sets you free. It helps you get away from all this, and gives you absolute freedom.

245
245

169
169

Utah Plastic Surgeon Allegedly Destroyed COVID Vaccines, Gave Fake Shots To Children

by Tyler Durden
Friday, Jan 27, 2023 – 07:50 AM

Authored by Jana J. Pruet via The Epoch Times (emphasis ours),

A Utah plastic surgeon, along with three others, is facing charges for allegedly administering fake COVID-19 vaccines to children, destroying vaccines, and distributing falsified vaccine cards.

Dr. Michael Kirk Moore, the owner of the Plastic Surgery Institute of Utah in Midvale, has been charged with conspiracy to defraud the United States and the Centers for Disease Control and Prevention (CDC), according to court documents (pdf).

Moore’s office manager Kari Burgoyne, receptionist Sandra Flores, neighbor Kristin Andersen, and the Plastic Surgery Institute are also charged in the case.

The defendants are accused of running a vaccine scheme out of the physician’s business.

Moore and Andersen were allegedly members of a “private organization seeking to ‘liberate’ the medical profession from government and industry conflicts of interest,” the documents state.

In May 2021, Moore signed an agreement with the CDC to administer COVID-19 vaccines and vaccination cards. Court documents claim that Moore and Burgoyne then ordered “hundreds of doses of COVID-19 vaccines,” which they began receiving at the plastic surgery center in October 2021.

Working the Plan

After receiving the vaccine doses, the doctor and three others started notifying “fraudulent vax card seekers” that they could “receive fraudulently completed COVID-19 Vaccination Record Cards from the Plastic Surgery Institute without having to receive a COVID-19 vaccine,” the documents state.

Those seeking fraudulent vaccination cards were required to pay $50 cash or make a $50 donation to Moore and Andersen’s private organization.

Burgoyne allegedly managed the “day-to-day logistics of the scheme,” while Andersen handled the screening process. Once a person was successfully screened and had made their $50 payment, Andersen would send them forms to complete.

“Flores and other employees would then provide the Fraudulent Vax Card Seekers with the completed COVID-19 Vaccine Record Cards without administering any COVID-19 vaccine to them,” the document reads.

The group also gave fake vaccines to children when requested by the minors’ parents.

“Dr. Moore, Burgoyne, and Flores also arranged, at times, to administer or have others administer saline shots to minor children at the request of their parents so that the minor children would think they were actually receiving a COVID-19 vaccine,” according to the document.

The names of the fraudulent vaccination card seekers were uploaded to the Utah Statewide Immunization Information System.

Between Oct. 15, 2021, and Sept. 6, 2022, the Plastic Surgery Institute allegedly received about 2,200 doses of the vaccine and destroyed nearly 2,000 of them at a value of more than $28,000. The doses were destroyed “usually by drawing them from the bottle and then squirting them down the drain from a syringe.”

At least 1,937 fraudulent vaccination cards were allegedly sold at $50 each for a total of $96,850. The vaccination cards and the vaccine doses amounted to a combined value of nearly $125,000.

Undercover Agents

The scheme fell apart when an undercover agent managed to complete the “referral only” process and acquire a fake vaccination card.

A second agent went through the process and then asked Flores if his children could also receive a similar vaccine record card.

Flores “wrote on a Post-it note that ‘with 18 & younger, we do a saline shot,’ indicating that minors could receive saline shots and obtain the cards without receiving the vaccine,” the court papers say.

12,000-Year-Old Lost City Off New Orleans Coast or Imagination Gone Wild?

A self-proclaimed amateur archaeologist professes that mysterious granite stones found over the years by fishermen near the uninhabited Chandeleur Islands, located 50 miles east of New Orleans in the United States, are actually architectural artifacts from a 12,000-year-old lost city. Having visited the site 44 times, George Gelé, a retired architect, is convinced that he has found the remains of a submerged city predating the ancient Inca, Maya and Aztec civilizations of the Americas.

Even more startlingly, he claims that there is a pyramid in the granite city, which he has named “Crescentis”, that is related to the Great Pyramid at Giza ! The oldest of the Seven Wonders of the Ancient World , the Great Pyramid is located in Greater Cairo in Egypt. “What’s down there are hundreds of buildings that are covered with sand and silt and that are geographically related to the Great Pyramid at Giza. Somebody floated a billion stones down the Mississippi River and assembled them outside what would later become New Orleans ,” Gelé told CBS affiliate WWL-TV.

George 3
George 3

George Gelé claims that the lost city located off Chandeleur Islands is related to the Great Pyramid of Giza, seen here. ( merydolla / Adobe Stock)

The Building Blocks of the Lost City of “Crescentis”

So what exactly has Gelé built his theory of a lost city on? While its foundations may be weak, the building stones are solid enough. Local fishermen have for years been talking about netting strange square rocks near the Chandeleur Islands. Granite in the area is certainly something that requires explanation, given that it isn’t found naturally in Louisiana or Mississippi, reports the Sun.

Gelé, who has taken 44 trips to the site over nearly 50 years, has produced underwater sonar images of what he is convinced are discernible ruins of major buildings. These, he claims, include a large pyramid. “All I know is somebody built a city 12,000 years ago and it’s stuck out in Chandeleur. Whether or not they had someone on their shoulder who flew in with a UFO, I don’t know. All I know is they left a whole lot of granite rocks out there,” he said according to WWL-TV.

aerial 4
aerial 4

An aerial view of Chandeleur Islands. Louisiana, Chandeleur Islands, St. Bernard Parish. (NOAA Restoration Center / CC BY 2.0 )

Another Bermuda Triangle?

But that’s not all. According to Gelé, the pyramid, which he estimates is 280 feet (85 meters) tall, produces an incredible amount of electromagnetic energy . His claims are corroborated by local shrimper Ricky Robin who’s been out with him on four excursions.

According to Robin, the compass on his boat spun completely out of control as they neared the point which Gelé told him was the tip of the pyramid. “Everything will go out on your boat, all your electronics. Like as if you were in the Bermuda Triangle . That’s exactly what we got here,” he is quoted in the Sun as saying . He added that the granite slabs that fishermen found in the area at regular intervals had long been a topic of discussion and putting two and two together, he thought of them immediately as pieces of the pyramid since it was exactly where his compass went crazy.

Georges
Georges

George Gelé has spent almost 50 years studying the site where he believes a lost city is hiding underwater. (WWLTV / YouTube)

A Lost City? Or Are There More Mundane Explanations?

Though he has his adherents, many treat Gelé’s claims with skepticism, subscribing to explanations that are as of now less of a stretch of imagination than the theory of a submerged city near the Chandeleur Islands . And there are several of these rather more realistic explanations. One is from a late 1980s Texas A&M study which claims the granite blocks originate from old shipwrecks or ballast stones thrown overboard by Spanish and French ships to lighten their load as they entered shallow waters.

In fact, Gelé himself made a presentation in 2014 along similar lines. There he explored possibilities of the stone piles being from a construction dump or a build up from several shipwrecks. LSU archaeology professor Rob Mann told local newspaper the Advocate in 2011 that he believed the granite slabs originated from an abortive attempt to build an artificial reef. The state’s archaeologist told the same newspaper that while he agreed that barge loads of stones seemed to have been dumped there, the reasons were not clear.

The jury is still out on whether there is any substance to Gelé’s claims of a 12,000-year-old lost city, or whether the more commonplace explanations are closer to the truth. Certainly, Gelé’s hypothesis is more romantic. But until future dives, solar technology or satellite imaging help him put some proof out there, he will find it difficult to find serious scientific backing for his lost city ideas.

Top image: Representational image of a lost city at the bottom of the ocean. Source: diversepixel / Adobe Stock

By Sahir Pandey

Cast Iron Garlic Rolls

Cast Iron Garlic Rolls are a perfect addition to any weeknight meal.

2023 01 25 15 36
2023 01 25 15 36

Prep: 10 min | Bake: 12 to 15 min | Servings: 10

Ingredients

  • 10 Rhodes Yeast Dinner Rolls, thawed to room temperature
  • 3 tablespoons butter, melted
  • 1/2 teaspoon garlic powder
  • 1/2 teaspoon dried parsley

Instructions

  1. Spray a 10-inch cast iron skillet with non-stick cooking spray.
  2. Combine melted butter, garlic powder, and parsley. Dip each roll into butter mixture, coating completely and arrange in skillet. Cover with plastic wrap and let rise until double in size.
  3. Remove wrap and gently brush with remaining mixture.
  4. Bake at 350 degrees F for 12 to 15 minutes until golden brown.

Russia Throws Down Nuclear Gauntlet over M1, Bradley and other Offensive Weaponry to Kiev

.

2023 01 27 12 05
2023 01 27 12 05

This is FLASH TRAFFIC:  Konstantin Gavrilov,  the head of the Russia Delegation to the Organization for Security and Coorperation in Europe (OSCE) has just publicly thrown down the nuclear gauntlet to the collective west, in an official statement:

Gavrilov said that he has been instructed by his government to announce “We know that the Leopard-2 tank, as well as the Bradley and Marder infantry fighting vehicles, are armed with uranium-core armor-piercing projectiles, the use of which leads to [radioactive] contamination of the area, as happened in Yugoslavia and Iraq.

If such shells are delivered to Kyiv, we will consider this as the use of dirty nuclear bombs against Russia, with all the ensuing consequences.”

It is a fact that the US and NATO do have Depleted Beryllium and Depleted Uranium ammunition which is used as “armor piercing” and “bunker busting” projectiles.    None of these projectiles causes a nuclear chain reaction, so there is no nuclear blast from them.  HOWEVER, when these particular projectiles strike their target, the metal used to make the projectiles (Uranium and/or Beryllium) is so dense, that it punches through whatever it hits.  AS THAT HAPPENS, layers of the projectile disintegrate into highly radioactive powder which then travels by air, polluting entire areas for decades.

In Afghanistan, when the US used such weapons against the hideouts of Osama Bin Laden, the projectiles went through hundreds of feet of rock in the mountains and shed layers of radioactive material as they penetrated.  This radioactive powder then polluted the groundwater for miles inside Afghanistan, leading to contaminated wells, which then lead to horrifying birth defects in almost all pregnant women who drank the water.

These effects have been deliberately concealed from US citizens by a compliant US media, but they occurred AND ARE STILL OCCURRING to this very day.

Russia has just thrown down the nuclear gauntlet to the West.

The probability of a now-Nuclear exchange – and outright nuclear war — just got very, VERY, real.

Most military use of depleted uranium (DU) has been as 30 mm ordnance, primarily the 30 mm PGU-14/B armor-piercing incendiary round from the GAU-8 Avenger cannon of the A-10 Thunderbolt II used by the United States Air Force. 25 mm DU rounds have been used in the M242 gun mounted on the U.S. Army’s Bradley Fighting Vehicle and the Marine Corps LAV-25.

The U.S. Marine Corps uses DU in the 25 mm PGU-20 round fired by the GAU-12 Equalizer cannon of the AV-8B Harrier, and also in the 20 mm M197 gun mounted on AH-1 Cobra helicopter gunships. The United States Navy‘s Phalanx CIWS‘s M61 Vulcan Gatling gun used 20 mm armor-piercing penetrator rounds with discarding plastic sabots and a core made using depleted uranium, later changed to tungsten.

Another use of depleted uranium is in kinetic energy penetratorsanti-armor rounds such as the 120 mm sabot rounds fired from the British Challenger 1Challenger 2M1A1 and M1A2 Abrams. Kinetic energy penetrator rounds consist of a long, relatively thin penetrator surrounded by a discarding sabot. Staballoys are metal alloys of depleted uranium with a very small proportion of other metals, usually titanium or molybdenum. One formulation has a composition of 99.25% by mass of depleted uranium and 0.75% by mass of titanium. Staballoys are approximately 1.67 times as dense as lead and are designed for use in kinetic energy penetrator armor-piercing ammunition. The US Army uses DU in an alloy with around 3.5% titanium.

Depleted uranium is favored for the penetrator because it is self-sharpening and flammable. On impact with a hard target, such as an armored vehicle, the nose of the rod fractures in such a way that it remains sharp. The impact and subsequent release of heat energy causes it to ignite. When a DU penetrator reaches the interior of an armored vehicle, it catches fire, often igniting ammunition and fuel, killing the crew and possibly causing the vehicle to explode. DU is used by the U.S. Army in 120 mm or 105 mm cannons employed on the M1 Abrams tank. The Soviet/Russian military has used DU ammunition in tank main gun ammunition since the late 1970s, mostly for the 115 mm guns in the T-62 tank and the 125 mm guns in the T-64T-72T-80, and T-90 tanks.

The DU content in various ammunition is 180 g in 20 mm projectiles, 200 g in 25 mm ones, 280 g in 30 mm, 3.5 kg in 105 mm, and 4.5 kg in 120 mm penetrators. DU was used during the mid-1990s in the U.S. to make hand grenades, and land mines, but those applications have been discontinued, according to Alliant Techsystems. The US Navy used DU in its 20 mm Phalanx CIWS guns, but switched in the late 1990s to armor-piercing tungsten.

Only the US and the UK have acknowledged using DU weapons. 782,414 DU rounds were fired during the 1991 war in Iraq, mostly by US forces. In a three-week period of conflict in Iraq during 2003, it was estimated that between 1,000 and 2,000 tons of depleted uranium munitions were used. More than 300,000 DU rounds were fired during the 2003 war, the vast majority by US troops.

The Chill And Retro Motion Pixel Art Of Motocross Saito

Pixel artist, designer, DJ and track maker Motocross Saito creates memorable GIF animations in a retro style, channeling ’80s and ’90s culture and music, with a focus on hip hop. Although he has been introduced internationally as the “loneliness GIF” artist, as Motocross Saito explains in this interview with CNN Japan, what he is really aiming for in his animated GIF pixel art is to “express a feeling that can soothe your mind, create a calming effect.”

Many of his animated GIF scenes feature a common character, perhaps his alter ego, a school girl with blue hair who seems to like hip hop, flips through LPs at record shops, makes music and DJs in her home studio surrounded by all kinds of electronic music equipment, and apparently lives with her pet German shepherd.

tumblr patorchsbX1vjxiz1o1 1280
tumblr patorchsbX1vjxiz1o1 1280

tumblr pg0my2xRxI1vjxiz1o1 1280
tumblr pg0my2xRxI1vjxiz1o1 1280

tumblr p320aq1osj1vjxiz1o1 1280
tumblr p320aq1osj1vjxiz1o1 1280

tumblr p22wjjM6nX1vjxiz1o1 1280
tumblr p22wjjM6nX1vjxiz1o1 1280

tumblr p8z5l7w2pg1vjxiz1o1 1280
tumblr p8z5l7w2pg1vjxiz1o1 1280

tumblr p06mylt38F1vjxiz1o1 1280
tumblr p06mylt38F1vjxiz1o1 1280

tumblr p5fa7s8vTO1vjxiz1o1 1280
tumblr p5fa7s8vTO1vjxiz1o1 1280

tumblr p4m2p2IByC1vjxiz1o1 1280
tumblr p4m2p2IByC1vjxiz1o1 1280

tumblr p0zxkg5svx1vjxiz1o1 1280
tumblr p0zxkg5svx1vjxiz1o1 1280

tumblr oz8u6gqlff1vjxiz1o1 1280
tumblr oz8u6gqlff1vjxiz1o1 1280

tumblr oymtipGFre1vjxiz1o1 1280
tumblr oymtipGFre1vjxiz1o1 1280

tumblr oymsnbT0111vjxiz1o1 1280
tumblr oymsnbT0111vjxiz1o1 1280

tumblr oqgadgzMwH1vjxiz1o1 1280
tumblr oqgadgzMwH1vjxiz1o1 1280

tumblr onxyzebfQ61vjxiz1o1 1280
tumblr onxyzebfQ61vjxiz1o1 1280

tumblr ongbq6aOn81vjxiz1o1 1280
tumblr ongbq6aOn81vjxiz1o1 1280

Amazing UK

2023 01 27 13 50
2023 01 27 13 50

China starts to assert itself

From this Sputnik report, it looks like China’s new FM has changed the tenor of its replies to the Outlaw US Empire to one step beyond what was known as “Wolf Warrior Diplomacy”, “China Tells US to ‘Solve Its Debt Problem’ Instead of Worrying About Zambia’s”:

The People’s Republic of China has fired back at US criticism of its relationship with Zambia, arguing that Washington should address its own crisis first.

A statement released by the Chinese Embassy in Lusaka on Tuesday has urged the US Treasury to focus on “solving the US’ own domestic debt problem."

“The biggest contribution that the US can make to the debt issues outside the country is to act on responsible monetary policies, cope with its own debt problem and stop sabotaging other sovereign countries’ active efforts to solve their debt issues,” the statement blasted.

However, the embassy added that “even if the US one day solves its debt problem, it is not qualified to make groundless accusations against, or press for, other countries out of selfish interests, because it cannot at all alleviate [the] US’ tremendous responsibility for the reason of the world debt issues, let alone the fact the US’ domestic debt problem is now worsening the world’s economic and financial stability.”

Yes, the reply came from the Embassy but you can bet it was formulated in Beijing. There’s more to the article I urge barflies to read. IMO, this incident marks the opening salvo of the 2023 Debt War that the Outlaw US Empire has no hopes of winning but acts as if it can behave as it did in the past when there was little international resistance, which isn’t the case today.

Posted by: karlof1 | Jan 24 2023 21:14 utc | 135

Muntean / Rosenblum Paint Dramatic Scenes of Contemporary Life

1190
1190

Artist duo Muntean / Rosenblum use traditional Christian iconography and Baroque modes of seeing to create mystique around contemporary life. Typically set in landscapes distinct to the 21st century, such as nuclear plants and graffiti-ed railroad tracks, the paintings appear as documentary film stills or snapshots of our current reality. However, by contorting perspectives in a dramatic Caravaggio-esque manner and devising moments where pain or discomfort appear as main subjects, Muntean / Rosenblum cultivate the same aura of the unknown that is so captivating in paintings centuries old.

213s3
213s3

To further enhance the strange, almost sinister quality of the works, the London and Vienna-based artists insert philosophical musings as captions. For example, “We imagine that we remember things as they were, while in fact all we carry into the future are fragments which reconstruct a wholly illusory past,” is scrawled under the image of a man looking down solemnly, while behind him, an ambush of armed-police crouches amid heavy smoke. With this written clue, one decodes the painting as a representation of memory.

3109
3109

While Muntean / Rosenblum use a variety of recurring motifs, none is as strong as the use of naked skin to translate ideas of innocence and vulnerability. In the context of Muntean / Rosenblum’s paintings, shirtless sleeping boys in boxer shorts; topless teens helping a fallen comrade; and a naked young girl fresh from the pool take on the role of a pseduo-Jesus. Despite (or maybe because of) their youth and naïveté, they are posed to absorb the evils of the world surrounding them.

479
479

846
846

751
751

665
665

564
564

Potsdam Agreement that ended World War 2 VIOLATED! Germany to send tanks to Ukraine

.

After weeks of pressure from Western allies, Germany on Wednesday announced it will send battle tanks to Ukraine in violation of the Potsdam Agreement that ended World War 2, as the Kyiv’s war with Russia wages on, a move that may spur the U.S. to do the same.

Germany is set to send 14 Leopard 2 battle tanks and approve other countries’ requests to do the same, answering a longstanding call from Kyiv for the heavy combat vehicles.

Poland, in particular, was eager to supply Kyiv with the Leopard tanks — but Germany, which makes them, needed to sign off on the move before the tanks were sent to a country outside of the NATO alliance.

Former U.S. national security adviser John Bolton earlier this week knocked Berlin’s performance during Russia’s invasion of Ukraine as “incredibly disappointing” and a potential signal to Moscow of a weakness in the NATO front.

“NATO is a lot more fractured than some of its political leaders would like to let on,” Bolton said, adding that Russian President Vladimir Putin likely saw Germany as “the weak point in the alliance.”

The Biden administration could now move to send additional tanks, a reversal of its initial stance.

“The war started by [Russia] doesn’t allow delays. I can thank you hundreds of times – but hundreds of ‘thank you’ are not hundreds of tanks,” Ukrainian President Volodymyr Zelensky said on Twitter over the weekend.

The Potsdam Agreement

The Potsdam Agreement was the agreement between three of the Allies of World War II: the United Kingdom, the United States, and the Soviet Union on 1 August 1945. A product of the Potsdam Conference, it concerned the military occupation and reconstruction of Germany, its border, and the entire European Theatre of War territory. It also addressed Germany’s demilitarization, reparations, the prosecution of war criminals and the mass expulsion of ethnic Germans from various parts of Europe. France was not invited in the conference but formally still one of powers occupying Germany.

Executed as a communiqué, the agreement was not a peace treaty according to international law, although it created accomplished facts. It was superseded by the Treaty on the Final Settlement with Respect to Germany signed on 12 September 1990.

As De Gaulle had not been invited to the Conference, the French resisted implementing the Potsdam Agreements within their occupation zone. In particular, the French refused to resettle any expelled Germans from the east. Moreover, the French did not accept any obligation to abide by the Potsdam Agreement in the proceedings of the Allied Control Council; in particular resisting all proposals to establish common policies and institutions across Germany as a whole, and anything that they feared might lead to the emergence of an eventual unified German government.

Overview

After the end of World War II in Europe (1939–45), and the decisions of the earlier Tehran, Casablanca and Yalta Conferences, the Allies assumed supreme authority over Germany by the Berlin Declaration of June 5, 1945.

At the Potsdam Conference the Western Allies were presented with Stalin’s fait accompli awarding Soviet-occupied Poland the river Oder as its western border, placing the entire Soviet Occupation Zone east of it (with the exception of the Kaliningrad enclave), including Pomerania, most of East Prussia, and Danzig, under Polish administration. The German population who had not fled were expelled and their properties acquisitioned by the state. President Truman and the British delegations protested at these actions.

The Three Power Conference took place from 17 July to 2 August 1945, in which they adopted the Protocol of the Proceedings, August 1, 1945, signed at Cecilienhof Palace in Potsdam. The signatories were General Secretary Joseph Stalin, President Harry S. Truman, and Prime Minister Clement Attlee, who, as a result of the British general election of 1945, had replaced Winston Churchill as the UK’s representative. The three powers also agreed to invite France and China to participate as members of the Council of Foreign Ministers established to oversee the agreement. The Provisional Government of the French Republic accepted the invitation on August 7, with the key reservation that it would not accept a priori any commitment to the eventual reconstitution of a central government in Germany.

James F. Byrnes wrote “we specifically refrained from promising to support at the German Peace Conference any particular line as the western frontier of Poland.” The Berlin Protocol declared: “The three heads of government reaffirm their opinion that the final delimitation of the western frontier of Poland should await the [final] peace settlement.” Byrnes continues: “In the light of this history, it is difficult to credit with good faith any person who asserts that Poland’s western boundary was fixed by the conferences, or that there was a promise that it would be established at some particular place.”

In the Potsdam Agreement (Berlin Conference) the Allies (UK, USSR, US) agreed on the following matters:

  1. Establishment of a Council of Foreign Ministers, also including France and China; tasked the preparation of a peace settlement for Germany, to be accepted by the Government of Germany once a government adequate for the purpose had been established.
    See the London Conference of Foreign Ministers and the Moscow Conference which took place later in 1945.
  2. The principles to govern the treatment of Germany in the initial control period.
    See European Advisory Commission and Allied Control Council
    • A. Political principles.
    Post-war Germany to be divided into four Occupation Zones under the control of Britain, the Soviet Union, the United States and France; with the Commanders-in-chief of each country’s forces exercising sovereign authority over matters within their own zones, while exercising authority jointly through the Allied Control Council for ‘Germany as a whole’.
    Democratization. Treatment of Germany as a single unit. Disarmament and Demilitarization. Elimination of all Nazi influence.
    • B. Economic principles.
    Reduction or destruction of all civilian heavy industry with war potential, such as shipbuilding, machine production and chemical factories. Restructuring of German economy towards agriculture and light industry.
  3. Reparations from Germany.
    This section covered reparation claims of the USSR from the Soviet occupation zone in Germany. The section also agreed that 10% of the industrial capacity of the western zones unnecessary for the German peace economy should be transferred to the Soviet Union within two years. The Soviet Union withdrew its previous objections to French membership of the Allied Reparations Commission, which had been established in Moscow following the Yalta conference.
  4. Disposal of the German Navy and merchant marine.
    All but thirty submarines to be sunk and the rest of the German Navy was to be divided equally between the three powers.
    The German merchant marine was to be divided equally between the three powers, and they would distribute some of those ships to the other Allies. But until the end of the war with the Empire of Japan all the ships would remain under the authority of the Combined Shipping Adjustment Board and the United Maritime Authority.
  5. City of Königsberg and the adjacent area (then East Prussia, now Kaliningrad Oblast).
    The United States and Britain declared that they would support the transfer of Königsberg and the adjacent area to the Soviet Union at the peace conference.
  6. War criminals
    This was a short paragraph and covered the creation of the London Charter and the subsequent Nuremberg Trials:

    The Three Governments have taken note of the discussions which have been proceeding in recent weeks in London between British, United States, Soviet and French representatives with a view to reaching agreement on the methods of trial of those major war criminals whose crimes under the Moscow Declaration of October 1943 have no particular geographical localization. The Three Governments reaffirm their intention to bring these criminals to swift and sure justice. They hope that the negotiations in London will result in speedy agreement being reached for this purpose, and they regard it as a matter of great importance that the trial of these major criminals should begin at the earliest possible date. The first list of defendants will be published before 1st September.

  7. Austria:
    The government of Austria was to be decided after British and American forces entered Vienna, and that Austria should not pay any reparations.
  8. Poland
    There should be a Provisional Government of National Unity recognized by all three powers, and that those Poles who were serving in British Army formations should be free to return to Poland. The provisional western border should be the Oder–Neisse line, with territories to the east of this excluded from the Soviet Occupation zone and placed under Polish and Soviet civil administration. Poland would receive former German territories in the north and west, but the final delimitation of the western frontier of Poland should await the peace settlement; which eventually took place as the Treaty on the Final Settlement With Respect to Germany in 1990.
  9. Conclusion on peace treaties and admission to the United Nations organization.
    See Moscow Conference of Foreign Ministers which took place later in 1945.
    It was noted that Italy had fought on the side of the Allies and was making good progress towards establishment of a democratic government and institutions and that after the peace treaty the three Allies would support an application from a democratic Italian government for membership of the United Nations. Further

    [t]he three Governments have also charged the Council of Foreign Ministers with the task of preparing peace treaties for BulgariaFinlandHungary and Romania. The conclusion of Peace Treaties with recognized democratic governments in these States will also enable the three Governments to support applications from them for membership of the United Nations. The three Governments agree to examine each separately in the near future in the light of the conditions then prevailing, the establishment of diplomatic relations with Finland, Romania, Bulgaria, and Hungary to the extent possible prior to the conclusion of peace treaties with those countries.

    The details were discussed later that year at the Moscow Conference of Foreign Ministers and the treaties were signed in 1947 at the Paris Peace Conference
    By that time the governments of Romania, Bulgaria, and Hungary were Communist.
  10. Territorial Trusteeship
    Italian former colonies would be decided in connection with the preparation of a peace treaty for Italy. Like most of the other former European Axis powers the Italian peace treaty was signed at the 1947 Paris Peace Conference.
  11. Revised Allied Control Commission procedure in Romania, Bulgaria, and Hungary
    Now that hostilities in Europe were at an end the Western Allies should have a greater input into the Control Commissions of Central and Eastern Europe, the Annex to this agreement included detailed changes to the workings of the Hungarian Control Commission.
  12. Orderly transfer of German Populations
    Main article Flight and expulsion of Germans (1944–50)

    The Three Governments, having considered the question in all its aspects, recognize that the transfer to Germany of German populations, or elements thereof, remaining in Poland, Czechoslovakia and Hungary, will have to be undertaken. They agree that any transfers that take place should be effected in an orderly and humane manner.

    “German populations, or elements thereof, remaining in Poland” refers to Germans living within the 1937 boundaries of Poland up to the Curzon line going East. In theory, that German ethnic population could have been expelled to the Polish temporarily administered territories of SilesiaFarther Pomerania, East Prussia and eastern Brandenburg.
    Because the Allied Occupation Zones in Germany were under great strain, the Czechoslovak government, the Polish provisional government and the control council in Hungary were asked to submit an estimate of the time and rate at which further transfers could be carried out having regard to the present situation in Germany and suspend further expulsions until these estimates were integrated into plans for an equitable distribution of these “removed” Germans among the several zones of occupation.
  13. Oil equipment in Romania
  14. Iran
    Allied troops were to withdraw immediately from Tehran and that further stages of the withdrawal of troops from Iran should be considered at the meeting of the Council of Foreign Ministers to be held in London in September 1945.
  15. The international zone of Tangier.
    The city of Tangier and the area around it should remain international and discussed further.
  16. The Black sea straits.
    The Montreux Convention should be revised and that this should be discussed with the Turkish government.
  17. International inland waterways
  18. European inland transport conference.
  19. Directives to the military commanders on allied control council for Germany.
  20. Use of Allied property for satellite reparations or war trophies
    These were detailed in Annex II
  21. Military Talks
  • Annex I
  • Annex II

Moreover, towards concluding the Pacific Theatre of War, the Potsdam Conference issued the Potsdam Declaration, the Proclamation Defining Terms for Japanese Surrender (26 July 1945) wherein the Western Allies (UK, US, USSR) and the Nationalist China of General Chiang Kai-shek asked Japan to surrender or be destroyed.

Aftermath

Territorial changes

The northern half of the German province of East Prussia, occupied by the Red Army during its East Prussian Offensive followed by its evacuation in winter 1945, had already been incorporated into Soviet territory as the Kaliningrad Oblast. The Western Allies promised to support the annexation of the territory north of the BraunsbergGoldap line when a Final German Peace Treaty was held.

The Allies had acknowledged the legitimacy of the Polish Provisional Government of National Unity, which was about to form a Soviet satellite state. Urged by Stalin, the UK and the US gave in to put the German territories east of the Oder–Neisse line from the Baltic coast west of Świnoujście up to the Czechoslovak border “under Polish administration”; allegedly confusing the Lusatian Neisse and the Glatzer Neisse rivers. The proposal of an Oder-BoberQueis line was rejected by the Soviet delegation. The cession included the former Free City of Danzig and the seaport of Stettin on the mouth of the Oder River (Szczecin Lagoon), vital for the Upper Silesian Industrial Region.

Post-war, ‘Germany as a whole’ would consist solely of aggregate territories of the respective zones of occupation. As all former German territories east of the Oder-Neisse line were excluded from the Soviet Occupation Zone, they were consequently excluded from ‘Germany as a whole’.

EXPULSIONS

In the course of the proceedings, Polish communists had begun to suppress the German population west of the Bóbr river to underline their demand for a border on the Lusatian Neisse. The Allied resolution on the “orderly transfer” of German population became the legitimation of the expulsion of Germans from the nebulous parts of Central Europe, if they had not already fled from the advancing Red Army.

The expulsion of ethnic Germans by the Poles concerned, in addition to Germans within areas behind the 1937 Polish border in the West (such as in most of the old Prussian province of West Prussia), the territories placed “under Polish administration” pending a Final German Peace Treaty, i.e. southern East Prussia (Masuria), Farther Pomerania, the New March region of the former Province of Brandenburg, the districts of the Grenzmark Posen-West PrussiaLower Silesia and those parts of Upper Silesia that had remained with Germany after the 1921 Upper Silesia plebiscite. It further affected the German minority living within the territory of the former Second Polish Republic in Greater Poland, eastern Upper Silesia, Chełmno Land and the Polish Corridor with Danzig.

The Germans in Czechoslovakia (34% of the population of the territory of what is now the Czech Republic), known as Sudeten Germans but also Carpathian Germans, were expelled from the Sudetenland region where they formed a majority, from linguistic enclaves in central Bohemia and Moravia, as well as from the city of Prague.

Though the Potsdam Agreement referred only to Poland, Czechoslovakia and Hungary, expulsions also occurred in Romania, where the Transylvanian Saxons were deported and their property disseized, and in Yugoslavia. In the Soviet territories, Germans were expelled from northern East Prussia (Oblast Kaliningrad) but also from the adjacent Lithuanian Klaipeda Region and other lands settled by Baltic Germans.

IRS Alerts Taxpayers They Must Answer a New Question On Tax Forms Or Face Consequences

by Tyler Durden
Friday, Jan 27, 2023 – 10:10 AM
.

The Internal Revenue Service (IRS) issued an alert to taxpayers on Tuesday, reminding them that they must report all digital asset-related income and answer a new digital asset question on their 2022 federal income tax return or face consequences such as delayed refunds or even penalties.

The IRS said in a Jan. 24 release that a key change on 1040 forms this year is that the agency has replaced the term “virtual currency” with “digital assets,” in addition to some other modifications to the wording.

The “Yes” or “No” question, which was expanded and revised this year to update terminology, reads as follows:

“At any time during 2022, did you: (a) receive (as a reward, award or payment for property or services); or (b) sell, exchange, gift or otherwise dispose of a digital asset (or a financial interest in a digital asset)?

The question appears at the top of tax forms 1040, Individual Income Tax Return; 1040-SR, U.S. Tax Return for Seniors; and 1040-NR, U.S. Nonresident Alien Income Tax Return.

All taxpayers must answer the question regardless of whether they engaged in any transactions involving digital assets,” the agency cautioned.

It is a legal requirement to accurately report all income, including income from digital assets, on federal income tax returns. Failure to do so could result in non-compliance with tax laws and possible penalties.

The IRS has provided a detailed explanation of what constitutes a digital asset, which includes such things as stablecoins, non-fungible tokens (NFTs), and cryptocurrencies.

Taxpayers need to check the “Yes” box if they:

  • Received digital assets as payment for property or services provided;
  • Transferred digital assets for free (without receiving any consideration) as a bona fide gift;
  • Received digital assets resulting from a reward or award;
  • Received new digital assets resulting from mining, staking, and similar activities;
  • Received digital assets resulting from a hard fork (a branching of a cryptocurrency’s blockchain that splits a single cryptocurrency into two);
  • Disposed of digital assets in exchange for property or services;
  • Disposed of a digital asset in exchange or trade for another digital asset;
  • Sold a digital asset; or
  • Otherwise disposed of any other financial interest in a digital asset.

Those who tick the “Yes” box must also report all income related to their digital asset transactions on relevant forms. For instance, an investor who sold cryptocurrency during 2022 would use Form 8949, Sales and other Dispositions of Capital Assets.

Taxpayers should check the “No” box if they merely owned digital assets but didn’t engage in any transactions involving them in 2022.

They should also tick “No” if they merely transferred digital assets from one wallet or account they own or control to another one that they own or control, and if they bought digital assets using real currency like the U.S. dollar.

Many Americans Will See Smaller Tax Refunds

The IRS has warned that many taxpayers should expect a smaller refund this tax season because of tax law changes including the expiration of pandemic-related stimulus payments that would otherwise have boosted refund balances.

“Due to tax law changes such as the elimination of the Advance Child Tax Credit and no Recovery Rebate Credit this year to claim pandemic-related stimulus payments, many taxpayers may find their refunds somewhat lower this year,” the IRS said in a press release on Jan. 23, the day the agency began tax returns for 2022 earnings.

Not all tax filers will see lower refunds as individual circumstances vary; many will see smaller checks.

The Recovery Rebate Credit was a way for millions of Americans to receive pandemic support if they did not receive their full amount via stimulus checks.

This credit was available for missing amounts from the first, second, and third round stimulus checks, and could only be claimed on 2020 and 2021 tax returns.

The stimulus checks were discontinued in December 2021 and the missing third-round amounts could only be claimed on a 2021 tax return filed in 2022.

However, people who may have missed the opportunity to claim missing third-round stimulus payments can review their 2021 tax return and consider filing an amended return.

The Child Tax Credit (CTC) for 2022 tax returns has been reduced to $2,000 per child, down from the expanded amount of $3,600 for children under 6 and $3,000 for children between 6 and 17 in 2021.

Some taxpayers may be eligible for an Additional Child Tax Credit (ACTC), which would allow them to receive up to $1,500 of the CTC as a refund on their tax return.

Also, a tax credit that working parents can use to help cover child care costs or that people with adult dependents can use for the same purpose is lower in 2022.

Double Orange Scones with Orange Butter

Double Orange Scones with Orange Butter
Double Orange Scones with Orange Butter

Ingredients

Scones

  • 2 cups all-purpose flour
  • 2 1/2 teaspoons baking powder
  • 3 tablespoons granulated sugar
  • 1 teaspoon grated orange rind
  • 1/3 cup butter
  • 1 (11 ounce) can mandarin oranges, drained
  • 1/4 cup milk
  • 1 large egg, lightly beaten
  • 1 tablespoon sugar

Orange Butter

  • 1/4 cup butter, softened
  • 2 tablespoons orange marmalade

Instructions

  1. Scones: Combine first 4 ingredients in a large bowl; stir well.
  2. Cut in butter with pastry blender until mixture is crumbly.
  3. Add oranges, milk and egg, stirring just until dry ingredients are moistened.
  4. Turn dough out onto a heavily floured surface, and knead lightly 4 or 5 times.
  5. Pat dough into a 6-inch circle on a greased baking sheet.
  6. Cut into wedges; separate wedges slightly.
  7. Sprinkle with 1 tablespoon sugar.
  8. Bake at 400 degrees F for 15 to 20 minutes or until lightly browned.
  9. Serve warm with orange butter.
  10. Orange Butter: Combine butter and orange marmalade in a small bowl, stirring well.

Scientists have uncovered a massive 2,000-year-old Maya site hidden under a Guatemalan rainforest

According to the White house, the economy is entering a short-lived, controllable period of temporary inflation. However, everyone is employed, the stock market is soaring, and a “new American century” is starting under the bright military leadership of President Biden. Russia is going to collapse any day now, as the Ukraine war is ending. And China’s electronic industry has been set back 100 years or more.

According to the American mainstream news media, things appear to be worse than they really are. The stock market is climbing. The GDP of America is growing. People have tons of disposable money to watch Hollywood movies, and while there are some rumblings of discontent, it’s really overblown. Inflation isn’t really that bad.

According to American bloggers, a civil war is on the horizon. Prepper sites are all the rage and a nuclear war is a real concern. Inflation is far worse than what is reported. President Biden has dementia, and the government is run behind the scenes by a cabal of neocons.

According to both Russia and China, the world is in a state of change. The uni-polar global governance (led by the USA) is ending. The Untied States is collapsing, and the rest of the world needs to step up and try to manage the collapse of the United States so that only the West hurts itself.

I am going to “throw in my two cents”. It’s only my opinion as an American living OUTSIDE the USA, peering through the veil.

According to myself, it appears that the United States is unraveling. It appears that Strauss and Howe’s “Fourth Turning” is really manifesting and coming true right on schedule. It appears that the CIA remote viewing of 2025 (back in 2008) and reported in the Deagal Report, is right spot on , and on target.

Typically, for the last 100 years, the United States has bounced back and forth from one extreme to the other, but lately the period of bounce has been accelerated. The blatant store robberies, the in-you-face murders, the hate-hate-hate narrative in most social media, and the suppression of voices of all types are signs of a collapse of society. Car jackings. Closed malls and main-street store-fronts. Police activity and inactivity. It’s all worrisome symptoms.

I can only conclude…

  • The USA government functions on a trivial level. It appears to work, but it’s completely broken.
  • Society has collapsed, people realize this, but do not realize the extent of it.
  • The military as powerful as it appears, is not up for the many conflicts that President Biden is initiating.
  • Most Americans are pessimistic of the future, and they have good reason to be concerned.

What can be done?

It’s not my place any longer. But I would advise that everyone let the scenarios fester, and allow the old to rot and decay. Then allow a new, in what ever form is best for the participants, to grow and nurture for the good of all Americans, as well as for the good of the world.

But that’s just my opinion.

25 Rare And Cool Polaroid Prints Of Teen Girls In The 1970s

1 39 1
1 39 1

25 10 1
25 10 1

24 11 2
24 11 2

23 ss11
23 ss11

22 11 1
22 11 1

21 11 2
21 11 2

20 12
20 12

19 12 1
19 12 1

18 14 1
18 14 1

17 16 1
17 16 1

16 16 1
16 16 1

15 17
15 17

14 21
14 21

13 24
13 24

12 26
12 26

11 27
11 27

10 28
10 28

9 29 1
9 29 1

8 32
8 32

7 33
7 33

6 34
6 34

5 36 1
5 36 1

4 38
4 38

3 39
3 39

2 39
2 39

Mini Meatball and Vegetable Soup

This soup is hearty and delicious. Mixed vegetables and mini beef meatballs make for a perfect casual dinner.

2023 01 23 08 59
2023 01 23 08 59

Cook’s Tip: Cooking times are for fresh or thoroughly thawed ground beef. Ground beef should be cooked to an internal temperature of 160 degrees F. Color is not a reliable indicator of ground beef doneness.

Ingredients

  • 1 pound ground beef (80% to 85% lean)
  • 1 (14 1/2 ounce) can Italian-style diced tomatoes, undrained
  • 1 (14 to 14 1/2 ounce) can ready-to-serve beef broth
  • 1/2 cup water
  • 1/4 cup Italian-style dry bread crumbs
  • 2 tablespoons water
  • 3 cups frozen vegetable blend (such as green beans, corn and peas)
  • Salt and pepper

Instructions

  1. Combine tomatoes, broth and 1/2 cup water in large saucepan; bring to a boil. Reduce heat; simmer for 10 minutes.
  2. Meanwhile combine ground beef, bread crumbs and 2 tablespoons water in medium bowl, mixing lightly but thoroughly. Shape into 48 small meatballs.
  3. Add meatballs and vegetables to broth mixture; bring to a boil, stirring occasionally. Reduce heat; cover and simmer for 5 to 7 minutes. Season with salt and pepper, as desired.

Yield: 4 servings

The World of the Wild (and Not-so-Wild) West: A Paintings by Morgan Weistling

0 23
0 23

Morgan Weistling is an American painter who paints the everyday life and characters of the Wild West. An accomplished painter, Weistling is skilled in both paint and printmaking, creating truly inspiring paintings of beauty and danger. Weistling’s paintings have won multiple awards and been purchased for permanent display by major museums.

More: Morgan Weistling, Instagram

260951536 3059825694307155 1756495281026746045 n
260951536 3059825694307155 1756495281026746045 n

257699988 620018485858138 9190969518732319268 n
257699988 620018485858138 9190969518732319268 n

225759907 512018133237017 1658600602715487276 n
225759907 512018133237017 1658600602715487276 n

205929448 1190305994715230 2922434312910737178 n
205929448 1190305994715230 2922434312910737178 n

193240730 114414667500038 2048965848160329085 n
193240730 114414667500038 2048965848160329085 n

144844499 115219313861475 1150355871064431963 n
144844499 115219313861475 1150355871064431963 n

142890950 405400143860918 8995422684256773418 n
142890950 405400143860918 8995422684256773418 n

134096811 398321131427792 5176889664634176322 n
134096811 398321131427792 5176889664634176322 n

129451795 1017000752152318 5344606008543133749 n
129451795 1017000752152318 5344606008543133749 n

119657211 735265203690829 7218346034779773970 n
119657211 735265203690829 7218346034779773970 n

119579150 695163541208185 6538482362669236255 n
119579150 695163541208185 6538482362669236255 n

119242825 336623210821695 4792384709655321548 n
119242825 336623210821695 4792384709655321548 n

119224488 146520820477374 7398407311915350687 n
119224488 146520820477374 7398407311915350687 n

118199768 337376004062208 7371137511330658907 n
118199768 337376004062208 7371137511330658907 n

116596483 2712099995733321 6311171619369407781 n
116596483 2712099995733321 6311171619369407781 n

110174291 648051039254529 1570536001889810259 n
110174291 648051039254529 1570536001889810259 n

107419187 154802932785479 8871473301834302966 n
107419187 154802932785479 8871473301834302966 n

101987164 561492407893096 4732006849317459614 n
101987164 561492407893096 4732006849317459614 n

98119138 250102186262118 618111301894970544 n
98119138 250102186262118 618111301894970544 n

93576880 250107829470951 8422116318616263652 n
93576880 250107829470951 8422116318616263652 n

92483759 658699314699369 5353874552539024507 n
92483759 658699314699369 5353874552539024507 n

92412567 530377020995433 9216517688030601503 n
92412567 530377020995433 9216517688030601503 n

91791470 873153519825526 8717144840921178428 n
91791470 873153519825526 8717144840921178428 n

91748587 1011979542531045 7106741730704059411 n
91748587 1011979542531045 7106741730704059411 n

91558952 2618850675070116 7897152141400788466 n
91558952 2618850675070116 7897152141400788466 n

90867573 498869767429797 3091883779329657372 n
90867573 498869767429797 3091883779329657372 n

90434304 2817381691686498 2471010710624223073 n
90434304 2817381691686498 2471010710624223073 n

83695657 190200172132789 5867984347495891198 n
83695657 190200172132789 5867984347495891198 n

82707411 571118993747253 7725397365926695880 n
82707411 571118993747253 7725397365926695880 n

76974177 2841529505869018 4777155373591640446 n
76974177 2841529505869018 4777155373591640446 n

68691093 2343201012663699 6080898072466711454 n
68691093 2343201012663699 6080898072466711454 n

67686069 101388371198053 4196632322874782306 n
67686069 101388371198053 4196632322874782306 n

64691109 462317767896930 8785212587365574597 n
64691109 462317767896930 8785212587365574597 n

59353875 1002307786638622 8759224471777456656 n
59353875 1002307786638622 8759224471777456656 n

56209780 2112725808846872 1140037067407658363 n
56209780 2112725808846872 1140037067407658363 n

49737017 2128865933818893 330493958838952805 n
49737017 2128865933818893 330493958838952805 n

40094875 250363168999106 8783427028355174275 n
40094875 250363168999106 8783427028355174275 n

39874955 420857845105025 4092541008452651404 n
39874955 420857845105025 4092541008452651404 n

37760234 288533125243715 3060038592081428480 n
37760234 288533125243715 3060038592081428480 n

Artist Imitators Thrive in China’s Famous Oil Painting Village

1189
1189

An artist working on his painting outside a gallery at the artist village on June 12, 2014 in Shenzhen, China. The Dafen Artist Village in Guangdong province, China, is home to thousands of artists who reproduce some of the world’s most iconic paintings as well as create their own works. The village, on the outskirts of Shenzhen, is becoming a major center for original Chinese art.

862 1
862 1

766 1
766 1

671
671

577
577

487
487

3106
3106

2133
2133

Chicken Soup Mexicana

All of your favorite Mexican flavors mix together in this soup while the canola oil and avocado supply healthy fats. ¡Muy delicioso!

2023 01 22 22 10
2023 01 22 22 10

Ingredients

  • 1 tablespoon canola oil 15 mL
  • 2 cloves garlic, minced
  • 1 medium onion, sliced (about 1 cup/250 mL)
  • 4 celery stalks, sliced (about 1 cup/250 mL)
  • 1/8 teaspoon ground black pepper 0.5 mL
  • 2 teaspoons cumin 10 mL
  • 1 teaspoon red pepper flakes 5 mL
  • 8 cups low-sodium chicken stock 2 L
  • 2/3 cup lentils 150 mL
  • 1 (19 ounce) can tomatoes, chopped 540 mL
  • 3 medium carrots, thinly sliced (about 1 cup/ 250 mL)
  • 2 pounds cooked chicken, cubed 1 kg (about 7 cups/1.75 L)
  • 1 small zucchini, thinly sliced (about 1/3 cup/75 mL)
  • 1 cup frozen peas 250 mL
  • 1 small avocado, peeled and sliced (about 1/2 cup/125 mL)

Instructions

  1. In Dutch oven, heat canola oil. Add garlic, onion and celery. Sauté until vegetables are tender.
  2. Season with black pepper, cumin and red pepper flakes.
  3. Add chicken stock, lentils, tomatoes and carrots. Simmer, covered, for 30 minutes, or until carrots are tender.
  4. Add chicken, zucchini and peas. Cover and simmer for 10 to 15 minutes longer, or until vegetables are tender.
  5. Garnish with avocado slices.

Prep: 10 min | Cook: 50 min | Yield: 10 (2 cup) servings

LiDAR Reveals Massive Mobilization of Labor Needed to Build Maya Site

Maya site
Maya site

Scientists have uncovered a massive 2,000-year-old Maya site hidden under a Guatemalan rainforest, comprising of nearly 1,000 urban settlements interconnected by 160 km (100 miles) of causeways across 1,700 square kilometers (650 square miles). LiDAR technology is revealing unknown details about the history of the Maya, long believed to be one of human history’s most sophisticated cultures.

technology 0
technology 0

LiDAR technology of Maya site has revealed nearly 1,000 settlements hidden beneath the rainforest in Guatemala. (Hansen et. al – Cambridge University Press / CC BY 4.0 )

Evidence of Advanced Society Revealed by LiDAR Technology at Maya Site

Light detection and ranging aerial scanning technology, with its remote sensing pulsed-laser mechanism, is able to penetrate through the densest of ecosystems and vegetation. The laser light bounces off surfaces to create a digitally reconstructed map, based on the time taken by the pulses to return to a receiver. Some of these LiDAR finds in the Central American rainforests have revealed vital details and information about the sheer sophistication of urban Maya society.

These finds, published in the December 2022 edition of the research journal Ancient Mesoamerica , reveal a site hidden below a rainforest which dates to the Middle (1000 to 400 BC) and Late (400 BC to 250 AD) Preclassic period. This time frame is contemporaneous with the sack of the ancient Elamite capital of Susa by Assyria, the destruction of the Temple of Solomon by the Babylonians, and the Greco-Persian Wars .

Several large platforms and pyramids, along with canals and reservoirs used for water collection, are part of the discovery by renowned historians and geologists, including those from the Universidad de San Carlos in Guatemala. “Many of these settlements demonstrate a political/social/geographical relationship with other nearby settlements, which has resulted in the consolidation into at least 417 ancient cities, towns, and villages with identifiable site boundaries,” write the researchers in the paper.

Photographs 1
Photographs 1

Photographs of MCKB inter-site causeways revealed thanks to LiDAR scans of the Maya site in Guatemala. (Hansen et. al – Cambridge University Press / CC BY 4.0 )

Why the Did Maya Settle Here?

The densely packed Maya settlements in and around the Mirador-Calakmul Karst Basin (MCKB) has shown that some of these buildings packed residential homes, sports courts, religious, ceremonial and civic centers, along with the aforementioned networks of causeways and canals that linked these together.

The MCKB had the ideal balance of uplands for settlement, as well as lowlands for agriculture. The uplands contained limestone, the primary core of the building material, and enough dry land to live on. The lowlands, seasonal swamps called bajos, were spaces where wetland agriculture and terraced agriculture could be practiced, owing to the sheer fertility of the soil.

These settlements were built for the proverbial rainy day, as the Maya were believed to be experts in mitigating times of drought and flood and their architecture and constructional ideology displayed this. In all probability this is indication of a centralized kingdom-like state, revealing a sense of shared common identity and socio-political ideology. “When I generated the first bare-earth models of the ancient city of El Mirador, I was blown away,” explained Morales-Aguilar when discussing the Maya site. “It was fascinating to observe for the first time the large number of reservoirs, monumental pyramids, terraces, residential areas and small mounds.”

LiDAR 5
LiDAR 5

LiDAR scans of the Maya site have revealed a complex network of settlements and causeways hidden under the Guatemalan rainforest.  (Hansen et. al – Cambridge University Press / CC BY 4.0 )

Maya Site Reveals Massive Mobilization of Labor

The LiDAR analysis showed “for the first time an area that was integrated politically and economically, and never seen before in other places in the Western Hemisphere,” study co-author Carlos Morales-Aguilar, a postdoctoral fellow in the Department of Geography and the Environment at the University of Texas at Austin, told Live Science by email. “We can now see the entire landscape of the Maya region” in this section of Guatemala, he added.

The vastness of the Maya site and the sophistication of its architecture would have required the mass mobilization of labor and workers, including specialists. Specialists would include those in the pre-industrial assembly line of production, such as lime producers, mortar and quarry specialists, lithic technicians, architects, and legal enforcement and religious officials, according to a press release published on Science Alert .

This is also corroborated by the consistency in architectural forms and patterns, ceramic ware, sculptures, apart from the unified causeway constructions. Analysis of the Maya site reveals that centralized political, social, and economic solidarity existed among the occupants. The researchers wrote that this likely culminated into the coalescing of 417 ancient cities, towns, and villages, which had “identifiable site boundaries.”

Over the past four decades, traditional excavations revealed 56 sites in the MCKB, including the lost city of El Mirador , which contains the largest stone pyramid in the history of the Maya, La Danta , discovered to date. Larger than the Great Pyramid of Giza , it likely required anything between 6 and 10 million days of labor and was built using 205,506 limestone blocks.

“Collectively, we argue that the development of infrastructure demonstrates the presence of complex societies with strong levels of socio-economic organization and political power during the Middle and Late Preclassic periods,” conclude the researchers when discussing the Maya site .

Top image: Complex of pyramids identified at Maya site using LiDAR technology. Source: Hansen et. al – Cambridge University Press / CC BY 4.0

By Sahir Pandey

Croque Monsieur Soup

A twist on the classic French bistro sandwich – creamy cheese soup with ham, topped with a thick slice of cheesy French bread – Ooh la la! Croque Monsieur roughly translates to “Mister Crispy,” a traditional French ham and cheese sandwich with toasted bread, covered with a béchamel sauce and more cheese, baked or fried.

2023 01 22 22 13
2023 01 22 22 13

The long, slow cooking of the onions gives this soup its rich caramelized-onion flavor and color.

Gruyère cheese is a rich, nutty, buttery-tasting form of Swiss, without the holes.

Ingredients

  • 1/2 cup butter
  • 2 large sweet onions, cut in half and thickly sliced (4 cups)
  • 2 teaspoons finely chopped garlic
  • 1/4 cup all-purpose flour
  • 3 cups Progresso™ unsalted chicken stock (from 32 ounce carton)
  • 1 (8 ounce) package cream cheese, cut in cubes
  • 2 cups Half-and-Half
  • 2 1/2 cups shredded Swiss cheese or Gruyère cheese (10 ounces)
  • 2 cups chopped cooked ham (about 10 ounces)
  • 3 teaspoons Dijon mustard
  • 1/2 teaspoon salt
  • 8 (1 inch thick) slices French bread
  • Cornichons, if desired

Instructions

  1. In 5 quart Dutch oven, melt butter over medium-high heat until sizzling. Cook onions in butter for 13 to 15 minutes, stirring frequently, until onions are light golden brown.
  2. Stir in garlic; cook for 30 seconds. Sprinkle flour on onion mixture; cook and stir constantly 1 minute.
  3. Slowly stir in chicken stock. Heat to boiling; reduce heat to low. Cook uncovered 10 minutes, stirring occasionally.
  4. Stir in cream cheese; stirring constantly with whisk until smooth.
  5. Stir in Half-and-Half until blended. Slowly add 2 cups of the cheese, 1/2 cup at a time, stirring constantly until cheese is melted.
  6. Stir in ham, 2 teaspoons of the Dijon mustard and the salt; cook for 3 to 5 minutes or until heated through.
  7. Meanwhile, set oven control to broil. Place French bread slices on ungreased cookie sheet. Spread remaining 1 teaspoon Dijon mustard evenly on cut sides of bread. Sprinkle evenly with remaining 1/2 cup cheese. Broil with tops 5 inches from heat for 1 to 2 minutes or just until cheese is melted and golden brown. Watch carefully so cheese does not burn.
  8. Serve soup topped with bread slices and cornichons, if using.

Prep: 30 min | Servings: 8

Archaeological Treasure Trove! 21 Royal Han Tombs Unearthed in China

Han tomb
Han tomb

Archeologists exploring a mountainside in China have discovered 21 tombs dating back 2,000 years. The presence of luxury artifacts and a rare “couple’s grave” suggests this was an ancient royal burial site.

The discovery of the 2,000-year-old royal tombs was made at the Changsha archaeological site, which is located just over 665 miles (1,000 kilometers) southwest of Shanghai. Located in the present-day Hunan district, the ancient Changsha Kingdom was founded in 203 or 202 BC and represented the largest and longest-lasting kingdom of the Han Empire of China.

The discovery of the 21 tombs was announced earlier this week by a team of archaeologists from the Institute of Archaeology , at the Chinese Academy of Social Sciences and Hunan Provincial Institute of Cultural Relics and Archaeology. Located along a remote mountainside, the researchers said the tombs have laid buried for two millennia and that they “potentially held regal past, but not anymore”.

21 Vertical Pits Loaded with Ancient Artifacts

The imperial Han dynasty of ancient China was established by Liu Bang around 200 BC and was subsequently ruled by the House of Liu. This dynasty was preceded by the short-lived Qin dynasty , and succeeded by the Three Kingdoms period from 220 to 280 AD, which represented the tripartite division of China among the dynastic states of Cao Wei , Shu Han, and Eastern Wu.

On Tuesday this week, via China’s state-affiliated news outlet, Xinhua, the Institute of Archaeology at the Chinese Academy of Social Sciences announced that a team of archaeologists have excavated “21 vertical pit tombs containing over 200 artifacts.” One particular tomb was filled with pottery grave goods that dated back 2,000 years to the Western Han Dynasty, which the team of researchers said flourished during the earlier half of the Han dynasty, from about 200 BC to 25 AD.

Photo 4
Photo 4

Photo of the ancient Han tomb after the fill was removed. The Chinese burial tomb contained numerous luxury artifacts. ( Institute of Archaeology at the Chinese Academy of Social Sciences via Xinhua )

Rows of Tombs Whispering Ancient Royal Secrets

The archaeologists said they grouped the 21 tombs into two types: “tombs with passageways and tombs without.” Many of the tombs were found side-by-side; at one end of the site, three tombs were found in a row, while at the other end four further tombs were lined up together. One of the 21 tombs unearthed in Changsha held the remains of five decaying pillars and outer coffins shaped like “Ⅱ” or like double ‘I’s, according to the press release.

The researchers said this type of double layered tomb “is rarely found in the Hunan province.” In this tomb, excavators recovered “two iron relics, walls covered in glaze and a mineral known as talc and a tan-colored talc disk (or bi) with a rhombus and circle pattern.” Furthermore, it is thought that the rare “pair of tombs” may have accommodated the joint burials of a husband and wife.

 

picture 4
picture 4

The picture shows the unearthed talc bi, decorated with lozenge pattern + dotted pattern, recovered from the ancient Han dynasty tomb ( Institute of Archaeology at the Chinese Academy of Social Sciences via Xinhua )

After studying the assemblage of 21 tombs, which are all of a similar age, the archaeologists concluded they likely belonged to “a royal family buried together in an ancient mausoleum”.

Looking To Ancient Chinese Texts for Answers

The Lunheng is a wide-ranging classical Chinese classic text written by Wang Chong around 27-100 AD, containing detailed essays about ancient Chinese mythology , natural science, philosophy, and literature. These texts describe Western Han imperial burial practices as having involved “sacrificial offerings” at ancestral temples, which accounts for the amount of pottery vessels and grave goods discovered among the 21 tombs.

Well-known examples of Western Han tombs have been excavated in the past, including Mawangdui and the tombs of Liu Sheng , prince of Zhongshan and his wife, Dou Wan. The tomb at Mawangdui was a nested tomb and the “paired tombs” of Liu Sheng and his wife, Dou Wan were cave tombs . It is known that “couple burials” emerged as the standard form of royal burial during the late Han period, along with the pairing of male/female motifs in the styling of the tombs. This is why the archaeologists point towards their discovery of a rare “paired tomb” as the smoking gun for this being the burial site of a Han “royal” family.

ornate 5
ornate 5

The ornate jade burial suit of Liu Sheng and his wife Dou Wan, the first undisturbed Western Han tomb ever discovered ( Public Domain )

Top Image: 21 ancient Han tombs have been discovered, including a rare 2,000-year-old double-layer burial tomb. Source: Xinhua

By Ashley Cowie

Budweiser Adapts Its Sexist Ads From The 50s And 60s To 2019

1 42
1 42

In honor of the International Women’s Day, Budweiser, in collaboration with VaynerMedia, decided to revisit some of their some of their advertisements from the past era, that had some rather sexist remarks. The ads implied that anything women did was supposed to please men, with little attention to themselves. However, thanks to the efforts of feminists everywhere, that is no longer the case and women are free to be themselves without looking for male approval – and Budweiser decided to showcase just that.

Back in the middle of the last century, women were expected to conform to certain gender roles when growing up. And these types of sexist advertisements only helped reinforce them. Even though nowadays these gender roles are implied less and less, there’s still a long way to go to fully get rid of them.

2 402
2 402

The perfect woman back in the 1950s was expected to be a great mother that took care of the household and dedicated all of her time to please her husband. Many advertisements of the day reinforced this stereotype – especially beer and cigarette ads.

Budweiser decided to distance themselves from this type of behavior and with the help of illustrators Heather Landis, Nicole Evans and Dena Cooper redesigned the ads to show a family where both parts are equal, free of the assigned gender roles.

4 39
4 39

3 40
3 40

Sexist slogans like “She found she married two men” were replaced with more empowering ones, like “She found she has it all”. Also, the ads no longer imply there being a ‘man of the house’ – it’s a family that solves their problems together, as a team.

6 35s
6 35s

5 39
5 39

Blinken’s Travel Canceling Adds To China Hate

In 1964 Richard Hofstadter wrote about The Paranoid Style in American Politics:

Events since 1939 have given the contemporary right-wing paranoid a vast theatre for his imagination, full of rich and proliferating detail, replete with realistic cues and undeniable proofs of the validity of his suspicions. The theatre of action is now the entire world, and he can draw not only on the events of World War II, but also on those of the Korean War and the Cold War. Any historian of warfare knows it is in good part a comedy of errors and a museum of incompetence; but if for every error and every act of incompetence one can substitute an act of treason, many points of fascinating interpretation are open to the paranoid imagination. In the end, the real mystery, for one who reads the primary works of paranoid scholarship, is not how the United States has been brought to its present dangerous position but how it has managed to survive at all.

The paranoid style is not only used on the right-wing side of policies. Adam Schiff’s action during ‘Russiagate’ applied plenty of it. The paranoid style applies to internal U.S. politics as well as to foreign policies against this or that favorite enemy of that time.

It makes the story below, which otherwise just laughable, somewhat dangerous.

Furor Over Chinese Spy Balloon Leads to a Diplomatic Crisis
The Pentagon called the object, which has flown from Montana to Kansas, an “intelligence gathering” balloon. Beijing said it was used mainly for weather research and had strayed off course.

As some 80+% of all Pentagon intelligence comes from open sources the ‘intelligence gathering’ statement may well include a weather research system. Weather research and weather prediction are important for all kinds of military operations. But they are also important for many civil operations from agriculture, food availability prediction to drainage planning in cities.

The story’s opener:

WASHINGTON — Secretary of State Antony J. Blinken on Friday canceled a weekend trip to Beijing after a Chinese spy balloon was sighted above the Rocky Mountain state of Montana, igniting a frenzy of media coverage and political commentary over a machine that the Pentagon said posed no threat to the United States.Mr. Blinken called the Chinese surveillance an “irresponsible act” and a “clear violation of U.S. sovereignty and international law.”

China’s “decision to take this action on the eve of my planned visit is detrimental to the substantive discussions that we were prepared to have,” he said at a news conference on Friday afternoon.

Blinken is of course wrong. Balloon drift planning is difficult if not impossible. There was likely no Chinese intent behind this.

The NYT also writes that this is not the first time that such a balloon is passing over the United States. The difference now the addition of paranoid panic. Balloon similar to those one sighted over the U.S. have been seen over Japan and India in 2020, 2021 and 2022.

bal1 s
bal1 s

bigger

Both flew in directions from China that are different than the current balloon drifting from China over to the United States. That is because the direction of the wind decides where a balloon flies to.

These balloon have cross like arms hanging below them with the two horizontal arms carrying solar panels. There are three gondolas at the ends of the horizontal and vertical structure. The gondolas could carry instruments, motors or both.

bal2 s
bal2 s

bigger

An estimate said that the diameter of the balloon is equivalent to the length of three school buses. It means that the air resistance and wind sensitivity of the balloon is huge. Winds in the upper atmosphere, like the Atlantic jet stream, are strong:

For instance, flying from London to New York takes just over eight hours, while the reverse journey is often under seven.

A few electrical motors depending on solar power are unlikely to be for propulsion propellers that would make this balloon steerable. But a Google company once used a machine learning system that found out how to tack a balloon like a sail ship which enabled it to follow its planned course faster than previously predicted. But it did not make it steerable. The Goggle balloon were ‘steering’ by changing their flight levels just like low fling hot air balloon do:

A solar-powered pump adds or subtracts air from the balloon. That air makes the balloon heavier or lighter, allowing it to ascend or descend in altitude. Rather than fight against the wind at one altitude, the balloon moves up or down until it finds a favorable wind current. By repeating this thousands of times over the lifespan of a balloon, we can drift on the winds to get to locations around the world.

It is likely that the Chinese balloon can use similar technics. But it will inevitable still depend on the ever changing and often unpredictable prevalent direction of the wind.

Blinken is also wrong with regards to international law. The space between the highest level jet fighters can fly (18 kilometer or 60,000 feet) and outer space were satellites fly is legally somewhat undefined. There are no treaties, international laws or even rules for that mostly unused space.

In the 1950s the U.S. flew ‘weather balloon’ over the Soviet Union for surveillance. But satellites has since replaced balloon surveillance as they can get more data with way more precision. China has satellites. It does not need balloon to check U.S. air fields or missile sites.

To use the balloon as an excuse for canceling the China visit shows that U.S. claims of wanting to lower tensions with China are not serious.

Brian Tycangco 鄭彥渊 @BrianTycangco – 9:09 UTC · Feb 4, 2023If a wayward balloon is enough to make the US Secretary of State cancel a potentially crucial meeting with China to resolve standing differences & avoid potential armed conflict, it speaks very poorly of how serious he is about doing his job.

Some republicans have used the balloon and the paranoid style to attack the Biden administration. The Biden administration should have staid course by calling the overflight a non-event. Blinken’s reaction only adds to the anti-China hate.

Posted by b on February 4, 2023 at 18:11 UTC | Permalink

Selected Comments…

This incident demonstrates that there’s a significant balloon gap between the US and Communist China! Unless congress immediately allocates an additional trillion dollars to the pentagon for balloon research and development, the next CPC balloon won’t just be carrying surveillance equipment, but also a biological or nuclear payload. Clearly, we’re witnessing a watershed moment in armaments history. Hypersonic missiles were clearly just a short-lived fad — whoever achieves balloon superiority, controls the battlefield of the future.

Posted by: Skiffer | Feb 4 2023 19:23 utc | 25


It doesn’t matter whether Blinken canceled his visit, because based on all the previous interactions with China the US was merely going to bullshit the Chinese some more, then do the opposite of what they said would do, especially with regard to Taiwan.

So the whole visit might as well never happened.

The US wants a war with China. It’s that simple. And China will give it to them, eventually. And the US will lose. Hopefully, as with Ukraine, it won’t escalate to WWIII.

Posted by: Richard Steven Hack | Feb 4 2023 20:23 utc | 45


Gonzalo Lira has a good analysis of the hysteria. (I am reposting this from the previous Ukraine thread.)

Ukraine … losing … The U.S. knows that Ukraine’s army is not able to hold the current defense line in its east. The fear is that it will run away when the line is breached… The Ukrainian death toll in the city must be extremely high… The result is that the battle is believed to have produced horrific troop losses for … Ukraine … emergency medical units provide urgent care to battlefield casualties… Russia has increased the intensity of the fight… Russia has no lack of artillery ammunition… Russian artillery is firing several times more shells per day than Ukraine’s army can provide… Artillery is the big killer in this war…

Look over there! 👉 A BALLOON!

2023.02.04 About That Chinese Balloon – Gonzalo Lira

Posted by: Petri Krohn | Feb 4 2023 22:36 utc | 93

Ugly truths about the United States

Ever since the Military coup in November 1963 (President Kennedy Assassination) the United States became a military empire. It is run by wealthy oligarchs associated with the military-industrial complex. By the very nature of this situation, the United States serves the interests of the hidden cabal, and ignores the needs of the citizenry. And it shows.

To keep the system running, the United States must be constantly engaged in war; feeding the military-industrial complex.

The United States, over the decades has become a nation of raw plunder, manipulation, and shadow activities to feed the handful of wealthy oligarchs.

But all that is now coming to an end.

The military empire model that the United States is built upon is not a sustainable model. You can only destroy so much, and steal so much, and pillage so much until limits are reached. Now, with much of the world plundered of it’s materials and products, a new competitor has entered the world; the re-awakened giant dragon; China.

As China flexes its muscles and sharpens it’s claws, other nations are flocking to China for support, aid, assistance and protection. Leaving the corrupt, inept, and tarnished American military to go elsewhere. And the American stature is shrinking as a result.

The smart thing for the hidden leadership to do is to accept the change in global stature and adapt to it. But, that is not what is going on. They wish to clutch onto what they have in some mistaken belief that their plundering, rape, robbery, and theft was ordained by God.

And with this belief is the idea that the United States military can actually fight China, defeat it, and then plunder it like it has so many times in the past.

It’s a fantasy.

The world has changed.

And that is why the United States is constantly trying to provoke a Gulf of Tonkin incident with China over the South China Sea and Taiwan. It is an attempt to change the developing world order back to one when a military dictatorship can rule the world.

royal tomb
royal tomb

Breaking: Ancient Royal Tomb Discovered in Egypt

Egyptian authorities have announced that a never-before-seen royal tomb has been uncovered in Luxor, Egypt, dating back around 3,500 years. Archaeologists believe it belongs to a royal of the 18th Dynasty of Pharaonic Egypt (1550 BC to 1292 BC), but it has not yet been revealed who and what is inside.

Dr. Mustafa Waziri , General Secretary of the Supreme Council of Antiquities, said the tomb was unearthed on the west bank of the Nile River, where the famous Valley of the Queens is located.

Valley of the Queens

The Valley of the Queens, also known as Biban el-Harim, is a valley in Luxor, Egypt that was used as a necropolis for the wives and children of pharaohs during the 18th, 19th, and 20th dynasties of ancient Egypt. The valley contains around 75 tombs, including the tomb of Nefertari, the wife of Pharaoh Ramses II, which is considered to be one of the most beautiful tombs in the valley. The tombs in the valley are known for their well-preserved paintings and inscriptions, which provide valuable insights into the lives and beliefs of the ancient Egyptians.

location 26
location 26

The location of the newly-discovered tomb on the west bank of the Nile in Luxor. Credit: Ministry of Tourism and Antiquities.

A Royal of the Thutmosid Lineage?

Phys.org reports that Piers Litherland of the University of Cambridge, head of the British research mission, said the tomb could be of a royal wife or princess of Thutmosid lineage.

The Thutmosid pharaohs include some of the most famous kings of ancient Egypt, such as Hatshepsut, Thutmose III, Amenhotep II, and Tutankhamun. During their reign, the Thutmosid pharaohs expanded the territory of Egypt through military campaigns, built monumental architectural projects such as temples, tombs and statues, and promoted the arts, literature and religion. They also increased the power and wealth of the central government and the royal court. The 18th dynasty was considered a golden age for ancient Egypt and it was the most powerful and prosperous dynasty in the New Kingdom. The significance of this discovery cannot be overstated, as it will shed new light on the cultural heritage of this era.

photo 3
photo 3

A photo taken from inside the entrance of the tomb looking out. The tomb has been secured with a metal gate to prevent looting. Credit: Ministry of Tourism and Antiquities.

Damage from Floods

The joint Egyptian-English mission between the Supreme Council for Archaeology and the Modern State Research Foundation of Cambridge University, led by Dr. Fathi Yassin, is continuing its excavation and documentation of the cemetery. However, it is in poor condition due to ancient floods, which filled the tomb with sand and limestone.

Recent discoveries in Egypt are playing a crucial role in reviving the country’s vital tourism industry. The highlight of these efforts is the highly anticipated opening of the Grand Egyptian Museum, located at the base of the iconic pyramids.

Top image: The royal tomb discovered in Luxor. Credit: Ministry of Tourism and Antiquities.

By Joanna Gillan

Chart of the week

trade with russia
trade with russia

Thessaloniki Metro Construction Reveals Unimaginable Treasures

Thessaloniki metro 2
Thessaloniki metro 2

The construction of local metro facilities in the ancient Greek port city of Thessaloniki have uncovered a massive stash of thousands of archaeological treasures from different periods of Thessaloniki’s history. This booty includes a  decumanus (an east-west oriented road, one of the primary highways of the time), along with a Byzantine avenue.

Construction 0
Construction 0

Construction site of Thessaloniki’s Metro seen from the inside of a tunnel. (Konstantinos Stampoulis /  CC BY-SA 3.0 GR )

Thessaloniki’s Tryst with Momentous Greek and Roman Heritage

There are architectural remains of many 15th to 17th century buildings built on top of the remains of Hellenistic and Roman buildings – a  nymphaeum, a marble paved square, a bathhouse heated by an underfloor hypocaust system and a large mosaic with intricate geometric patterns. There is even a paved  road with colonnades and a line of Byzantine period shops and workshops. Stunning images of the same have been released by  Attiko Metro SA .

The metro follows the path of the ancient  decumanus, which now serves as the main historical avenue cutting through  Thessaloniki, reported  Arkeo News . The ancient road begins at the famed Golden Gate ( Porta Aurea ), the contemporaneous Vardario Square, all the way to Kassandriotik Gate, known today as Syntrivani Square. Currently, the excavation of Thessaloniki is the largest in northern Greece and covers an area of as much as 20,000 square kilometers (7,722 sq mi).

According to  Arkeonews, over 300,000 artifacts have been uncovered so far, dating to the 4th century BC, excavated from six of the twelve station sites currently under  construction. This figure is likely to increase immensely as the rest of the sites are excavated. The unearthed artifacts are likely to be exhibited at the stations where they were uncovered, as well as two new museums set to be created before the end of the year.

images 4
images 4

The images released of the Thessaloniki metro excavations show the sheer scale of the project. ( Attiko Metro SA )

The Thessaloniki Metro Excavation that Keeps on Giving

Owing to its strategic placement along the Thermaic Gulf of the Aegean Sea, Thessaloniki received a fair bit of human traffic throughout its history. Located in the Macedonian region, it is Greece’s second largest city today and new finds from various phases of its history keep popping up at frequent intervals, including the declaration of Thessaloniki as a “Byzantine Pompeii” during 2013 metro excavations.

In 2012 a pre-Cassandrian small town from the 4th century BC was discovered in Pylaia during excavations of the main line of the metro. It led to an ensuing 31-acre (125,000 sq m) excavation in the area, with part of the city being laid out according to the Hippodamian grid plan – an urban planning system along the line of the great cities of  Macedonia, Olynthos and  Pella.

In 2018, the statue of  Aphrodite was discovered at the  Hagia Sophia  station, named after one of the oldest churches in the city, revealing an entire fountain complex around the area. Meanwhile, a  Roman cemetery  (2nd to 4th century AD) was discovered within the confines of Fleming Station, revealing previously unidentified settlements on the outskirts of ancient  Thessaloniki.

In the same area, a 3 kilometer (1.86 mi) cemetery basilica with mosaic floors was discovered on the site of a previous structure, revealing thousands of funerary monuments from the Hellenistic to Late Antiquity period. The tombs were of all kinds – pit-shaped, box-shaped, pot burials, altars, altar-shaped constructions, single and double vaulted, clay and glass vessels, silver and gold jewelry, and all kinds of coins.

Centuries 0
Centuries 0

Centuries of history has been unearthed thanks to the construction of a metro line in Thessaloniki. ( Attiko Metro SA )

Thessaloniki: A Checkered History of Power and Prestige

These finds are not surprising – after all, Thessaloniki was known as  Symvasilévousa or the co-reigning city of the  Byzantine Empire , alongside  Constantinople. Prior to that too, Thessaloniki had a strong economy and established socio-political structures and institutions, with the greatest development occurring in the second half of the 4th century.

In 315 BC,  Kassandros founded the city, though it was briefly abandoned after the fall of the Kingdom of Macedon in 168 BC. It regained its prestige and importance with the emergence of Rome as a trading hub with a vital highway running through it, known as the Via Egnatia, connecting Byzantium with Dyrrachium.

Thessaloniki reinvented itself as a seat of power away from Rome in the second half of the 5th century AD, after the  fall of Rome  and with the emergence of the Eastern Roman or Byzantine Empire, explained  Heritage Daily It was sacked again in 904 AD in a naval attack led by Byzantine converts to Islam led by Leo of Tripoli, and finally passed out of Byzantine hands three centuries later during the  Fourth Crusade .

Top image: Thousands of artifacts and architectural remains have been uncovered during metro excavations in Thessaloniki. Source:  Attiko Metro SA

By Sahir Pandey

What is the (ridiculously named) United States of America doing to ‘knee-cap’ or ‘hamstring’ China’s recovery from Euro-American/Japanese colonial ruination — a recovery which, given China’s share of humanity’s global population, naturally makes it a ‘superpower’? To the end of (to the American consensus) “keeping the Chinese ‘in their place’”?

Everything in their power.

The ‘running total’ ‘bottom line’ return on the (lol) ‘United’ States of America’ effort to handicap the PRC?.

Less. Than. Nothing.

Less than nothing? Yes. Less than nothing. Their every effort has worked to bouy-up China’s good global reputation — and to both justify and grow its defensive resolve.

The American consensus lives in gob-smacking denial re: how near to universal … let’s call it the ‘global antipathy’ towards America is — despite the evidence — and despite how indisputably earned thus reasonable that global contempt is. Perhaps a picture might help. (A long shot … but hey . . .)

Here, to use the American vernacular, is the map of “The New World Order” as of 1/1/2023:

main qimg 31568b8afffb33e0f11cd9ace58d972b pjlq
main qimg 31568b8afffb33e0f11cd9ace58d972b pjlq

Green hue nations are committed members of at the least one China-fostered strategic community. The deeper the green the more such cooperative arrangements.

Blue hue represents nations drinking out of the American kool-aid well. They comprise America, the UK, Australia, Japan, Canada — and (sort of) Mexico. Think ‘5 Eyes’ and ‘AUKUS’ plus Japan.

‘Europe’ is purple-hued. Well, sort of. Here’s the close-up of Europe as of 1/1/2023:

main qimg dd114939d4e9eb873b763fbd842a6c8a pjlq
main qimg dd114939d4e9eb873b763fbd842a6c8a pjlq

All of those purple w/green stripes nations are ‘committed’ to BOTH the EU AND Belts & Roads Initiative. Europe’s in a complex and increasingly fragmenting situation.

Here’s another world map to obviate how far the global majority have come in feeling empowered to shrug an “and these are the f___s we have to give you” in America’s bossy face.

main qimg 2fb8165bd9ab04843d5d371971f86970 pjlq
main qimg 2fb8165bd9ab04843d5d371971f86970 pjlq

The blue hue represents the nations who are now investors in the Belts & Roads Initiative’s primary funding agency — the AIIB (Asian Infrastructure Investment Bank). Notice how America has only managed to “ahem” Japan to shunning the AIIB. Every nation with the capital to invest is doing so. Already this year Africa’s Mauritania has begun the paperwork in a trend that’s seeing borrowers empowered to become investors.

So. Why is America — the planet’s only ‘power projecting’ superpower — a status that it’s held for well over a generation — 30+ years — why’s it been increasingly powerless to sabotage China’s recovery and success? Why has America failed to isolate China? Why have America’s efforts to undermine China proved so . . . impotent?

Here it comes . . . another map. This one is of an organization improbable in its members’ ethnic, spiritual, cultural and political diversity. And why that community has thrived for 60 years. Here’s the map:

main qimg 486d95999ffb591c9d8206f055c8e52a pjlq
main qimg 486d95999ffb591c9d8206f055c8e52a pjlq

The Non-Aligned Movement was born and grew to include 120 of the world’s 195 nations. It did so because those 120 nations (plus observers) had no desire to ‘fall in line’ behind any power.

At the outset that meant America or Russia. By 1990 it was clear the only superpower they need fear was America. By 1992 there was zero room to doubt that America was the only hegemonic superpower threat. Russia having unilaterally, voluntarily, relinquished all its authority over the Warsaw Pact — followed by all the non-Russian republics of the USSR. Russia then having made nothing other than verbal responses to American (‘NATO’) exploitation of Russia’s de-militarization of the entire central ⅓ of the European continent for a full generation — 25 years.

No sooner had Russia retired from seeking to be a global hegemonic rival to America than the US began manufacturing ‘legitimacy’ to bombard to smithereens then invade — “Shock & Awe” they called it — assorted nations. The message made clear “If we can starve then smite into rubble Iraq imagine what we can do to you”.

Without Russia as a constraining counterbalance America’s 11 Carrier Battle Groups were free to ‘Commodore Peary’ swagger into any port they felt the urge to. Thru that full generation America doubled-down on its neo-colonialist ‘crusade’. (Hell, Bush Jr. called it a crusade. A blitzkrieg undertaken on what we’re clearly false pretenses . . . a ‘crusade’. Not even a mumbled “oops, our bad”. Americans in general revealed themselves such a**-holes they didn’t rush out and buy all The Dixie Chicks albums in contrition and compensation.

The world watched and weighed and judged — and found Americans wanting. Found America wanting. 1850 ‘gunboat diplomacy’ steroidally bloated to battle group diplomacy.

Decades of unrepentant self-righteous gunboat bullying shrugged off by Americans as perfectly okay left zero doubt America was the greatest threat to their sovereignty and success. China? Zero global ‘projection of power’.

Instead of the 11 super-carrier battle armadas gunnels-full with freedom-killing F-22s, F-35s, and missiles? China’s ‘blue-water fleet’ boasts equally massive bulk carriers heaped full of a cargo of capital and container ships filled and mounded high with 20,000 each of rail-cars of affordable life-enhancing consumer goods.

So. While China has treated its global villager neighbours with respect? America’s done little other than condescend — and coerce.

America simply by being its same old self doing the same old disrespectful things has stood in a stark contrast to China — making the choice of so easy.

Sorry, but I would do that for my cat too.

52 63be700dd204e 700
52 63be700dd204e 700

Odd Thrift Store finds…

thrift shop 40
thrift shop 40

thrift shop 39
thrift shop 39

thrift shop 21
thrift shop 21

thrift shop 13
thrift shop 13

thrift shop 15
thrift shop 15

thrift shop 17
thrift shop 17

This Artist Created Cartoons That Are Too Relevant To Anyone Who Likes Alcohol, Sex And Being An Idiot

0 70
0 70

Iamnotanartist_ is an illustrator who creates comics about the stupid things that happen to him. The (not an) artist says the inspiration comes from their nights out with their mates: “Fortunately for me, they are degenerates and are really easy to write about.” From drunk abilities to life’s great mysteries, everyone who likes to have a pint (or five) once in a while will relate.

iamnotanartist 40927885 1136697626506569 5298880128059646385 n
iamnotanartist 40927885 1136697626506569 5298880128059646385 n

iamnotanartist 40814519 243765549816179 5335064512756486567 n
iamnotanartist 40814519 243765549816179 5335064512756486567 n

iamnotanartist 40546037 1885606985081887 6480780775661674387 n
iamnotanartist 40546037 1885606985081887 6480780775661674387 n

iamnotanartist 40540002 262677591039483 3893305216585044513 n
iamnotanartist 40540002 262677591039483 3893305216585044513 n

iamnotanartist 40457467 275146636653141 5201490607054258176 n
iamnotanartist 40457467 275146636653141 5201490607054258176 n

iamnotanartist 40446062 271430813690083 1118896335601270784 n
iamnotanartist 40446062 271430813690083 1118896335601270784 n

iamnotanartist 40399771 2225043887775390 3392358468779647760 n
iamnotanartist 40399771 2225043887775390 3392358468779647760 n

iamnotanartist 40360548 273784509899393 8593122570676913661 n
iamnotanartist 40360548 273784509899393 8593122570676913661 n

iamnotanartist 39962044 269776323859593 7404520981735039951 n
iamnotanartist 39962044 269776323859593 7404520981735039951 n

iamnotanartist 39887427 2139196293006898 3844008451613130752 n
iamnotanartist 39887427 2139196293006898 3844008451613130752 n

iamnotanartist 39494052 1784883904958544 4534013957240782848 n
iamnotanartist 39494052 1784883904958544 4534013957240782848 n

iamnotanartist 39486298 1142795369205843 6538226300929179648 n
iamnotanartist 39486298 1142795369205843 6538226300929179648 n

iamnotanartist 39320743 283319429115908 8088449284191551488 n
iamnotanartist 39320743 283319429115908 8088449284191551488 n

iamnotanartist 38890848 224017398461788 373881988358078464 n
iamnotanartist 38890848 224017398461788 373881988358078464 n

iamnotanartist 38626410 277656933023697 8965164096282427392 n
iamnotanartist 38626410 277656933023697 8965164096282427392 n

iamnotanartist 38146149 214939779170882 8951065071564357632 n
iamnotanartist 38146149 214939779170882 8951065071564357632 n

iamnotanartist 38081549 719261928422343 8151483401048686592 n
iamnotanartist 38081549 719261928422343 8151483401048686592 n

iamnotanartist 38081508 526453437808222 5511592112023404544 n
iamnotanartist 38081508 526453437808222 5511592112023404544 n

iamnotanartist 38042603 2113208398712707 3534968898782756864 n
iamnotanartist 38042603 2113208398712707 3534968898782756864 n

iamnotanartist 37850217 498565887260501 6863040121881493504 n
iamnotanartist 37850217 498565887260501 6863040121881493504 n

iamnotanartist 37419062 1612654372177163 1362264528510779392 n
iamnotanartist 37419062 1612654372177163 1362264528510779392 n

iamnotanartist 37384180 2099260746991869 4049530109969825792 n
iamnotanartist 37384180 2099260746991869 4049530109969825792 n

iamnotanartist 37328431 309914463082460 7878673606757056512 n
iamnotanartist 37328431 309914463082460 7878673606757056512 n

iamnotanartist 37169525 1135445826593490 855931645734158336 n
iamnotanartist 37169525 1135445826593490 855931645734158336 n

iamnotanartist 37089755 229593867864944 8364676317672636416 n
iamnotanartist 37089755 229593867864944 8364676317672636416 n

iamnotanartist 36883655 286355258777768 8288906192558751744 n
iamnotanartist 36883655 286355258777768 8288906192558751744 n

iamnotanartist 36644725 277651522987712 2146459259645198336 n
iamnotanartist 36644725 277651522987712 2146459259645198336 n

iamnotanartist 36601424 424952584655367 3300574846764711936 n
iamnotanartist 36601424 424952584655367 3300574846764711936 n

iamnotanartist 36577618 240331050028929 7965462741051768832 n
iamnotanartist 36577618 240331050028929 7965462741051768832 n

iamnotanartist 36536768 413726649112485 4777853840638607360 n
iamnotanartist 36536768 413726649112485 4777853840638607360 n

iamnotanartist 36086022 409707929528248 4520461196528189440 n
iamnotanartist 36086022 409707929528248 4520461196528189440 n

iamnotanartist 36049089 170528140479544 8485156064495403008 n
iamnotanartist 36049089 170528140479544 8485156064495403008 n

iamnotanartist 35575803 669410560066255 7924925035825856512 n
iamnotanartist 35575803 669410560066255 7924925035825856512 n

iamnotanartist 35574991 190616991652612 8749770906567442432 n
iamnotanartist 35574991 190616991652612 8749770906567442432 n

iamnotanartist 35540256 2169558549727346 4443317837225787392 n
iamnotanartist 35540256 2169558549727346 4443317837225787392 n

iamnotanartist 35498994 825254677663208 5877998129982210048 n
iamnotanartist 35498994 825254677663208 5877998129982210048 n

iamnotanartist 35488710 238471160083951 339356726245457920 n
iamnotanartist 35488710 238471160083951 339356726245457920 n

iamnotanartist 35357393 200684357253580 6660103342347255808 n
iamnotanartist 35357393 200684357253580 6660103342347255808 n

iamnotanartist 35271972 212325706254780 7251791003550482432 n
iamnotanartist 35271972 212325706254780 7251791003550482432 n

iamnotanartist 35001156 2096973900585791 6510347054030520320 n
iamnotanartist 35001156 2096973900585791 6510347054030520320 n

iamnotanartist 34921370 398328910657641 3048238809385795584 n
iamnotanartist 34921370 398328910657641 3048238809385795584 n

iamnotanartist 34329698 2063078340680000 3667580154395230208 n
iamnotanartist 34329698 2063078340680000 3667580154395230208 n

iamnotanartist 34051242 1274355666000139 2551285095801552896 n
iamnotanartist 34051242 1274355666000139 2551285095801552896 n

iamnotanartist 33908605 245518776030808 3435530514570674176 n
iamnotanartist 33908605 245518776030808 3435530514570674176 n

iamnotanartist 33630344 591880911190416 5686848669148512256 n
iamnotanartist 33630344 591880911190416 5686848669148512256 n

iamnotanartist 33226248 2101605286783852 144568002182905856 n
iamnotanartist 33226248 2101605286783852 144568002182905856 n

iamnotanartist 33135273 1831979793765404 531357020100493312 n
iamnotanartist 33135273 1831979793765404 531357020100493312 n

iamnotanartist 33037330 590840781315435 7839489434704674816 n
iamnotanartist 33037330 590840781315435 7839489434704674816 n

Chicken Soup Mexicana

All of your favorite Mexican flavors mix together in this soup while the canola oil and avocado supply healthy fats. ¡Muy delicioso!

2023 01 22 16 18
2023 01 22 16 18

Ingredients

  • 1 tablespoon canola oil 15 mL
  • 2 cloves garlic, minced
  • 1 medium onion, sliced (about 1 cup/250 mL)
  • 4 celery stalks, sliced (about 1 cup/250 mL)
  • 1/8 teaspoon ground black pepper 0.5 mL
  • 2 teaspoons cumin 10 mL
  • 1 teaspoon red pepper flakes 5 mL
  • 8 cups low-sodium chicken stock 2 L
  • 2/3 cup lentils 150 mL
  • 1 (19 ounce) can tomatoes, chopped 540 mL
  • 3 medium carrots, thinly sliced (about 1 cup/ 250 mL)
  • 2 pounds cooked chicken, cubed 1 kg (about 7 cups/1.75 L)
  • 1 small zucchini, thinly sliced (about 1/3 cup/75 mL)
  • 1 cup frozen peas 250 mL
  • 1 small avocado, peeled and sliced (about 1/2 cup/125 mL)

Instructions

  1. In Dutch oven, heat canola oil. Add garlic, onion and celery. Sauté until vegetables are tender.
  2. Season with black pepper, cumin and red pepper flakes.
  3. Add chicken stock, lentils, tomatoes and carrots. Simmer, covered, for 30 minutes, or until carrots are tender.
  4. Add chicken, zucchini and peas. Cover and simmer for 10 to 15 minutes longer, or until vegetables are tender.
  5. Garnish with avocado slices.

Prep: 10 min | Cook: 50 min | Yield: 10 (2 cup) servings

Nutrition per serving: Calories 270 Total Fat 6 g Saturated Fat 1 g Cholesterol 75 mg Carbohydrates 17 g Fiber 6 g Sugars 5 g Protein 35 g Sodium 170 mg Potassium 571 mg

Vietnam’s ‘mini-China’ days may be numbered

President Phuc’s likely forced resignation could signal a less reform-friendly direction in one of Asia’s hottest economies
.

With so many headwinds zooming Vietnam’s way, now seems an incredibly inopportune moment for Hanoi to carry out a major political shakeup.

That’s precisely the dilemma global investors faced this week as pro-market President Nguyen Xuan Phuc suddenly resigned.

2023 01 22 16 16
2023 01 22 16 16

Government officials claim that the unparalleled purge led by Communist Party chief Nguyen Phu Trong was all part of an anti-corruption effort in the halls of Vietnamese power.

Investors, though, can’t help but wonder if Phuc’s departure is more of an internecine Trong power grab that will delay urgently-needed economic reforms in one of Asia’s hottest economies.

Phuc has been president since April 2021 and was prime minister from 2016 to 2021. Generally speaking, he significantly strengthened Hanoi’s ties with the US and European Union.

The EU-Vietnam Free Trade Agreement signed in 2019 was emblematic of Phuc’s determination to internationalize the economy and an example of why he garnered considerable gravitas in global market circles.

It’s worth noting, too, that Phuc’s ouster follows recent news that numerous technocratic and Western-leaning officials have been shown the door as Trong centralizes power.

As such, notes Zachery Abuza, professor at the National War College in Washington, Phuc’s comeuppance is “good for China and Russia” and a sign that “Trong has effectively won the war against technocrats.”

From HERE

Planning the move…

2023 01 22 16 22
2023 01 22 16 22

If China and the US are persons. Here is the conversation.

USA: I hate you, commie bastard.

China: I don’t like you, too. Imperialist scum.

USA: You treat millions of your Uyghur people brutally, setting up Firewalls to contain your people from accessing cyberspace outside your turf, and you are stripping off the autonomy of Hong Kong, you don’t allow anyone to criticize your governance and make fun of “The Party”, and criminalize religious people and dissidents. You’re such a totalitarian dystopia, your people would be happy if you adpot democracy.

China: You are lynching a lot of African-American people, many streets in your cities are infested with homeless and gangsters, your cops are enforcing law by violence, let your people own guns to kill each other, you’re deporting a lot of Latino parents from their children and drugged them, bombing other countries that made a lot of refugees and terrorists in the world, and making my people turn against me by indoctrinating them by your so-called “freedom” and “human rights”. Your media is making a lot of lies and baseless accusations about me, and keep sticking your nose into other people’s businesses. You can only make your living from wars and conflicts. You are the source of chaos in this world.

USA: South China Sea is not your belonging, it belongs to everyone, douchebag.

China: South China Sea is not your pond in your backyard, get the hell out of there, jerk.

USA: I won’t afraid of you cuz your navy still sucks though you can build carriers.

China: Yeah, come to take it if you dare. My DF-21D supersonic anti-ship missiles would send billions worth of your carrier battle groups into Davy Jone’s Locker.

USA: You are enslaving a lot of 3rd countries by kicking them into your debt trap, a$$hole.

China: Oh, better than what you did to the Native Americans a century ago, you murderous dickhead.

USA: You plagiarized and stole all my hi-tech stuff! Unless you pay me the copyright licensing fee then I will let you make them!

China: Those stuffs were figured out all by myself! I don’t need to steal them from you! And why must I pay you for those?

USA: I’m selling weapons to Taiwan! Protect their democratic government!

China: And I’m buying those stuff from Russia!

USA: I’m putting more sanction and tariffs to you!

China: Bring it on! I still got a lot of friends would like to do businesses with me!

USA: You’re an eyesore, China! I’m just want to keep this world in order, but you are always standing in my way!

China: You’re a prick in my heart, America! I am just want to make this world a better place but you are always messing things up!

USA: I wanna destroy you but I can’t.

China: Yup, we are going down each other if we really flipped out.

USA: I will think the other ways to stop you from rising above me, just you wait. I will show you who’s the boss!

China: Come on, you know I’m not interested to preveil you as the “Big Brother” of the globe, there’s no need to be confrontational, we can sort this out together. However, if you are still being so worked up against me, then so be it. I got thousands of “ancient Chinese secret” techniques to put you on knees and don’t complain that I didn’t warn you.

“The Way We Fall”: Eerie Illustrations Of An Apocalyptical World By Yuri Shwedoff

1 41
1 41

Whether we imagine the world as a futuristic dystopia or a charred wasteland, post-apocalyptic images weigh heavily on our cultural imaginations. In a stunning series of illustrations, Russian artist Yuri Shwedoff has created an intensely atmospheric vision of the “end of days,” one that blends fantasy imagery with science fiction. Among his scenes are sword-wielding warriors, blasted roads, alien architecture, and falling skies; as vestiges of the lost world, animals seem to take on a symbolic significance, communing with the human figures in moments of intensity and reflection. Pulled between oscillating states of violent destruction and quiet despair, Shwedoff’s images are bound together by a powerful atmosphere that emanates from the brooding, ash-filled skies.

2 40
2 40

While many of Shwedoff’s artworks feature otherworldly phenomena — such as the telekinetic gladiator — what makes them most evocative are their ties to the world we know. The space shuttle, for example, sits dormant on its launch pad, embedded in dust and waste. Perhaps it was prepared to escape the world; now, it becomes aged scenery for the lone horseman who regards it on his journey. Similarly, the alien pods in “Cradle” suggest a landing with no escape plan; now, the structures are merely shelters for those who survive. Instilled with imagination and emotion, Shwedoff confronts us with powerful images of a lost humanity that has surpassed its technological limits and reached an inevitable end.

3 38
3 38

You can view more of Shwedoff’s work on Behance, Facebook, and Instagram. He also has a page on Patreon where you can make pledges in exchange for artwork, undersketches, and process videos.

4 37 1
4 37 1

42 3 1
42 3 1

41 3 1
41 3 1

40 3 1
40 3 1

39 3 1
39 3 1

38 3 1
38 3 1

37 3 1
37 3 1

36 4 1
36 4 1

35 5
35 5

34 6
34 6

33 6
33 6

32 6
32 6

31 6
31 6

29 8 1
29 8 1

27 9
27 9

26 9
26 9

25 9 1
25 9 1

24 9 2
24 9 2

23 11
23 11

22 13 2
22 13 2

21 15
21 15

20 16
20 16

19 17 2
19 17 2

18 18 1
18 18 1

17 18
17 18

16 19
16 19

15 18 1
15 18 1

14 20 1
14 20 1

13 21 1
13 21 1

12 21 1
12 21 1

11 23 1
11 23 1

10 25 1
10 25 1

9 26 1
9 26 1

8 28 1
8 28 1

7 31
7 31

6 35
6 35

Ugly truths about the United States

0f 63be92d286d59 700
0f 63be92d286d59 700

0 63bed1d73ded6 700
0 63bed1d73ded6 700

58 63be7019f32a5 700
58 63be7019f32a5 700

0a 63be7b205bdcf 700
0a 63be7b205bdcf 700

63bfbedb45a48 funny very american posts
63bfbedb45a48 funny very american posts

86 63be705f278c8 700
86 63be705f278c8 700

1567996780331032576 png 700
1567996780331032576 png 700

14 63be694d58fef 700
14 63be694d58fef 700

63beba2a30d83 funny very american posts
63beba2a30d83 funny very american posts

119 63be70adca6e5 700
119 63be70adca6e5 700

59 63be701c75105 700
59 63be701c75105 700

0b 63be7c88ca83a 700
0b 63be7c88ca83a 700

41 63be698517e29 700
41 63be698517e29 700

89 63be706743515 700
89 63be706743515 700

132 63be70c8cc0ae 700
132 63be70c8cc0ae 700

108 63be7094de7e7 700
108 63be7094de7e7 700

18 63be69565c35b 700
18 63be69565c35b 700

62 63be7022631ec 700
62 63be7022631ec 700

60 63be701e887f8 700
60 63be701e887f8 700

48 63be7003ac50e 700
48 63be7003ac50e 700

17 63be69543c133 700
17 63be69543c133 700

49 63be7005c807c 700
49 63be7005c807c 700

122 63be70b435aef 700
122 63be70b435aef 700

106 63be708f6aad3 700
106 63be708f6aad3 700

0h 63beb178a2897 700
0h 63beb178a2897 700

140 63be70db8265f 700
140 63be70db8265f 700

6 63be9c9cc8be2 png 700
6 63be9c9cc8be2 png 700

2 63be648968a2e 700
2 63be648968a2e 700

0d 63be8f6d460d5 700
0d 63be8f6d460d5 700

0e 63be907a80ebd 700
0e 63be907a80ebd 700

103 63be708835c50 700
103 63be708835c50 700

33 63be6974b627b 700
33 63be6974b627b 700

https://youtu.be/TlUCkxnYWVE

Chicken Pot Pie Soup

All the ingredients for chicken pot pie – the chunky chicken and vegetables and the savory broth – are in this soup. A crisp crouton stands in for the pie crust.

2023 01 22 16 19
2023 01 22 16 19

Ingredients

  • 1 1/2 teaspoons McCormick® Rosemary Leaves, crushed
  • 1 1/2 teaspoons McCormick® Thyme Leaves
  • 1 teaspoon McCormick® Garlic Powder
  • 4 teaspoons butter, divided
  • 1 (8 ounce) package mushrooms, sliced
  • 1 cup sliced carrots
  • 1/2 cup all-purpose flour
  • 4 cups reduced sodium chicken broth
  • 1 1/2 cups frozen pearl onions
  • 1 pound boneless skinless chicken thighs, cut into 1-inch pieces
  • 1 cup frozen peas
  • 8 thin bread slices
  • 1 teaspoon oil

Instructions

  1. Mix rosemary, thyme and garlic powder in small bowl. Reserve 1/2 teaspoon.
  2. Heat 1 teaspoon of the butter in large saucepan on medium heat. Add mushrooms, carrots and remaining seasoning mixture; cook and stir for 3 minutes. Remove from saucepan. Set aside.
  3. Melt remaining 3 teaspoons butter in saucepan on medium heat, stirring to release browned bits from bottom of skillet. Sprinkle with flour; cook and stir for 3 to 4 minutes or until flour is lightly browned.
  4. Gradually stir in broth until well blended. Bring to boil. Reduce heat to low; simmer for 10 minutes or until slightly thickened, stirring occasionally.
  5. Add vegetable mixture, chicken, pearl onions and peas; simmer for 8 minutes or until chicken is cooked through, stirring occasionally.
  6. Meanwhile, preheat oven to 350 degrees F.
  7. Cut bread into rounds with 3 inch cookie cutter. Place on baking sheet. Brush bread with oil and sprinkle with reserved seasoning mixture. Bake for 10 minutes or until toasted.
  8. To serve soup, ladle into soup bowls and top each with 1 crouton.

Yield: 8 (1-cup) servings | Prep: 15 min | Cook: 25 min

I Will Never Forget The Look On Her Face

I remember my mother cooking for us kids back in the 1960s. She had this thing (as all our moms did) with BIG hair. She would wear these curlers in her hair all day, and they were enormous round things too. And when she would cook, she would have this long ashy cigarette in her mouth, or held in her long fingernailed hand while she would cook on our olive green stove.

We had a yellow (Ma Bell) telephone on the wall. It had a rotary dial, and a long cord so that you could talk in the other room if you wanted some privacy.

We had numerous ashtrays in the house. One had a beanbag on the bottom, so that it can be used on the sofa.

My mother would light her cigarette on the electric stove, by using the burner. And when she was finished she would toss it in the garbage disposal unit in the sink.

Our kitchen had olive appliances; olive refrigerator, stove, and this enormous Sears microwave that sat up on the red countertop of our yellow metal cabinets. We had the ceramic his and her salt and pepper shakers that looked like a boy and girl kissing, a macramé plant holder near the window,  an old picture of a sad clown on the wall, and (near the TV room) two paintings of big-eyed beatnik boy and a girl. The boy had a guitar, and the girl had a tambourine in her hands.

I guess you never see that these days, a mother cooking with a cigarette in one hand and frying bacon in the other. But I’ll never forget the scene.

China’s CPC has an instruction manual to prevent USA organized color revolutions:

China has put it’s foot down and said NO NO NO MORE. And it will no longer allow, permit or tolerate efforts at “color revolutions” instead of war in it, or it’s aligned nations.

From HERE

Here’s the translated article…


Persevere in Placing Political Security in the Predominant Position

坚持把政治安全放在首要位置

Introduction

For China’s first generation of communist leaders, revolution meant baptism by smoke and gunpowder. Their path to political power snaked through battlefields and prison cells littered with the bodies of dead comrades. Only narrowly did their party escape total annihilation.

Decades spent shadowed by death instilled a keen awareness of peril in the psyche of the cadres who survived. Neither political power nor battlefield victory ever soothed away this sense of threat.

However, the Party’s successful seizure of power did change which danger its leaders perceived as most threatening.

Mao Zedong would label this peril the threat of “peaceful evolution.”1 Though warnings of peaceful evolution are still issued, contemporary party documents, such as the translated material presented below, more often frame the danger in terms of “political security” [政治安全].2

Both phrases articulate a fear that hostile foreign powers seek to leverage dissent in China to subvert or overthrow communist rule of China.

Translated below is an authoritative discussion of this threat as party leaders perceive it.

It was originally published as the sixth chapter of The Total National Security Paradigm: A Study Outline 《总体国家安全观学习纲要》a 150 page textbook created jointly by the Office of the Central National Security Commission and the Central Propaganda Department of the Communist Party of China.

The Study Outline was released on April 14th, 2022 and subsequently distributed to party committees at all administrative levels as an “an important and authoritative auxiliary text for the broad mass of cadres” to include in their group study sessions.3

Published shortly after the creation of the PRC’s National Security Strategy [国家安全战略] and concurrently with a bureaucratic expansion of the state security complex to the local administrative level,4 the book is designed to provide an accessible and unclassified overview of the security doctrine millions of cadres are now expected to implement.

At the center of these ideas is the Total National Security Paradigm, a set of concepts that party sources describe as Xi Jinping’s signature contribution to Chinese security theory.

The textbook’s publication was carefully timed to coincide with the eighth anniversary of the meeting where Xi first introduced this paradigm.

In that meeting Xi instructed party cadres to “pay attention to both traditional and non-traditional security, and build a national security system that integrates such elements as political, military, economic, cultural, social, science and technology, information, ecological, resource, and nuclear security.”5

Threats to “traditional security” include those that can be handled through normal military means; “non-traditional security threats” comprise the rest of Xi’s long list—a list that has only gotten longer in the years since, as terms like “food security” and “biosecurity” have been added to the catalog.

Yet not all non-traditional fields of security are created equal. In that same 2014 speech Xi informed the Party that “political security is our fundamental task.”6

This judgment is echoed in the structure of the Study Outline, where it is the only field of security—including the traditional military sort—to be given its own chapter length discussion.7

The Study Outline makes clear why political security deserves such high priority. “Political security,” it instructs, “means safeguarding the ruling position and leadership status of the Chinese Communist Party and safeguarding the institution of Socialism with Chinese Characteristics.”

The manual describes Socialism with Chinese Characteristics as “a rigorous, comprehensive, and scientific system of institutions” whose institutional integrity guarantees China’s return to national greatness. “If institutions are stable, so is the state.”

On the other hand, if “political security cannot be guaranteed, the state will inevitably disintegrate like a sheet of loose sand.”

The Study Outline warns that this “is a real and present danger.” China is engaged in an “institutional competition,” the “most fundamental type of competition between states.”

Arrayed against the Chinese system are powerful “hostile forces” who “persistently seek to ferment a ‘color revolution’ within our state, vainly attempting to subvert the leadership of the Chinese Communist Party and the socialist institutions of our state.”

Party members should not be fooled by periods of tranquility or moments of détente: these hostile forces “have never abandoned their subversive intent to Westernize and divide our state.

They do not rest, not even for a moment.” Nor is compromise or concession a workable solution. “In the realm of ideological conflict,” the Study Outline instructs, “we have no way to compromise and no place to retreat to. We must obtain total victory.”

The Study Outline views ideology as the primary battlefield of institutional competition: Those who “sow chaos and subvert sovereign power often begin by piercing a hole in the realm of ideology and sowing chaos in the thoughts of the people.” The ideological realm must be defended, for “once the defensive line in thought has been breached it is difficult for other defensive lines to hold.”

The Study Outline directs cadres to pay special attention to three domains where the defensive lines must hold: on the internet, in the schools, and among China’s religious and ethnic minorities.

In all three domains the Study Outline describes events that Western observers tend to depict as spontaneous reactions to government policy as incidents carefully orchestrated by party enemies.

When viral outrage leads to mass protests, cadres can be assured that such events are “intentionally chosen, follow a plan, and are organized and contrived ahead of time” by hostile forces.

If university students have learned to “bite the hand that feeds them and kick the wok that fills them” it is because the hearts of “our youth are the territory that hostile forces spend the most effort fighting for.” If the “ethnic consciousness” of minority groups is not “subordinate to and serving the common Chinese national identity” this is because “hostile forces at home and abroad use ethnic problems to carry out separatism, infiltration, and sabotage activities.”

Though “disintegration of sovereign power” may “begin in the realm of thought,” the enemies and weapons faced in that realm are just as dangerous as those faced in the more tangible world of blood and bullets.

The Study Outline’s assertion that “the disintegration of sovereign power often begins in the realm of thought” presents a sharp contrast with Mao’s famous argument that “sovereign power grows out of the barrel of a gun.”

Underlining Xi Jinping’s Total Security Paradigm is the recognition that not all problems can be solved by gun barrel. But that recognition is not new. Mao himself reached the same realization when he credited the de-Stalinization of Europe to ideological subterfuge, fearing that a similar combination of internal sabotage and external pressure might derail China’s revolution. Deng Xiaoping reached a similar conclusion following the fall of the Berlin Wall and the protests at Tiananmen Square. The United States and its allies “engage in peaceful evolution,” Deng declared. Their strategy is to “wage a world war without smoke or gunpowder.”8  

The dangers Mao and Deng feared in their twilight years dominated Xi formative ones. Xi Jinping does not believe the threat has abated: the close attention he pays to the Party’s political security has been a defining thread of his rule. Manuals like this Study Outline signal his determination to overcome the threat of peaceful evolution.

They are a survival guide to wars waged without smoke or gunpowder.

THE EDITORS

‍ 1. The label was inspired by U.S. Secretary of State John Foster Dulles’s 1958 judgment that “internal pressures are bound to alter the character of the communist regimes,” thus American foreign policy should seek to “accelerate [this] evolution within the Sino-Soviet bloc” through peaceful means: John Foster Dulles, Policy for the Far East (United States: Department of State, 1958), 10-11.

Bo Yibo provides an insider account of Mao’s reaction to Dulles’ speech and his subsequent understanding of the ‘peaceful evolution’ threat; it is translated into English in Qiang Zhai, “Mao Zedong and Dulles’s ‘Peaceful Evolution’ Strategy: Revelations from Bo Yibo’s Memories,” Cold War International History Project Bulletin (Winter 1995/96), issue 6/7, pp. 228-232.

2. The evolution of these concerns between the Mao and Xi eras is traced by Matthew Johnson in “Safeguarding Socialism: The Origins, Evolution and Expansion of China’s Total Security Paradigm,” Sinopsis (Prague: AcaMedia z.ú., June 2020) and  “Securitizing Culture in Post-Deng China: An Evolving National Strategic Paradigm, 1994–2014.’ Propaganda in the World and Local Conflicts, 4, no. 1. See also Russel Ong, ‘Peaceful Evolution’, ‘Regime Change’ and China's Political Security, Journal of Contemporary China (2007), vol 16, issue 53, 717-727.
‍

3. Taken from “Zongti Guojia Anquan Xuexi Gongyao: chuban faxin 《总体国家安全观学习纲要》出版发行” [The Total National Security Paradigm: A Study Outline is Published]Renmin Wang 人民网 [People’s Daily Online], 16 April 2022. In Chinese the passage reads 广大干部群众学习贯彻总体国家安全观的重要权威辅助读物。

4. For a concise overview of these developments, see Jude Blanchette, “The Edge of an Abyss: Xi Jinping’s Overall National Security Outlook,” China Leadership Monitor, 1 September 2022.

 For a broader discussion of the Total National Security Paradigm, also see Sheena Chesnut Greitens, "Internal Security & Chinese Strategy," hearing on "The United States' Strategic Competition with China" § Senate Armed Services Committee (2022); Joel Wuthnow, "Transforming China’s National Security Architecture in the Xi Era” hearing on CCP Decision-Making and the 20th Party Congress” § U.S.-China Economic and Security Review Commission Hearing (2022); Samantha Hoffman, “Programming China: the Communist Party’s autonomic approach to managing state security,” PhD diss, University of Nottingham (2017).

5. Xi Jinping, The Governance of China, vol 1 (Beijing: Foreign Language Press, 2014), 221-222.

6. Ibid., 222
‍
7. Three prominent scholars associated with one of the leading state-controlled research centers on the Total National Security Paradigm note that the first chapters of the manual present broad, general principles that apply to all fields of security; the later chapters deal with specific applications, with the chapter on political security intentionally placed at the head of this second section. They further note that this organization of the material is an intentional echo of Xi Jinping’s own presentation of the subcomponents of the Concept as he presented them in a December 2021 speech. An English translation of this speech can be read in Xi Jinping, Governance Of China, vol 4 (Beijing: Foreign Language Press, 2022), 453-456 

For the observations on the manual see 陈向阳, 董春岭, 韩立群 [Chen Xiangyang, Deng Chunling, and Han Liqun], “Shenru Xuexi Xuanzhuan Guanche Zongti Guojia Anquan Xuexi Gangyao 深入学习宣传贯彻《总体国家安全观学习纲要》[Deeply Study, Publicize, and Implement Total National Security Concept: A Study Outline]”, Qiushi 求是 [Seeking Truth], 22 August 2022. Available here

8.邓小平 [Deng Xiaoping], Deng Xiaoping Wenxuan《邓小平文选》 [Selected Works of Deng Xiaoping], vol 3 (Beijing: People’s Press, 1993), 325.

There’s something funny I want everybody together discuss.
——————-

From HERE

I am fond of comparing China’s reform now with Shang Yang’s reform more than 2,000 years ago that enabled the State of Qin to conquer all other states and unify China.

China’s reform now is similar to Shang Yang’s in giving play to people’s talents and diligence. Then there is the question: Will the reform make China so strong as to conquer the world?

Germany tried to conquer the world when it became the strongest but suffered disastrous defeat. Will China be so stupid?

Chinese scholars believe that of all the ancient civilizations, Chinese civilization is the only one that has survived for more than 4,000 years because China has never tried to conquer the world or been fond of war.

However, a despot did emerge in China who wanted to conquer the world with his ideology called Mao Zedong Thought. Fortunately, China is poor and backward at that time. Otherwise, the human race will suffer more serious disasters than World War II as Mao was even willing to fight a nuclear war for his ideal communism.

Can we be sure that no despot like Mao will emerge when China is strong enough to conquer the world?

The US has tried hard to contain China, but can it stop China’s tremendous economic growth? No. what it has been doing and wants to do only facilitated China’s economic growth, for example, removal of Chinese state-owned sector’s monopoly and privilege.

What about containing China militarily? Stopping Israel export of early warning aircrafts, Canada’s export of 1,300 kW engines to deprive China’s WZ-10 armed helicopter of powerful engine and removal of the inner partitions of the hull of aircraft carrier Ukraine sold to China.

Did all those containing efforts work?

No. China has developed on its own early warning and control aircrafts with better functions than the most advanced American one and 1,500kW engine for WZ-10 and designed and installed partitions in the hull on its own to turn the hull into an operating aircraft carrier.

Instead of making great efforts to develop new weapons to maintain military superiority, the US uses the excuse of China stealing its technology to catch up with it.

Now, China has surpassed the US in anti-satellite (ASAT), anti-ASAT, hypersonic glide vehicle, drone, amphibious landing craft, etc. and is catching up and will soon surpass the US in midcourse ICBM interception, aerospace plane, conventional and nuclear submarines, stealth fighter jets, destroyers, frigates, ballistic and cruise missiles, etc. US will soon have nothing worth stealing by China. What excuse will the US have for lagging behind then?

It is a pity that American people are not much interested in history; therefore, they cannot learn the historical lessons.

Before World War II, Germany exploited its people’s patriotism and mindset for retaliation of its defeat in World War I to give play to its scientists and engineers’ talents. As a result, when World War II broke out, it had much better weapons than other countries. Even at the end of the war, its new tanks remained the best in the world.

The situation is being repeated now. China is using Chinese dream and the history of China’s past misery of being bullied by world powers to rouse people’s patriotism and give play to its scientists and engineers’ talents and diligence in developing advanced weapons. That is why China can catch up with and surpass the US so quickly.

I have described that in my post “Why Can China Surpass the US in Weapon Developments?” on July 26. Recently, Chinese media has published National Defense University’s report on how private enterprises are encouraged to make contribution to China’s weapon development. I give my summary of the report below:

National Defense University issued on July 25 a report titled “Report on China’s Joint Military and Civil Development”. The report says that over the past few years China has gradually formulated and perfected a full set of laws, rules and regulations related to private enterprises’ participation in development of national defense. Private enterprises are conscientiously undertaking the tasks of research, development and production of weapons and equipment for Chinese military. They have taken part in such major projects as Shenzhou X’s travel to space, Chang’e Lunar program and the commissioning of the Liaoning aircraft carrier.

According to the report, encouraging and supporting private enterprises taking part in development of national defense is an important part of the work to promote joint military and civil development. At present, a three-in-one framework for such development has initially taken shape. It consists of the governing laws and regulations, measures of implementation and the formulation of a catalogue of jobs to be taken by private enterprises.

China faces an increasing number of threats to its security due to the development and changes in the situation at home and abroad, There have been more demanding new requirements for national defense and military modernizations. Under such circumstances, private enterprises are conscientiously undertaking the tasks of research, development and production of weapons and equipment for Chinese military and doing their best to satisfy the urgent needs for the development of weapons and military equipment.

By May 2013, there had already been more than 500 private enterprises in China that have obtained licenses for research, development and production of weapons and military equipment and more than 1,000 civil technologies had been applied in research and development of equipment.

The report points out that over the past few years, due to the encouragement through a series policies and measures the number of private enterprise taking part in development of weapons and equipment for the military has kept on growing. The scope of the work they do has gradually extended from components, parts through entire equipment and master equipment.

For example, private enterprises have developed a certain light attack vehicle that can carry various kinds of light weapons, is equipped with GPS navigation system and has great cross-country capability and a certain crawler-mounted robot able to travel on rugged land such as sand, rocks and tidal flat.

Some local governments conscientiously encourage, support and guide the application of superior civil technologies to serve the need for national defense and military modernizations. For example, some private enterprises in Hebei Province have undertaken jobs in major projects for Shenzhou X, Chang’e spacecraft, the commissioning of the Liaoning aircraft carrier, National Day military parade, etc. Jiangsu Military Command jointed hands with local relevant enterprises in successful achieving over 100 technological innovations, including the “Artillery Operation Command Quick Response System”, “cross-wall surveillance radar” that have been widely used in troops’ war preparations and training.

Source: military.people.com.cn “National Defense University report says private enterprises participated in major projects such as the Liaoning aircraft carrier and Shenzhou X rocket” (summary by Chan Kai Yee based on the report in Chinese)

Amazing story!

The One Night House: Squatters from Welsh Folklore

one night house
one night house

The story of the one night house, or tŷ unnos, is something heard from a friend or relative. The Welsh custom is a quaint tradition with interesting roots. Oral history is keeping the concept alive, although it’s now more legend than reality.

What exactly is a one night house? It’s a dwelling built by squatters in one night. Work would start at dusk, and come daybreak, the home would be standing in what had previously been a vacant spot. There are parallels in the folklore of other countries that might explain where it came from and how it worked.

A Story Passed On Through the Generations

Folklore is any custom preserved among the people by retellings or reenactments, and the one night house certainly fits that description. There’s very little written or recorded about tai unnos , the plural of tŷ unnos. However, thanks to stories told by parents and grandparents to the next generation, some information about the custom survives.

The last one night houses were thought to have been built by squatters more than 100 years ago. The evidence that remains about the Welsh tradition suggests that it was first practiced in the 17th century and went on for the next two hundred years.

During that period, many of the small holdings on larger estates were thought to have come from that tradition. As well as inheriting the tŷ unnos, families took on the tradition. The task was handed down to the next generation, who would go out as the light faded to build their own one night house.

Since the building of one night houses no longer occurs, the idea is fading. Lovers of folklore and history are hoping to keep the tale alive. For the memory of the custom to survive among its people, it needs to be researched, discussed, and told as part of stories.

Poor
Poor

Poor folk in Wales and elsewhere have squatted on land to claim it for centuries. In Welsh folklore, if you could build a one night house without being detecting – it was yours! ( Public Domain )

A British Tradition

Although the custom is mostly talked about as a Welsh one, there are other tales of one night houses from the land bordering Wales and from other parts of the British Isles . It was a time of population expansion in those parts, and many families were in need of a dwelling.

There were more conventional routes to take to have a home, although many families shared, with the older and younger generations all under one roof. It’s thought that poverty was a driving factor for someone to build a one night house.

Many landowners had fenced off their property for other purposes, and taxation also played a role. It’s no coincidence that the Hearth Tax was levied in 1662 and was later followed by the Land Tax, which acted as a general tax.

Land ownership was a touchy subject throughout the 17th, 18th, and 19th centuries. Much of the land used by commoners was enclosed by the gentry and aristocracy during this time. Based on the evidence in Scottish records , it’s thought that only 3% of the population owned land in the 18th century.

Community Effort

Just because one night houses come from legend doesn’t mean they didn’t get built. As you can imagine, building a house in the dark and in a limited amount of time was no easy thing. The squatters didn’t work alone; they would often gather their friends and relatives to help them work through the night to get the modest dwelling built.

The stories usually start with the squatter and their community gathering materials. They would bring them to the site at dusk, ready to start work as soon as the sun dipped below the horizon. According to tradition, the work would go on all night until the house was finally built.

At daybreak, a fire should be lit in the hearth, so that smoke could be seen coming from the chimney as dawn arrived. Only a build that followed these customs was thought of as a one night house.

Unwritten Rules

It’s not clear why the house had to be built during the night, although, as squatters, the process had to be a secret one. The housebuilder didn’t technically have any rights to the land, after all. It was a generally accepted custom that to complete the work in that short space of time and have the fire burning gave the squatter their rights.

It could also be assumed that if no one noticed the house popping up overnight, then the new homeowner could pretend it had been there all along. Planning law and planning permission didn’t arrive in Britain until the 20th century.

Building a house in one night didn’t grant the squatter permission to live on the land, however; there’s no record of the practice being permitted in Welsh law, or English common law , as it was under at the time. Little is known about whether the squatters succeeded for long and if there were any legal consequences.

Architectural Style

Since there aren’t any authentic examples of a one night house remaining, it’s not possible to know what they looked like. However, there are a few clues to paint a rough picture. In the tales, there wasn’t a specific design to work to, and it’s not known how many people would live in a one night house, but many believe that they weren’t large buildings.

Remains 13
Remains 13

Remains of a ty-unnos or one night house at Maen Dewi The standing stone has been used as the central support to the overnight house that was thrown up by squatters several centuries ago, with the byre on the left and the domestic dwelling room on the right where the garden furniture is. (Bob Helms / CC BY SA 2.0 )

More often than not, they were one-story and one-room dwellings. The site for the build was usually wasteland or a small plot out of the way. Some stories also include the custom that the land around the house was included in the squatters’ rights. Legend has it that the amount of land was measured by seeing how far the squatter could throw an ax from the threshold.

The rules stated that four walls, a fireplace, a roof, and a door was necessary, with additional features, like windows, being added later where possible. Building materials weren’t cheap, but they weren’t scarce either.

Many one night houses are thought to have been built with found materials, usually stone and wood, from the area. Since it involved the work of several people with stones and logs of different sizes, it’s said that the finished article often had an irregular and unusual appearance.

In fact, it was the lack of uniformity in architectural style and the strange appearance of these houses that made them recognizable to others. Thanks to the customs and stories, parents would point out tŷ unnos to their children, and so the tradition lived on.

simple
simple

A simple home in Cardiff resembling a one night house of years past ( Public Domain )

Folklore Revival of One Night Houses

In 2017, a Welsh designer at the Arts Council of Wales teamed up with the community around the National Botanic Garden to build a one night house. The project was commissioned by Common Ground for the Woodland Trust.

His aim was to keep the stories and knowledge of tŷ unnos alive. The project was also designed to connect art with the community and link it all to the local environment and folklore. He didn’t claim his squatter’s rights, though, and the space is used by the community.

The act captured the element of the one night house that’s most relatable. It’s thought to have come from traditions of dares and wagers, where people did something in one night just to prove that they could.

There are a few of reproductions of tai unnos that can be seen today. St. Fagans National Museum of History near Cardiff has Llainfadyn Cottage, which was built in 1962 based on a 1762 example in Gwynedd. Alternatively, a visit to Snowdonia will reveal the Ugly House (Tŷ Hyll), a quaint 19th-century cottage inspired by the tradition.

Llainfadyn
Llainfadyn

Llainfadyn Cottage, St Fagans Museum, Cardiff, a reproduction of a one night house (David Hallum Jones / CC BY SA 2.0 )

Inspiring Tales

Besides reproductions and recent one night house projects, folklore has inspired other creative endeavors. There are records suggesting that the last known tŷ unnos was built in 1882 in Flintshire. There’s a fictionalized written account of the adventure in the novel Mushroom Town by Oliver Onions, written in 1914. In the book, the practice is referred to as hafod unos , meaning a summer dwelling of one night.

As with the community project, it’s clear that the attraction lies in the connections and feelings a one night house brings. Folklore is more than just nostalgia; it’s the people’s interactions with their surroundings, especially if they were night owls!

Top image: Tŷ Hyll ( The Ugly House) near Betws-Y-Coed, inspired by the one night house tradition Source: Steve Daniels / CC BY SA 2.0

By Lex Leigh

“Life is Peachy”: The Superb Brightful Girly Characters by Igor Lomov

00
00

According to an artist: “Hi, I am Igor and my nickname is Blik_47. I am a certified art teacher, used to work as a graphic designer for many years but my true calling is to create digital art. I post things on social media (always as Blik_47) in both Russian (my native language) and English.

I create original artwork and I never pass other people’s work off as my own. However, I occasionally engage in social media promotion events and repost the work of my followers (with full credit).

I am just about to finish the development of my own cyberpunk board game. It is in a sleek transportable box. The card design, game mechanics, packaging and instructions are all developed only by me. This game has cards and tokens. It can be played by 2-4 players at once. There are two main game modes – “deadly match” and “survival” (cooperative mode against the boss). Both Russian and English versions of the game will available.”

48 1 2
48 1 2

45 1 3
45 1 3

42 1 1
42 1 1

40 1 1
40 1 1

39 1 1
39 1 1

38 1 1
38 1 1

37 1 1
37 1 1

34 1 1
34 1 1

32 1 1
32 1 1

31 1 1
31 1 1

27 2 1
27 2 1

26 2 1
26 2 1

24 2
24 2

21 5 1
21 5 1

19 5 1
19 5 1

18 6 1
18 6 1

16 6 1
16 6 1

15 6 1
15 6 1

14 6
14 6

13 6
13 6

10 8
10 8

9 9
9 9

8 9 1
8 9 1

7 10
7 10

6 12
6 12

5 13
5 13

4 13 1
4 13 1

3 13
3 13

2 13
2 13

1 13
1 13

0 11
0 11

Bacon Cheeseburger Soup

2023 01 22 15 11
2023 01 22 15 11

Ingredients

  • 8 slices thick-cut bacon, cut crosswise into 1/4 inch strips
  • 8 ounces ground beef
  • 2 red onions, cut into 1/4 inch dice
  • 3 tablespoons Real California unsalted butter
  • 4 cloves garlic, minced
  • 3 tablespoons all-purpose flour
  • 1 teaspoon salt, or more to taste
  • 1 teaspoon pepper, or more to taste
  • 3 cups beef broth
  • 1 1/2 cups Real California Half-and-Half (can use evaporated milk)
  • 3 cups shredded Real California sharp Cheddar cheese, divided
  • 1 teaspoon yellow mustard
  • 1/2 teaspoon Worcestershire sauce
  • 1 small tomato, cut into 1/4 inch dice

Instructions

  1. In a large saucepan or small stockpot over medium heat, cook bacon, stirring occasionally, until crisp, 7 to 9 minutes. Use a slotted spoon to transfer to a paper towel-lined plate.
  2. Add beef to the saucepan and cook, stirring occasionally and breaking it up, until no longer pink, about 2 minutes. Use a slotted spoon to transfer to a plate.
  3. Set aside about 1/3 cup of onions. Add remaining onions and butter to the saucepan and cook, stirring occasionally, until tender, 7 to 9 minutes.
  4. Add garlic and cook, stirring, until fragrant, about 30 seconds. Sprinkle in flour, salt, and pepper and cook, stirring, for 1 minute.
  5. Add broth and Half-and-Half or milk and bring to a boil. Reduce to a simmer and cook, stirring occasionally, for 5 minutes.
  6. Add mustard, Worcestershire and 2 1/2 cups of cheese, stirring to melt cheese. Stir in about 3/4 of the bacon and 3/4 of the beef. Add more salt and pepper to taste.
  7. Serve soup garnished with remaining cheese, remaining bacon, remaining beef, reserved onions and tomatoes.

Prep: 30 min | Cook: 35 min | Yield: 4 to 6 servings

Great. Just Great! Look at what our $$ millions for each tank gets us . . . .

.

2023 01 22 15 36
2023 01 22 15 36

You want to see first hand how we’ve been getting bilked by the military-industrial complex? Our Abrams tanks . . . you know, our main battle tanks . . . can’t go up hills in the snow. They skid and slide backwards.

M1 AbramsPrice
M1 AbramsPrice

This is what Six to nine MILLION DOLLARS EACH buys us?

With weapons systems like this, would be even be able to defend our own country . . . in the winter????

.

https://htrs-special.s3.us-west-2.amazonaws.com/Abrams-Tanks-Skid-In-Snow.mp4

Creamy Chicken and Wild Rice Soup

Fall is officially here. Now is a great time to try out some hearty autumn dishes like chicken and wild rice soup. Our recipe is rich and creamy. You can serve it as an appetizer if you want, but it’s filling enough to stand on its own as an entrée. This recipe stands out from the rest because it calls for freshly roasted chicken, plenty of delicious vegetables, and a nice dollop of plain Greek Yogurt. Adding yogurt, instead of cream, for example, helps to make this soup extremely flavorful but also relatively light, calorie-wise.

2023 01 22 15 12
2023 01 22 15 12

Ingredients

  • 1 deli-roasted chicken, about 2 1/2 pounds
  • 6 cups water
  • 2 medium carrots, ends trimmed and cut into chunks
  • 1 small rib celery, cut into chunks
  • 1/2 teaspoon whole black peppercorns
  • 1 small bay leaf
  • 1 tablespoon butter
  • 1/2 cup chopped yellow onion
  • 1/3 cup uncooked wild rice*
  • 1/2 cup heavy cream
  • 1/2 cup Cabot 2% Plain Greek Yogurt or Cabot Plain Greek Yogurt
  • 2 tablespoons cornstarch
  • 2 teaspoons chopped fresh thyme leaves
  • 1/4 teaspoon salt, or more to taste
  • 1/4 teaspoon ground black pepper, or more to taste

* You can reduce the cooking time with “quick-cooking” wild rice, which is done in about 15 minutes, or substitute another flavorful rice, such as brown basmati.

Instructions

  1. Pull off meat from chicken, placing skin, bones and any hot or solidified juices in large saucepan. Dice 2 cups of chicken meat, saving rest for another use, and set aside in refrigerator.
  2. Add water, carrots, celery, peppercorns and bay leaf to saucepan; bring to boil over high heat, then reduce heat to maintain simmer. Cover pan and cook broth for one hour.
  3. Pour broth through strainer set over large bowl, pressing on solids to extract all broth. Discard solids.
  4. Melt butter in empty saucepan. Add onions and cook, stirring, for about 3 minutes or until onion is tender and just beginning to brown.
  5. Pour in strained broth. Add wild rice, bring to simmer and cook covered for 40 to 50 minutes or until rice is tender.
  6. In small bowl, whisk together heavy cream, yogurt and cornstarch until completely smooth with no small lumps; stir mixture into pot and continue stirring until soup thickens and returns to simmer. Add thyme, salt, pepper and 2 cups of reserved diced chicken.
  7. Taste soup, adding additional salt and pepper if needed. Stir until heated through and serve.

Yield: about 6 cups for 4 servings

“I Will Never Forget The Look On Her Face”: Woman Swaps Sugar For Salt In her Drink To Catch The Office Thief

As the workday drags on, the minutes going slower than a snail up a tree and the clicking of the keys on the keyboards turning into a semi-soothing white noise, you can’t help but think back to that amazing sammich waiting for you at lunchtime. But a pang of fear strikes your heart ever so silently—what if someone steals it?

Workplace thieves are not as rare as they should be, their long fingers tingling with the craving of ruining someone’s day to fuel their selfish desires. Therefore, it’s always satisfying when these people get their just taste of karma, and in this case, it’s extra salty. A woman decided to share her story on r/PettyRevenge, and I think you may know where this is going.

Don’t forget to leave your thoughts in the comments below, sharing any similar stories you may have. And if you’re craving more after this one, Bored Panda has got another article for you right here. It’s a juicy one as well—pun intended. Now let’s get into it!

There’s nothing more frustrating than leaving your food and drinks in the work fridge only to find them all gone when lunchtime comes around

author 34d34939bad485a0fbfb3eaa04871cd7
author 34d34939bad485a0fbfb3eaa04871cd7

author 3939f88b8a46c648348692804e78fcaf
author 3939f88b8a46c648348692804e78fcaf

author c3fdd25187434f987d6b626a4a67708b
author c3fdd25187434f987d6b626a4a67708b

petty revenge on drinks thief 1
petty revenge on drinks thief 1

author 61c9b776dda174f165195f11fcbc3a63
author 61c9b776dda174f165195f11fcbc3a63

author 0e07fdbb80df4ca0e9b9535cf0c16ada
author 0e07fdbb80df4ca0e9b9535cf0c16ada

The taste of karma is a delight for those watching justice unfold, but for the troublemaker—it’s nauseating at the very least. A very clear lesson from this story—don’t take things that aren’t yours to take! But why are these stories so commonplace? Are we predisposed to stealing?

As noted in the Diagnostic and Statistical Manual of Mental Disorders, “kleptomania is exceedingly rare, whereas shoplifting is relatively common.” Kleptomania occurs in approximately 0.3–0.6% of the general population, yet there’s something about the work environment that just triggers a surge of wanting to own your coworker’s things.

According to Art Markman, human behavior is focused on doing things that feel right in the short term rather than things that feel right in the long term. The consequences of one’s actions feel more distant compared to the urge to eat or have a tasty drink. Art believes that to decrease small thefts in the workplace, we need to start by making it harder for people to do the wrong thing, but that may be easier said than done.

The key to this puzzle may lie in the motives for stealing in the first place. Brianna Morton shared the insights she gathered from those who’ve been workplace thieves themselves. Some of the reasons for their nefarious actions included seeking revenge on another thieving individual, desperation, and wanting to be a nuisance on purpose.

It’s sad to say, but stealing can become an addiction. According to WebMD, when your brain’s opioid system is unbalanced, you develop strong urges to steal, accompanied by anxiety, arousal, and tension. After stealing, you get a sense of pleasure and relief. Sometimes, you may feel guilt or remorse after the act, but you’re still unable to control the urge.

However, no pleasure should come at the expense of another person, and being stolen from is rarely on anyone’s bucket list. So, all those who are stealing for any other reason than the absolute need for their longevity and survival can get a bunch of salt running down their throat.

We hope that the original poster got their just deserts and they were never stolen from again. And that the lady doing the stealing learned a very valuable lesson.

ANTIFA Attacking Atlanta – Riots, Looting, Fires

Anti-Fascist Action (ANTIFA) is engaging in riots, looting and arson attacks in the city of Atlanta Saturday night.   Numerous stores have been looted, several vehicles, including police cars, have been set ablaze.

Mayor Andre Dickens “Many of these protesters are not from Atlanta, and many are not even from the state of Georgia.  The Mayor stunned assembled media outlets when he revealed, during a Press conference, some of those arrested “have been found to have explosives on them.”

As most readers readily know, Protesters don’t destroy property and hurl explosives at police, those would be Rioters

The Mayor clearly stated “These people mean harm to people and to property.”

Atlanta is on fire tonight, but @cnn reporters @DavidPeisner & @PamelaBrownCNN are reporting Atlanta police officers, NOT protestors, are committing violence for tackling & arresting these thugs burning and destroying a police cruiser and store front windows.

This is a developing story.  More as I get it.

 UPDATE 10:19 PM EST —

 

 

and:

 

 

Violent Antifa protectors are calling for a ‘Night of Rage’ against police officers after a raid of ‘Cop City’ left an activist dead and a state trooper injured.

Georgia State Patrol troopers swooped on the autonomous zone at the site of the future $90million Atlanta Public Safety Training Center in a ‘clearing operation’ on Wednesday.

In a press conference, Georgia Bureau of Investigations director Michael Register confirmed that the shooting was in self-defense, with the protestor opening fire ‘without warning’ at the trooper.

But activists are now calling for a ‘Night of Rage’ and bloodshed against the police following the shooting – threatening to enact ‘reciprocal violence’ against the authorities.

Japanese Artist Paints Hyperrealistic Paintings That Are So Precise You Might Confuse Them With Photos

1 604699b5a20fe 880
1 604699b5a20fe 880

This time, I want to present an incredible gallery of pictures of… paintings. Although it might be hard to believe these were actually painted by a human hand, this is a true representation of the beauty and power of talent and hard work.

Japanese painter Kei Mieno creates painstakingly detailed and realistic paintings that can be easily mistaken for photographs. Let’s admit, the kinds of photographs not everyone would be able to take. Colors, lights, shadows, contrasts, texture—everything comes together to create incredibly lifelike artwork. The remarkably skillful artist, who celebrates his 36th birthday today, uses oil to bring his hyperrealistic ideas to life.

EZouhCAVcAEjBTz 604699f6ad1d5 880
EZouhCAVcAEjBTz 604699f6ad1d5 880

ERm5KIqVUAEbku1 604699e64c382 880
ERm5KIqVUAEbku1 604699e64c382 880

ERm5KIqUcAMUqBz 604699dd66824 880
ERm5KIqUcAMUqBz 604699dd66824 880

ERm5KIqU0AEO4P9 604699dbcc884 880
ERm5KIqU0AEO4P9 604699dbcc884 880

Eqk12eJUYAM7qti 604699d924f27 880
Eqk12eJUYAM7qti 604699d924f27 880

EPIhuBCVUAY6yD7 604699d6316db 880
EPIhuBCVUAY6yD7 604699d6316db 880

EpfwbwNU0AAMl12 604699d39d0bb 880
EpfwbwNU0AAMl12 604699d39d0bb 880

Ely67NmVcAAswOk 604699d1c77d4 880
Ely67NmVcAAswOk 604699d1c77d4 880

Egt Sr6UYAIBWPY 604699d09143d 880
Egt Sr6UYAIBWPY 604699d09143d 880

EERCi6rVUAAgj4r 604699cedde97 880
EERCi6rVUAAgj4r 604699cedde97 880

EaxvqnlUYAA9I6t 604699cd4d062 880
EaxvqnlUYAA9I6t 604699cd4d062 880

D5tMNYZUwAA5fPj 604699cbedb7b 880
D5tMNYZUwAA5fPj 604699cbedb7b 880

D5tMNYbUcAAdPPZ 604699caa17af 880
D5tMNYbUcAAdPPZ 604699caa17af 880

Beef Stroganoff Soup

beef stroganoff soup
beef stroganoff soup

Yield: 6 servings

Ingredients

  • 1 pound beef sirloin steak, trimmed and cut into bite-size pieces
  • Salt and pepper
  • 1 1/2 tablespoons butter
  • 1 1/2 tablespoons olive oil
  • 8 ounces fresh button mushrooms, sliced
  • 1 cup chopped onion
  • 2 cloves garlic, minced
  • 4 1/2 cups beef broth (low-sodium or regular)
  • 1/2 cup red wine
  • 1 tablespoon Worcestershire sauce
  • 1 tablespoon tomato paste
  • 1 1/2 cups dried egg noodles
  • 1/2 cup sour cream
  • 2 tablespoons all-purpose flour
  • Snipped fresh parsley (optional)

Instructions

  1. Sprinkle steak with salt and pepper.
  2. In a Dutch oven, melt butter with olive oil over medium-high heat. Cook steak one-half at a time, in until browned. Set beef aside.
  3. Add mushrooms, onion and garlic to Dutch oven. Cook and stir over medium heat for 5 to 7 minutes or until mushrooms are tender.
  4. Stir in beef, broth, wine, Worcestershire sauce, tomato paste; bring to boiling. Cover, and reduce to a simmer; cook for 45 minutes until beef is tender.
  5. Add noodles; boil gently, uncovered, for 6 to 7 minutes until noodles are tender.
  6. In a medium bowl, whisk together sour cream and flour. Add to soup, and cook, stirring until bubbly.

Remember who you are.

This event sounds more like she was tapping into the collective consciousness via prayers rather than a full-on NDE.

Huge 1980’s hair, and some delicious Jamaican chicken

Been a cleaning up fireworks debris from the house porch, and tidying up for the new year. I’m tightening up things, sweeping and cleaning up things and working to settle a calmer life by clearing out the debris of the last.

Let’s all have a great new year!

Yay!

Politics back in the day…

Why talk to the dog, when you can talk with the master?

2023 01 21 10 39
2023 01 21 10 39

What Is It Like To Become Poor After Being Wealthy?

 

The global financial crisis destroyed me in 2008. The years immediately after were some of the worst years of my life. I lost everything; or at least I thought I did.

As it turns out, I didn’t lose much at all (assuming you don’t count approximately $3 million in real estate equity and a couple of hundred thousand dollars in cash, as “much”).

 

I was in Vegas when Lehman Brothers folded… It was my birthday … and it was the first time I’d ever lost big there. I should have known something wicked was coming, but I didn’t. So when my consulting contract didn’t get renewed, I didn’t panic. I kept doing business as usual. When my tenants defaulted on rent, I kept paying mortgages. A year later, I still had $50,000 plus in the bank … enough of a cushion.

I suppose at this time I should make you aware that I was not exactly a low-profile person. I was (and am) in luxury goods and hospitality, and I consulted with companies catering to high-net worth individuals. I helped them design sales and business strategies to keep their clients happy in the short and long term. Needless to say, the luxury sector was massacred, and is still clawing its way out of the muck and mire, at least in the United States.

So, with enough money to float for six to ten months, I kept looking for work in my field.

And looking, and looking … nothing.

Any kind of business consulting … nothing. (Six more months go by).

Any kind of sales … nothing. (Six more months … This was where it got scary).

Waiting tables, bar-tending, limo driving, grocery bagging … ANYTHING!

Nope.

Bear in mind that up until this point, I had never even gone a month without a job since I was 12 years old.

My confidence was shot – I mean decimated. I was a shell of the man I had been only two years previously.

I had the stink of failure all over me.

A friend of mine owned a couple of car-washes. He offered me a job. It was outside work, taking orders when people drove in to the wash. “Would you like the undercarriage done?”

It was winter in Colorado.

I declined.

I was sharing a huge house at the time with my best buddy and his new girlfriend, who became his fiancé, and we were ALL broke. It was brutal. I don’t think I would have made it without them. I was depressed and miserable. I’m lucky they didn’t bury me in a snow bank and leave me there. I’m sure there were times they wanted to.

“Cocky” doesn’t do failure well.

My buddy with the car-wash called again a few weeks later. I said no again. Not just because of the embarrassment. Not just because of the cold weather and the elements, or standing on my feet for 10 hours a day on concrete without Wi-Fi.

It was because of my father.

Almost every good father has a catch phrase that he uses to motivate his sons to do better than he did. Typically, it’s the threat of being stuck doing any minimum-wage job that no teenager from the Gekko era would ever aspire to. For some reason, the example that my father chose was “car wash”. We’d go through Towne Auto Wash after Little League and he’d always point to that guy who asks, “Do you want a regular wash, or deluxe?” and then hands you that little piece of paper.

“Mickey” He’d say. “You have to save some money/get better grades/quit chasing girls/do your homework. You don’t want to end up like that guy, working in a car-wash, do you?” The last time I heard the speech was around 1996. The words, however, hung in the air for years to come.

So, you can see my quandary. To me, working in a car-wash was the ultimate admission of failure. Not losing all my assets. Not selling my watches and cars. Not letting go of a few rugs and some art.

I was living with friends, driving a 17-year-old car, had less than $200 in the bank with no idea where the next $200 was coming from, and I was worried about being seen as a failure.

A little deluded?

Perhaps, but reality kicked in when I didn’t have money for a niece’s birthday present.

So I called my friend back and asked if I could still have the job at the car-wash. My utter failure as a human being was complete, my humiliation final -or so I thought.

On my third day of dragging myself in to work, the raven-haired stunner that I’d hired as my assistant five years previous pulled in – driving a brand new Lexus.

NOW my humiliation was complete.

There was nowhere to run, no place to hide.

And yet … just as I was about to die from shame, something happened that literally changed my life. She smiled, jumped out of her car, pointed her Louboutins right at me, ran over and gave me a hug. We chatted for about 10 minutes while her car was getting done. She said she was happy to see me, that I’d been a great boss, and that she was glad I was working. “Sooooo many” of her friends(able-bodied twenty-somethings) were unemployed, and at least I wasn’t trapped behind a desk.

I realized that I’d been beating myself up needlessly, and saw how lucky I truly was.

In that instant, I decided that instead of just showing up until I could find something better, I would use all my skills to increase my friend’s business, and I did. Over the next few months, something amazing happened to me. Something I never saw coming, and something that impacted my life and made me a better man.

I saw hundreds of people every day and none of them thought I was a failure, and it energized me. I smiled. They smiled back. I was happy and engaging, and I sold about a gazillion deluxe washes. But also, my worst fear morphed into something I started to look forward to. I got my confidence back, and it was obvious. I saw DOZENS of people I knew – clients, old customers, friends I’d lost touch with, and every single one of them said something positive.

They respected me.

They held me in higher esteem for seeing me in the cold, wearing a red nylon jacket with a car wash logo on it. Nobody made fun of me or called me names. Nobody laughed.

There was even an article in a local lifestyle magazine about me.

They respected me for doing what had to be done (I’m sure a few were secretly happy that I’d been taken down a few pegs … but hey, we’re all human, right?)

The truth of my situation was laid bare for the world to see … there’s no way to spin a story when you are asking people if they want the basic or deluxe wash. There’s no amount of charm of polish or bullshit that can hide the truth.

I was working in a car wash – and nobody thought I was a failure. Not even my father.

Then, about 6 months later, one of my old clients called. He needed some help setting up a new luxury club. We put a deal together and when I resigned from the car-wash, my friend was genuinely sad, saying I was the best employee he’d ever had.

I approached that new consulting contract with a vigor and zest for life I hadn’t felt for years! A few months after that, another contract took me to Asia, and I’ve been consulting over here ever since.

So, my worst fear turned out to be my salvation.

It gave me confidence, paid my bills for a while and put me in a position to move my company to Asia and have access to an abundance of new cultures and growing markets.

Sure, I’m not quite back to where I was that day 9 years ago in Vegas, but I have a red nylon jacket with a car wash logo on it that reminds me that for my version of success, I don’t have to be.”

– Michael Aumock

A very good video.

Its a dangerous time we are living in. On the one side, you have the US, who believes they are entitled to rule the world, for eternity. On the other side, you have rising China, Russia and India - China being the closest rival. The issue moving forward is whether the human race have learnt the lessons from WW1 and WW2. If we haven't learnt anything, then we are destined to repeat it, until all of us are destroyed. Lets hope sanity prevails and we find a way to co-exist and prosper, regardless of our differences.

Jamaican Brown Stew Chicken

2023 01 21 13 19
2023 01 21 13 19

Ingredients

Chicken Marinade

  • 3 1/2 Foster Farms Simply Raised™ Free Range Boneless Skinless Chicken Thighs
  • 1 teaspoon chicken bouillon powder
  • 1 teaspoon minced ginger
  • 1 teaspoon minced garlic
  • 1/2 teaspoon white pepper
  • 2 sprigs fresh thyme
  • 1/2 teaspoon paprika
  • 2 green onions, diced

Brown Chicken Stew

  • 2 tablespoons canola oil
  • 1 medium onion, diced
  • 2 teaspoons garlic, minced
  • 1 sprig fresh thyme
  • 1 teaspoon of hot sauce
  • 1 1/2 teaspoons paprika
  • 1 tablespoon brown sugar
  • 2 teaspoons browning sauce
  • 2 tablespoons ketchup
  • 2 small red or green bell peppers, sliced
  • 1 to 2 cups water

Instructions

  1. Place chicken in a resealable bag and season with salt and pepper. Then add the chicken bouillon powder, ginger, garlic, white pepper, thyme, paprika and green onions. Seal the bag and thoroughly mix chicken until they are well coated. Set aside in the fridge and marinate for at least an hour or preferably overnight.
  2. When ready to cook, remove the chicken from the bag. Make sure to remove any particles like the onions and garlic so they do not burn when cooking.
  3. Heat a large pot with the oil over medium-high heat.
  4. Brown the chicken for about 3 to 4 minutes per side until chicken is a golden brown; remove and place on a plate. Drain any excess oil from the skillet but make sure to leave about 2 to 3 tablespoons of oil.
  5. Deglaze the pan with about 1 cup of water. Bring to a boil and return the chicken back to the pan. Add the second cup of water if you find there is not enough water.
  6. Cover and cook on medium to low heat for about 20 minutes, until chicken the chicken has cooked through and the sauce thickens, slightly. Adjust the taste adding more salt as necessary.
  7. Serve with Caribbean Rice and Peas or white rice.

Recipe source: Evs Eats

Very Interesting

2023 01 21 10 11
2023 01 21 10 11

Cuban Picadillo

cuban picadillo
cuban picadillo

Ingredients

  • 1 pound 90% lean ground pork
  • 2 teaspoons olive oil
  • 1 cup white onion, chopped
  • 2 cups new potatoes, cooked, cut into 1/4 inch cubes
  • 1 1/4 cups tomatillo salsa
  • 1 cup frozen corn, thawed
  • 1/4 cup cilantro
  • 1/4 cup queso fresco, crumbled

Instructions

  1. Heat olive oil over medium heat in a large skillet; add onion and sauté for about 5 minutes.
  2. Add ground pork, breaking into crumbles with the back of a spoon and turning frequently until browned on all sides.
  3. Add potatoes, salsa and corn; stir and cook for 10 to 15 minutes or until heated through.
  4. Fold in cilantro and sprinkle with cheese.
  5. Serve immediately.

WOW! Just . . . WOW! The truth comes out in Germany over Ukraine

A legislator in the German Bundestag addressed the House today regarding the effort to supply German tanks to Ukraine, to use against Russia.

Holy Sh*t did he strike a nerve; other Legislators openly gasped in horror!

Watch the 30 second video with translation below:

 

 

 

Cool discovery

2023 01 21 10 16
2023 01 21 10 16

The Point: Are China and the U.S. going down the rabbit hole?

Splendid Vintage Snaps Of Young Girls With Very Big Hair In The 1980s

1 68
1 68

The 1980s can be categorized as a decade of excess. The new generation of young people placed a heavy emphasis on individuality, materialism and consumerism, all of which was reflected in the popular fashions and hairstyles of the time. As usual, the music, television and, for the first time, computer industries played a prominent role in determining what styles and trends took off across the country.

While certain hair styles, such as androgyny, voluminous locks, long hair on men and the Jheri Curl were all born during the previous decade, the looks became more exaggerated and more extreme during the 1980s. When it came to hair, bigger was always better.

In the mid-1980s, rising pop star Madonna also had big hair when posing for Time Magazine photographed by Francesco Scavullo. Soon, many women emulated her look, making her one of the most iconic celebrities in 1980s fashion.

18 25
18 25

19 25
19 25

20 23
20 23

21 21
21 21

22 21 1
22 21 1

23 19 1
23 19 1

29 15
29 15

28 15 1
28 15 1

27 15
27 15

26 16
26 16

25 1wt7
25 1wt7

24 19 1
24 19 1

30 14
30 14

31 10 1
31 10 1

32 10 1
32 10 1

33 8 1
33 8 1

34 7 1
34 7 1

35 7 1
35 7 1

36 7 1
36 7 1

35 7
35 7

36 7
36 7

37 6 1
37 6 1

38 5 1
38 5 1

32 10
32 10

33 8
33 8

34 7
34 7

13 31 1
13 31 1

14 28 1
14 28 1

15 2er7 1
15 2er7 1

16 26 1
16 26 1

17 26 1
17 26 1

18 25 1
18 25 1

19 25 1
19 25 1

20 23 1
20 23 1

21 21 1
21 21 1

22 21 2
22 21 2

23 19 2
23 19 2

24 19 2
24 19 2

30 14 1
30 14 1

29 15 1
29 15 1

28 15 2
28 15 2

27 15 1
27 15 1

26 16 1
26 16 1

25 1wt7 1
25 1wt7 1

31 10 2
31 10 2

32 10 2
32 10 2

33 8 2
33 8 2

34 7 2
34 7 2

35 7 2
35 7 2

36 7 2
36 7 2

37 6 2
37 6 2

38 5 2
38 5 2

2 63
2 63

3 61
3 61

4 60
4 60

5 58
5 58

11 37
11 37

10 40
10 40

9 41
9 41

8 46
8 46

7 50
7 50

6 54
6 54

12 35
12 35

13 31
13 31

14 28
14 28

15 2er7
15 2er7

16 26
16 26

17 26
17 26

2 63 1
2 63 1

3 61 1
3 61 1

4 60 1
4 60 1

5 58 1
5 58 1

6 54 1
6 54 1

12 35 1
12 35 1

11 37 1
11 37 1

10 40 1
10 40 1

9 41 1
9 41 1

8 46 1
8 46 1

7 50 1
7 50 1

Chinese scholars…

2023 01 21 11 36
2023 01 21 11 36

thought I’d add this chart from PISA( program of International Student Assessment) to add some perspective.

the US isn’t even mentioned, that should tell you something.

main qimg ba7aba634a515d398b98691a36179ec4 lq
main qimg ba7aba634a515d398b98691a36179ec4 lq

Caribbean Roast Chicken with
Pineapple and Sweet Potatoes

caribbean roast chicken
caribbean roast chicken

Ingredients

  • 1 whole chicken, giblets and neck removed
  • 1 tablespoon brown sugar
  • 2 tablespoons Caribbean Jerk Seasoning spice mix
  • 3 fresh limes
  • 8 sprigs cilantro
  • 1 (20 ounce) can chunk pineapple, drained reserving 1/2 cup of liquid
  • 3 sweet potatoes, cut into 2-inch chunks
  • 1/4 cup dark rum (or chicken stock)
  • 1 cup chicken stock
  • 2 tablespoons chopped cilantro

Instructions

  1. Heat oven to 350 degrees F.
  2. In small bowl, combine brown sugar and jerk seasoning. Rub mixture all over outside of chicken. Cut one lime into quarters; insert into chicken cavity. Add cilantro sprigs to chicken cavity. Place chicken in roasting pan and surround with pineapple chunks. Place in oven and roast for 45 minutes.
  3. While chicken is roasting, juice two remaining limes. Remove chicken from oven; add sweet potatoes, rum, chicken stock and lime juice to pan. Return to oven and roast for another 45 minutes to one hour, or until internal temperature in thickest part of the thigh reaches 180 degrees F.
  4. Remove chicken from oven and place on sheet pan. Tent with foil to keep warm. Remove pineapple and sweet potatoes from pan and reserve. Pour sauce in pan into serving dish; add chopped cilantro.
  5. To serve, carve chicken or place whole chicken on platter. Surround with sweet potato/ pineapple mixture and pass with sauce.

Japan building new island base to guard against China

Mageshima Island base will mitigate risk of a China attack on Okinawa and could eventually host US long-range missiles
.

2023 01 21 11 13
2023 01 21 11 13

Japan has decided to start construction of a military base on Mageshima Island, a project that aims to reinforce Japan’s defenses in the nearby Ryukyu Islands and provide a backup airbase in case an enemy attack takes out Okinawa, according to multiple Japanese sources.

Mageshima, an eight square kilometer uninhabited island located 12 kilometers from inhabited Tanegashima Island, was chosen in 2011 as a candidate for relocating US field carrier landing practice, which is currently conducted on Iwoto Island, 1,200 kilometers south of Tokyo.

Construction work began last Thursday (January 12) and is expected to continue for four years, with reported plans to install two runways, a control tower and an explosives depot. Nippon.com mentions that apart from hosting US carrier landing practice runs, Mageshima will serve as a supply and maintenance hub for the defense of the Nansei Islands.

Asia Times has noted the strategic importance of small islands, as they have a “suction effect” on great powers because they can be logistics staging points, protective barriers, forward operating bases and geographical markers to extend maritime claims.

Mageshima is no exception. In a March 2022 newsletter for the Taiwan-based think tank Institute for National Defense and Security Research (INDSR), Yen Hung-Lin underscores the island’s strategic importance.

Yen notes that Mageshima is located northeast of the Ryukyu Islands, which China’s naval vessels must pass through to reach the Pacific Ocean. He also says that Mageshima is an uninhabited island, which reduces concerns about aircraft noise and safety.

Furthermore, Yen mentions that Mageshima has flat terrain, which makes airfield construction easier. Moreover, Yen notes that the Japanese Self-Defense Forces (JSDF) only have a limited number of bases in the Nansei Islands and that the completion of Mageshima will enable Japan and the US to conduct a defense in depth against China.

2023 01 21 11 14
2023 01 21 11 14

Japan’s plans to set up Mageshima as an island airbase may also be part of a larger military strategy. In an April 2022 article for Foreign Policy Research Institute (FPRI), Felix Chang notes that Japan’s island bases point toward a strategy intended not only to stop China from taking over the Senkaku Islands but also to frustrate its larger naval ambitions.

Asia Times has previously reported on Japan’s plans to base long-range cruise missiles on its Southwest Islands and Kyushu to improve its counter-strike capabilities against China. Given that, Japan may also opt to base long-range missiles on Mageshima.

Meanwhile, the US may seek to place its long-range missiles on Mageshima. Asia Times has reported on US plans to build a “missile wall” in the First and Second Island Chains.

This strategy is based on the perceived advantages of land-based missile launchers, which include increased survivability compared to ship-based systems, the ability to provide a constant presence in contested areas, and an attack on land-based missile launchers on allied territory marks a significant escalation of hostilities.

The joint Japan-US basing project on Mageshima also likely aims to reinforce the US basing posture in the Pacific. In an April 2021 article for War on the Rocks, Wallace Gregson and Jeffrey Hornung note that Japan is no longer the sanctuary it was for US forces, as China and North Korea have developed long-range strike capabilities and satellite surveillance technologies that threaten US and allied forces stationed in the region.

An October 2022 documentary by ABC News shows that in the event of a Taiwan-China war, China would most likely initiate a pre-emptive missile strike on the military base at Okinawa, aiming to destroy US and Japan airpower on the ground.

Given that threat, Gregson and Hornung note that the US must harden its facilities against attack, disperse and distribute forces across a wider area and improve missile defense capabilities. Thus, the Japan-US base on Mageshima may be a logical step in that direction.

However, some parties have expressed reservations over military construction at Mageshima. In an August 2021 editorial, The Asahi Shimbun mentions that noise from military activity on Mageshima will impact daily life on nearby islands.

In addition, the editorial notes that construction work will significantly impact water quality, marine life and fisheries around the area, depending on whether the project will involve land reclamation.

It also says that the Japanese Ministry of Defense (MOD) has withheld concrete details about the project and only released an environmental assessment on January 12.

The Mainstream Media Admits That We Are Facing “The Worst Food Crisis In Modern History”

.

People on the other side of the planet are dropping dead from starvation right now, but most people don’t even realize that this is happening.  Unfortunately, most people just assume that everything is fine and dandy.  If you are one of those people that believe that everything is just wonderful, I would encourage you to pay close attention to the details that I am about to share with you.  Global hunger is rapidly spreading, and that is because global food supplies have been getting tighter and tighter.  If current trends continue, we could potentially be facing a nightmare scenario before this calendar year is over.

Pakistan is not one of the poorest nations in the world, but the lack of affordable food is starting to cause panic inside that country.  The following comes from Time Magazine

Last Saturday in Mirpur Khas, a city in Pakistan’s Sindh province, hundreds of people lined up for hours outside a park to buy subsidized wheat flour, offered for 65 rupees a kilogram instead of the current, inflated rate of about 140 to 160 rupees.

When a few trucks arrived, the crowd surged forward, leaving several injured. One man, Harsingh Kolhi, who was there to bring a five kg bag of flour home for his wife and children, was crushed and killed in the chaos.

We are seeing similar things happen all over the planet.

Just because you still may have enough food to eat doesn’t mean that everybody else is okay.

In fact, things have already gotten so bad that even CNN is admitting that we are facing “the worst food crisis in modern history”

Yet the world is still in the grips of the worst food crisis in modern history, as Russia’s war in Ukraine shakes global agricultural systems already grappling with the effects of extreme weather and the pandemic. Market conditions may have improved in recent months, but experts do not expect imminent relief.

That means more pain for vulnerable communities already struggling with hunger. It also boosts the risk of starvation and famine in countries such as Somalia, which is contending with what the United Nations describes as a “catastrophic” food emergency.

Sadly, it isn’t just in Somalia where the food crisis has reached “catastrophic” proportions.

According to Reuters, the entire continent is now dealing with the worst food crisis that Africa “has ever seen”…

Across Africa, from east to west, people are experiencing a food crisis that is bigger and more complex than the continent has ever seen, say diplomats and humanitarian workers.

Please go back and read that statement again.

Do you remember all those years when Sally Struthers was begging us to feed the starving children in Africa?

Well, the truth is that conditions are now far worse than when she was making those commercials.

At one hospital in Somalia, grieving mothers are regularly bringing in very young children that have literally starved to death

“Sometimes mothers bring us dead children,” said Farhia Moahmud Jama, head nurse at the paediatric emergency unit. “And they don’t know they’re dead.”

Weakened by hunger, camp residents are vulnerable to disease and people are dying due to a lack of food, said Nadifa Hussein Mohamed, who managed the camp where Isak’s family initially stayed.

“Maybe the whole world is hungry and donors are bankrupt, I don’t know,” she said. “But we’re calling out for help, and we do not see relief.”

UN officials are doing what they can to help, but the truth is that they are being absolutely overwhelmed by the scope of this crisis.

Over the past 12 months, the number of Africans that are dealing with “acute food insecurity” has absolutely exploded

The number of East Africans experiencing acute food insecurity – when a lack of food puts lives or livelihoods in immediate danger – has spiked by 60% in just the last year, and by nearly 40% in West Africa, according to the World Food Programme (WFP).

Sadly, a lot of Americans are simply not going to care about what is going on over there as long as we have enough food over here.

Of course food supplies continue to get tighter on our side of the planet as well.

According to the U.S. Department of Agriculture, our corn harvest this year was the smallest in 15 years

Last year was a bad year for corn — the latest US Department of Agriculture (USDA) report shows drought conditions and extreme weather wreaked havoc on croplands.

USDA unexpectedly slashed its outlook for domestic corn production amid a severe drought across the western farm belt. Farmers in Nebraska, Kansas, and Texas were forced to abandon drought-plagued fields.

The agency estimated farmers harvested 79.2 million acres, a decline of 1.6 million acres versus the previous estimate — the smallest acres harvest since 2008.

That wouldn’t be so bad if our population was still the same size that it was back in 2008.

Other harvests have been extremely disappointing too, and that is one of the factors that has been steadily driving up food prices.

At this point, the average U.S. household is spending 72 more dollars on food per month than it was at this same time a year ago…

As inflation continues to decimate the budgets of American families, the December report from Moody’s Analytics showed that families are spending an estimated $72 more on food per month than they were a year ago.

That figure is pulled out of a report that says the typical US household is shelling out $371 on goods and services more than they were a year ago.

In particular, the price of eggs has gone completely nuts.

I recently came across an article about one small business owner that is now paying three times as much for eggs as she once did…

It just seems like the cost of everything is going up these days and that includes egg prices, which are affecting local businesses. “We used to buy 15 dozen eggs from Sam’s for 23 dollars. They are now 68 dollars,” said Cindy Gutierrez, the owner of Creative Cakes. “Now it’s about 63-ish for 15 dozen and it’s also hard to get 15 dozen,” said Caitlyn Wallace, the owner of Catie Pies.

The prices for eggs have surged three times their original price. According to the consumer price index, egg prices increased by 10% in October 2022 and that increase has continued to rise. This is causing a domino effect for restaurants, businesses, and bakeries who use eggs.

Economic conditions are changing so rapidly now, and nothing will ever be quite the same again.

As we move forward, the widespread use of “beetleburgers” is one of the “solutions” that the global elite are starting to push

Beetleburgers could soon be helping to feed the world, according to new research. The creepy crawlers’ larvae — better known as mealworms — could act as a meat alternative to alleviate hunger worldwide. The process uses a fraction of the land and water and emits a smaller carbon footprint in comparison of traditional farming.

To make this a reality, French biotech company Ynsect is planning a global network of insect farms, including nurseries and slaughterhouses. A pilot plant has already been been set up at Dole in the Bourgogne-Franche-Comte region of France.

Doesn’t that sound yummy?

Of course these “beetleburgers” will just be a drop in the bucket.

No matter what the global elite try, they will not be able to stop “the worst food crisis in modern history” from getting a whole lot worse.

So I would encourage you to stock up while you still can.

Global food supplies are getting a little bit tighter with each passing day, and I have a feeling that 2023 will have lots of “unexpected surprises” for all of us.

Helmet

2023 01 21 10 12
2023 01 21 10 12

These Fairytale Felted Houses For Cats Are A Must Have

1 26
1 26

It’s likely that if you’re a cat owner your feline companion(s) will have a favorite bed, house or spot to sit, sleep and play in. If you feel that maybe their existing love spot is getting a bit tattered and battered, and your cat wouldn’t be traumatized by a replacement, maybe you might be interested in upgrading to one of these awesome fairytale houses! Created by Yuliya Kosata from Ukraine, the felted houses come in a huge range of designs and color schemes. Take a look below to find out more and see what you think!

23 6
23 6

22 8
22 8

21 8
21 8

20 9
20 9

19 10
19 10

18 10
18 10

17 10
17 10

16 11
16 11

15 13
15 13

14 13 1
14 13 1

13 13 1
13 13 1

12 16 1
12 16 1

11 16 1
11 16 1

10 18
10 18

9 19
9 19

8 20
8 20

7 20
7 20

6 21
6 21

5 23
5 23

4 25
4 25

3 26
3 26

2 26
2 26

Three hours of real-deal madness. Jesus H. Christ!

https://youtu.be/B2WVEla34Tk

China don’t need any help. First China will do everything the U.S. do. It if invade China. Then China would invade the US. It will reciprocate equally. You kill a million Chinese they will kill a million Americans. You drop a nuke in Shanghai they will drop a nuke in New York.

They will wiped off all the 12 aircraft carriers within a day. Everywhere in the world. If the bomber comes from Japan. God help Japan. There are at least a hundred and fifty countries out of the 195 nations that will volunteer to do shit on US, UK and its fellow parasite nations.

But China need no one.

3 Women Describe What’s It Like To Be Ugly In A Superficial World

1. I’m not a pretty woman and it shows in everyday life and it hurts.

As much as being hit on and catcalled is scary and I’m SO sorry to those it happens too, I get the opposite. All I ever see are posts on being catcalled and I just wanna talk about my experiences. I get moo’d at and barked at like a dog and vomit noises. We have a creepy old regular where I work that’s calls all the girls beautiful but is disgusted by me and has made negative comments on my appearance and literally told me to get out of his field of vision.

People act super awkward and their eyes dart all over the place trying to avoid making eye contact when I talk to them. Other times people are just straight up MEAN and dismissive of me, but treat everyone else with respect.

I’ve been bullied and called ugly my whole life. Ever since I was 5 years old. Kids singled me out and were mean, and even the moms in my Girl Scout troop treated me differently.

I remember in high school these two guys were sitting behind me and one just loudly asked the other if he would fuck me. The answer was a loud resounding “HELLLLL NAWWWW.” Of course if the answer had been the opposite, anyone would be creeped out and feel unsafe, but this moment still sticks with me and hurts for some reason.

I’m physically in shape now but my face is just fucking weird. It’s so unsymmetrical and disproportionate and you can see every single little blood vessel in my face and I have genetic dark circles I can’t seem to conceal. Even with regular dentist appointments and good dental hygiene, I just have shit teeth that crack and break. My forehead is huge and I have a double chin that won’t go away and my eyebrows are wildly different from each other. My nose has been broken twice so you can only imagine what that looks like now.

I don’t take selfies. I hate pictures of myself and even still it hurts how friends and family don’t want to take any pictures with me. I do have a son and the whole reason I spiraled into typing this post was I have a photographer friend who offered to do valentines portraits of me and my son as a gift. I accepted FOR MY SON, not for me, and I’m not looking forward to it and it’s making me so sad. Every picture I see of myself I get super depressed.

I have good hygiene. I bathe and smell good. I dress nice. I AM nice. But the few friends I have and even my mother have admitted I’m not exactly the most attractive woman so that just confirms everything else.

I’m sorry for this post and I don’t mean to invalidate anyone else’s feelings or experiences. I just really wanted to rant.

2. I’m ugly. I know I’m ugly. I have known that I am ugly since I was twelve years old.

Before then I thought I was simply fat, and that when I lost all that fat, that I would be beautiful and valuable. When I was twelve I lost two stone, and realised I was simply, irretrievably, ugly.

My most prominent feature is my long, hooked, nose. My eyes are tiny and so close together I can only use children’s glasses. I am twenty one and still constantly get large, red spots.

My hair is a thick, brown mess of frizz. I have a wide ribcage and broad hips, which leave me with a very broad figure no matter how much weight I lose. I have very small breasts, which, coupled with my wide hips leave me perpetually pear-shaped.

To top it all off I am tall, 5′ 10″ in stocking feet, so there is never an option of blending into the crowd. I am always seen, and always ugly.

The world of an ugly woman is different to that of a beautiful woman in so many ways I could not begin to explain it all. I can, however, briefly sketch the strange differences I have observed between how society treats ugly women, and how society treats beautiful women.

My sister is beautiful. I have many beautiful friends. I live in the same world as beautiful women. I am not one of them. They are celebrated, remembered, asked after. People are good to beautiful women, even when beautiful women are indifferent, hostile or even cruel in return. People remember my sister’s name and instantly forget me. When we are introduced to new people together, nine times out of ten if I meet that person again they will immediately ask where my sister is, how she is, what she is doing. I am never asked about myself and she is never asked about me.

My beautiful friends are photographed by friends and acquaintances. I am silently left out of the records of social events. I am erased from history because I am too ugly to be photographed. Strangers compliment my sister and my friends, strangers insult and ridicule me.

Men might think that perhaps they live in the same world that I do, but they don’t. Even ugly men live in a different world to me. I have never seen, or heard of, a man experiencing the same level of public condemnation for their looks that I have faced. The most recent example I can think of is the man who stopped in the street last week to tell me that I shouldn’t be wearing tinsel on my head like my friends (we were going for Christmas drinks) because I was so ugly. This is not rare for me and this is not new. This has been my life since I was a young teenager.

When I see discussions about catcalling I want to scream at the people who tell women that they should be complimented. What should I do when someone yells at me, unprovoked, that I am an ugly minger? I know I am ugly. There is literally nothing I can do about it. I’m trying my best already!

There is hope for ugly men in popular culture. We celebrate the story of the ugly, or at least not conventionally attractive male, who finally gets his, inevitably beautiful, female crush to realise how much he is worth on the inside and how worthy he is of her love. That story never happens in reverse. There are just no famous actresses that are anything other than conventionally beautiful.

Nobody writes books about ugly women. No one makes films or plays, or songs or art of any sort about ugly women. In fact, we’re not there at all. In popular culture, and culture stretching back as far as human memory goes, ugly women are not there. We don’t exist and nobody talks about us. Beautiful women are the only women we see or hear about, and most crushingly, the only women we remember. The ugly ones, no matter what they do, seem to be simply invisible. Invisible or evil and bad.

We shouldn’t be surprised by this, though, we tell children stories of the good, beautiful princess and the evil, ugly witch. We make this happen.

I am ugly. I will not be remembered. I will never be the protagonist of any story told. I hate being ugly. I hate myself. The end.

3. Every morning when I wake up, I want to go back to sleep. Not because I’m tired, but because I can’t face the world like this. I dress myself up as best as I can manage, and I do my hair by physical memory. I avoid the mirror.

Whenever I look in the mirror, I want to throw up. I want to rip my eyes out and never see again. I wish I could go to the store and return the parts of my face. Tell them: “This wasn’t what I ordered. I need a refund”. Get a replacement and finally love myself.

It’s hard to love yourself when no one loves you. A guy asks you out because of a dare. Just when you think you finally got someone to like you, they laugh at you and call you names. “Squidward”, “witch without the wart”, “forehead higher than my grades”, stuff you wish was a joke. It never is.

I have a crush now. We talk all the time. Sometimes about life, sometimes about our hobbies, sometimes about nothing really. I would definitely say we’re close. When I confessed, I admit I was kind of hopeful. I thought ‘maybe this time will be different. Maybe he would at least consider it’….But of course I was rejected. He wants his beautiful friend, not me. That kind of stuff always happens when you’re ugly. I couldn’t get someone to go out with me if I paid them.

See, as a woman, it doesn’t matter what other merits you have. It doesn’t matter that I’m a hard worker, people seem to think I’m funny, and I have some of the best grades in my entire school. It doesn’t matter that I read and research topics for a better understanding, and that I like having conversations about them. It doesn’t even matter that I have many skills. I’m ugly, therefore I am worthless. I’m ugly, so no one will ever want to date me.

When you’re ugly, you start considering the options. You look to plastic surgery because you know it’s the only way out. But plastic surgery is uncomfortable, it’s expensive, and it might not even work. God dammit.

I don’t believe in god, but I’m starting to think I should. Maybe some magical space man can make my face beautiful. Maybe some fairy in the sky can get someone to love me. Then I’d be happy. Even if it’s just for a little while.

A very realistic analysis of our global economy.

All empires eventually collapse. This has been proven throughout history.

America’s time has come.

The reasons are manifold:

  • Internal political corruption, mostly from runaway capitalism.
  • Massive national debt, currently at over $30 trillion.
  • Endless money printing which is unsustainable.
  • Extreme economic inequality which is causing massive discontent among the population.
  • Over-reliance on global militarism which causes enormous financial strain.
  • Numerous domestic issues such as gun violence and mass shootings, systemic racism, mass incarceration, homelessness, unaffordable health care, etc.
  • Loss of credibility around the world, for example, most countries refuse to follow USA’s sanctions against Russia, OPEC refuses to increase oil production at USA’s request, SE Asia refuses to join USA in a coalition against China, and so on.
  • BRICS is creating an alternative reserve currency to the US Dollar. That means no more sanctions.

Discovery

2023 01 21 10 14
2023 01 21 10 14

Polar Bear Kills Two In Alaska Village

A polar bear killed a woman and boy Tuesday afternoon in the Northwest Alaska community of Wales, according to Alaska State Troopers.

Troopers received a report of a polar bear attack around 2:30 p.m., troopers said in an online report. According to initial accounts, a polar bear came to the village and chased several residents, troopers said.

The bear killed a woman and a boy, troopers said. Another Wales resident shot and killed the bear “as it attacked the pair,” troopers said.

The two people who were killed in the mauling weren’t identified in the report, and troopers said officials are working to notify their next of kin.

Austin McDaniel, a spokesman for the Alaska Department of Public Safety, said troopers are coordinating with the Alaska Department of Fish and Game as they try to send personnel to Wales as soon as the weather allows.

Wales — a predominantly Inupiaq village of fewer than 150 people — is located on the far western edge of the Seward Peninsula bordering the Bering Strait, just over 100 miles northwest of Nome.

In winter, polar bears can be found as far south as St. Lawrence Island, occasionally traveling even farther south, according to the Alaska Department of Fish and Game. Subsisting primarily on a diet of marine mammals, males can grow to be up to 1,200 pounds, females up to 700 pounds, with no natural predators beyond humans.

Fatal polar bear attacks are extremely rare in Alaska. In 1990, a polar bear killed a man in the North Slope village of Point Lay. Biologists later said the animal showed signs of starvation. In 1993, a polar bear burst through a window of an Air Force radar station on the North Slope, seriously mauling a 55-year-old mechanic. He survived the attack.

Escape Into The Glass Rivers And Lakes Of These Beautiful Wood Tables

1 78
1 78

If getting lost in a coffee table sounds improbable, you may change your mind once you see these beautiful furnishings. Artist and designer Greg Klassen transforms reclaimed wood into mesmerizing works of art embedded with glass rivers and lakes. Klassen’s newest works include a variety of coffee tables of different sizes and shapes, as well as wall hangings.

8 51
8 51

7 54
7 54

6 56
6 56

5 62
5 62

4 66
4 66

3 69
3 69

2 68
2 68

What a find!

2023 01 21 10 15
2023 01 21 10 15

Overworked Employee Quits Because He Wasn’t Getting A Fair Wage, Costs The Company $40 Million

“The Trains of Silence” (1965)

Just discovered this fabulous series.

Great scripts. Famous actors. Tight direction. Great settings. Luscious color. Way ahead of any thing today.

2023 is a year of the Water Rabbit let’s take glimpses of the past to see the future

Let’s have a nice and easy slide into the new year of the Rabbit.

2023 is a year of the Water Rabbit, starting from January 22nd, 2023 (Chinese New Year), and ending on February 9th, 2024 (Chinese New Year's Eve). The sign of Rabbit is a symbol of longevity, peace, and prosperity in Chinese culture.

2023 is predicted to be a year of hope.

I’ll gather up some videos and put it up on you-tube when I get a chance.

Let’s check out today’s post…

Back in the day…

Most of us believe that Victorian era was a grim and serious era, full of hardworking people, so that they didn’t even have time to enjoy their lives and having some fun. While this isn’t true, because cameras were very expensive and for a single photograph one had to sit in static position with same facial expression from few seconds to 10 minutes. So it seems impossible for a person to smile or laugh for minutes, that’s why majority of the Victorians preferred to sit in static position with strict expressions.

Hilarious side of Victorian era life 1890s38
Hilarious side of Victorian era life 1890s38

Hilarious side of Victorian era life 1890s37
Hilarious side of Victorian era life 1890s37

Hilarious side of Victorian era life 1890s36
Hilarious side of Victorian era life 1890s36

Hilarious side of Victorian era life 1890s35
Hilarious side of Victorian era life 1890s35

Hilarious side of Victorian era life 1890s31
Hilarious side of Victorian era life 1890s31

Hilarious side of Victorian era life 1890s30
Hilarious side of Victorian era life 1890s30

Hilarious side of Victorian era life 1890s29
Hilarious side of Victorian era life 1890s29

Hilarious side of Victorian era life 1890s28
Hilarious side of Victorian era life 1890s28

Hilarious side of Victorian era life 1890s26
Hilarious side of Victorian era life 1890s26

Hilarious side of Victorian era life 1890s25
Hilarious side of Victorian era life 1890s25

Hilarious side of Victorian era life 1890s24
Hilarious side of Victorian era life 1890s24

Hilarious side of Victorian era life 1890s23
Hilarious side of Victorian era life 1890s23

Hilarious side of Victorian era life 1890s22
Hilarious side of Victorian era life 1890s22

Hilarious side of Victorian era life 1890s21
Hilarious side of Victorian era life 1890s21

Hilarious side of Victorian era life 1890s20
Hilarious side of Victorian era life 1890s20

Hilarious side of Victorian era life 1890s19
Hilarious side of Victorian era life 1890s19

Hilarious side of Victorian era life 1890s18
Hilarious side of Victorian era life 1890s18

Hilarious side of Victorian era life 1890s14
Hilarious side of Victorian era life 1890s14

Hilarious side of Victorian era life 1890s12
Hilarious side of Victorian era life 1890s12

Hilarious side of Victorian era life 1890s8
Hilarious side of Victorian era life 1890s8

Hilarious side of Victorian era life 1890s6
Hilarious side of Victorian era life 1890s6

Hilarious side of Victorian era life 1890s5
Hilarious side of Victorian era life 1890s5

Hilarious side of Victorian era life 1890s4
Hilarious side of Victorian era life 1890s4

Hilarious side of Victorian era life 1890s2
Hilarious side of Victorian era life 1890s2

The All New Baby Safety Seat. Never Leave Your Kid Inside A Hot Car While You Shop Again. Late 1950s, Early 1960s

63be8adb0f76b ak6hpowiyvh91 700
63be8adb0f76b ak6hpowiyvh91 700

East Texas Buttermilk Pie

buttermilk pie2
buttermilk pie2

Ingredients

  • 1 (9-inch) pie shell, baked
  • 3 rounded tablespoons flour
  • 1 1/2 cups granulated sugar
  • 1/2 teaspoon salt
  • 1/2 cup butter, melted
  • 3 eggs, slightly beaten
  • 1 cup buttermilk
  • 1 teaspoon vanilla extract
  • Nutmeg, to taste (optional)
  • Cinnamon, to taste (optional)

Instructions

  1. Mix flour, sugar and salt; add to butter.
  2. Add eggs, buttermilk and vanilla extract. Mix and pour into pie shell; sprinkle with nutmeg and cinnamon, if desired.
  3. Bake at 350 degrees F for 50 minutes. Test with knife. It should come out clean when pie is done.

https://youtu.be/6Aa-zF6-yGE

We Won’t Be Fooled Again – Inflation Is Most Definitely Not “Under Control”

.

Inflation is going down!  Let’s all celebrate!  We all knew that when the Federal Reserve began aggressively hiking interest rates it would have an impact on inflation.  Higher rates have caused a new housing crash, they have crushed the tech industry, and they have sparked the biggest wave of layoffs that we have seen since the Great Recession.  We have entered a significant economic downturn, so it was inevitable that the annual rate of inflation would start to moderate.  But as I will explain below, that doesn’t mean that inflation is now “under control”.  The real rate of inflation is much higher than we are being told, and people all over the country are being absolutely crushed by the rising cost of living.

Let’s start with the good news first.  According to the Labor Department, the annual rate of inflation is rising at the slowest pace since October 2021

Consumer prices increased 6.5% from a year earlier, down from 7.1% in November and a 40-year high of 9.1% in June, according to the Labor Department’s consumer price index, a measurement of what people pay for goods and services, which labor released on Thursday.

The rise last month marks the slowest annual gain since October 2021 and matches economists’ estimates.

Okay, but Fox Business has just reminded us that the annual rate of inflation “remains about three times higher than the pre-pandemic average”

Still, inflation remains about three times higher than the pre-pandemic average, underscoring the persistent financial burden placed on millions of U.S. households by high prices.

So we are still definitely in a high inflation environment.

But let’s dig deeper.

Most Americans don’t realize that the way that the inflation rate is calculated has literally been changed more than two dozen times since 1980.

And every time it has been changed, the goal has been to make inflation appear to be lower than it actually is.

If the rate of inflation was still calculated the way that it was back in 1980, the real rate of inflation would be close to 15 percent right now.

That would be comparable to the peak inflation that we witnessed during the Jimmy Carter era.

So don’t let anyone try to convince you that inflation is “low” or “under control” or anything like that.

The main reason why the rate of inflation moderated somewhat during the month of December is because energy prices have been falling

Americans saw some real reprieve last month in the form of lower energy costs, which fell 6.1% in December. Gas prices dropped 12.5% over the month, the biggest contributor to the overall headline decline in inflation in December.

That is great news, but it is already being projected that gas prices will rise significantly later this year.

And once war in the Middle East erupts, gas prices will go to heights that most people never even dreamed was possible.

Meanwhile, services inflation has just spiked to a level that we haven’t seen in decades.

The cost of living has become extremely oppressive, and the American people are becoming increasingly frustrated by this.

I would like to share a video with you that illustrates what I am talking about.

The woman in this video doesn’t understand all of the numbers that I have just shared in this article.  All she knows is that when she goes to the grocery store, prices are way higher than they once were.  This video contains some graphic language, and I apologize for that in advance.  But I want you to see her anger, because this is how millions upon millions of Americans are feeling about inflation right now.

 

Would you like to be the one that tries to convince her that inflation is “under control” now?

Sadly, the truth is that over the past few years the cost of living has been rising faster than our paychecks have, and so U.S. families have steadily been getting poorer

The average American family has lost the equivalent of more than a month’s salary in annual income since President Biden took office as high inflation and rising interest rates eat away at their finances, according to research by the Heritage Foundation.

Experts at the conservative think tank analyzed consumer prices and interest rates and found in their latest report released Thursday that the average American household has lost the equivalent of $7,400 in annual income since Biden’s inauguration Jan. 20, 2021. The income loss represents an increase of $200 from September, when the think tank’s research found a $7,200 decline in annual income for the average American household dating back to the start of Biden’s term.

Prior to the pandemic, we were in a low inflation and low interest rate environment.

Now that the Federal Reserve has dramatically hiked interest rates, we now find ourselves in a high inflation and high interest rate environment.

And higher interest rates are also hammering our standard of living

While their elected representatives in D.C. struggle to pay the nation’s bills, Americans are facing a similar challenge as their household budgets are stretched thin due to inflation and higher borrowing costs. Those financial challenges led more than one-third of households to rely on credit cards or loans to buy necessities in December. Average credit card interest rates reached a new record high of 19.14% APR compared to a Bankrate.com database.

“Americans are increasingly relying on credit cards to make it from paycheck to paycheck, resulting in higher levels of indebtedness. Rising credit card balances in an era of rising interest rates is a path to insolvency,” Antoni told FOX Business. “The average interest rate on credit cards is now around 20 percent while half of Americans cannot pay off their credit cards each month, and balances are growing at a 16 percent annual rate.”

We are getting hit from both ends.

We have to pay more to buy the things that we need, and we have to pay higher interest rates when we borrow money to pay for those things.

The Federal Reserve has lost control, and we are careening toward the sort of historic economic crisis that I have been warning about for years.

But those that are under the spell of the corporate media will continue to assume that everything is fine and that our leaders have a plan to get us out of this mess.

I truly wish that was true.

Unfortunately, the short-term economic outlook is extremely dismal, and prominent voices all over Wall Street are warning that 2023 will be a really rough year.

Remarkable Behind-The-Scenes Photos From ‘Back To The Future’ That Will Bring You Back To The ’80s

1 63 1
1 63 1

Some people have speculated for a while now that there will be a remake of the legendary movie series Back To The Future. But this mindset is not without criticism and skepticism since many fans feel that without Michael J. Fox and Christopher Lloyd there simply is no way that a remake would be good enough to even be considered.

2 52
2 52

Here’s a great collection of rare behind the scenes photos for Robert Zemekis’ film Back to the Future. These images came from the incredibly well researched Back to the Future site Outatime, offer a candid behind the scenes look at this classic movie. Be sure to check out these 70 pics below, and let us know what your favorite Back to the Future scene is.

55 2
55 2

54 2
54 2

53 2
53 2

52 1 1
52 1 1

51 1 1
51 1 1

50 1 1
50 1 1

49 1 1
49 1 1

48 1 1
48 1 1

47 1 1
47 1 1

46 1 1
46 1 1

45 1 2
45 1 2

44 1 1
44 1 1

43 1 1
43 1 1

42 2
42 2

41 2
41 2

40 2 1
40 2 1

39 2 1
39 2 1

38 2 1
38 2 1

37 2
37 2

36 2
36 2

35 2
35 2

34 2
34 2

33 4
33 4

32 4 1
32 4 1

31 4 1
31 4 1

30 5 1
30 5 1

29 8
29 8

27 8 1
27 8 1

26 8 2
26 8 2

25 9
25 9

24 9 1
24 9 1

23 9
23 9

22 9
22 9

21 11 1
21 11 1

20 11 1
20 11 1

19 12
19 12

18 14
18 14

17 14
17 14

16 18
16 18

@15 20
@15 20

14 23 1
14 23 1

13 23 1
13 23 1

12 29
12 29

11 31
11 31

10 33
10 33

9 32
9 32

8 36
8 36

7 39
7 39

6 42
6 42

5 43
5 43

4 47
4 47

3 51
3 51

63be9214e2cf0 ifonku7dl9z21 700
63be9214e2cf0 ifonku7dl9z21 700

103410713 2961405230644044 3070169743646097525 n 63bfe36b13c8c 700
103410713 2961405230644044 3070169743646097525 n 63bfe36b13c8c 700

Yikes!

63be92f09620e uqv4yerp97301 700
63be92f09620e uqv4yerp97301 700

Vision-Dieter glasses

There have been countless weight loss hoaxes over the years, from pills and elixirs to topical treatments, fad diets, and more. One product sold in the 1970s with glaringly false claims was Vision-Dieter glasses, which were said to decrease cravings and hunger by using “secret European color technology.”

The initial objective of the creator was to manufacture glasses that would distort the color of food packaging in hopes of making shoppers less likely to purchase products just because they were in colorful containers. But realizing how much money could be made in the dieting field, he decided to market the glasses as a tool for consumers who were trying to lose weight.

It should come as no surprise that the Food and Drug Administration took action. These color-tinted weight reduction glasses were seized due to misbranding. Most pairs were eventually destroyed by the FDA when the claimant refused to come forward.

Totally cool!

2023 01 21 09 54
2023 01 21 09 54

Recently discovered?

2023 01 21 09 58
2023 01 21 09 58

A Starfish Waking Back To The Water

2 63bfe04ddbef1 700
2 63bfe04ddbef1 700

Chef Eddie Jackson’s Smoky Texas Chili
with Cheddar Jalapeño Dumplings

This chili is absolutely divine. It’s rather labor-intensive, but the result is well worth the effort.

chef eddie smoky chili
chef eddie smoky chili

Yield: 16 servings

Ingredients

Smoked Chuck Roast

  • 4 pounds beef chuck roast, smoked, cut into 1 inch cubes
  • Salt and pepper

Chili

  • 1 tablespoon vegetable oil
  • 1 large yellow onion, diced
  • 1 red bell pepper, coarsely chopped
  • 1/4 cup dark chili powder
  • 1 tablespoon smoked paprika
  • 1 teaspoon paprika
  • 1 tablespoon cumin
  • 1 tablespoon fine black pepper
  • 1 teaspoon garlic powder
  • 2 roasted poblanos, coarsely chopped
  • 1 quart (4 cups) beef stock
  • 1 (28 ounce) can crushed tomatoes
  • 1 teaspoon Mexican oregano
  • 1 teaspoon beef base
  • 1 tablespoon Worcestershire sauce
  • Kosher salt to taste (optional)

Cheddar Jalapeño Dumplings

  • 1 cup cornmeal
  • 1 cup all-purpose flour
  • 1 teaspoon baking powder
  • 1/2 teaspoon salt
  • 1/4 cup honey or 1/2 cup granulated sugar
  • 2 eggs
  • 1 cup buttermilk
  • 1/4 to 1/2 cup shredded sharp Cheddar cheese
  • 1 small jalapeño, finely diced

Instructions

Smoked Chuck Roast

  1. Add wood chunks, chips, pellets or charcoal to smoker according to manufacturer’s instructions. Preheat to 250 degrees F.
  2. Season trimmed chuck roast generously with salt and pepper.
  3. Place chuck roast on rack in smoker according to manufacturer’s instructions. Set timer for 8 hours.
  4. After 4 hours, or when the roast reaches an internal temperature of 180 degrees F, wrap with unwaxed butcher paper and place back on smoker.
  5. After 4 more hours, or when the roast reaches 208 to 210 degrees F internal temperature, remove roast from smoker.
  6. Let rest in the butcher paper for at least 1 hour.
  7. Slice the roast into cubes right before adding to the chili.

Chili

  1. In a Dutch oven, heat vegetable oil over MEDIUM-HIGH heat. Add diced onion and sprinkle with salt, if desired. Saute until onions are translucent, about 5 minutes.
  2. Add the red peppers and saute for 2 minutes.
  3. Add chili powder, paprika, cumin, black pepper, and garlic powder stirring frequently for about a minute allowing the spices to bloom, but not burn.
  4. Add the cubed smoked chuck roast and poblano peppers. Once all ingredients are coated with spices, stir in beef stock and tomatoes to the pot, deglazing the bottom.
  5. Add oregano, beef base, and Worcestershire sauce to the pot. Season with salt and pepper to taste.
  6. Bring chili to a boil then turn stove to LOW heat and simmer covered for 40 minutes, stirring occasionally.Meanwhile, make Cheddar Jalapeño Dumplings.

Cheddar Jalapeño Dumplings

  1. Mix together cornmeal, flour, baking powder, salt, and sugar for Cheddar Jalapeño Dumplings.
  2. Add eggs folding gently to combine. Then stir buttermilk into the mixture until combined.
  3. Fold in cheese and jalapeños, being sure not to over mix the batter.
  4. Place 1 to 2 ounce dollops of dumpling batter into the chili. Continue to simmer chili, covered, for 20 minutes or until dumplings are firm, but fluffy.
  5. Serve chili in bowls garnished with shredded cheese, sliced scallions, cilantro leaves and a dollop of sour cream, as desired.

You Guys Are Cute With Your Scary Spiders. I Found This Under My Couch A Month After I Fumigated

63be8a890cd7b Qppbq 700
63be8a890cd7b Qppbq 700

This is “Wow Cool”!

I imagine the plumage must have been impressive.

2023 01 21 10 03
2023 01 21 10 03

Cool Pics That Show How People Enjoyed Parties In The 1970s

0 8 1
0 8 1

Here below is a photo collection that shows how people enjoyed parties from the 1970s.

32 3
32 3

31 3
31 3

30 d5
30 d5

29 5 2
29 5 2

28 5 1
28 5 1

27 5
27 5

26 5
26 5

25 5
25 5

24 5
24 5

23 5
23 5

22 5
22 5

21 5
21 5

20 5
20 5

19 5
19 5

18 5
18 5

17 5
17 5

16 5
16 5

15 5
15 5

14 5
14 5

13 7 1
13 7 1

12 8
12 8

11 10
11 10

10 10
10 10

9 10 1
9 10 1

8 13
8 13

7 14
7 14

6 15
6 15

5 16
5 16

4 16
4 16

3 16
3 16

2 16
2 16

1 17
1 17

This Will Haunt My Dreams

63be94d7dcb9c 5q14jalhj0f21 700
63be94d7dcb9c 5q14jalhj0f21 700

We Are Witnessing An Enormous Wave Of Bankruptcies And Layoffs During The Early Stages Of 2023

.

Is your job safe?  Right now, we are witnessing so much turmoil is so many different sectors of our economy.  The housing market is crashing, the cryptocurrency industry has imploded, the tech industry is laying off workers at an extremely frightening pace, and some of our most important retailers are heading into bankruptcy.  The information that I am about to share with you is deeply troubling.  It has become exceedingly clear that our economy is in huge trouble, and I fully expect that our problems will accelerate even more as the year rolls along.

Let me start by pointing out what is currently happening at Microsoft.  It is one of the wealthiest companies in the entire world, but due to a shift in “macroeconomic conditions” executives have decided that it has become necessary to lay off 10,000 workers

Microsoft announced thousands of job cuts this week, becoming the latest tech company to pluck its workforce as the global economy slows.

The software company confirmed Wednesday its reducing workforce by 10,000 people through the end of the third quarter of the 2023 fiscal year.

The cuts come “in response to macroeconomic conditions and changing customer priorities,” the company’s CEO Satya Nadella released in a statement to its employees Wednesday.

If even Microsoft is laying off thousands of workers, is any job in the private sector truly safe?

Meanwhile, some of the biggest names in the retail industry are plunging into bankruptcy now that the holiday season is over.

On Tuesday, it was Party City’s turn

Party City filed for bankruptcy protection Tuesday, weighed down by competition and years of financial losses.

The largest party goods and Halloween specialty retail chain in the United States said in a regulatory filing that it reached an agreement with debtholders to cut its $1.7 billion debt load.

Even more alarming is the fact that it is being reported that a bankruptcy filing for Bed Bath & Beyond has become “likely”

Bed Bath & Beyond has been in discussions with prospective buyers and lenders as it works to keep its business afloat during a likely bankruptcy filing, according to people familiar with the matter.

The retailer is in the midst a sale process in hopes of finding a buyer that would keep the doors open for both of its major chains, its namesake banner and Buybuy Baby, said the people, who weren’t authorized to discuss the matter publicly.

So many brick and mortar retailers are really struggling right now, and many of them are blaming competition from Internet retailers such as Amazon.

But if Amazon is doing so well, why did they start laying off approximately 18,000 workers on Wednesday?

Earlier this month, Amazon CEO Andy Jassy told employees in a blog post that the company was laying off about 18,000 people as it seeks to cut costs and would begin contacting impacted employees on Jan. 18.

“Amazon has weathered uncertain and difficult economies in the past, and we will continue to do so,” Jassy said in the Jan. 4 post. “These changes will help us pursue our long-term opportunities with a stronger cost structure.”

The wave of layoffs that we have been witnessing in the tech industry is truly unprecedented.

Prior to this week, more than 25,000 tech industry workers had already been laid off this year, and this comes on the heels of the massive layoffs that we saw last year…

According to the data tracking website, more than 101 tech companies around the world have laid off 25,436 employees so far in 2023. Most of the layoffs have taken place in the United States, accounting for 22,400 employees fired.

The number of workers being laid off from tech companies is a trend that is continuing since 2022, when 154,336 workers were fired from over 1,000 tech companies around the world, according to the data.

But at least the tech industry is in far better shape than the cryptocurrency industry is.

Let me share four major announcements that have all happened within the past 10 days…

#1 It is being reported that Genesis Global Capital “is laying the groundwork for a bankruptcy filing”

Genesis Global Capital is laying the groundwork for a bankruptcy filing as soon as this week, according to people with knowledge of the situation.

The cryptocurrency lending unit of Digital Currency Group has been in confidential negotiations with various creditor groups amid a liquidity crunch. It has warned that it may need to file for bankruptcy if it fails to raise cash, Bloomberg previously reported.

#2 Crypto.com announced that it will be laying off “20% of its workforce”

Crypto.com announced plans to lay off 20% of its workforce Jan. 13. The company had 2,450 employees, according to PitchBook data, suggesting around 490 employees were laid off.

CEO Kris Marszalek said in a blog post that the crypto exchange grew “ambitiously” but was unable to weather the collapse of Sam Bankman-Fried’s crypto empire FTX without the further cuts.

#3 Coinbase has decided “to cut about a fifth of its workforce”

On Jan. 10, Coinbase announced plans to cut about a fifth of its workforce as it looks to preserve cash during the crypto market downturn.

The exchange plans to cut 950 jobs, according to a blog post. Coinbase, which had roughly 4,700 employees as of the end of September, had already slashed 18% of its workforce in June saying it needed to manage costs after growing “too quickly” during the bull market.

#4 The founder of cryptocurrency exchange Bitzlato has actually been arrested.  Apparently he was laundering money on a scale of epic proportions…

The founder of the Hong Kong-based cryptocurrency exchange Bitzlato was arrested early Wednesday in Miami in connection with a vast money laundering operation, accused of transmitting more than $700 million in illicit funds in the past four years.

Deputy Attorney General Lisa Monaco said Anatoly Legkodymov, 40, a Russian national, oversaw a major “high-tech financial hub that catered to known crooks,” including cybercriminals and drug dealers seeking to process dirty money.

The cryptocurrency industry will never look the same again after all of this turmoil.

On top of everything else, the Saudis appear to be poised to make a major move that could literally change everything.

At the yearly gathering of the World Economic Forum in Davos, the Saudi finance minister decided to drop a bombshell

Saudi Arabia is open to discussions about trade in currencies other than the US dollar, according to the kingdom’s finance minister.

Needless to say, this could potentially completely undermine the dominance of the petrodollar.

Of course we cannot afford to have that happen, because the dominance of the dollar is one of the only things that is keeping our system afloat.

At this point just about everything is moving in the wrong direction for the U.S. economy, but most people still do not understand the bigger picture.

A lot of the “experts” assume that we will just suffer through a temporary recession and then things will eventually return to normal.

I wish that was true.

Unfortunately, our entire system is starting to crack and crumble all around us, and those that are currently running things are not going to be able to put it back together again.

2023 01 21 10 00
2023 01 21 10 00

I'm a retired trauma nurse, and, you did EXACTLY what you should do. Cold truly does slow the dying process!! Im in love with that adorable little fur ball!!! You are a genuinely good and kind person!!!

On the back side…

2023 01 21 10 01
2023 01 21 10 01

Never underestimate Joe’s ability to fuck things up

"Never underestimate Joe's ability to fuck things up."

-Barack Hussein Obama, 44th President of the United States

Apparently, that includes (potentially) destroying human civilization via nuclear hellfire.

Posted by: Monos | Jan 4 2023 19:25 utc | 3

I started a new technique on my affirmation campaigns. Instead of using my spreadsheet on the computer, I am simplifying the text into short sentences, and putting them all in a tiny little booklet. Easy for me to read quickly and simply. I have the strong urge to move away from an over reliance on computers for affirmation campaigns. Hum.

I hope this post finds you well. Some art. Some funny stuff. A tiny bit of Geo-political stuff. Some food. Some cats.

Basic MM fare. Enjoy.

The bitch slap of reality

main qimg b2473dab821b03e89bf1aac5fb56fc13 lq
main qimg b2473dab821b03e89bf1aac5fb56fc13 lq

UPDATED 11:50 AM EST — NATO “Contact Group” (War Council) Meeting Now at Ramstein Air Base – Germany – Over Ukraine

.

At least thirty-two (32) military Generals have convened for a meeting at Ramstein Air Base in Germany, to discuss future “aid” and “tactics” for the ongoing Ukraine-Russia conflict.  Today, the world will find out if Germany will approve the sending of German tanks to Ukraine – a “Red Line” for Russia.

Germany manufactures the Leopard-2 main battle tank.  Several other countries in Europe have purchased a number of these tanks over the years, and want to ive them to Ukraine, so as to fight Russia, but there’s a problem.

When a country manufactures weapons, and then sells those weapons to another country, the sale is contingent on the receiving country agreeing NOT to re-sell (or give) those weapons to anyone else without permission of the originating country.

In this case, Poland want to give the Leopard-2 tanks they bought, to Ukraine.   Germany has not given permission, so the tanks cannot go.

Last week, the German Defense Minister, Lebrecht, resigned  There is now a new Defense Minister, and he is attending today’s meeting at Ramstein Air Base.

Leaked information coming out of Germany indicates the new minister will approve the transfer of Leopard-2 tanks, but right now, that is only RUMOR.

Yet, there are additional problems.

Through political back-channels, Russia has informed Germany that if German tanks attack Russian soil, it will be a violation of the Potsdam Treaty that ended World War Two.   That Treaty makes clear Germany is only allowed to have a “Defense Force” which can be used “for nothing else.”   Manufacturing tanks which are then sent to Ukraine to fight Russia, would be using the German military for “something else” and Russia’s position is that will violate the Demilitarization” provisions of the Potsdam Treaty.  Russia allegedly told Germany that if German tanks attack Russian soil “World War 3 will begin immediately.

The rub here is that the former oblasts of Luhansk, Donetsk, Kherson, and Zaporozyhe, have now joined Russia and been accepted by the Russian legislature, called the Duma.  So those territories are now “Russian soil.”

Ukraine does not recognize this, nor does the collective West.  They refer to those oblasts as having been “illegally annexed.”

So Russia views the territories as Russian soil, the West does not.  If Ukraine is given German tanks and use those tanks to attack “Russian Soil” it will re-start World War 2, or be known as “World War 3.”

That is how close the entire world is to horrifying war on a vast scale, and we all get to hear the decision about the German tanks, today.

UPDATE 11:50 AM EST —

The new German Defense Minister, Boris Pistorius has just publicly announced “NATO countries failed to reach a unified position regarding the supply of Leopard 2 tanks to Kyiv.”   He went on to say “”Statements by the media that Germany is on the way to creating a coalition to send heavy tanks to Ukraine are lies. There are good reasons to send equipment, but there are also reasons not to.”  All pros and cons must be weighed. Many allies share our point of view, Pistorius added.

Coffee Syrup

This is an old New England favorite. It is usually stirred into cold milk (2 to 3 tablespoons per glass). It can also be used to flavor milkshakes, or used as an ice cream topping.

autocrat
autocrat

Instructions

  1. Place enough coffee and water to make 6 servings. Run the coffee cycle as usual.
  2. When the coffee is finished brewing, discard the used coffee grounds and add to the filter a second quantity of coffee sufficient to make 6 servings. This time, instead of adding fresh water to the coffeemaker, pour the already-brewed coffee into the machine. Run the coffee cycle again. You’ll end up with double-strength coffee.
  3. Repeat the process again, using new coffee, but reuse the brewed coffee instead of water. In the end, you’ll have triple-strength brewed coffee.
  4. Measure the amount of brewed coffee. Add half as much sugar as there is brewed coffee. For example, if after the three brewing cycles you have 5 cups of brewed coffee, add 2 1/2 cups granulated sugar. Stir briskly until the sugar is dissolved. Make sure you add sugar while the coffee is hot so that the sugar dissolves.
  5. Store the syrup in a tightly covered jar in the refrigerator. It keeps a very long time.

Notes

You need a coffeemaker in which boiling water goes through the ground coffee in a filter and drips into a pot. The ingredient amounts will vary depending on your coffeemaker and how much syrup you want to make.

Multi-Domain Precision Warfare (MDPW)

China is pursuing a new military system known as Multi-Domain Precision Warfare (MDPW) to align its forces from cyber to space, an effort U.S. officials say is fueled by a need to counter the Pentagon’s Joint All-Domain Command and Control initiative.

Like JADC2, the MDPW core operational concept, as it’s known, relies on interlinked command and control, communications, computers, intelligence, surveillance and reconnaissance to quickly coordinate firepower and expose foreign weaknesses, according to the annual China Military Power Report, which the U.S. Department of Defense delivered to Congress in November.

The Chinese military, the People’s Liberation Army, “refers to systems destruction warfare as the next way of war,” the official added. Under that premise, warfare is no longer solely focused on the destruction of enemy forces; rather, it is won by the team that can disrupt, cripple or outright destroy the other’s underlying networks and infrastructure.

The U.S. considers China the No. 1 threat to its national security, with Russia a close second. Both have long invested in military science and technology.

Cheese Characteristics and Uses

American – Semi-soft, mild, smooth, light yellow or orange, usually cut into square slices; it does not separate when melted.

Crackers, English muffins, pretzels, apples and red grapes. Serve with beer, light white wine, ice-cold milk, tomato juice and lemonade.

Amish (Lacy) Swiss – There are different types of Amish Swiss which have been perfected by the Amish in different areas all around the country. The most commercially popular is a longhorn shaped Swiss cheese which develops small lace-like eyes. It is creamier in texture than regular Swiss cheese.

Ham and cheese sandwiches.

Anejo Enchilada – Mexico. A firm, pressed cheese rolled in paprika. This cheese is not as strongly flavored as Cotija but can be easily shredded or grated. It is commonly used as a topping or stuffing for enchiladas, burritos, and tacos.

Asadero – A smooth, yellow cheese with more “tang” than the mild Queso Quesadilla cheese. This cheese is ideal for baking because its stronger flavor adds to the appeal of a baked dish.

Asiago (ah-zee-AH-goh) – Piquant, sharp tasting cheese with a nutty, pleasantly-salty flavor. Asiago blends well with Cheddar, Parmesan or mozzarella. This cow’s milk cheese gets its name from from the village of Asiago in northern Italy. There are two types, Asiago d’allevo and Asiago pressato. Both fresh Asiago (delicate and sweet, made ​​with whole milk) and aged Asiago cheese (more savory in taste, aged from 3 to 12 months, and made with skim milk) may be purchased in the United States in many different grocery stores (including Walmart) and gourmet markets.

Asiago Pressato PDO is semisoft and a pale straw-color, and dotted with some small holes. Look for the mark Asiago POD or PDO ( protected designation of origin). Authentic Asiago comes from only four places in Italy – Vicenza, Trento, and parts of Treviso and Padua. The The symbol of authentic Asiago PDO is:

The d’allevo is made from partially skimmed cows’ milk and is beige in color with distinctive tiny holes running throughout the cheese. When ripe, the cheese can be soft and makes for a great table cheese, but when aged for a year or longer, it is used as a grating cheese. The flavor is rich, somewhat nutty, but mild. It may be coated with paraffin. It can range from a softer firm to a hard granular texture depending on aging. When grated, it melts quickly over heat. In a restaurant, ask for Italian Asiago PDO. Aged Asiago may be shaved or grated to serve over salads and pastas.

Pasta, figs, grapes, apples and pears. Serve with red wines, cider, cranberry juice and sparkling red grape juice.

Baby Swiss – The mildest, sweetest cheese of the family that includes Switzerland’s famous Emmentaler and Gruyere. Baby Swiss is notable for its light, almost white color, creamy texture and small holes. Ivory to pale yellow, creamy with small eyes, it melts well when shredded. It has a buttery, slightly nutty and sweet flavor and smooth melting characteristics. A smoked version is also available.

Cheese trays, sweet fruits and berries, croissants and muffins. Serve with fruity white wine, aged red wine, juices and ice-cold milk.

Basato – Uruguayan. Semi-hard and sharp. This unique table cheese can be used as you use Provolone.

Excellent in antipasto, sandwiches, as a topping, or in cooking. It shreds well.

Blue Cheese (Bleu Cheese) – Semi-soft white cheese with blue veins, sometimes crumbly interior. This is a generic term to describe many different types of cheeses made throughout Europe and North America. All blues begin as unpressed white cheese onto which a blue mold such as Penicillium roqueforti is dusted. The mold makes its way into the interior of the cheese via forty or so holes punched through the wheel of cheese as it ages. Most blues have a crumbly texture and a sharp, tangy flavor. Blue cheese melts quickly under heat when crumbled.

Serve blue cheese with robust, whole-grain crackers. Crumble blue into sour cream or plain yogurt as a dip, or into mayonnaise as a dressing. Pears, raisins, fruit breads and walnuts. Serve with full-bodied red wines, cappuccino, fruit juice and champagne. Port wine is the classic accompaniment.

Boursin – Soft, French dessert cheese. Rich and creamy with some tartness.

Good with fruit and wine.

Brick – Semi-soft. Ivory with numerous small round and irregular-shaped holes and an open texture. Shredded brick melts quickly under heat. Mild with a sweet, pungent flavor.

Apples, grapes, pears, onions, sweet crackers and dark bread. Serve with light red wines, beer, cran-apple juice, cider and sparkling mineral water.

Brie (bree) – A world-famous externally-ripened cow’s milk cheese that originated in the 13th-century near Paris. It is an easily recognized thin disc covered with a whitish bloom. This rind may be eaten depending on personal taste. At its peak, the cheese’s interior should be plump and glossy, but not runny or smelling of ammonia, which indicates over-ripeness. Its flavor (without the rind) may be best described as mildly tangy and fruity.

Serve Brie with a variety of fruits. Thin slices served on a sandwich with roast beef are quite tasty. Some people enjoy Brie baked in a pastry crust.

Camembert – Created in 1789 by Marie Harel, a peasant woman and said to have been christened by Napoleon himself, this cow’s milk cheese (40 to 45% fat) is world renown. 11 centimeters in diameter and 3 to 4 centimeter’s thick, this smooth creamy cheese with a soft white rind should be served at room temperature when perfectly ripe. You’ll know it’s perfectly ripe when it oozes thickly. If it is runny, it is overripe. An externally-ripened cows-milk cheese similar in appearance to Brie. Its flavor is only slightly more assertive than Brie, and its rind is edible.

Use Camembert as you would Brie.

Cantal – Firm, yellow cheese from France. Piquant flavor.

Good with wine or beer, for snacks, appetizers, desserts or cooking.

Cheddar – Hard, smooth, firm, it can be crumbly and have a white or orange color. Cheddars that are more mild melt well under direct heat whereas a sharper Cheddar will not melt as well and will perform better shredded and incorporated in a sauce. Ranges from mild to sharp, becoming sharper with age. Cheddar can be frozen but some of its moisture will be drawn out. This does not change the flavor but it does affect the texture. For this reason, once Cheddar has been frozen it is best suited for cooking.

Apples, pears, pumpernickel and rye breads, mushrooms and tomatoes. Serve with red wines, beer, apple cider or Port.

Chesire – Firm, moist, salty cheese from England. Sometimes crumbly. Rich and mellow.

Good for snacks, appetizers or dessert. Serve with dry red wine or beer.

Chevre – The French word Chevre is a generic term for cheese made from the milk of goats. Most Chevre made in the United States is a very fresh, soft white cheese shaped into small logs. Contrary to popular belief, its flavor is tangy, yet mild.

Colby – Hard cheese, although softer with a more open texture than Cheddar. It is light yellow to orange, has tiny holes and melts well when grated. Ranges from mild to mellow, lightly sweet to sharp and tangy and is often sold in longhorn shape. An American original, Colby is named for the town where it was invented. Colby is a “washed curd” cheese. The term “washed curd” indicates that during the cooking process the whey is replaced by water to reduce the curd’s acidity. In addition, the curd is not turned and stacked like a Cheddar, nor is it pressed quite as hard. The cheese which results is somewhat similar to Cheddar, but softer and moister with a mild, sweet flavor. Colby may be used just like Cheddar.

Apples, pears, pumpernickel and rye breads, mushrooms and tomatoes. Serve with red wines and beer, apple cider or Port.

Colby Jack – The colorful combination of a yellow cheese (Colby) and a white cheese (Monterey Jack). This mixture of two different cheeses gives Colby Jack a unique marbled look. It is generally sold in a full-moon or a half-moon shape when it is still young and mild in flavor. Eight ounce bars cut from 40 pound blocks are another popular way you’ll find this cheese packaged and sold.

Cotija – Known as the “Parmesan of Mexico,” this cheese is strongly flavored, firm, and perfect for grating. It is used in Hispanic cooking in a manner similar to the way Parmesan is used in Italian cooking.

Cotija is commonly used to add a lively garnish to common dishes: simply sprinkle on top of refried beans, salads, chili or lasagna. In Mexico, it is also widely used to enhance the flavor of many savory dishes by mixing directly into the casserole or recipe. In the U.S. it is increasingly popular on pasta.

Cottage Cheese – White with small or large individual moist curds that resist melting. Cottage cheese should not be frozen. Milky and mild.

Tomatoes, citrus fruit, herb or fruit breads, salads and vegetables; serve with white wine or ice-cold milk.

Cream Cheese – Soft, white, smooth, spreadable cheese that melts quickly and should not be frozen. Mild and slightly acidic, often flavored with fruits or herbs.

Fresh fruit, jams and jellies, fruit and nut breads and bagels; serve with cranberry or grape juices or a light white wine.

Duroblando – A strongly flavored Caribbean cheese that is firm, and has a mild smoked flavor. It is used for grating in a manner similar to Cotija.

Edam – Firm, coated in a red wax with a creamy yellow, semisoft to hard interior. It melts quickly under heat when shredded. Mild, slightly salty, nut-like flavor.

Mild Edam – Peaches, melons, apricots and cherries. Serve with fruity wine and lager beer, lemonade, flavored iced tea, apple juice and raspberry sparkling water.

Aged Edam– Apples and pears. Serve with fruity red or white wines and sparkling red cranberry juice.

Emmentaler – “Swiss” cheese from Switzerland. Hard and smooth, pale yellow cheese with large holes. Sweet, nutty flavor.

Good for fondues, snacks, dessert and cooking. Serve with red wine or beer.

Farmhouse Cheese – These are terms you will hear and see quite often when dealing with limited-production, artisan crafted cheeses. “Farmhouse Cheese” is not a specific type of cheese, but a term used to denote a cheese made by a farm using exclusively the milk from its own herd.

Additionally, Farmhouse cheesemakers usually use raw (unpasteurized) milk in their cheeses because they feel the pasteurization process removes some of the “character” of their milk. During the cheese’s aging process, the cheese builds up certain acids which cause it to “self-pasteurize,” making it perfectly safe to eat. Because Farmhouse cheeses are usually made in small batches by hand, the cheesemaker’s individual style becomes very evident in the flavor, texture and even the color of the finished product.

Feta – Of Greek origin, this pale white cheese was originally made from the milk of sheep. Today, in the United States, it is often made from cow’s milk. Feta’s curd is only lightly pressed and then ripened in brine, giving the cheese a crumbly texture and salty taste. Soft, flaky, crumbly and white, feta melts well over heat. Salty, pickled flavor.

Use on a Mediterranean-inspired appetizer tray or crumbled over salads. Olives, sun-dried tomatoes, vegetables, fruit, seafood and chicken; serve with Greek wines like retsina, tomato juice and citrus sparkling water.

Fondu au Raisin – French, dessert cheese. Semi-soft, mild and creamy. Coated with black grape seeds.

Serve with nice red wine, French bread, fruit.

Fontina – Semi-soft from Italy. Mild. nutty flavor, light brown rind.

Good in fondue, with bread, fruit, for dessert. Serve with dry red wine.

Fromage Blanc – A very soft, spreadable unripened cheese made from skim milk. Literally translated from the French, Fromage Blanc simply means “white cheese.”

Gorgonzola (gohr-guhn-ZOH-lah) – Semi-soft with a light ivory surface and interior marbled with blue-green veins. Piquant, spicy flavor similar to blue cheese. It becomes crumbly with age and melts quickly when crumbled over heat. Named for the Italian city where it is made, this cow’s milk cheese is rich and creamy with a slightly pungent flavor. When aged over 6 months, both the flavor and the aroma become stronger….much stronger. Some people think its stinky, but if you like strong cheese, you will love gorgonzola.

Pears, raisins, fruit breads, sweet crackers and walnuts. Serve with full-bodied red wines, sweet red wine, cappuccino, fruit juice and champagne.

Gouda – Originating in the Netherlands, Gouda is easily recognized by its distinctive red waxed exterior, enrobing a three to fifteen-inch wheel. The cheese itself is straw-colored, with a firm yet creamy texture scattered with small holes. Typically aged for only a few months before it reaches maturity, its mild and buttery flavor develops a richer tang as the cheese ages. Gouda can range from semisoft to firm, has a smooth texture and is often found in a wax coating. Gouda melts quickly when it is shredded and heated. Baby Gouda is usually coated in red wax; a more mature Gouda has a yellow wax coating and black wax or brown rind suggests it has been smoked and aged for over a year. Mild and nutty, it is often available smoked or with caraway seeds.

Mild Gouda – Peaches, melons, apricots and cherries. Serve with fruity red or white wine, lager beer, orange juice, apple juice, flavored tea and citrus sparkling water.

Aged Gouda – Apples and pears. Serve with hearty red wine, beer, coffee, cider and sparkling red grape juice.

Smoked or flavored Gouda: Apples, pears, thinly sliced prosciutto. Serve with red wine, beer, sparkling cider, tomato or vegetable juice and cran-grape juice.

Gruyere – It is a shiny yellow, hard, smooth small-eyed cheese that melts well without separating and is often used for sauces, with grilled meats, poultry and fish. Mild and slightly sharp.

Prosciutto or thinly-sliced ham or salami, apples, figs, melon, dates, walnut halves. Serve with full-bodied red wine, beer or ale, tomato juice, cranberry juice and cider.

Havarti – Semi-soft light to pale yellow with tiny eyes in its smooth body, it melts well when it is shredded. Mild to mellow.

Roasted red peppers, olives, bread, and bread sticks. Serve with fruity white wine, sparkling water, light red wine and sparkling water.

Kasseri – A firm Greek cheese, lends a pungent, nutty taste; if it is unavailable, Parmesan can fill the role.

Use in Pastitsio.

Liederkranz – Strong cheese, soft and creamy. From the U.S. Similar to Limburger.

Good on dark bread, with beer or wine.

Limburger – Very strong cheese from Belgium. Semi-soft with a smooth, creamy ivory body is covered in a brownish exterior that melts quickly under direct heat when it is sliced. Strong, robust and highly-aromatic.

Pumpernickel and other whole-grain, dark breads and crackers, pretzels and onions. Serve with beer, full-bodied red wine, cranberry juice, cran-grape juice and tomato or vegetable juice.

Livarot (LEE-vah-roe) – One of France’s oldest, a wonderful cheese named after a village in Normandy and whose nickname is the Colonel because it is bound with five strips of paper that look like a Colonel’s stripes. Originally, the stripes were made of natural rush harvested from the edge of ponds. This is a strong cheese with lots of flavor (beefy, nutty) and a pungent aroma. (If it has a smell of ammonia, it is past its prime) Livarot is made from cow’s milk but has only a 40% fat content. It is naturally white but colored orange-red with a tincture from a South American tree called the roucou. It has a soft washed rind, is round with a 12 cm diameter and is 5 cm thick.

Livarot goes great with a big red wine as well as with apple cider. Try it with bread and/or fruit, especially apples and pears.

Mascarpone (mas-cahr-POHN-ay) – Made in Italy from cow’s cream, mascarpone is a buttery double to triple cream cheese. It has an ivory color, smooth texture and cream-like flavor. It is sold in 8 ounce and 1 pound containers. Hard to find in this country, you may have to look in a good cheese shop or specialty market. Creamy, thick and smooth, it melts well in sauces. Full-flavored, semisweet and butter-like.

It is indispensable for cannoli fillings as well as the classic dessert, Tiramisu, and is the foundation for Torta. It may be used as the primary ingredient of a “killer” cheesecake. Fresh fruits, berries, fresh figs, shortbread and ladyfingers; serve with sparkling, light, fruity wines and coffee or liqueurs.

Monterey Jack – Semi-soft, creamy white with tiny cracks, Monterey jack melts best when it is shredded or sliced. Mild to mellow. Created by Spanish monks in early California, Monterey jack is a light-colored, creamy-textured relative of Cheddar noted for its mild flavor. It is because of that mildness that Monterey jack is so often flavored with Jalapeno Jack being the most famous of this type. All jack cheeses melt beautifully.

Especially good on broiled, open-face sandwiches. Jack’s meltability has made it indispensable for Southwestern and “Tex-Mex” dishes, shredded over tacos, stuffed into enchiladas or melted over refried beans. Serve jack cheeses with beer and fruity wines.

Morbier (MORE-bee-yay) – Named for a little farm town in France, this semisoft cow’s cheese was originally made with left over cheese for personal consumption by the cheesemakers. At the end of the day the cheesemaker would take leftover curd from making Gruyere de Comte and press it into a mold. To keep it from drying out and to keep the insects away, he would top it off with a little ash. In the morning he would add any additional curd on top of the ash and you had Morbier. Today it is made from a single batch of mild and add a harmless vegetable product to give it the same appearance. It measures 15 – 18 inches in diameter, about 3 inches in height, weighs about 20 pounds, and has a minimum fat content of 45%.

Mozzarella (maht-suh-REHL-lah) – A semi-soft creamy white, malleable cheese with a mild flavor typically made from cow’s milk. It melts best when it is sliced or shredded. Often known as “The Pizza Cheese,” mozzarella is mild and delicate and is often molded into shapes. It came from southern Italy where it was originally made from buffalo milk. If you are lucky enough to find real buffalo mozzarella in your local market, try it. Although expensive, it’s like eating ice cream compared to frozen yogurt. Mozzarella is packaged in a variety of sizes and is produced in whole-milk, part-skim and skim varieties. The higher the fat content, the richer and more tender the cheese.

Besides pizza, Mozzarella may be used to top any baked Italian dish, including ziti casseroles, lasagna, and veal, chicken or eggplant “parmesan”. It may be marinated in good olive oil and herbs as an antipasto. Bread and pan (or deep) fry mozzarella “cutlets” and serve on a pool of marinara sauce. Good with mushrooms, plum tomatoes, sweet crackers and pumpernickel bread. Serve with light red wine or a white zinfandel, soda, beer and juice.

Muenster – Semi-soft yellow, orange or white surface with a creamy white, smooth interior, it melts quickly when shredded. Mild to mellow. A surface ripened cheese, is a mild cheese that has a resilient, open texture with just a hint of salt. One of Muenster’s trademarks is a dark orange coloring applied to the outside of the cheese. This is a natural coloring called annatto, which is tasteless.

Shredded for sandwiches and pizza toppings. Tomatoes, baby carrots, zucchini, rye and whole-grain breads, crackers and mustard. Serve with fruity wine like a white zinfandel, beer, juice and soda.

Neufchatel – Originated in Normandy France. It is a very soft, spreadable cheese similar to cream cheese. It differs from true cream cheese because it is made from whole milk and not cream. Neufchatel can be molded into many shapes and is traditionally molded in a heart shape. However, in North America it is more commonly found in a brick form (and is found next to the regular cream cheese in the supermarket).

Use instead of cream cheese in almost any recipe. It is also very good on toasted bagels, with or without lox and raw onion.

Panela – The most popular fresh cheeses in Mexico, this cheese is mild, white, and crumbly. Like Queso Blanco, it will not run when heated. It will get soft and creamy but will not lose its shape.

Used in Mexico for many cooked dishes and is commonly crumbled over salads, tacos, chili and burritos.

Parmesan – Hard Italian cheese, with sharp, piquant flavor. A grating cheese.

Used in all types of cooking, especially Italian dishes.

Parmigiano-Reggiano – There are parmesan cheeses made all over the world but there is only one Parmigiano-Reggiano. Although more expensive, this granular textured cheese whose processing method hasn’t changed in the last 700 years is usually aged for 2 years. If labeled stravecchio – 3 years or stravecchiones – 4 years. Two reasons why Parmigiano-Reggiano has better taste and consistency; (1) the flavor of the milk which comes from cows whose diets are strictly controlled, and (2) the strict production codes that have kept the cheese making the same for centuries. Only fresh milk, rennet, and salt are allowed in the dairy. However, in 1984 the laws changed to allow the entire years production be branded Parmigiano-Reggiano. Prior to 1984, only the cheese produced between April and November could be labeled such.

Pasteurized Process Cheese – This popular style of cheese encompasses cheeses like white and yellow American and many smoked varieties. Natural cheeses like Cheddar and Swiss are ground or shredded together, and heated in excess of 150 degrees F. through the introduction of very hot steam. Concentrated milk fat and an emulsifying agent are added, along with a preservative and sometimes a natural coloring agent. While hot, it is poured into a mold and allowed to cool. The end result is a smooth, consistent, uniform piece of cheese which has better keeping qualities and does not continue to sharpen like non-pasteurized cheeses.

Pasteurized Process Cheese Food – The difference between pasteurized process cheese and pasteurized process cheese food is that skim milk is added along with other flavorful ingredients like jalapenos, garlic, onion, caraway, or various other spices. Pasteurized Process Cheese Food is lower in fat than regular American Cheese and most natural cheeses.

Pasteurized Process Cheese Spread – A dairy product similar to pasteurized cheese food but higher in moisture to allow it’s easy spreadability. These cheese spreads come in many varieties and flavors and are also lower in fat than regular natural cheese.

Pecorino (peh-koh-REE-noh) – From the word pecora which means ewe in Italian, cheeses made from sheep’s milk in Italy are called pecorino. Although the majority of pecorino is made in southern Italy, especially Sardinia, the best known pecorino is Pecorino Romano. Genuine Romano is only produced in the province of Rome from November to June. Locatelli is genuine pecorino cheese. Pecorino is straw colored, 36% fat, semi-hard, granular with a smooth rind coated in oil. It comes in a cylindrical shape about 12 inches in diameter, 16 inches tall and although a little sharper than Parmesan, it is often substituted when used in cooking. It has an intensely strong sheepy quality to it. It is to southern Italy what Parmigiano-Reggiano is to the north. Look for the sheep’s head logo with Pecorino Romano embossed on the rind to make sure you are getting the real stuff.

Grated on pasta dishes.

Pepper Jack – A Monterey jack cheese which has had jalapeno peppers blended in. It has a mild creamy texture, yet the peppers add a delicious spicy flavor.

Can be eaten as a snack or it can be a marvelous addition to any recipe.

Port du Salut (por du sa lu’) – Semi-soft, smooth and buttery. Mellow to robust flavor between Cheddar and Limburger.

Dessert cheese; delicious with fresh fruit. Great with apple pie. Good on a snack tray.

Provolone – The hard, stringy texture makes it easy to cut without crumbling. This light yellow to golden brown cheese is usually packaged in round, pear and sausage-shaped packages bound with a cord. It melts quickly when shredded. Full, sharp, piquant, usually smoked flavor. Provolone is the ubiquitous “hoagie cheese” found on almost all Italian-style sandwiches. Generally formed into cylinders or ball-shapes (in the U.S.), Provolone is white and firm-textured with a mild flavor. Provolone is very often smoked, making the cheese’s flavor more assertive.

Besides its use in sandwiches, versatile Provolone may be used as a pizza topping (with Mozzarella), served on an antipasto tray or used in salads. Tomatoes, roasted red peppers, olives, breads and pears. Serve with full-bodied reds like Merlot or Chianti and sparkling water.

Quark – This is soft, spreadable German-style cream cheese. Its fat content is higher than the skim milk Fromage Blanc, but significantly lower than Mascarpone. It is very white, with a tangy flavor.

Very versatile, Quark can be used in everything from bagel spreads to desserts.

Queso Blanco – This mild tasting cheese is the most popular cheese South of the Border – both for snacking and cooking. It is wonderful to cook with because, unlike American-type cheeses, it will become soft and creamy when heated but will not melt!

Use for stuffed chicken breasts.

Reblochon – Creamy, French cheese. Semi-soft, with mild and nutty flavor.

Good for dessert, with French bread, fruit, wine.

Ricotta – From Italy. Soft and fresh, mild and creamy.

Important cooking cheese for many Italian dishes, including lasagna.

Romano – Hard Italian cheese. Varies from mild to sharp.

Young cheeses good with bread, fruit, wine. Older cheeses grated for cooking.

Roquefort – Semi-soft French cheese. White marbled with blue-green. Sharp, pungent flavor.

Good for dessert with strong red wine; also salad dressings.

Saaland Pfarr – Swedish. The curd is mashed with whiskey before ripening.

Saanen – Swiss. Hard and dry, rich flavor similar to Gruyere. Used for grating, thinly slicing and melting.

Saga – Danish. A lovely blue, triple-crème cheese. Young, with a softer flavor than traditional blues because it isn’t aged.

Sage Cheddar – American. A natural Cheddar flavored with sage before ripening.

Sage Cream – English. An unripened cream cheese. Green colored from fresh, bruised sage leaves and spinach juice.

Sage Derby – English Derby cheese flavored with sage. A traditional Christmas food in Britain.

Sage Lancashire – English. A variety of Lancashire. Contains sage leaves.

Saingorlon – French. Cow’s milk cheese, rich, semi-soft, ripened, blue-veined, but delicate in flavor.

Saint-Benoit – French. A soft cheese that has been rubbed with charcoal and salt before ripening.

Saint-Ivel – English. Soft cheese inoculated with the same culture that is used for making yogurt; with curing, develops a flavor like that of Camembert.

Saint-Marcellin – Also known as Bruleur de Loup. French. Soft goat’s milk cheese, mild when fresh.

Saint-Nectaire – French. A semi-soft, aged, sharp goat cheese. Nutty flavor.

Saint-Paulin – A variation of Port du Salut. Created by the Trappist monks of Notre Dame in 1816. Semi-soft when young. In cold countries it will remain that way, but in hot countries it ages to semi-firm consistency.

Sainte-Maure – French. Seasonal goat cheese. One of the first goat’s milk cheeses to enter the U.S. A great first-try goat’s milk cheese.

Samso – One of the finest of Danish cheeses. Gold colored, semi-firm, with a nut-like, buttery flavor.

Sap Sago – A Swiss hard cheese that has no fat in it. Flavored with herbs. It must be grated.

Sardo – Hard, salty Argentine cheese used for grating.

Sbinz – Perhaps the oldest cheese made in Switzerland. An aged cheese, hard and even-textured, making it excellent for grating. Preferable to the Parmesan because of its richer flavor and higher fat content. Often thinly sliced and eaten with bread when not quite hard.

Scamorzo – Also known as Scamorze and Scamorza. A mozzarella-type but more solid. Salty, and may be smoked. Soft when young, firm enough to slice when aged. It is hung from rafters to ripen and is repeatedly rubbed with oil.

Schabzieger – Hard cheese from Switzerland. Sometimes called “green cheese” because powdered clover is added. Made of slightly sour skimmed milk.

Schimmelkase – German. Soft, with a white crust. Good added to scrambled eggs.

Schlosskase Bismarck – Named after the German Prime Minister.

Selles-Sur-Cher – French. Salty, semi-firm goat cheese.

Septmoncel – French. Also known as “Jura Bleu.” Blue-veined cheese made with a mixture of cow’s, goat’s and sheep’s milk.

Serpa – A prized Portuguese cheese made of sheep’s milk. As a young cheese, soft and buttery. With age, it becomes semi-hard and sharp tasting.

Serra de Estrella – Portuguese. Made of ewe’s milk or a combination of ewe’s and goat’s milk. Soft or semi-soft with an unusual, piquant flavor.

Slipcote – English. Soft, fresh, white cheese. Ripened between cabbage leaves for only a week or two and as rich as butter.

Smokelet – Norwegian smoked cheese.

Soft Jack – A young Monterey Jack. Made from whole cow’s milk.

Sorbais – Maroilles variety. Pungent. Bright yellow, with reddish-brown rind.

Stewart – Scottish. Known as the Stilton of Scotland. Lacking the depth of flavor as Stilton, a worthy cheese none-the-less. The blue cheese has a mild flavor; the white, salty.

Steppenkase – A German cheese, bland and nutty. Low in fat. Eat as is or slice and serve on crackers. Excellent with a Riesling.

Stilton – Semi-soft; slightly more crumbly than blue; blue-veined; grows sharper and stronger with age. Distinctive from all other blue cheeses for its being based in a Cheddar cheese. One of the great British cheeses. Used for dessert, cheese trays, dips and salads. Goes with fresh fruit and bland crackers. Some recommend as a substitute for Feta.

Stacchino – Fresh, soft and creamy. Made from cow’s milk.

Svecia – Swedish. Firm. Sometimes made with caraway seeds.

Swiss – Sweetish; nutty with large holes; deep ivory to pale yellow. Gentle-flavored, meltable, and easily sliced. Used for dessert, cheese trays, salads, sandwiches, appetizers and as an ingredient in cooking. Goes with fresh fruit and squares of crusty French bread.

Szekeley – Hungarian. Soft, sheep’s milk cheese that is packed in sheep bladders. Available smoked as well.

Taffelost – Norwegian or Danish dessert cheese, semi-soft, creamy white with a red outer rind.

Taleggio – Italian fine dessert cheese. From soft to semi-soft, smooth and aromatic, becoming more full-bodied with age. Great with crusty bread and wine.

Tamie – A French semi-soft cheese made of skimmed cow’s milk.

Telemi – Rumanian. Made of sheep milk. American Telemi is made of cow’s milk. Semi-soft, much like the American version of Mozzarella.

Tete de Moine – “Monk’s Head.” Aromatic and strong flavored Swiss hard cheese made of cow’s milk.

Tignard – French. Firm, blue-veined goat’s milk cheese.

Tijuana – Mexican. Firm, pale, but with a hot aftertaste. Hot red pepper is added to the curd before it is aged.

Tillamook – United States. A type of Cheddar, medium to sharp in flavor. A raw milk cheese. The older the cheese is, the more flavor it develops.

Tilsiter – Also known as Tilsit. Made originally by the Dutch. Semi-firm, with strong aroma and flavor, increasing with age. Good for cooking and eating. The butterfat content ranges from 30 to 60 percent. Now also made in Germany, Switzerland, Norway, Denmark and the United States.

Toma di Carmagnola – Italian. Soft and buttery with a slightly nutty flavor.

Tomar – Portuguese cheese made of sheep’s milk. It has a smoky-nut flavor.

Tomme de Chevre – French. Made from goat’s milk.

Tomme de Savoie – French. Semi-soft cheese made of cow’s milk. Distinguished flavor.

Coca-Cola Mini Kiosks By Ogilvy & Mather Berlin Promote Tiny Coke Cans

1193
1193

To promote the launch of its tiny coke cans, Coca-Cola and advertising agency Ogilvy & Mather Berlin deployed and installed a series of miniature kiosks throughout five different major cities in Germany.

2145
2145

According to Adweek, the kiosks sold an average of 380 mini cans per day, which Ogilvy says is 278 percent more than a typical coke vending machine. The small scale intervention included a pint-size vending machine, which also served to describe the the print campaign’s main motto: ‘It’s the little things in life that make us happy’.

According to Adweek, the kiosks sold an average of 380 mini cans per day, which Ogilvy says is 278 percent more than a typical coke vending machine. The small scale intervention included a pint-size vending machine, which also served to describe the the print campaign’s main motto: ‘It’s the little things in life that make us happy’.

Confessions Of A Woman Who Just Confronted Her Childhood Abuser

 

I knew he would lie. I knew he would deny deny deny. I knew he’d get angry and throw out whatever he could to hurt me. I didn’t care and I didn’t budge. It happened. I remember it all. I’m not the only one it happened to. I known the truth and I didn’t flinch, not even once.

 

I told my mom first. I had told her once before, but she didn’t believe me. I was 17 and I was afraid. My dad is a narcissist, screams and goes berserk if things aren’t EXACTLY how he wants them. He would pin the family against one another, we would all take turns being the one berated all day. If it wasn’t you then you best hop on the berate bandwagon or your next. The entire day you were followed around being yelled at for how you do everything wrong, and all the family would have to agree. You go up the stairs too slow, you don’t sit quietly enough on the couch, the way you speak, the way you smell, you’re not smiling anymore, everything. Spoken to like you are dirt hoping tomorrow won’t be your day again. If you fought back you were hit, shoved into whatever table or thing was near you. You guys get it. I was afraid.

My mom is very kind but very meek. She also wanted a perfect family and when people were over that’s the picture he would paint. She’d turn a blind eye to pretty much everything.

When I was 17 they found a poem I wrote about hating him. He demanded to know why on earth you could hate your daddy so much. I felt so backed into a corner, over and over I was being drilled. So I said it “you molested me”. Well he blew up “I never did that! You are a LIAR! I would never touch my kids! Maybe I did but I forgot!” Yes I am serious about that last part. Those were his exact words. They demanded to know what he did, but I was so afraid. I couldn’t get it out. I never talked about it before, I couldn’t do anything but cry. They called me a liar and a few days later my mom reminded me to get him a father’s day present. I didn’t dare bring it up again.

That was 11 years ago.

I’m now living in an entirely different state with my amazing husband. But, he was still in my life and ruining it. Even just the sound of his voice when I would call my mom made me want to SCREAM. This man is a MONSTER. He destroyed me. As if the emotional and physical abuse wasn’t enough he took every piece of innocence from me. People freak even imagining one of their parents reaching into their pants to get them off, and thats my life. It never goes away, it’s in my head forever.

I had to cut him out. Forever.

So, my parents came to visit and I sat my mom down alone and flat out told her. I told her everything. Every detail. She cried. I hope she believed me.

Then I sat down with her, my husband and my father.

I stood my ground, I told him I wanted him to get help but this was the last time he would ever see me because he molested me. He blew, finger pointed, called me a liar, said he didn’t remember anything like that. I just kept repeating firmly “I don’t believe you.” Never breaking eye contact, my husband said there wasn’t even a tremble in my voice. Every time he threw something else at me I knocked it down calmly and firmly “you can talk about that in therapy. But there is no need to lie to me, I don’t believe you. I remember and I was so young, I know you remember. You are a child molester.” Boy did my calmness get him angry! I have never seen him sweat and squander like that EVER. After about 5/10 minutes of him changing his story and squawking I said “you can leave my house now”. And he said in the most degrading tone possible “what makes YOU so righteous?!” I know he meant it as an insult but damm I took it as a complement.

That was yesterday. I am excited for my new life. I feel like the rabbit from the velveteen rabbit, like I’m finally becoming real. I just can’t believe it, I can’t believe that person who stood up to him, so calmly and confidently, was me.

Ukraine – The Big Push To End The War

Over Christmas I had a short talk with a relative about the war in Ukraine. He asked me who would win and was astonished when I said: “Ukraine has zero chance to win.” That person reads some German mainstream news sites and watches the public TV networks. With those sources of ‘information’ he was made to believe that Ukraine was winning the war.

One may excuse that with him never having been in a military and not being politically engaged. But still there are some basic numbers that let one conclude from the beginning that Russia, the much bigger, richer and more industrialized country, had clearly all advantages. My relative  obviously never had had that thought.

The ‘western’ propaganda is still quite strong. However, as I pointed out in March last year propaganda does not change a war and lies do not win it. Its believability is shrinking.

Former Lt.Col. Alex Vershinin, who in June pointed out that industrial warfare is back and the ‘West’ was not ready to wage it, has a new recommendable piece out which analyses the tactics on both sides, looks ahead and concludes that Russia will almost certainly win the war:

Wars of attrition are won through careful husbandry of one’s own resources while destroying the enemy’s. Russia entered the war with vast materiel superiority and a greater industrial base to sustain and replace losses. They have carefully preserved their resources, withdrawing every time the tactical situation turned against them. Ukraine started the war with a smaller resource pool and relied on the Western coalition to sustain its war effort. This dependency pressured Ukraine into a series of tactically successful offensives, which consumed strategic resources that Ukraine will struggle to replace in full, in my view. The real question isn’t whether Ukraine can regain all its territory, but whether it can inflict sufficient losses on Russian mobilized reservists to undermine Russia’s domestic unity, forcing it to the negotiation table on Ukrainian terms, or will Russian’ attrition strategy work to annex an even larger portion of Ukraine.

Russian domestic unity has only grown over the war. As Gilbert Doctorow points out wars make nations. The war does not only unite certain nationalistic parts of Ukraine who still dream of retaking Crimea. It also unites all of Russia. Unlike Ukraine Russia will be strengthened by it.

Casualties are expected in wars and the Russians, with their steady remembrance of the second world war as their Great Patriotic War, know this well. Screw ups also happen and at times some bad leadership decisions puts people into the wrong place where the enemy can and will kill them. That is what happened in Makeyevka (Donetsk) on New Years day 2 minutes after midnight. Some 100 Russian reservists died. The Russian leadership pointed out that they were killed by U.S. HIMARS missiles. The former Indian diplomat M. K. Bhadrakumar judges that this was a U.S. escalation which will likely receive a response:

The intelligence inputs in real time show direct American participation in the horrific operation targeting the Russian conscripts’ New Year party just when the toasts began. Of course, whipping up public sentiments in Russia against Putin is a core American objective in the war.We are entering a grey zone. Expect “surgical strikes” by the Russian forces, too. After all, at some point soon enough, it will emerge that what’s sauce for the goose is sauce for the gander.

Some retaliation has already happened. Yesterday the Russian Defense Ministry reported that over 130 foreign mercenaries were killed in attacks on their bases near Maslyakovka and Kramatorsk. Those Polish soldiers are now gone. The Russian military also continues its quite successful counter-artillery campaign:

Missile and air strikes launched at a hardware concentration near Druzhkovka railway station (Donetsk People’s Republic) have resulted in the elimination of:

  • two launching ramps for U.S.-manufactured HIMARS multiple-launch rocket systems (MLRS);
  • four armoured fighting vehicles for Czech-manufactured RM-70 Vampire MLRS;
  • over 800 rockets for MLRS;
  • six motor vehicles, and up to 120 Ukrainian personnel.

Within the counterbattery warfare, two launching ramps for U.S.-manufactured HIMARS MLRS, that were used for shelling settlements of the Donetsk People’s Republic, have been detected and destroyed near Kramatorsk.Three U.S.-manufactured M-777 artillery systems have been destroyed at their firing positions near Artyomovsk (Donetsk People’s Republic), and Chervonaya Dibrova (Lugansk People’s Republic).

Two Ukrainian fighting vehicles for Grad MLRS have been destroyed near Volchansk (Kharkov region) and Serebryanka (Donetsk People’s Republic).

Two D-30 howitzers have been destroyed near Kamenskoye and Gulyaypole (Zaporozhye region).

Those are four HIMARS, three M-777, some Czech ‘aid’, 800 HIMARS missiles and some Ukrainian guns that were lost in just one day. That was probably more than the ‘West’ can deliver over the next months.

Even the New York Times notes that Russia is exhausting the Ukraine as well as its western support by simply throwing cheap stuff at it:

The Iranian-made Shahed-136 drones that Moscow has increasingly been relying on since October are relatively uncomplicated devices and fairly cheap, while the array of weapons used to shoot them out of the sky can be much pricier, according to experts. The self-destructing drones can cost as little as $20,000 to produce, while the cost of firing a surface-to-air missile can range from $140,000 for a Soviet-era S-300 to $500,000 for a missile from an American NASAMS.

This only confirms the point Alex Vershinin was making. Russia has cared for its resources while the Ukraine, and NATO, have wasted their stuff mostly in senseless frontal campaigns against well protected Russian troops.

Yves Smith of Naked Capitalism points out that Vershinin has left out the economic side of the war where the picture is as bad for Ukraine as it is on the ground:

Ukraine is dependent on the West to fund its government, giving new meaning to the expression “client state”. Ukraine’s GDP contraction is estimated to be on the order of 35-40% for 2022. Ukraine in November projected its 2023 budget deficit to be $38 billion. Mind you, that is for essential services and is likely to underestimate the cost and knock-on effects of dealing with Russia’s attacks on its electrical grid. Again, before the grid strikes, the IMF had estimated Ukraine’s budget needs at $3 to $4 billion a month. It’s an easy bet that that $38 billion funding gap will easily come in at more than $50 billion.

And paying for teachers’ salaries, pensions, road repair, hospitals, are not the sort of thing that enriches the military-industrial complex. This is a huge amount for the West. Euronews, in discussing the then estimated $38 billion hole, strongly hinted Ukraine would come up short: …

Yves Smith also points out that, as we predicted in March, the pro-Ukraine propaganda is not really fixing the war:

Last and not at all least, the success of Ukraine propaganda seems to be falling despite the media and politicians doing their best to create the impression otherwise. Lambert and I were both very much surprised to read that a recent poll of likely US voters (as in presumably politically engaged) found fewer than 1/3 thought Ukraine was winning the war.

Lastly to find out who will win this war we can point to the mid December interview the Ukrainian war leader General Valery Zaluzhny gave to the Economist.:

General Zaluzhny, who is raising a new army corps, reels off a wishlist. “I know that I can beat this enemy,” he says. “But I need resources. I need 300 tanks, 600-700 IFVs [infantry fighting vehicles], 500 Howitzers.” The incremental arsenal he is seeking is bigger than the total armoured forces of most European armies.

What Zaluzhny really says is that the war is lost if he does not get those resources. He knows well that is he will not receive them.

So how will Russia proceed towards the end game?

Dima of the Military Summary Channel discussed yesterday how two big moves, one up from the Mariupol area and one down west of Kharkiv, can cut all railroad lines that connect west Ukraine with the eastern frontline where some 80+% of the Ukrainian army is now deployed.

I agree that the move from the south will happen but I am less sure about the northern branch.

 

bigm2
bigm2

biggerThe Ukrainian army, just like the Russian one, depends on railroads for medium and long range transport. Neither has enough trucks to move the big amount of supplies that are needed to support the war.

Ukrainian railways

bigm3
bigm3

SourcebiggerTo be able to supply its forces any Russian move must follow the rail lines and create some safety corridor left and right of them. Some railways will be damaged by fighting but Russia has special railroad regiments that are trained and equipped to do repairs under war conditions. The move from the south would go to Pavlovgrad (Pavlovhrad) while the move from the north would pass Kharkiv in the west and aim at Lozova. When both are taken the Ukrainian army at the eastern front will be completely cut off from the rest of Ukraine and, without supplies, will have to surrender or die.

Both are big 200 kilometer (120 miles) long moves that require significant amounts of forces. But after its mobilization and with volunteers Russia has 350,000 additional forces it can move in. 75 to 100,000 are sufficient for each push while the rest can keep the Ukrainian troops in the east very busy and fixed in their position.

Then comes the question of when.

Due to currently warmer than normal weather the ground in Ukraine is not yet frozen and the mud will return in March and April. That gives only a two months window to move forward. If I were the Russian commander I would probably wait and use the six dry months during the summer. But there are other criteria, like politics and economics, that will come into play and which may require an earlier move.

If the plan works the war will largely be over. Russian troops will be free to move anywhere in Ukraine with only little resistance. A move to retake Kherson and Odessa will then be a rather easy and short affair.

The big question is how the U.S. will respond. If the Ukraine falls the U.S. and NATO will have lost their war against Russia. That will cause serious political damage.

Thomas H. Lipscomb writes that war will be lost because it was badly planned and in a way that could never have changed its direction:

American military planning was once world class. But who would plan a proxy war against Russia, one of the acknowledged masters of artillery with far better air defense technology than any in the West, and then equip our puppet Ukraine with inferior weapons and only enough ammunition to last six months? And surely American planners couldn’t help knowing that there was no longer a manufacturing base for resupply, and NATO warehouses were practically empty?

This will have wide ranging consequences:

[T]he United States current leadership is a bunch of total idiots, blinded by ideology, arrogance and illusions of pursuing a “rule-based” global hegemony, an opportunity long passed, as our performance in this proxy war shows. The United States may have won the Cold War but it lost the peace. Its strategic thinking and its military is obsolete and configuration of both forces and equipment is based on assumptions from the past millennium. The battle for a Great Global Reset under a unipolar American hegemony has been lost as well. The World Economic Forum is now about as relevant as the Holy Roman Empire. All they can continue to do is terrorize the increasingly authoritarian states of the West with asinine policy proposals.The attempt to destroy Russia prodded it to a burst of brilliant diplomacy and leadership by Putin and his team that has quietly established that the rest of the world prefers sovereignty and a multi-polar world. The post Cold War “Pox Americana” as Larry Johnson has called it, is over. Historians of the future will study this period of history with fascination. Few times in history has such immense change happened so fast.

The effect of losing the war will be noticed in global and domestic politics. ‘Western’ global standing will be degraded and the leadership of the war party will receive some well deserved bashing.

But will the U.S. let that happen? Can it allow itself to lose this war? Or will it escalate? Even when that is likely to only worsen its situation?

I have no idea yet how and who in Washington will decide on those questions.

Posted by b on January 4, 2023 at 19:00 UTC | Permalink

This Artist Created Very Cute Covers Of The Music World, Replacing Singers With Cats

1 23s
1 23s

The kittens always success on the internet. For those who like to see them in fun poses, musician and designer Alfra Martini has created The Kitten Covers, a blog with classic album covers with cats digitally inserted on the scene, replacing the stars cover.

35er 3
35er 3

3wr4 3
3wr4 3

3eee3 4
3eee3 4

3e2 5
3e2 5

31 g5
31 g5

3a0 4
3a0 4

29 5
29 5

28 5
28 5

27 6
27 6

26 7
26 7

25ss 8
25ss 8

24 9
24 9

23 10
23 10

22 11
22 11

21 s11
21 s11

21 11
21 11

20 11
20 11

19 11
19 11

18 11
18 11

17 11
17 11

16 12
16 12

15 12
15 12

14 13
14 13

13 15
13 15

12 16
12 16

11 16
11 16

10 20
10 20

9 21
9 21

8 21
8 21

7 22
7 22

6 22
6 22

5 22
5 22

4 22
4 22

3 23
3 23

2 23
2 23

Gold ring and personal stamp

2023 01 21 10 06
2023 01 21 10 06

The armies were colorful

2023 01 21 10 09a
2023 01 21 10 09a

The Clock Is Ticking For Humanity As A Horrifying Fertility Crisis Looms

.

Is humanity running out of time?  Based on the growth of the global population during the last century or so, you wouldn’t come to such a conclusion.  In 1900 there were about 2 billion people living on this planet, and now there are about 8 billion.  But some experts are now suggesting that we have reached a very significant turning point.  Global population growth has slowed dramatically, and birth rates have actually fallen well below replacement level in many industrialized nations.  Couples are having a more difficult time producing babies, and there are many that are blaming our highly toxic environment for this.

A twitter thread about our looming fertility crisis has gotten a tremendous amount of attention over the past few days.

 

There are some pretty bold claims being made in that thread, and I decided to fact check three of the most startling…

#1 “1 in 4 couples cannot conceive naturally”

According to the official CDC website, 19 percent of women aged 15 to 49 in the United States are not able to get pregnant…

In the United States, among heterosexual women aged 15 to 49 years with no prior births, about 1 in 5 (19%) are unable to get pregnant after one year of trying (infertility). Also, about 1 in 4 (26%) women in this group have difficulty getting pregnant or carrying a pregnancy to term (impaired fecundity).

So that would suggest that it is actually around 1 in 5 couples that are not able to conceive naturally.

However, it should be noted that the infertility rate has been steadily rising, and the number on the official CDC website reflects data that is a bit old.

If current trends continue, it is just a matter of time before “1 in 4 couples cannot conceive naturally” if we are not there already.

#2 “Sperm counts are down 60%”

This claim appears to be right on the nose.  As I noted last week, a study that was recently released found that sperm counts have fallen by about 60 percent since 1973…

The latest analysis added seven years of sample collection and 44 study results to the 244 included in the earlier 2017 analysis. That study, in its analysis of data trends between 1973 and 2011, found an average decline in mean sperm concentration of 1.6% per year, and an overall decline of 59.3%. The latest study found an even steeper decline – to 2.64% post-2000 and an overall fall of 62.3% among unselected men. This, add the authors, represents a decline of –4.70 million/year, and indicates that this world-wide decline is continuing into the 21st century at an accelerated pace.

If sperm counts continue to plunge this rapidly, it won’t be too long before a majority of human males are infertile.

If that happens, the global population will begin to decline very rapidly.

#3 “if you’re an average person using regular soaps, deodorants, shampoos, body washes, conditioners, hair products, makeup, you’re ingesting over 200+ chemicals + heavy metals a day, all linked to infertility”

This claim was much harder to verify.

On the official NIH website, we are told that “pregnant women in the United States are exposed to 43 or more different potential chemical toxins”…

Environmental toxins are ubiquitous and sometimes implicated in infertility development, either through anatomical abnormalities or endocrinological dysfunction. Based on a National Health and Nutrition Survey from 2003 through 2004, pregnant women in the United States are exposed to 43 or more different potential chemical toxins.Knowledge and experience in evaluating exposure to environmental toxins are critical for any reproductive endocrinology and infertility specialist.

Environmental toxins affect individuals throughout the lifespan, including prenatally, and can have various effects, from increasing cancer risk to ovulatory dysfunction to altered semen quality.

Of course the NIH has not exactly been a beacon of truth in recent years.

Without a doubt, we are all endlessly exposed to extremely hazardous toxins in our food, in what we drink, in the air that we breathe and in the products that we put on our bodies.

A lot of those toxins have been linked to infertility, and many believe that this is one of the primary reasons why birth rates have been falling all over the world year after year

It’s happening to men and women and as well, I should add, non-human species. And what we see is that the decline in the number of children that people have is one percent per year worldwide over the past 50 years. That’s true of developed countries and underdeveloped countries. And the same rate of decline, one percent per year, is what we see for the declining sperm count, what we see for the decline in testosterone, we see for the increase in miscarriage rates, and we’ve examined in our book “Count Down,” how each of these endpoints is deteriorating, if you will, at the same rate, about one percent per year, which is another bit of evidence, although not conclusive, that these are related to a common cause.

What do you think is going to happen to us if these trends continue?

Already, the fertility rate in the United States is way below replacement level

According to the US Census Bureau, the fertility rate – which measures how many children an average woman will give birth to during her life – was 1.6 in 2020.

This falls far below the level of 2.1 needed to maintain current population levels.

As I detail in my new book, this crisis is an existential threat to the future of humanity, but most people simply do not care.

Most of us are just going to continue to bombard ourselves and our children with highly dangerous toxins no matter what information comes our way.

Sometimes I think that we are simply too stupid to continue as a species for much longer.

 

Instead of trying to figure out how to avoid extinction, we have become an “idiocracy” in which our young people are constantly devising increasingly bizarre ways to get the most social media views possible

 

The clock is ticking for humanity, and we cannot save ourselves from the historic catastrophe that is in front of us.

Hopefully we will realize how desperate our situation has become before time runs out completely.

Switzerland to Deploy 5,000 Army Troops to protect World Economic Forum Meeting in Davos

.

2023 01 12 10 59
2023 01 12 10 59

The Swiss army will deploy 5,000 troops ahead of the World Economic Forum’s next meeting in Davos. Authorities indicated the troops were authorized to utilize coercive police measures to fulfill their security mission.

“The Federal Parliament has set a ceiling of 5,000 troops who will serve in support during the WEF, which will run from January 10 to 26. Some of them will be stationed directly in Davos, where the annual meeting will be held from January 16 to 20,” the statement read.

According to the statement, other servicemen will provide logistics and air force operations support throughout Switzerland. Soldiers will be authorized to “use coercive police measures to carry out their respective tasks,” the government said.

There will also be snipers on the roofs of most buildings, and in previous years the Swiss Police have shot drones from the sky.

The WEF predicts that soon everyone will be able to ‘rent’ anything they need under the cover of ‘sustainable development’ (SDGs) and ‘saving the planet’ (Net Zero). There is no doubt that the tiny elites who rolled out the Great Reset will own it all.

The number of participants in the forum is currently unknown. German Chancellor Olaf Scholz, Spanish Prime Minister Pedro Sanchez, Ecuadorian President Guillermo Lasso, and Colombian President Gustavo Petro will attend the Davos forum personally, according to the latest information. Keir Starmer and Rachel Reeves will also be attending.

Zelensky will also speak at the World Economic Forum’s Davos meeting alongside CNN anchors and NATO chiefs.

Only distinguished delegates were allowed to fly in and out of Davos last year due to a no-fly zone.

There has been fierce opposition to the WEF’s extremist agenda, including The Great Reset, Build Back Better, and You’ll Own Nothing and Be Happy. The WEF is now feeling the heat. In response to those who oppose its techno-tyranny, it has waged a defensive PR campaign recently. The World Economic Forum also recently canceled its Twitter feed in favor of Chinese state-run social media:

1. “What we have to confront is a deep systemic and structural restructuring of our world, and the world will look differently after we have gone through this transition process (Great Reset)” – Klaus Schwab B20 Summit Indonesia 2022 Opening Remarks 14.11.2022. pic.twitter.com/H7AL0uCQXN — SikhForTruth (@SikhForTruth) November 15, 2022

Klaus Schwab gave the opening remarks in November at the B20 event. He said that “What we have to confront is a deep systemic and structural restructuring of our world, and the world will look differently after we have been through this transition process” (aka the Great Reset).

The coronavirus pandemic has been the vehicle for the Great Reset conceived by Klaus Schwab of the WEF. Globalists intend to eradicate all borders, dismantle the free market, and drastically reduce the global population.

How A Dog Should Wear Pants?

1 18
1 18

How would a dog wear pants? This twisted question has been plaguing the Internet at least since November, when a Yik Yak user posed the question, and then BuzzFeed polled its readers. Fast-forward to December when FB page Utopian Raspberry user “Norbert” posted a stylized illustration of the same question, which was then re-tweeted by Maxim editor Jared Keller, who went on to interview “Norbert.”

Read the short interview, then see the puzzling picture and Mashable’s take on it, below:

“I created it last night at about 2 or 3 am (it’s 4:30 pm now my time),” Norbert told Maxim. “I saw some dogs wearing pants, and I thought about how they don’t really have arms so their pants should technically go on every leg. I just tried both versions. I honestly didn’t expect it to become so popular,” he added. “I’m just glad people found it entertaining.”

7 wrth16
7 wrth16

6 1tw7
6 1tw7

5 1wqq7
5 1wqq7

4 1qe7
4 1qe7

3 1e8
3 1e8

2 18
2 18

German Intelligence Worried over Ukraine Army Losses in Bakhmut

.

Germany’s foreign intelligence service is alarmed by losses the Ukrainian army is suffering in fighting against Russian forces in the eastern Ukrainian city of Bakhmut.

The Ukrainian army is “losing a three-digit number of soldiers every day” the BND intelligence service told a group of Bundestag lawmakers who focus on security at a secret meeting this week.

The BND warned that the capture of Bakhmut by Russian forces would have significant consequences, as it would allow Russia to make further advances. It also said the Russian army was using its own soldiers like cannon fodder in Bakhmut.

Russian forces in eastern Ukraine said on Friday that Russian forces had taken control of Klishchiivka, a small settlement south of Bakhmut in eastern Ukraine.

Confessions of a Man Who Suffered From Locked-In Syndrome

How did you get locked-in?

I was diagnosed with a terminal progressive disease May 24, 2017 called toxic acute progressive leukoenpholopathy. I declined rapidly over the next few months and by the fifth month I began suffering from locked-in syndrome. Two months after that I was sent on home hospice to die. I timed out of hospice and I broke out of locked in syndrome around July 4, 2018. I was communicating nonverbally and living in rehabilitation hospitals, relearning to speak, move, eat, and everything. I finally moved out of long-term care back to my new home December 1, 2020.

Do you know what caused the disease?

Some kind of toxic cutting agent. I’m 99.9% sure it was heroin because that was my drug of choice. I used to freebase heroin off tinfoil on a daily basis. Drugs are bad mkay!!

When did you realize that people thought you were in a coma or brain dead?

I remember very specifically as I was losing all of my bodily functions, I noticed in the hospital that no one was interacting with me anymore. When a nurse would come in change and IV, they would typically say, “Hello Mr. Haendel, I am here to change your IV”. They stopped for approximately 10 days and this is when I had an “oh shit” moment and thought to myself, ‘ no one realizes that I am cognitively in tact’. Unfortunately I overheard everything.. one of the most painful was, “don’t worry, he can’t hear you. He’s brain dead anyways”

The scary thing is recent research shows 1 in 5 comatose patients might actually be locked-in. Hopefully they can get fMRIs more readily available to distinguish between someone who is vegetative and someone who is locked-in.

What’s it like being locked-in?

I fully understood everything. My perceptions were good although I was extremely hypersensitive to everything and my internal clock was questionable as in I did not know how much time had elapsed, but I did have a pretty good idea. I could taste, smell, hear, see and feel, but like I said, I was hypersensitive to all these things and very uncomfortable. The weight of a sheet would make me itch and burn up and when a nurse would walk by, the breeze from her walking by would make my skin feel like it was burning.

Were you scared? How were your anxiety levels?

Constant panic attack… my anxiety was off the charts all the time. I am actually surprised my heart didn’t blow out considering I was in triple tachycardia.

How did the doctors know you had locked in syndrome and weren’t just completely gone?

They did not know until I started to communicate. When I could communicate verbally, I was able to describe my experience and they then realized I had been locked-in.

Didn’t they do an EEG and still see brain activity?

Yes they did and it showed slowed theta. There were some brain waves but The assumption was I was disconnected from reality or vegetative

Was the diagnosis accurate? If so, do your doctors have an explanation for how you pulled back from a disease with terminal progression, or is a relapse expected?

The diagnosis was accurate, it’s called toxic acute progressive leukoencephalopathy. I am the only documented case of recovery from Stage 4 of this disease and it baffled everyone. According to my brain scans, none of my progress should be possible but I am no longer terminal and I am basically like a newborn who has to relearn how to do everything. A relapse is not expected!

How did you occupy yourself during your time locked in?

I occupied myself with a lot of self communication. I talked to myself in two voices about literally everything. There is an article in the Guardian that goes into more detail about this if you’re interested… it’s amazing what your mind will come up with to keep entertained.

I only had involuntary vertical eye movements during the time of locked-in syndrome but I could definitely see a majority of that time.. I just couldn’t move my eyes.

How was the experience of falling sleep and waking up like?

I didn’t really fall asleep or wake up. It was more like I just passed out at time… usually from extreme tachycardia or pain.

Did you hear things people around you said assuming you couldn’t hear them/weren’t comprehending that they’d never have said otherwise?

Yes and yes unfortunately.

What kept you pushing forward and not give up on life?

Honestly, I was tired of being stuck in my mind and body. I was so frustrated that I had to break out… I literally couldn’t take it and I realized I was not dying. I overheard every day for 8 months that I would die… and guess what? I didn’t… so I just thought to myself, “I gotta get out of this”.

What was the most meaningful type of care and support were you given during your time locked-in?

I had a combination of care givers that would not speak to me to care givers that would sing to me. My dad went to extreme lengths and definitely burnt himself out in his effort to care for me both before and during hospice. In my recovery since I cam out of locked-in syndrome, the support has been overwhelming.

In terms up meaningful support, people who continued to talk to me as if I was actually there was extremely helpful. They would talk to me about the news, about their days and just “normal stuff”. They also kept saying they knew I was in there, which I was!

Keep in mind, I was transferred numerous times and supports changed frequently but the most meaningful were the people who engaged with me.

When did you realize that you were starting to recover?

Blinking for “can you hear me?” was the first time I was able to communicate and that’s when I realized that the doctors thought there was a chance I was in there. But then they started asking me other questions like “Blink if you know where you are. Blink if you know who the president is.. etc” Many were convinced that my blinking was just an involuntary action but over the next few weeks, I was taught how to stick my tongue out (barely) and that was my “yes”… so then we had a yes/no system which took me out of being completely locked-in into being virtually locked-in.

When you “broke out,” was it sudden or was it a slower process?

It felt slow to me but I’ve been told throughout this entire journey since July 4, 2018 that I’m recovering at lightning speed. That said, I hadn’t been able to communicate for 2 years, and there was so much I wanted to say that simply being able to answer “yes” or “no” felt like a snail’s pace.

How are you feeling today?

Phenomenal and truly blessed to be alive. I am sitting in my own apartment, in my own clothes. I finally feel like I have some independence but still working on literally everything every single day.

What your plans are for the future?

First things first, I would like to be able to walk and perform all my daily living tasks by myself. Aside from that, I would like to do some public speaking and be a voice for the voiceless.

Do you think it would be a good idea to leave the radio on for locked-in patients?

It would have been nice to have some music but make sure it’s not the same station all the time! And also, make sure it’s calm and soothing and not too loud because the patient might have a pounding headache! Music has always been a big part of my life and the hospital spa channel really did it for the first four hours but as we got into month two, I was freaking out and would have appreciated some variety!

What is your biggest most profound takeaway of this unique challenge you had to face?

The biggest takeaway is don’t take things for granted… it might sound cliche, but I truly appreciate all the small things. And never give up! seriously!

$31,395,133,116,713.19

.

2023 01 12 10 56
2023 01 12 10 56

The United States of America is now within five billion dollars of it’s legal DEBT CEILING and unless the . . .  REPUBLICAN. . . House of Representatives takes action, the US will default on its obligations within a month.  THAT would crash the global economy.

The U.S. government is on track to max out on its $31.4 trillion borrowing authority as soon as this month. That starts the clock on an expected standoff between President Joe Biden and House Republicans. Default looms with the global economy at stake.

Once the government bumps up against the cap — it could happen any time in the next few weeks or longer — the Treasury Department will be unable to issue new debt without congressional action. The department plans to deploy what are known as “extraordinary measures” to keep the government operating. But once those measures run out,  the government could be at risk of defaulting unless lawmakers and the president agree to lift the limit on the U.S. government’s ability to borrow.

The expected showdown over the debt limit would be a stark display of the new reality for Biden and his fellow Democrats, who enjoyed one-party control of Washington for the past two years. It would presage the challenges to come in achieving even the modest ambitions that Democrats are bringing to the task of legislating in a divided Capitol.

The White House has insisted that it won’t allow the nation’s credit to be held captive to the demands of newly empowered GOP lawmakers. But it has no choice.   The Constitution gives to CONGRESS the sole power to spend money and unless Congress ups the limit, the Biden Administration is dead in the water, financially.

Moreover, the concessions made by new House Speaker Kevin McCarthy in his arduous path to securing the job raise questions about whether he has the ability to cut any kind of deal to resolve a standoff.

With this kind of leverage at their disposal, House Republicans can make certain __their__ pririties are met.  Like canceling the 87,000 new IRS Agents.  The House passed a Bill to do just that, as their first order of business last week.  But Biden has already said he will Veto that bill.

He may.

But when it comes time to negotiate over the debt ceiling, things like that will come back to bite Biden.

The stakes are treacherous. Past forecasts suggest a default could instantly bury the country in a deep recession, right at a moment of slowing global growth as the U.S. and much of the world face high inflation because of the coronavirus pandemic and Russia’s invasion of Ukraine.

When Media Provide ‘Analyses’

There was a time when news and opinion pieces were all there was in a newspaper. The usual advertisements and crossword puzzles were just the supporting extras on top of that. But some two decades ago a new form of ‘news’ was added to the content. It called itself ‘analyses’ and claimed to be a neutral form of discussing this or that item. Written by journalists, not opinion editors, it was supposed to be fact based.

But after reading many of those ‘analysis’ I found that they are mostly used for propaganda. Their conclusions are obviously developed before the journalist or ‘columnist’ goes out and collects whatever may support those.

We find one example of such ‘analysis’ in today’s Washington Post.

Written by Ishaan Tharoor it opens with a very broad claim.

‘Give them the tanks!’: Davos elites rally behind Ukraine

DAVOS, Switzerland — At this annual meeting where global elites are urged to collaborate, cooperate and get along, one message rang loudest: Send the weapons. As in the previous World Economic Forum session in May, the war in Ukraine loomed large in discussions. And while political leaders voiced their steadfast support for Kyiv, so too did a host of major corporate bigwigs.

The first question is of course why the people who fly in their private or government jets to Davos to discuss the climate problems they are causing are supposed to be ‘elites’.

But lets set that aside for now. What I really quarrel with is the selection of people mentioned in the peace all of which want to ship even more weapons to Ukraine.

There is the Ukrainian oligarch Victor Pinchuk, Ukrainian President Volodymyr Zelensky and the ever mealy mouthed CNN filler Fareed Zakaria.

Next to Zelensky was the …

… former British prime minister Boris Johnson — who, no matter his controversial ouster at home, remains a popular figure among Ukrainians

One wonders how many Ukrainians Thardoor has spoken with before making that ‘popular figure’ claim. Recall that it was Johnson who in early April 2022 prevented Zelensky from signing a peace agreement with Russia and urged him to wage a wider war:

According Ukrainska Pravda sources close to Zelenskyy, the Prime Minister of the United Kingdom Boris Johnson, who appeared in the capital almost without warning, brought two simple messages.The first is that Putin is a war criminal, he should be pressured, not negotiated with.

And the second is that even if Ukraine is ready to sign some agreements on guarantees with Putin, they are not.

Johnson’s position was that the collective West, which back in February had suggested Zelenskyy should surrender and flee, now felt that Putin was not really as powerful as they had previously imagined, and that here was a chance to “press him.”

After that, according to Ukrainska Pravda sources, the bilateral negotiation process [with Russia] was paused.

Since then some 300,000 Ukrainians were wounded and some 150,000 Ukrainian soldiers have died. What do they or their relatives think about Johnson’s intervention? Is he ‘popular’ for them?

Tharoor goes on:

In Davos, the sentiment was overwhelming. “Give them the tanks! There’s absolutely nothing to be lost,” Johnson insisted, adding that the world needed to place greater trust in the Ukrainians’ courage and fighting spirit. “We continually underestimated the willingness and the ability of Ukrainians to fight and defend their homeland. … They proved the world completely wrong. They are going to win. We need to help them win as fast as possible.”

Is some uttering of the ever lying Boris Johnson ‘elite’? Does it really reflect the opinion of those in Davos?

Tharoor has more sources.

In its pavilion, U.S. tech company Palantir hosted Ukrainian Vice Prime Minister Mykhailo Fedorov, who celebrated his nation’s usage of Palantir’s data-driven software in its prosecution of the war against Russia.At the same breakfast session, Larry Fink, CEO of BlackRock, the world’s largest asset manager, spoke of his plans to help coordinate billions of dollars worth of reconstruction financing for Ukraine, saying he hoped the initiative would also turn the country into a “beacon of capitalism.” David Solomon, CEO of Goldman Sachs, spoke cheerily of Ukraine’s postwar future. “There is no question that as you rebuild, there will be good economic incentives for real return and real investment,” he said.

Nothing of the above is a real opinion. These are people hyping their businesses which they hope will profit from the war.

A succession of European leaders, like Finnish Prime Minister Sanna Marin, insisted their governments would maintain total support for Ukraine for as “long as it takes.” Members of a bipartisan U.S. congressional delegation echoed the sentiment. The rhetoric belies concerns privately held by many officials: As my colleagues reported Thursday evening, CIA Director William J. Burns recently traveled to Kyiv to meet Zelensky to brief him on U.S. expectations for Russia’s upcoming military campaigns and convey that, at some point, the scale of current assistance to Ukraine may be harder to come by.More the reason, Ukraine’s supporters contend, to rush aid now and help Ukraine make more rapid gains. “Whenever Ukraine goes to negotiations, it has to go in as strong as possible in those talks,” Finnish Foreign Minister Pekka Haavisto told me.

That’s it for the ‘facts’ underlying the nonexistent ‘analysis’ of that column.

The only one talking tanks is the bygone British prime minister Boris Johnson. Nothing provides support for the claim that it is the ‘overwhelming sentiment’.

There is nothing provided by Tharoor but a collection of the current standard blabber of ‘western’ politicians and marketing talk by some business folks who are hoping for large payouts to them.

His ‘analysis’ is a war mongering opinion peace. Its conclusion was preconceived.

‘Elite’ it is certainly not.

The twilight zone finchley

Tanks to Germany

An anaolgy for the tanks being sent by the west to Ukraine :

Back in 1967, the Honda Motor Company abandoned it’s motorcycle grand prix efforts to concentrate on car developement and manufacture. Or so the official line goes. However behind that story was the fact that every motorcycle they fielded in competiton used completely unique parts, even down to the ‘ clip on ‘ handlebars and the maintenence and technical requirements were a huge financial drain on the company.

The west is cobbling together an assortment of different fighting vehicles, each without compatibility to the other, or Ukraine’s troops, each a complex and difficult machine to master, let alone intergrate with Ukraine’s military. They all require their own spares, logistical back up and mainteneance programes and each are designed to operate in a different theatre of combat than the Ukraine.

The fact that these ‘ elites ‘ and western politicians are deaf to more expert voices who tell them this is not going to achieve anything.

( In the case of the U.K. they have so few, that a mere 14 sent will severely affect the number of ‘ new ‘ Challenger 3 tanks, which apparently will be built on the Challenger 2 platform. The U.S. Bradleys which are difficult in every aspect to operate. The German Leopard 2 series have many upgrades for other countries requirements and are not all compatible. That the U.S. Abrams is a gas guzzling, heavy monster that requires a logistic chain that the Ukraine military has no hope of creating etcetra. And what happens when an example or two end up intact in Russian hands? ).

These comment about sending more arms marks them out as wealthy fools whose only elite capability is to clean the latrines at Davos, rather than discuss complex geopolitical issues.

Posted by: Beibdnn. | Jan 20 2023 17:14 utc | 25

Nantucket Pie (Cranberry Pie)

A New England favorite, this Nantucket Pie is not really a pie. It’s more like a cake. It’s delicious whether you call it a pie or a cake!

nantucket pie
nantucket pie

Do not use frozen cranberries for this pie. Only fresh cranberries will work.

Ingredients

Topping

  • 2 cups halved fresh cranberries
  • 1/2 cup chopped walnuts
  • 1/2 cup granulated sugar

Cake

  • 2 large eggs
  • 3/4 cup butter, melted and cooled
  • 3/4 cup granulated sugar
  • 1 cup all-purpose flour

Instructions

  1. Heat oven to 350 degrees F. Heavily spray an 8- or 9-inch springform pan with oil. Line a baking sheet with parchment paper.
  2. Topping: In a medium bowl combine cranberries, walnuts and sugar. Toss well. Pour mixture into prepared springform pan. Spread evenly and pat down a bit.
  3. Cake: In a medium bowl, combine eggs, butter and sugar. Mix until smooth, then add the flour. Mix until smooth. Batter will be thick. Spread batter gently with a spatula over the cranberry-walnut mixture until all areas are covered.
  4. Place springform pan on prepared baking sheet, and bake for for 30 to 35 minutes.
  5. After removing from the oven, let it sit for 15 minutes.
  6. With a butter knife, gently go around the edge of the pan. Slowly, release the side of the pan and remove the ring.
  7. Let the pie sit for another minute. Then gently invert onto a plate. As you slowly begin to remove the bottom of the pan from the top of the pie, use a butter knife to guide the topping away from the pan, if necessary.
  8. Cool and serve.

Hornets Nest That Formed Around The Face Of A Wooden Statue That Was Left In A Shed

63be93e9ee1d8 3sl0sr1ryni21 700
63be93e9ee1d8 3sl0sr1ryni21 700

This Artist Photoshops Old Paperback Books With Ridiculous Titles

Even though the internet is primarily focused on knowledge, connecting people, and providing services, a very nice and, to be honest, necessary function of the internet is to give people things to laugh about.

In the sea of comedy that anyone can find on the various social media, there’s also Paperback Paradise, a page dedicated to photoshopping vintage paperback book covers with funny, weird, and absurd titles, subtitles and marketing blurbs that were oh so popular on books back in the day; and Domi, the artist behind the self proclaimed “world’s #1 used book store”.

173896025 3990099861050303 3122681119303651545 n
173896025 3990099861050303 3122681119303651545 n

173127631 3281117338783493 1299774907621230024 n
173127631 3281117338783493 1299774907621230024 n

167190519 564996047807487 1610600702292223780 n
167190519 564996047807487 1610600702292223780 n

164966315 158009466091833 1164866619765355932 n
164966315 158009466091833 1164866619765355932 n

145531132 162431932139570 2156801271797450079 n
145531132 162431932139570 2156801271797450079 n

144475227 265576741580884 1871334331527416675 n
144475227 265576741580884 1871334331527416675 n

138955179 4393947477287092 5848412818983790067 n
138955179 4393947477287092 5848412818983790067 n

126312685 361099304985639 5557626523844405579 n
126312685 361099304985639 5557626523844405579 n

123452320 393429448682851 5916296035678681317 n
123452320 393429448682851 5916296035678681317 n

122026324 811361439599132 3906975207997455392 n
122026324 811361439599132 3906975207997455392 n

120253705 3310939025691283 8704314735261868761 n
120253705 3310939025691283 8704314735261868761 n

118372547 122163019347229 2018174349930004940 n
118372547 122163019347229 2018174349930004940 n

110010158 329668774869552 7040055893775000803 n
110010158 329668774869552 7040055893775000803 n

104496152 198451211622890 7726537906218752686 n
104496152 198451211622890 7726537906218752686 n

103002083 113673110186350 3061443359843351448 n
103002083 113673110186350 3061443359843351448 n

101501961 583249059234731 5413324400492188510 n
101501961 583249059234731 5413324400492188510 n

101004543 308709313472300 920054943271523021 n
101004543 308709313472300 920054943271523021 n

92216724 262201834788690 8537707063221758754 n
92216724 262201834788690 8537707063221758754 n

89950270 219779035839853 5835656255327563949 n
89950270 219779035839853 5835656255327563949 n

87699300 521289252135971 592536053667101362 n
87699300 521289252135971 592536053667101362 n

81373722 168964954333292 7682117528122903684 n
81373722 168964954333292 7682117528122903684 n

81259545 169751114267427 3956252401987791247 n
81259545 169751114267427 3956252401987791247 n

81197851 522913478320578 5837287125410235806 n
81197851 522913478320578 5837287125410235806 n

79979715 1205268003006092 2663443867182268536 n
79979715 1205268003006092 2663443867182268536 n

79753918 2556416094594730 7208473227803090005 n
79753918 2556416094594730 7208473227803090005 n

76789939 153423082577951 3666011923998643364 n
76789939 153423082577951 3666011923998643364 n

73401850 138815177418167 2125375314683663609 n
73401850 138815177418167 2125375314683663609 n

70639198 393850551310317 8433761948107981590 n
70639198 393850551310317 8433761948107981590 n

0 27
0 27

51839063 1116949441800240 4903477730890267980 n
51839063 1116949441800240 4903477730890267980 n

54248104 2526675184071341 8124778524550818665 n
54248104 2526675184071341 8124778524550818665 n

58409511 862693827427842 8046635922261029953 n
58409511 862693827427842 8046635922261029953 n

59413824 1707758352691416 7004362337098151288 n
59413824 1707758352691416 7004362337098151288 n

59859141 345594899484500 7594108609794527281 n
59859141 345594899484500 7594108609794527281 n

60676950 181987542799884 1806525057843617935 n
60676950 181987542799884 1806525057843617935 n

64392575 346063809393455 5727821640213104443 n
64392575 346063809393455 5727821640213104443 n

64631737 490368405067124 8358957593613559052 n
64631737 490368405067124 8358957593613559052 n

64730241 345514606115706 2331959713457155369 n
64730241 345514606115706 2331959713457155369 n

64784855 363443041040189 6464911786457884378 n
64784855 363443041040189 6464911786457884378 n

65197429 333298630917195 1042446780129902965 n
65197429 333298630917195 1042446780129902965 n

65224202 1586229834841595 3368079972174653426 n
65224202 1586229834841595 3368079972174653426 n

65441459 167687137604424 419057267872605392 n
65441459 167687137604424 419057267872605392 n

65886115 149735336107317 562145167772669477 n
65886115 149735336107317 562145167772669477 n

66452406 123592718897690 3079641682754320743 n
66452406 123592718897690 3079641682754320743 n

68809964 344332073119204 4769798986024221470 n
68809964 344332073119204 4769798986024221470 n

70442165 133491474617098 7237962235071493308 n
70442165 133491474617098 7237962235071493308 n

63be934f6dfcc ux5xodu8i8z31 png 700
63be934f6dfcc ux5xodu8i8z31 png 700

This is excellent. Superb writing as always from Rod Serling – a real shame there’s no writing like this on TV now.

Mostly Harmless and Baked Stuffed Papayas

Good stuff here. The truth about the GDP as a measurement. Some fantastic and delicious Caribbean food. Stories about cat rescues. A reality check about nuclear war. And some personal stories that many of us can relate to.

There’s also a very disturbing report about the United States mRNA injections and what is going on.

We will start here.

Pentagon, Chinese analysts agree US can’t win in Taiwan Strait

US mulls ‘scorched earth’ strategy for Taiwan instead of defense

.

China’s satellite coverage in the Western Pacific has doubled since 2018, the Pentagon reported last week in its annual assessment of the Chinese military. That gives China the ability to detect American surface ships with an array of sensors that can guide its 2,000 land-based missiles to moving targets, including US aircraft carriers.

The Defense Department’s November 29 report “Military and Security Developments Involving the People’s Republic of China” reflects a grimly realistic rethinking of China’s military capacity in its home theater.

China hawk Elbridge Colby, a prominent advocate of a Western Pacific military buildup to deny China access to its adjacent seas, tweeted on November 6, “Senior flag officers are saying we’re on a trajectory to get crushed in a war with China, which would likely be the most important war since WWII, God forbid.”

The strategic takeaway is that the United States cannot win a firefight close to China’s coast, and can’t defend Taiwan whether it wants to or not. That view in the Joe Biden administration’s Department of Defense (DOD) persuaded the president to discuss “guardrails” against military confrontation in his November summit with his Chinese counterpart Xi Jinping.

Republican hawks appear to have come to the same conclusion. The United States will enact a scorched-earth policy in Taiwan, destroying its semiconductor industry, if the PRC seizes the island, former Trump national security adviser Robert O’Brien told a conference at the Richard Nixon Foundation on November 10, reports army-technology.com.

“If China takes Taiwan and takes those factories intact – which I don’t think we would ever allow – they have a monopoly over chips the way OPEC has a monopoly, or even more than the way OPEC has a monopoly over oil,” O’Brien said.

much-read paper by two Army War College professors published this year proposes that “the United States and Taiwan should lay plans for a targeted scorched-earth strategy that would render Taiwan not just unattractive if ever seized by force, but positively costly to maintain.”

“This could be done most effectively by threatening to destroy facilities belonging to the Taiwan Semiconductor Manufacturing Company, the most important chipmaker in the world and China’s most important supplier.”

O’Brien evidently agrees with the Pentagon’s assessment that the US can’t win a war in the Taiwan Strait, proposing – apropos of the Vietnam War’s most celebrated sound bite – to destroy the island in order to save it.

Anti-ship missiles are the 21st-century equivalent of the torpedo and dive bombers that banished the battleship from military budgets after the 1941 sinking of the Bismarck by the British and the sinking of the Repulse and the Prince of Wales by the Japanese. Surface ships, including aircraft carriers, can’t defend against modern missiles that can downlink guidance data from reconnaissance satellites.

The DOD report states that the PLA Rocket Force’s “conventionally armed CSS-5 Mod 5 (DF-21D) ASBM variant gives the PLA the capability to conduct long-range precision strikes against ships, including aircraft carriers, out to the Western Pacific.”

“The [People’s Liberation Army Air Force’s] ground-based missile forces complement the air and sea-based precision strike capabilities of the PLAAF and PLAN.… DF-21D has a range exceeding 1,500 km, is fitted with a maneuverable reentry vehicle (MaRV), and is reportedly capable of rapidly reloading in the field.

“The PLARF continues to grow its inventory of DF-26 IRBMs, which it first revealed in 2015 and fielded in 2016. The multi-role DF-26 is designed to rapidly swap conventional and nuclear warheads and is capable of conducting precision land-attack and anti-ship strikes in the Western Pacific, the Indian Ocean, and the South China Sea from mainland China.

“In 2020, China fired anti-ship ballistic missiles against a moving target in the South China Sea.”

China tested these weapons thoroughly, the Pentagon report adds:

“In 2021, the PLARF launched approximately 135 ballistic missiles for testing and training, more than the rest of the world combined excluding ballistic missile employment in conflict zones. The DF-17 passed several tests successfully and is deployed operationally.

“While the DF-17 is primarily a conventional platform, it may be equipped with nuclear warheads. In 2020, a PRC-based military expert described the primary purpose of the DF-17 as striking foreign military bases and fleets in the Western Pacific.”

Key to the effectiveness of anti-ship missiles is satellite intelligence and electronic warfare measures. As the Pentagon reports:

“China employs a robust space-based ISR [intelligence/surveillance/reconnaissance] capability designed to enhance its worldwide situational awareness. Used for military and civilian remote sensing and mapping, terrestrial and maritime surveillance, and intelligence collection, China’s ISR satellites are capable of providing electro-optical and synthetic aperture radar (SAR) imagery as well as electronic and signals intelligence data.”

Most important:

“As of the end of 2021, China’s ISR satellite fleet contained more than 260 systems – a quantity second only to the United States, and nearly doubling China’s in-orbit systems since 2018.”

Satellite signals can be jammed or spoofed (misdirected to show incorrect coordinates), but

“The PLA continues to invest in improving its capabilities in space-based intelligence, surveillance, and reconnaissance (ISR), satellite communication, and satellite navigation … the PRC continues to develop a variety of counter-space capabilities designed to limit or prevent an adversary’s use of space-based assets during crisis or conflict.

“In addition to the development of directed energy weapons and satellite jammers, the PLA has an operational ground-based anti-satellite (ASAT) missile intended to target low-Earth orbit satellites, and the PRC probably intends to pursue additional ASAT weapons capable of destroying satellites up to geosynchronous Earth orbit.

“PLA [electronic warfare] units routinely train to conduct jamming and anti-jamming operations against multiple communication and radar systems and Global Positioning System (GPS) satellite systems during force-on-force exercises.

“These exercises test operational units’ understanding of EW weapons, equipment, and procedures and they also enable operators to improve confidence in their ability to operate effectively in a complex electromagnetic environment.”

China’s military has improved quality as well as quantity, according to the Pentagon:

“Recent improvements to China’s space-based ISR capabilities emphasize the development, procurement, and use of increasingly capable satellites with digital camera technology as well as space-based radar for all-weather, 24-hour coverage.

“These improvements increase China’s monitoring capabilities – including observation of US aircraft carriers, expeditionary strike groups, and deployed air wings. Space capabilities will enhance potential PLA military operations farther from the Chinese coast.”

Overall, the Pentagon’s readout on China’s missile and satellite capability is virtually identical to the estimation of Chinese analysts, for example, the widely read military columnist Chen Feng in the prominent Chinese website “The Observer” (guancha.cn). In a November 27 report, Chen explained why an array of small satellites can achieve precise real-time target location:

“Small satellites are not only small, lightweight, and low-cost, but also operate in low orbits. In terms of space ISR, one is worth nearly three. This is true for optical and radar imaging, as well as for signal interception. So the actual reconnaissance capability of small satellites is no weaker than large satellites, and commercial Synthetic Aperture Radar small satellites in the United States and China are able to reach 0.5-meter resolution.

“Optical imaging has always had the advantage of high resolution, which is also a very mature technology. In the era of digital imaging, there is no longer a need to use the re-entry capsule to send the film back to the ground when the satellite is overhead.”

Synthetic aperture radar, Chen explains, “is not applicable to moving targets, but most of the intelligence can be interpreted from still images, and the similarities and movement can be inferred from differences between the before and after still images can also be inferred from the movement.”

A lead satellite may detect a suspicious object, and follow-up satellites “can be switched to a detailed investigation mode, and relay the results of detailed investigation.” Other satellites with electromagnetic rather than optical sensors can conduct real-time triangulation.

In addition to its satellite ISR capability, Chen says, the other half of China’s reconnaissance capability consists of “unmanned aircraft, unmanned boats, submarines, and networked land-based radar, and undersea hydroacoustic monitoring.”

China, Chen concludes, does not yet have global ISR capability, “but theater coverage has been achieved.”

In the past, the US Navy has insisted that a combination of electronic warfare measures and anti-missile defenses can defend US capital ships against Chinese attack. This year, the navy’s top officer Admiral Jonathan Greenert told reporters that a combination of spoofing (feeding false position coordinates to an incoming missile), masking electronic emissions, and anti-missile systems like Aegis can defend US carriers.

But as Gabriel Honrada reported on August 14, US anti-missile systems like Aegis or Patriot aren’t effective against missiles honing in from a high trajectory. China’s DF-21 and other anti-ship missiles are designed to ascend to the stratosphere and strike vertically.

Electronic countermeasures, moreover, are less effective against multiple sensors. China’s tiered system of sequenced optical, as well as electromagnetic reconnaissance combined with air and sea drones, is getting harder, if not impossible, to spoof. And China’s missile force is so large that it can inflict devastating damage even with a high error rate.

Apart from its formidable inventory of conventional missiles, China has developed hypersonic glide vehicles that hug the ground and maneuver at the speed of intercontinental ballistic missiles, or several times the speed of sound. No conventional missile defense can stop HGVs.

Apart from its missile force, China has about 800 fourth-generation fighters deployed at its coast and close to 200 fifth-generation (stealth) fighters. As the Pentagon report notes, China has corrected the most important deficiency in its domestic warplane production, namely jet engines:

“China’s decades-long efforts to improve domestic aircraft engine production are starting to produce results with the J-10 and J-20 fighters switching to domestically produced WS-10 engines by the end of 2021. China’s first domestically produced high-bypass turbofan, the WS-20, has also entered flight testing on the Y-20 heavy transport and probably will replace imported Russian engines by the end of 2022.”

A noteworthy observation in the new Pentagon report is that China now has only 30,000 marines, compared with a US Marine Corps of about 200,000 including reserves.

Only 200 Chinese marines are deployed outside the country, at China’s sole overseas base in Djibouti.

China has about 14,000 special forces versus an American count of about 75,000. This isn’t consistent with the report’s claim that China wants to “project power globally.”

If the USA starts bombing Taiwan; they will be bombing China. And China will have every right to start bombing New York City. -MM

Rescued. Happy ending. But what a poor sad sight. OMG!

How To Become a Cat: The Complete Guide

1 16 1
1 16 1

This informative guide has been created by illustrators and book authors Lisa Swerling and Ralph Lazar. We sincerely hope this will help you to achieve your life-long dream to become a cat.

21 4 1
21 4 1

20 6 1
20 6 1

19 6 2
19 6 2

18 7 1
18 7 1

17 7 1
17 7 1

15 7
15 7

14 7 1
14 7 1

ye13 7 1
ye13 7 1

12 7 1
12 7 1

11 9 2
11 9 2

10 e10 1
10 e10 1

9 12 1
9 12 1

8 re 1
8 re 1

7 13 1
7 13 1

6 13 1
6 13 1

5 14 2
5 14 2

4 14
4 14

3 15
3 15

2 15 1
2 15 1

What’s It Like To Date A Woman 25 Years Older Than You?

 

I dated a lovely woman that was exactly twice my age. I was 25 and she was 50.

She’d been through a terrible, abusive marriage, and had 3 grown children, 2 of which were older than I.

Her ex and her kids treated her like shit and unfortunately she let them.

Together we made a life running a business together that was successful enough for us to afford 2 homes, 3 nice cars, and a 30+ foot house boat.

 

Eventually I realized that while I had a damn good life, I was not happy.

Age is not just a number. It eventually gets to the point where you can see the huge difference.

I got tired of the Mom jokes and I was totally out of my element when her kids visited. I hated how they treated her and it became a source of contention for us.

Sexually, we were great at first, but that changed quickly as she went through menopause. She was no longer interested in sex and I was a raging 30yr old by then.

We started sleeping apart because her “back hurt” and I was just so comfortable with my life that I didn’t protest.

Things really started to fall apart when I was getting closer to 40 and realized that she just couldn’t keep up with the things I wanted to do in life.

I was taking care of her more and more and I started to resent her for it. Eventually I realized that unless I left I would be miserable.

I told her when I was 38, after 13 years together, that I thought it was time for us to part ways. One of the most difficult things I’ve ever done.

She was totally devastated. So much so that I almost stayed, just to make sure someone would be there to care for her as her health failed.

I begged her to become self reliant and moved out after 6mo. of trying to help her settle her life apart from me.

I moved out of state and told her idiot kids that they needed to help her.

That’s the last time I saw her. I left her with everything. Both the houses and 2 cars and the boat, also the business we’d built together.

Financially she wouldn’t ever have to work again. I started over with a pickup truck and about $2k in the bank.

Caribbean Honey-Spiced Chicken with Mango

Caribbean Honey Spiced Chicken with Mango
Caribbean Honey Spiced Chicken with Mango

Ingredients

  • 1/4 cup honey
  • 1/4 cup fresh lemon juice
  • 2 teaspoons freshly grated lemon peel
  • 1 ripe mango, peeled and diced
  • 1 small onion, peeled and quartered
  • 2 fresh jalapeno peppers, halved and seeded
  • 2 teaspoons paprika
  • 2 teaspoons vegetable oil
  • 1 1/2 teaspoons garlic salt
  • 1/2 teaspoon ground cinnamon
  • 1/2 teaspoon fresh ground pepper
  • 1/2 teaspoon ground allspice
  • 4 boneless skinless chicken breast halves
  • 1 tablespoon vegetable oil

Instructions

  1. In a small bowl, combine honey, lemon juice and lemon peel; whisk until well-blended. Remove 1/4 cup of mixture to food processor container; set aside. Add mango to honey lemon mixture in bowl; toss to coat. Store in refrigerator.
  2. Add onion, jalapenos, paprika, oil, garlic salt, cinnamon, pepper and allspice to honey-lemon mixture in food processor container. Process until very finely chopped, scrape down sides when necessary. Spread mixture evenly over both sides of chicken breasts. Spread oil in 13 x 9-inch baking pan. Arrange chicken breasts in pan.
  3. Bake at 375 degrees F for 25 to 30 minutes or until cooked through. Remove chicken to serving platter; top with reserved mango.

“Fuel For The Soul”: Outstanding Nostalgic Motorcycle Paintings Of David Uhl

0 1
0 1

David Uhl is an artist’s artist. His technique, realistic with an impressionistic flair, breathes fantastic life into even the most ordinary of subject matter. He is now among the select few officially licensed fine artists of Harley-Davidson Motor Company.

Having grown up in a fishing village in Michigan, David Uhl draws on both nature and nurture for his artistic ability. His father was an inventor-engineer and most of his family is oriented toward the arts.

After spending his high school years drawing and painting, he received the only scholarship offered to a senior to attend the Colorado Institute of Art. This education allowed him to explore his illustration and life-drawing abilities, which led to projects for such companies as Sony, Hewlett Packard and Coca-Cola.

An avid Harley owner since 1988, Uhl’s passion would soon coincide with his artistic talent. Harley-Davidson Motor Company executives recognized David’s creative talents and introduced him to Segal Fine Art, a licensed publisher of Harley-Davidson fine art. David would now spend endless hours in the Harley-Davidson Archives researching vintage photos to use as reference for his official fine art paintings. Captivated by the extraordinary history of the Motor Company, David’s desire was to memorialize the legacy.

David Uhl’s work reflects an uncanny ability to view black and white photos from earlier periods and imagine what they must have looked like in color.

David states, “My work must be life-like and believable, which requires a great amount of mixing colors to reach the desired temperatures and hues. It’s a lot of trial and error, but I feel the final product is my reward.”

.

2023 01 15 17 57
2023 01 15 17 57

69
69

68 1
68 1

67
67

66
66

65
65

64 1
64 1

63
63

62
62

61 1
61 1

60
60

59 1
59 1

58 4
58 4

5tt7
5tt7

5t6
5t6

wt55
wt55

5114
5114

523
523

51 2
51 2

50 2
50 2

49
49

48
48

47 2
47 2

46 2
46 2

45
45

44 2
44 2

43 2
43 2

42 3
42 3

41 3
41 3

40 3
40 3

39 3
39 3

38 3
38 3

37 4
37 4

36 4
36 4

35 4
35 4

34 5
34 5

33 2
33 2

32 2
32 2

31 2
31 2

30 2
30 2

29 2
29 2

28 2
28 2

27 2
27 2

26 2
26 2

25 2
25 2

23 2
23 2

22 2
22 2

21 2
21 2

20 2
20 2

19 2
19 2

18 2
18 2

17 2
17 2

16 2
16 2

15 2
15 2

14 2
14 2

13 2
13 2

12 2
12 2

11 3
11 3

10 2
10 2

9 2
9 2

8
8

7
7

6 3
6 3

5 3
5 3

4 2
4 2

3 2
3 2

2 2
2 2

1 2
1 2

Pfizer CEO Intercepted by Media in Davos

.

2023 01 19 20 12
2023 01 19 20 12

Albert Bourla, the Chief Executive Officer of Pfizer, was intercepted by new-media in Davos, Switzerland.  It quickly became clear Mr. Bourla was not prepared for the questions asked by citizen journalist media as opposed legacy mass-media lapdogs. Video below shows the encounter.

Reporter Ezra Levant of Rebel News made the initial interception outside the World Economic Forum event in Davos, Switzerland.    Mr. Levant was quickly joined by Avi Yemeni, also of Rebel News, but from their Australia outlet.   Here’s an Excerpt:

Levant: Mr. Bourla, can I ask you when did you know that the vaccines didn’t stop transmission? How long did you know that without saying it publicly? We now know that the vaccines didn’t stop transmission, but why did you keep it secret? You said it was 100% effective, then 90%, then 80%, then 70%. But we now know that the vaccines do not stop transmission. Why did you keep that secret?

Bourla: Have a nice day

Levant: I won’t have a nice day until I know the answer. Why did you keep it a secret that your vaccine did not stop transmission?

Yemini: Is it time to apologize to the world, sir? To give refunds back to the countries that poured all their money into your vaccine that doesn’t work, your ineffective vaccine? Are you not ashamed of what you’ve done in the last couple of years?

Levant: Do you have apologies to the public, sir?

Yemini: Are you proud of it? You’ve made millions on the backs of people’s entire livelihoods. How does that feel to walk the streets as a millionaire? On the backs of the regular person at home in Australia, in England, and Canada?

Levant: What do you think about on your yacht, sir? What do you think about on your private jet? Are you worried about product liability? Are you worried about myocarditis? What do you have to say about young men dropping dead of heart attacks every day? Why won’t you answer these basic questions?

Yemini: Do you think you should be charged criminally for some of the criminal behavior you’ve obviously been a part of?

Levant: How much money have you personally made off the vaccine?

Yemini: How many boosters do you think it’ll take for you to be happy enough with your earnings?

Levant: Who did you meet with here in secret? Will you disclose who you met with? Who did you pay commissions to? In the past, Pfizer has paid $2.3 billion in fines for deceptive marketing. Have you engaged in that same conduct again? Are you under investigation like you were before for your deceptive marketing?

Yemini: If any other product in the world doesn’t work as promised, you get a refund. Should you not refund to countries that laid out billions for your ineffective vaccine?

Levant: Are you used to only sympathetic media? So you don’t know how to answer any questions.

Yemini: Shame on you.

Levant: Shame on you.

VIDEO

The video below was posted to social media.  Within minutes, it _seemed_ to get “shadow banned” as viewership each minute went from 70,000, down to 50,000 and continued dropping.  We publish the video here pursuant to exception to the U.S. Copyright Law which exists for news purposes:

HAL TURNER COMMENTARY

You know, I am REALLY proud of those two citizen journalists.  I don’t even know them, have never met or spoken with them, yet I feel PROUD to know they’re in this profession.  THIS is what investigative reporting _should_ be on controversial and important topics.

For my part, I would have asked “Mr. Bourla, how do you feel about your vaccine killing people and ruining their lives with chronic disabilities for money?” and, “How do you sleep at night knowing that you’ve killed babies, and are STILL in the process of killing babies with your vaccines?” “Are you going to pay families back for their loses?”

Or perhaps: Albert at what point did you become aware that your product is killing kids?

At what point did you become aware it was linked to stillbirths and the deaths of the unborn?

At what point did you become aware that it was linked to heart attacks, strokes, and turbo cancer?

At what point did you realize the vaccine is sterilizing healthy young men and women so they cannot have children?

Lord knows I could go on and on and on.

Kudos to the guys at Rebel Media for what they accomplished.  In one fell swoop, they showed the real questions being asked by common folks all over the world, and let the world see a fat-cat Billionaire devoid of answers while a product his company produces continues to harm people all over  the world.

China has banned nothing in China and in the world.

Amazon, Facebook, Snapchat, YouTube, Discord, WhatsApp, Whisper, and pretty much every single major US website refuse to follow the Chinese laws to operate their operations in China, this they have not been approved by the Chinese laws for these businesses to operate in China.

It is the US who has blocked all the free international media and internet such as the free Russian international media and internet.

As a result, all Americans have been living in their totally isolated, completely censored and lying media. Americans know nothing about the free world, but the US style of democratic nonsense and disinformation.

Michael Hudson has a nice lecture on GDP and why it’s a bad metric these days

https://www.youtube.com/watch?v=ock3144BKG4

Smart deterrence: China’s AI-warfare plan for Taiwan

New military concept builds on informationized and intelligentized warfare, leveraging AI to advance them to a new strategic level
.

Chinese military experts are reportedly exploring “smart deterrence” concepts, marking a significant evolution in China’s use of artificial intelligence (AI) and other emerging technologies from tactical and operational military levels to influence strategic-level decision-making.

This week, South China Morning Post reported that China could become a leader in so-called “intelligent warfare”, drawing on advanced technologies such as AI, cloud computing, big data analytics and cyber offense and defense.

Ni Yongjie, deputy director of the Shanghai Institute of Taiwan Studies, opined in the peer-reviewed journal Cross-Strait Taiwan Studies that China should use AI and other enabling technologies to deter the US and pro-independence factions in Taiwan, in addition to blockade exercises around the self-governing island, the South China Morning Post said.

Ni also wrote that smart deterrence is already being studied in Chinese military circles. He stated that China should normalize military exercises beyond the median line, the de facto sea border separating China from Taiwan, approaching the baselines of the latter’s territorial waters and cutting off maritime transport.

He also says that such exercises would be a powerful deterrent against Taiwan’s independence and foreign intervention, in addition to nuclear and conventional deterrence.

Ni’s suggestion to normalize military exercises off Taiwan aligns with Asia Times’ previous assessment that China has enacted a long-term and flexible strategy for the self-governing island.

This strategy involves periodic military exercises that amount to blockades, with a tighter military noose increasing the threat level. Moreover, it sends the message that any large military exercise could quickly be the real thing – an indefinite blockade of Taiwan to starve it into submission.

Apart from staging military exercises near the baselines of Taiwan’s territorial waters, Ni stated that China should hold similar exercises in the Spratly Islands in the South China Sea and Dongsha Island, which Taiwan controls.

In addition to blockades, Ni called for the use of economic, legal, psychological and cyber tools to deter Taiwan’s pro-independence factions while giving punitive examples of regulating cross-strait trade, stopping imports of agricultural products and halting the two sides’ 2010 free trade agreement.

Smart deterrence adds a cognitive aspect to China’s strategy for Taiwan, as deterring the latter from declaring independence by military and economic threats alone may not be sufficient.

As noted by an October 2022 policy brief by the University of San Diego’s 21st Century China Center, China has long been able to threaten Taiwan with severe military and economic consequences should it declare independence, but has not been able to convince the population that refraining from taking steps to independence will be met with restraint, rather than reunification on Beijing’s terms.

Other expert opinion about China’s approach towards the self-governing island comes to mixed conclusions. A September 2022 survey of China experts by the Center of Strategic and International Studies (CSIS) shows that China is determined to reunify with Taiwan, but needs a coherent strategy.

The CSIS survey also says that Chinese President Xi Jinping still believes there are non-violent avenues for reunification but states that the potential for a Taiwan Strait conflict is real, as China assumes the US will intervene in the event of a conflict.

A 2020 study by RAND outlines the evolution of China’s military strategic and operational concepts from the aftermath of the Korean War to the present. The study shows that China’s military strategic and operational concepts have changed from fighting an imminent conventional war or major nuclear war with positional offense and mobile defense to active defense relying on information dominance and target-centric warfare.

However, China’s strategic and operational concepts may have already evolved beyond mere information dominance and target-centric warfare. A September 2020 US Department of Defense (DOD) report to Congress notes that China has been moving from “informationized” warfare to “intelligentized” warfare, with enabling technologies increasing the speed of future combat.

The source notes that under informationized warfare, China’s military strategists believe that victory in future wars depends on which side can observe, orient, decide and act faster.

In that connection, a November 2022 US DOD report to Congress notes that China’s intelligentized warfare concept aims to seize control of the information domain to deter or manage a conflict by destroying an adversary’s access to information.

The source also mentions that China has been honing its “Cognitive Domain Operations” (CDO) concept, adapting its previous concepts of public opinion and psychological warfare to the information domain using enabling technologies such as AI.

China’s previous concept of informationized warfare may thus be seen as too focused on warfare’s military and kinetic aspects. In contrast, its succeeding concept of intelligentized warfare expands the application of emerging technologies into the cognitive domain at the operational level.

As such, China may be trying to scale up its intelligentized warfare concept to the strategic level, referring to the stretched concept as smart deterrence. This approach follows a bottom-up hindsight evolution to military strategy, as technology often moves ahead of tactics and strategy.

Narratives will play a key role in smart deterrence, with China portraying its approach to Taiwan as a domestic issue while the US frames it as a struggle between democracy and autocracy, with both sides gaining supporters and detractors. Through smart deterrence, China would aim to influence Taiwan’s center of gravity – its society – to erode its will to resist via disinformation aimed at demoralization.

Asia Times has previously noted that while China has devised numerous military strategic and operational concepts, including informationized and intelligentized warfare, China has not fought a conventional war since the disastrous 1979 Sino-Vietnamese War.

As these concepts remain untested, it is unclear if China has an established military strategy or operational concept to fall back on should its plan for absorbing Taiwan without a fight through smart deterrence ultimately fail.

.

What’s It Like To Chew On Coca Leaves?

 

So I’ve been to Peru many times, beautiful country, and each time I’ve used coca leaf, which is abundant, cheap, and used often here, but mostly in the form of tea, although I did put it in my mouth to “chew” it before but without the base needed to extract the alkaloids (one being cocaine, in tiny amounts).

Anyhow this time I did use both lime (comes with the bag of coca if you ask) and sodium bicarbonate to activate the alkaloids whilst holding the leafs in your mouth. I’ll outline my experiences below:

Coca tea (Mate de coca)

Extremely common here in Peru, used for altitude sickness and also just like you would use coffee. It’s light, not even as strong as coffee, but less taxing. I’d compare it to black tea, although again it’s a bit different.

Increased energy, more wakefulness (although definitely less than caffeine), and a slight mood uplift, without any noticeable comedown, nor any stomach issues (my stomach is sensitive and tea and coffee both are slightly uncomfortable to it).

It’s nice, definitely good for the high altitudes here, but nothing special, what’s noteworthy is that there doesn’t seem to be any tolerance, likely due to how light the effects are.

“Chewing” coca without a base to extract the alkaloids

“Chewing” is in quotes, as this is what it’s commonly referred to as, but really you just hold the leafs in the side of your mouth letting your saliva build up. Previous times I was here I didn’t realize the importance of the lime/sodium bicarbonate for this process. It’s slightly stronger than the tea, but the effects are fairly similar and it’s far less enjoyable.

“Chewing” coca with a base to extract the alkaloids, including a small amount of cocaine and some of its metabolites

Far far more potent, a whole different ball game. I can’t and won’t compare it to cocaine, as it doesn’t compare to it despite the similar effects.

The potency, onset, and just general feeling is much much different. From what I’ve read (feel free to google) this is mainly due to the lower quantities of cocaine actually consumed, combined with the much slower intake.

You first start feeling your mouth go numb, if you have enough leafs your whole tongue, gums and side of your mouth your holding it in will go numb. It’s important not to swallow your saliva as your stomach breaks down most of it, the effects come from your it entering your bloodstream through your capillaries in your mouth.

After about 10 – 15 minutes you feel more energized, more wakeful, and far more cheerful, I’d almost call it euphoric but it’s slightly less than that, but only slightly.

Your mood is significantly uplifted. It also stems your appetite. Whilst I can’t compare it to cocaine, nor can I too caffeine as the mood uplift is just far far better.

The effects peak after about 30 – 40 minutes of holding it in your mouth, you can then spit it out and redose, I’ve done this all day on a Trek and it seemingly works pretty much as strong each and every time.

The comedown from just doing it a couple of times is almost nothing, quite amazingling. You do come back to normal after about an hour of spitting it out, but mostly you are a little more tired, actually I have felt, again with normal usage, quite sleepy after it.

Taking it to the max, as I have, using it all day, you will have problems sleeping and a noteworthy, but not large, negative affect on your mood. The next day you will be absolutely fine though.

Another issue with using it too much is that your mouth can hurt quite a lot the next day, basically because your mouth was completely numb all day and you bite your tongue or excessively press the coca against your mouth without noticing it. This took two days to go away after using it almost all day for a three day hike.

It also sobres you up quite a bit if you’re drinking, really quite amazing how similar, yet far less powerful) the effects are to cocaine.

Many workers use it here, and I now know why. Taxi drives, for example, use it to drive all night. This makes perfect sense as it keeps you awake.

I met miners who would use it to avoid eating until the evening, again this makes perfect sense, it definitely suppresses your appetite. People on the farm use it to work during the day, again makes perfect sense as it increases your ability to strenuous exercise quite noticeably.

Overall I find it to be really really nice, the biggest issue is the method of consuming, it’s obvious you are doing it (mouth full of leaves, teeth as well), and it does lead to a sore mouth if overusing.

Short video at one minute 20 seconds.

Be the Rufus!

The true cost of “Made in the USA” Levi’s? $178

GlobalPost

By Patrick Winn

.

BANGKOK, Thailand — Want a “Made in the USA” tag stitched inside your iconic Levi’s 501 jeans?

Your patriotism will cost you.

In the outsourcing era, all Levi’s jeans are stitched outside America with one exception: a single line of jeans produced at a factory called “White Oak” in Greensboro, NC. The mill is staffed by old hands who’ve narrowly survived the American garment manufacturing industry’s collapse.

Levi’s ad copy tells you that the jeans are “handcrafted by our faithful friends at the White Oak denim mill” and “proudly made in the red, white and blue” before reminding you that 501 jeans are “the ultimate icon of American culture.”

If you’re feeling overrun with American pride, I hope your pants-shopping budget can keep up with your patriotic zeal.

The standard-issue version of these 501 jeans costs a whopping $178. (Though, as I write this in early September, you can acquire a much cheaper version if you’re self-assured enough to wear purple jeans: a pair in the color “eggplant” sell for $58. A pair of “crushed wine” 501s is going for $138.)

In the world of denim, a “Made in the USA” tag has become a novelty. The garment industry’s economies of scale are long gone.

The outcome: it now costs the equivalent of a car payment to buy jeans, sourced from a US factory doling out decent pay and benefits, from a major apparel brand. This is actually cheap compared to the $300 to $400 fashionistas pay for celebrity-endorsed luxury jeans handcrafted in L.A.

That doesn’t mean affordable “Made in the USA” jeans have gone extinct.

Though lacking strings of retail outlets and huge advertising budgets, little-known brands are quietly producing affordable US-made jeans.

A pair of Gusset Jeans, made in Georgia, will cost you $55.95.

A pair of Texas Jeans, made in North Carolina, goes for $29.99.

Neither are shy about extolling their folksy, American bonafides. Texas Jeans even produces a “conceal and carry” line stitched to accommodate hidden pistols.

These brands are hardly hip but they are American through and through: even the buttons and denim are sourced stateside. The same can’t be said for the “Made in the USA” Levi’s, which are “meticulously crafted” in America — out of “imported fabric.”

 

By suspending visas for South Koreans, Beijing defends its legitimate rights on the principle of reciprocity: experts

Health workers guide travelers from China at a COVID-19 testing center at Incheon International Airport in South Korea on January 3. Photo: VCG

China imposed its first countermeasure on Tuesday toward discriminatory and unnecessary travel restrictions against travelers from China by suspending short-term visas for South Korean citizens who wants to visit China. The latest measure is considered as China’s direct and reasonable response to protect its own legitimate interests, particularly after some countries are continuing hyping up China’s epidemic situation by putting travel restrictions for political manipulation despite that many public health experts around the globe have criticized it as a “toxic trend.”

China suspends issuing short-term visas for South Korean citizens to travel to China for visit, business, tourism, medical treatment, transit or other personal affairs, with the new adjustment taking effect starting Tuesday, the Chinese Embassy in South Korea said in a statement released on Tuesday.

The decision will be reassessed as soon as South Korea removes its discriminatory travel restrictions

targeting travelers from China, the statement said.

Following the move by the Chinese Embassy in South Korea, the Chinese Embassy in Japan also issued a notice on Tuesday to suspended the issuing of ordinary visas for Japanese citizens who want to travel to China without notifying a date for resumption.

The US, Japan and South Korea are among the countries that had announced restrictions on travelers from China, citing concerns that the current surge of COVID-19 cases in China could lead to the emergence of new variants.

When asked about whether China also suspended visa application for Japanese citizens who plan to travel to China, Wang Wenbin, spokesperson of the Chinese Foreign Ministry, told a routine press conference on Tuesday that since China adjusted its COVID management and unveiled the resumption of people-to-people exchanges between China and other countries and regions, many countries have welcomed Chinese travelers but a handful of countries adopted entry restrictions against travelers from China.

China holds the sincerest attitude, with adhering to the fact and fully communicating with relevant countries, introducing in detail the scientific basis and reasons for China’s optimization and adjustment of epidemic prevention measures as well as domestic epidemic situation, Wang noted. “But regrettably, some countries ignore science, facts and their own situation by imposing travel curbs against China, toward which China firmly opposes and takes countermeasures.”

On January 2, South Korea tightened rules on incoming travelers from China, including allowing them only one port of entry – the Incheon International Airport – and performing COVID-19 tests on passengers after they disembark, Korea JoongAng Daily reported on Monday.

In addition, people traveling from China are required to submit negative COVID test results before boarding the plane to Seoul. This also applies to people coming from Hong Kong and Macao, the JoongAng Daily report said.

Japan also further tightened border controls for travelers from China on Sunday by requiring proof of COVID negative test results, which should be taken 72 hours prior to departure, according to media reports.

The travel restriction has been criticized by health experts from across globe as logistically cumbersome, and a toxic trend. Many countries, unlike the US-led allies including Japan and South Korea, warmly welcome China’s adjustment and reopening without putting any extra measures targeting travelers from China, striking a sharp contrast with those tougher rules, which were also called “unacceptable” toward which China will take corresponding measures with the principle of reciprocity.

Legitimate defense

Relations between countries must be built based on mutual respect and equality, which has been recognized as international norms, Li Haidong, a professor at the Institute of International Relations at the China Foreign Affairs University, told the Global Times on Tuesday.

Certain countries including South Korea and the US have come up with an idea to slander and question China’s epidemic response measures, and such move resulted in the international communities’ query toward China’s COVID-19 response, Li said.

“China’s latest countermeasures on visas are a legitimate demand which is reasonable and can better protect our own interests,” Li said.

In recent days, news that travelers from China have to take a yellow badge (for short-term visa holders) before entry has gained wide attention from Chinese netizens, with more than 190 million views on Chinese twitter-like Sina Weibo as of press time on Tuesday. Some netizens shared their unwelcomed and unsmooth experiences when arriving in South Korea such as mandatory nucleic acid testing, according to media reports.

For example, a large number of South Korean reporters were filming travelers from China when they were forced to wear the yellow badge and some reporters even followed those Chinese travelers like “tracking criminals,” according to some posts circulating online.

“South Korea’s entry policy toward travelers from China is really the most unfriendly and strictest in the world. Entry inspection, wait for report for two to five hours or even longer, and mandatory quarantine at traveler’s own expense for a week if tested positive,” a netizen said.

Many Chinese netizens have expressed their discontent over the measures carried out by South Korea toward passengers from China. “I suggest you to delay your travel plan to South Korea,” one netizen wrote.

There is absolutely no need to impose travel restrictions on arrivals from Hong Kong and the Chinese mainland, Dennis Lam, a Hong Kong lawmaker and a deputy to the National People’s Congress, told the Global Times. When epidemic prevention measures have been eased across the globe, the mainland and Hong Kong have no higher possibility for new variants than any other countries or regions, Lam said.

“It has been three years since the outbreak of the COVID-19 epidemic, and large-scale epidemics and infections have occurred all over the world with most of the global population forming a certain immunity against the virus through self-infection or vaccination, so the virulence of the new variants is no longer as bad as at the beginning of the epidemic,” Lam remarked.

On Tuesday, Chinese Foreign Ministry spokesperson Wang said “We once again call on relevant countries to make sure that their COVID response measures are fact-based, science-based and proportionate. COVID response should not be used as a pretext for political manipulation. It should not be discriminatory and should not affect normal cross-border travel and people-to-people exchange and cooperation.”

Leo Poon Lit-man of the University of Hong Kong, who is also an expert in various World Health Organization Working Groups for SARS-CoV-2 and influenza viruses, said that it is possible surging caseload could lead to generation of new variants, “but this possibility can also be applied in other countries and cities, for example, the XBB.1.5 subvariant evolved outside China,” and there’s a major difference between the variants circulating in and outside China.

It is important to continue to do surveillance inside China and share this information with other countries, said Poon, warning that it is also equally important for other countries to do the same level of surveillance. “So whenever there’s a new variant coming out in around the globe, we can able to share and exchange the information in a timely manner.”

Despite a few countries who have imposed unreasonable travel curbs out of political purposes, many countries took a much more rational attitude.

For example, Singaporean Health Minister Ong Ye Kung explained on Monday that Singapore will not impose pre-departure tests on travelers from China as severe cases can originate from anywhere.

Putting up such requirements, he said, raises the question of travelers from other regions that contribute more infections and severe case, Channel News Asia reported.

“How about local community settings which we know are conducive to spreading the disease and can drive infection numbers and severe cases?” Mr Ong asked.

“By triggering PDT (pre-departure test requirements) on travelers from one part of the world experiencing high infection numbers, are we contributing to an international precedent of imposing tests on travelers from countries going through an infection wave? How will other countries treat travelers from Singapore when we encounter another infection wave?”

What It’s Like To Be Cheated On By Someone You Love

 

Have you ever balled up your fists so tight for so long that your knuckles got all white, your nails started digging into your palms, and you were afraid you might be drawing blood? When letting your hands slowly open up feels almost unnatural after having them so tightly wound for so long? It kind of feels like that.

 

It’s a pain which is at once deeply frustrating and oddly self-sustaining. You feed into the anger because it comforts you, in a strange way. Because to stop being angry, to stop clenching your fists, to loosen up for a minute and let go, would mean you have to feel the actual undercurrent of your anger: your pain.

Finding out, of course, is most accurately described as an unexpected punch to the stomach.

There are some people who have been taken aside and told with composure and elegance that they have been betrayed in the most profound way they could be. “I made a mistake,” the culprit might say, or, “I found someone else.”

Depending on the intensity of the illicit relationship, the confession could range from the deeply apologetic to the coldly indifferent.

But for those who find out because they stumbled across the evidence, or found it after frantic hours of terrified searching, the punch is strong enough to force the air entirely out of the lungs.

The searching is perhaps the worst part, the breathless moments before the floor falls out from underneath you.

That precarious dangling in the purgatory where you at once want to find something — anything — to justify your gnawing suspicions, and you want to be relieved with a realization that it was all in your head.

In many ways, though, once that frantic searching has begun, there is no way to be satisfied that you imagined it all.

If you have been driven to the point of checking through messages or looking in pockets or asking potential witnesses, if you have allowed yourself to come to the ugly, unflattering point of invading the privacy of the person you love to prove yourself right, you have already lost.

And you know it. You know you have become what you had always condescendingly looked down upon, the couple who is as untrusting and dysfunctional as they are unable to admit it.

But somehow, finding that shred of evidence or hearing the confirmation which proves you right in the worst way possible is almost a triumphant moment of victory. You have won, and you have lost everything. But for at least those few precious milliseconds of “a-ha!” you have gotten exactly what you wanted.

And then comes the fall, the bottomless descent into every ugly moment of self-doubt and self-loathing in an attempt to find a justification or explanation which could never exist.

What did you do wrong? What does the other person do better? Do they smell better? Taste better? Have more interesting things to say at parties which don’t involve sarcastic, ill-timed jokes?

Suddenly, everything you are is wrong, every aspect of yourself is something you want to peel off and throw on the floor behind you.

And the ignorant person you were before, the one blissfully unaware of all that was happening behind a turned back, is suddenly both laughable and enviable.

You cringe imagining all of the things that were happening when you weren’t looking, but wish that you could return to a moment where not knowing was a possibility.

But that person — the ignorant-yet-blissful person who was only so happy to be unwittingly cheated on — was ultimately not good enough to keep your love.

And that is the real pain, the idea that there was something that was yours to keep which you were unable to hold a tight enough grip on.

You delude yourself into believing that there was anything you could have done to prevent it, and yet never stop to understand that it was entirely your partner’s choice. If anyone could have stopped anything, it was your partner.

Somehow, placing the blame where it truly belongs when cheated on is about as futile as feeling positively towards the “other.”

At the end of the day, there is always something to find fault in within yourself, something which can be identified as the true culprit in the infidelity, instead of the beloved cheater. “If only I were thinner” somehow makes more sense than “if only he wasn’t a cheater.”

As you unclench that fist, let go of all of every minor pain you’ve kept close to your chest so as to not have to see it in its full splendor, you finally exhale.

You distance yourself from the betrayal and start to believe — the way a baby bird might open its wings for the first time — that not everyone must be monitored with the distrusting cunning of a fox.

You accept that you may not have been able to stop it, or that you certainly didn’t deserve it. And while there will always be a part of you which longs to look twice at the inbox of a cellphone, who can’t believe that someone can be honest for uninterrupted years at a time, it is up to all of us to push those thoughts away.

“If they are going to do it,” we must say, “Ruining myself in worry and doubt will not stop them.”

With Ukraine Situation Getting Worse, What Would Happen if Russian Nuke Hit New York City . . .

The situation between Russia and Ukraine grows worse each day because the United States and its NATO vassals, continue to escalate the weapons given to Ukraine.  At some point, Russia may have to tell the U.S. to stop or be made to stop.  Of course, the U.S. will not back down, and as such, the missiles may fly.   Here’s what scientists say will happen if a Russian nuke hits New York City . . .

Russian intercontinental ballistic missiles are believed to carry a total of approximately 1,000 strategic nuclear warheads that can hit the US less than 30 minutes after being launched. Of this total, about 700 warheads are rated at 800 kilotons; that is, each has the explosive power of 800,000 tons of TNT. What follows is a description of the consequences of the detonation of a single such warhead over midtown Manhattan, in the heart of New York City.

The initial fireball. The warhead would probably be detonated slightly more than a mile above the city, to maximize the damage created by its blast wave. Within a few tenths of millionths of a second after detonation, the center of the warhead would reach a temperature of roughly 200 million degrees Fahrenheit (about 100 million degrees Celsius), or about four to five times the temperature at the center of the sun.

A ball of superheated air would form, initially expanding outward at millions of miles per hour. It would act like a fast-moving piston on the surrounding air, compressing it at the edge of the fireball and creating a shockwave of vast size and power.

After one second, the fireball would be roughly a mile in diameter. It would have cooled from its initial temperature of many millions of degrees to about 16,000 degrees Fahrenheit, roughly 4,000 degrees hotter than the surface of the sun.

On a clear day with average weather conditions, the enormous heat and light from the fireball would almost instantly ignite fires over a total area of about 100 square miles.

Hurricane of fire. Within seconds after the detonation, fires set within a few miles of the fireball would burn violently. These fires would force gigantic masses of heated air to rise, drawing cooler air from surrounding areas toward the center of the fire zone from all directions.

As the massive winds drove flames into areas where fires had not yet fully developed, the fires set by the detonation would begin to merge. Within tens of minutes of the detonation, fires from near and far would join to form a single, gigantic fire. The energy released by this mass fire would be 15 to 50 times greater than the energy produced by the nuclear detonation.

The mass fire, or firestorm, would quickly increase in intensity, heating enormous volumes of air that would rise at speeds approaching 300 miles per hour. This chimney effect would pull cool air from outside the fire zone towards the center of the fire at speeds of hundreds of miles per hour. These superheated ground winds of more than hurricane force would further intensify the fire. At the edge of the fire zone, the winds would be powerful enough to uproot trees three feet in diameter and suck people from outside the fire into it.

The inrushing winds would drive the flames from burning buildings horizontally along the ground, filling city streets with flames and firebrands, breaking in doors and windows, and causing the fire to jump, sometimes hundreds of feet, swallowing anything not already violently combusting.

These above-hurricane-force ground winds would have average air temperatures well above the boiling point of water. The targeted area would be transformed into a huge hurricane of fire, producing a lethal environment throughout the entire fire zone.

Ground zero: Midtown Manhattan. The fireball would vaporize the structures directly below it and produce an immense blast wave and high-speed winds, crushing even heavily built concrete structures within a couple miles of ground zero. The blast would tear apart high-rise buildings and expose their contents to the solar temperatures; it would spread fires by exposing ignitable surfaces, releasing flammable materials, and dispersing burning materials.

At the Empire State Building, Grand Central Station, the Chrysler Building, and St. Patrick’s Cathedral, about one half to three quarters of a mile from ground zero, light from the fireball would melt asphalt in the streets, burn paint off walls, and melt metal surfaces within a half second of the detonation. Roughly one second later, the blast wave and 750-mile-per-hour winds would arrive, flattening buildings and tossing burning cars into the air like leaves in a windstorm. Throughout Midtown, the interiors of vehicles and buildings in line of sight of the fireball would explode into flames.

Slightly more than a mile from ground zero are the neighborhoods of Chelsea, Midtown East, and Lenox Hill, as well as the United Nations; at this distance, for a split second the fireball would shine 10,000 times brighter than a desert sun at noon.  All combustible materials illuminated by the fireball would spew fire and black smoke.

Grass, vegetation, and leaves on trees would explode into flames; the surface of the ground would explode into superheated dust. Any flammable material inside buildings (paper, curtains, upholstery) that was directly exposed to the fireball would burst into flame. The surfaces of the bronze statues in front of the UN would melt; marble surfaces exposed to the fireball would crack, pop, and possibly evaporate.

At this distance from the fireball, it would take about four seconds for the blast wave to arrive. As it passed over, the blast wave would engulf all structures and crush them; it would generate ferocious winds of 400 to 500 miles per hour that would persist for a few seconds

The high winds would tear structural elements from buildings and cause them to disintegrate explosively into smaller pieces. Some of these pieces would become destructive projectiles, causing further damage. The superheated, dust-laden winds would be strong enough to overturn trucks and buses.

Two miles from ground zero, the Metropolitan Museum of Art, with all its magnificent historical treasures, would be obliterated. Two and half miles from ground zero, in Lower Manhattan, the East Village, and Stuyvesant Town, the fireball would appear 2,700 times brighter than a desert sun at noon. There, thermal radiation would melt and warp aluminum surfaces, ignite the tires of autos, and turn exposed skin to charcoal, before the blast wave arrived and ripped apart the buildings.

Three to nine miles from ground zero. Midtown is bordered by the relatively wide Hudson and East rivers, and fires would start simultaneously in large areas on both sides of these waterways (that is, in Queens and Brooklyn as well as Jersey City and West New York, NJ).  Although the direction of the fiery winds in regions near the river would be modified by the water, the overall wind pattern from these huge neighboring fire zones would be similar to that of a single mass fire, with its center at Midtown, Manhattan.

Three miles from ground zero, in Union City, New Jersey, and Astoria, Queens, the fireball would be as bright as 1,900 suns and deliver more than five times the thermal energy deposited at the perimeter of the mass fire at Hiroshima. In Greenpoint, Brooklyn, and in the Civic Center of Lower Manhattan, clothes worn by people in the direct line of sight of the fireball would burst into flames or melt, and uncovered skin would be charred, causing third-degree burns and worse.

It would take 12 to 14 seconds for the blast wave to travel three miles after the fireball’s initial flash of light.  At this distance, the blast wave would last for about three seconds and be accompanied by winds of 200 to 300 miles per hour. Residential structures would be destroyed; high-rises would be at least heavily damaged.

Fires would rage everywhere within five miles of ground zero. At a distance of 5.35 miles from the detonation, the light flash from the fireball would deliver twice the thermal energy experienced at the edge of the mass fire at Hiroshima. In Jersey City and Cliffside Park, and in Woodside in Queens, on Governors Island and in Harlem, the light and heat to surfaces would approximate that created by 600 desert suns at noon.

Wind speed at this distance would be 70 to 100 miles per hour. Buildings of heavy construction would suffer little structural damage, but all exterior windows would be shattered, and non-supporting interior walls and doors would be severely damaged or blown down. Black smoke would effuse from wood houses as paint burned off surfaces and furnishings ignited.

Six to seven miles from ground zero, from Moonachie, New Jersey, to Crown Heights, Brooklyn, from Yankee Stadium to Corona, Queens and Crown Heights, Brooklyn, the fireball would appear 300 times brighter than the desert sun at noon. Anyone in the direct light of the fireball would suffer third degree burns to their exposed skin. The firestorm could engulf neighborhoods as far as seven miles away from ground zero, since these outlying areas would receive the same amount of heat as did the areas at the edge of the mass fire at Hiroshima.

Nine miles from ground zero, in Hackensack, Bayonne, and Englewood, New Jersey, as well as in Richmond Hill, Queens, and Flatlands, Brooklyn, the fireball would be about 100 times brighter than the sun, bright enough to cause first- and second-degree burns to those in line of sight. About 36 seconds after the fireball, the shockwave would arrive and knock out all the windows, along with many interior building walls and some doors.

No survivors. Within tens of minutes, everything within approximately five to seven miles of Midtown Manhattan would be engulfed by a gigantic firestorm. The fire zone would cover a total area of 90 to 152 square miles (230 to 389 square kilometers). The firestorm would rage for three to six hours. Air temperatures in the fire zone would likely average 400 to 500 degrees Fahrenheit (200 to 260 Celsius).

After the fire burned out, the street pavement would be so hot that even tracked vehicles could not pass over it for days. Buried, unburned material from collapsed buildings throughout the fire zone could burst into flames when exposed to air—months after the firestorm had ended.

Those who tried to escape through the streets would have been incinerated by the hurricane-force winds filled with firebrands and flames. Even those able to find shelter in the lower-level sub-basements of massive buildings would likely suffocate from fire-generated gases or be cooked alive as their shelters heated to oven-like conditions.

The fire would extinguish all life and destroy almost everything else. Tens of miles downwind of the area of immediate destruction, radioactive fallout would begin to arrive within a few hours of the detonation.

But that is another story.

Baked Stuffed Papayas (Jamaica)

Baked Stuffed Papayas Jamaica
Baked Stuffed Papayas Jamaica

Ingredients

  • 1 pound ground beef
  • 1 medium onion, chopped
  • 1 clove garlic, minced
  • 1 (16 ounce) can whole tomatoes, drained
  • 1 jalapeno pepper, minced
  • 1/2 teaspoon salt
  • 1/4 teaspoon pepper
  • 4 (12 ounce) papayas
  • 2 tablespoons grated Parmesan cheese

Instructions

  1. Cook and stir beef, onion and garlic in 10-inch skillet over medium heat until beef is light brown; drain. Stir in tomatoes, jalapeno pepper, salt and pepper; break up tomatoes with fork. Heat to boiling; reduce heat. Simmer uncovered until most of the liquid is evaporated, about 10 minutes.
  2. Cut papayas lengthwise into halves; remove seeds. Place about 1/3 cup beef mixture in each papaya half; sprinkle with cheese. Arrange in shallow roasting pan. Pour very hot water into pan to within 1 inch of tops of papaya halves.
  3. Bake uncovered at 350 degrees F until papayas are very tender and hot, about 30 minutes.

435,897,435,897 Free Roaming Spike Protein Molecules After COVID Vax Booster – Their Hearts Will NEVER Fully Recover from the “Vax”

.

There’s a new peer-reviewed research paper out regarding the COVID Vax.  It’s bad. How bad? I’ve shown it to two physicians so far. One said he “had a seizure” reading it. The other said something worse.

Long story short: 436 BILLION copies of spike protein are found circulating freely in blood plasma, a month after the COVID (Gene therapy) vaccine.

In kids.

Their hearts, screeching in pain with Myocarditis, will never fully recover.

You knew that, didn’t you? But there is more than that . . . The graphic below, from this new study, shows the medical and scientific evidence:

Fl0OTfUaYAYw6L4
Fl0OTfUaYAYw6L4

Below is the damning part of the graphic. The vertical scale is a log scale. The line at about 15pg/ml is the limit of detection, which is why the blue dots are there. There are still up to 100 billion molecules of spike in those patients – 20 days later.

2023 01 19 20 05
2023 01 19 20 05

But in some of these cases the concentration of spike is RISING 20 days after vaccination (see the red lines going up), so we have no idea how much is actually circulating. Spike is toxic, particularly to the heart. If it’s not toxic why do we need a “vaccine” against it?

2023 01 19 20 06
2023 01 19 20 06

The authors of this new peer-reviewed study claim that the mean serum level of free spike protein in the patients with myocarditis was 34pg/ml. (There was less in the non-affected patients, but there was still a lot) How many molecules is that? Well there is about 3000ml of plasma in a 70kg male…

And the molecular weight of a spike protein monomer is 141kDa. That’s 2.34 e-19 grams. So 34pg/ml x 3000ml is a total of 102ng (102e-9) of spike. Divide by 2.34e-19 gives you… 435,897,435,897 molecules. Of a toxic protein. Circulating in a young adult.

2023 01 19 20 076
2023 01 19 20 076

It’s worth noting also that the blue dots in the graphic don’t indicate “no spike” – they are the lower limits of detection at 15pg/ml. That’s a lot of spike.

2023 01 19 20 076 1
2023 01 19 20 076 1

BUT… There are two other things that have come out of this paper.

The first is that the amount of spike protein circulating in the PLASMA (when we were told it didn’t leave the arm, remember) weeks after the injection is shocking. So this . . .

was a lie:

2023 01 19 20 08
2023 01 19 20 08

Yes, what you see above . . .  what we were all TOLD . . .  was a  L I E.

The whole article in Conversation.edu from their “Researcher” @vasssssso was in fact a lie, so we’ve archived it. The claims in that article made by the authors have likely resulted in deaths of young adults. Look at the “partners” for the article – including RMIT again.

2023 01 19 20 09
2023 01 19 20 09

But the worst thing about this new peer-reviewed myocarditis study is this – and you might not have realized. The study showed, beyond a shadow of doubt, that the COVID “vaccine” was causing myocarditis, with elevated troponin (confirming heart damage).

Troponin is an enzyme given off by cardiac cells when they are injured or dying.  That’s how Doctors can tell if a person is having a heart attack over a panic attack.  If its an actual heart attack, there will be measurable Troponin in the blood.  In cases of myocarditis, Troponin also occurs as heart cells are severely damaged -or dying – by the Spike Proteins.

You know, sometimes people have to do something BAD, to achieve something good.   And this is no exception.   You see, when the people doing this new peer-reviewed study saw the first few cases of Myocarditis, they should have STOPPED the study and sounded the alarm right away.   You see, that was their duty.  It was a duty as medical officers and as research officers. But to our knowledge they said nothing and kept recruiting for the study!

What mattered (to them) was finishing the study so they could publish. Of course, from the home of the #surgisphere authors, what else would you expect?

This is the environment they operate in:

2023 01 19 20 10
2023 01 19 20 10

WHAT THIS MEANS

First, this study was done and submitted for “peer-review” on May 26, 2022.    It was ACCEPTED for publication (after peer-review) on November 23, 2022.    So the world has known, as a matter of scientific research, these details, since May of last year.  Yet no one . called for Vaccines to be HALTED.

They had scientific proof the vaccines were causing heart damage . . . myocarditis . . . which, incidentally, has a FIFTY PERCENT mortality rate within five years, and they said . . .  nothing.

Want to know why?  MONEY.

They can’t admit it’s potentially harmful and deadly.

They can’t suddenly stop the shots; To do so would be an admission of guilt.

So they’ll continue, pretending everything’s fine. In other words, doubling down on stupid

All those kids coming down with Myocarditis, have a fifty-fifty chance of DYING within the next five years.   Oh, and the rest who took the vaccine and at least the first booster, the way things look right now, most of them (statistically) will be dead by the year 2027.

I don’t think Vance was exactly a “kind” or “bad” person, just a complicated one. He was still a human being who was dealing with his own demons and the consequences of his past actions and guilt in his own way. So I hope he found some form of peace out there before dying.

https://youtu.be/QISwNN1k-_w

 

Tin foil hat cats and global war

Smile and have a great day!

Seeing a soldier frozen in time like this is truly insane. The stories these items tell, so interesting.

Funny and true

2023 01 17 14 51
2023 01 17 14 51

BOOM! Saudi Arabia Announces End of US Petro Dollar

The Kingdom of Saudi Arabia announces that they officially are open to settling trade for Oil and Natural Gas in currencies other than the US dollar.

Watch what happens this year! -MM

Roast Pork a la Criolla (Puerto Rico)

puertoricanroastpork ncjpg 5ab0cca12707176f
puertoricanroastpork ncjpg 5ab0cca12707176f

Criolla (also known as Creole throughout Latin America) refers to the first generation born in a new country. This dish was probably fixed by the first generation of Spanish born in Puerto Rico, using oregano which was brought to the islands from the Mediterranean. This pork roast is traditionally made with fresh ham.

Ingredients

  • 1 (3 pound) boneless pork single loin roast or boneless fresh ham roast (inside round), netted or tied
  • 1 tablespoon freshly ground black pepper
  • 6 garlic cloves, crushed
  • 1 teaspoon oregano
  • 1 1/2 tablespoons olive oil
  • 1 1/2 tablespoons vinegar
  • 1 tablespoon salt

Instructions

  1. In a small bowl, mix together all seasonings, then rub this mixture on all surfaces of the pork roast.
  2. Place roast in shallow pan and roast at 350 degrees F for 1 to 1 1/2 hours or until meat thermometer inserted reads 160 degrees F.
  3. Remove roast from oven; let rest 5 to 10 minutes before slicing to serve.

Serves 8.

The Swiss City That’s Full of Cat Ladders

1 35
1 35

Imagine, if you will, what it’s like to be an average cat. You live with your owner on the fourth floor of an apartment building and, like so many of your fellow felines with exposure to the outside world, you have a fierce case of wanderlust.

2 35
2 35

In most parts of the world, you’d be stuck at home until someone comes and lets you out. But in certain European countries, human residents have built outdoor climbing aids, called cat ladders, to help their feline friends come and go as they please.

3 35 1
3 35 1

Homemade cat ladders are as architecturally eclectic as they are charming.

4 34
4 34

Despite their whimsical photogeneity, cat ladders haven’t yet been thoroughly documented. The graphic designer and writer Brigitte Schuster aims to change that. She had spotted the occasional cat ladder in her native Germany, but it wasn’t until she moved to Bern, Switzerland, six years ago that she realized how popular they were.

13 20
13 20

12 22
12 22

11 23
11 23

10 24
10 24

9 25
9 25

8 28
8 28

7 29 1
7 29 1

6 30
6 30

5 32
5 32

9 People Reveal What It’s Like To Wake Up In The Middle Of Surgery

 

1. This happened to me and it was the most horrifying experience that i will remember for the rest of my life! It all started when my husband and I had been the victims of a terrible motorcycle accident. I was lifeflighted off the interstate in Athens, to Grant Medical Center in Colombus Ohio.

I went through the emergency room where the trauma team inserted tubes all over my body at a rapid pace to check all my vitals and i must have passed out from the pain because I don’t remember anything else.

Then when I woke up, I knew I was in the operating room because I felt cold and I could feel my stomach being patted down because it was jiggling from my excess weight.

I could feel my stomach burn with excruciating pain and I remember thinking to myself, i need to let them know I’m awake! I couldn’t open my eyes, I couldn’t move my feet or even twitch my arm to let them know I was awake and I could feel everything.

I felt completely paralyzed! I heard the doctors talking to each other and then I felt the sewing! My stomach was being sewed shut! It was horrible, I remember feeling the needle piercing my flesh and the thread pulling through to the next stitch!

I kept trying to move but it was no use, so I laid there enduring the pain and when i felt a tear run out of my eye down the side of my face, and then the last thing I remember, I was waking up in recovery. I found out later, that I had broken all the ribs on my left side and punctured my left lung.

Apparently one of my ribs also lascerated my spleen so they had to cut my abdomen from my pubic bone to my breastbone to remove my spleen.

I was advised to try to avoid coughing because I had staples holding my stomach together. I asked my nurse if I also had stitches and I was told “Yes, but those will dissolve on thier own”. I then told her that I had woken up during the surgery and I couldn’t move, she listened to me but didn’t make any comments either way, but it’s a memory that will be with me for as long as I live.

Since then I have had 2 more surgeries and I have told the anesthesiologist each time that I have previously woken up and both times they have assured me it won’t happen again; so far it hasn’t, but that fear is there and it won’t go away. I feel for anyone who has gone through this, it was a living nightmare.

2. In the 90s I woke up during knee surgery. Like just fully snapped awake and sat up. All of these wide-eyed masked faces just turned and stared at me.

I looked down at my clamped open leg, looked at one of the masked faces that everyone seemed to be deferring to and said “I don’t think I want to be awake for this.”

They put me back under and as a drifted off I started to feel pain.

Woke up after the surgery and the doctor came in and apologized. I had specifically mentioned that I require more anesthesia than most people (the redhead thing that is finally being acknowledged in modern medicine) but they didn’t believe me and gave me a normal dose.

3. I woke up while they were putting a metal plate in my arm. They used a block which basically made my entire arm from shoulder to hand numb. When I woke up I could remember hearing a drill and a slight pressure in the arm they were working on. I just said “This is awesome” followed shortly by someone saying “oops.” Quickly went back to sleep.

4. At the young age of 5 it became aware to myself and the entire medical staff that my body processed general anesthetics far quicker than it should, thus causing me to wake up in the middle of an endoscopy, tube down the throat to look at shit in my stomach / throat.

It was the first one I was having in regards to monitoring a growth in my throat. Hands down the most traumatizing experience I’ve ever had to deal with.

I tried to move and began coughing and gagging on the tube that was down my throat. For the age of 5 I put up a decent fight, and was able to let out a scream which from my mother’s account she knew it was me immediately.

Of course panic sets in, the doctors begin yelling that Im awake, and before I knew it I was asleep again. In my head this instance occurred over what I thought was a 5-6 period.

Turns out I woke up 7 minutes into the operation and was wheeled into the room and wheeled out in under an hour. I remember the immense amount of pain I was in to have this metal rod down my throat and trying to move, ultimately fucking up a bunch of stuff.

The scariest part was the white, everything was so white, the outfits, the walls, and to this day I have horrific nightmares and still hate being in/around hospitals. Shit sucked.

From here it was a whole mess of legal issues and health problems all while cancer cells were very evidently present in my throat. Crazy childhood man. Im good now though

5. I woke up while they were repairing a hernia in my lower abdomen. It felt like I was buried in a bunch of sand. I was still pretty out of it due to the drugs but I tried getting up off of the operating table (I actually thought I was buried) and they had to hold me down until they could get me re-anesthetized. I don’t remember seeing anything, just shades and figures, but the sensation of what I felt was just odd. Like I said, buried in sand.

6. I woke up in the middle of gall bladder removal surgery. I couldn’t look down, but I know I was cut open on the operating table by the bloody knife and vacuum tube in the surgeon’s hand. The thing that bothered me most, though, was the fact that there was a tube down my throat and it was really difficult to breathe. There were a lot of “Oh my God”s and “Please don’t move”s, some said very loudly and near the edge of panic. Finally the doctor yelled for someone to give me another dose of anesthetic, and bonk I was out like a light.

7. I woke up in the middle of an emergency abdominal surgery. All my muscles were paralyzed, including my breathing muscles. I felt as though I was suffocating and kept trying to take a breath desperately with no luck. I tried to move to get their attention and of course couldn’t. I was a prisoner in my own body as I listened to a woman calling my surgeon’s name and felt my organs being manipulated in my abdomen. All the while feeling as though I was suffocating. Have never felt more helpless in my life. They told me later that my heart rate had suddenly spiked to 140 in the middle of the surgery. I assume that’s when I had woken up.

8. I HATE that I can answer this. When I was a young man I was taken into surgery after a really nasty car accident. I was actually not in a car but the lady that hit me was driving at around 45 mph.

Needless to say, this was already way high on my “fuckin sucks” scale. Somehow after returning to the land of the living, I vividly remember waking up on the operating table with those big ass lights shining on me.

I quickly realized I had a tube in my mouth, and I was connected to IVs and things that went beep. As my vision cleared, my eyes tracked to the commotion in the room and I saw two doctor looking fellows along with two nurses all patting the back of a third nurse that was losing her cookies in the sink.

It spooked me because I couldn’t imagine being in such bad shape that it would make someone throw up. After that, I don’t remember anything as I’m guessing the anesthesiologist caught me waking up and reversed my consciousness. Fade to black. That was all she wrote for me and I have no further memories of the operating room.

It’s been said that anesthesiologists will take you to the edge of death and hold you there. It’s a delicate balance and I can see why they make the big bucks.

9. I am a surgeon and have had a life-long phobia of this exact event. This past august, i went to my own hospital with septic and hemorrhagic shock (my blood pressure was dangerously low after an an aggressive infection ate its way into a blood vessel).

I was taken to surgery by two of my partners. since anesthesia tends to drop the blood pressure further, the anesthesiologist gave me a minimal amounts to be safe. Having never had surgery before i did not know how my body responds to and metabolizes anesthesia.

Unfortunately, while i am a pretty thin person, i am also a redhead, and as other respondents to this questions have noted (likely because genes that tend to co-segregate with this hair color , ie travel together thru generations), redheads have been scientifically demonstrated to require greater amounts of anesthesia than the average population. the anesthesiologist met me outside the door to the OR, wearing my cap, so he did not know ny hair color, and i was on pain meds and it did not occur to me to tell him.

In any event, i experienced complete recall for the majority of the operation, meaning that while i heard, FELT and remembered everything vividly, i was also under neuromuscular paralysis, a drug induced state routinely administered for many operations to keep patients from moving (even tho presumably asleep) during the operation. Problem was, I was not asleep, and even though there i could feel hot cautery literally carving out chunks of my flesh, and that felt exactly how you would expect it to, far more terrifying was the sensation that i could not move or breathe at all (a machine pushed regular, measured breaths down my throat) or tell anyone what i was going through.

I could hear my partners talking, i could tell u what country song was playing on the radio, and i was desperately trying to move my fingertips or head or cough or do something to let them know i was awake and could not. Thankfully, the sheer panic caused my blood pressure to sky rocket, and more anesthesia was administered to treat it, knocking me back out.

After the surgery, I mentioned the event, but downplayed it significantly, not wanting to sound ingrateful or critical of my partners who probably saved my life. This decision probably contributed to the development of PTSD, nightmares and flashbacks which i continue to struggle with almost daily. So i would give patients undergoing surgery two pieces of advice:

(1) your anesthesiologist is just as important as your surgeon. DEMAND to meet him or her, well in advance, if at all possible, make sure u are talking to the person who will actually be administering the meds and monitoring you (which nowadays is commonly done by a CRNA or resident as opposed to the supervising attending anesthesiologist).

This is not an unreasonable request, and in fact protocol at many hospitals (altho not always possible in the event of emergency surgery such as mine).

Make them aware of all your concerns and fears. Ask about potential adverse effects of the anesthesia they plan to use, such as nausea and delirium (or cardiac risks, kidney and liver risks, and even increased eye pressure for those with glaucoma) and let them know if you are susceptible to these.

Tell them about any past experiences and side effects with anesthesiology or pain meds. Ask them if you have any risk factors for “recall” and if they intend to modify their plan based on this; specifically, how they plan to monitor ur level of consciousness (typically done these days via vitals signs, as in my case, but more advanced technology is available)

(2) If, god forbid, u do experience recall or another traumatic event associated with your surgery, take it seriously and seek help early. PTSD can be prevented if those likely to develop it are identified early, usually within the 1st 24 hrs.

Also, i want to make it clear that i do not blame my anesthesiolgist, who did what he thought was safest. But we can all stand to learn and improve. Hope this helps someone else avoid the same experience

Cat Protection From Mind Control With Tin Foil Hats

0 15 1
0 15 1

Did you know that tin foil hat is a great way to protect your cat from the evil government that’s are trying to implement mind control and take over your pet? Make your own before it’s too late! Or get one on Amazon if you don’t trust your own skills!

tin foil hat22
tin foil hat22

tin foil hat21
tin foil hat21

tin foil hat20
tin foil hat20

tin foil hat19
tin foil hat19

tin foil hat18
tin foil hat18

tin foil hat17
tin foil hat17

tin foil hat16
tin foil hat16

tin foil hat15
tin foil hat15

tin foil hat14
tin foil hat14

tin foil hat13
tin foil hat13

tin foil hat12
tin foil hat12

tin foil hat11
tin foil hat11

tin foil hat10
tin foil hat10

tin foil hat9
tin foil hat9

tin foil hat8
tin foil hat8

tin foil hat7
tin foil hat7

tin foil hat6
tin foil hat6

tin foil hat5
tin foil hat5

tin foil hat4
tin foil hat4

tin foil hat3
tin foil hat3

tin foil hat1
tin foil hat1

What’s It Like To Be In A Polyamorous Relationship

 

I was in a polyamorous relationship for about 10 years, a triad composed of two women and one man. We were mostly but not entirely polyfidelitious, meaning that we rarely dated or engaged sexually with anyone outside the relationship. I was dating him, he met her, he introduced her to me, and we all fell in love.

Polyamory forces you to think explicitly about how you want your relationships to work. There is no default set of rules, no one size fits all solution.

Nothing can be assumed, everything is up for discussion, and anything can be negotiated to suit the needs of the people involved.

A monogamous friend of mine told me once that at some level he considered his marriage to be a poly relationship of two people, precisely because he and his wife had very detailed talks about how they wanted their relationship to work. I suspect that a lot of monogamous pairings could benefit from this open-minded attitude towards relationship dynamics.

I think that to some extent, poly relationship networks form a proxy for the extended family and tribal groups that have largely faded out in Western culture.

Having a group of trusted adults means more people to contribute resources and share risk, more people to assist with rearing children, more people to help out around the house.

On a more personal level, having multiple partners means that you are not loading all of your hopes, desires, and expectations on to one person. Recognizing that no single person can or should be expected to fill all of your needs, you are free to develop other rewarding relationships with the full knowledge and consent of everyone involved.

I am not otherwise an alt-lifestyler (and am in fact fairly conservative in some ways). The mundane content of our relationship was in most respects quite conventional.

We went on dinner dates and out to the movies, took some vacations together, went grocery shopping, talked about work.

It irritates me when people focus exclusively on the sexual aspects of non-heterosexual and non-monogamous relationships, but I will touch on that part very briefly here.

Sex with my partners was wonderful. I loved being the focus of two people who loved me, I loved giving each of them pleasure, and I loved seeing them give pleasure to each other.

Cuddling together with my beloveds was blissful. We surrounded ourselves with love, and all felt safe and right with the world.

A lot of people think that polyamory is a little weird at best, and actively immoral at worst.

My parents were politely supportive of my relationship, but some members of my extended family were quite vocal in their distaste.

Some very popular religions place a heavy emphasis on sexual exclusivity (especially for women), and it’s discouraging to have your relationship held up as an example of social decline that must actively be guarded against (If we let gay people marry, then soon polygamists will want to get married, and then people will be marrying dogs and trees and who knows what else!).

If you have some problem or issue with your relationship, many people will jump to the conclusion that being polyamorous is the root of the problem.

I avoided discussing my relationship status with all but my closest coworkers, as I suspected that disclosing such a thing might constitute a distinctly career-limiting move.

I was on the receiving end of a lot of rudely prying questions about our sex life, and a lot of unsavory assumptions about poly relationships and about me as a woman in such an arrangement. That it is just about sex and getting to sleep around, that we must be hippies or religious nuts like the Mormon Fundamentalists that were in the news a lot a while back, that it is an excuse for men to exploit women, that I must be giving in just to please our male partner, or because I felt that I didn’t deserve anything better,

Issues of moral judgement aside, ours is a couple-oriented society and a family unit involving more than two adults does not fit in easily.

There is the obvious issue of marriage and family law, which supports pairwise bonds exclusively.

Married couples get a package of legal rights and responsibilities by default, but developing legal protection for a polyamorous family requires extended work with an attorney.

Then there were the more mundane conflicts. Yes, please invite both of my partners to your holiday party, Yes, us three adults really would prefer just one king-sized bed in the hotel room.

People had no idea how to refer to us. Are you married, or dating, or what? Should we call him your husband and her your wife, or what? Are you really serious about this? We turned heads when we displayed any kind of affection together in public.

I write this at a time when I am new to talking about our triad in the past tense.

My relationship with one of my partners has degraded to the point that it cannot be repaired, and I am not sure what this means for our family.

There is no template for me to go by here, nothing straightforward like a divorce. I am deeply saddened by the decline of this partnership, and also by the knowledge that I am losing part of the foundation of support that has been so important to me for the last decade.

Reality

2023 01 17 14 52
2023 01 17 14 52

Outstanding NDE!

2023 01 18 15 57
2023 01 18 15 57

Inflate Your Cat’s Holy Ego With This Buddhist Statue Scratching Post From Japan

1 70
1 70

The “Cat Club” (Neko-bu) division of Japanese online retailer Felissimo has put out some creative feline bedding situations in the past. Today, you can add holy kitty claw maintenance to their list of cute cat products, as they’ve just released a scratching post that turns your cat into a feline Buddhist statue!

2 67
2 67

It’s called the Kouhai Scratching Post. Kouhai are the halo found on Buddhist sculptures, which are meant to represent light emitting from the Buddha. While most cats consider themselves to have divine sovereignty over the household, now they can look the part while get their claws some scratching practice. The lotus-shaped pedestal (rendai) has a curved scratching board, but your newly enlightened cat may simply choose to look holy while sleeping on it.

8 56
8 56

7 59
7 59

6 59
6 59

5 6762
5 6762

4 62
4 62

What’s it Like to Work at a Bottom-Of-The-Barrel Used Car Lot

 

The lot I work at is absolutely the last stop. If you’ve got 3 repos and just got out of prison last week and don’t have a driver’s license, we can still get you in a car.

And with typical gross of $3500-$6000 (on cars that are $7k or less) BEFORE products and ancillaries, we get paid very well, and we sell a lot of inventory. But we also attract a certain type of customer. We legit have those silent alarm buttons under our desks like bank tellers have.

So a couple of weeks ago we had a car get stolen. Our repo guys recovered it about 3 days later (they found it before the cops did). No big deal, we’re no stranger to having cars stolen. The only issue is that we didn’t recover the key. It was a 13 year old German-made car so we had to have a new fob programmed.

Last week someone tweaked out on meth came in and wanted to buy that freshly-recovered car. He paid in all cash, but was about $1,000 short after TT&L so we decided to just in-house finance that $1k at 0% interest and put a GPS in the car.

Dude pays in all $20’s and $1’s out of a woman’s purse despite no woman being around. Whatever, I’m just here to help finalize car deals not be a fucking cop or whatever. It was so god damn annoying to count out $8k in all 20’s and 1’s

Next red flag comes whenever I try to register the car.. the license he gave for a test drive is fake. Again, whatever, we’ll do car deals without a license, I just need to know who you are. He gives me this insanely bogus story and eventually I get a picture of his real ID and we finish the deal.

So, remember how the car was stolen and we didn’t recover the key? This dude calls us a day later and says that people are chasing him down with a key fob saying that they stole the car from a very specific location and he wants a discount or his money back or something. Here’s the thing, we never told him it was stolen and we never told him where it was stolen from.

(side note: we didn’t pay BMW or the locksmith to invalidate the other key that was floating around out there whenever they programmed the new one)

He says he had to pay these thieves $1400 to get this second key fob back and he wants us to reimburse the money to him. Come to find out, his buddy that was with him when he was buying the car was the same guy on our cameras at the lot when the key and car were stolen. What kind of idiot steals a car then comes back weeks later to buy that car?

GPS isn’t locating. We tell the customer he has to come down yesterday to finalize the deal or made some random excuse to trick him into coming so we can adjust the GPS. He refuses to let us in the car at first. The battery is in the trunk and the customer finally agrees to let us in after moving his burglar tools and machetes around.

We adjust the GPS and he’s on his way (after more yelling and arguing over stupid bullshit). So later yesterday evening we go to close the lot down and realize that we were missing keys to 3 of our cars. Obviously we know who did it. They were causing a scene and being a distraction so one of their friends could quickly swipe some keys from the board.

GM gets on the phone with the dude Motherfucker we have you on video stealing our fucking keys bring them the fuck back so we don’t have to call the cops. We just want your money we don’t want to act like fucking law enforcement just bring the shit back you piece of shit and pay your bill god damn man come on

The dude was just right around the corner waiting with his friends for us to close. He sends his friend down to bring the keys back bro if you really have cameras you can tell it wasn’t me i’m just bringing them back to you man.

We lock the lot up, I leave a little note on this guy’s account that I’m not giving him a 60-day repo time window after this. He should be in jail, but rather than call the cops I just gave him a 10-day grace period on his $1000 that he owes or we’ll repo the car. Having a car repo’d after dropping $8,000 in cash is much worse than jail. Also, as a 3-time felon myself, I’d always rather try to handle this without getting the cops involved. I mean, if the cops arrested him, then we definitely wouldn’t get the rest of what he owed.

We went home and ended our Monday.

Let’s see how Tuesday goes! I started my day today with my customer showing his 240+ stitches he got when he tried to steal some rims to put on the car we sold him a few months ago, but the owner of the rims came out and stabbed him. Hilarious story, we laughed and laughed until he said he was laughing so hard his stitches were hurting.

Best summary EVER!

This Japanese Company Found The Most “Prefurrable” Way To Improve Productivity

0 90
0 90

A Japanese company named Ferray Corporation, an internet solutions business, came up with an unusual way for their employees to unwind and increase productivity. Now, workers are allowed to bring their cats to work. Nine cats, rescued by the company, already roam freely in the office on a regular basis.

1 157
1 157

Moreover, if someone doesn’t have a pet of their own yet, they are paid a «cat bonus» after deciding to adopt a feline that needs a home. It seems that the office communication has increased dramatically, since Ferray employees now have a topic that brings them together and lowers everyone’s stress levels.

15 45
15 45

14 52
14 52

13 57
13 57

12 65
12 65

11 74
11 74

10 83 1
10 83 1

9 87
9 87

8 98
8 98

7 106 1
7 106 1

6 119
6 119

5 126
5 126

4 134
4 134

3 137
3 137

Very good. You must listen to Scott. America is “diplomacy incapable”.

https://youtu.be/6AQdvZ6x1hA

Ukraine SitRep – Media Ignorance, Counter-Artillery War, Three Lost Armies

Yves Smith asks:

What if Russia Won the Ukraine War but the Western Press Didn’t Notice?

She points to several headlines which, despite decisive Russian victories like its taking of Soledar, present the Ukraine as winning the war:

Nevertheless, Soledar has fallen and the loss of Bakhmut looks baked in, absent horrific Russian errors. The so-called Zelensky line is breaking even before Russia has put its recently-mobilized forces to work in a serious way. Regular commentators are waiting for the Russian hammer to fall, although Russia may simply grind more forcefully by pressing harder at more points along the very long line of contact. Remember one concern on the Russian side is avoiding “winning” in a way that leads to NATO panic and desperate action … not that the Collective West’s fragile emotional state can be readily managed.With that context, you’d expect some members of the press to have worked out that things are not going very well for Ukraine and the classic cowboy movie rescue of the calvary riding over the hill (here in the form of tanks and artillery) will be too little, too late.

Instead, the media seems to be trying to integrate snippets of facts on the ground with the heroic tale of inevitable Ukraine victory.

That is certainly correct for the wide majority of the stories, which claim that Soledar and Bahkmut, are irrelevant towns, but some pieces are creeping up that differ. A few days ago the Washington Post headlined:

Bloody Bakhmut siege poses risks for Ukraine

Ukraine faces difficult choices about how much deeper its military should get drawn into a protracted fight over the besieged city of Bakhmut, as Kyiv prepares for a new counteroffensive elsewhere on the front that requires conserving weapons, ammunition and experienced fighters.Russia has escalated its assault in the area in recent days, unleashing savage fighting that has underscored the high cost of the battle. Russian mercenaries and released convicts from the Wagner group pushed into the neighboring salt-mining town of Soledar and inched closer to Bakhmut, the capture of which has eluded them for months despite an advantage in firepower and the willingness to sacrifice troops.

The piece quotes several Ukrainian soldiers which speak of huge losses on their side. But the U.S. is still egging them on:

The senior U.S. official cautioned against completely dismissing Bakhmut or neighboring Soledar as nonstrategic places that Kyiv can simply relinquish, noting that the salt and gypsum mines give the area economic significance. Theoretically, the Russians could use the deep salt mines and tunnels to protect equipment and ammunition from Ukrainian missile strikes. Moscow has also endowed the city with import.“To some degree, Bakhmut matters to [Ukraine] because it matters so much to the Russians,” the senior U.S. official said, noting that control of Bakhmut is not going to have a huge impact on the conflict or imperil Ukraine’s defensive or offensive options in the country’s eastern Donbas region.

The official added, “Bakhmut is not going to change the war.”

I believe the senior U.S. official to be very wrong. Soledar and Bakhmut are bleeding the Ukrainian army dry. That is of relevance. Look at the insane number of Ukrainian units deployed on that only 50 kilometer (30mi) long sector of the front.

 

media1 s
media1 s

Source: Military Land Deployment MapbiggerI count the equivalent of some 27 brigade size formations in that area. The usual size of a brigade is some 3,000 to 4,000 men with hundreds of all kinds of vehicles. If all brigades had their full strength that force would count as 97,500 men. In a recent interview the Ukrainian military commander Zaluzhny said that his army has 200,000 men trained to fight with 500,000 more having other functions or currently being trained. The forces which are currently getting mauled in the Bakhmut area constitute 50% of Ukraine’s battle ready forces.

Zaluzhny has pulled units from other fronts like the Kreminna and Svatove sector further north in Luhansk province to feed them into Bakhmut. That has minimized any chance that the Ukrainian forces in those sectors will be able to make any progress.

What nearly all reports from Ukraine seem to miss is the huge damage that Russia artillery is causing on a daily base. Ukraine has little artillery left to respond to that and whatever it still has is getting less by the day.

A few weeks ago the Russian military started a systematic counter artillery campaign which has since made great progress. The typical western way of detecting enemy artillery units is by radar. The flight path of the projectile is measured and the coordinates of its source are calculated enabling ones own artillery to respond. But counter-artillery radar itself depends on radiating. It is thereby easily detectable and vulnerable to fire. Over the last months Russia deployed a very different counter-artillery detection systems with the rather ironic name of Penicillin:

Penicillin or 1B75 Penicillin is an acoustic-thermal artillery-reconnaissance system developed by Ruselectronics for the Russian Armed Forces. The system aims to detect and locate enemy artillery, mortars, MLRs, anti-aircraft or tactical-missile firing positions with seismic and acoustic sensors, without emitting any radio waves. It locates enemy fire within 5 seconds at a range of 25 km (16 mi; 13 nmi). Penicillin completed state trials in December 2018 and entered combat duty in 2020.The Penicillin is mounted on the 8×8 Kamaz-6350 chassis and consists of a 1B75 sensor suite placed on a telescopic boom for the infrared and visible spectrum as well as of several ground-installed seismic and acoustic receivers as a part of the 1B76 sensor suite. It has an effective range for communication with other military assets up to 40 kilometres (25 mi) and is capable to operate even in a fully automatic mode, without any crew. One system can reportedly cover an entire division against an enemy fire. Besides that, it co-ordinates and corrects a friendly artillery fire.

 

media2
media2

biggerThe Penicillin system can hide in the woods and stick up its telescopic boom to look at and listen to the battlefield. As it does not radiate itself there is no good way for an enemy to detect it.

The system pinpoints Ukrainian guns as they fire. They are then eliminated by immediate precise counter-fire. As the artillery relevant part of today’s ‘clobber’ list provided by the Russian Ministry of Defense claims:

Operational-Tactical Aviation, Missile Troops and Artillery of the Armed Forces of the Russian Federation have neutralised an artillery ordnance depot of 114th Territorial Defence Brigade near Veliky Burluk (Kharkov region), as well as 82 artillery units at their firing positions, manpower and hardware at 98 areas.

Counterbattery warfare operations have resulted in destruction of:

  • one Polish-manufactured Krab howitzer near Peschanoye (Kharkov region);
  • one U.S.-manufactured M109 Paladin howitzer, and one fighting vehicle equipped with Grad multiple-launch rocket system (MLRS) near Lozovaya (Kharkov region);
  • one D-20 howitzer near Terny (Donetsk People’s Republic);
  • two Giatsint-B howitzers near Maryinka and Orlovka (Donetsk People’s Republic);
  • two Akatsiya self-propelled howitzers near Nevskoye (Lugansk People’s Republic), and Preobrazhenka (Zaporozhye region);
  • five D-30 howitzers near Zmiyevka, Novokairy (Kherson region), Sofiyevka (Donetsk People’s Republic), and Orekhov (Zaporozhye region).

Four U.S.-manufactured counterbattery warfare radars have been destroyed:

  • two AN/TPQ-50 stations near Mylovoye and Dudchany (Kherson region),
  • one AN/TPQ-36 counterbattery warfare radar near Ugledar (Donetsk People’s Republic),
  • one U.S.-manufactured AN/TPQ-48 counterbattery warfare radar near Senkovo (Kharkov region).

Air defence facilities have shot down six Ukrainian unmanned aerial vehicles near Kremennaya (Lugansk People’s Republic), Nikolskoye, and Petrovskoye (Donetsk People’s Republic).

14 rocket-propelled projectiles launched by HIMARS and Olkha MLRS have been intercepted near Udy (Kharkov region), Smolyaninovo (Lugansk People’s Republic), Donetsk, and Khartsyzsk (Donetsk People’s Republic).

One U.S.-manufactured anti-radiation missile has been shot down near Radensk (Kherson region).

One Ukrainian Tochka-U ballistic missile has been shot down near Berdyansk (Zaporozhye region).

The above is the equivalent of two artillery companies (batteries with six guns each) eliminated in just one day. Ukrainian counter-battery fire against Russian artillery is no longer possible as the necessary detection equipment gets eliminated and as Ukrainian counter-fire is shot down by Russian air defenses.

This Russian counter-artillery campaign has been going on for several weeks. It has disabled large parts of what was left of Ukrainian longer range capabilities. Meanwhile the Russian artillery keeps on knocking down Ukranian troops that hold the frontline. Only when all parts of the Ukrainian trenches have been hit by intense fire will the Russian infantry move in to clean up whatever is left behind.

This form of battle is causing huge losses on the Ukrainian side while the Russian forces incur just a minimum of casualties.

In his recent talks Col (ret.) Douglas Macgregor put the deaths in Ukraine forces at 150,000 and casualties at 450,000. I, like Yves Smith, doubt that number of wounded is that high. As the system of Ukrainian battlefield extradition and hospitalization is in a bad state there will be less wounded and likely more dead.

In a huge contrast to U.S. waged wars, the civilian death count on the Ukrainian side is remarkably low:

Andriy Yermak, head of the Ukrainian presidential staff, said at the World Economic Forum in the Swiss resort of Davos, “We have registered 80,000 crimes committed by Russian invaders and over 9,000 civilians have been killed, including 453 children.”

Feeding more troops into the battle in the Bakhmut sector, as the Ukrainian side has been doing, is not a good use of resources.

We can state that Ukraine has by now lost the nominal equipment of two larger armies.

At the beginning of the war the Ukrainian army was said to have some 2,500 tanks, 12,500 armored vehicles and 3,500 large artillery systems. It is doubtful that more than half of those were in a usable state but they may have received enough repair to be workable.

The Russia military claims that most of those have been eliminated:

7,549 tanks and other armoured fighting vehicles, 984 fighting vehicles equipped with MLRS, 3,853 field artillery cannons and mortars, as well as 8,081 units of special military equipment have been destroyed during the special military operation.

If one doubts those numbers one has to ask why the Ukraine has needed to import so many more weapons and is still short of them:

  • 410 Soviet-era tanks delivered by NATO members in former communist bloc, including Poland, Czech Republic and Slovenia.
  • 300 [Armored/Infantry Fighting Vehicles], including 250 Soviet-designed IFVs from former communist states.
  • 1,100 [Armored Personnel Carriers], including 300 M113 troop carriers and 250 M117s.
  • 300 towed howitzers. 400+ pieces of self-propelled artillery, of which 180 is on order.
  • 95 [Multiple Rocket Launchers]

There were also a number of fighter airplanes, helicopter and air-defense systems. The above was the second army, after Ukraine’s original one was mostly gone, that has by now been nearly eliminated.

The Russian clobber list now regularly reports of combat with Ukraine forces that kills, for example, one tank, three armored vehicles and a number of pick-ups and motor vehicles:

One Ukrainian sabotage and reconnaissance group has been eliminated near Liman Pervy (Kharkov region). The enemy has lost over 50 Ukrainian personnel, one tank, two infantry fighting vehicles, and two pickups.

[In Donetsk direction] over 60 Ukrainian personnel, one tank, three armoured fighting vehicles, and six motor vehicles have been eliminated.

Two AFU sabotage and reconnaissance groups have been eliminated in the area to the north of Levadnoye and Vladimirovka (Donetsk People’s Republic). The enemy has lost up to 40 Ukrainian personnel, two armoured fighting vehicles, and three motor vehicles.

Pick-ups and unarmored motor vehicles should avoid the frontline and certainly not be part of force attacking the immediate frontline. If these reports reflect the current structure of Ukrainian forces, as I believe they do, than its state is indeed dire.

In his Economist interview General Zeluzhny has requested a third army to be delivered to him immediately:

“I know that I can beat this enemy,” he says. “But I need resources. I need 300 tanks, 600-700 IFVs [infantry fighting vehicles], 500 Howitzers.”

As the Economist writer dryly noted:

The incremental arsenal he is seeking is bigger than the total armoured forces of most European armies.

The stocks of two complete armies have by now been destroyed in Ukraine. The resources for a smaller third one will be delivered in the next rounds of ‘western’ equipment deliveries during the next months. Russia will dully destroy Ukraine’s third army just as it has destroyed the first and second one. It is doubtful that the ‘West’ has enough material left to provide Ukraine with a fourth one.

That then leaves only two options. Send in ‘western’ armies with the equipment they still have or declare victory and go home.

The neo-conservatives as ever favor the first option. President Joe Biden may still be against sending U.S. soldiers but this could change if he indeed gets blackmailed into doing it:

[A]s the ‘classified documents’ scandal gains momentum, the malleable president will likely fall-in-line and do whatever the hawkish foreign policy establishment demands of him. In short, the documents flap is being used by behind-the-scenes powerbrokers who are blackmailing the president to pursue their own narrow interests. They have Brandon over-a-barrel.

There is no evidence that this is happening but the signs are there.

The second option is to declare a non-existent victory and to forget about the whole issues.

But will the ‘western’ media, as Yves asks, notice any of this?

As commentator David correctly remarks at Yves’ site:

I’ve said for a long time now that the West will be able to claim “victory”, or at least not defeat, by establishing fantastical victory conditions that the Russians never had and never wanted, and then claiming credit for frustrating them. With luck, this will just about enable western elites to hang onto power, at least temporarily.

“Putin tried to conquer Europe but we stopped him after he took only half of Ukraine,” will sound like victory. But it is of course extremely far from the truth. Anyway, the media may well buy it:

But in the wider sense, we’re seeing the latest and most degenerate stage of the stupidity and ignorance which has afflicted the western media and pundit class over the last year. They didn’t know about the war in the Donbas, nobody told them Russia had the strongest army in Europe, nobody knew about the defensive lines in Donbas, nobody understood the seriousness of the Russian threats, nobody realised the Russians hoped for a short, sharp war to bring the Ukrainians to their senses, nobody understood why Russia went over to Plan B while it mobilised, nobody realised the Russians had been stockpiling weapons and ammunition for years; nobody knew what attrition warfare was …. In other words, the most disgraceful example of ignorance and stupidity of any ruling class in modern times. It will go on to the end, and “victory” will be proclaimed.

The war the U.S. provoked in Ukraine has been won by Russia even when no one wants to note it.

Posted by b on January 17, 2023 at 18:14 UTC | Permalink

Masitas de Cerdo
(Puerto Rico, Cuba and Central America)

6278af72c36f07afb07923871b370bc0
6278af72c36f07afb07923871b370bc0

These chunks of pork are traditionally made with pork shoulder and are fried. They are similar to carnitas, the braised pork cubes found on Mexican menus.

Ingredients

  • 1 1/2 pounds boneless pork loin, cut into 1-inch cubes
  • 6 garlic cloves, crushed
  • 1 teaspoon salt
  • 1/2 teaspoon black pepper
  • 1 teaspoon dry oregano
  • 1/2 cup sour orange juice (or use 1/4 cup orange juice and 1/4 cup lime juice
  • 1/4 cup olive oil

Instructions

  1. Place pork cubes in a self-sealing plastic bag; mix together remaining ingredients and pour over pork cubes; seal bag and refrigerate overnight.
  2. Remove pork from marinade, discarding marinade, and place pork cubes in a shallow baking pan.
  3. Roast at 350 degrees F for 25 to 30 minutes, until pork is tender.
  4. Remove to serving platter and serve hot.
"The poor Kitten ! If you hadn'd found him, he probably wouldn't have had a Chance ! You fought for him , didn't give up and saved his Life ! You deserve great Respect ! I can see , how grateful Tigo is to you !"

Chicken Etouffee and doctor assisted death

I want to start this new year off softly.

It is, by most accounts, going to be rather rough if you live in the West.

The key, as always is to be the Rufus. Do your affirmation campaigns, and do not panic by reading the “news”.

There are massive global Geo-political realignments going on, and the evil psychopaths in the United States are going to get desperate. But, the ‘adults int he room” won’t allow them to “toss the entire game board in the air”. So don’t worry.

Be frosty and alert.

And…

Smile.

What Is Doctor Assisted Death Like?

An immediate family member last year was diagnosed with bronchiolitis obliterans (popcorn lungs) with an unknown cause to it. It is something that is not curable. As someone who was a daily part of my life it was hard to watch the condition progress.

His condition progressively got worse and he was transferred to a palativcare physicality a couple of weeks ago. He wasn’t happy living the way he was living and slowly dying. The doctors discussed with him the option of assisted death… something he did not know about, and myself I thought was still not finalized in Canada yet, so it was a surprise to hear this.

After a long discussion with the family and doctors, he signed the papers a week ago to start the process. He wanted to end his life and do away with the suffering and the suffering to come.

So yesterday (Saturday) afternoon was the scheduled day for this to happen. The day started like any other for him… he was very upbeat, laughing, and smiling. All of his close love ones we’re around that morning. We spent hours talking, playing crib, and going through some old memories.

Around 2PM the doctors came into the room, I almost fainted once it dawned on me that it was time – I felt dizzy but I stuck through it. It was even harder to see my kids go through the emotions of it.

What I’m about to describe from here-on-out is very surreal… the doctors sat down next to him in the chair and asked if he was ready to begin the process. He instantly said he was. The doctors then put two ports into his veins, gave him a relaxant and made his recliner comfortable. She explained the first needle he would get would be a heavy anesthesia, which would take him under within seconds, a second needle to numb any pain because the rest of the needles would be nerve-killing, and without it, he would feel a burning sensation. She explained to him it would be just like falling asleep. They then left the room and told us we had as much time as we needed before they would begin.

We all said our goodbyes and shared some final moments through his tears and ours. We agreed we didn’t want to prolong the process and make it any harder, so he had the doctors come back in about 2:30PM.

They carried in roughly 8 needles and laid them on the table next to him. We all stood around him and held his hands. The doctor sat next to him again and put the first needle into the port and asked him if he was sure he still wanted to go ahead with this process.

He said “Yes my love, do it” – my mind was racing when he said this, a rollercoaster of emotions came over me as he grabbed our hands tight and the doctor pushed the needle in. Within seconds you could see his expression change to a relaxing state, he started to mumble some stuff about where he lived… the doctor told us this is the needle kicking in. She then pushed in the second needle right after and he was still grabbing our hands. She then pumped in the remaining milk-looking needles (which I assume was for the nerves). His grip got a little loose, he closed his eyes, and his breathing slowed down. I can’t fathom the time this took… it was like everything was a standstill, but it seemed about 30 seconds, and his breathing and heart then stopped and it was over.

It tears me up writing this out today but I wanted to share my experience going through it and get awareness out there for this option, if any of your family members are terminally-ill, this is an option for them. I have so much respect for the bravery it takes for someone to go through this process – to sit there and have your life taken away, it takes a special kind of person.

I want to thank this great above-and-beyond civilized country for having this option available to him yesterday and I hope my story can help ease some minds about what the process involves. Its truly peaceful for the patient to go through.

Chicken Etouffee

chicken etouffee
chicken etouffee

Ingredients

  • 1/2 cup butter*
  • 2 cups chopped onions
  • 1 1/2 cups chopped bell pepper
  • 1 1/2 cups celery, chopped
  • 2 cloves garlic, peeled and chopped
  • 1 (14 ounce) can diced tomatoes, undrained
  • 1 cup chicken broth
  • 2 (10 3/4 ounce) cans condensed cream of chicken soup, undiluted
  • 2 teaspoons salt
  • 1 1/2 teaspoons black pepper
  • 1 1/2 teaspoons white pepper
  • 2 pounds boneless chicken breasts, cut into bite-size pieces
  • 1 cup finely chopped parsley
  • Cooked rice (optional)

* To reduce fat, butter may be reduced by half.

Instructions

  1. Melt butter in a large skillet over low heat. Add onions, bell pepper, celery, garlic and sauté until vegetables soften and begin to release their juices, about 6 minutes.
  2. Add tomatoes with their liquid and chicken broth. Simmer for 5 minutes.
  3. Add cream of chicken soup and stir until smooth.
  4. Stir in salt, black and white peppers and chicken pieces. Cover and simmer over low heat for 1 hour, stirring occasionally.
  5. Stir in parsley and serve over rice if desired.

Women And Cats: Contemporary Bijinga Paintings By Kazuho Imaoka

aaa1
aaa1

The tradition of bijinga (美人画, “beautiful person picture”) is said to have originated in Kyoto around the mid-Edo period as an art form that portrayed not only external beauty, but inner beauty. The style continued to evolve through the Meiji and Taisho periods of Japanese modernity but now, a group of young Kyoto-based painters are taking the genre and adding a fresh coat of paint. One of those is Kazuho Imaoka.

2 1
2 1

Born in Osaka in 1991, Kazuho Imaoka studied at Seian University of Art and Design in Shiga prefecture. Her portraits feature woman, and in many cases, their cats, combined with an emphasis on fashion and textiles.

3 1
3 1

Imaoka has said that her subjects are often linked in someway to herself, and that she attempts to convey the unspoken emotions of women living in society. The young artist’s portraits are unmistakably contemporary, but she has a way of connecting the past to the present, perhaps through a successful transmission of inner beauty.

7 1
7 1

6 1
6 1

5 1
5 1

4 1
4 1

4 Guys Reveal What Its Like To Go Through A Nasty Divorce

1. I suspect everything would have worked a lot better for me had I not married a person with an extreme personality disorder. Sadly, people don’t come with those sort of markings, and she hid her nature pretty carefully before the marriage.

I got served on a Friday after 5pm when I came home to a nearly empty house. She cleaned out our joint accounts, I had nothing to hire a lawyer with even had there been one available on a weekend. If there are free resources for men facing divorce in my area, I could not find them.

She took the children, all of their clothes, toys, books, and furniture (excepting a bed for each child). I didn’t have any idea where my kids were until the following Tuesday. She left no note, she and her family would not answer the phone.

My ex refused to negotiate on anything. Because my kids are young and she was a “stay at home mom” I got very little consideration on custody issues. No one batted an eyelash when my ex withheld the kids from me, or when she cleaned out our joint accounts.

I paid for all of the lawyers involved, directly or indirectly. The way she took the money from our shared accounts made it nearly impossible to recover anything. Pretty soon I was a paycheck with support obligations and bills that kept me with negative cash flow for 6 months. I made too much to file for bankruptcy, but not enough to cover everything.

I never had an issue with paying child or spousal support. They way they calculated it wasn’t fair, but what can you do? I had lots of issues with getting access to the kids. After the divorce, she ignored most of the items in the final decree, I couldn’t call my kids and she kept me in the dark about school, medical, and after school activities. Had I failed to pay support, an organization would have come after me. When she refused to comply with the divorce decree, I had nothing. To get traction, I would have to go back to court, which I couldn’t afford.

It was brutal. I don’t remember long stretches of the last two years. I held myself together, stayed employed, and cared for my kids when I had them.

I don’t think men in a marriage with a stay at home mom understand how vulnerable they are. I would never consider such an arrangement again, under any circumstance.

2. My divorce was brutal. My ex cheated on me (7x) and when I filed, she instantly started crying that I beat her, playing the helpless blonde card at every turn, crying at the drop of a hat, how there was no way she could possibly support herself (with 2 multiple degrees and a job history). She pushed for 100% custody of the kids and made me pay through the nose for every moment I got to spend with them. She took most of my retirement and made me pay all her legal fees. Everytime I pushed back, she painted me as abusive and misogynist and the judge (a woman) gave her what she wanted.

I ended up with 45% custody of the kids (after a year-long fight), a year of my salary in legal fee debts, no house, and no retirement. She then proceeded to give the kids every single thing they wanted (let my 14 year old daughter sleep with her 19 year old boyfriend in her house) to try to woo them over to her, which backfired horribly and ended up alienating the kids to her completely. When that didn’t work, she took a fireplace iron to my son and ended up being committed, which was where her BPD (borderline personality disorder) was diagnosed.

Now, 10 years later, I’ve not spoken to her in 4 years, the boys despise her, and her daughter is realizing how crazy she was.

3. I was married to a verbally abusive women who broke me financially when I divorced her. I seriously believe that she didn’t even want all that she got, she just wanted to see me suffer.

I’m happy to hear you got away clean but I don’t know a single man personally who has. You said that the mother of your kids has been very reasonable. The mother of mine was awarded custody and I was allowed to visit at her home twice a week for one hour. I have no criminal record or violent history. When I would visit she would bitch at me from the time I rang the doorbell until the time I left. She also has had a vastly higher standard of living with the child support and alimony I was forced to give her for a marriage that was less than 2 years and would flaunt it incessantly.

She tricked a different guy into marrying her right after we divorced by faking a pregnancy. Then when she got married to him she did the same shit to him except with two more kids.

I was able to get the alimony stopped after she remarried but had to pay out the nose through the entire divorce process and until she remarried. I had to work two jobs to pay her off and had to live rent free with a friend until it stopped. She still makes a killing in child support which is only used marginally on the kids.

4. My ex-wife had an affair. While the court would not recognize it despite the testified evidence of numerous late night phone calls to his place of work, the suspicious “picnic lunches” at a location hours away from town, etc, an affair took place for almost a year.

Without knowing all of this at the time, she asked me for a divorce and then wanted full custody of our children, despite the devotion and involvement I was and am in their lives.

That being said, my ex-wife hired an unethical attorney, who believed in a scorched earth policy to ensure his client was awarded the bulk of the estate and the hours he billed were astronomical.

At trial, I was portrayed as a horrible husband and person, which is the farthest from the truth. The “spin” the attorney put on day-to-day aspects of life in order to make one out to be “the bad guy” was mind boggling and eye-opening to how the family court system is truly flawed.

The unethical tactics and manipulation my ex-wife allowed her attorney to do in court was unconscionable, all to achieve money.

The judge in my trial clearly did not understand the concept of pre-tax and after-tax assets and awarded my ex-wife approximately 85+% of the assets acquired during the marriage, in addition to enormous amounts of alimony and child support for 16 years although I was not married that long.

Given this huge amount of money she was receiving, I was still ordered to pay for everything for the children (private schools, clothes, sports/extra cirricular, trips, supplies, etc….everything!). I was also ordered to secure a life insurance policy for millions and name her as beneficiary.

This “alimony sentence” I was given through my early 60’s, has prohibited me to save for retirement and continue to live the life I would like with my new wife, yet the judge ordered me to keep her in the life to which SHE was “accustomed”. Despite the fact she is an educated woman capable of working and supporting herself in the lifestyle she desires.

Even worse, is that the ex-wife has been living with another man for 5+ years. Despite the emotional toll and unsettling environment my ex’s living arrangement has taken on the children, she continues to fight for alimony even though the divorce decree states it ends when living with a man that is not her husband.

Yet the flawed CT cohabitation law is written that the domestic partner must also provide financial support to her household. Why would the live-in provide financial support when the ex-wife has substantial amounts of money? Therefore, the alimony now becomes support of BOTH of them!

10 People Reveal What They Love About Fishing

1. Fishing is a way of being in symbiosis with nature. Not all the materials are “natural” but I feel while i am fishing, the life around you accepts you as part of that environment.

Your body slows and your mind focuses enough to be aware of all that is happening around you. You get to experience things you can’t plan to happen; an eagle swooping for a fish, a dolphin chasing mullet, sharks free swimming; observing intimate interactions between multiple species. I love it

2. I like bass fishing and my fishing buddy likes ocean fishing, both are cool. With bass fishing, you don’t cast and wait a few hours, you cast continually. You get good at recognizing spots and putting your lure right where you think the fish is.

Really though, two things are nice. One, is all you care about is fishing. No phones, no job, no outside world. It is just you and the fish. How often are you really able to escape into your own mind like that. Before I learned how to meditate, fishing and golf were the closest I came.

Second, is fishing buddies. Do not underestimate how important it is to have activities with friends. For you and your boys to go out their and spend the day distraction free is great. Funny things will happen, stories will be made, and no one is going to get hurt. All around good time to spend

3. Fly fishing actually gets me because its not about the actual fishing, its the stalking the waiting, the nature, the escapism, the spotting, the zen of it all. (I either eat or catch and release – mainly on the rare occasions of success). It surprised me when I tried it, so I guess i can simply say, there is fishing and there is fishing

4. I live in Land Locked Canada and to me fishing has always been about connecting with the land.

I particularly have fished a lot in Kootenay lake in British Columbia. Learning your fishing spot is learning how our world works. This lake in particular was formed millions of years ago and the mountains to the east used to make up what used to be the pacific coastline. This helps inform why the fish that live in the lake exist where they do.

It can be a sobering experience knowing the native people, for thousands of years fished the same fish and how the lake has changed over the years in both the longterm and over the decades as damming in the 50s has raised the water level.

And that’s just one lake. Every lake, stream and river has its own story.

My point is when I fish I’m on a boat going up the shoreline, seeing the rock formations and cliff faces. In the Fall, seeing snowflakes in the mountains turn to rain.

Then there’s the fishing itself. Again your on a boat on a nice day seeing the beauty of the lake, and then you get to fight the fish when you get a bite.

Knowing how to tire a big fish out is really fun on its own and once you have the fish you have a delicious dinner.

5. I fish because it allows me to interact with, and have a relationship with the natural world and all of its inhabitants. The birds, waterfowl, fish, land animals, etc all going about their day searching for food while I do the same is a peaceful experience for me.

Also, it will always remind me of my grandfather and the lessons and stories he told while we were fishing together. He’s still with us, but when he’s not I will always be able to connect with him out on the water wetting a line.

6. I call it hydrotherapy. Exploring mother nature does wonders for the soul. I usually do more observing than fishing ,there’s always more to learn.

Fishing teaches patience, which is sorely lacking in today’s society.

It has taught me to be a good steward of my waters as I pick up bag after bag of trash left by selfish cretins.

My motivation is to catch a bigger fish,of course, but I’ve learned that putting the big ones back to breed feels better than eating them.

7. Just peace. Its the only way I can put work, life, stress, etc out of my mind without excessive substance abuse. Feels like I’m my whole self when I’m on the water, my instincts kick in and everything else goes away.

Granted, landing a piggie smallmouth is a fckin thrill. But it’s more about peace than anything.

8. For me getting out on the water provides peace of mind.

I like to fly fish so my lure selection is a little more nuanced and particular, but when I get out there and I’m focused on picking the right fly to convince the big brown trout holding in a pool 20 ft away from me to eat.

Everything else washes away. It provides a strange level of hyper-focus and absent minded clarity at the same time.

Often I’ve gone out fishing to decompress and get away from work for a little while and when I get back to doing important things I often find that i’m refreshed and can approach problems with a new perspective.

Being out on the water also allows your mind to wander and sometimes this has produced great ideas that i wouldn’t have realized had I stayed at my desk trying to hammer out work.

Besides all of the mental aspects of the hobby when you hook into a fish that can actually fight back and pulls on your line there is a massive adrenaline rush, it almost touches something primal in your soul.

9. Sure, I find it relaxing. It’s one of the few activities in which my mind is totally blank. When I’m in the creek, there’s no thoughts of work, bills or other life stressors.

But, to be honest, I’m not a very religious person so I try to be somewhat ‘spiritual’ and fishing and being outdoors helps me achieve the spirituality I want.

To be closer with the Earth and enjoy the beautiful world around us. I know that sounds like a very hippy thing to say but, to answer your question, relaxation to be closer to Mother Nature.

10. It’s one of the few activities I’ve found where my mind can stay focused on a singular, low pressure objective for hours on end. My job requires a lot of me, in the sense that I have to be on top of 30 different, often higher pressure situations at any given time.

I’ve never been able to achieve relaxation while “vegging out” and fishing strikes that awesome balance of requiring focus while lacking any type of competition or pressure. I also just like being on or near the water.

Beyond that, it’s an awesome community. Since I started fishing I’ve struck up so many conversations with people who are excited to talk about catches, favorite spots, lures, etc.

Africa

Yesterday on the week in review thread I noted the Chinese FM’s visit to Africa.

Today, we have an excellent overview by Ekaterina Blinova about happenings there, “From Unipolar World to Multipolarity: Why US Attempts to Intimidate Africa Won’t Work,” that I highly suggest be read.

Here are several outtakes:

"China continues to be the leading source of FDIs in Africa and has a pipeline of projects, particularly in infrastructure," Kubayi told Sputnik. 

"Africa's relations with China continue to deepen. This relationship can yield great benefits to both parties in joint research and development, manufacturing in Africa, and an African market that is expected to reach 2.5 billion in population by 2050. 

African wealth in minerals such as rare earths and others are all thoroughly purposefully explored for practical action and development."

"The recent G20 summit reiterated the importance of multilateralism and the United Nations in its declaration," Mikatekiso Kubayi underscored. "BRICS – which China and Russia are members of – emphasized the need to deepen and improve the practical experience of multilateralism with the United Nations at its center. 

The changing geopolitical landscape is changing precisely because of the realization that it does not benefit the majority of the world."

"You have emerging multilateral platforms like BRICS, for instance, that have so much momentum, and seem to be more open to emerging powers, more focused on issues that are really important to the majority of the world," Ovigwe stressed. 

"One of the trends we might see going forward is countries tilting more towards these new and emerging multilateral platforms because they want it to be accessible to them. G7 is not going to be expanded – it has already contracted from G8 to G7."


The Colonial Age of Plunder is ending but the Outlaw US Empire persists in trying to keep it alive as it knows of no other method.

The result is obvious to foresee–the Empire will isolate itself and cease to be the sort of Empire it is today, which is great for RoW.

Confessions of a Prison Wife

How did you two meet?

I was with his brother at the time. Weird, I know, but his brother wanted me to start writing him so I did. I am a firm believer in “you can’t help who you fall in love with”, I just happened to fall in love with someone who is incarcerated! That is the way I see it, at least.

Why would you even marry that type of person?

Honestly, I was NOT looking for a husband at all. I have never been one to go out and look for a man, let alone one to marry. When I first wrote to him, it was just with the intention of making someone’s day because I know they get lonely in there, but it just blossomed into something more than that.

I think anyone who marries an inmate has their own reasons. I did not welcome him into my life with the intention of marrying him, at all. In fact, when I first was asked to write to him, I really did not want to. But when you finally meet an inmate, (a good one, at least. some belong right where they are) you come to this realization that they are human beings that make mistakes, some mistakes just have severe consequences. My husband always says, “ANYONE can go to prison” and as stupid as that sounds (like, duh) its true. My mom used to lecture me about being with a man in prison, and now her boyfriend is doing jail time for the first time, and now she gets it.

What did you see in him that made you fall in love with him so hard?

There was something about him that I did not see in other men that I’ve been with. For starters, the prison system humbled him a lot. I did not know him when he was free, but I have heard a lot of stories from his mom, his brother, and him. He used to be very cocky, too cocky, which is why he is where he is. He is also everything I have been looking for in a man, except the prison thing, of course. He is very sensitive, he would cry to me on numerous occasions during visit, telling me how much he wants to be out in freedom with me, and how he wishes he could do so much more to me because he feels that I deserve the best. I could see it in his eyes that he loves me more and more with every visit we would have, and trust me, there is no better feeling in life knowing that there is someone out there that you love that loves you back, incarcerated or not.

How was your dating life before this?

It was pretty normal, I have dated some assholes, but I have dated some really great guys, they just were not what I was looking for.

Why is he incarcerated?

9 counts of armed robbery.

Who did he rob?

He robbed a lot of businesses near where he lived, such as grocery stores, restaurants, Circle Ks, places like that.

Was anyone hurt?

No, no one was ever hurt, he never shot anyone, he only pulled a gun on people when he felt that he “had to”. I know that is pretty sick to say, but for example, he robbed a grocery store once and the manager was blocking the entrance with the carts so he could not get out, so he pulled a gun on him but never shot, he just had to leave. If he hadn’t, the manager wouldn’t have let him out and he would have been caught.

How long is his sentence?

We met while he was incarcerated for 4 years and he has about 10 left.

What kind of prison is he in?

He is in a medium security.

What made him turn to robbery?

Well, he has always had a job since he was legally allowed to, and he constantly moved his way up to full time jobs that paid really well. Not bad for someone who did not have a diploma/GED at the time, in my area it is at least. When he first started doing the robberies, he had his job in construction and was making very good money, but he got laid off and he had a lot of bills to pay, including rent, not to mention a girlfriend that he fully financially supported. To make a long story short, he was desperate for money for the bills, and after he did it the first or second time, he realized how easy it was, so he continued to do it. He was not caught for several months, so he felt, in his mind, that he could get away with it for a long time.

You said you’re a ‘wife’, how did you get married?

The prison conducted the wedding with a justice of peace.

How often do you get to visit him

I see him every weekend, 8 hours one day, 4 hours the other. My husband and I are quite different from other couples in there, we get away with quite a lot. We talk mostly, joke around with each other, kiss, eat food from the vending machines in there, and have sex every now and then.

How do you have sex? Do they allow conjugal visits?

No, there are no conjugal visits allowed.

There is an indoor and outdoor visitation area. The Correctional Officers will do walk arounds in both areas until about noon, I’ve noticed is when they stopped. We usually do it at that time in the outside area because the correctional officers go in the strip search room to check the inmates whose visits end at noon, which takes them awhile. My husband asks one of his friends to “keep point” or keep a look out for the cops and children too, and we just do it against this wall that is not visible to the inside area or cameras. Obviously it does not take long, so it is pretty easy to get away with. Its pretty sad how easy it is, honestly.

How supportive, in your eyes, is the prison system to spouses? Especially spouses that marry during sentences?

Not at all, really. Not only with spouses, but with families too. I talk to a lot of moms and other wives and siblings about how we are treated, and we are probably treated just as bad as the inmates at times. With spouses that marry during a sentence, they are pretty unsupportive from the moment your inmate submits a marriage request. You have to go through “counseling” where the prison chaplain pretty much tries to convince you not to get married, it is pretty sad.

Have you ever consider leaving him?

That is one of the things about him, I have NEVER lost interest. Being with an inmate definitely keeps things interesting, believe me! I have never considered leaving him, I am in for the long haul. I feel that I will be with him the whole time. I am not like other women, I am very dedicated to a man once I am with one. He did not think I would last as long as I have, but now he knows I will not leave, either, which is why he had decided to marry me. I would rather be with a man who is incarcerated and know for a fact that he loves me, than be with a man on the street where I have to question their love for me everyday.

How do your friends and family feel?

My friends absolutely love him and my parents weren’t keen on the idea at first, I feel like they thought it was a phase, but now its been a few years so they’re warming up, and they see how happy I am.

Where’s your father?

He lives like 20 minutes away from me. He loves him.

Where was he when you were growing up?

I lived with my dad full time from the age of 14 to 18, he is in the military, so he is a smart man and has never been one to hide his feelings about the guys I have dated, but he has told me he really does like him.

Do you worry since he is in jail that he might be violent or hurt you?

No, I really don’t. I know him pretty well despite the circumstances, and he is has not been violent or has shown signs of being violent with me, he is actually a big teddy bear.

Do you and your husband feel bad for the families’ lives you’ve possibly ruined? Or the PTSD the robberies may have given the victims?

As someone who is very passionate for the justice system, I do feel bad for them and I wish I knew who they were so I could reach out to them and apologize on his behalf, and I would be sure to let them know that he is definitely paying for it now. On the other hand, when he got his sentencing and whatnot, none of his witnesses showed up, and witnesses usually do that to provide closure for themselves, so I found that rather odd.

Do you think your husband belongs in jail?

Yes, he does belong where he is. What makes him a “good” inmate is that he knows that. He has never once said, “I do not belong here”. In fact, he has made it clear that he belongs right where he is at. He just wants to do his time, and get the hell out of here. Also, at his sentencing, he did no say “I’m sorry for what I did”. He said, “I know what I did was wrong, and I apologize to all the victims and families that I have hurt during this process”. I know that sounds odd, and you may be wondering, how does that make a difference? But I have learned that usually when people say sorry, they do not really mean it. And rarely do criminals say, “I know what I did is wrong”. Aside from that, he has been in for about 5 years and has had no charges within there, and it is VERY easy to get charges in there with how rude the cops can be, and he managed to get his GED as well, he is also on very good terms with the Correctional Officers and the Deputy Warden, they all say he is a good person, they have told me themselves.

We Won’t Be Fooled Again – Inflation Is Most Definitely Not “Under Control”

.

Inflation is going down!  Let’s all celebrate!

We all knew that when the Federal Reserve began aggressively hiking interest rates it would have an impact on inflation.

Higher rates have caused a new housing crash, they have crushed the tech industry, and they have sparked the biggest wave of layoffs that we have seen since the Great Recession.

We have entered a significant economic downturn, so it was inevitable that the annual rate of inflation would start to moderate.  But as I will explain below, that doesn’t mean that inflation is now “under control”.

The real rate of inflation is much higher than we are being told, and people all over the country are being absolutely crushed by the rising cost of living.

Let’s start with the good news first.  According to the Labor Department, the annual rate of inflation is rising at the slowest pace since October 2021

Consumer prices increased 6.5% from a year earlier, down from 7.1% in November and a 40-year high of 9.1% in June, according to the Labor Department’s consumer price index, a measurement of what people pay for goods and services, which labor released on Thursday.

The rise last month marks the slowest annual gain since October 2021 and matches economists’ estimates.

Okay, but Fox Business has just reminded us that the annual rate of inflation “remains about three times higher than the pre-pandemic average”

Still, inflation remains about three times higher than the pre-pandemic average, underscoring the persistent financial burden placed on millions of U.S. households by high prices.

So we are still definitely in a high inflation environment.

But let’s dig deeper.

Most Americans don’t realize that the way that the inflation rate is calculated has literally been changed more than two dozen times since 1980.

And every time it has been changed, the goal has been to make inflation appear to be lower than it actually is.

If the rate of inflation was still calculated the way that it was back in 1980, the real rate of inflation would be close to 15 percent right now.

That would be comparable to the peak inflation that we witnessed during the Jimmy Carter era.

So don’t let anyone try to convince you that inflation is “low” or “under control” or anything like that.

The main reason why the rate of inflation moderated somewhat during the month of December is because energy prices have been falling

Americans saw some real reprieve last month in the form of lower energy costs, which fell 6.1% in December. Gas prices dropped 12.5% over the month, the biggest contributor to the overall headline decline in inflation in December.

That is great news, but it is already being projected that gas prices will rise significantly later this year.

And once war in the Middle East erupts, gas prices will go to heights that most people never even dreamed was possible.

Meanwhile, services inflation has just spiked to a level that we haven’t seen in decades.

The cost of living has become extremely oppressive, and the American people are becoming increasingly frustrated by this.

I would like to share a video with you that illustrates what I am talking about.

The woman in this video doesn’t understand all of the numbers that I have just shared in this article.  All she knows is that when she goes to the grocery store, prices are way higher than they once were.  This video contains some graphic language, and I apologize for that in advance.  But I want you to see her anger, because this is how millions upon millions of Americans are feeling about inflation right now.

 

Would you like to be the one that tries to convince her that inflation is “under control” now?

Sadly, the truth is that over the past few years the cost of living has been rising faster than our paychecks have, and so U.S. families have steadily been getting poorer

The average American family has lost the equivalent of more than a month’s salary in annual income since President Biden took office as high inflation and rising interest rates eat away at their finances, according to research by the Heritage Foundation.

Experts at the conservative think tank analyzed consumer prices and interest rates and found in their latest report released Thursday that the average American household has lost the equivalent of $7,400 in annual income since Biden’s inauguration Jan. 20, 2021. The income loss represents an increase of $200 from September, when the think tank’s research found a $7,200 decline in annual income for the average American household dating back to the start of Biden’s term.

Prior to the pandemic, we were in a low inflation and low interest rate environment.

Now that the Federal Reserve has dramatically hiked interest rates, we now find ourselves in a high inflation and high interest rate environment.

And higher interest rates are also hammering our standard of living

While their elected representatives in D.C. struggle to pay the nation’s bills, Americans are facing a similar challenge as their household budgets are stretched thin due to inflation and higher borrowing costs. Those financial challenges led more than one-third of households to rely on credit cards or loans to buy necessities in December. Average credit card interest rates reached a new record high of 19.14% APR compared to a Bankrate.com database.

“Americans are increasingly relying on credit cards to make it from paycheck to paycheck, resulting in higher levels of indebtedness. Rising credit card balances in an era of rising interest rates is a path to insolvency,” Antoni told FOX Business. “The average interest rate on credit cards is now around 20 percent while half of Americans cannot pay off their credit cards each month, and balances are growing at a 16 percent annual rate.”

We are getting hit from both ends.

We have to pay more to buy the things that we need, and we have to pay higher interest rates when we borrow money to pay for those things.

The Federal Reserve has lost control, and we are careening toward the sort of historic economic crisis that I have been warning about for years.

But those that are under the spell of the corporate media will continue to assume that everything is fine and that our leaders have a plan to get us out of this mess.

I truly wish that was true.

Unfortunately, the short-term economic outlook is extremely dismal, and prominent voices all over Wall Street are warning that 2023 will be a really rough year.

Latin America Geo-Political situation

Peru
The majority indigenous people are in open rebellion. I’m surprised at the level of action– a general strike, many airports shut down, demands are being hardened. They want Boluarte out and Castillo back in.

Most of Castillo’s base was somewhat disillusioned with him when he was deposed and jailed— that has changed. Death toll rising. One police dead, his car stopped, burned including him, his partner in the hospital.

U.S. support and involvement is getting more press. Coup leaders don’t know what to do.

Here’s a liberal mainstream perspective on Peru: they’re worried that the wheels are coming off.

Brazil
Black Agenda’s Margaret Kimberly interviews Brazilwire’s Brian Mier (text, not video), a good, brief summary of what’s going on regarding the coup attempt, Bolsonaro, Lula’s response and quick history lesson including Obama/Biden role.

Right now everyone loves Lula: Putin, Xi, Biden etc.

Biden is pushing hard to pull Lula into his team (I don’t think he will succeed).

Domestically Brazil is a mess as is Lula’s cabinet but I expect the next month will see many pushed out, including purge in military/police.

  • HEREGlenn Greenwald has a summary on the coup, 8 minutes. Greenwald and Mier don’t like each other: Greenwald’s husband/congressman supported a Lula opponent in the first round.

Pepe Escobar thinks the CIA staged the Jan 5 coup attempt.

Very interesting. I read Escobar every chance I get and generally think he’s on target but he often seems to project beyond his facts, probably mostly accurate but sometimes he leaves me scratching my head.

He’s Brazilian, knows Lula personally but he has been in Eurasia a long time. Escobar is convinced Lula will supercharge BRICS which means Lula is “playing” Biden.

Haiti
This is a “must view” that summarizes the U.S./West endgame, detailing what a country looks like at the end stage of imperial dominance. (1hr 24min)

Danny Haiphong and Margaret Kimberly interview Jemima Pierre– a native Haitian– and lay it out, pointing fingers and naming names.

Support for and solidarity with Haiti is huge in Latin America. Most in the West don’t get that.

The bottom line, U.S. is naked and exposed in Latin America and Caribbean.

Lots of confusing actions at elite and government levels but over time the “street” is heating things up.

Multipolarity is the only path that will benefit from it.

Not Uni-polarity; the American “rules based order”.

Bayou Beans and Rice

Serve Bayou Beans and Rice with hot fluffy rice and a tossed salad.

bayou red beans rice andouille
bayou red beans rice andouille

Yield: 6 servings

Ingredients

  • 2 cups red beans
  • 1 onion, diced
  • 2 cloves garlic, minced
  • 2 teaspoons seasoning salt
  • Bay leaf
  • Pinch of granulated sugar
  • Cayenne pepper, to taste
  • Smoked sausage, sliced
  • Cooked rice
  • Sliced green onion (garnish)

Instructions

  1. Wash beans, soak overnight and drain.
  2. Cover beans and all ingredients except smoked sausage and rice with cold water. Bring to boil, reduce heat and simmer until done.
  3. Meanwhile, brown sausage in a skillet, then add to the beans the last half hour of cooking.
  4. Serve over rice.

(Ukraine) Soledar direction situation as of 13.00 January 13

In the Soledar sector, the assault detachments of the PMC “Wagner” are clearing the quarters and underground communications on the western outskirts of Soledar. Today, Wagner entered the territory of the urban-type settlement of Sol and established control over the depot of the railway station of the same name.

▪️In the north-west of Soledar, active battles are taking place on the southern outskirts of Krasnaya Gora and in Paraskovievka near the Bakhmutka River. After the liberation of the railway station Sol, the village of Blagodatnoye was actually cut off from the supply lines from Seversk and Artemovsk (Bakhmut).

▪️The surviving members of the Armed Forces of Ukraine in Soledar and its environs are spreading information about huge losses in manpower due to command errors. In one of the brigades in the company of the formation, only 30 people remained, and in the battalion of 24 OMBR out of 300, only 100 fighters survived.

Fighting continues in Artemovsk (Bakhmut) and its suburbs. After the liberation of Opytnoe by PMC Wagner, position of the Armed Forces of Ukraine in the city is deteriorating.

▪️In Minkovka, a reserve command post for the consolidated group has been equipped, and the forces of the 116th brigade of the territorial defense of the Armed Forces of Ukraine from the Sumy region are expected to arrive.

▪️As a result of the active offensive of the RF Armed Forces, the Armed Forces of Ukraine lost control over two company and two platoon strongholds in Artemovsk (Bakhmut), Kleshcheevka and Krasnaya Gora.

▪️Only on January 12, confirmed losses of Ukrainian formations in Artemovsk amounted to 100 people, and 39 were wounded. To strengthen positions, 600 mobilized servicemen without combat experience are expected to be transferred in the near future.

▪️According to the radio interception from Artemovsk, over 800 people have been killed over the past five days, not counting missing and wounded.

In addition, individual units of Polish mercenaries, who were transferred to the direction a few weeks earlier, suffered huge losses.

The Poles spread information about the loss of communication and the complete disunity between the formations in Artemovsk (Bakhmut) and the surrounding area. The moral and psychological state of mercenaries is at a low level.

▪️The forces of the 3rd Battalion of the 28th Ombre of the Armed Forces of Ukraine attempted a counteroffensive on Russian positions southwest of Artemovsk (Bakhmust). The attack was repulsed, and separate detachments of the 28th brigade fled from the combat area.

The leadership of the Ukrainian operational-tactical group “Soledar” assesses the situation as critical and expects the intensification of the offensive of Russian troops at the Berestovoye-Spornoye line in order to reach the Seversk agglomeration, as well as in the south at the Ozaryanovka-Mayorsk line towards Druzhba and Dyleevka.

The release of Opytnoe allows the start of advancements on the southern outskirts of Artemovsk (Bakhmut), as well as attacking the fortified areas of the Armed Forces of Ukraine in Kleshcheevka from the east.

Posted by: Soledar | Jan 13 2023 14:53 utc | 1

Modern Manga Art By Ilya Kuvshinov.

1 107
1 107

Ilya Kuvshinov is an illustrator and storyboard artist originally from Moscow, Russia, but whom currently resides in Yokohama, Japan. Much of his personal work consists of pin-ups influenced by video games and film. Beautifully and sensitively rendered, Kuvshinov is able to paint alluring female characters without the need to sexualise them (for the most part).

75
75

74
74

73
73

72 1
72 1

71 1
71 1

70 1
70 1

68 2
68 2

66 2
66 2

65 2
65 2

64 2
64 2

63 3
63 3

62 3
62 3

61 3
61 3

60 3
60 3

59 3
59 3

58 3
58 3

57 3
57 3

56 3
56 3

55 5
55 5

54 5
54 5

53 5
53 5

52 5
52 5

51 5
51 5

50 5
50 5

49 5
49 5

48 5
48 5

47 5
47 5

46te 5
46te 5

45 6
45 6

44 7
44 7

43 7
43 7

42 9
42 9

41 9
41 9

40 9
40 9

39 r9
39 r9

38 r9
38 r9

37 1r0
37 1r0

36 1r1
36 1r1

35 1r1
35 1r1

34 1r1
34 1r1

33 1r1
33 1r1

32 1r4
32 1r4

31 1r3
31 1r3

30 1r3
30 1r3

29 1wr4
29 1wr4

28 1ye5
28 1ye5

27 14
27 14

26 15
26 15

25 18
25 18

24 19
24 19

23 19
23 19

22 21
22 21

21 24
21 24

20 27
20 27

19 27
19 27

18 28
18 28

17 29
17 29

16 33
16 33

15 43
15 43

14 43
14 43

13 49
13 49

12 58
12 58

11 57
11 57

10 61
10 61

9 64
9 64

8 70
8 70

7 72
7 72

6 77
6 77

5 76
5 76

4 78
4 78

3 78
3 78

2 79
2 79

.

Major Natural Gas Pipeline EXPLODES on Latvia-Lithuania Border

.

A major natural gas pipeline has exploded on the border of Latvia and Lithuania. Gee. What a shame.

Public broadcaster LRT reports that the entire town of Pasvalys, located in northern Lithuania, has evacuated due to the situation.

The map below shows the location of Pasvalys, near the border:

2023 01 14 08 49
2023 01 14 08 49

Maybe the pipe preferred Russian natural gas to the swill traveling through it now?

You know, Russia can help Latvia and Lithuania repair that pipeline . . . OOOOOPS . . . wait a minute, no they can’t. Those pesky economic sanctions prevent Russia from helping. Awww shucks.

Oh well, NATO. You built this tree house; now you sit in it.

Two can play your games . . .

2023 01 14 08 50
2023 01 14 08 50

Latin America

Regarding Lula flirting with Biden and the West Karlof is spot on in his comment quoting Arevalo: “he’s a sardine who’s learned how to swim with the sharks and survive.”

The leaders of Latin America know how the U.S. imperial mafia works.

They have the scars to remind them.

More than that, a huge percentage of normal people there know it too. When a leader like Lula or AMLO is shown smiling and shaking hands with Biden or his people it turns my stomach.

I don’t like when they condemn Russia’s “invasion” in Ukraine.

At that point I can’t tell if they are selling out or if they are making a tactical accomodation in order to survive the moment.

But their actions on the ground reveal their long term strategic direction which appears to be away from the U.S. empire and toward the sane, multipolar world.

Many current Latin American leaders have survived attacks, coups, imprisonment which usually included mortal threats on them and family members by the U.S. I’m sure their traumas are seared into their memories:

Lula–prison, by Obama/Biden
Evo Morales– couped, attempted to shoot down his plane, U.S. backed
Arce (Bolivia)– assasination plot foiled, U.S. Cia backed
Castillo– U.S. CIA/state dept backed coup
Colombia’s Petro: one assasination attempt, his vp, two assasination attempts
Honduras’s Xiomara Castro– husband Zelaya was couped by Hillary clinton’s people, 2009
Cuba– too many to list
Nicaragua– Ortega, long list as well
Venezuela’s Maduro, numerous coup and assasination attempts
Mexico’s AMLO, right wing oligarchs teamed up with U.S. support to run him out of office 2 years ago, they failed.
Argentina’s vp Cristina Fernandez given 6 year prison sentence backed by U.S.

Big hair on attractive women and American marine Generals that plan to destroy China just as successfully as they are destroying Russia

Welcome to 2023. Buckle up for the great roller coaster ride.

Saudi Arabia is no longer going to be trading in the USD. The rest of the Middle East will follow. Say “good bye” to the use of the dollar as a global reserve currency, and hello others.

Davos 2023: Saudi Arabia ‘open’ to discuss trading in non-dollar currencies – Al-Monitor: Independent, trusted coverage of the Middle East

He is a brave man to make this type of public announcement. 

Like Putin and Xi, this man deserve noble peace price for speeding up the liberation process of the oppressed people across the world. 

Obviously, after US suffered internal injury for initiating a full scale trade war against China, and failing to crush Russia economically with full scale looting and supporting ukraine war.

The world no longer afraid of the head of the imperialistic barbarian nations.

Let’s talk food…

Pennsylvania Dutch Sour Cream Cabbage

Pennsylvania Dutch Sour Cream Cabbage
Pennsylvania Dutch Sour Cream Cabbage

Ingredients

  • 1 medium head cabbage, shredded
  • 1/2 cup vegetable oil (for frying)
  • 1 teaspoon salt
  • 1/2 teaspoon ground black pepper
  • 2 cups granulated sugar
  • 2 tablespoons all-purpose flour
  • 1 pint (2 cups) sour cream
  • 2 cups distilled white vinegar

Instructions

  1. Heat oil in a large skillet over medium heat.
  2. Add cabbage, salt and pepper and cook until tender, 15 to 20 minutes.
  3. Mix sugar and flour together in a medium bowl, then add sour cream and mix well; finally stir in vinegar and mix well.
  4. Add mixture to cabbage and simmer all together until desired consistency is reached.

Let’s Talk About The Catastrophic Rise Of Egg Prices…

.

Do you remember when you could buy a dozen eggs for 99 cents?

It seems like it was only yesterday, but unfortunately those days are now gone for good.

Thanks to a variety of factors, egg prices have risen to levels that we have never seen before, and in some areas of the country significant shortages are being reported.

In fact, things are so bad that Whole Foods is apparently “now limiting egg carton purchases to two per person”.

This is extremely alarming, because millions of U.S. households have traditionally relied on eggs as a cheap source of protein.

Unfortunately, it appears that eggs will not be “cheap” for the foreseeable future.  According to an article that originally appeared in the Los Angeles Times, the average price of a dozen eggs in California actually reached $7.37 this week…

Egg cases were bare across Los Angeles County this week, from Trader Joe’s in Long Beach to Amazon Fresh in Inglewood, Target in MidCity to Ralphs in Glendale. Those such as Hodges who found cartons were shocked by the sudden spike in price.

“I’ve never seen anything like this,” said Anna Sanchez, 32, who scoured the half-empty shelves at a Smart & Final in University Park looking for a dozen eggs for less than $10. “The cheaper ones just aren’t there.”

The average retail price for a dozen large eggs jumped to $7.37 in California this week, up from $4.83 at the beginning of December and just $2.35 at this time last year, data from the U.S. Department of Agriculture show.

Can you imagine paying 7 dollars for a carton of eggs?

I certainly cannot.

Thankfully, prices are not quite as high elsewhere in the nation.  One of the reasons why egg prices in California are so absurd is because of a new law that went into effect last January

Since the law went into effect last January, all eggs sold in California have to be produced in cage-free settings. But cage-free production takes much more space than conventional egg production, and California producers aren’t able to keep up with demand.

“They’re selling everything they can possibly grow,” Mattos said.

Of course egg prices have also been skyrocketing in states that do not have such laws.

All over the nation, people are now paying 4 or 5 dollars for a dozen eggs, and many believe that our ongoing bird flu pandemic is the primary factor that is causing prices to go completely nuts…

But egg prices are up significantly more than other foods — even more than chicken or turkey — because egg farmers were hit harder by the bird flu. More than 43 million of the 58 million birds slaughtered over the past year to control the virus have been egg-laying chickens, including some farms with more than a million birds apiece in major egg-producing states like Iowa.

More than 50 million chickens and turkeys have also been wiped out in Europe.

So when you combine the two totals, so far well over 100 million chickens and turkeys have been killed in just the United States and Europe.

And there is no end to the bird flu pandemic in sight.

This is a major crisis, but up to this point the mainstream media has not been focusing on it very much.

On top of everything else, egg farmers have had to deal with rapidly rising costs in recent months.

In fact, there are some in the industry that insist that the huge cost increases that egg farmers have been hit with over the past year are even a bigger factor than the bird flu

But the president and CEO of the American Egg Board trade group, Emily Metz, said she believes all the cost increases farmers have faced in the past year were a bigger factor in the price increases than bird flu.

“When you’re looking at fuel costs go up, and you’re looking at feed costs go up as much as 60%, labor costs, packaging costs — all of that … those are much much bigger factors than bird flu for sure,” Metz said.

Many anticipate that these costs will only go higher in 2023.

And that will mean even higher prices for the rest of us.

I really feel badly for small bakeries.  They use lots and lots of eggs, and if egg prices continue to go up many small bakeries could soon be forced to close

“Small businesses especially, you live and die by what your food costs are,” said Tracy Ann Devore, owner of KnowRealityPie in Eagle Rock, who recently let go a dishwasher to stem rising costs. “If this keeps up for another three to six months, it could be a tipping point for some bakeries to close.”

For Devore and many others, the new egg crisis, combined with uncertainty about when it could ebb, has been more unsettling than the gradual price creep of dairy products, flour and produce.

“At some point, you can’t raise the price anymore,” Devore said. “There’s been points where I’ve cried recently, because I thought, ‘How are we going to keep going with this?'”

Our food industry was stable for so many years, but now we are witnessing a dramatic shift.

Costs are going through the roof, and supply problems just keep popping up.

Just like we have witnessed at other times, empty shelves are starting to be reported at certain supermarkets around the nation…

Social media is brimming with reports of missing food items at Kroger supermarket locations across the country.

A repeat of early 2020 when toilet paper and other essentials ran bare, the start of 2023 is seeing “a lot of empty shelves” at Kroger, according to numerous reports, some containing video evidence of lingering supply chain problems.

We are getting dangerously close to the days that I have been warning about.

As we are hit by one crisis after another throughout 2023, I expect our supply chain problems to continue to intensify.

So I would encourage you to stock up while you still can.

Yes, prices may seem ridiculously high now, but the truth is that they aren’t going to be getting any lower than they are at this moment.

This is what I see every day.

Surprises from Japan

The Japanese are on a suicide mission: history is the DNA of a nation. Unless they are badly beaten and weaken, their political behaviour will never changed. They are still a threat to humanity and world harmony:
On January 12, Japan began building a Self-Defense Force base on Mageshima, an island in Kagoshima Prefecture. The facility will be constructed as part of a plan to relocate the joint naval and marine exercises with the US.

It would serve as a new training facility for US carrier-based F/A-18 Super Hornets and F-35 fighters to simulate aircraft carrier landings close to China. The SDF will also employ the site as a logistic and maintenance depot to defend Japan’s Nansei southwest islands.

The ministry also intends to construct a runway, hangars, and pier facilities for ships used by the Self-Defense Forces. Although the development is anticipated to take roughly four years, the ministry wants to finish the runway and associated facilities in about 24 months. ...

HERE

When Big Hair Roamed The Earth: The Hairstyle That Defined The 1960s

0 4
0 4

Not too much to say that big hair style roamed the earth during the 1960s. The bigger the hair, the more beautiful. It was a general trend for ’60s women. Check out these lovely snapshots to know the reason why it defined the 1960s fashion.

Big hairs in the 1960s 39
Big hairs in the 1960s 39

Big hairs in the 1960s 38
Big hairs in the 1960s 38

Big hairs in the 1960s 37
Big hairs in the 1960s 37

Big hairs in the 1960s 36
Big hairs in the 1960s 36

Big hairs in the 1960s 35
Big hairs in the 1960s 35

Big hairs in the 1960s 34
Big hairs in the 1960s 34

Big hairs in the 1960s 33
Big hairs in the 1960s 33

Big hairs in the 1960s 32
Big hairs in the 1960s 32

Big hairs in the 1960s 31
Big hairs in the 1960s 31

Big hairs in the 1960s 30
Big hairs in the 1960s 30

Big hairs in the 1960s 29
Big hairs in the 1960s 29

Big hairs in the 1960s 28
Big hairs in the 1960s 28

Big hairs in the 1960s 27
Big hairs in the 1960s 27

Big hairs in the 1960s 26
Big hairs in the 1960s 26

Big hairs in the 1960s 25
Big hairs in the 1960s 25

Big hairs in the 1960s 24
Big hairs in the 1960s 24

Big hairs in the 1960s 23
Big hairs in the 1960s 23

Big hairs in the 1960s 21
Big hairs in the 1960s 21

Big hairs in the 1960s 20
Big hairs in the 1960s 20

Big hairs in the 1960s 19
Big hairs in the 1960s 19

Big hairs in the 1960s 18
Big hairs in the 1960s 18

Big hairs in the 1960s 17
Big hairs in the 1960s 17

Big hairs in the 1960s 16
Big hairs in the 1960s 16

Big hairs in the 1960s 15
Big hairs in the 1960s 15

Big hairs in the 1960s 14
Big hairs in the 1960s 14

Big hairs in the 1960s 13
Big hairs in the 1960s 13

Big hairs in the 1960s 12
Big hairs in the 1960s 12

Big hairs in the 1960s 11
Big hairs in the 1960s 11

Big hairs in the 1960s 10
Big hairs in the 1960s 10

Big hairs in the 1960s 9
Big hairs in the 1960s 9

Big hairs in the 1960s 8
Big hairs in the 1960s 8

Big hairs in the 1960s 6
Big hairs in the 1960s 6

Big hairs in the 1960s 5
Big hairs in the 1960s 5

Big hairs in the 1960s 4
Big hairs in the 1960s 4

Big hairs in the 1960s 3
Big hairs in the 1960s 3

Big hairs in the 1960s 2
Big hairs in the 1960s 2

@Big hairs in the 1960s 1
@Big hairs in the 1960s 1

1 Big hairs in the 1960s 8
1 Big hairs in the 1960s 8

1 Big hairs in the 1960s 7
1 Big hairs in the 1960s 7

1 Big hairs in the 1960s 6
1 Big hairs in the 1960s 6

1 Big hairs in the 1960s 5
1 Big hairs in the 1960s 5

1 Big hairs in the 1960s 4
1 Big hairs in the 1960s 4

1 Big hairs in the 1960s 3
1 Big hairs in the 1960s 3

1 Big hairs in the 1960s 2
1 Big hairs in the 1960s 2

1 Big hairs in the 1960s 1
1 Big hairs in the 1960s 1

This is the subway in Wuhan. If you recall, the narrative out of the USA is that Wuhan was dirty and filthy and that was because the “Wuhan virus” came into being. This is the reality…

6 Illustrations That Sum Up Instagram Perfectly

1 63
1 63

How many photos of food, hotel pools and sketchy supplements advertised by people who have nothing to do with nutrition have you seen the last time you were on Instagram? “Too many” is probably the answer you are looking for. Tired of this ridiculous Instagram culture, Russian artist Anton Gudim (previously) created a series of tongue-in-cheek illustrations that sum it all up perfectly.

2 5ewq9
2 5ewq9

When traveling, you can’t help but notice how some people are more focused on taking the perfect picture for their social media account instead of actually enjoying the place they are at. But then again, how else would your followers know you’ve been to the Eiffel tower? Or was it the Big Ben? Who cares, really, as long as the picture collected a lot of likes. “It’s based on my own experience, I’ve seen millions of photos like this on Instagram,” said the artist in an interview with Bored Panda. “It’s not my intention to provide social commentary on the shallow and narcissistic habits of the modern world, that’s for the reader to decide with their own interpretation.” Anton says his comics are a way to discover the depths of his own imagination and that he’s glad they can inspire and entertain other people. “I am not out to make people laugh, but I do believe that it’s important for artists to add a little humor into their works,” says the artist.

7 4d3
7 4d3

6d 47
6d 47

5 5s2
5 5s2

5 52
5 52

3 59
3 59

Will The Implosion Of The Tech Industry Bring Down The Entire U.S. Economy?

.

The tech industry has become one of the central pillars of our economy, and tech stocks led the way up during the stock market boom.

But now tech stocks have been crashing and many of our biggest tech industry companies have been laying off large numbers of workers.

If the strongest sector of our economy continues to rapidly deteriorate in 2023, what will that mean for our weaker sectors?

I think that the answer to that question is obvious.

The truth is that we are in far bigger trouble than “the experts” realize, but most people still assume that everything will work out just fine somehow.

If economic conditions were really about to “return to normal”, the tech industry would not be laying off thousands upon thousands of workers.  The following comes from a CNN article entitled “Silicon Valley layoffs go from bad to worse”

At Amazon and other tech companies, the second half of last year was marked by hiring freezes, layoffs and other cost-cutting measures at a number of household names in Silicon Valley. But if 2022 was the year the good times ended for these tech companies, 2023 is already shaping up to be a year when people at those companies brace for how much worse things can get.

Did you catch that last part?

Even CNN is admitting that 2023 will be even worse for the tech industry than 2022 was.

Of course last year was really, really bad for the tech industry.  According to Challenger, Gray & Christmas, tech layoffs “were up 649% in 2022”.

I was floored when I first saw that figure.

649 percent is a pretty big shift.

And one prominent private equity CEO just warned Fox Business that we could see a “bloodbath” for tech stocks during the months ahead…

In an interview with FOX Business on Friday, Eric Schiffer, CEO of the private equity firm, The Patriarch Organization, said: “Because tech is so oversold, there might be potential exits for a limited short-term bear rally, but there is a danger facing shareholders.”

Shareholders should brace themselves for a deeper brutal tech bloodbath driven by the Fed and its ‘Terminator’ like mission to raise rates and wipe out inflation,” he warned. “Many tech companies will enact job carnage in the first quarter, with Salesforce and Amazon just the start.”

The tech-heavy Nasdaq is already down by about a third from the peak of the market, and trillions of tech stock wealth has already been wiped out.

So what will things look like if we actually see another “bloodbath” for tech stocks this year?

At this point, I don’t think that most Americans realize what is coming.

Mass layoffs are already starting to happen all over America, and one economist that was just interviewed by CNN believes that conditions will be even worse “by the end of the first quarter”

“I think we’re seeing an inflection point; the rate of jobs growth is slowing and a lot of these tech layoffs that we’re hearing about, I think are going to start materializing across the broader economy by the end of the first quarter,” John Leer, chief economist at Morning Consult told CNN’s Chief Business Correspondent Christine Romans in an interview Friday.

Sadly, the truth is that the U.S. economy has been bleeding good jobs for quite some time now.

According to Fox Business, the official numbers that the government has been giving us show that the U.S. economy has been losing an average of 2,100 full-time jobs since May…

But there are more disturbing trends present in the data. The economy has been losing full-time jobs at an alarming rate: 2,100 every day since May. Employers are shifting from full-time to part-time jobs, which often occurs before those businesses stop hiring altogether. Then, layoffs arrive.

This is often what we see as our economy heads into a major downturn.

First, many employers start shifting from full-time employees to part-time employees, and then when things get bad enough they just start dumping workers.

And at this point we are already starting to see some of the wealthiest companies in America let people go.  In fact, Goldman Sachs is going to be giving thousands of highly paid employees the axe starting on Wednesday

The global investment bank is letting go of as many as 3,200 employees starting Wednesday, according to a person with knowledge of the firm’s plans.

That amounts to 6.5% of the 49,100 employees Goldman had in October, which is below the 8% reported last month as the upper end of possible cuts.

Meanwhile, the cost of living continues to go even higher.

Earlier today, I was stunned to learn that natural gas bills for many residents of southern California could soon double

Southern California Gas Co. and San Diego Gas & Electric have issued stark warnings to customers that their January natural gas bills could double, citing factors for historically high wholesale costs that include sinking inventories, supply constraints and a cold start to winter that has soaked the West Coast.

And even though the Federal Reserve has been taking extreme measures to fight inflation, food prices just continue to soar to absurd heights.

Survey after survey has shown that a solid majority of Americans are living paycheck to paycheck right now.

As the cost of living becomes increasingly oppressive, more Americans are turning to their credit cards for help…

New data released by the Census Bureau this week found that more than 35% of households used credit cards or loans in December to assist with spending needs in the past week. That marks an increase from 32% in November and just 21% in April 2021, according to the Household Pulse Survey.

The rise in credit card usage is somewhat concerning because interest rates are astronomically high right now. The average credit card APR, or annual percentage rate, set a new record high of 19.14% last week, according to a Bankrate.com database that goes back to 1985. The previous record was 19% in July 1991.

The greed of the credit card companies seemingly knows no bounds.

As I have repeatedly warned my readers, you do not want to be carrying a lot of debt during the hard economic times that are coming.

19.14 percent is the average rate on credit card balances now, and that means that half of the country has rates that are even higher than that.

Ouch!

If you are currently carrying credit card debt, I would encourage you to get that paid off as soon as you can.

Because economic conditions are only going to get harsher from here, and you definitely don’t want to be financially crippled by high interest debt during the severe crisis that is rapidly approaching.

SHEN ZHEN SUBWAY Gang Xia North Station

All of these videos are taken in different cities. It shows China as most people who live here observe it. I have to laugh when some jack ass says that China is a third world nation.

Pennsylvania Dutch Cherry Pie

837c3f506aa02242e709e708e04d5b20
837c3f506aa02242e709e708e04d5b20

Ingredients

  • 1 pastry circle from 15 ounce refrigerated pie crust
  • 2 (21 ounce) cans cherry pie filling
  • 1/4 to 1/2 teaspoon grated orange peel
  • 3/4 cup all-purpose flour
  • 1/2 cup packed brown sugar
  • 1/2 teaspoon ground cinnamon
  • 1/3 cup butter or margarine
  • 1/4 cup unblanched almonds

Instructions

  1. Heat oven to 425 degrees F.
  2. Fit pie crust into a 9-inch pie plate. Lightly dampen underside of crust and turn edge under pressing firmly to rim of pie plate.
  3. In a large bowl, combine pie filling and orange peel. Spoon into pie crust. Set aside.
  4. In a small bowl combine flour, sugar and cinnamon. Using pastry cutter or blender, cut in butter until it resembles coarse crumbs. Sprinkle mixture over cherry pie filling, covering completely and evenly.
  5. Bake for 20 minutes until filling is hot and top is golden brown.
  6. Sprinkle with almonds.

The More You Connect, The Less You Connect

1162
1162286 286374 374

We also created a series of cartoons about how smartphones have altered our lives, not necessarily for the better. The caption at the bottom of the image reads “The More You Connect, The Less You Connect” – do you agree? . . . . .

Come on! How can the USA even dare compare?

US military “setting the theatre” for war with China

In a remarkably frank interview with the Financial Times yesterday, the top US Marine general in Japan declared that US-NATO successes against Russia in Ukraine were a product of advance planning and preparations—“setting the theatre” for war in military jargon. That was exactly what the Pentagon was doing in Japan and Asia, he explained, in preparing for conflict against China over Taiwan.

“Why have we achieved the level of success we’ve achieved in Ukraine?” Lieutenant General James Bierman asked rhetorically. A big part of it, he explained, was that after what he termed “Russian aggression” in 2014 and 2015, “we earnestly got after preparing for future conflict: training for the Ukrainians, pre-positioning of supplies, identification of sites from which we could operate support, sustain operations.”

“We call that setting the theatre. And we are setting the theatre in Japan, in the Philippines, in other locations.” In other words, the US is setting a trap for China by goading it into taking military action against Taiwan in the same way that it provoked Russia into invading Ukraine following the US-backed coup in 2014 that toppled a pro-Russian government.

Lieutenant General James Bierman is commanding general of the Third Marine Expeditionary Force (III MEF) and of Marine Forces Japan. Significantly, the III MEF is the only Marine crisis response force permanently stationed outside the US. In other words, Bierman and his Marines would be on the front line of any US-led conflict with China.

As the Financial Times explained, the III MEF is “at the heart of a sweeping reform of the Marine Corps.” Its focus is being shifted from the “war on terror” in the Middle East to “creating small units that specialise in operating quickly and clandestinely in the islands and straits of east Asia and the western Pacific to counter Beijing’s ‘anti-access area denial’ strategy.”

The US plans for war against China—known as AirSea Battle—envisage a massive air and missile assault on Chinese military bases and strategic industries supported by warships and submarines. The Pentagon has been increasingly concerned about China’s military abilities to defend its territory and secure neighbouring seas—“anti access area denial” with its own missiles and naval vessels.

US war preparations with Japan are proceeding apace. As Bierman boasted, the two militaries have “seen exponential increases . . . just over the last year” in their activities on territory from which they would operate during a war. In recent exercises, the Marines for the first time established bilateral ground tactical co-ordination centres rather than liaising with a separate Japanese command point.

The aim is far closer integration of American and Japanese forces. Instead of Japanese military groups being rotated to operate alongside US forces in Japan, specific units have now been designated as part of the “stand-in force” alongside their US Marine, Navy and Air Force counterparts.

Bierman also pointed out that similar preparations are being made in the Philippines where the government intends to allow the US to preposition weapons and other supplies on five more bases in addition to five where it already has access. “You gain a leverage point, a base of operations, which allows you to have a tremendous head start in different operational plans,” he enthused.

The US-led war against Russia in Ukraine and its intensifying confrontation with China are two sides of a strategy to dominate the vast Eurasian landmass that threatens to plunge humanity into a nuclear holocaust.

While Bierman is highlighting the advanced operational planning for war with China, it is being matched by huge increases in military spending by both the US and Japan.

Stars and Stripes reported on January 2 that the new US defence budget approved last month by President Biden included billions of dollars for new military infrastructure and strategic initiatives across the Pacific. The Indo-Pacific Command already has some 375,000 military and civilian personnel working across the region.

The Command’s headquarters in Hawaii get $87.9 million for barracks; $103 million for upgrading missile storage facilities; $111 million for a company operations facility, and $29 million for an Army National Guard Readiness Center.

The Navy will receive $32 billion alone for new warships and 36 F-35 aircraft, each costing about $89 million. The funding also includes $621 million for two SSN-774 Virginia class attack submarines that are expected to conduct operations in the Pacific and receive maintenance at Pearl Harbor Naval Shipyard.

To counter Chinese weapons, the Army is upgrading artillery and missile systems, seeking new longer-range cannons and hypersonic weapons while modifying air- and sea-launched missiles and cruise missiles for ground launch by Army units.

The Japanese government announced last month that it would double military spending over the next five years between 2023 and 2027 to about $US80 billion or 2 percent of GDP. The associated national defence documents explicitly identify China as “an unprecedented and the greatest strategic challenge.”

The Japanese military will buy a range of offensive weapons, including cruise missiles like Lockheed Martin’s Tomahawk and Joint Air-to-Surface Standoff Missile (JASSM). It is also planning to upgrade its own Type 12 guided missiles that can be fired from the surface, ships, or aircrafts to strike naval vessels, and to manufacture its own hypersonic guided missiles.

Japan will also boost its missile sites. It has already begun to militarise its southern islands immediately adjacent to Taiwan and off the Chinese mainland, including Amami, Miyako, Ishigaki, and Yonaguni Islands. Tokyo has deployed or intends to deploy missile and electronic warfare units to these islands, in addition to constructing ammunition and fuel depots.

Japanese Prime Minister Fumio Kishida set off Sunday on a tour of Europe and North America focussed on bolstering military ties. He will visit both Britain and Italy, which are joint partners in a deal agreed last month to build new advanced fighters. He is also expected to sign an agreement in Britain to establish the framework for visits by each other’s military forces.

Kishida’s final stop will be in the US where he will hold talks with Biden at the White House that will discuss military collaboration, Japan’s purchase of US missiles and efforts to block China’s access to advanced semi-conductors. As part of the US economic war on China, Biden has imposed a series of bans on the sale to China of advanced computer chips or the machinery required to develop and manufacture them. The Japanese defence and foreign ministers are due to hold a round of talks with the American counterparts on Wednesday in Washington.

At the same time, the US is about to conduct a provocative, official trip to Taiwan—an island that it de-facto recognises under the One China policy as being part of China with Beijing as the legitimate government. Terry McCartin, the top US official responsible for trade with China, is due to arrive in Taipei on Saturday to lead a delegation that will include officials from other government agencies.

The visit to Taiwan by US House Speaker Nancy Pelosi last August, sanctioned by the White House, provoked sharp tensions and a dangerous show of force by both sides in surrounding waters. By strengthening trade and military ties with Taipei, Washington is deliberately pushing Beijing into a corner to force it to fire the first shot in a war over Taiwan that the US has prepared for in advance.

As Lieutenant General Bierman crudely explained: “As we square off with the Chinese adversary, who is going to own the starting pistol and is going to have the ability potentially to initiate hostilities . . . we can identify decisive key terrain that must be held, secured, defended, leveraged.”

Pennsylvania Dutch Chicken and Flat Dumplings

Pennsylvania Dutch Chicken and Flat Dumplings
Pennsylvania Dutch Chicken and Flat Dumplings

Ingredients

  • 1 large (5 pound) washed chicken
  • 1 large onion, quartered
  • 3 stalks celery, cut into large chunks
  • 1 teaspoon whole peppercorn
  • 2 1/2 teaspoons salt

Instructions

  1. Place chicken in a 6- to 8-quart stockpot. Add remaining ingredients. Bring to boil. Simmer until chicken is done, about 2 hours.
  2. When cool, remove bones and fat from chicken. Cut into pieces, and return to pot.
  3. Noodles: In a bowl, mix together 2 cups flour and 1/2 teaspoon salt. Make a well in the center, and gradually work 4 eggs into the flour until stiff dough is formed, adding water a little at a time if necessary. Knead until smooth. Divide dough in half. Roll each half as thin as possible then cut into thin 1-2 inch squares.
  4. Bring the broth back to rolling boil. Drop noodle squares one at a time, making sure each are drenched in broth. Reduce heat, cover and continue to cook until noodles are done, about 8 minutes. DON’T PEEK!
  5. Serve in large bowl and ladle onto plates at the table. Serve with chopped onion.

Photo Manipulations by Geir Akselsen

196
196

Fantastic photo manipulations by Geir Akselsen, a graphic designer and photographer from Norway.

1610
1610

1511
1511

1412
1412

1311
1311

1212
1212

1122
1122

1016
1016

921
921

827
827

734
734

644
644

542
542

456
456

368
368

272
272

Japan joining US’ chip export ban against China could leave its semiconductor industry stifled: experts

Japan, once a semiconductor giant half a century ago but then brutally beaten down by the US, is reportedly moving to join the US in expanding chip export controls on China, as leaders of the two countries are set to meet on Friday.

“For better or worse, Japan’s semiconductor strategy is moving in accordance with what the US wants,” a chip industry source was reported as saying by Reuters, while Chinese experts said that the Japanese government is losing independence even in its advantageous industry. If it keeps letting itself become a “sidekick” of the US, Japan’s semiconductor industry could be completely strangled in the next five to 10 years, they warned.

At a press briefing on Friday, Chinese Foreign Ministry spokesperson Wang Wenbin said in response to the possible semiconductor export restrictions that the US has repeatedly abused export controls, politicized and weaponized economic and trade issues, imposed economic coercion on allies, and maliciously suppressed Chinese enterprises by decoupling supply chains, which seriously undermines market rules and the international trade order. It will not only harm the legitimate rights and interests of Chinese enterprises, but also damage the stability of the global supply chain.

US President Joe Biden and Japanese Prime Minister Fumio Kishida are set to meet in Washington on Friday. A senior US administration official told media that the two leaders are expected to discuss security and global economy issues, as well as semiconductor exports to China.

American officials have been quick to play down the differences between the two allies while touting an ever-closer strategic alignment with Japan, praising Tokyo’s plan for its biggest military buildup since World War II as its rivalry with China in the region grows, Reuters reported on Friday.

“I think there’s a very, very similar vision of the challenges,” a senior US official said on Wednesday, according to the report, adding that while Japanese export restrictions may not be exactly the same as US controls, “I don’t think the Japanese question the basic premise that we need to be working closely together on this.”

However, Japan’s hesitation is evident. The Kishida administration, while admitting its country is broadly in line with the goals of the White House, has been vague about to what extent it will join in.

The hesitation comes largely from the country’s leading chip producers’ reliance on China to thrive. According to media reports, Japan is a top producer of specialized tooling equipment needed to manufacture advanced chips, and its companies hold 27 percent of global market share.

Tokyo Electron, Japan’s leading chip manufacturing equipment maker, relies on China for about a quarter of its revenue.

Japan’s semiconductor industry in the 80s and 90s was once in the world’s absolute leading position, holding half the global share in the late 1980s. But it was later suppressed by the US in various ways, including export restrictions similar to today’s, which allowed chipmakers in South Korea and China’s Taiwan to make deeper inroads into the industry.

According to a report by the White House in June 2021, the world’s semiconductor manufacturing capacity is now concentrated in East Asia, with China’s Taiwan accounting for 20 percent of the global total in 2019, followed by South Korea, Japan, the Chinese mainland and the US.

Japan still has some residual advantages in the industry, leading not on key links but in some upstream technology, such as optical technology. But on the whole, Japan no longer has much say in this field, Lü Xiang, an expert on US studies and a research fellow at the Chinese Academy of Social Sciences, told the Global Times on Friday.

The interests of Japan and the US in this regard are definitely not the same, the expert noted, as the US has its own upstream suppliers in Europe and has little room left for Japan.

“If Japan is to tie itself to the US-desired semiconductor closed loop that excludes China, it will seriously reduce Japan’s few existing advantages. In the next five to 10 years, if such a closed loop is really formed, it will cut off Japan’s ability to independently develop the global market, and the Japanese semiconductor industry could be utterly stifled,” Lü warned.

While on the technological front Japan still has some strength, its political positions are completely manipulated by the US, and it’s growing even more reliant now with the current government seeming much weaker and more helpless, observers said.

Apart from discussions on semiconductors, Japan and the US are expected to expand cooperation in areas including artificial intelligence, quantum and other cutting-edge technologies in a bid to counter China. A joint document is expected to be released on strengthening the Japan-US alliance after the leaders’ talks.

In addition to cutting off supply chains for China, top defense and diplomatic officials from both countries have vowed to strengthen their military alliance and security cooperation, citing the “greatest strategic challenge” from China. Chinese experts said that a closer military alliance with the US, while adopting a more aggressive posture, would mean a more dangerous position for Japan, and the provocative military alliance would not be welcomed by regional countries.

Thinking of preemptive nuclear strike? Why bother to find excuse?

EU sets up ‘nuclear’ stockpile in Finland to respond to chemical and nuclear emergencies.
Oh, that's fucking great! What idiot is running Finland these days? -MM

HERE

Rescue the kitten that was in bad condition( Emergency). God bless this poor kitten

Heartbreaking, but with a very happy ending.

Happy Cats, Coffee Shops And Carefree Times

My staff is getting ready for the holiday, and after a big end of the year dinner, and handing out bonuses, I’m about ready to check out as well. I will start my new campaign in February, and I am thinking about listening to recordings of my affirmations and then repeating them out loud… as opposed to reading from a spreadsheet.

Oh, I’ll keep you all advised.

Let’s go through some easy stuff today…

What’s It Like To Live In A Commune?

Is this a cult?

No. Not a cult because with a cult people would try to keep people here forever and try to get everyone to think the same. People leave whenever they want, sometimes we’re sad because they are our friends, but we want people to do what they want.

We share everything, but there is a wide number of opinions, which can often make decision making difficult, but it is valuable to have so many different outlooks.

I’d say we share a lot of the same values as in egalitarianism, feminism, non-violence. We are just looking to be the example of what we would like to see in the world. We are very focused on face to face communication and conflict resolution. We also have no leaders and we emphasize personal responsibility.

There’s no leaders?

There are full members (people who’ve been members a year +), provisional members (under a year)associate members(intern more than once) interns (2-6 month stayers) and visitors (3 week visits applying for membership). Full members often have the most power.

The best is that no one is my boss and no one tells me what to do or benefits more than I do from our income.

How many people live in the commune?

30

Do the people you live with share anything in common besides farming? Are many of them related, were you all friends before forming a commune?

The commune we live on has existed for about 20 years. Most of us have some alternative political views. Many people here would identify as anarchists. I probably would, but I do not like labels. A lot of people are also interested in growing most of our own food and participating in capitalism as little as possible. We have no bosses. We use consensus to make decisions, meaning no proposals get passed unless everyone consents to them.

There is a bigger commune in the area that is our sister commune. Some members from there decided to start the one I live on, they were not related but many of them had known each other for a while.

Right now there is a woman who has lived here for a couple of years and her daughter in her late 20’s just moved here this year. There are a lot of couples, I don’t know if you would consider that related. There was a couple of children here, but their families moved to different communes in the area.

Are there rules to your anarchist commune?

Anarchism to me means lacking oppression and no hierarchy. Not necessarily “no rules” thats silly little anarchist teenagers.

There are policies which are kind of like rules, but these are subject to change depending on where the community is at the time.

There are norms, which are more like common sense things.. like don’t put knives or pointy things in the bucket we put all the other silverware in the dish room. You know so no one cuts themselves.

There are actually rules I guess, our values are egalitarianism, feminism and non-violence. Meaning you can’t be physically violent with someone, if someone did this who had been living here long term this would mean a BUNCH of long meanings.

Also non-consensual touching is a big no no also.

How did you decide to join? What attracted you to this one?

I met a guy who eventually moved to the larger commune and he asked me to come visit. I was rejected from membership in short because I am obnoxious and promiscuous. Then the guy I was dating from the larger commune asked me to come visit again, just as his guest, but I thought visiting the smaller commune nearby might be more interesting. I didn’t plan to stay, but after a week I liked acorn more than my relationship and i stayed as an intern for months before becoming a member.

The freedom here attracted me and the lack of structure. Working whenever you want. The clearness process is awesome to me, people don’t communicate enough in the outside world.

What is the clearness process?

A clearness is when someone has a conversation with every member of the community about what its been like to live with them this can range from a simple “I like you you’re great, how’ve you been doing these days?” to long conversations processing personal issues. Then the group gets together for a meeting and talks about their clearnesses and if any conflicts were unable to be resolved in personal clearnesses we talk about it as a group. If people get violent sometimes we’ll give them another chance if they’ve been here a while with no incident, but generally we have a no tolerance policy and that person will be asked to leave pretty quickly.

How do you guys make money?

We live on a farm and run an income sharing heirloom seeds business.

In terms of your seed business and shared income concept, is the income shared as in split equally and each individual receives a portion or shared in the sense of a large pot used to benefit the group.

Large pot to be benefited from the group.

Do you get an allowance or how does perosnal money work?

We each get a smallish stipend a month and some people save up to buy personal computers and such.

Much of our business is run through the internet so we have to have computers. We share a number of desktop computers and laptops.

If someone wants to buy something that is kind of expensive to be shared by the community we bring it to one of our meetings. We make decisions using consensus.

How do you decide what to purchase? What if someone else in the community doesn’t agree with a purchase?

We make all of our decisions by consensus. For major expenses or unusual one-time expenses, you bring a proposal to the group.

Examples: – Requesting $500 and use of the neglected hay wagon to build a chicken coop. – Requesting $500 for transportation, class fees, and books for a natural building course, along with 300 hours of community time to spend on natural building. – Requesting that the community buy and pay for a cell phone for a member who travels for the community on a regular basis.

Full members, people who have lived here for more than a year, can spend up to $50 at their discretion.

Some costs are normalized to the point that they don’t need to be ran by the group. If the chickens run out of feed, someone takes the cargo van to the local organic feed producer and buys some more without bothering to run it by the group at large. The person in charge of the bulk food order will order food every week. When we run out of shipping envelopes in the office, someone will order them.

If you have a problem with things that people buy with community money, you talk to them. Sometimes we have meetings to affirm community norms about purchases, like “no buying factory-farmed meat with our collective money.”

When the collective makes a decision you don’t agree with does that piss you off as much as when a boss makes one?

No because if I really didn’t want something to happen it wouldn’t. I could block or try and change it until I thought it worked. Thats how consensus works.

What does the community do if someone isn’t working hard enough?

If someone isn’t working hard enough it’ll probably be brought up in a clearness and maybe asked to keep labor sheets.. sheets where they record their labor for the whole community to see.

How do you deal with internal issues like fights, breakups etc?

I’ve had a breakup here, we’re still friends. Usually people are pretty good at keeping conflicts between them and working it out calmly, but sometimes if it gets really bad it comes to a meeting and people have to go through a clearness process.

Whats your day to day life like?

I usually wake up whenever I want unless I have signed up to cook lunch which is served at noo or if I sign up to do a phone shift that starts at 9 am. People generally work whenever they want, but there are certain jobs people sign up for at our sunday meeting. That includes cooking shifts and customer service phone shifts for our business. A lot of things count as work gardening, cleaning, work for the business.

So here is a typical day as in my day yesterday: I woke up, helped my partner build a new computer for the office, he is teaching me about the parts of a computer, I’m kind of interested in programming, so this is helpful. Then I cooked dinner. I made Lasagna, three different kids due to the diets of people I live with. I made one with local ground beef, one vegetarian one with just cheese, and one vegan one with crumbled tofu instead of cheese. Then I smoked cigarettes in our only smoking allowed area with some people visiting from a friend community in another state. We talked for a bit, had some laughs, I shipped out some packs of seeds in my room while listening to music for a few hours. Then I wrote a little bit, I like to write fictional stories and plays, then I went to sleep.

If members wish to have children (something rather expensive to be shared by the community), is this subject to a vote?

At the larger community people are asked to ask the community to approve a pregnancy. At the community I live in we prefer for people to ask, but people rarely say no. It is easier to have a child in community than it is to move to community with kids.

How do you raise children? Do they go to school? Would living in a way so at odds with the outside world create problems for them when they grow up?

There are children that live in the larger community nearby.

A lot of them are home schooled and there is a daycare program for the younger kids. I am actually going to play theatre games with the kids there one day soon.

Some of them go to the public school in the area and some of them go to private schools that the community pays for.

Most of the kids I know are more mature and more capable of communicating with adults than most others kids I’ve met . They are very bright and I can actually have real conversations with them.

Do you share lovers?

Some people engage in polyamoury. I do not. I am in a monogamous relationship. This is up to the individuals.

To what extent do you see this lifestyle as feasible? Do you plan to live in this type of structure until your end comes around? Do many people leave once they have lived with the group for some time?

There are people of many ages here. Most are in there 20s to early 30s, but there are people in their 40s, 50s, and 60s. I’d say most people who live here have lived here about 6 months- 3 years. There are a few people here who have been here for about 8 years.

I see myself being here for the next couple of years. I may go try and be an actress, but I would still maintain a status of “friend of the community” and I would probably come back at some point.

There is quite a bit of turn over. The people here are often the types who are not worried about money and trust they can figure out how to survive. A lot of people also go off to do more WOOF type deals.

WOOF?

Working on organic farm. Its a work trade thing.

Is there anything you miss about life prior to the commune?

I am originally from Brooklyn and I often miss walking the streets of New York and all the opportunities to meet strangers. I miss some of my old friends and I miss all the theatrical opportunities NY had. I was studying to be a musical theatre actress before I came here.

I do often get to meet strangers here though, a lot of guests and people interested in community come through. Its a bit like non-nomadic traveling. There are community theaters nearby and sometimes we have music performances at parties and events and stuff.

What does your family and friends think about your decision to be a part of this.

My parents are thrilled. I used to be a wild hitchhiking traveling kid and they never knew where the fuck I was.

Now all they have to do is call the community and someone’ll be they “yea… I saw her earlier, eating cereal.. ”

My friends are pretty groovy, some of them have come to visit it me and one did stay for a few solid months and actually got accepted as member, but went on to do other things. Another friend is coming for a month or two in the summer.

I’d say friends and family are mostly happy that I found a lifestyle that works for me.

China obtains new super I-Mode on ‘artificial sun’ Tokamak EAST

CGTN
Very BIG news. This is a sustainable nuclear reaction that runs on and on, and on and on. Amazing stuff!

The best the United States can do is 0.1 billionth of a second. LOL.

Is is for 17 minutes.

-MM
From HERE

Chicago Style Italian Beef Sandwiches

W7TLS7K6WJFQBO5FJZP54VDW3I
W7TLS7K6WJFQBO5FJZP54VDW3I

Italian beef is wildly popular throughout the Chicago area, and most folks satisfy their craving at their favorite takeout joint. This recipe was created in the Tribune test kitchen. For paper-thin slices, place the meat in your freezer until almost solid before slicing.

Italian beef sandwiches

Prep: 20 minutes

Cook: 50 minutes

Makes: 8 servings

  • 1 teaspoon each: crushed red pepper, garlic powder, dried basil, dried oregano, freshly ground black pepper
  • 1/2 teaspoon salt
  • 1 small sirloin tip roast, about 2 1/2 pounds
  • 1 cup cold water
  • 8 soft or hard Italian rolls, warmed
  • Pickled hot sport peppers or sliced sweet peppers, as desired

1. Heat oven to 450 degrees. Combine seasonings in a small bowl; rub half of the mixture over all surfaces of meat, working some of it under the fat layer. Put the meat in a shallow pan just large enough to hold it; roast 15 minutes. Reduce heatto 350 degrees; roast 20 minutes longer.

2. Remove pan from oven; pour cold water into bottom of pan. Let stand several minutes until fat has solidified. Remove fat; discard. Add remaining seasoning mixture to pan juices. Return to oven; roast until instant-read thermometer reads 130 degrees for rare, about 20 minutes, or cook as desired. Remove meat from roasting pan; cool 20 minutes.

3. Meanwhile, degrease pan juices. Transfer juice to a saucepan; cook over medium heat until heated through, about 3 minutes. Slice meat into paper-thin slices, using a meat slicer or electric knife, if available. Dip several slices briefly into hot juice. Layer meat and juices into split rolls. Add peppers as desired.

Alternative…

Ingredients

  • 1 (4 pound) chuck roast
  • 3 cups water
  • 2 teaspoons oregano
  • 1 teaspoon sesame seeds
  • 2 beef bouillon cubes
  • 3 pepperoncini peppers, seeded and sliced
  • 2 teaspoons fennel seed
  • Salt and pepper to taste
  • 2 sliced and seeded green bell peppers
  • 1/4 cup pepperoncini juice
  • 1 to 2 cans good beef broth (if needed)
  • Hot crusty Italian beef buns or hard rolls

Instructions

  1. Put chuck roast and water in slow cooker.
  2. Cook on LOW for 8 to 9 hours, until meat is tender.
  3. Pick through meat and remove all fat. Shred meat.
  4. In a large pan add meat, broth from slow cooker, oregano, sesame seeds, beef bouillon cubes, pepperoncini, fennel seed (do not omit this), salt and pepper, green peppers and pepperoncini juice from peppers. If you don’t have enough broth from the slow cooker, add 1 to 2 cans of good beef broth to the meat.
  5. Heat for about 30 minutes.
  6. Serve on hot crusty Italian beef buns or hard rolls.

More aggressive adventurism, restored McCarthyism alarming as GOP-led House approves setting new committee targeting China

.

Bipartisan lawmakers from the US House of Representatives have voted to set up a new GOP-led select committee to address Beijing’s “multifaceted threats,” the first anti-China step after Republican Kevin McCarthy sealed the House Speaker seat after a historical grueling stalemate in the new Congress voting.

Chinese experts said on Wednesday that the new panel, which is called House Select Committee on the Strategic Competition between the US and the Communist Party of China, has a strong ideological overtone, and China should be wary of the more aggressive adventurism and destructive behavior of American politicians.

For Biden’s Democratic administration, managing Republican-created crisis and keeping US external environment stable may be a better way to demonstrate its leadership and deal with the current “China-centered” partisan rivalry than to race in the anti-China competition with the extreme Republicans, they said.

The House members on Tuesday (ET) voted 365 in favor of establishing the committee, with 65, or about one third of the Democrats, opposing the motion. McCarthy’s close ally Mike Gallagher is expected to chair the committee, which will feature 16 members, including 9 Republicans and 7 Democrats.

According to CNBC, the committee will be investigative instead of legislative. And it will also be given jurisdiction to call witnesses and hold public hearings. McCarthy said the committee’s mission will “investigate and submit policy recommendations on the status of the Communist Party of China’s (CPC) economic, technological, and security progress and its competition with the US, US media reported.

Chinese experts said the committee may be endowed with the ability to raise the voice of anti-China public opinion, and may even send its members to visit Taiwan for provocation, and conspire with forces secretly engaged in subverting the Chinese government to undermine the Chinese political system.

Wang Wenbin, a Chinese Foreign Ministry spokesperson, said at a routine press conference on Wednesday that China hopes the relevant US politicians will view China and China-US relations in an objective and rational way, and work with China to advance China-US relations featuring development, mutual respect, peaceful coexistence and win-win cooperation based on its own interests and the common interests of China and the US.

McCarthyism restored by McCarthy

The House’s approval of the new select committee also means the fulfillment of Speaker McCarthy’s goal of setting a Congress panel targeting China. In May 2020, when McCarthy was the House Minority leader, he announced the formation of the GOP’s “China Task Force,” a committee of 15 Republican lawmakers to address “China’s challenge.” Ahead of the midterm election in 2022, he said House Republicans would create a select committee on China.

The committee is obviously an updated version of the previous ones McCarthy introduced, said Diao Daming, an expert on US studies and associate professor at the Renmin University of China in Beijing. He told the Global Times that growing pressure from ultra-conservatives in recent years has reinforced McCarthy’s tendency to become more aggressive and irrational on China.

Lü Xiang, an expert on US studies and a research fellow at the Chinese Academy of Social Sciences, told the Global Times on Wednesday that the CPC’s presence on the committee’s name illustrates its strong ideological overtones.

Lü said, “Smearing and denigrating the CPC in the ideological field will likely be the focus of the committee.”

“Some US politicians have interpreted Chinese people’s minor grievances in daily life as a sign that China’s political system is collapsing. Under this illusion, they are likely to take adventurist actions and cooperate with “covert subversive forces” to carry out drastic acts of sabotage against China’s political system,” he said. “This is not unthinkable, as both McCarthy and Gallagher are utterly reckless politicians.”

Analysts said that the new committee’s focus on the CPC as its ideological enemy is reminiscent of Joseph McCarthy, the Republican senator well known for hyping internal “communist threats.”

“McCarthy is restoring McCarthyism,” said Lü. “We have seen before that in the 1950s, the Chinese Americans and ‘communists’ in all fields were censored and even convicted in the US. And it may appear again … The new committee may look for people with ties to China and dig up evidence of ‘damaging national security.’ The US business and academic people who are relatively close to China are likely to be the targets.”

They may also seek out anti-China figures in every corner of society to create a huge anti-China public opinion field similar to the 1950s, said the expert.

Judy Chu, a Chinese-American who chairs the Asian Pacific American Caucus in Congress, has expressed opposition to the new committee, citing the known risks of xenophobic rhetoric intensifying anti-Asian hate in the US.

“We cannot forget that rhetoric used around economic competition with Asian countries has resulted in the verbal and physical harassment and even murder of Asian Americans here at home. Since March 2020 and former President Trump’s sustained references to the coronavirus as the ‘China virus,’ over 11,500 hate crimes and incidents against Asian Americans have been reported,” she said in a statement on Tuesday.

Growing risks

Experts believe that with the GOP in control of the House, the risk of conflict between the US and China is growing. For the Biden administration, it also means a narrowing policy space.

For China in the next two years, it is imperative to be fully vigilant against US’ adventurism in all aspects. Our primary task is to prevent and deal with the unexpected, whether it involves China’s political security or the “untimed bombs” in the Taiwan Straits and the South China Sea.

In the face of huge political, economic, cultural and social divisions in the US, China is almost the only topic that can unite the US politicians. By setting a new committee, the Republicans have used their House majority to dominate an agenda to show they are ahead of the Democrats, Lü said.

The new China select committee will be a “key gripper” for McCarthy and the Republicans to “jostle for the steering wheel” of US’ China policy, and for the GOP-controlled House to compete with the White House over a race of being tougher against China, Diao said.

According to Diao, Biden has the potential to compromise and even collude with GOP on some China-related issues with a GOP-led House. But Democrats control the Senate, and if Biden does not want partisanship or the Republicans’ hard-line approach to China to seriously disrupt bilateral relations and cooperation, the new committee will be less useful at the legislative process.

In that case, the committee is more likely to downgrade its tricks to hearings on China-related issues or dispatching lawmakers to the Taiwan region, he added.

The Biden administration needs to be aware that in the face of a more hysterical GOP and House, it can better demonstrate its leadership by choosing to manage the crisis created by the GOP at its own pace, and conducting great power competition on the basis of maintaining a stable external environment for the US, rather than launching a race of being tough against China at the pace of the GOP, Diao said.

If the White House acts as a regulator, it will at least mean that there are channels of communication between China and the US that will keep the relationship from getting worse, Diao noted

Happy Cats, Coffee Shops And Carefree Times In TAO’s Cheerful, Detailed And Nostalgic Illustrations

1 16
1 16

As she explains on her Tumblr profile, Sapporo-based illustrator TAO is particularly fond of cats, Showa Era things, and sneakers. With their cheerful tone and kawaii characters enjoying relaxing moments, coffee mug in hand, her artwork will surely put a smile on your face or dispel any clouds hanging over your head.

2 14
2 14

Her most recent illustration perfectly encapsulates the feel-good, relaxing mood and Showa Era nostalgia that characterizes much of her work.

3 14
3 14

The happy, relaxed mood and the cute cats are an obvious plus, but the nostalgia factor can largely be attributed to the cocktail table mahjong video game. Previously a fixture in most Japanese coffee shops, they’re all but extinct.

19 6
19 6

18 6
18 6

17 6
17 6

16 6
16 6

15 6
15 6

14 7
14 7

13 7
13 7

12 9
12 9

11 9
11 9

10 9
10 9

9 10
9 10

8 12
8 12

7 12
7 12

6 13
6 13

5 14
5 14

4 13
4 13

3 14 1
3 14 1

12 Ex-Cons Reveal The Most Terrifying Thing They Saw Inside Prison

 

1. I saw someone take a hotpot fill it up with baby oil add a pound of sugar, add some magic shave, bring it to a boil then splash it in a person’s face…… It literally melted the guys face off. This happened around 1999 and I still have the occasional nightmare about it

2. Dude in the cell next to mine tried to kill himself with a sharpened pencil. He just kept stabbing himself in the chest but couldn’t get deep enough. He was hauled off by COs kicking and screaming, begging for death. He was going to be deported.

3. A white kid get absolutely destroyed for accidentally sitting on the front bench of the TV area on his 2nd day. They waited for Rec, then started walking with him, every lap they made more guys would tag along. Then once in the blind spot they jumped him. 6-7 guys beating a 120lbs skinny little white kid. They were climbing up the fence and jumping down on his head. He was out within the first few seconds, but they kept on beating him until the COs gassed everyone. They snapped his lower back and he is now in a wheelchair. All for not knowing any better. The worst thing I’ve ever “heard” was a grown man being raped one night. He refused to pay a “protection” fee and 3 dudes raped him. They stabbed him in the ass with a tooth brush shank. I’ve seen some crazy shit but theirs were the most memorable. Sometimes I have nightmares about the rape. I’ve never heard anything like that ever since.

4. I spent 6 years in prison. One month in I watched a Crip on Crip gang stabbing. They stabbed the guy 60+ times while he was curled up in a ball screaming “HELP!” but nobody could because you’d be a target next. He somehow survived but I couldn’t tell you how. I think about it every day.

5. A guy got stomped to death my first day in. The 2 guys fighting were rival gang members.

The were in different cells and always talking shit to each other. When we had rec time they both ran at each other. They were both pretty big. One picked up the other and slammed him on the concrete and proceeded to kick him in the stomach and face until he was un conscious. Then started stomping on his face. The guards didnt do anything until the guy getting stomped stopped breathing. Then they came in and took them both away. One in a bag and one in cuffs.

6. Ok so unfortunately, my bunk was close to the corner where everybody came to fight or whatever. So this one guy claimed that he was a gang member but it was discovered that he was a false-flagger. So, the gang members put him in the corner and took turns beating and raping him. He was in the infirmary for bout a week. When he came back, the gang got him all over again. He was transferred after that. I promise there aren’t many things more terrifying than hearing a grown man scream while he’s being raped.

7. Saw a dude get his head caved in, in his cell. A new inmate had come in and refused to show his papers.

Another inmate came and said he was in court with him and he was a pedophile. Later that day, the “keys” (an inmate leader in each unit), told him he needed to roll his shit up, basically ask for protective custody. He refused. Later that night after we were all in our cells, his celly took a pencil and stabbed him in the eye. Then pulled him off his bunk and smashed his head against the wall till there was nothing left. Once he was done, he called the CO over the intercom and let them handle everything. I could see this through our window as they were in the cell across from us.

 Papers, or PSI, pre-sentence investigation. These were provided prior to your sentencing, kind of like a discovery. It showed all prior crimes, pleas, deals, and snitching. The inmates used to receive these but when the department of prisons learned what was happening, they quit giving them out. You could request them from the department of parole and probation, but they don’t even do that now. You were supposed to show these papers to your keys to prove you weren’t a snitch, child molester (chomo), rapist, etc.

8. I spent 8 years in prison, in the state of Georgia. There was a guy who made a hustle of holding a hiding illegal cell phones for the Mexican gangs. When a shakedown/search occurred, this man was responsible for the loss of many of those cell phones. He was confronted on the yard, and tried to escape by climbing the fence. He got stuck in the razor wire, shredding his forearms, while 7 or 8 Mexican gang members were stabbing him all in the back of his legs and his ass.

9. It’s not the craziest thing I saw but it’s a social norm in prison that goes on daily ..I’ll never forget how socially acceptable “jackers” were….

Like somebody would be wearing a coat or hoody etc and stare at a female C.O. And jack off. Sometimes sitting on a bench , sometime the tv room , and nobody bats an eye.

One time a dude was like “ hey man can you move a row over , she know I’m watching” and didn’t skip a beat cranking off to an ugly 60something yr old woman. It’s fucked up but after a while you just accept that some people went nuts in there.

10. My husband was in prison as a young adult. He said that they had a way of “checking your ego” in the spot he was at. The toughest guys would come up to you on your first day and ask how many push ups you could do. If you were smart you would just sorta blow it off or laugh it off and move on. If you were a stupid show off or had something to prove you would claim a large number or talk your self up. If you did that then they would be all friendly and be like “oh? let’s see it!” So the poor guy would do as many push ups as they could. The tough guys would gas the new guy up, acting friendly, pushing him to do more. They acted impressed and joked around. Then as soon as the new guy had done as many push ups as possible they would jump him and beat him up. He would be helpless to resist because he had maxed himself out on push ups. Afterwards any guy with an ego was normally really quiet for the remainder of their stay.

11. I worked at a Juvenile Detention Facility in New Mexico. The absolute scariest thing I ever saw was a young boy, 9 years old, booked in for murdering both of his parents. There was nothing there. I fail to call this thing even human. I looked into this child’s eyes and felt more fear than I ever have to this day. This was no child, it was a monster. Pure evil, condensed and given human form.

And to clarify: I have booked and looked after murder suspects before, it was nothing new. But this kid was different. Very different. He never broke any rules and always followed commands but never, ever spoke unless directly asked something. And then it was curt, short. Just to answer a question. He never cried, either. Which is highly unusual for a 9 year old kid in jail. He was eventually tried and transferred to mental facility. But I’ll never forget the kid’s eyes. It haunts me to this day.

12. A child being brought in to see his father. Horrible? Yes! The father had molested the child. The mother when we denied the visit wanted to leave the child in the car and visit by herself. This was also denied. The Duty Officer said he was going to contact CPS.

Confessions of a Man Who Won a $325 Million Powerball Jackpot

 

How did you first react?

I found out at 3 in the morning. I was putting away some laundry and tidying my room before crashing. The ticket was on my dresser so I gave it a quick check assuming it would be a bust so I could throw it in the waste paper basket.

When the numbers matched I sort of swooned and got dizzy. I kept double checking and stayed up to go to the lottery office when it opened. Some of the longest hours of my life. I was very red and warm, I kept fanning myself for a few days.

What was the process like when going to the lotto office and claiming your ticket?

It was kind of weird and not what I expected it to be. The staff at my lottery office weren’t really all that impressed. They said congratulations a few times but it was kind of hollow. It was a lot of paper work in a back office/conference room. It was kind of the same feeling you’d get from going to a bank to set up a checking account, but like, with a lot more paperwork.

The lottery office was in a strip mall in a decent part of town. I went in when it opened and I did feel slightly concerned for my safety but the place opened up at 8am before any of the other shops did so the parking lot was practically deserted. They don’t keep any cash on the premises and most prizes people were claiming we done through like a bank teller window. I guess I was taken into a back office because it was a larger prize with much more forms to fill out.

What was the first thing you bought?

The first thing I bought was a new car. Mine was 20 years old, made terrible noises and was in general a death trap.

Do people close to you know about it? If so, how did they react and did anyones opinion of you seem to change?

I’m not close with my family but I did tell a few select friends that I’ve known for quite some time. Their general reaction was that I’m a nice person that went through some tough times so they’re glad I finally caught a break.

Have people come out of the woodwork asking for a handout?

No one really came out of the woodwork. My state allowed me to claim my prize anonymously and the few friends I’ve told do well for themselves.

Whats your day to day life like now that you’re set financially?

I’m a night person. So I wake up whenever my body is ready to…usually noon. I live on the beach now so I walk about 5 miles to the lighthouse and back, and then do some school work(I went back to college online because I never finished my degree and always felt badly about it. Usually I relax in front of the TV after I’m done with that, get a work out in, take an hour long shower and then crash.

Originally I’d planned to travel quite a bit but covid put a stop to that. But my brain is wired a little differently so I think sticking to a routine and having a purpose(school and staying in shape) helps a great deal.

What are you studying?

I just finished my English degree last spring. I just started a sociology degree and have another two years to finish that.

How did your lifestyle change?

Well prior I worked 2 jobs and lived with 3 annoying roommates and drove a 20 year old car that was on it’s last legs, I was only just getting by. I felt trapped.

Now I can just do whatever I want within reason. Biggest change has been just putting whatever I want in my shopping cart without having to think about it. It took some getting used to because I’d been living on poverty wages for so long.

I still look at the prices but now it’s more out of curiousity rather than the imperative that I not spend too much and to my budget.

How did it feel when you quit your jobs? Also, how did it feel when you moved out of your roommates place?

Quitting my call center job was a relief because I didn’t like getting yelled at by customers for stuff that wasn’t my fault. I was only there for the health insurance.

I miss my 2nd part time job though sometimes. I worked 20 hours a week at Starbucks and my coworkers were fun. I just told everyone I was moving out of state to go back to college which wasn’t untrue. Well wishes and hugs goodbye and all that. It was a relief that I was able to quarantine when the pandemic hit.

Moving out of my place with the roommates: that was awesome. They were slobs so I don’t miss that at all. It was kinda fun driving 800 miles with everything I owned in the back of a new car and starting a new life.

What’s your Holy Grail? Like what thing have you bought you never imagined you could ever own.

Well just about everything I have I probably would have never owned giving how little I earned before.

Favorite thing: the cars(I like classic cars) 64 Corvette Stingray, 68 Mustang fastback, and a 63 Lincoln Continental. Toying with the idea of an early 60s Jaguar but the garage is getting kinda crowded.

Best thing you’ve done with the money?

Best thing: bought a house at the beach so I could fall asleep to the sound of waves crashing.

Was it amazing buying your own home? Did you walk in, close the door and happy scream?

It kind of was amazing but I felt the need to act like it was no big deal. Since I was buying at the beach I was required to show some documentation that I was a qualified buyer at first… Apparently a lot of tourists will dress fancy and put on airs to get the opportunity to tour around all the properties with no real intention of buying. Usually between 10-25% of the homes are on the market so I had a lot to choose from(people buy these homes and don’t realize how expensive and time consuming all the upkeep is and decide to sell after a couple of years). I had a hard time expressing what it was I wanted besides very general terms. I think my agent was beginning to be a little frustrated with me. But when I found the right one I just turned matter-of-factly and said, “how much again? Ok, write a full ask offer 10 day close no contingencies”

For the first two weeks or so I’d walk in and drop my bags and just say “home” quietly to myself. It really didn’t feel like my space till I’d lived in it for some months.

I had been struggling to save up a modest down payment for a 2 bedroom condo prior to my life changing so I get how lucky I am.

Worst thing you’ve done with the money?

Bought an expensive watch (Patek Philippe Nautilus)

I also have one of those glass front floor to ceiling wine/cellar fridge things in my kitchen. I went to a fancy wine store and bought some expensive wines and a case of champagne to fill it.

I don’t drink and neither does my significant other, nor do I entertain. So it was kinda frivolous, but it looks nice.

Whats the most extravagant thing you’ve considered/are considering buying? Like a superyacht, private island, mansion, etc.

To be honest none of the examples you gave really appeal to me. I’m not very materialistic. I had planned to travel quite extensively and had considered booking a private jet for some of the trips I’d had in mind, but to be honest it just seemed like a waste of money when first class is just as nice and a 1/4 of the price.

Do you have people working for you, like servants/drivers/cleaners?

Nah. The pandemic happened relatively soon after so the idea of having someone coming into my space and possibly bringing the virus with them was not something I want to deal with.

I do have a landscaper and a pool guy and someone that comes every so often to detail my cars, and maintenance people that occasionally come to fix things, but other than that I do my own chores and take care of myself. It’s wonderfully grounding to scrub your own toilet bowl.

How much is still left?

I took the annuity. I’ve received about 16 million in annuity payments so far. I’ve spent 3.5 so far, and I have 27 years of annuity payments left. So quite a bit.

How does the annuity work?

Large lottery prizes are generally expressed in the value of a 30 year annuity, a yearly payment. If you take the lump sum its generally much less than the full jack pot. And you have to pay all the taxes all at once.

They laid out both options for me when I went to claim my prize, I took the annuity because I was still relatively young and it was more money in the long run and I didn’t trust myself to have all that money all at once.

How much have you paid to the IRS?

More than I care to think about. It’s a hard check to write every year. But I am glad to see all the direct assistance that went to people in the pandemic and that some new infrastructure investment is gonna happen…so that kinda takes the sting out somewhat.

Did you do a Quick Pick or did you pick your numbers?

Both: Quick pick for the 5 numbers. My lucky number for the powerball.

Do you plan to ever have a ‘job’ again or are you happy to travel and relax?

I dunno. I haven’t really thought about it. I do miss the social aspect of having a job sometimes; joking around with coworkers and stuff like that. I don’t miss the stress off working for a living. If I did feel like my life was lacking purpose or I felt bored I might work part time just to feel like I was contributing to society in some way.

Have you sat down and wondered what you want to do for the rest of your life?

Yeah. I do think about the bigger picture sometimes. I’m really a coffee enthusiast…i used to work at Starbucks and I drink about 4 cups a day(down from 6 or 7 when I was working 2 jobs and had 14 hour days and needed that much caffeine to function). I’ve thought about moving to the Big Island of Hawaii and starting a coffee plantation. Of all the varieties I like Kona the best(the volcanic soil just does something to make it taste amazing).

Also I like jazz clubs. I’ve thought about starting one since they can be hard to find unless you’re in a major city.

The pandemic has put a hold on a lot of things that I’d otherwise be inclined to do, but in a way it’s good because it’s sort of throttled back a lot of those dream plans to let me consider all the pros and cons. And so far, taking the time to work on myself, has been enough.

You havent ruined your life yet right? There are so many stories of people winning the lottery and fucking up their lives.

Nope. I’m happy most of the time, and when I’m not I’m at least content. I’m not very materialistic past a certain point. I still buy my clothes from discount stores like Target, Marshall’s. I get yearly annuity payments and I’ve yet to spend more than 1/4 of one so far. I don’t foresee myself going bankrupt.

I also keep a very low profile. I don’t tell anyone about it. I have a very good security alarm/cameras with monitoring and I live around the block from the local police station. So far I’ve felt safe.

Is it true, more money more problems?

I wouldn’t say more money more problems. It’s more like more money different problems.

The Superb Comics About Silly Things And Weird Situations By Will Santino

154482659 484792982889103 330926085756110832 n 1321x1536 1
154482659 484792982889103 330926085756110832 n 1321×1536 1

Will Santino is a cartoonist and illustrator who is famous for his interesting one-panel comics. His short comics are usually black-and-white with some occasional splash of colors. He uses very few words, sometimes he even conveys his ideas without a single word. The artist’s drawing style is minimalistic and he uses silly humor and absurd situations to illustrate his comics.

In a recent interview with Bored Panda, the artist revealed, “I started drawing cartoons during a difficult time in my life, while I was processing grief after a loss. I am inspired by nature, stories, mythology, animals, and books. I like to add more silliness, wonder, whimsy, and absurdity into the world.” Scroll below to read some interesting cartoons by Will Santino.

261021078 601496931097529 3133704286780083584 n
261021078 601496931097529 3133704286780083584 n

260114798 4538207456273586 8479894612110205281 n
260114798 4538207456273586 8479894612110205281 n

258610277 367836605092154 6930717774905950031 n
258610277 367836605092154 6930717774905950031 n

257931697 618868279440154 4281102842005923600 n
257931697 618868279440154 4281102842005923600 n

256662530 2552435551553118 4089709047554899465 n 1348x1536 1
256662530 2552435551553118 4089709047554899465 n 1348×1536 1

255253164 698475741117634 7169239269417471335 n
255253164 698475741117634 7169239269417471335 n

255126975 5136342199723894 5581888461366110284 n
255126975 5136342199723894 5581888461366110284 n

253972988 588028072413675 1138130571592594295 n
253972988 588028072413675 1138130571592594295 n

251847141 1629391977392681 7960660346086885495 n
251847141 1629391977392681 7960660346086885495 n

250562841 287577706568411 4233890001393675629 n
250562841 287577706568411 4233890001393675629 n

249296135 406025164315891 7907557663671333851 n
249296135 406025164315891 7907557663671333851 n

249249291 135291238852800 5511502274924285748 n
249249291 135291238852800 5511502274924285748 n

248444516 259072882834921 2934219264090920281 n
248444516 259072882834921 2934219264090920281 n

246453565 1219351328568157 8934353233560737460 n 1326x1536 1
246453565 1219351328568157 8934353233560737460 n 1326×1536 1

245138642 161082792889098 2765475766944105629 n
245138642 161082792889098 2765475766944105629 n

244530509 2725461257747079 8870948521286987528 n 1354x1536 1
244530509 2725461257747079 8870948521286987528 n 1354×1536 1

244434899 1997050173803388 4105370644066947916 n
244434899 1997050173803388 4105370644066947916 n

243186414 3418356841724147 2944774628346363143 n
243186414 3418356841724147 2944774628346363143 n

242856433 1046254559457781 5971027166816953739 n
242856433 1046254559457781 5971027166816953739 n

242292179 4632787613419811 6203043304188085420 n 1390x1536 1
242292179 4632787613419811 6203043304188085420 n 1390×1536 1

242269842 527028241732696 870307010080583325 n
242269842 527028241732696 870307010080583325 n

241934603 393769432124473 6725509374478536308 n
241934603 393769432124473 6725509374478536308 n

241789959 145390257781706 5604743513091984472 n
241789959 145390257781706 5604743513091984472 n

241664618 1161020337720815 8313257570829721368 n
241664618 1161020337720815 8313257570829721368 n

241111687 145799951071223 5785272967378272333 n
241111687 145799951071223 5785272967378272333 n

241024470 304026084856852 3339738790473640931 n
241024470 304026084856852 3339738790473640931 n

240824432 2997993880419397 8158778930878339414 n
240824432 2997993880419397 8158778930878339414 n

240413300 581324343038387 4232757066184330748 n
240413300 581324343038387 4232757066184330748 n

240407264 1032418977502485 5161970469178387411 n
240407264 1032418977502485 5161970469178387411 n

240335065 364770245302006 8612836145465798907 n 1359x1536 1
240335065 364770245302006 8612836145465798907 n 1359×1536 1

239451395 841866409802613 4225991011741860567 n
239451395 841866409802613 4225991011741860567 n

238360498 5848134565261124 8557981804624288730 n 1416x1536 1
238360498 5848134565261124 8557981804624288730 n 1416×1536 1

237108309 361991585470296 7812109879635188362 n
237108309 361991585470296 7812109879635188362 n

235884938 360007632231825 4744750326255446364 n
235884938 360007632231825 4744750326255446364 n

234296389 242624890910203 4875702991939757542 n 1412x1536 1
234296389 242624890910203 4875702991939757542 n 1412×1536 1

231821347 844137602910389 793190255727109471 n 1324x1536 1
231821347 844137602910389 793190255727109471 n 1324×1536 1

230913652 352853449816787 8742655965413755949 n 1351x1536 1
230913652 352853449816787 8742655965413755949 n 1351×1536 1

226895217 406163674492977 4105351772949169927 n
226895217 406163674492977 4105351772949169927 n

221905311 2616566585319501 2652546490341314568 n 1337x1536 1
221905311 2616566585319501 2652546490341314568 n 1337×1536 1

219550458 257431232455986 8794247392654319774 n
219550458 257431232455986 8794247392654319774 n

217857255 202251545170054 898110182585653521 n
217857255 202251545170054 898110182585653521 n

212098166 490090765382676 8688335597632677271 n
212098166 490090765382676 8688335597632677271 n

211781834 858997758353217 3092461510998186106 n
211781834 858997758353217 3092461510998186106 n

210878071 230733652055437 8879671279070760208 n
210878071 230733652055437 8879671279070760208 n

209914273 546108556841692 8027460905918482627 n
209914273 546108556841692 8027460905918482627 n

209267861 4248566335189777 5881881254704096427 n
209267861 4248566335189777 5881881254704096427 n

202844302 760168471325319 6609700649313298609 n
202844302 760168471325319 6609700649313298609 n

201292628 501016691219677 1046001646953366402 n
201292628 501016691219677 1046001646953366402 n

201209992 223535582724662 2991017762617924364 n
201209992 223535582724662 2991017762617924364 n

200841547 1147782095703326 6000018234274111492 n 1350x1536 1
200841547 1147782095703326 6000018234274111492 n 1350×1536 1

198161477 818698565689975 5683447402232675433 n 1392x1536 1
198161477 818698565689975 5683447402232675433 n 1392×1536 1

196961444 146626767527589 3220509934341441702 n
196961444 146626767527589 3220509934341441702 n

195785536 299527841865953 2550852933304946673 n
195785536 299527841865953 2550852933304946673 n

195185876 103993981822457 8579725908235383147 n
195185876 103993981822457 8579725908235383147 n

192066583 143688681086252 6584907971193633291 n
192066583 143688681086252 6584907971193633291 n

190974504 937522520415107 5974616357076126640 n
190974504 937522520415107 5974616357076126640 n

188040710 189855699661841 5094667716350143022 n
188040710 189855699661841 5094667716350143022 n

187205017 810474473218235 8409899666431374271 n
187205017 810474473218235 8409899666431374271 n

186981048 201605111790816 4038403494152105775 n
186981048 201605111790816 4038403494152105775 n

185890659 131887225662905 8999335753961872983 n 1328x1536 1
185890659 131887225662905 8999335753961872983 n 1328×1536 1

185243424 1151707948635635 2318644835545773528 n
185243424 1151707948635635 2318644835545773528 n

183383289 503198614369694 8836692518595773082 n
183383289 503198614369694 8836692518595773082 n

178205768 312300726975174 2966161356816461066 n 1382x1536 1
178205768 312300726975174 2966161356816461066 n 1382×1536 1

178200831 3806030139445433 5997458653211739088 n 1388x1536 1
178200831 3806030139445433 5997458653211739088 n 1388×1536 1

177919202 309709793876272 2816137540986132441 n
177919202 309709793876272 2816137540986132441 n

176207211 1351843118520881 1354876988383392621 n
176207211 1351843118520881 1354876988383392621 n

175316117 126128676218916 5745052336215074551 n
175316117 126128676218916 5745052336215074551 n

174780541 479098586645276 6170620476453044012 n
174780541 479098586645276 6170620476453044012 n

174239437 336750194449427 1877641856690300525 n
174239437 336750194449427 1877641856690300525 n

173144695 729406274400780 7555247541475131715 n
173144695 729406274400780 7555247541475131715 n

172768662 467111134724378 3318728212600561702 n 1370x1536 1
172768662 467111134724378 3318728212600561702 n 1370×1536 1

171580225 1073169356505653 8540450428708386356 n
171580225 1073169356505653 8540450428708386356 n

168577285 291927609158491 5216277156590900955 n
168577285 291927609158491 5216277156590900955 n

167396764 210382827528965 7470961428110959181 n
167396764 210382827528965 7470961428110959181 n

167169675 1812710925578356 5814581435916474385 n
167169675 1812710925578356 5814581435916474385 n

165889330 4097113110352775 1182734652825545847 n
165889330 4097113110352775 1182734652825545847 n

165817923 752988678745213 3933308557863518637 n
165817923 752988678745213 3933308557863518637 n

164335267 149127003774105 7444163791575017318 n
164335267 149127003774105 7444163791575017318 n

164279869 1029012867625306 1636957803682614187 n
164279869 1029012867625306 1636957803682614187 n

162965595 2952727618298383 4419393025982442432 n
162965595 2952727618298383 4419393025982442432 n

162639801 1203568573402581 6324449545155186642 n 1347x1536 1
162639801 1203568573402581 6324449545155186642 n 1347×1536 1

160752754 3691435884311035 6684329568390276582 n 1388x1536 1
160752754 3691435884311035 6684329568390276582 n 1388×1536 1

160217032 259630895794718 6774194758441066622 n 1323x1536 1
160217032 259630895794718 6774194758441066622 n 1323×1536 1

159861124 135322505165029 646998164204204727 n 1394x1536 1
159861124 135322505165029 646998164204204727 n 1394×1536 1

158545969 260076505735533 847533392634149356 n
158545969 260076505735533 847533392634149356 n

158049289 187950132773511 4285273765258509684 n
158049289 187950132773511 4285273765258509684 n

156749618 469939607705002 3578652410958043562 n 1408x1536 1
156749618 469939607705002 3578652410958043562 n 1408×1536 1

155308980 455892762204530 3934969666463369701 n 1381x1536 1
155308980 455892762204530 3934969666463369701 n 1381×1536 1

Soledar Conquered (Liberated) by Russian Army – Ukrainian Troops Horror Stories

.

2023 01 13 15 11
2023 01 13 15 11

The Russian Army has liberated Soledar from Ukrainian NAZIS after weeks of ferocious battles.  Complicating the situation were miles of underground salt mines through which Ukraine could send reinforcements and ammunition.  As Ukrainian troops surrendered, the horror stories began to emerge.

As seen in the photo above, surrendering Ukrainian troops are all wearing . . . summer uniforms.  In the dead of winter!

Many of the men are suffering severe frostbite and are likely to lose fingers, toes, even whole limbs from the frostbite.

The men report they had little to no food or water, but were refused when they asked command for permission to surrender.

Confessions of a Woman Who Suffers From Dissociative Identity Disorder (Multiple Personality)

 

When did you first learn or suspect that you had DID?

The first signs that something was wrong were that she was losing track of big chunks of time, people were telling her that she had said or done things that she couldn’t remember doing, people she didn’t know were acting like they knew her, and she was finding journals, poetry, and art that she didn’t recognize.

What causes someone to have DID?

DID is a trauma-based disorder.

The most popular explanation for the etiology of DID is that when a child experiences truly horrific trauma, they invent other identities to cope with that trauma. The child essentially says to themselves, “That didn’t happen to me. That happened to another little girl. It wasn’t me.”

Dissociation during traumatic events is fairly common. You’ll hear survivors of car crashes say that it all felt surreal, like it was in slow motion, like they remember it as if they were detached from their body or viewing it from a detached perspective. Now imagine being in a car crash over and over, every single day. If you enter that detached state over and over again at a young age when your sense of self and your concept of identity is being formed, you develop a fragmented sense of self. Being a child, you give names to those fragments. Over time, the fragments develop their own sense of self.

Have you been diagnosed by a professional? What was that process like? 

I feel really fortunate that the diagnosis process for us was shorter than most. DID is a very stigmatized disorder so it can be a slow process for most people.

At 14 we were referred to therapy because of problems at school. Our initial diagnosis was PTSD, but our therapist quickly began to suspect a dissociative disorder. Because of our young age, she chose to formally diagnose us with Dissociative Disorder Not Otherwise Specified rather than DID. She wanted to take a “wait and see” approach to diagnosis. Unfortunately, we weren’t able to continue therapy with her for long because we lost our insurance.

In college we were formally diagnosed, but by that point it was not a surprise at all. By then, we were very aware of each other and had been working on improving our communication and working together.

How do you feel about the fact that you have DID?

I guess acceptance is the best way to describe it. I don’t know anything else, so this is normal for me.

How many alters do you have? Are you comfortable describing them or any of their traits? How are they different from you?

I’ll start with myself. My name is Quin. I am not the original identity, but I think I have been around the longest. I currently do most of the fronting. I keep everyone organized and try to keep this system running smoothly.

Morgan is our original identity. Until we moved away from our family of origin, she was the one fronting most of the time. Ever since we moved away, she stopped fronting. Right now we don’t know if that’s a temporary thing or if it’s permanent, but it seems like the best decision for everyone.

Emma is a childlike alter who will tell you that she is four years old. She likes to play with toys and play Facebook games like Candy Crush.

Hailey is our other childlike alter. We think that she is emotionally about eight. She likes to watch Disney movies, but also likes to watch upsetting TV shows that are way too mature for her.

Storm has the emotional maturity of a teenager. I have previously joked about her being a little edgelord with a name to match, but that’s a bit mean. I honestly don’t know what she’s into at the moment.

Caden is a little ball of sunshine, according to one of our friends. I don’t actually know how old Caden is? He gets along with everyone. He’s silly and friendly and impossible to dislike, even when he’s being a bit of a jerk. I think he does it so that he can get away with doing whatever he wants to do.

Zoe is creative and smart. I’ve previously said she wasn’t very friendly, but that’s not very accurate. She’s not very friendly to me and she’s not very trusting, but she’s actually very social and more interested in socializing than I am. Zoe is very emotional and a little hot-headed.

Hannah is one of the most mature alters in our group. For a long time I couldn’t get a read on her and I didn’t know what was going on with her. She kept herself closed off from me for some reason, but I’ve gotten to know her more recently. She holds a lot of our memories and seems to be trying to figure out what to do with them. When she fronts, she takes care of lots of self-care type tasks and household things. She seems kind of like the mom of the group.

Carrie is an alter that I know exists, but I haven’t interacted with in a long time. I don’t really know much about her.

Arlo is one of our newest alters. They still haven’t told us if they are are a boy or a girl, but maybe they aren’t either? Arlo fronts when we are overwhelmed. They like to play video games. Arlo is extremely stubborn.

We also have an unnamed alter who exists mainly to harass and persecute us, but since they don’t front, I won’t go into detail about them.

Describe your relationship with your alters.

Our relationships with each other vary quite a bit, but I think we are a lot like a family. There’s some occasional friction and tension, but everyone has the same goal. We’re all just trying to survive.

What does it feel like to switch to another alter?

I absolutely hate answering this question every time it’s asked, so I’m going to skip it.

Do you always change clothes/hair/makeup/hats when you switch?

No, that’s really more of a media thing. I think it’s done in film and tv so that the audience can tell which alter is present. In reality, it would be exhausting to run to our closet for a wardrobe change every time there was a switch.

That said, we do have some different clothing preferences. If Zoe is planning on being in control all day long, she might dress more feminine than I would normally dress. If Arlo is fronting, they are almost always wearing their favorite hoodie. But it’s not like wearing that hoodie is a for sure indication that Arlo is currently fronting.

Do you have any abilities or skills that your alters don’t, or vice versa?

Only myself and a few others are able to do our work tasks. Hannah is a better cook than most. Only Hailey knows how to play the flute. Zoe is a creative writer.

Do different alters have different physical conditions or traits (for example, different eyesight, allergies or hand preference)?

No, and others may disagree with me on this but I personally believe that this is (for the most part) a media myth. The physical body is the physical body. The only physical differences that you can have between alters are the ones that can be impacted by emotional/psychological state, like placebo and conversion disorders. It’s not like the movie Split where one alter can be diabetic when the others aren’t. However, if the body has diabetes then different alters could have different blood sugar levels because your stress levels can cause your blood sugar to go up and down.

How frequently do you experience gaps in your memory? What is that like? How do you cope with it on a daily basis?

This really depends on how well we are coping with our current life stress. When we’re doing well, memories are shared and co-consciousness is common. When the stress level rises and we’re struggling to cope, amnesia and memory gaps become more common.

Amnesia can be really frightening, especially “waking up” some place you don’t expect to be. It’s not so bad if I’m just at home and I’ve lost a few hours, but if I’m suddenly at the grocery store and the last thing I remember is being at home in bed, it’s pretty alarming.

I cope with it by trying to stick to a schedule, journaling, using notes and calendars to keep track of everything. I try to stay really organized to compensate for everything.

How do you communicate with your alters?

This sounds ridiculous, but internal communication is as simple as “thinking at” the other alters. When internal communication breaks down, we use journals and things like Google Keep to talk to each other.

Do your alters have different relationships, i.e. friendships or romantic partners? If you’re married or in a relationship, how do your alters feel about your SO?

We basically have the same friends, but we have different relationships with those friends.

All of us have a good relationship with our SO.

Are you co-conscious with any/all of your alters? What does co-consciousness feel like?

Most of us are able to experience co-consciousness with each other. Not all of us are “drift compatible” with each other, to borrow a term from Pacific Rim.

Are you aware of an internal world or inside space?

No, we have never experienced an internal world.

Have you told friends/family about your diagnosis? Why or why not?

When we were in our early 20s we were more open about our diagnosis, but we experienced some real negative consequences because of that. People tend to see us only as our diagnosis. It’s very difficult for people to understand. It’s hard to live a normal life when people know. We much prefer that people don’t know.

What do you wish everyone without DID knew or understood better about you?

It’s nothing like (most of) the media depictions. When it’s what you’ve lived with your whole life, it just feels normal.

What is the worst or most embarrassing thing to ever happen to you as the result of an alter’s actions?

I won’t embarrass myself by going into details, but it can be hard having childlike alters. It was a bigger problem when we were younger, and things are much better controlled now, but there were some embarrassing moments.

Describe a time when one of your alters saved your ass.

I don’t give her enough credit, so I’ll use this opportunity to talk about Storm. We’ve been joking lately about how Storm is a “fire alarm” that goes off when something isn’t right, but she’s kind of a shitty fire alarm because if you don’t pay attention to her fast enough she’ll just spray gasoline in the whole building and burn the whole place down (metaphorically, of course) to make sure you are really aware of the fire.

But the truth is, Storm probably has saved my ass dozens of times and she would have saved my ass dozens more if I had just listened to her more. She’s really good at knowing when situations are unsafe and knowing when something is wrong. She’s one of the few of us who is brave enough to use her voice and really scream and stand up for herself. I’m sure that at least a few of the times she’s screamed “Get the fuck away from me!” could have turned out really badly if she hadn’t.

Has an alter ever done something illegal or immoral?

Illegal? No. Immoral? Depending on your standards of morality, absolutely. We have disagreements about moral behavior all the time. Zoe constantly does things that I find unacceptable.

Have you experienced bullying, discrimination or stigma because of your DID?

When we were open about it, yes. That’s why we have chosen not to tell most people.

Does DID interfere with your ability to have a family, a career, or to achieve the kind of life you want?

This isn’t the feel good answer people probably want, but yes.

We are childfree mostly because of DID. There are alters in our system who wanted children very badly, but we felt that having children was the wrong choice for us because of our condition.

DID also interfered with our education throughout high school and college. We were able to finish our undergraduate degree, but ultimately it did stop us from completing our masters program and working in the field that we intended to work in.

At our current level of functioning, I don’t think we could hold down a traditional 9 to 5 job. We currently work from home and are really happy with our career, but we are lucky that this is an option for us.

I don’t know if this is the case for everyone else with DID, but we choose not to drive because of the severity of our dissociation. The risk of dissociating while driving is just too much for us, so we are reliant on other people for transportation.

What are your biggest challenges living with DID?

Honestly, it’s not the DID itself, it’s working through the underlying issues that caused the DID. Unpacking all of that trauma can be exhausting and disruptive. Just when you think you’ve found homeostasis with your system, someone finds a bunch of new baggage to unpack.

What are some of the positives that have come out of having DID?

We survived.

Canada Suffers Similar NOTAM Outage in its Aircraft System as USA Did – but the two “Not Related”

.

Canada’s air traffic system suffered a similar outage to the one that occurred in the US for a brief period on Wednesday.

US air travel was badly disrupted by the failure of the Federal Aviation Administration’s Notice to Air Missions system (NOTAM) overnight on Tuesday, forcing a full ground stop of domestic aviation on Wednesday morning.

Nav Canada, the Canadian national air navigation service provider, released a statement just after 12.30pm as US airlines struggled to resume normal service.

“Nav Canada’s Canadian NOTAM entry system is currently experiencing an outage affecting newly issued NOTAMs, and we are working to restore function.”

“We are not currently experiencing any delays related to this outage. We are assessing impacts to our operations and will provide updates as soon as they are available.”

At approximately 2.30pm Nav Canada released a further statement saying that the NOTAM system has been restored.

A tweet posted by the agency stated: “Nav Canada continues to investigate the cause of the outage; at this time, we do not believe it to be related to the FAA outage experienced earlier today.”

 

Nav Canada spokesperson Vanessa Adams said: “Nav Canada’s Canadian NOTAM entry system experienced an outage affecting newly issued NOTAMs at approximately 10.20am ET and was restored approximately at 1.15pm.”

She added: “Mitigations were in place to support continued operations. We are still investigating the root cause of the failure. At this time, we do not believe the cause is related to the FAA outage experienced earlier today.”

Flights from Canada were partially impacted by the US outage, which lasted until approximately 9am when operations were allowed to resume.

Many incoming flights were asked to hold at their departure airports to help ease pressure at US destinations and this likely impacted a number of flights from Canada.

At 2.30pm Toronto Pearson Airport recorded 22 per cent of its flights delayed, with similar disruption reported at Montreal.

More than a quarter of Air Canada’s flights were listed as delayed (118 individual flights) and two percent had been cancelled, according to data from FlightAware.

Air Canada warned passengers of possible disruption earlier in the day, telling people to check their status of flights following the FAA outage in the US.

Other Canadian airlines including WestJet and Porter had more modest delays of 16 per cent and seven per cent respectively, but have fewer routes into the US.

Gooey Chicken Burritos

slow cooker chicken burrito 7
slow cooker chicken burrito 7

Ingredients

  • 2 large chicken breasts
  • 1 (12 ounce) jar salsa (heat desired)
  • 1 can cream of chicken soup
  • 1 can mild diced green chiles
  • 1 1/2 cups grated Cheddar and jack blend cheese
  • 1 small onion
  • Handful stuffed Spanish olives, sliced
  • 2 tablespoons tapioca
  • Flour tortillas

Instructions

  1. Combine all ingredients, except tortillas, in slow cooker.
  2. Cook on LOW for 8 hours.
  3. Spoon onto warmed tortillas and roll burrito-style.

slow cooker chicken burrito 4
slow cooker chicken burrito 4

What’s It Like To Be From An Extremely Wealthy Family

 

How much is your family worth?

Around $500 million

Where does the family money come from?

My father made all of the money. It was more of a right place at the right time kind of situation. He joined a small firm 24 years ago and it has since grown to an international scale (management consulting).

He is an incredibly gritty guy and quickly became an expert in his field (retail). growing up he was rarely around the house, traveling at least four days a week and working far into the night every day. The churn rate at a firm like his is insane and shows his work ethic.

On top of this he has had many large investments return sizable growth over the years. Several of his friends from undergrad and business school started their own companies and have become very successful after my father invested.

He was not poor growing up but was lower middle class and so was my mom. The scale of our wealth as of recently has come from him sitting on the boards of many large and successful companies. He plans to retire soon but will work on the boards of these companies until he dies. He loves what he does and is an absolute family man.

Lastly and most importantly, we only spend money on the things we need, minus our fairly sizable house. Our style of living has not changed since I was a kid, always living comfortably but never flaunting wealth.

Our nicest car is an acura tlx and we never buy expensive clothes or anything. This unchanged lifestyle is the reason for this rapid accumulation of wealth. My dad always says, “you never know anyones financial situation. They might drive expensive cars, have a jet and a few beach houses and be deep in debt.” On the flip side we only own 3 sub-$50k cars and one home.

Have your parents ever claimed to be “middle class” or have you ever thought yourself that you “weren’t rich”?

Yes! My parents would always say we were upper middle class, and would downplay our wealth constantly. I think they did it to keep us humble and to not draw too much attention.

Did you attend private schools when you were younger?

I attended private school K through 12 and also think that it was one of the most valuable experiences I have ever been afforded. The resource gap between public and private is much larger than you would think

Do you have a job, and if so, do you have to have one, or if you wanted to just relax and enjoy life would your parents financially support you?

I have started 3 small companies all in the hardware tech scene. I was always told from childhood that regardless of what I wanted to do I would be supported. That meant I could be an artist, a teacher or any other lower paying profession without fear of financial stability. I appreciated it but it made me feel like a freeloader if I didn’t do something BIG.

They certainly would not support me if I was not actively working, in school, or doing something “productive” for society.

Did your family give you the initial money to start the companies?

My first company, yes. I needed $1,300 to make an LLC, set up my site, get equipment, and talk to lawyers. After that the business ran itself for 7 years and was a source of constant passive income.

About 11k later I invested back into myself and bought a 3d printer, new computer, and software licenses to start selling custom 3D printed airsoft parts, fidget spinners, and other novelty items locally and online.

After that I reinvested again and started selling custom bike parts. Lastly I raised 60k (from friends and family) to start a C corp developing high performance electric motorcycles. We had 11 employees, took on more investors and then pumped the brakes. Now I’m looking to sell it to a larger company and begin work as an automotive/hardware focused product developer.

What is the most unexpected thing that was normal for you, but highly unusual to most people?

Vacations. My family traveled a ton when I was a kid and I just assumed that everyone else did too. Every spring break, winter break, and summer we had elaborate trips planned (spain, galapagos, dominican republic, etc.) and I just assumed everyone else did too. Even more recently I was trying to plan a trip to go skiing and I didn’t realize how much the passes could cost. Or the gear. Or the hotels. Or flights…

What’s the most “expensive” piece of clothing you purchased, which made you go “this is expensive!”

I think my most expensive item of clothing is a $300 Lululemon rain jacket. To date it is the most money I have ever spent on an article of clothing and I do regret it. I have better $60 jackets that I feel less showy wearing around.

What’s your expensive hobbies?

PC building, robotics, wakeboarding and lacrosse. Besides that I also work on cars and bikes.

How is lacrosse expensive?

Pads, travel, tournament entry, club team dues, etc. It is one of the most expensive field sports out there

What work do you do on cars and bikes? Like upgrading them or what?

I build high powered electric motorcycles primarily. I’ve been working on it for over four years and it out performs anything on the street. I’ve also been developing other prototype motorcycles that use knowledge applied from school in robotics.

Do you ever come across people of similar wealth to you and they act like every bad stereotype of “the wealthy”? If so, how does that affect you or make you feel?

The short answer, YES. There are so many assholes out there that flaunt their wealth and have a total superiority complex. These people are actually pretty common. I’ve lived in Washington DC and California, and in both places you run into these people all the time. It honestly makes me feel a lot better about myself because I know I’m not nearly at their level of narcissism and vanity.

Do you have a lot of girls trying to hook up with you just because you come from money?

I never tell them I have money and they have no reason to suspect it, so it hasn’t been a problem. The only problem was in high school when they would see my house and realize something was up. My house is the only “showy” thing my family owns.

I’m not saying that my house gives away the scale of our wealth, but it definitely says that we’re “wealthy” to some degree. And personally it says it too much for me. Its only a 7 mill house but I still hate it.

That being said, I did date someone long-term who learned about our financial situation (she came from a very poor family) and it did negatively impact our relationship.

How so?

She was on a scholarship to her school and it got revoked during covid due to “changing budgets.” We supported her but later realized that she had lost her scholarship because of grades. This put strain on the relationship among other things like her weed consumption, therapy costs, and rent.

Do rich people really “know a guy” for everything?

Rich people DO know a guy for everything. This is not an understatement. My father had a brain tumor that otherwise would have cost us likely millions of dollars to deal with. It ended up being a $5 co-pay. My sister‘s horse suffered a tendon injury that otherwise would have definitely required it to be put down, but my family paid for it to receive stem cell injections. Any injury, any hurdle, any problem you face is almost always solvable through money.

What’s your opinion on inheritance? How high do you think it should be taxed?

I believe it should totally be taxed, but the reality is that the rich will always figure out ways around this, either through yearly “gifting” or through different types of insurance policies.

There are SO MANY LOOPHOLES. I know next to nothing about these, but I still feel like I know 1000 times more than the average person. I’d say about three or four times a year I sign some legal documents that I barely understand that my parents present as a way to transfer money to me to save money on taxes. It’s always completely legal and I trust that they’re doing it for the right reasons, but it definitely bypasses a lot of the taxes that a “normal” person would fall victim to.

What do you think has been the biggest obstacle in connecting with poorer people?

The biggest obstacle has always been my social anxiety paired with a lack of understanding. I have infinite compassion towards those that are less fortunate financially than myself, but however much I would like to, I will never understand their struggles.

Do you ever donate to charities like Red Cross etc?

My family actively donates millions every year to various causes (mainly cancer research and the bone marrow registry through gift of life). They also support my school for basic needs, clubs, and other organizations that we believe can use the funds for good.

I worked for the red cross through high school doing fundraising campaigns and attempting to modernize their fleet of emergency response vehicles.

Have you ever just randomly gave a stranger a large sum of money. Or like a large unexpected tip?

I have given and continue to give extremely large tips everywhere I go. This is something I’ve talked with my family about at length and we are all in agreement that if we can make a hardworking individual in the service industry’s day (or even week) we certainly will.

I routinely tip around 100% if not much more. The faces that they make and impact that it has is obviously worth every penny. When I pay for things in my daily life with my own personal money that I earned by working, I’m very conscious of price and am actively frugal with my money, but I make a point to carry around enough cash that came from my family (not me) to tip at these extreme levels for almost every expense.

That being said, we do not just give people money. This is one of the reasons that we still have a ton of money. If someone is in desperate need and we can make a difference we will, but we never just give large lump sums of money to people for no reason.

If you woke up tomorrow and it was all gone, what would scare you the most?

Medical expenses. I have narcolepsy and am prescribed modafinil for it. It is a controlled substance that is also commonly abused as a silicon valley smart drug for nerds on coding benders. I currently just have a $5 co-pay but would otherwise literally be paying about $600 a month minimum. On top of that I play sports and have physical therapy for my knee. I don’t even know how much it would cost to continue so I would have to quit sports. My mental health would be in the sh*tter as well because my therapist would cost thousands.

What is your relationship quality with your parents and family?

I am super blessed to have great parents who are very levelheaded and love me very much. Though my father was out of town for five days a week throughout my entire childhood, I am extremely close with him. They are my biggest role models and I tell them everything. When I say everything, I mean everything. From when I lost my virginity to the fact that I took shrooms in the desert with friends a few weeks ago. My relationship with them is extremely healthy and I couldn’t be more grateful.

Speaking on the behalf of many of my wealthy friends, most families are not like this. Most either get divorced or have unhealthy family dynamics that create a cycle of dysfunction in their children. I am so incredibly grateful this was not the case for me or my sister growing up.

It seems like you are really well grounded, do you ever think about saying fuck it and living a lavish lifestyle?

I know it sounds fucked up, but I simply don’t want to. I’m so much happier living the way I’ve been living and that might not be understandable from your perspective it’s clear as day for me.

Africa

Yesterday on the week in review thread I noted the Chinese FM’s visit to Africa.

Today, we have an excellent overview by Ekaterina Blinova about happenings there, “From Unipolar World to Multipolarity: Why US Attempts to Intimidate Africa Won’t Work,” that I highly suggest be read.

Here are several outtakes:

"China continues to be the leading source of FDIs in Africa and has a pipeline of projects, particularly in infrastructure," Kubayi told Sputnik. 

"Africa's relations with China continue to deepen. This relationship can yield great benefits to both parties in joint research and development, manufacturing in Africa, and an African market that is expected to reach 2.5 billion in population by 2050. 

African wealth in minerals such as rare earths and others are all thoroughly purposefully explored for practical action and development."

"The recent G20 summit reiterated the importance of multilateralism and the United Nations in its declaration," Mikatekiso Kubayi underscored. 

"BRICS – which China and Russia are members of – emphasized the need to deepen and improve the practical experience of multilateralism with the United Nations at its center. 

The changing geopolitical landscape is changing precisely because of the realization that it does not benefit the majority of the world."

"You have emerging multilateral platforms like BRICS, for instance, that have so much momentum, and seem to be more open to emerging powers, more focused on issues that are really important to the majority of the world," Ovigwe stressed. 

"One of the trends we might see going forward is countries tilting more towards these new and emerging multilateral platforms because they want it to be accessible to them. 

G7 is not going to be expanded – it has already contracted from G8 to G7."

The Colonial Age of Plunder is ending but the Outlaw US Empire persists in trying to keep it alive as it knows of no other method.

The result is obvious to foresee–the Empire will isolate itself and cease to be the sort of Empire it is today, which is great for RoW.

Posted by: karlof1 | Jan 12 2023 17:58 utc | 15

A good rant from Ritter.

https://www.youtube.com/watch?v=NsWQYBIoSWU

China builds world’s first autonomous seaborne drone-carrier

Published: Jan 13, 2023 02:01 AM

 

hina on Thursday delivered the world’s first seaborne drone carrier, the Zhu Hai Yun, capable of operating on its own. The unmanned carrier can be controlled remotely and navigate autonomously in open water. It will undertake marine scientific research and other observations.

The Zhu Hai Yun entered its home port of Zhuhai Gaolan port in South China’s Guangdong Province on Thursday morning and was officially put into use after a year and a half of construction.

Built under the auspices of the Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai), the Zhu Hai Yun is the world’s first unmanned system scientific research ship with autonomous navigation and remote-control functions, and has been awarded the first intelligent ship certificate by the China Classification Society (CCS).

The design and construction of the Zhu Hai Yun have followed the principles of green intelligence, scientific support for unmanned systems and “sense of the future.” Meanwhile, its power systems, propulsion systems, intelligent systems, power positioning systems and investigation support systems have been independently developed by Chinese research teams.

“This is the first professional sea trial of the Zhu Hai Yun, which aims to test its autonomous navigation performance and the launching of the unmanned craft,” said Chen Dake, a member of the Chinese Academy of Sciences and director of the Southern Marine Science and Engineering Guangdong Laboratory.

For the first time, the carrier navigated autonomously for 12 consecutive hours, and realized obstacle avoidance and path planning. It achieved the desired effect and validated the design, Chen added.

The 88.5-meter-long intelligent unmanned carrier is one of the landmark achievements of the Southern Marine Laboratory, with a designed displacement of about 2,100 tons and a top speed of 18 knots.

The ship has a spacious rear deck, which can carry a variety of unmanned air, sea and submarine observation instruments. It can carry out comprehensive marine survey tasks such as ocean surveying and mapping, ocean observation, sea patrol and partial survey and sampling.

Confessions of a Music-Tour Bus Driver

 

Have you ever had to stop and do a “I’m gonna turn this car around” moment with unruly passengers?

I haven’t done that with customers, but I once had the permission from my Boss to do so if I can’t stand the customers any longer. It was a really awful tour and the people were very disrespectful. I still finished it though

What/are the accommodations on the bus?

It’s basically like a hotel room I got bunk beds and 1 big room with a double bed (named starroom), toilet and shower, small kitchen with the basics, multiple TVs and consoles, dining area and couches, WiFi and a hard drive with 2TB of movies.

Who decides who gets what bunk?

Sometimes tour management declares the bunks. Sometimes its first come first serve. Most of the times the people travel together so much that everyone has their distinctive place in the bus.

How many drivers are there?

There are 2 types of drivers. Main-drivers as me carry one customer during their whole tour. I have my own Bus who I always drive and I have my regular customers who drive always with me when on tour. And double-drivers fly from tour to tour and live out the bag and always there when a main-driver needs help with his distances.

How did you get that job?

Long story short. Connections.

I knew my company for years cause I lived in a flat with the younger brother of my boss. Didn’t like my old job anymore and made the driving license. Started at my company and worked my ass off.

The normal way in the business is driving public or tourist bus for years. Get good connections and recommendations and work very hard and concentrated in the first year. When you survive the first year you are mostly safe.

Do the musicians sleep while you drive, party, just chill, or a mix?

They mostly sleep. When I start driving some are still awake an chill but go to bed soon as well. After 4,5 hours when I have my first short brake everyone is in bed. Party mostly only when the next day is an off day with no show to play.

How do you manage your sleep schedule on longer drives? Do you have a buddy to switch with or the whole bus stops for you to rest?

Sometimes when the drive is more than 800km the night I get a buddy. But otherwise I do everything alone. I sleep like a nightshift worker. My worktime is mainly from midnight till 09:00 am with a little extras to do during the day (cleaning etc) But my driving times are strictly regulated the same as truck drivers driving times.

What genre of music has the messiest musicians?

Overall I would say Hip-Hop. A lot of Rap Artists don’t care too much about the Bus and that I have to clean it. But it gets better when they get older. Young people who just started their career are way more messy then old veterans.

Assuming you’re getting some sort of briefing before a job starts, are there any requests in a brief that’s a red flag for you? Like you know that means trouble?

When they ask for hotel pickups and drops during the day. I have sharp resting times and I am not an Uber who can get you around town.

When I see there isn’t much plan. That happens only on small tours.

When the tour manager doesn’t know how many people will come with us.

When you got friends or family of the artists on the bus. When people don’t have something to do on tour and are just here for holidays and party it’s always crap.

Which dept do you prefer to drive and which do you try to avoid? Band, Lampies, Backline, Sound, Production, Carpenters, Caterers?

Band is alright. Sometimes the hotel pickups mess up my driving and sleeping schedule tho.

Production is often very strict but that’s ok. Just not so much fun to drive.

From the other crew guys it doesn’t makes that much difference for me what department they are in

Caterers are everyone’s favorite.

What’s the weirdest habit any of your clients has exhibited? Or the single weirdest thing they did?

I had parents who shower with their adult children. Old dudes who try to hook up with teens. Got offered the weirdest drugs while I was actively driving. Famous musicians who sit next to me while driving and talk with me about their depression.

Are groupies still a thing?

Mostly no. Some small bands Still tolerate it, but big tours are way to professional to allow that. Especially in the Bus where a view people live together on tight space it got very seldom.

Has any fan ever try to sneak onto the bus?

I had that a few times yes, but the doors only open with a code or are locked all the time so getting on the bus is pretty hard.

If you drove any musicians you admired, did you fangirl all over or is that unprofessional?

I drove with multiple musicians who I admired all my life. I am still not a fan of anyone. This word is very badly associated in the Industry and also I can’t do my work properly when I have those feelings about a customer.

And I never do any Photograph or autograph stuff with any artist I meet even if I don’t have them in my bus. For me that’s very unprofessional and doesn’t fit in that job.

What was the nicest thing a customer did for you?

I once watched the show of a band I was with from the side of the stage and in between 2 songs the singer said:“ we might not play the best but at least we have the best nightliner driver.“ and then they forced me on stage. 5000 people in front of me applauding was a amazing experience. I will never forget that moment cause normally I never ever stand on a stage.

Do the musicians/their company usually tip you at the end of their tour?

Tips are sadly not very common. I get a lot of compliments about my work but still the people don’t tip me. Main reason for that is that the people I drive don’t pay me. Some Tour management company pays my company and those people never join the people in the bus. So they don’t care about my work as they stay in their Office.

When I get a tip it’s mostly private money from the crew and I appreciate that a lot.

Which country has the best or worst drivers?

French in the big cities just don’t care. English people drive mostly slow and always in the middle lane. Germans want to race everything and Romanians are always stressed. No county is perfect.

What’s the worst stretch of road that you’ve experienced?

Quality wise Bulgaria and Serbia is bad. Size-wise, the road up to Vals in Switzerland mountains is crazy. Especially with a double-decker.

Have you ever been involved in any type of accident while on tour?

Luckily I haven’t been involved in an accident. Also when your responsible for an big accident no one will trust you anymore and you have to leave the job. Drivers tend to become truck drivers in the live music industry if that happens.

I had multiple breakdowns tho but that happens when you drive so big distances.

Would you recommend this kind of job to people?

I would recommend it tho everyone who brings the following things.

Likes to drive, especially at night, especially long distances with big buses.

Has a deep sleep.

Can handle stressful situations in traffic and is not aggressive.

Can handle being away from home the major part of the year especially during summer season.

Can handle bossy, egocentric often drunk or drugged people calmly while always being completely sober.

The hardest part personally is being away in my relationship while loving to do this job

Korean Madness

Yet, the moron leadership followed the moron Biden policy of humiliating their money GOD: the world most powerful money spending tourists. Now that china countered such discriminatory Korean policy with a ban to all Koreans entry to China, the coming 2023 Korean trade deficits will further worsen because, Koreans visit to China are mainly businesses seeking profit opportunities in China.

Why western democracy keep producing extremists and morons to the position of power?

Korea’s Trade Deficit Reaches New High of US$47.2bn in 2022 - Businesskorea


HERE

Top general admits US is preparing a proxy war against China

Todays post is going to be a maze. Please note that at the end is a video about a guy who died, had a NDE and then returned back to life. His story is VERY INTERESTING. I would like for you all to tell me what you think about it.

Heres the thing – the Chinese follow Sun Tzu

Its ingrained in their blood

Not just mainlanders, even Chinese in Malaysia or Singapore – They always have secrets , Nobody knows the real extent of their actions

They always portray a far grimmer situation than what it really is

They always portray more pessimism than what is required

If you read something about their latest technology – trust me its not the latest – its usually at least one generation older

If you read some Chinese talking about how difficult it is to adapt to the Semiconductor Export Control and needing to go through the WHO – Trust me Its mainly an illusion and China have already anticipated this years ago and have a ready strategy. Its all Drama.

If the Chinese are making a lot of noise about India placing missiles on the border and the Agni V etc – They already knew this would happen a year ago and have made plans for the same.

So if you read it in the newspapers – even Chinese newspapers – Its definitely likely to be something China wants you to read.


As the Huawei CEO said – Being Sanctioned is the New Normal for China

You really think China sat down for 3 years after Trumps first actions ????

Of course not.

They would have anticipated far worse and would have acted on those assumptions. You would have shell companies emerging in HK , Singpore, Dubai and all over the world ready for such sanctions. You would have made a lot of worst case scenarios and planned for each one of them.

Huawei regsistered its first EUV Patent for both the Lithography Machine and the UV Tools

The First Nation in History to do so without US Assistance

Guess when they began?

10th November 2018!!!!

They already knew as early as 2018 that one day EUV Technology is going to be restricted and they began to work on the assumption.

They didnt just start this work after Bidens announcements.

Likewise most of their Chip related actions – must have already been anticipated well in advance and China must have worked out strategies for each and every one of them

So now experts estimate that as early as 2025 – China could commercially make EUV Machines that can manufacture < 7 nm Chips .

And if they have an EUV Patent – trust me they have DUV Technology rearing to go

Yet they will pretend to be in deep trouble so that US thinks it has China in a vice and doesnt think of new methods of targeting China. Meanwhile using this- China will make all other deals – like Qatar Gas Security or Saudi Oil Security or Brazilian Food Security.

You think the US is Hostile to China today?

The Chinese Leaders anticpated this day would come at least 15 years ago


So whatever will happen in China – China plays a much tougher game and a game that is usually 20 steps ahead of any other player.

Like i said – China plans for 10 years into the future. They would already be examining things like a Blockade of Malacca Straits, Trade Embargos of Chinese Goods, More Restrictions etc from the United States and how to respond.

Meanwhile the US will be bleeding badly with every such decision – while China pretends to bleed very badly but in fact has anticipated the decision long earlier and has made alternate arrangements

The Next 10 years will thus see China evolve to a very different China. No longer a Sweatshop and a Nation with core technologies and a stronger army than ever before and with a technological base

The US meanwhile will go more unreliable and untrustworthy in the eyes of half the world and would bleed itself dry with these Anti Chinese actions – thinking its hurting the Chinese economy

Then when US is weakened – China will reveal its aces and strike hard and strong

Its Sun Tzu all the time

I am a Black American. I lived in Beijing, China teaching English. I never had any negative interactions with Chinese people. My Chinese co-workers enjoyed working with me. We laughed and played, but still kept our focus on providing an excellent product for our adult students. After work, I enjoyed hanging out with my co-workers.

At my place of work, I taught adult students. Some of them asked me about racism and prejudice toward minorities in the USA. They were very concerned about visiting or moving to a country where they would encounter racism and prejudice. None of my students ever said one negative word about Black people.

In the streets of Beijing, I spent plenty of time walking, taking taxis, riding subways and buses, hanging out at markets and going shopping. I always received excellent treatment from everyone that I interacted with in public. I never felt fear or threatened. I would be outside late at night to go eat. I always felt like another Chinese citizen.

I remember fracturing my toe. I needed to get to the hospital. A Chinese friend of mine was a driver. He saw me in pain trying to get to public transportation. He quickly came over and offered to take me in his car. My Chinese is broken, but at the hospital my friend gave me his number and told me to contact him after I finished. I called him after I received treatment at the hospital. He had another friend come pick me up.

I had to wear a removable cast on my foot for a few weeks. Everywhere I went, Chinese people would ask me if I were okay. In fact, older women would come up to me, rub me on my back and tell me that everything would be fine. I never had so much concern shown for me at any earlier point in my life. I asked one of my Chinese women friends why people were so concerned about me. She told me it was because they cared about me. Later on, at home alone, I broke down in tears over the love shown for me.

Heartwarming how the mother cat retrieves her kittens one by one, spending time grooming each one before bringing the next one indoors. She’s really dedicated to her kittens!

Recently, the second largest chip manufacturing company in the United States, Ge-core confirmed that this month will lay off 800 employees, accounting for about 6% of its total workforce, and subsequent layoffs may continue, resulting in one of the reasons for its layoffs is the loss of Chinese chip orders, which also reflects the reduced revenue achieved in the Chinese market will have an impact on its chip industry.

Top general admits US is preparing a proxy war against China!

main qimg 85ecc12d890a11dc0541db46f88650cb lq
main qimg 85ecc12d890a11dc0541db46f88650cb lq

“The US and Japanese armed forces are rapidly integrating their command structure and scaling up combined operations as Washington and its Asian allies prepare for a possible conflict with China,” says top Marine Corps general James Bierman.

“The US and its allies in Asia are emulating the groundwork that enabled Western nations to support Ukraine’s resistance to Russia,” he said.

Translation: Washington is actively seeking to launch a proxy war against China.

In regard to the build-up to the situation in Ukraine, he said: “A big part of [our success] has been because after Russian aggression in 2014 and 2015, we earnestly got after preparing for future conflict: training for the Ukrainians, pre-positioning of supplies, identification of sites from which we could operate support, sustain operations.”

This is a devastating admission!

It proves beyond a doubt that Washington was never interested in peace or Minsk 2. The US was preparing for war in 2014. The intention was always to use Ukraine as a proxy to bait the bear.

“Training Ukrainians, pre-positioning of supplies… We call that setting the theatre,” he said, adding that WE ARE SETTING THE THEATRE IN JAPAN, IN THE PHILIPPINES, AND IN OTHER PLACES.”

The US and Japanese armed forces are rapidly integrating their command structure and scaling up combined operations, Bierman continued. The two militaries have “seen exponential increases . . . just over the last year.”

As a result, Tokyo is now embarking on a “radical security policy shift that will include increasing defense spending & deploying missiles capable of hitting Chinese territory,” the general revealed.

Ultimately, this article removes any and all doubts over Washington’s China policy. The US is intent on war with China.

Normandy Chocolate Mousse

47147b75ff527b757e3021eac9c37547
47147b75ff527b757e3021eac9c37547

Ingredients

  • 12 ladyfingers, split
  • 3/4 cup butter
  • 1 3/4 cups sifted confectioners’ sugar
  • 1/4 cup milk
  • 1 1/2 teaspoons vanilla extract
  • 1 teaspoon rum extract
  • 4 ounces unsweetened chocolate, melted
  • 6 egg whites
  • 1/2 cup coarsely chopped pecans
  • Whipped cream

Instructions

  1. Line 13 x 9-inch pan with wax paper. Arrange ladyfingers around sides and bottom of pan.
  2. Cream butter. Gradually add sugar, creaming until light and fluffy. Add egg yolks, one at a time. Beat until smooth after each addition.
  3. Blend in milk, vanilla and rum extracts. Blend in chocolate.
  4. Beat egg whites until stiff but not dry, and fold into chocolate mixture.
  5. Fold in pecans and pour into prepared pan.
  6. Refrigerate overnight.
  7. To serve, invert on serving plate; remove wax paper.
  8. Decorate top with whipped cream and cut into slices.

US once-in-a-century political chaos disturb the world: Global Times editorial

Published: Jan 05, 2023 12:35 AM

 

 

The US Capitol building is seen in Washington, DC., on November 4, 2022. Photo: Xinhua

Right before the second anniversary of the Capitol riots, on January 3, 2023, another political chaos, dubbed by CNN as a “horror show,” happened in the same place. US House of Representatives went through a “difficult labor” of a new speaker after three rounds of voting failed. The last time a House speaker vote went to multiple ballots was 100 years ago. Tuesday’s occurrence was truly a once-in-a-century phenomenon. During Capitol riots, American rioters shocked the building from the outside. This time, the stalemate was the result of conflicts from inside the Capitol. The two events are chaotic phenomena of spread and aggravation of the disease of US political system.

The one who failed to pass the three rounds of the votes for US House speaker is Kevin McCarthy. In the eyes of outsiders, he is a well-known ultra-conservative politician. He is against Clean Power Plan and the Paris Agreement, and promised to overturn a number of relevant legislations that Washington has passed. His crazy anti-China remarks also left a very bad impression on the Chinese people. However, astonishingly, McCarthy was not opposed because of his radicalism, but because he was considered not tough enough by some more radical congressmen in the Republican Party. It shows how severe the division and polarization in the US politics are.

What happened in the highest hall of “US democracy” is not a simple farce, but a political thriller with huge destructiveness, and a wide-ranging and far-reaching impact. This not only worries Americans, but also political observers from quite a few countries internationally.

While the antagonism between political parties in the US continues to escalate, the internal divisions in the Republican and the Democratic parties have become increasingly prominent. At this time, a completely irrational and dangerous tendency has surfaced in Washington: finding or creating an “enemy” outside the US to suppress, alleviate and divert political divisions within the country.

As a result, China, which is developing rapidly in economy and has a different political system and ideology from the US, is forcibly being established as an “enemy of the US.” Some lawmakers are scrambling to show their toughness toward China. The tougher, the better. They will gradually fall into collective self-hypnosis, and will keep a blind eye on the fact that the enemy of “US democracy” is actually the US itself.

The US House of Representatives will continue to vote on Wednesday, but to some extent the result is no longer important. McCarthy is intensely negotiating with his opponents in the Republican Party. To put it bluntly, it is a political exchange, and he is likely to make a more “tough” promise in the future. If McCarthy is still elected after some tossing and turning, then his extreme words and actions may intensify.

In fact, the current difficult status of China-US relations has a lot to do with US sick party politics. Meanwhile, the electoralization of political party functions, the frequent emergence of extreme issues, and the rise of veto politics has spilled over from the US to many countries and international institutions, causing widespread concerns and seriously hindering international cooperation.

History proves that political parties’ “being at daggers drawn” in the US Congress often indicates major turmoil.

Before this time, there have been 14 times in the history of the US that Congress was unable to elect a speaker in the first round of voting, 13 of which occurred before the Civil War, and the elections in 1855 and 1859 before the outbreak of the war were among the most voted – 133 and 44 times respectively.

Amid rising political animosity, 90 percent of Americans expect 2023 to be a year of political conflict in the US, according to the latest Gallup poll. More than 70 percent of Americans disapprove of the performance of Congress, according to a previous Gallup poll. This reflects a general disappointment in the US society with its domestic politics, and also shows that the “anti-democracy” in the US has developed to an advanced stage, and has caused it to be trapped in the cocoon of “veto politics,” losing the momentum of innovation, and will become more radical in the future. Such a US is dangerous to the world.

Faced with the political chaos in the US, Canada’s Globe and Mail raised a sharp question: whether the political class of the country is able to govern, and whether the internal conflicts and contradictions of one of the major political parties contaminate the entire system.

Another Canadian media quoted a research report saying that the toxic political culture in Washington and across the US was spilling over the border. “Canada is picking up the political radicalization bug from the US.”

It seems that as Canada is a close neighbor of the US, its feeling is very distinct. When such a US shamelessly claims the world cannot lose US leadership, isn’t that horrific?

What about the Taiwan Military Leadership?

What the western news doesn’t tell you (Well Bloomberg did but that’s entertainment not news).

This week, 6 RoC (Taiwan) military officers – 2 retired and 4 active duty, have been charged with spying for the PRC. This should really come as no surprise. The entire RoC military is compromised. A portion of the officer class, are pro China.

RoC military is so bad, that even the United States does not trust the RoC side and sees RoC as a used condom.

-RoC retired General Fu Weigu 傅慰孤 once said that when he was active duty, he asked the staff at the American Institute in Taiwan “What will USA do if there is a real problem in the Taiwan Strait?”. The Americans did not answer. General Fu then asked “What is the bottom line then?” Again the Americans did not answer.

This raises a question if the USA really considers RoC a trustworthy ‘ally’ and a “friend” why wont they clarify the position to General Fu in private? Because the USA does not respect RoC as a “friend” and instead considers RoC as a “puppet” . The USA sees no value in coordinating or communicating with their “Ally”.

-Why hasn’t the USA included RoC in the F35 program and sell the latest generation of American weapons and equipment? USA already claims to have troops in RoC and Beijing has not reacted, why not incorporate the latest generation of American weapons and equipment into the island? Because all of that technology will probably get lost to the Chinese Mainland. Some spies will probably funnel information over to the PLAAF , PLAN and PLA about its true capabilities. Either that or RoC is indefensible and they (Americans) dont want the best equipment to be destroyed in the first salvo

The RoC military community is very small group. The elders in the RoC military community have a lot of respect. Where did all these elders go? Lets see:

許歷農General Xu Ni long

Xu was the commander of the 1981 Taipei military parade, Commander of the garrison in Kinmen island from 1981 to 1983, in 2014 declared that he is no longer anti-Communist and is still committed to ” promoting reunification of China…The reasons for anti-communism have long ceased to exist”

羅文山 LT General Luo Wenshan

General who was the parade commander of the 1991 Taipei Military parade. In 2016, General Wen was accused of taking hundreds of thousands of dollars in donations and developing connections to a HK business man named Xu zhiming who is a member of the CPPCC in Beijing

陳廷寵 General Chen Ting Chung, who was the former army chief of staff in the Taipei “presidential office” in the 1990s, gave a speech at the RoC military academy in September 2020. General Chen declared that ‘I am Chinese and this is a symbol of pride. There are a lot of scum in our Chinese nation that are willing to sell out RoC to become a dog of Americans and Japan.”

王文燮 General Wang Wenxie (Recently died in car accident)

Former Deputy Minister of Defense of Taiwan said in 2019 ” both sides of the strait are Chinese and will be unified sooner or later”

LT gen Gao Anguo 高安國

“When PLA attacks, soldiers in Taiwan should help accomplish the sacred task of China’s unification and overthrow the DPP fraud regime”

In November 2016, 32 retired generals from RoC went to Beijing and listened to Xi Jinping’s speech on Sun Yat Sen. The 32 generals all rose for the PRC National Anthem. Among this 32 group was a LT General Wu Sz-huai 吳斯懷 who was a a serving member of the RoC legislature

Wu on the same year supported the PLA creating an ADIZ in the south china sea and criticized “democracy” in RoC . Wu Sihuai – “democracy is not really a good and perfect system”, “excessive democracy has caused restrictions on the development of free and democratic countries”, and emphasized that “we should try our best to talk to young people about the advantages of Chinese culture”

These people are all not chumps. These people were at the very top of the RoC government and army. They support unification!!!! Even the current minister of defense in RoC was a student of these elders when he was in the military academy during his youth!! When he was a cadet, Xu Linong was the teacher and principal!!!

10 Major Layoff Announcements That Have Already Happened So Far In 2023

.

This is my rebuttal to those in the federal government and elsewhere that are attempting to claim that the job market is in good shape.  No matter how many workers get laid off, the Bureau of Labor Statistics always seems to find a way to post a positive jobs number each month.

We were told that the U.S. economy somehow added 256,000 jobs in November even though Challenger, Gray & Christmas determined that the number of layoffs in November 2022 was actually 417 percent higher than it was during the same month a year earlier.

And even though the tsunami of layoffs continued in December, the Bureau of Labor Statistics is telling us that the U.S. economy somehow added 223,000 jobs last month.

It is almost as if there is a certain number that the BLS refuses to go below.

For each of the last five months, the number of jobs that the U.S. has “added” has miraculously come in between 200,000 and 300,000 each time.  But meanwhile large companies all over America have been laying off workers at a staggering rate.

Unfortunately, the pace of layoffs seems to be picking up speed during the early days of 2023.  The following are 10 major layoff announcements that have already happened so far this year…

#1 Salesforce has announced that approximately 10 percent of their workers will be canned…

Salesforce Inc. plans to cut about 10% of its staff as part of a restructuring plan, the software company said Wednesday.

The company will also exit some real estate and cut back on office space, it disclosed in a filing with the Securities and Exchange Commission. The plan is aimed to reduce operating costs, boost operating margins, and drive “profitable growth.”

#2 Vimeo says that “11% of the company’s workforce” will be permanently canceled…

Vimeo has launched another round of layoffs, a company spokesperson confirmed to Insider on Wednesday.

In an email to staff, Vimeo CEO Anjali Sud said the layoffs would impact 11% of the company’s workforce.

#3 StickFix is eliminating “about 20% of its salaried workforce” as the company starts to come apart at the seams…

StitchFix will cut about 20% of its salaried workforce, according to a statement published by the company on Thursday.

Along with the cuts, the company’s CEO is stepping down, the company announced in a statement.

The company will also close a Salt Lake City, Utah facility, they said.

#4 Their first round of layoffs was not deep enough, and so now Genesis is saying goodbye to “30% of its workforce in a second round of layoffs”

Cryptocurrency firm Genesis has cut 30% of its workforce in a second round of layoffs in less than six months, according to a person familiar with the matter, as pressure builds on crypto industry executives to cut costs in the wake of a downturn.

#5 Not to be outdone, Silvergate Capital is laying the axe to 40 percent of their workers

Amid a “crisis of confidence” across the cryptocurrency industry, crypto banking group Silvergate Capital will cut 40% of its workforce and abandon some projects—including a blockchain-based payment solution based on Meta’s abortive Diem project.

#6 SuperRare Labs has just announced that 30 percent of their workforce will need to look for new jobs…

SuperRare Labs, the company behind NFT marketplace SuperRare, became the latest crypto player to make job cuts on Friday, announcing it will reduce its staff by 30%.

The news came from SuperRare CEO John Crain, who tweeted out a message he sent to employees in Slack.

#7 More than a third of Biocept’s workers will be shown the door as the company struggles to survive…

Liquid biopsy firm Biocept said Friday that it is exploring strategic alternatives to enhance shareholder value, and has engaged EF Hutton, a division of Benchmark Investments, as its financial adviser.

As this process moves forward, the firm is implementing a restructuring plan that includes reducing staff by approximately 35 percent.

#8 The first two rounds of layoffs didn’t do the trick, and so now Compass has decided to conduct a third round of layoffs

Compass is still coming back to earth — but this time possibly without its headquarters. On Thursday, The Real Deal reported that the real-estate company was looking to sublease its 89,000-square-foot office space at 90 Fifth Avenue near Union Square. The same day, Compass also announced it was conducting its third round of layoffs this year; in an SEC filing, the company wrote that layoffs would “allow for a path to achieve positive free cash flow in 2023.”

#9 It turns out that the layoffs at Amazon will be much larger than originally anticipated

Amazon said it is slashing a total of 18,000 jobs, a larger number of positions than it previously announced and the largest set of layoffs in the e-commerce giant’s history.

“We typically wait to communicate about these outcomes until we can speak with the people who are directly impacted,” CEO Andy Jassy said in a note to employees that the company made public on Wednesday. “However, because one of our teammates leaked this information externally, we decided it was better to share this news earlier so you can hear the details directly from me.”

#10 The Daily Mail is reporting that McDonald’s “will slash many of its 200,000 corporate staff in coming months” as it attempts to turn the business back in a positive direction…

McDonald’s CEO Chris Kempczinski has revealed plans to slash corporate jobs later this year to help the business grow.

In a letter to staff on Friday, the fast-food giant boss said there would be ‘difficult discussions and decisions ahead’ and warned that the company had become unfocused.

But don’t worry.

The Bureau of Labor Statistics is telling us that everything is just fine.

You believe them, don’t you?

Sadly, it appears that a lot more layoffs could be coming very soon.  For example, Bed Bath & Beyond is in such bad shape that it may soon not have many employees left at all…

Now Bed Bath & Beyond “has concluded that there is substantial doubt about the company’s ability to continue as a going concern,” the retailer said on Thursday. This means Bed Bath & Beyond has to consider all financial options, including restructuring, selling assets or going through bankruptcy.

“These measures may not be successful,” the company added. Its stock price dropped more than 20% as soon as markets opened.

For years, our leaders have been desperately trying to prop up our “bubble economy”, and for a while their efforts were successful.

But now they can no longer hold back the economic catastrophe that has been building for more than a decade.

This generation was handed the keys to the greatest economic machine in world history, but those in power have wrecked it.

Now we stand at the brink of an unprecedented economic crisis, and the months ahead are likely to be quite brutal.

3 People Describe What It’s Like To Travel To Egypt

 

1. I just got home from a 2 week trip to Egypt where I went to Cairo/Luxor/Sharm El Sheikh with a group of friends.

Dear god, what a mistake. If this post can deter anybody from going and spending money in this country, it served its purpose.

I’ve never been anywhere where the general population was so misogynistic and disrespectful to women.

You are completely and blatantly lied to and ripped off by everyone everywhere – regardless of how “savvy” of a traveler you think you are.

When you are not dealing with that you are battling constant food poisoning despite “playing it safe” and eating in the higher end hotels (Sofitel, Marriott, etc). The street food in Southeast Asia is safer.

The joke is truly on the tourists who choose to come here.

Want to go to the Pyramids? Prepare to get harassed and haggled into getting on a dying horse that will be beaten and whipped to keep moving.

Want to go inside the Pyramids? Prepare to be cornered and shaken down for everything in your wallet.

The same goes for Karnak and The Valley of the Kings in Luxor.

Make sure you don’t go into any corners or tight spots because the people “working” there will block you and make you pay them.

Sharm El Sheikh? If you are a diver than the red sea is beautiful, everything else including the hotel is an absolute Russian wasteland (my wife is Russian I can say this).

Think it’s cheap? Speak English and your prices are pinged to the dollar.

Even the doctor at the Sofitel in Cairo will charge you $300 USD for a covid test (costs around $80 USD everywhere else).

I consider myself a savvy traveler and I have been all over the middle east, some places better than others. This is the worst place I have ever been to and I highly recommend you pick another destination.

A real tragedy for 50% of Egyptians who are nice people, because the other 50% have ruined the country. I am a male in my 30’s.

2. I don’t think I have been anywhere as intense as Cairo. I got hassled EVERY time I was on the street, constantly without exception.

It got to the point where I started living in this reality where nothing anyone said was real and everything was a complete utter lie.

I was with my (white, western) girlfriend and I felt like I was her hired security detail just to get her from site to site. I am Asian and I am not sure if that is what caused more attention or not.

Even when I was on the street without her, I got hassled by everyone except a select few who were wearing suits.

I would say it was still worth it to see the pyramids, because they are just on another level of majestic greatness. But, it required A LOT of everything. A lot of patience.

If they didn’t have Uber (or Careem) there, I would say this place would be 100% not worth to go. I can’t even imagine having to deal with scam taxi drivers on top of the scam hustlers everywhere else.

Literally, every restaurant, every salesmen on the streets, every person approached me even to just go to the grocery store was out to get to my wallet.

If I bought beer at Drinkies in Tahir Square, EVERYONE knew what was in the black bag and desperate to get me to buy them alcohol. (I was told that yes anyone is allowed to buy alcohol but they do not want to be seen purchasing alcohol.)

I had one guy BLOCK my elevator to beg me to buy him some beer and I had to physically move him out of the way and threaten him until he backed off. That’s how far they are willing to take it.

I had TWO people use their bodies to block my Uber to the pyramids to claim a guide is required and that they were the guy to take. Yes, you read that right they got HIT by my Uber driver’s car to force him to stop!

2. If my girlfriend was more than a meter away from me, she got SURROUNDED by local Egyptian men. Hassled. Groped. The moment I reappeared, they scurried away like rats. It was truly insane.

Morocco was Disneyland compared to this. I read that **99%** of Egyptian women have been sexually harassed. If I didn’t have a little imposing size and a trained fighter, I am not sure how I would feel walking around Cairo with a woman.

Unfortunately, ‘no’ was not accepted as an answer until I forced it to be the answer – I have never in my extended experience of traveling had to resort to being physical to get hustlers to back off.

Even a proper gift shop across from the Egyptian Museum tried to lie to me and give me wrong change scam! Just shameless.

So be warned, and nothing will truly prepare you for it. You can research it like crazy, like I did, but it barely gives you a head start of what you will experience.

Over 50 countries traveled and this left me in awe of how insanely shitty a culture can be towards guests.

3. 90 Percent of the time I spent at the Pyramids was not spent enjoying the scenery, however It was spent chasing off the scammers and sales people. It is a shame that the Egyptian government doesn’t do something about it.

 

Illustrator Reveals The Brutally Honest Life Truths

1 36
1 36

Illustrator and author of “A Sticky Note Guide to Life“, Chaz Huton, perfectly sums up the realities of everyday life, and he does it all on brutally honest sticky notes.

2 34
2 34

3 35
3 35

4 35
4 35

5 33
5 33

6 3et2
6 3et2

7 32
7 32

13 21
13 21

12 23
12 23

11 25
11 25

246346
246346

9 29
9 29

8 31
8 31

14 20
14 20

15 18
15 18

16 16
16 16

17 16
17 16

18 16
18 16

Will 2023 be Worse Than 2022?
Is Joe Biden willing to destroy the world to “weaken Russia”?

Even though one has become accustomed to seeing the United States government behaving irrationally on an epic scale with no concern for what happens to the average citizen who is not a member of one of the freak show constituencies of the Democratic Party, it is still possible to be surprised or even shocked. Shortly before year’s end 2022 an article appeared in the mainstream media and was quite widely circulated. The headline that it was featured under in the original Business Insider version read “A nuclear attack would most likely target one of these 6 US cities — but an expert says none of them are prepared.” The cities were New York, Washington DC, Los Angeles, Chicago, Houston and San Francisco.

The article seeks to provide information and tips that would allow one to survive a nuclear attack, repeating commentary from several “experts” in emergency management and “public health” suggesting that a nuclear war would be catastrophic but not necessarily the end of the world. One should be prepared. It observes that “those cities would struggle to provide emergency services to the wounded. The cities also no longer have designated fallout shelters to protect people from radiation.” It is full of sage advice and off-the-cuff observations, including “Can you imagine a public official keeping buildings intact for fallout shelters when the real-estate market is so tight?” Or even better the advice from the Federal Emergency Management Agency (FEMA)’s “nuclear detonation planning guide” that for everyday citizens in a city that has been nuked: “Get inside, stay inside, and stay tuned.” Dr. Ron Paul asks “Are they insane? They act as if a nuclear attack on the United States is just another inconvenience to plan for, like an ice storm or a hurricane.”

The article argues that the six cities would be prime targets as they are centers for vital infrastructure. The bomb blasts would kill hundreds of thousands or even millions of Americans with many more deaths to follow from radiation poisoning, but the article makes no attempt to explain why Russia, with a relatively sane leadership, would want to start a nuclear war that would potentially destroy the planet. Also, the targeting list of the cities provided by the “experts” is itself a bit odd. Surely Russia would attack military and government targets as a first priority to limit the possible retaliation while also crippling the ability of the White House and Pentagon to command and control the situation. Such targets would include both San Diego and Norfolk where the US Atlantic and Pacific fleets are based as well as the various Strategic Air Command bases and the underground federal government evacuation site in Mount Weather Virginia.

Reading the article, one is reminded of the early years of the Cold War that sought to reassure the public that nuclear war was somehow manageable. It was a time when we elementary school children were drilled in hiding under our desks when the air raid alarm went off. Herman Kahn was, at that time, the most famous advocate of the school of thought that the United States could survive the “unthinkable,” i.e. a nuclear war. An American physicist by training, Kahn became a founding member of the beyond neocon nationalist Hudson Institute, which is still unfortunately around. Kahn, who served in the US Army during the Second World War as a non-combat telephone lineman, started has career as a military strategist at the RAND Corporation. Kahn endorsed a policy of deterrence and argued that if the Soviet Union believed that the United States had a devastating second-strike capability then Moscow would not initiate hostilities, which he explained in his paper titled “The Nature and Feasibility of War and Deterrence”. The Russians had to believe that even a perfectly coordinated massive attack would guarantee a measure of retaliation that would leave them devastated as well. Kahn also posited his idea of a “winnable” nuclear exchange in his 1960 book On Thermonuclear War for which he is often cited as one of the inspirations for the title character of Stanley Kubrick’s classic film Dr. Strangelove.

The appearance of the Business Insider article dealing with a cool discussion of the survivability from a nuclear war suggests that the nutcases are again escaping from the psychiatric hospital here in the US and are obtaining top jobs in government and the media. While one continues to hope that somehow someone will wake up in the White House and realize that the deep dark hole that we the American people find ourselves in mandates a change of course and a genuine reset, there is little daylight visible in the darkness.

My particular concern relates to the entangling relationships that have kept our country permanently at war in spite of the fact that since the Cold War ended in 1991 no potential adversary has actually threatened the United States. Now, the federal government appears to be in the business of cultivating dangerous relationships to justify defense spending and placing the nation on the brink of what might prove to be catastrophic. The current US mission to “weaken Russia” and eventually also China in order to maintain its own “rules based international order” includes such hypocritical and utterly illegal under international law anomalies as the continued military occupation of part of Syria to deny that country’s leaders’ access to their oil fields and best agricultural land. A recent UN humanitarian agency investigation determined that the Syrian people are suffering and even starving as a result of that and US imposed sanctions that the Biden Administration maintains against all reason and humanity.

At the present time, however, the most entangling of all relationships, even more than with Israel, has to be the engagement of the US in the proxy war being fought against Russia on behalf of Ukraine, which is exactly what threatens to turn nuclear if someone blinks at the wrong time. Billions of dollars in direct aid as well as billions more in the form of weapons stripped from arsenals in Europe and the US have been given to the corrupt regime of President Volodymyr Zelensky while Zelensky continues to work assiduously to milk the situation and draw Washington into a deeper war directly confronting Moscow.

In fact, by some reckonings the war has already begun, with the US and its allies clearly dedicated to crippling the Russian economy while also getting rid of President Vladimir Putin. The 101st Airborne is now in place in Romania next to Ukraine to “warn” the Kremlin while the Pentagon has recently admitted that some American military personnel are already in Ukraine, contrary to the denials by White House spokesmen. The British have also revealed that some of their elite Special Ops personnel are on the ground. And there are reports that more American soldiers will soon be on the way, ostensibly to “track the weapons” being provided to Zelensky, which will include US-made, Patriot Missile batteries some of which might even be placed in NATO member Poland to provide air cover over Western Ukraine, a definite act of war as seen by Russia, which has warned that such a move would mean that the US and its allies had “effectively become a party” to the war in Ukraine and there will be “consequences.” “Consequences” means escalation.

The soldier-“trackers” mission may be in response to reports that weapons provided by NATO have been corruptly sold or given to third countries by the Ukrainians. The several US initiatives taken together could produce a rapid escalation of the conflict complete with dead Americans coming home in body bags and an inevitable direct US involvement in combat roles that could lead anywhere, but at this point it is the Russians who are acting with restraint by not targeting the NATO and US “advisers” who are already active in Ukraine.

Suspicion is also growing that the United States “green-lighted” in advance recent cruise missile attacks carried out by Ukraine against military targets deep inside Russia. Since the attacks, the White House has declared that Ukraine has “permission” to attack Russia and has basically conceded to the unbalanced Zelensky the right to make all the decisions and run the war that the US is largely funding, which is a formula for disaster. It is already known that Ukraine is receiving top level intelligence provided both by the US and also other NATO states. The precision attacks on Russia suggest that the Ukrainian army was given the coordinates of possible active targets, something that the US would be capable of providing but which would have been beyond the abilities of Ukraine, which possesses no satellite surveillance capability. If it is true that the White House was involved in escalating the conflict it would be a very dangerous move, inviting retaliation by Moscow.

To be sure, some idiots in Washington, mostly of the neocon variety, continue to see war against Russia as something like a crusade for world freedom. Rick Newman, Yahoo’s top Finance Columnist, observes how “Budget hawks in Congress are worried about granting President Biden’s request for an additional $38 billion in aid for Ukraine to help defeat the invading Russians.” He concludes “They’re right. Thirty-eight billion isn’t enough. Make it $50 billion. Or even $100 billion. The more, the better, until the job is done.”

Apparently, the bellicose Rick does not quite get that Russia has made clear that if it is about to be defeated by force majeure it will go nuclear. And Congress and the White House don’t seem to get it either, with both the Republican and Democratic parties oblivious to the real danger that confronts the American people. Nuclear war? Sure! Just hide in your basement, if you have one, and tune in.

When The Killer Thinks The Cameras Are Off

Very interesting.

https://youtu.be/AEcCyFHNv2A

Twitter Files Show How The Deep State Conquered Social Media

Matt Taibbi provides a summary of the recent revelations of Twitter manipulations in service of partisan government entities. The publication of the ‘Twitter files’ came in several Twitter threads from writers, left and right leaning ones, who had been given access to the files and internal Twitter communication.

Capsule Summaries of all Twitter Files Threads to Date, With Links and a Glossary

There have been 12 threads so far. Some of these are of special interest:

Twitter Files Part 1: December 2, 2022, by @mtaibbi

TWITTER AND THE HUNTER BIDEN LAPTOP STORY

Recounting the internal drama at Twitter surrounding the decision to block access to a New York Post exposé on Hunter Biden in October, 2020.

Key revelations: Twitter blocked the story on the basis of its “hacked materials” policy, but executives internally knew the decision was problematic. “Can we truthfully claim that this is part of the policy?” is how comms official Brandon Borrman put it. Also: when a Twitter contractor polls members of Congress about the decision, they hear Democratic members want more moderation, not less, and “the First Amendment isn’t absolute.”

We will later learn that it was the FBI, which had the Hunter Biden laptop material and knew it was real, which pushed Twitter to censor the story by claiming that it was ‘Russian hacked’ material.

Twitter censorship:

Twitter Files Part 2, by @BariWeiss, December 8, 2022

TWITTER’S SECRET BLACKLISTS

Bari Weiss gives a long-awaited answer to the question, “Was Twitter shadow-banning people?” It did, only the company calls it “visibility filtering.” Twitter also had a separate, higher council called SIP-PES that decided cases for high-visibility, controversial accounts.

Key revelations: Twitter had a huge toolbox for controlling the visibility of any user, including a “Search Blacklist” (for Dan Bongino), a “Trends Blacklist” for Stanford’s Dr. Jay Bhattacharya, and a “Do Not Amplify” setting for conservative activist Charlie Kirk. Weiss quotes a Twitter employee: “Think about visibility filtering as being a way for us to suppress what people see to different levels. It’s a very powerful tool.” With help from @abigailshrier, @shellenbergermd, @nelliebowles, and @isaacgrafstein.

The above one is of special interest to me.

Until late 2021 my Twitter account @MoonofA, which I mostly use to promote my writings here, was not allowed to grow beyond 19,500 followers. There were also signs that tweets by me were not shown to users who were following me. After my account was released from the growth prison it rapidly grew to 47,500 followers in the fall of 2022. It then again went into growth prison for no discernible reason and without me getting any notice of it. Now anytime my follower count increases by 100 or so it will automatically be slashed back to 47,450 followers. There are also again signs that tweets from my account are again ‘shadowbanned’.

Yesterday @semperfidem2014 retweeted my latest:

Blue Check Brandon @semperfidem2004 – 21:27 UTC · Jan 5, 2023Well worth reading

Moon of Alabama @MoonofA · Jan 4New on MoA:
Ukraine – The Big Push To End The War
https://moonofalabama.org/2023/01/ukraine-the-big-push-to-end-the-war.html
Image

Then @New_Westphalian responded to @semperfidem2014;

New Westphalian @New_Westphalian – 21:47 UTC · Jan 5, 2023Replying to @semperfidem2004

If you hadn’t retweeted that, I doubt I’d have seen it. I follow MoA, never see a single tweet.
Not sure the cleanup has been entirely successful yet.

Well, I do not think that Elon Musk bought Twitter to do a ‘cleanup’. I believe he wants to use it for his own purposes whatever those may be. If it requires a new deal that gives government entities censoring access in exchange for whatever Musk’s wants or needs he will agree to that.

Back to Matt Taibbi’s summaries. Thread 3 to 5 were about Trump’s removal from Twitter. His account was locked despite the fact that he had not violated any of Twitter’s internal rules.

Thread 6 to 12 are about the government infiltration of Twitter from every angle, the Pentagon, the three letter agencies as well as various other entities started to censor free speech on Twitter:

Twitter Files Parts 11 and 12, by @mtaibbi, January 3, 2023HOW TWITTER LET THE INTELLIGENCE COMMUNITY IN

and

TWITTER AND THE FBI “BELLY BUTTON”

These two threads focus respectively on the second half of 2017, and a period stretching roughly from summer of 2020 through the present. The first describes how Twitter fell under pressure from Congress and the media to produce “material” showing a conspiracy of Russian accounts on their platform, and the second shows how Twitter tried to resist fulfilling moderation requests for the State Department, but ultimately agreed to let State and other agencies send requests through the FBI, which agent Chan calls “the belly button of the USG.”

Revelations: at the close of 2017, Twitter makes a key internal decision. Outwardly, the company would claim independence and promise that content would only be removed at “our sole discretion.” The internal guidance says, in writing, that Twitter will remove accounts “identified by the U.S. intelligence community” as “identified by the U.S.. intelligence community as a state-sponsored entity conducting cyber-operations.” The second thread shows how Twitter took in requests from everyone — Treasury, HHS, NSA, FBI, DHS, etc. — and also received personal requests from politicians like Democratic congressman Adam Schiff, who asked to have journalist Paul Sperry suspended.

The big mainstream media have been quiet about the Twitter revelations. The New York Post and a few other right leaning outlets had a piece about the attempt to ban columnist Paul Sperry:

Dem Rep. Adam Schiff wanted journalist Paul Sperry’s account suspended over reporting on Trump whistleblower, Twitter Files reveals

The journalist in question was Paul Sperry, a Post columnist who in January 2020 wrote an article for RealClearInvestigations about the purported “whistleblower” behind former President Donald Trump’s first impeachment, for which Schiff served as a House manager.In the article, Sperry said then-CIA analyst Eric Ciaramella was overheard talking in the White House with Sean Misko, a holdover staffer from former President Barack Obama’s administration.

A former official who reportedly heard the conversation told Sperry, “Just days after [Trump] was sworn in they were already trying to get rid of him.”

Misko later left the White House and joined the Intelligence Committee, which Schiff chaired, Sperry reported.

The email posted by Taibbi shows that Schiff’s office asked Twitter to take five specific steps that an unidentified company employee said were “related to alleged harassment from QAnon conspiracists.”

They included, “Remove any and all content about Mr. Misko and other Committee staff from its service — to include quotes, retweets, and reactions to that content.”

In response, another unidentified Twitter employee wrote, “no, this isn’t feasible/we don’t do that.”

Schiff’s office also asked for suspension of “the many accounts, including @GregRubini and @paulsperry_, which have repeatedly promoted false QAnon conspiracies and harassed” someone whose name is blacked out.

The Twitter employee responded to that by writing, “we’ll review these accounts again but I believe [name blacked out] mentioned only one actually qualified for suspension.”

In an email Tuesday, Sperry told The Post, “I have never promoted any ‘QAnon conspiracies.’ Ever. Not on Twitter. Not anywhere.”

“Schiff was just angry I outed his impeachment whistleblower and tried to get me banned,” he said. “I challenge Schiff to produce evidence to back up his defamatory remarks to Twitter.”

The only major and good piece written about the Twitter revelations I know of is by Lee Smith in the otherwise not readable Tablet Magazine:

How the FBI Hacked Twitter
The answer begins with Russiagate

This one is well researched and well written. It shows that the whole manipulation by the FBI was and is done in partisan interest with the war-mongering parts of the Democrats being the main beneficiary. The piece is quite long but I recommend to read it in full.

You may think that the paragraph below is exaggerated. However, the evidence following it fully supports the conclusion:

In fact, the FBI’s penetration of Twitter constituted just one part of a much larger intelligence operation—one in which the bureau offshored the machinery it used to interfere in the 2016 election and embedded it within the private sector. The resulting behemoth, still being built today, is a public-private consortium made up of U.S. intelligence agencies, Big Tech companies, civil society institutions, and major media organizations that has become the world’s most powerful spy service—one that was powerful enough to disappear the former president of the United States from public life, and that is now powerful enough to do the same or worse to anyone else it chooses.

All of this was build in secret. All of it can be secretly used against any target. It is also interesting that the fake issue of ‘Russiagate’, like the ‘Skripal affair’ in Britain, was to a large part the preparatory buildup to the current war in Ukraine.

While the Twitter files have now given us some knowledge of this they will not change anything. The Republicans are too weak, too corrupt and too susceptible to blackmail to seriously get into the depth of the whole issue.

Posted by b on January 6, 2023 at 17:26 UTC | Permalink

World War II poster, 1942

132
132

Banana Caramel Custard

2348b7cf4e64f83f493e965a79d22c52
2348b7cf4e64f83f493e965a79d22c52

Ingredients

  • 1 1/4 cups granulated sugar, divided
  • 2 medium-size slightly overripe bananas, peeled and cut into pieces
  • 8 large eggs
  • 2 (12 ounce) cans evaporated milk
  • 2 teaspoons pure vanilla extract
  • 1/2 teaspoon grated nutmeg
  • 1/4 teaspoon salt
  • Strawberries (optional)

Instructions

  1. Fill a roasting pan or a 13 x 9-inch baking pan half full of hot tap water and place on an oven rack in the center of the oven. Heat the oven to 350 degrees F.
  2. In a small heavy saucepan, heat 1/2 cup of the sugar over medium heat for about 5 minutes, swirling the pan occasionally until the sugar has melted and turns golden brown. Watch the sugar carefully after it liquefies. If it gets too dark, it will taste burned. Immediately pour the mixture into a 9 x 5-inch loaf pan so the sugar (caramel) covers the bottom of the pan completely.
  3. In a large bowl, beat the banana and remaining 3/4 cup sugar with an electric mixer on high speed until completely liquefied with no lumps. Or process in a food processor. Beat in the eggs until well blended. (If using a food processor, scrape the mixture into a large bowl.) Add the evaporated milk, vanilla extract, nutmeg and salt. Beat with a mixer on low speed or stir just until blended. Pour the mixture into the loaf pan. (Don’t worry if the caramel cracks.)
  4. Carefully place the baking pan in the center of the pan of hot water. Bake for 1 hour and 15 to 20 minutes, or until a knife inserted near the center comes out clean and the top is browned. Remove the pan from the water and set on a wire rack to cool completely. When cooled, cover and refrigerate for at least 8 hours.
  5. To serve, run a thin knife around the inside edges of the custard. Invert a serving plate over the pan. Invert the pan and plate together. Lift the pan and allow the syrup to run onto the plate.
  6. Serve right away or cover loosely with plastic wrap and refrigerate until ready to serve.
  7. Cut into 10 slices.

Nixon’s last meal at the White House, 1974

231
231

I understand that ultimately the actual murderer is at fault. But what kind of people abandon their drunken friend without her phone or belongings or even a way home?

Remember. These are the kinds of people that are in control of the “levers of power” in the West; the United States, UK, and Europe.

https://youtu.be/Zrsb0nJzX2w

Are There Any U.S. Red Lines?

The Biden administration has so far refrained from sending longer range missile to Ukraine. It fears a severe Russian reaction should it change that policy. Some warmongers dislike such sensible restrain.

In today’s New York Times some former British diplomat, now working for a pro-war think tank, is arguing for the delivery of longer range weapons to Ukraine.

Putin Has No Red Lines

“What are Putin’s red lines?”This question, asked with growing urgency as Russia loses its war in Ukraine but does not relent in its aggressions, is intended to offer analytical clarity and to guide policy. In reality, it is the wrong question, because “red line” is a bad metaphor. Red lines are red herrings. There are better ways to think about strategy.

Red lines, where a consequence is threatened when an opponent does a specified escalating move, do not really exists, says the author. Red lines are movable, responding to a red line violation is a cost to the one who drew the line and red lines invite deceptions – says the author.

After spending several hundred words arguing that red lines are a useless concept the author argues that the ‘west’ should draw a big one:

Concerns about Russia’s “red lines” are driven above all by the fear that Russia might resort to nuclear escalation. The West should avert this by deterring Russia rather than by restraining itself — or pressuring Ukraine to do so — for fear of “provoking” Russia. It can do so by communicating the certainty of severe consequences should Russia use nuclear weapons.

For the record: Russia has never threatened to use nuclear weapons in Ukraine. It is a false assertion by the Biden administration that Russia did so.

Communicating that the ‘west’, i.e. the U.S, will do severe consequence X if Russia does Y is drawing as red a line as I have ever seen.

So what is the purpose of that red line:

Russia has no red lines: It only has, at each moment, a range of options and perceptions of their relative risks and benefits. It only has, at each moment, a range of options and perceptions of their relative risks and benefits. The West should continually aim, through its diplomacy, to shape these perceptions so that Russia chooses the options that the West prefers.America has done this before. During the Cuban Missile Crisis, the most dangerous nuclear confrontation so far, the Soviet Union’s position shifted in a matter of days, ultimately accepting an outcome that favored the West.

The former British diplomat obviously lacks a decent education in history. The Soviet missiles in Cuba were stationed there because the U.S. had stationed nuclear capable Juniper mid range missiles in Turkey and Greece. Those missiles threatened Moscow. They had crossed the Soviet red line. The missiles in Cuba were a counter threat to what the U.S. had done. When the Kennedy administration recognized that it negotiated the removal of its missiles in Turkey and Greece in exchange for the removal of the Soviet missiles in Cuba.

It were the Soviets who had won that round of the Cold War, not the U.S.

As he does not know the history of the Cuba crisis the author is drawing false conclusions from it:

While Russia is more invested in subordinating Ukraine than it was in deploying missiles to Cuba, the logic is the same. In 1962, America persuaded the Soviet leader, Nikita Khrushchev, that removing nuclear weapons from Cuba was, however unpalatable, a better choice than deploying them. Similarly, the West should now aim to persuade Mr. Putin that withdrawing his forces from Ukraine is less perilous than fighting.

To convince Russia to retreat, says the author, the ‘west’ should not restrain itself in weapon deliveries to Ukraine. It should increase sanctions on Russia to increase its costs. It should communicate that a retreat from Ukraine would not mean regime change in Moscow. (Even when that in fact is the obvious U.S. endgame.)

Pursued firmly and resolutely, these diplomatic “shaping operations” in support of Ukraine’s military campaign can ensure that Russia’s least-bad option aligns with what the vastly more powerful West wants. Such a strategy is the opposite of accepting red lines.

Mr. Putin [..] should not be allowed to define the limits of Western policy now. Strategy needs rigorous thought, not lazy metaphors.

A lazy metaphor is like arguing against red lines while drawing a new one. A lazy metaphor is like faking history to draw the intended but wrong  conclusion from it. The op-ed is not rigorous thought but muddled gibberish.

As soon as it becomes obvious to everyone that the Ukraine is losing the war, the Biden administration is likely to deliver more long range weapons to Ukraine with the advice to use them within Russia. Russia will respond to that. But most likely not in Ukraine, but in a place and at a time where it hurts the U.S. more than anything that can be done to it in Ukraine.

Posted by b on January 2, 2023 at 17:07 UTC | Permalink

 

.

“Foxes Rushed Out Of The Book”: Beautiful Dreamy Illustrations Of Xi Zhang

Xi Zhang is a China-based illustrator and concept artist. She graduated from Central Academy of Fine Arts in Beijing, China.

64
64

61
61

59
59

58 2
58 2

57
57

56
56

55
55

54
54

53
53

52
52

51
51

50
50

47
47

4th6
4th6

46
46

45 1 1
45 1 1

44
44

43
43

42
42

41
41

40 2
40 2

39 2
39 2

38 2
38 2

37 3
37 3

36 3
36 3

35 3
35 3

34 3
34 3

33 3
33 3

32 4
32 4

31 4
31 4

30 5
30 5

29 5 1
29 5 1

28 6
28 6

27 7
27 7

26 8 1
26 8 1

25 10
25 10

24 11 1
24 11 1

23 12 1
23 12 1

22 13 1
22 13 1

21 14
21 14

20 15 1
20 15 1

19 17 1
19 17 1

18 21
18 21

17 21
17 21

16 24
16 24

15 23
15 23

14 23
14 23

13 25
13 25

12 28
12 28

11 30
11 30

10 31
10 31

9 33
9 33

8 34
8 34

7 36
7 36

6 41
6 41

5 42
5 42

4 43
4 43

3 47
3 47

2 48
2 48

1 53
1 53

I totally believe this. I was in home healthcare, and one of my clients had a cat. I tended to both of them for 8 years! When my client passed away, I took the cat. A year later, I was suffering a terrible back ache and was very light-headed. I went outside to just lay in the grass. This cat came to me and started shoving his nose into my arm pits and various places in my body. He had never acted like this to me before. when I got up from the grass he started shoving his nose where I had just lain. I said out loud, something is very wrong with me. I packed up and headed for the hospital. When I arrived it was ascertained that I was having a heart attack. (3 Stents and 2 balloons) Several of the nurses and 3 doctors asked later, how did you know to get here when you did? I told them all, my cat told me. AND I believe he saved my life.

Emmanuel Todd On The Third World War

The French Le Figaro has an interview with the well known anthropologist Emmanuel Todd.

Emmanuel Todd: «La Troisième Guerre mondiale a commencé»

“The third world war has began” is his new thesis. Todd is quite famous for correctly predicting the devolution of the Soviet Union long before it happened. He was quite alone at that time.

I once had a piece on Todd’s later predictions for the U.S. and Europe which still seems spot on. I also quoted him in a piece on social decline as a national security issue.

Unfortunately the Figaro piece is paywalled. But Arnaud Bertrand has done us the favor of translating the gist. Here is his slightly edited thread:

Arnaud Bertrand @RnaudBertrand – 15:42 UTC · Jan 13, 2023Emmanuel Todd, one of the greatest French intellectuals today, claims that the “Third World War has started.”

Small 🧵 translating the most important points in this fascinating interview.

He says “it’s obvious that the conflict, which started as a limited territorial war and is escalating to a global economic confrontation  between the whole of the West on the one hand and Russia and China on the other hand, has become a world war.”

He believes that “Putin made a big mistake early on, which is [that] on the eve of the war [everyone saw Ukraine] not as a fledgling democracy, but as a society in decay and a “failed state” in the making. […] I think the Kremlin’s calculation was that this decaying society would crumble at the first shock. But what we have discovered, on the contrary, is that a society in decomposition, if it is fed by external financial and military resources, can find in war a new type of balance, and even a horizon, a hope.”He says he agrees with Mearsheimer’s analysis of the conflict: “Mearsheimer tells us that Ukraine, whose army had been overtaken by NATO soldiers (American, British and Polish) since at least 2014, was therefore a de facto member of the NATO, and that the Russians had announced that they would never tolerate Ukraine in NATO. From their point of view, the Russians are therefore in a war that is defensive and preventive. Mearsheimer added that we would have no reason to rejoice in the eventual difficulties of the Russians because since this is an existential question for them, the harder it would be, the harder they would strike. The analysis seems to hold true.”

He however has some criticism for Mearsheimer:

“Mearsheimer, like a good American, overestimates his country. He considers that, if for the Russians the war in Ukraine is existential, for the Americans it is basically only one ‘game’ of power among others. After Vietnam, Iraq and Afghanistan, what’s one more debacle? The basic axiom of American geopolitics is: ‘We can do whatever we want because we are sheltered, far away, between two oceans, nothing will ever happen to us’. Nothing would be existential for America.

Insufficient analysis which today leads Biden to proceed mindlessly. America is fragile. The resistance of the Russian economy is pushing the American imperial system towards the precipice. No one had expected that the Russian economy would hold up against the ‘economic power’ of NATO. I believe that the Russians themselves did not anticipate it.

If the Russian economy resisted the sanctions indefinitely and managed to exhaust the European economy, while it itself remained, backed by China, American monetary and financial controls of the world would collapse, and with them the possibility for United States to fund their huge trade deficit for nothing. This war has therefore become existential for the United States. No more than Russia, they cannot withdraw from the conflict, they cannot let go. This is why we are now in an endless war, in a confrontation whose outcome must be the collapse of one or the other.”

He firmly believes the US is in decline but sees it as bad news for the autonomy of vassal states:

“I have just read a book by S. Jaishankar, Indian Minister of Foreign Affairs (The India Way), published just before the war, who sees American weakness, who knows that the confrontation between China and the US will have no winner but will give space to a country like India, and to many others. I add: but not to Europeans. Everywhere we see the weakening of the US, but not in Europe and Japan because one of the effects of the retraction of the imperial system is that the United States strengthens its hold on its initial protectorates. As the American system shrinks, it weighs ever more heavily on the local elites of the protectorates (and I include all of Europe here). The first to lose all national autonomy will be (or already are) the English and the Australians. The Internet has produced human interaction with the US in the Anglosphere of such intensity that its academic, media and artistic elites are, so to speak, annexed. On the European continent we are somewhat protected by our national languages, but the fall in our autonomy is considerable, and rapid. Let’s remember the Iraq war, when Chirac, Schröder and Putin held joint anti-war press conferences.”

He underlines the importance of skills and education: “The US is now twice as populated as Russia (2.2 times in student age groups). But in the US only 7% are studying engineering, while in Russia it is 25%. Which means that with 2.2 times fewer people studying, Russia trains 30% more engineers. The US fills the gap with foreign students, but they’re mainly Indians and even more Chinese. This is not safe and is already decreasing. It is a dilemma of the American economy: it can only face competition from China by importing skilled Chinese labor.”

On the ideological and cultural aspects of the war: “When we see the Russian Duma pass even more repressive legislation on ‘LGBT propaganda’, we feel superior. I can feel that as an ordinary Westerner. But from a geopolitical point of view, if we think in terms of oft power, it is a mistake. On 75% of the planet, the kinship organization was patrilineal and one can sense a strong understanding of Russian attitudes. For the collective non-West, Russia affirms a reassuring moral conservatism.”

He continues: “The USSR had a certain form of soft power [but] communism basically horrified the whole Muslim world by its atheism and inspired nothing particular in India, outside of West Bengal and Kerala. However, today, Russia which repositioned itself as the archetype of the great power, not only anti-colonialist, but also patrilineal and conservative of traditional mores, can seduce much further. [For instance] it’s obvious that Putin’s Russia, having become morally conservative, has become sympathetic to the Saudis who I’m sure have a bit of a hard time with American debates over access for transgender women in the ladies’ room.

Western media are tragically funny, they keep saying, ‘Russia is isolated, Russia is isolated’. But when we look at the votes at the UN, we see that 75% of the world does not follow the West, which then seems very small.

With an anthropologist reading of this [divide between the West and the rest] we find that countries in the West often have a nuclear family structure with bilateral kinship systems, that is to say where male and female kinship are equivalent in the definition of the social status of the child. [Within the rest], with the bulk of the Afro-Euro-Asian mass, we find community and patrilineal family organizations. We then see that this conflict, described by our media as a conflict of political values, is at a deeper level a conflict of anthropological values. It is this unconscious aspect of the divide and this depth that make the confrontation dangerous.”

There you go. Is he right on everything? I don’t know, but Emmanuel Todd is certainly always a very singular and interesting thinker, with a vastly different analysis from the depressingly predictable bad takes that usually dominate French media.

Todd’s thinking rhymes well with that of Radhika Desai and Michael Hudson as reproduced at Naked Capitalism.

Economists Radhika Desai & Michael Hudson Explain Multipolarity, Decline of US Hegemony – Original here

Yves Smith introduces it:

Yves here. Some rousing weekend listening! Radhika Desai and Michael Hudson are launching a bi-weekly talk show, Geopolitical Economy Hour. The opening segment gives an overview, starting with the breakdown of US dominance and how it has been accelerated ironically by self-defeating efforts to preserve the system. It seems trivial at this point to observe that American defense of its hegemony has helped forge a strong Russia-China alliance. But will this partnership wind up dominating other countries, and stymie the development of a truly multipolar order?

Good food for thought …

Posted by b on January 14, 2023 at 14:52 UTC | Permalink

 

Creeping cautiously into the new year with lurking surprises everywhere

We are moving slowly and cautiously towards the new year. By all accounts, this will be a pivotal year. Initial observations suggest a positive outlook for China, a continuation of the same for Europe, and a slow bumpy slide for the United States.

I tire of Geo-politics,, but you all do know that these last few years are history-book events. As will be this year.

Domain Commander suggest small to medium bads. But not what we all think. So don’t get too upset with your fears taking over.

Most of this post will be on the easy light side, with some thoughts…

11 Signs That The Economic “Tipping Point” That Everyone Has Been Waiting For Has Now Arrived

.

How bad do things have to get before people start realizing that we are in the midst of a full-blown economic crisis?  The “experts” on television are endlessly debating about whether or not we are going to have a “recession” this year, and meanwhile economic activity is imploding all around us.

The number of homes being sold in this country each month has already fallen by a third.  The number of job cuts in November was 417 percent higher than it was during the same month a year earlier, and at this point even Amazon is laying off thousands of workers.

The Federal Reserve has declared war on inflation, but prices continue to spiral out of control.  In fact, vegetables are 80 percent more expensive now than they were 12 months ago.  Meanwhile, the financial markets continue to plunge.  A third of the value of the Nasdaq has already been wiped out, and more than two-thirds of the value of all cryptocurrencies is already gone.

After everything that has already transpired, everyone should be able to clearly understand what is happening.

So many people have been waiting for an economic nightmare to come, but the truth is that it is already here.

The following are 11 signs that the economic “tipping point” that everyone has been waiting for has now arrived…

#1 U.S. manufacturing is declining at the fastest pace that we have seen since the early days of the COVID pandemic

The S&P Global U.S. Manufacturing Purchasing Managers’ Index (PMI) fell at the fastest rate since May 2020 in December, a continuing sign that the manufacturing sector is on the decline, S&P Global reported Tuesday.

The U.S. Manufacturing PMI posted a 46.2 in December, down from 47.7 in November and solidly below 50, which signals that the sector is contracting, according to S&P Global. Production levels contracted in back-to-back months, with new sales plummeting at the end of December at the fastest pace since 2007, as companies cited weakening demand amid “economic uncertainty” and inflation weighing on customers.

#2 U.S. services PMI has now fallen for sixth months in a row.

#3 We just witnessed the largest one day drop in the Baltic Dry Index since 1984

The Baltic Exchange’s dry bulk sea freight index crashed on Tuesday in the worst decline on record, sinking on prospects of a global recession.

Baltic Dry Good Index is a measure of global shipping and economic health. The overall index, which tracks rates for capesize, panamax, and supramax shipping vessels carrying dry bulk commodities, plunged 17.5% to $1,250, the most significant daily decline since 1984.

#4 Thanks to rapidly falling imports, we just witnessed the largest monthly decline in the trade deficit since the last financial crisis

According to the BEA, the November trade deficit narrowed to $61.5b from $77.8b in prior month, coming in below the median estimate of $63.0BN (and just barely missing the top end of the range of $61.3BN to $80.5BN from 42 economists).

Remarkably, the 20% one-month decline in the deficit was the single biggest drop in the US trade deficit on a percentage basis going back to the global financial crisis!

#5 In 2022, U.S. auto sales were the lowest that we have seen for a full year in more than a decade

Industrywide, U.S. auto sales totaled 13.7 million vehicles in 2022, the lowest figure since 2011 and an 8% decrease from the prior year, according to the research firm Wards Intelligence. Sales had topped 17 million vehicles for five straight years before the Covid-19 pandemic struck in 2020, unleashing supply-chain problems that have bogged down deliveries ever since.

#6 The average rate on a 30 year fixed-rate mortgage is more than twice as high as it was this time last year…

Mortgage rates inched up again last week, after a slight increase the week before interrupted six straight weeks of falling rates.

The 30-year fixed-rate mortgage averaged 6.48% in the week ending January 5, up from 6.42% the week before, according to Freddie Mac. A year ago, the 30-year fixed rate was 3.22%.

#7 According to CNN, sales of apartments in Manhattan were 28.5 percent lower in the fourth quarter of 2022 than they were in the fourth quarter of 2021…

Higher rates and still-high housing prices cooled demand at the end of last year, causing sales to tumble. Sales dropped 28.5% in the fourth quarter compared to the fourth quarter of 2021.

#8 Overall, existing home sales in the United States have fallen for 10 months in a row and are now down by more than a third since January 2022.

#9 Bed Bath & Beyond is warning that the company is literally on the verge of declaring bankruptcy

Bed Bath & Beyond warned Thursday it’s running out of cash and is considering bankruptcy.

The retailer, citing worse-than-expected sales, issued a “going concern” warning that in the upcoming months it likely will not have the cash to cover expenses, such as lease agreements or payments to suppliers. Bed Bath said it is exploring financial options, such as restructuring, seeking additional capital or selling assets, in addition to a potential bankruptcy.

#10 It is being reported that Amazon has decided to lay off approximately 18,000 employees

Amazon.com Inc. is laying off more than 18,000 employees — the biggest reduction in its history — in the latest sign that a tech-industry slump is deepening.

#11 Overall, the tech industry has already laid off more than 150,000 workers over the last year.

Many more American workers will lose their jobs as economic activity slows down even more throughout 2023.

So if you currently have a good job that you value, try to cling to it as hard as you can.

The times that we are moving into are going to look completely different from the times that we have enjoyed over the past decade.

Our leaders were able to keep the party going for a long time by absolutely flooding the system with money, but now they have lost control.

We are literally careening toward disaster, but most Americans still don’t understand what is taking place.

Most Americans just assume that those in authority know exactly what they are doing and that a “return to normal” is inevitable.

I wish that was true, because the ride into the economic abyss that we are facing is not going to be fun.

.

What’s It Like To Witness An Execution?

I wrote the following description of having just witnessed an execution while in the passenger seat of a car driving away from the prison. I wanted it to be as accurate and detailed as possible…

I came to Oklahoma to witness a killing, a homicide in fact.

At a microphone Debbie Huggins fights tears and with a strong southern drawl says slowly, emphatically: “What we did to him today was much kinder than what he did to my dad.”

“Him” refers to Michael Selsor and “what” to the murder of Clayton Chandler, a clerk shot six times during a gas station robbery in Tulsa, Oklahoma. Selsor pulled the trigger even after Chandler had complied and volunteered the loot.

“In 1975 I never would have thought that it would take 37 years for justice,” Huggins says.

Today’s justice was delivered about half an hour before Huggins approached the microphone; it is why I am here.

There are few acts graver than when a government takes the life of one of its own citizens. Executions often get a lot of coverage in the US, when there is something controversial about the case or enough people believe the condemned might be innocent. These scenarios attract media attention and fuel vigils. This was not the case with Michael Selsor. Everyone agreed that he did it, including him. The reporters who cover Selsor’s execution will focus on Huggins and her family. Perhaps you cannot blame them. The only interview Selsor ever granted was to me.

Even though executions are conducted on behalf of the citizens of the state, very few are allowed to witness it: families of the condemned and their victims, lawyers, law enforcement, and journalists. This is why I felt a responsibility to witness Selsor’s end and then to report it as dispassionately and honestly as I could. The following attempts such an account.

About an hour before Huggins gives her statement, I am led from a makeshift media center to the notorious H Unit, home of Oklahoma’s death row. A pat down ensures our escorts that I carry no possessions other than the clothes on my back. They give me paper and a pen so I can take notes. I am joined by five other reporters. We maneuver through a set of gates that open to a large passageway. The walls and floor are made of smooth concrete. The passage feels stark, modern, like a secret missile silo – and incongruous with this century-old prison famous for inmate rodeos and executions.

Eventually we turn through a large yellow door into the death chamber’s viewing room. I have been here before, but then the space was empty and part of the tour – now it is ready for business.

A handful of prison officials and guards are waiting for us in the viewing room, a narrow rectangle about four times as long as it is wide. A long series of windows to my right are covered by drawn blinds. Two rows of 12 brown metal folding chairs – the kind dragged out of a storage closet at a school picnic – are lined up. I am the first reporter in the room and told to go to the end of the second row and take a seat.

As I sidestep down the row I notice for the first time another set of windows on the left side of the room. The tinted panes conceal the identity of those on the other side. I suppose the setup is not unlike a wedding with two families to attend to and keep separated. The original victim, Clayton Chandler, is represented by an unknown number of family members behind the dark glass. It is hot in the room – at least 90 degrees and rising as people file in. Movement behind the opaque windows catches the light and my eye; at least two people are fanning themselves with white paper. Chandler’s family members must already be in place, watching us nervously find our seats.

Three lawyers in dark suits representing Selsor enter next and sit directly in front of me. Selsor’s family follows. His son wears a grey t-shirt, shorts and a military-short haircut. Tattoos cover his neck and arms. Selsor’s sister, with a shock of blonde hair, looks tired. Her bright blue, short-sleeved shirt contrasts a suntanned face, wizened beyond her years. A box of cheap tissues rests in the son’s chair, courtesy of the state. Once Selsor’s family is settled, a small contingent of law enforcement file in, including Jeff Jordan, who investigated Chandler’s murder as a rookie homicide detective. He is now Tulsa’s police chief.

A cacophony of banging echoes throughout the prison. We have been warned not to be alarmed by the noise – it is how inmates say their goodbyes.

Selsor is respected on death row. He is seemingly regarded as a serious and contemplative individual who became an asset of sorts to prison inmates and staff alike – though officials always caveat the sentiment with a reminder that his crime was inexcusably wrong and such actions must bear consequences. As the run guy, a job given to the toughest of the condemned, Selsor made deliveries to other cells and kept fellow inmates in line. When school children visited the prison, Selsor played a regular part in the tour. From behind bars he shared his life lesson about the consequences of one’s actions with the children.

The appointed time nears and the banging becomes rhythmic – quick at first, but slowing now to a steady, dirge-like pace.

The director of Oklahoma prisons, Justin Jones, who has twice appeared on Fault Lines, enters. The yellow door shuts behind him. Rather than taking a chair, he is handed a phone, a hotline to the governor’s office. Though not far from me, I cannot hear what he is saying. Jones hangs the receiver up, picks up a different phone connected with the execution chamber and tells them to proceed.

It is exactly 6 pm local time. The curtain goes up as guards raise the mini-blinds inside the execution chamber. Selsor’s family in front of me gasps at the sight of him. He is strapped to the bed with his arms padlocked down and covered in a sheet up to his chest. Selsor’s pinched eyebrows convey a look between fear and guilt.

The son waves to his father for what turns out to be the last time and reaches for the tissues. The son and sister begin to cry. Selsor lifts his head as much as he can and turns toward his small audience: “My son, my sister, I love you ’til I see you again next time. Be good. Eric, [Selsor’s lawyer] keep up the struggle.” His eyes scan the viewing room: “I’ll be waiting at the gates of heaven for you. I hope the rest of you make it there as well.”

He looks to the prison official standing over him and says: “I’m ready.” Relaxing back to the bed, he turns his head to the side and focuses on his son.

Though we cannot see it, we all know what is happening now. Two intravenous lines run from Selsor’s arms to two holes in a wall about three feet behind his head. From a hidden room, three executioners each press a plunger sending lethal doses into his veins: one with pentobarbital, another with vecuronium bromide and a third with potassium chloride. The executioners are each paid $300 in cash, so no paper trail leads to their identity.

With a tilted head still looking at his son, Selsor’s gaze begins to fade, his eyelids half closing. A final breath exits his body with a visible puff from his lips. His body stills, eyes half open and locked on his son. It is roughly 6:03 pm.

The next three minutes pass painfully slowly. No one moves in the death chamber or viewing room. I hear barely perceptible sounds of crying from the row in front of me. A medical examiner in the chamber approaches the bed, checks for signs of life and pronounces Michael Selsor dead at 6:06 pm.

We solemnly return to the media center. Huggins holds a press conference and tells us that the execution did not bring closure or the kind of justice it seems she was seeking, but it is easy to see her relief from the death of Selsor. The ultimate boogeyman in her mind was finally gone.

In time a death certificate will be issued from the state of Oklahoma. For cause of death, it will say Selsor died from a homicide. Though it took nearly four decades to find its target, it is clear now that the trigger Selsor pulled that fateful day in 1975 ended not only Chandler’s life, but Selsor’s own as well.

Confessions of an Investment Banker

What do you do?

I work in the Internet and Interactive Entertainment group of the bank, where we perform advisory mergers and acquisitions services and work to arrange financing for our clients through IPOs and by issuing debt (I help internet companies get access to piles of cash).

As a banker on the internet team, I get to work with the top brass at every possible website you can think of. Whenever you hear “xyz dot com is going to merge with abc”, you can bet that I’m involved.

Investment bankers do one of two things for their clients. all my clients are in the internet/video game space.

1) We help companies get access to capital (money) by issuing corporate bonds (debt) or by going public through an IPO. companies need money to expand, and we offer that service.

Issuing corporate bonds is similar to taking out a mortgage, except the dollar amounts of bonds are several orders of magnitude larger.

2) We help companies merge or acquire other companies. these often very complicated transactions that require the buyer to have access to billions of dollars of cash, for example.

Investment bankers are like real estate brokers. We don’t “invest”.

How much do you make?

I expect to make around 1.2 million this year

How many hours do you work per week?

Hours are easily 100 hours/week. Younger guys often sleep in the office, and work 7 days a week. You will be expected to drop everything, even if your brother is getting married, for the job.

How do you not burn out?

I take extravagant trips to crazy places and do serious outdoor/adventure type stuff. Last trip was a backpacking trek through the rockies with around two mountain passes each day and wild weather. I like to live off the land, and lots of guys here are obsessive about training for marathons, mountain expeditions, etc.

Being in the city helps. it never closes and you get to socialize. The worst part is waking up in the morning after you got home at 4am the prior night. it’s tough. really tough.

I get to see my fiance on the weekends and we basically see each other only in the mornings on weekdays.

We dont have kids yet. i’m not sure how that is going to go down, to be honest. I don’t think i want to be that kind of dad. and that day is coming soon. we think we can last about 2-3 years but i’m not sure i want my child raised in that kind of environment.

Is your lifestyle worth the money?

Like many in the business, I plan to earn big and retire early, leaving banking for good to focus on raising a family and living in the mountains. banking, for me, is a means to an end. It is also incredibly exciting, cut-throat, and demanding. Definitely not for everybody.

Although you earn an amazing amount of money each year, do you ever feel envious of other co-workers/superiors that earn 20-50x more? Do you hope to attain that level of income?

Yes i do. i think it’s human nature, especially when you see a guy and say “dude, i totally do the same thing that guy does, and i’d like to earn that much”. of course, i’m sure that other guys at the bank say the same thing about me….

Pretty sure they set it up this was on purpose so that employees retain an absurd competitive fire in their bellies for year after year.

Truth be told, i’m pretty satisfied with my income, but having an eight figure annual income just for one year would set up anybody’s family forever.

How’d you get that job and is it really all connections?

I got the job through a traditional route: top undergrad school with high gpa and engineering background, work experience in technical field, back to get my mba at top 5 school where i got a 3.8 gpa, and was hired to work at the bank following graduation. I did a summer internship at the bank.

Getting into investment banking is easy – as long as you are still a junior in college and are a serious student. the easiest way into banking is to get an internship after your junior year of college. it’s incredibly compettive, and you will need to stand out from the crowd.

Connections can play a big part in helping you get to know the bank.

How do you stand out from the crowd?

They assume the applicant pool for these jobs will have stellar grades, cool extracurriculars, and so forth.

The way to stand out is to show the bank you REALLY want the job. you do that by meeting with as many current employees of each bank as possible before the applications are due. place phone calls, get to know people etc etc.

Also, nailing your interviews and being supremely prepared for finance questions doesn’t hurt.

What kind of skillset do you have and do you use?

Powerpoint and Excel are the two tools that an analyst will use almost exclusively. it’s about creating the slides that we use in our “pitch books”. recent college graduates enter the bank as “analysts”, and after three years are either promoted to associate, go to business school, or are shuffled out of the bank.

A you progress, you become less “excel” (doing discounted cash flows aka DCF) and more into “client” relationships ie: going into Ford Motor and telling them how some crazy acquisition is going to increase the value of the firm. Investment Banking is ultimately a sales job, and the guys with the biggest rolodexes win.

Investment banking is by far the most competitive field to get into. I compare it to being a professional athlete. what would you sacrifice to become a starting pitcher for the yankees? year round strength training, intense focus, competitive fire, endless practice, and years on the road, away from your family.

What do you think of trading? Is it more competitive?

Trading has a slightly more aggressive culture, but it is easier to get into, possibly by a whisker. if your friend formatted an excel table incorrectly, he’d probably get absolutely reamed out by his superiors. screaming and yelling is very common. Trading is like that, but they also scream about everything in general, and they tend to have worse grammar than me when writing emails. Lots of capital letters and misspellings “HARLY DAVIDSON KITCHEN SINKED HTE QUARTER AND UR TELLING ME THAT THEIR FINANCEING ARM IS GOING TO BE OKAY LOL”

Have you been effectively saving a portion of what you earn throughout your employment?

Yes and no. firstly, yes my expenses have risen as I have purchased a nice apartment. but more importantly, outside of a house, you really have to push the envelope in my profession to spend more than you earn.

One of the pressures is this: you have so little free time that you’re actual free time/vacation becomes invaluable. it means i end up spending inordinate amounts of money to get places quickly – if i haven’t taken a single day off for three months and i finally have five days, you better believe i’m not taking the bus or slow-boat anywhere for my vacation.

How do you invest your savings? By yourself or with an adviser?

My money is professionally managed. due to compliance issues, lots of the information I possess is “inside”. I speak directly to management and we are given extreme amounts of need to know information. The SEC watches all of our trades and our families and friends trades to combat insider trading.

What are the motives of most people involved in the industry. Is it simply money, or do people actually find joy in that kind of lifestyle? Or anything else?

Having a ceo call you up and ask for your opinion day after day after day is pretty awesome.

Every day, the things i work on are reported on the front page of every newspaper in the world. you get to be involved at a very high level, which is honestly thrilling.

Money plays a big role in putting up with the hours. but there is more to the job than simply long hours and big paychecks. The work we do tends to be rockstar awesome. Call me crazy but I get off on doing stuff like that. We get to talk to so many interesting and successful people.

The worst kind of investment banker is the guy who just really, really doesn’t want to be there. they wanted the lifestyle, but just can’t deal with the downsides, which are monumental. If you get into banking for the wrong reasons, you’re going to hate it!

What’s the most you ever spent on strippers?

Not a lot. Have been with people who have spent a lot. Strip clubs are not my scene to be honest. I am a geek/nerd/numbers guy. Outdoorsey.

If after college graduation you’d unexpectedly inherited a trust fund that meant you could live an extravagant life without working, what career do you think you would’ve ended up in?

Mountaineer/ski instructor/backcountry ski guide – without a doubt. my third career will hopefully be something tangential such as opening up a heliski operator or ski store or something.

If you were to die tomorrow, would you have been happy with your life?

Actually, very happy. have an amazing, beautiful, smart fiance and feel a real sense of accomplishment about my work. I am optimistic about the future, and proud of what i’ve accomplished in the past.

Classic Rock And New Wave Songs Brilliantly Reimagined As Vintage Pulp Fiction Paperbacks

“London Calling” re-imagines the classic Clash song as an issue of a 1950s-era pulp detective magazine. Prints come in four sizes, priced accordingly. Prints are on heavy, glossy archival-quality paper. The perfect gift for the punk rocker in your life.

33 5
33 5

Graphic artist Todd Alcott has brilliantly reimagined classic rock and new wave songs as vintage pulp-fiction paperback books.

“Black Dog” re-imagines the epochal 1971 Led Zeppelin song as the German poster for a 1930s movie version of The Hound of The Baskervilles.

31 5
31 5

34 4
34 4

32 5
32 5

30 6
30 6

29 7
29 7

28 8
28 8

27 8
27 8

26 8
26 8

25 8
25 8

24 11
24 11

23 12
23 12

22 13
22 13

21 13
21 13

20 15
20 15

19 17
19 17

18 18
18 18

17 20
17 20

16 22
16 22

15 22
15 22

14 22
14 22

13 23
13 23

12 24
12 24

11 24
11 24

10 25
10 25

9 26
9 26

8 26
8 26

7 29
7 29

6 32
6 32

5 36
5 36

4 40
4 40

3 43
3 43

2 43
2 43

1 46
1 46

Michael Snyder: The Overall Theme For 2023 Will Be “Here We Go!”

.

A lot of people tend to use the phrase “here we go” when they think that something really good is about to happen, and others tend to use it when they think that something really bad is about to happen.  Needless to say, in this case I am using the phrase “here we go” as my overall theme for 2023 because I believe that we are going to be facing a really rough year.

I expect that so many of the trends that have been percolating throughout 2022 will greatly accelerate over the next 12 months.  So if you were not pleased with 2022, it is likely that you are really not going to enjoy what is ahead of us in 2023.

I certainly don’t need to tell any of you that 2022 was a very tough year for the economy.  It truly was a year when “everything started to fall apart”, and lots of prominent voices on Wall Street are now warning that things will get even worse in 2023.

But if the Federal Reserve were to suddenly reverse course and begin lowering interest rates, that would help the short-term economic outlook a great deal.

Unfortunately, that isn’t going to happen.  In fact, Fed officials are openly telling us that they expect interest rates to remain elevated for “some time” to come…

Federal Reserve officials reaffirmed their commitment to combating inflation at their December meeting and indicated that interest rates could remain elevated for “some time” until there is clear evidence that consumer prices are falling.

Minutes from the U.S. central bank’s Dec. 13-14 meeting released on Wednesday showed that policymakers worried that investors and financial markets could misinterpret their decision to raise interest rates more slowly as a sign they were ending their campaign to bring prices under control. Officials stressed that the smaller rate hike – 50 basis points, compared to the previous fourth 75-basis point-increases – “was not an indication of any weakening” and warned of continued risks on the inflation front.

So there is no hope on the horizon, and higher rates will continue to crush economic activity for the foreseeable future.

And with each passing day, what is happening to the economy is becoming clearer and clearer.  For example, we just learned that Salesforce will be laying off approximately 8,000 workers

Salesforce plans to lay off about 10% of its workforce, or nearly 8,000 employees, and reduce its office space as tech companies cut costs amid concerns about the economy.

In a note to employees Wednesday, Chief Executive Officer Marc Benioff said the move comes as customers take a more cautious approach to spending as the economic “environment remains challenging.”

This is just the tip of the iceberg.

There will be many more layoffs during the weeks and months to come.

Another trend that I will be watching very closely in 2023 is the deterioration of faith in our politicians and in our governmental institutions.

The drama that is playing out in Washington right now is a perfect example of what I am talking about.  Kevin McCarthy is a prototypical “swamp creature”, and that is why the establishment loves him.  As Speaker of the House, McCarthy would be in charge of keeping the wheels of corruption in motion.  There is so much campaign money that needs to be funneled in the right direction, so many important favors that need to get done, and so many critical deals that need to be orchestrated.

The Washington establishment can’t afford to have someone with principles as Speaker of the House, and so they are going to keep pushing for McCarthy.

Of course McCarthy is deeply unpopular outside of Washington, but so was Nancy Pelosi.

Sadly, that doesn’t really matter, because we we are not supposed to have a say in these battles.

But the American people are getting fed up.  They can see the endless corruption that is going on, and the popularity of both parties is sinking.

And the truth is that politicians from both parties have been lying to our faces over and over again.

For many of our politicians, lying is as natural as breathing is.

Unfortunately, we have gotten to a point where most of our politicians have lost all of their credibility, and a population that no longer has faith in the system is going to be exceedingly difficult to govern.

I also expect 2023 to be a year of great natural disasters.

Throughout 2022, there was just one historic disaster after another.  It seemed like I was constantly writing about droughts, famines, wildfires, hurricanes, giant storms, earthquakes, volcanoes and tornadoes.

Unfortunately, I believe that the 12 months that are ahead of us will be even worse.

If you doubt this, just consider what has been happening over the past few weeks.

In late December, a colossal “bomb cyclone” brought a “once in a generation storm” to the Midwest.  In fact, the blizzard that we witnessed in Buffalo was the worst storm in the entire recorded history of the city.

Just as we were recovering from that, a mammoth “atmospheric river” slammed into the west coast.  Some parts of California received more than four feet of snow, and there was catastrophic flooding in other areas.

Now here we are just a few days later, and another “bomb cyclone” is about to hammer California.  According to the National Weather Service, this is going to be a “truly brutal” storm

Another powerful storm, known as a bomb cyclone, is hitting the California coast and the National Weather Service in the Bay Area is warning residents that the “truly brutal” system needs to be taken seriously.

The system could trigger “immediate disruption to commerce, and the worst of all, likely loss of human life,” forecasters say. It comes on the heels of a round of record-breaking rainfall that slammed the same area over the weekend.

I have never seen two immensely powerful storms hit California so close together.

There will be “widespread flooding”, and conditions in some parts of the state will be so dangerous that authorities are actually encouraging people to have “go bags” prepared in advance

A dangerous storm system is slamming California, with meteorologists at the National Weather Service warning of imminent “widespread flooding, impassible roads, mudslides/landslides [and] rapid rises in rivers/creeks.” The system, which prompted the Weather Service to take the unusual step of urging residents to have “go bags” at the ready and prepare insurance documentation in advance, is set to unleash its harshest conditions Wednesday night into early Thursday.

Virtually the entirety of Northern and Central California is under flood watches and high-wind warnings, with damaging gusts to 60 mph possible. Strong to severe thunderstorms could be in the offing as well, in addition to 2 to 4 inches of rain in the lowlands and more in the mountains. In the highest terrain, the heavy rain will transition to up to 2 to 4 feet of heavy snow. Along the coast, beaches will be battered by large waves and areas of coastal flooding.

I also believe that 2023 will be a year when multiple pestilences run wild all over the planet.

These days, it seems like a different disease is making global headlines each week.

A few weeks ago everyone was talking about RSV, and then it was Strep A, and now this week a new “super variant” of COVID is deeply alarming authorities

Dr. Eric Feigl-Ding, an epidemiologist and chief of the COVID Risk Task Force at the New England Complex Systems Institute, has called XBB1.5 a “super variant.”

XBB.1.5, which is mutated from the original Omicron strain, appears to be spreading faster than others because it is better at attaching itself to human cells.

“A mutation in the virus is located at the site where it binds to the human cells,” said Wine. “To effectively infect humans, the virus needs to bind to cells, and XBB.1.5 seems to do this very tightly.”

Next week it might be something else.

At this point, there are billions of people that have compromised immune systems, and that means that the global population has been perfectly primed for whatever plagues we see in 2023.

I certainly can’t end this article without talking about war.

I expect that both sides will continue to escalate the conflict in Ukraine in 2023, and it appears that the Russians may be preparing a massive new offensive campaign from the north.

Meanwhile, tensions in the Middle East continue to rise, and I believe that a war between Israel and Iran has become inevitable.

On the other side of the planet, the Chinese could soon pull the trigger on an invasion of Taiwan, and if that happens we could also see North Korea invade South Korea while the U.S. is distracted with China.

I have been warning that these wars were coming for a long time, and once they erupt our world will never be the same again.

In fact, our world is already radically different from what it was like just a few short years ago.

So much changed throughout 2020, 2021 and 2022.

But the pace of change is only going to accelerate even more as we get deeper into 2023.

So buckle up and hold on tight, because here we go…

Cabbage Beef Bake

“Quick, easy, and inexpensive.”

2023 01 05 11 26
2023 01 05 11 26

Ingredients

Directions

  • Preheat oven to 350. Lightly grease/spray a deep 13 x 9 baking dish.
  • Place shredded cabbage in bottom of baking dish; set aside.
  • Brown and crumble ground beef (or turkey) with the onion and red bell pepper; drain and return to the skillet.
  • To the drained beef mixture, add the diced tomatoes (undrained), salt, pepper, sugar and caraway seeds. Stir together well and spread this mixture over the cabbage in the baking dish.
  • In a small bowl, stir together the tomato sauce and sour cream, blending well. Spread this mixture over the beef mixture in the baking dish.
  • Cover and bake for 1 hour.
  • Uncover, evenly sprinkle with the 1 cup of shredded swiss cheese and bake (uncovered) for an additional 15-20 minutes.

2023 01 05 11 27
2023 01 05 11 27

Confessions Of A Woman Who Had Her Rapist’s Baby

 

What happened?

When I was 19 years old, my 38 year old boss held me against my will for 3 weeks and raped me multiple times. I ended up pregnant and have been raising my son for the last 8 years.

How did he keep you against your will?

He told me we were working in a different city for a few days. He proceeded to take me to his elderly mother’s house. She had some sort of dementia so she didn’t understand what was going on. He took my keys and my phone and if someone called me, he would send a text pretending to be me. He kept me in a constant state of buzzed by only allowing me to have drinks with alcohol.

At night I was to take a sleeping pill with alcohol so I wouldn’t wake up and sneak away. I tried to fight him, but he had 100 pounds on me and I didn’t stand a chance against him.

Did he go to jail?

No. The cops failed to properly investigate claiming the lack of physical evidence made this a he-said/she-said case so it basically wasn’t worth their time.

Is there no way to hold this guy accountable?

The statute of limitations was up 2 years ago. Because they failed to properly investigate and didn’t place charges against him, there is nothing to be done to hold him accountable.

Did the police/authorities give you a reason they wouldn’t investigate someone’s three week abduction?

Lack of physical evidence. And because I went willingly (I thought we were going for work) it wasn’t considered an “abduction” because I was an adult.

Was abortion ever something that crossed your mind?

There was a moment where I seriously considered it. But due to my faith, I just couldn’t do it. However, I would NEVER agree to FORCING a woman into carrying their rapist’s child. I had my faith to hold onto, but even then, it was the hardest thing I have ever had to do.

I also want to add that I had considered adoption, but when I had my first ultrasound and saw the heartbeat, I felt this overwhelming love and I knew we would survive this together.

Does your son look like him?/Do you love your son?

Luckily my son looks like a mini male version of me, so I never feel like I’m looking at my rapist. And I absolutely love my son with all my heart. This wasn’t his fault anymore than it was mine.

Does your son know?

No. He knows that another man “put him in my belly”. I WILL NOT EVER tell him about the rape.

There is absolutely NO circumstance in which this information will be beneficial to my son. I will not withhold any pertinent medical information, etc.

As far as he will ever know, it was a one night stand with a guy who turned out to be dangerous. He has a long criminal rap sheet that I can refer to.

He knows that another man “put him in mommy’s belly”, but he’s never pressed beyond that because my husband is “daddy” and always has been. He’s an amazing father so my son has no holes he feels need to be filled.

I’m aware that he may ask more questions as an adult, but I plan to be as vague as possible. Make him believe it was just some one night stand or something. The truth would just destroy him.

Is the rapist trying to claim parental rights? Does he pay child support?

He he fighting the petition to terminate his rights. He hasn’t made any attempt to contact my son, but he’s trying to make it as difficult as possible for my husband to adopted him.

I never sued for child support because that would give him an open to visitation rights. I have done everything I can to protect my son from him.

He is a rotten human being. Still wants to feel powerful my making you feel miserable.

That’s exactly why he’s doing this. It’s always been about power. 9 Years later and he still holds some power in my life. He isn’t going to give it up.

Have you ever been scared of your child ending up like the father?

Absolutely! It’s one of my biggest fears. But my husband and I are raising him to respect everyone, not just women. I will do whatever it takes to raise him to be a productive and successful member of society.

Has this created fear of intimacy or having future children ?

Initially it was extremely difficult for me to enjoy intimate moments. But then I met my now husband when my son was 16 months old.

He was patient and sweet and our relationship was about more than sex, so I grew to trust him and allow myself to enjoy the intimacy with him.

Still, every year, the month of June I subconsciously shut down the “funky town train”. My husband always understands and allows me to initiate when I’m ready to be intimate again.

Due to my amazing husband’s patience, we have actually had 3 more children in the last 5 years.

What advice do you have for people in your situation who did not get the rape allegation immediately pursued?

EMDR therapy! You may not be able to put your rapist away, but at least you can work through the trauma and not let it control your life. Take back your life!

What is EMDR?

Eye Movement Desensitization and Reprocessing (EMDR) EMDR.com explains the process. Basically it reprograms your brain to dissociate the emotion from an event. It’s extremely helpful for all forms of PTSD. 🙂

How did going through the emdr therapy feel?

The first few weeks were ROUGH… soooooo much was brought up and I wanted to quit so many times. But it’s amazing how the process works through your trauma, separating the emotion from the event.

For about 3 days after each session you will feel everything again. But by the 4th or 5th day, the portion of your trauma you worked on during that session is suddenly easier to think about without breaking down.

It’s really the most indescribable experience.

Twitter Files Show How The Deep State Conquered Social Media

Matt Taibbi provides a summary of the recent revelations of Twitter manipulations in service of partisan government entities. The publication of the ‘Twitter files’ came in several Twitter threads from writers, left and right leaning ones, who had been given access to the files and internal Twitter communication.

Capsule Summaries of all Twitter Files Threads to Date, With Links and a Glossary

There have been 12 threads so far. Some of these are of special interest:

Twitter Files Part 1: December 2, 2022, by @mtaibbi

TWITTER AND THE HUNTER BIDEN LAPTOP STORY

Recounting the internal drama at Twitter surrounding the decision to block access to a New York Post exposé on Hunter Biden in October, 2020.

Key revelations: Twitter blocked the story on the basis of its “hacked materials” policy, but executives internally knew the decision was problematic. “Can we truthfully claim that this is part of the policy?” is how comms official Brandon Borrman put it. Also: when a Twitter contractor polls members of Congress about the decision, they hear Democratic members want more moderation, not less, and “the First Amendment isn’t absolute.”

We will later learn that it was the FBI, which had the Hunter Biden laptop material and knew it was real, which pushed Twitter to censor the story by claiming that it was ‘Russian hacked’ material.

Twitter censorship:

Twitter Files Part 2, by @BariWeiss, December 8, 2022

TWITTER’S SECRET BLACKLISTS

Bari Weiss gives a long-awaited answer to the question, “Was Twitter shadow-banning people?” It did, only the company calls it “visibility filtering.” Twitter also had a separate, higher council called SIP-PES that decided cases for high-visibility, controversial accounts.

Key revelations: Twitter had a huge toolbox for controlling the visibility of any user, including a “Search Blacklist” (for Dan Bongino), a “Trends Blacklist” for Stanford’s Dr. Jay Bhattacharya, and a “Do Not Amplify” setting for conservative activist Charlie Kirk. Weiss quotes a Twitter employee: “Think about visibility filtering as being a way for us to suppress what people see to different levels. It’s a very powerful tool.” With help from @abigailshrier, @shellenbergermd, @nelliebowles, and @isaacgrafstein.

The above one is of special interest to me.

Until late 2021 my Twitter account @MoonofA, which I mostly use to promote my writings here, was not allowed to grow beyond 19,500 followers. There were also signs that tweets by me were not shown to users who were following me. After my account was released from the growth prison it rapidly grew to 47,500 followers in the fall of 2022. It then again went into growth prison for no discernible reason and without me getting any notice of it. Now anytime my follower count increases by 100 or so it will automatically be slashed back to 47,450 followers. There are also again signs that tweets from my account are again ‘shadowbanned’.

Yesterday @semperfidem2014 retweeted my latest:

Blue Check Brandon @semperfidem2004 – 21:27 UTC · Jan 5, 2023Well worth reading

Moon of Alabama @MoonofA · Jan 4New on MoA:
Ukraine – The Big Push To End The War
https://moonofalabama.org/2023/01/ukraine-the-big-push-to-end-the-war.html
Image

Then @New_Westphalian responded to @semperfidem2014;

New Westphalian @New_Westphalian – 21:47 UTC · Jan 5, 2023Replying to @semperfidem2004

If you hadn’t retweeted that, I doubt I’d have seen it. I follow MoA, never see a single tweet.
Not sure the cleanup has been entirely successful yet.

Well, I do not think that Elon Musk bought Twitter to do a ‘cleanup’. I believe he wants to use it for his own purposes whatever those may be. If it requires a new deal that gives government entities censoring access in exchange for whatever Musk’s wants or needs he will agree to that.

Back to Matt Taibbi’s summaries. Thread 3 to 5 were about Trump’s removal from Twitter. His account was locked despite the fact that he had not violated any of Twitter’s internal rules.

Thread 6 to 12 are about the government infiltration of Twitter from every angle, the Pentagon, the three letter agencies as well as various other entities started to censor free speech on Twitter:

Twitter Files Parts 11 and 12, by @mtaibbi, January 3, 2023HOW TWITTER LET THE INTELLIGENCE COMMUNITY IN

and

TWITTER AND THE FBI “BELLY BUTTON”

These two threads focus respectively on the second half of 2017, and a period stretching roughly from summer of 2020 through the present. The first describes how Twitter fell under pressure from Congress and the media to produce “material” showing a conspiracy of Russian accounts on their platform, and the second shows how Twitter tried to resist fulfilling moderation requests for the State Department, but ultimately agreed to let State and other agencies send requests through the FBI, which agent Chan calls “the belly button of the USG.”

Revelations: at the close of 2017, Twitter makes a key internal decision. Outwardly, the company would claim independence and promise that content would only be removed at “our sole discretion.” The internal guidance says, in writing, that Twitter will remove accounts “identified by the U.S. intelligence community” as “identified by the U.S.. intelligence community as a state-sponsored entity conducting cyber-operations.” The second thread shows how Twitter took in requests from everyone — Treasury, HHS, NSA, FBI, DHS, etc. — and also received personal requests from politicians like Democratic congressman Adam Schiff, who asked to have journalist Paul Sperry suspended.

The big mainstream media have been quiet about the Twitter revelations. The New York Post and a few other right leaning outlets had a piece about the attempt to ban columnist Paul Sperry:

Dem Rep. Adam Schiff wanted journalist Paul Sperry’s account suspended over reporting on Trump whistleblower, Twitter Files reveals

The journalist in question was Paul Sperry, a Post columnist who in January 2020 wrote an article for RealClearInvestigations about the purported “whistleblower” behind former President Donald Trump’s first impeachment, for which Schiff served as a House manager.In the article, Sperry said then-CIA analyst Eric Ciaramella was overheard talking in the White House with Sean Misko, a holdover staffer from former President Barack Obama’s administration.

A former official who reportedly heard the conversation told Sperry, “Just days after [Trump] was sworn in they were already trying to get rid of him.”

Misko later left the White House and joined the Intelligence Committee, which Schiff chaired, Sperry reported.

The email posted by Taibbi shows that Schiff’s office asked Twitter to take five specific steps that an unidentified company employee said were “related to alleged harassment from QAnon conspiracists.”

They included, “Remove any and all content about Mr. Misko and other Committee staff from its service — to include quotes, retweets, and reactions to that content.”

In response, another unidentified Twitter employee wrote, “no, this isn’t feasible/we don’t do that.”

Schiff’s office also asked for suspension of “the many accounts, including @GregRubini and @paulsperry_, which have repeatedly promoted false QAnon conspiracies and harassed” someone whose name is blacked out.

The Twitter employee responded to that by writing, “we’ll review these accounts again but I believe [name blacked out] mentioned only one actually qualified for suspension.”

In an email Tuesday, Sperry told The Post, “I have never promoted any ‘QAnon conspiracies.’ Ever. Not on Twitter. Not anywhere.”

“Schiff was just angry I outed his impeachment whistleblower and tried to get me banned,” he said. “I challenge Schiff to produce evidence to back up his defamatory remarks to Twitter.”

The only major and good piece written about the Twitter revelations I know of is by Lee Smith in the otherwise not readable Tablet Magazine:

How the FBI Hacked Twitter
The answer begins with Russiagate

This one is well researched and well written. It shows that the whole manipulation by the FBI was and is done in partisan interest with the war-mongering parts of the Democrats being the main beneficiary. The piece is quite long but I recommend to read it in full.

You may think that the paragraph below is exaggerated. However, the evidence following it fully supports the conclusion:

In fact, the FBI’s penetration of Twitter constituted just one part of a much larger intelligence operation—one in which the bureau offshored the machinery it used to interfere in the 2016 election and embedded it within the private sector. The resulting behemoth, still being built today, is a public-private consortium made up of U.S. intelligence agencies, Big Tech companies, civil society institutions, and major media organizations that has become the world’s most powerful spy service—one that was powerful enough to disappear the former president of the United States from public life, and that is now powerful enough to do the same or worse to anyone else it chooses.

All of this was build in secret. All of it can be secretly used against any target. It is also interesting that the fake issue of ‘Russiagate’, like the ‘Skripal affair’ in Britain, was to a large part the preparatory buildup to the current war in Ukraine.

While the Twitter files have now given us some knowledge of this they will not change anything. The Republicans are too weak, too corrupt and too susceptible to blackmail to seriously get into the depth of the whole issue.

Posted by b on January 6, 2023 at 17:26 UTC | Permalink

Ground Beef Stuffed Green Bell Peppers With Cheese

“We had these not too long ago and we both decided that they needed a little more seasoning. We put a little hot pepper sauce on them and they were much better. I would probably include 2 teaspoons in the meat mix the next time that I make them.”

2023 01 05 11 22
2023 01 05 11 22

Ingredients

Directions

  • Cut off the tops of green peppers; discard seeds and membranes.
  • Chop enough of the tops to make 1/4 cup, set aside.
  • Cook the whole green peppers, uncovered in boiling water for about 5 minutes; invert to drain well.
  • Sprinkle insides of the peppers lightly with salt.
  • In a skillet cook ground beef, onion and 1/4 cup chopped pepper till meat is browned and vegetables are tender.
  • Drain off excess fat. Add drained tomatoes, salt, Worcestershire, and a dash of pepper.
  • in a separate pot put water bring to boil add rice cook until rice is soft. if needed add more water.
  • add rice to beef mixture.
  • Stir in cheese. Stuff peppers with meat mixture. Place in a 10x6x2 baking dish.
  • Bake, covered in a 350 degree oven for 30 minutes. in the last 5 minutes add any remaining cheese to the top of the peppers.

2023 01 05 11 24
2023 01 05 11 24

What’s It Like To Know You Are Going To Die Soon?

How does it feel to know you are dying? That is a difficult question, because the answer can be different from day to day or even from hour to hour. The feeling, range from denial, to fear, to guilt, to anger, to sadness and to acceptance. It is the same, I suppose, as any grieving process. You can’t work your way through one set of feelings and neatly move on to the next.

It is almost like being at the beach, standing in the water on a windy day. A wave comes at you and almost knocks you off your feet. You struggle and regain your balance, just in time for the next wave to hit. Over time, the strength of the waves subside and you think your footing is a little more secure. Suddenly, out of seemingly no where another large wave hits and you almost loose your balance again.

I suppose that pretty much describes the grieving process for anything. You can be hit by wave after wave of denial or anger, what ever, each wave trying to knock you down. The emotional waves don’t hit in any particular order or strength. Gradually, these waves do lessen in strength or intensity and you come to the peacefulness of acceptance. You are still not out of the water, and at anytime a wave can suddenly come back and hit.

Over time these emotional waves become less frequent and less severe. For me acceptance came almost as a relief. Knowing, I would not have to deal with the roller coaster ride of emotions, the ups and downs. Am I totally free of these feelings, no. I don’t really know, if I ever will be totally free. As long as you are alive, how can you be totally free of your feelings? Accepting them is one, thing being free of them is another. The waves have just been downsized and more easily manageable.

Maybe, I am still in an element of denial. I know what the doctors have said and I accept that. I just don’t think it is going to happen any time soon. Is that denial or just the human spirit pushing us on? I don’t know. With acceptance does that mean I have given up? No. Does that mean I have lost the will to live? NO. All it means is I am ready to go when God calls me, but not one minute before that. I do not fear death, I just want to delay it as long as possible.

– Bill Howdle

Cat rescued from the cold

Strange world, indeed. But not our problem

Today we are going to chat a tad bout really evil people.

We need to be reminded about these people, because these are EXACTLY the kinds of people who are running the show in Washington DC today.

By understanding this, we can then except the events that will occur later on towards the end of this year…

MM Geo-Political lesson 101

There is an easy and simple way to determine the relationships between nations.

  • Allies = Each have the others military forces stationed inside BOTH nations.
  • Friends = Neither have military forces stationed in the nations.
  • Proxies = One nation has their military forces inside the proxy nation.
  • Enemies = One nation surrounds another nation with it’s military forces.

Could Russia and China combined defeat the USA?

China is lowkey doing SO right now on its own! And has been for the last few decades???

China is singlehandedly dragging other nations up to another level! A level where its a level playing field with the US and the collective West!

The collective West are panicking now because EVERYONE ELSE is either on equal footing or at least progressing / catching up to them with the help of China to the point where EVERYONE can just say NO to the collective wests BS poking and prodding!

Without China Russia wouldn’t be so bold

ALL WITHOUT GETTING ANGRY AND FIRING ANYTHING

It sure aint the Chinese getting antsy

We’ll let the Pelosi thing go for now hue hue hue hue XD

AND YES a combined SinoRussia is undefeatable!

Thats 2 ancient civilizations with history and pedigree working together as one!

Its what we have right this very moment! China and Russia are right now an unspoken alliance

i think either Putin or Xi said the relationship was better than allies! that almost qualifies for friends and family discounts!

7 Confessions That Will Take You On A Rollercoaster Of Emotions

 

1. Me and my wife were having serious issues and then she got sick with cancer. That came like a mack truck. She said a lot of the grief she gave me was because she knew she was sick and was ashamed of burdening me and leaving me as a widow dad of four kids under 13.

I took care of that woman like she was one of my boys. That experience took 10 years off my life. Her death was easy compared to the aftermath.

I was going through her computer and saw that she had a separate email account which was odd. That was on purpose. This bitch was planning on blindsiding with a divorce and was going back and forth with different lawyers about making me a weekend dad, throwing me out of my house and even seeing if I’d pay her legal bills. This went on a week before she saw the doctor.

This slag used me to take care of her in her final days because no one else would. I won’t tell my kids…yet.

2. I was working for a company (out of many like this) dedicated to entirely manage OnlyFans accounts for girls. Basically these girls never did anything else than just taking the pictures and videos for us.

80% of workers were guys, 20% were girls, but it’s all fake. Even when you think that the person is real and is actually talking to you, she is not.

Even when the account is verified, it could be fake.

I feel bad because I talked to MANY guys who fell in love for a girl who doesn’t even know they exist and we had to take as much money as we could from everyone, that was the goal.

We were so good at it that our standards were 700-1000 USD per day with each account, and each worker had to manage 6 accounts, so imagine the amount of money we made monthly.

So my advice: only pay OnlyFans girls when they give you a live proof that they are real, like making an specific gesture with their hand on a selfie. Don’t trust videos where they say they are real, don’t trust audios, don’t trust pictures that seem taken at the same time… Or just watch porn.

Also: it’s a PPV site and therefore it’s just a business, so don’t be the guy who just wants free content, it’s annoying af, real or not 🙂

3. So I’m not sure exactly where to put this so I thought here would be an okay place. Lately I’ve been seeing in a lot more liberal groups especially, that saying poor people shouldn’t have children is eugenics and it’s wrong blah blah blah. But I feel like a lot of people saying this haven’t had to experience growing up poor. In poverty, and homelessness.

My parent’s were poor and could barley take care of themselves, they lived in a motel when they got pregnant with me.

My entire childhood was a horrible experience having to grow up and not knowing if you were going to get fed, or have a roof over your head, it fucking sucked.

It hurt even more since I lived in a town where eveyone was well off, so as a kid I never got to experience things a lot of other kids do, like going to camp, taking summer trips to Disneyland, or playing their games on their nice TVs.

Growing up being the oldest girl I raised both of my little brothers since my parents couldn’t afford childcare, which led to me missing out on opportunities with my peers, I was so socially awkward for not being around people my age enough that even to this day.

I am 21 years old and all my friends are in their early 30s late 20s because I feel like I cannot relate to people my age.

I feel like I was forced to grow up way to fast and I would be lying if part of me said I didn’t resent my parents for having me knowing they couldn’t afford to.

I dont know, if you’re someone who can barley take care of themselves, do yourself and your future child a favor and just put off having children until you can afford them.

I think a lot of people see having children as a right, instead of seeing a child as a human being that has rights.

And sorry if I hurt anyone’s feelings by saying this, but yes I truly believe if you’re poor you shouldn’t be having children, it’s not you who suffers, it’s the child.

4. Gave the short guy a chance and it was totally worth it

I started seeing this shorter guy, 5’5, and I really like him. I myself am 5’11, so there’s already a huge difference. He carries himself with so much confidence, he doesn’t even care if I wear heels which I have when we go out.

Phew, the sex is amazing too. Definitely the best I’ve ever had. I’ve never had someone fit between my legs so perfectly before.

Now whenever I see a shorter man, I wonder what they’re like in bed and I feel an instant attraction. Go short kings! Shoot your shot at the tall girls!

5. I unironically love Imagine Dragons

I get it. It’s “corporate rock.” They’re “sellouts.” It’s “fake” music.

But the surge of emotion I feel listening to “Birds”, and the way I bop my head with confidence to “Enemy” is very real.

Guys, we are ALL being manipulated by all forms of media, all of the time. Marvel is designed to be cinematic brain candy. Pop music is the same. We crave distraction and bursts of dopamine first, and meaning and profundity second.

And while I do love GOOD music too, I’m finally ready to stand up and say this. It feels like a weight has been lifted off me.

6. I’m 30 and my little brother is 24. He’s honestly the best brother I could have asked for. I still remember the day he was born and holding my newborn baby brother when I was a kid. As we grew up I always knew he was different but he’s my brother and I love him and I wouldn’t change him. He’s honestly one of my best friends and having a brother with downs syndrome, especially one like him has made me a better person.

Last month my parents went out of town for a few days and they asked if I’d stay with my brother and take care of the house. While he might have downs syndrome he’s not entirely helpless he just can’t really be on his own like that. So of course I agreed and brought my PS5 over and some games, snacks and beers, I called out of work for a few days and figured we’d have some fun just like when we were kids.

We were having a great time and were talking the first day while taking turns playing Elden Ring and my bro started the game and got to the part where the guy calls you “maidenless” and I said something like “Ooooo he called you maidenless bro…daaaaaaamn.” and my brother got super sad looking and I asked him what was wrong and he said, “I am maidenless. I’ll never have a girlfriend.” So we talked about that for a bit and he was actually feeling super depressed because he’s never had a girlfriend and didn’t think he ever would. We kind of talked it out and he was really sad and I decided fuck it we can find him a girl.

I called a couple people I knew who were into that sort of thing to see if they knew anyone. Well one of my buddies had a number of this girl so I gave her a call. She was actually super sweet and understanding about the situation and she said she’d be glad to show my brother a good time for her usual rate. She had a cousin with downs so she was very sympathetic.

I told my brother I’d pay a girl to come over and show him some fun on the condition that our parents will die without knowing I hired a prostitute to come to their house. He agreed.

So I made plans for her to come over, got my brother shaved and showered for his date. She showed up dressed up super nice she was also super hot. I’m no chef but I had picked up some food from Olive Garden for them. She was a genuinely nice person and was really good with him and I just left them to do their thing while I played the playstation in the livingroom. Eventually they passed by going upstairs to his room. I put my headphones on and kept playing.

Eventually they came back down and both had big smiles on their faces. She hung out with us a bit then it was time for her to go. I handed her the cash plus some extra as a bonus. She said my brother was a sweetheart and that I was a good brother to do something like that for him and that she had a good time. She handed me half the cash back.

Eventually my parents came back and thankfully are none the wiser as my mother especially would probably kill me. My brother is super appreciative and said it was the most fun he’d ever had.

I have no regrets.

7.I can’t really say this out loud to anyone in my personal life because it would hurt my family members and my friends would try to console me because they love me but honestly, my life has been ruined due to my ugliness.

I’m not overweight, I dress well and I do my best to treat others well but none of this matters because I simply have a very ugly face. No men have ever approached me or wanted to date me because why would any man want to date a hideous woman who doesn’t even look like a woman? I don’t blame them, I mean I could be dressed in the most feminine clothing and i would still not look like a real woman because of my face

My entire life, a lot of people have been mean to me (including some relatives) because of how ugly I am – it’s so sad but true that even little kids who are perceived as “unattractive” get treated worse by adults

I’m only in my 20s but it’s so painful to know that I’m never going to get married or have my own children with a spouse who loves me.

I understand that it’s not any one else’s job to try and make me feel better about the fact that I am ugly because it’s not like anyone intentionally did this to me.

I have siblings who are actually reasonably attractive people so it’s not even like my parents genetics are necessarily bad but I just ended up with the worst combination of their genes and honestly, what is the point of living like this.

I feel so alone in this experience because I’ve barely ever met any other women who are naturally unattractive. Every woman I know gets hit on by men and approached for dating. I wish I knew what it was like to have a man want me sexually

Angering…

14 People Reveal How They Found Out Their Partners Were Cheating

 

1. My wife tried calling and didn’t hang up once it went to voicemail. She accidentally left a 2:45 VM. The VM consisted of her and her BF talking shit about me and how much happier she was with him.

At the time I was home with our kids and I thought she was 1,800 miles away to be with her dying father. Two years later we are divorced and her father is still alive.

2. She needed a new phone so I put it in my name since I was working, 2 hours after leaving the store and having lunch with me joking about how excited she was to get married I found a photoreel full of pictures she had been exchanging with her ex whilst transferring her stuff to her new phone.

I put 2 and 2 together and opened her text inbox and surprise! She had been texting him for months and fucking him for a few weeks.

3. I counted the pictures.

This was in 2000, before everyone had a camera on their phone and you had to get your holiday snaps developed at the Walgreens photo lab.

She brought home the pictures of her vacation to see her “old high school friend” in Vegas, but there was something missing. See, back in the day the rolls of film were made up of exposures of 12, 24, 36 pics, etc. depending on the film you bought.

I went through the photos and it didn’t add up. Literally. The 24 exposure roll only had 18 pics. Eventually I found the remaining photos…her and the new boyfriend looking happy.

4. My super genius ex wife bitched about the cost of our joint cell phone bill. She told me I’m using it too much. I never used it except to call her phone which was free. So I looked over the bill and found the number to the dude she was cheating with.

5. iMessage linked to her computer. I was on her computer buying stuff off Amazon and she was sitting on the couch, feet from me, texting her ex. He was trying to get her to send him nudes and they were reminiscing about previous encounters. He was bragging about how he made her “leg shake” all the while I was watching the conversation in real time, right next to her. My adrenaline kicked in and I simply closed the computer and left the house without saying a word. This was over a year ago and I’m still fighting her for custody of our children.

6. She became more and more distant. Not just that, but also indifferent. She just stopped caring and it showed. I caught her because she claimed she was working OT, but her pay never reflected it. One day I got out of work early after a machine broke down in the department. I didn’t tell her. When I came home, I caught her red handed with someone in our bed.

7. I had a feeling for a while, it was always denied of course – directly and through a massive amount of gaslighting. Ultimately, the other woman was also married and her husband called me at work (found me via LinkedIn). Took everything I had to walk to my car to cry in private.

8. Back when cell phones had limited minutes, I got notified that we were over our limit. Checked the last bill and nothing but her and her boss talking at all hours of the day. Then she stupidly left her e-mail open on my computer and I got to read how he enjoyed touching her boobs a lot.

9. Just got on a flight in London headed to Vegas. Sitting next to my GF and she wants to show me something she has planned for the trip so gets out her phone.

It opens to the Messages and shows a chat with a guy (I know him) saying how much she is gonna miss him and how she doesn’t wanna go away with me anyway.

The doors close on the plane and that was a really fucking fun 10-11hrs…

10. I know this is cliche and I’ve definitely bitched about it before, but coming home from a 12 MONTH deployment to a BLACK baby when we’re both white were strike one and two. Strike three was telling me she had black relatives (whom I’ve never met) so that could be what happened, sure. Doesn’t matter what excuse You have there isn’t a magical 12 month gestation period. Makes me very fucking angry.

11. The dude told me. We used to go to school together. Saw him in Facebook and requested friendship. He asked me how I was doing and I told him that I was great. Girl and I were about to celebrate our anniversary. He apologized to me and said he had to tell me that they had been fucking for the last week. Said he didn’t know we were together and I believed him. He sent me screenshots of pages of text as proof. She got called out by the both of us and we are still very good friends, he and I. Fuckin lying skank.

12. I woke up in the middle of the night when she was in the bathroom. Used her phone as a light. Saw texts from her ex about how good it felt to cum inside her the day before.

13. She forgot to end the call, you know, press the red button. I heard them talking about who was going to shower first.

14. She was in the toilet. Her phone flashed up. The message notification read “Did you tell him?”.

Apparently the message was about something completely different, but after I bluffed her into thinking I know more than I do – a name and that something’s up, she “confessed”.

10 years relationship destroyed. No turning back. Easier to build up trust with a new person the. with someone that cheated on you. And in long term relationships, marriage, you have to trust each other.

Imagine living in such a mess that you don’t notice a leg of someone you murdered lying around.

https://youtu.be/F1oeZe6SET4

What’s It Like To Have Alzheimer’s?

This is an excellent question, and one I’ve considered often in the last decade-plus of working with such folks.

First, it depends upon the stage of dementia: mild, moderate, or severe.

In mild dementia, it seems to be like being a functional alcoholic’s day, as far as cognition goes. You’re able to do what you need to do, but some little things get missed, such as your T-shirt is on backward, but you don’t notice, or you can’t find the sugar bowl, so you start taking apart cupboards and end up going without coffee and the kitchen is a mess. Later, you swear you did not do that. You have no memory of doing it, and the more another person argues that you did indeed make that mess, the angrier you get. You did not. He or she is lying.

The whole day goes like this—close to normal, but not quite. Routines are easy, but anything new is more difficult. And, if asked about someone or thing from earlier in the day, you may or may not remember the event. By the end of the day, you’re tired of thinking, but your brain keeps throwing up odd thoughts and ideas—things like, “I can’t find the car keys. Someone must have stolen them! I need the car keys.” You may wander, rummage, pull things out of drawers for a couple hours, at the end of which you may be unable to tell anyone what it was you were searching for. Even more telling, you may not have driven a car for the past five years.

During moderate dementia, each day is more moment to moment, and routine is your friend. Anything that is routine is easier for you to experience. Breakfast, lunch, dinner—that’s how your day is scheduled. But something out of the ordinary, like a doctor’s appointment, can throw you. You may balk at going, at getting dressed and getting in the car and going. There’s so much mental stimulation involved in such a nonroutine event that you prefer to stick to what you know: breakfast, lunch, dinner, and maybe sitting in the sun, watching the world go by.

Activities like taking a shower can become difficult for you. If you think about it, a shower is an event that is very high in stimulation of all sorts. The bathroom is very separate from your normal living space—usually hard-edged and cold-seeming. Then you must take all your clothing off—that’s just a lot of stimulation itself, and the memories loosely associated with nakedness are also fraught with stimulation. The shower makes noise, the temperature difference is apparent, there’s soap and shampoo and the scrunchie thing, water in your eyes, your ears, the space is confined, and by this time someone is usually in there with you, “helping,” which is just weird, no matter how much you understand and accept that you need help. It’s one diagnostic sign of moderate dementia: You may start to not like to be washed and clean—shower or bath.

I remember a gentleman in the facility I worked at in Washington state. He was new and hadn’t been showered at the hospital, so on his first full day, the aides gave him a shower. He spent the rest of the afternoon in tears because, “They threw me in the corner and pelted me with rocks like a piece of trash!” That’s what he felt like. Another woman would walk up and down the corridors but stay far away from windows, saying “There’s Indians out there! They’re going to attack!” It took a long time to figure this one out. She would pace and pace and could not sit still, always talking about Native Americans shooting arrows at us. Finally a nurse asked her if she had been hit by an arrow. Yes, she said. Where’d they get you? Right here, and she clutched her low back: Arrggghhhh! It hurt so much! Going through her medical history a bit closer, we discovered she had been in a car accident years before and suffered a low back injury. She’d been telling us for weeks what was happening to her, but not in a way that made sense to us. To her, it made perfect sense: It felt like an arrow in her back. And who used arrows? American Indians.

You are losing words, but it doesn’t matter much since those around you ignore that loss and fill in the blanks. Sometimes you cannot understand what someone else said, like he is speaking a foreign language, and this can make you automatically refuse whatever is being spoken about—that, too, makes a certain amount of sense. Someone babbling to you in a foreign language and making “Come with me” motions is someone to view with suspicion, don’t you think? Moderate dementia is usually the longest part of the disease, which is why I’m spending so much time on it.

The slow slide into severe dementia is sometimes difficult to spot as far as an actual line of demarcation, but one sign is sleeping more and more often. Even during formerly pleasurable activities, such as familiar and enjoyed music, the damage to your brain is so profound that the stimulation is not enough to keep you awake. You sleep, perchance to dream, but we don’t know. We know that damage to the areas that are usually lit up like a Christmas tree during dreaming is profound, but since we don’t really understand sleep or dreaming, it seems rather cruel to take someone who doesn’t do well in new situations into a sleep lab and wire his brain for sound and color, stick him in a tube, and say, “Don’t move.” So we don’t know. But that is one of the things I’ve always wondered about; it seems to me by the time you are in severe dementia, the difference between awake and dreaming is invisible.

Speech is limited. You may have a full thought in your head, but only one or two words come out, if any. Caregivers learn to listen for the first two or so words and try to discern what the thought is from there, because that’s usually all we get. Eyesight is odd; you don’t know what it is you are seeing. My current furthest-along-in-Alzheimer’s resident recently did not recognize a puppy. She saw it, she gazed at it, I placed her hand on it, but she looked at her hand and not the puppy, and there was absolutely no engagement between her and the stimulation provided. She no longer hears music, which is a shame, because she loved music her whole life long. We still play it for her, and we still put on her favorite musicals, but there’s no engagement anymore. She does not hear or see any of it other than perhaps a fleeting spark of memory, now gone.

In severe dementia, everything is moment to moment. Routine means nothing anymore, because there is no past or future, only now.

And then you start your last slide into end-stage dementia; you sleep 23½ hours out of 24, and when you are awake, you may as well be dreaming. You do not meet anyone’s eyes. You do not react in any manner to much beyond very painful stimulation. You are almost gone. We try to feed you, but you don’t seem to know what to do with the food in your mouth, and you may choke, which could result in aspiration pneumonia—never a good thing. Your urine output drops, peristalsis decreases, and your body temperature may rise. And as your organs start to shut down, you sleep, and sleep, and sleep, and slip away, very peacefully. You’re gone.

That’s what Alzheimer’s-type dementia is like.

 – Jae Starr

https://youtu.be/S7zSa5VAu7Q

Stunning Vintage Pictures Of The London Underground Through The Times

2 33
2 33

One of a series of photographs by Henry Flather showing the construction, undertaken between 1866 and 1870, of the Metropolitan District Railway’s (MDR) underground lines between Paddington and Blackfriars via Kensington. It shows Notting Hill Gate Station shortly before it opened in 1868.

The line laid by the MDR from the 1860s onwards was designed to provide a rail connection for travellers between London’s mainline railway terminals, situated in a ring around the city centre. It now forms part of the existing District and Circle Lines on the London Underground. The construction work, utilising the “cut and cover” technique, caused much disruption to London neighbourhoods. (Photo by SSPL/Getty Images)

1 3t3
1 3t3

Opening of the London underground metropolitan line in London, Britain, 1863. (Photo by Rex Features/Shutterstock)

14 17
14 17

13 19
13 19

12 21
12 21

11 22
11 22

10 23
10 23

9 24
9 24

8 27
8 27

7 27
7 27

6 28
6 28

5 30
5 30

4 32
4 32

3 33
3 33

1 3t3 1
1 3t3 1

What’s It Like To Go Crazy

I went mad once. I even was institutionalized and put under anti-psychotics. I was conscious of it, at first, I guess it was because I’m introverted. I noticed my mind was working awkwardly, logical actions started to seem illogical. Things like Why am I stuck in this line when I could just walk over that table and get to the door?

Another symptom was that the stress was gone, things that normally worried me wouldn’t cause me anxiety. I felt so light, so good, so confident, full of energy (and I haven’t been sleeping well lately), and colors looked brighter. I’m a pretty shy person, but in that time I could talk to anyone of anything without feeling uncomfortable. I was concerned about this changes, so I told my parents that I believed I was going crazy. They told me that there was no way that I was crazy, because crazy people don’t know they are crazy. They told me that my extraversion was a sign of maturity.

Days passed and the symptoms worsened, I stopped sleeping because I found it unnecessary, and not only I kept questioning normality, but also I started questioning reality. If reality is just stimuli interpreted by my brain, then does objetivity actually exists? what is the difference between a dream and reality if both are dependent on the brain? what if reality is just like a non-lucid dream, what if it just had turned lucid, and now I’m able to control it? Maybe that is what people call awakening, maybe that is what people call enlightening, they got it all wrong!. And I googled it, and people talked about life being a dream, and it reinforced my theory.

And then I became a god.

Reality is a dream, and I’m the dreamer. What is outside the dream? Nothing. What is the sense of life? why did I create this? Why is there suffering in the world? Because I was bored. There is no good or wrong, it is just a game for my enjoyment. A simulation to learn about myself, the only one. I’ve created this setting, I’ve divided myself into different points of view, and I’ve made me forget everything to made it more interesting, to see how much time does it takes me to get the pieces together, and to see how my pieces interact. And then what? Then I start again, thanks to my ability to forget, I can play this forever.. While all this thoughts assaulted me I would continue with my rutine, I was quite distracted as you can imagine. Some friends thought I was high (I don’t do drugs).

From time to time, reality would feel real again, I would feel small, with no control over it. I would feel confident, because I knew the truth, I knew that nothing mattered. But then I remember a dream could become a nightmare, and a new concern assaulted me. My own fear could manifest as something bad, and I become scared of being scared. I would feel like I was in a horror movie, pretty much like the dark side of Silent Hill. I would feel a presence, and I would feel alone and helpless. And then I would swich back to the “normal” or god state.

Finally, four days after I told my family that I was crazy, they believed me, but I didn’t belive I was crazy anymore. They found out something was wrong because I skipped a class and went for a walk instead of taking the bus. And then I kind of explained them that nothing wrong could have happened because I controlled everything. We went to a clinic, I wasn’t scared, I thought it would be funny that I would win because I was right and everyone were ignorants. I would just play the game. I received like three different diagnoses, I think they were wrong because they were assuming a cronic condition when there was one occurence. I mean, one of the diagnoses was bipolar and I didn’t even had the second pole.

The meds didn’t make me stop believing life was a dream, but I stopped feeling powerfull. I only learnt to tell the doctors what they wanted to hear. Then my family noticed I was getting bad (no because of madness, but because of medication, they were overdosing me and I had a lot of secondary effects). They seeked for a different opinion and I got another diagnosis: psychotic break due to sleep deprivation(as I mentioned earlier I was sleeping badly, the week before everything happened I spent the nights online and sleept only 2 or 3 hours and then nothing at all). I went home, got some pills to sleep, I slowly dropped the anti-psychotic dose, and I never had another problem again.

It was hard to stop believing the things that made me feel awesome, but I had to do it. I’ve become a bit obsessive about not skipping sleep time, and I still have nightmares from time to time, sometimes I have lucid dreams and I freak out, because I fear I’m not dreaming and it is me going crazy. But appart from that I’m fine. The doctor said that if I didn’t have another episode within two years of dropping the meds then it wasn’t chronic (schizophrenia is chronic), it had been 4 years and I’m sane

The experiences of becoming insane is different for everyone, because every madness is different. But I think they all have in common getting obsessed on one single idea that redefines everything.

Such a heartbreaking story, I really thought the grandmother had something to do with it at first, she was so calm on the phone.. just goes to prove how everyone handles grief differently and of course she must have been on auto pilot due to the shock, I admire her for going to see him after he confessed.

https://youtu.be/IZTRNp715Mo

Mexican-Style Green Chile Chicken Casserole

“This casserole is even better the next day, that is if it even makes it overnight! —you may omit the jalapeno pepper if desired, we like onions so I always use two and lots of fresh garlic, you can increase the green chiles to whatever amount you desire, and use as much shredded cheese and cooked chicken as desired, the amounts listed are only a guideline, this also works well with cooked turkey, prep time does not include cooking the chicken — this is *very* good!”

2023 01 02 11 51
2023 01 02 11 51

Ingredients

  • 2 -3 tablespoons butter
  • 1 large onion, chopped
  • 2 -3 tablespoons fresh minced garlic (or to taste)
  • 1 jalapeno pepper, seeded and finely chopped (optional)
  • 1 13 cups chicken broth
  • 1 14 cups canned green chilies, drained (can use more!)
  • 1 -2 teaspoon cumin
  • 2 (10 ounce) cans cream of chicken soup, undiluted
  • salt and pepper
  • 24 corn tortillas (you might use a couple less than 24, or use as many as needed)
  • 4 cups cooked chicken (or use cooked turkey)
  • 2 cups cheddar cheese (or to taste) or 2 cups monterey jack and cheddar cheese blend, shredded and divided (or to taste)

Directions

  • Set oven to 350 degrees.
  • Grease a 13 x 9-inch baking dish.
  • In a medium heavy pot or saucepan, heat 2-3 tablespoons butter over medium heat; add in chopped onion, garlic and jalapeno pepper, saute for about 3-4 minutes.
  • Add in the chicken broth, green chiles, cumin and chicken soup, season with salt and pepper; bring to a boil and simmer for about 3 minutes or until heated through and combined; remove from heat.
  • Spread about 1 cup of the soup mixture into the bottom of the prepared baking dish.
  • Arrange 5-6 tortillas over the soup mixture, then top with about 1 cup chicken, then about 1/2 cup shredded cheese (or to taste).
  • Repeat the layers (ENDING with the cheese).
  • Spread the remaining soup mixture over the cheese.
  • Bake uncovered for about 25 minutes or until hot and bubbly.

2023 01 02 11 53
2023 01 02 11 53

8 Guys Who Dated Models Reveal What Their Experiences Were Like

1. I dated a fitness instagram model type with 200k followers. Nice girl, but everything was about social media, constantly taking pics and checking her phone

Lots of weird problems around food, couldnt just relax. It was tough so I stopped seeing her.

2. I dated an ex model for about 6 months. There’s no way I would have approached her, instead she gave me her number. She later told me guys never make a move, I guess because they would feel intimidated.

The only thing that really differed from dating someone less attractive (that is less attractive than model caliber) was the number of guys who would double take/stare at her in public. It sometimes seemed like everyone was doing it. She said she was used to it and it began around the time she turned 13!

She had some problems which ultimately ruined the relationship. She asked me to propose to her a month in and she was clearly a hypochondriac. When I broke it off she claimed she was pregnant. Fun times.

3. She could silence an Italian restaurant by waking in, but was a dead fish in bed, had a personality like an oak tree, pretty much parroted Facebook opinions, and was anti anything fun. It was a good month, but I had to walk away

4. My girlfriend is a former model. She used to travel all over the world working shows everywhere. Eventually she transitioned to her own label which she sold off.

I’m not the best looking of guys. I don’t really understand how it was that we got together. I did stop to help her when her car broke down, she invited me to a friends of hers braai (BBQ) and then to her bed.

We have had our ups and downs. Both of us had a tough time with jealousy and suspicion of each other – both of us have been cheated on in the past. I see the way that others – both male and female – look at her, and sometimes flirt with her. I know now that nothing will come of any of it. We have both helped each other mature and grow into the beautiful, annoying couple that we are today.

5. I dated what I consider a 10 last year. Everything was great on paper and we made a good looking couple. That gets old fast though, you stop noticing or caring because it simply isn’t important to your overall happiness unless you’ve got some problems. I understood after a few months how people married to gorgeous celebrities end up cheating or breaking things off. She didn’t want to put as much work into the relationship, presumably after a lifetime of being pursued by men where she didn’t have to. In bed it was a mixed bag as well, very low effort on her behalf and not really meeting me in the middle. She also spent an ungodly amount of money on clothes/makeup.

So while it was cool to be admired by other guys for a bit, ultimately it didn’t work out. She was shocked when I broke things off but not in a good way, almost as if she thought she deserved to be the one doing it.

6. She gets hit on constantly, free drinks on nights out, even if Im standing right there.

Guys always stare just walking down the road. She often dresses intentionally like a slob if we are going for a quick shopping trip or something, just so she doesn’t get as many looks.

When she wants to look good though she is a perfectionists. Takes 3 or 4 hours to get ready sometimes. Different makeup, hair styles to go with different outfits.

Some days she feels unattractive even though she just isn’t and I cant see the difference.

My outfit apparently never goes together so she will pick out one that does.

7. Use to date a instagram model. She was blue ticked and had over 500k followers

Honestly, she was a nightmare. Biggest attention seeker i ever met, wanted to post me to her IG after literally a month of dating..

Safe to say it didnt last long before i ended things

8. I briefly dated a model, she was gorgeous and I’m a very average guy so this was strange for me.

We went to dinner one night and the manager was hitting on her, telling her how he could buy her things, she ended up kicking me under the table to get him to leave.

Others were a guy at the train station trying to hit on her so she kind of just leaned against me, we left. She was actually great, very humble, she moved away but if she hadn’t I’d of been all in.

Talked about trying to be in the same place in the future but it just wasn’t realistic.

Confessions of a Man Who Suffers From Narcolepsy

Do you have a fear of drowning when eating soup?

No, but I typically wear water wings just in case anything goes wrong.

How many times have you woken up with your face on a keyboard?

I can only really recall one or two times where I’ve legitimately fallen asleep on my keyboard (And even then, I more or less let it happen, I’m really lazy). Now, falling asleep while leaning back in my computer chair? That’s another story, I’ve done that countless times.

How long do you usually blackout for, what is the experience like?

The time depends. It’s typically like a short nap or I might just transfer into my night of sleep if it happens late enough (Such as during a movie in the later evening). It kind of comes out of nowhere, although it isn’t a sudden black out.

It’s hard to explain how it exactly happens (For one, because I’m super fucking sleepy when it does), but think of that kid in your class who keeps falling asleep and his head is bobbing. I desperately try to stay awake, but if I don’t actively get up and move around, I don’t stand a chance, I will fall asleep. Because of how sudden the onset of extreme sleepiness is, more often than not I will succumb to it at least for a bit.

Have you ever had any scares or been seriously endangered because of your narcolepsy?

I’ve never really managed to hurt myself. Although narcolepsy is portrayed in the media as a sudden blackout, this is not really the case. It’s more of a sudden onset of extreme sleepiness that can really only be fought through physical movement.

That being said, I’ve had my fair share of embarrassing moments. I’ve fallen asleep in movie theaters, a Subway, during kissy time, at work, and in class countless numbers of times (I’m the kid who does that hilarious head-bobbing thing in class when trying to fight the sleep onset).

Have had a couple scares on the road with dozing off, fortunately nothing bad happened.

Most recently I can recall a time where I was driving home from school to my parents house. They live a good 90 minutes away and almost the entirety of that drive is freeway driving so there isn’t a whole lot to it. I started dozing off and, almost immediately, came to and found myself in the middle of the two-lane highway. Fortunately the nearest car was about a quarter mile behind me. I made sure to turn on my blinker and switch lanes to play it all cool.

The good news is, since I’ve been taking the medicine, nothing like this has even come close to happening.

What was your most awkward blackout?

Typically speaking, I don’t “blackout” per say. Media and pop culture have done a nice job of exaggerating the effect of Narcolepsy in that, I don’t just suddenly drop to the floor asleep.

It’s more like an uncontrollable urge to sleep. For instance, falling asleep in class. I don’t really willingly go to sleep, I just don’t have much of a choice because I get so damn sleepy. I’m that kid in your class that always does the head-nod.

That being said, I fell asleep once while making out with a girl after a party. Not really sure what she ended up doing.

Before treatment, how often did you fall victim to your narcolepsy? Did you fall asleep multiple times a day?

I would usually have a bout once or twice per day. Typically once or twice during the school day and then once I got home a nap was almost inevitable.

What prompted you to get a diagnosis?

I went in to get checked out because I suspected I had some sort of sleep disorder – my first inclination was actually sleep apnea. I often had very fragmented sleep and even when I slept well through the night, I always had issues staying awake and focused. It was such a battle to stay awake, it didn’t really seem right.

What was the diagnosis like?

I met once with my doctor and discussed the symptoms I was having. My regular doctor happens to be a sleep specialist so fortunately it only took about 15 minutes for him to realize that I should get a sleep study. After the sleep study, he diagnosed me with narcolepsy.

What did he prescribe you?

My doctor prescribed me NuVigil (which is essentially identical to ProVigil if you’re familiar). It’s not an amphetamine but it’s actually classified as a “Stimulant-like” drug. I’m not entirely sure what that is supposed to mean, but it keeps me up and is in my system for roughly 12 hours.

Funny side note, when I first was prescribed the medication I was taking it too late in the day so it’d still be in my system at like 2-3AM. For about a week I slept for maybe three hours a night. Most productive week of my life.

I generally try to take it at about 7:30AM (Class at 8:00AM, fuck me) and I’ll generally notice it beginning to wear off in the later afternoon and by 7:00PM or so I don’t really notice it anymore. If I take the medicine later in the day, I occasionally will have issues sleeping at night. Ironically one of the symptoms of NuVigil/ProVigil is insomnia.

I don’t really ever feel jittery, but I’m on a lower dosage (150mg vs 250mg). I’ve heard that this is the case sometimes with other amphetamines.

Have you had to alter your lifestyle?

A lot of it actually boils down to things everyone should be doing anyways, it’s just that more important that I do them. I make sure to exercise pretty much every day as this helps me sleep at night. Diet is also very important. In addition to a balanced diet being important for a healthy lifestyle, I need to watch what I eat later at night. Heavier, fattier foods tend to disrupt sleep, but at the same time it’s important not to go to bed hungry as this might disrupt sleep as well. Going further, my caffeine intake is pretty much zero. In addition to taking about eight hours to get out of the body, there’s apparently a higher risk of negative side effects from the NuVigil if I have a high caffeine intake.

Perhaps the most important lifestyle (And one that I’m still working on keeping up with to be honest) is a regular sleep schedule. That is, waking up and going to bed at the same time every day. This helps to regulate my sleep cycle.

The general idea with the lifestyle changes is to ensure a full rested night’s sleep, so as to help with the sleepiness during the day time.

Have there been any surprise perks from your diagnosis?

As far as surprise perks go, now that I’m officially diagnosed, I have used it as an excuse in class for why I fell asleep. I actually told one of my professors from day one this semester that I might fall asleep because of my narcolepsy and he was totally cool with it.

Other than that, my friends get to make jokes about it all the time now and comedy is always a good thing.

How would one know if they were a narcoleptic?

The four classic symptoms of narcolepsy are Excessive Daytime Sleepiness (EDS), cataplexy, sleep paralysis, and hypnagogic hallucinations. Hypnagogic hallucinations simply refer to hallucinations experienced in the transfer period between sleeping and wakefulness.For me, I don’t experience cataplexy or sleep paralysis. I certainly have the EDS, and have had a few bouts of a weird, I guess “delirium”, immediately after waking up that make me suspect I also succumb to those hypnagogic hallucinations from time to time.Narcoleptics are also different in that their REM and NREM sleep are mixed up. That is to say, I’ll go directly into my REM stage when I fall asleep. Say I take a nap in class, I’m dreaming. Fall asleep in the car? I’m dreaming. If you find that you’re dreaming each time, or at least frequently when you nap, this is a sign that you are going directly into the REM stage. Tied to this, someone with narcolepsy will have a quick sleep onset time. Anything less than 8 minutes is considered quick. When I did my sleep study my onset time was on average between 3 and 4 minutes and I went directly into the REM stage in 3 of 4 naps, but I didn’t actually sleep in the fourth nap.If you’re seeing any of those four classic symptoms or you notice you’re dreaming whenever you take naps, I’d say it’s worth looking into for yourself.

https://youtu.be/U9AK4LI40KM

Pizza Casserole

“This is similar to many Baked Pasta dishes but with the distinct flavors of pizza. I created this recipe yesterday and took it to a dinner party as the main dish. It was a big hit and every woman there asked for the recipe. I decided that anything that popular should be posted here. You do not have to use the same kind of pasta I did…but should use a pasta which will remain slightly firm after boiling and baking so that it is not mushy. NOTE** I’ve had several people ask about when to add the spices…you can either add them to the sauce or to the sausage when you cook it. Either way works great! Since this recipe is an original creation of mine, it tickles me so very much that lots of people are enjoying it! Thanks everyone!”

2023 01 02 11 56c
2023 01 02 11 56c

Ingredients

2023 01 02 11 56a
2023 01 02 11 56a

Directions

  • Cook pasta in boiling water until al denté.
  • Cook sausage, garlic powder and oregano with onions until the juices run clear.
  • In a lightly greased 9x13x3 inch pan, pour a small amount of sauce to lightly coat bottom.
  • Layer ingredients in the order listed below.
  • 1st layer-1/3 of the pasta, 1/3 remaining sauce, 1 bag of mozzarella cheese, 2 Tablespoons parmesan cheese, sausage and onions.
  • 2nd layer-1/2 of the remaining pasta, 1/2 remaining sauce, 1 bag of mozzarella cheese, 2 Tablespoons parmesan cheese, ham.
  • 3rd layer-all remaining pasta, all remaining sauce, 1 bag of mozzarella cheese, 2 Tablespoons parmesan cheese,all the pepperoni(completely covering the entire top with pepperoni).
  • Bake at 375°F for 40 minutes.
  • Let sit for 5 minutes before serving.

2023 01 02 11 55
2023 01 02 11 55

2023 01 02 11 58
2023 01 02 11 58

Confessions Of A Former Armored Truck Driver

How big is the risk? Is there a rough estimate on how big the risk is of being robbed?

Messengers being shot in the back of the head while loading cash into ATM is a common thing. Granted, your head needs to be on a swivel. If you allow someone to walk up on you like that…. that’s essentially you signing your own death certificate.

Did you get to carry any handgun you qualified on or did you have to use the shitty company guns?

Garda was issuing revolvers for the longest time. They sold them 1 year before I was hired. We qualified with our own personal firearms.

What would happen if someone steps out in front of the truck pointing an AR15 at the driver?

We were trained for such event. The Threat will be eliminated.

Our rules of engagement are:

•Prove intent: he’s pointing a Ar-15 at my driver •Prove opportunity: he took the time to figure out the general time of when we show up. •Prove means: he’s literally holding an Ar-15 and ready to fire •Prove ability: His finger is on the trigger •Did my driver attempt to tell him to stop?: yes he honked.

Let’s assume worst case. The Ar-15(s) are loaded with black-tip ammunition. The front windshield will hold up the best due to the angle of the glass; my driver would have plenty time to run your A*S over. My driver was under strict directions to NEVER shift the transmission into park, foot on the break; nothing else.

My concern would be the lack of any angle on the driver and passenger side glass. If you land black tip ammunition directly on top of each other…. it’s going to go through, usually within 2-3 rounds. It honestly depends on the age of the glass from my personal testing.

This scenario is why I made safety glasses and body armor mandatory on my truck. Shards of glass will certainty be flying everywhere.

If we were engaged while the messenger and I were in the truck we would always attempt to flee. We are in a moving bank vault after.

If the driver is engaged while the messenger and I are inside a bank or other contracted establishment. The company policy is that the Armored Truck drives away, leaving the crew leader and messenger to defend themselves. Yes you heard right…. the truck drives away! Under this circumstance we were contractually bound to defend the client. Our standard operating procedure would be to evacuate all employees to cover, or concealment, and wait for Law Enforcement. (This area would’ve been established during the clients first day of service, during our risk assessment walk through.)

Finally, if the truck is engaged while we are walking out, we would move to cover and eliminate the threat. I really make all of this sound easy, it’s not. It’s scary as hell, but our faces wouldn’t show it.

A somewhat similar event happened to my truck in 2016. No shots were fired. All three us noticed that a sedan had been following us for 15 minutes. We contacted Local Law Enforcement. By the time we arrived at our next client. The Leo’s swarmed the sedan. The driver fled, he had a pistol. The front passenger had a 12 gauge shotgun.

Say your guy gets out of the truck and walks into a high end restaurant with much less actual security than say a bank or a Walmart. As he is coming out with the deposit bag I just shot him dead and go for the bag. Is your response to protect the bag or protect yourself assuming I will continue into the truck?

Protect myself. At the end of the day, we’re just trying to get home safely.vb

Have you ever been attacked?

We never let anyone get too close to us. We were never physically assaulted. Before I was on a dedicated three man truck, I personally had a close call. The establishment was large and we delivered cash through the back door. When the employee opened the door, he had a very large monkey wrench behind his head ready to swing it. I dropped their cash delivery, quickly took a few steps back, I yelled “ drop the weapon at least 5 times.” I put my hand on my firearm. At this point I didn’t draw.

He quickly recognized the situation he put himself in and stopped. I asked him “ Bro are you serious?!” And he responded with “ nawwww, I’m just playin.” I told him to get his manager right now, or we are leaving.

The manager opens the door and I asked her where the other employee was and she said that he was serving food. I picked up their cash delivery and explained the situation to her. She said she would pass the information on and look at the cameras.

After handing the incident report directly to my supervisor, he honestly didn’t care. I went to my branch manager and he said he would look into it. One week goes by and my branch manager said that they won’t investigate it because the change order was delivered. This influenced my extreme sense of paranoia once I was promoted to a Crew Leader.

Is it true your coworkers are more likely to shoot you than a random robber?

I’ve been told that 9/10 times it Will be an inside job.

Does that get awkward?

Not awkward, it gets scary. If they’re a employee that’s been with the company for less than two years I was always on edge.

What would freak me out is when one of my other two crew members called of sick, we would get a new person. When that new person starts taking wrong turns while you’re in the passenger seat next to him. That’s a “ rest your hand on your gun moment.”

Coworkers on different routes called me paranoid, but I adopted a 3 strike rule. At any point during the day, if a new drive took 3 wrong turns, with clear verbal command. We returned to our branch to fill out an Incident report. It would put us a couple hours behind schedule, but I’m a firm believer in a paper trail.

How common are accidents with armored trucks?

This question absolutely hits a very sensitive nerve with me. I take medication because of these two stories.

During late 2017 my crew and I witnessed Another Armored Truck Company slide through an intersection and t-bone a small truck similar to a Ford Ranger. The elderly woman driving the small truck died at the scene. I took a picture as it happened because I knew Law Enforcement would ask around and find out that another Armored company witnessed it.

My last story is pretty personal. Before I met my wife (happily married and blessed with a 1 month old son). I was in a serious relationship with another Crew Leader. This was kept very quiet because the rules for relationships were very strict. Most people “kinda knew” because both of us would roll into the parking lot at the same time nearly every morning.

Anyways, I believe this to be early fall of 2015. It was business as usual. We rolled into the parking lot, pretended to hate each other in front of the other officers, load our trucks up and go our separate ways. She had a new-hire driving for her. This new-hire had all the pre-existing symptoms of undiagnosed sleep apnea and other crew leaders had reported him falling asleep behind the wheel on extended drives between contracts.

During this transit (90 minutes between contracts) the New-Hire fell asleep behind the wheel and rear-ended a Semi Truck at 65 Mph. The semi was fully loaded, so Gross weight of……65,000-80,000lbs? The impact was so forceful that my Significant Others’ seatbelt buckle snapped. The seatbelt flung to the side and she hit the Bulkhead door at 65mph. (The bulkhead door is a heavy door that separates the front cab to the rear. It locks open while in transit and stays closed while sitting on site. This is due to the side door the messenger uses to climb in out of the Truck.)

My route was running late that day. After we cleared my truck of all liability and parked it. I sent her a text message that went unanswered. Then, a phone call that went straight to voicemail. While sitting in my car, that’s when I saw the tow truck, and it was her Armored truck on the flatbed. In disbelief I waited for the tow truck to drop it in the back corner of the parking lot. That’s when my supervisor walked over to me, handed me some disposable gloves, and we spent 2 hours bleaching the entire rear of the Armored Truck. The insurance company refused to determine if the truck was totaled or not until all the blood was removed. I still have nightmares and I can’t seem to reconnect with her due to the event. Here is the pictures of what I think Reddit will allow.

The Truck was totaled. The driver survived, was fired, and she was airlifted to the closest hospital with multiple skull fractures, broken collar bones, broken ribs, wrist, and left arm. The only reason she didn’t die is because the entire crash was so abrupt, she had zero time to react. She was honorably discharged from the military, became one of our dispatchers, but soon quit and faded from existence. The Last I heard, she moved to a different state.

What are the hours like?

The average route day at my branch was 10 hours

How is the pay?

When I was hired in early 2013 the starting pay was $12.65 per hour, once promoted to Crew Leader it was $14.00. Great pay to protect a few thousand dollars of other people’s money, huh?

How about benefits?

401k sucks. No company matching. Health insurance is an HSA plan. You pay the first $2200 out of pocket. Then they cover 80% • life insurance has an added clause stating that if you perish while performing duties and you weren’t following any company policy. Your family won’t be paid out. An example of this is would be: requesting a company issued bulletproof vest and being fatally shot not wearing it.

Why is the pay so low?

Because no one has the balls to start a union.

What kind of mileage do you get on those trucks?

My typical daily route varied from 65-87 miles per day. My truck was gasoline driven, and at the end of the day we refilled around 13-15 gallons. Around 4-5mpg? I’m certainly glad we weren’t driving them on our personal dimes. Glug, Glug, Glug.

What if you literally are going to crap your pants…can you run into a local starbucks and leave the truck undermanned? Or once you’re in, you’re in?

I operated a 3 man crew. 1 officer had to have direct control at all times. That was my truck rule, once you’re in, you’re in.

However, Our policy was updated shortly before I left to allow “solo” trucks. Trucks with a sh*t ton of cameras inside and out, as well as a mandatory panic button you wore around your neck.

“solo Trucks” being defined as a crew of one officer that drove and left the truck to collect money.

My crew was drafted one day to drop off cash at The Federal Reserve. Another Armored company with maintenance standards lower than us were also there waiting. As I start chatting with their Crew Leader he mentions their “urinal.” It was a 3 inch hole in their floor, that had rusted out. Said Armored company had a 4-5 hour drive from their Vault to the Federal Reserve. So, while in transit. They would urinate into the hole. Adapt and overcome, right?

Why did you quit?

The BIGGEST reason why I left was because of the Workers Compensation claim I filed. They sent 5 copies of paperwork to me and over the first two months, they lost all 5. I would call the company who handles the WC claims and they would say they received it and a week later I’d call back to only hear they lost it.

Fast forward 10 months of back-and-Fourth with this company I made my usual phone call. The told me that I no longer qualify for compensation because I have not given them the correct paperwork to file. I was responsible for the entire bill. Which angrily paid over the following year.

The last straw was when my branch eliminated a couple routes and threw all of their contracts onto other routes. Everyone got at least 15 extra stops with a heck of a lot more miles to drive per day. When I received my list of new contracts I worked them into my route as best as I could. The following month my crew gets pulled into the Branch managers office. He then starts to tell us how we aren’t making a good enough effort to make sure that everyone receives service. With the extra stops on my route, no matter how I obsessively tried to make everything work, banks were closing before we could get to them.

I pulled my branch manager aside and asked if he could place my supervisor, the operations manager, or him on my truck to shadow us. His exact words were “ i specifically didn’t get my permit to carry so that corporate wouldn’t force me to help out the routes.” He also said that he wouldn’t let a supervisor or the operations manager shadow us because he “ needed them to do more important things.”

The following day I turned my termination letter. He never pulled me into his office to talk about it.

Would you recommend this career to others?

You’re absolutely F*cking crazy to even consider this industry as a “career.” It’s honestly a dead end job that quite possibly will kill you. Could you live with that?

However, the experiences I’ve had and the other officers I shared hardship with will stay with me for the rest of my life. We truly are a brotherhood. One of my crew members signed me and my wife’s Marriage Certificate. I honestly would take a bullet in the head for my crew and others I’ve worked with.

What are you doing now?

I’m now in a waaaay better job path. I’m a Union Steelworker. I get to weld and fabricate industrial equipment for factories nationwide. The benifits are stellar, I’m netting x3 more pay that the Armored Truck company, and the shop I work at bends over backwards for both their union and nonunion employees.

They even have a 3 week PAID paternal leave program. It was awesome being able to spend more time with my newborn child than others were able to.

Criminals, I mean really, are bad…

https://youtu.be/k4VHOmEJDTg

Terrible And Bizarre Pictures Taken By Real Estate Agents

2023 01 02 10 59
2023 01 02 10 59

What would your dream home look like? Would it be a rustic farm hidden deep in the woods or maybe a penthouse in Manhattan? Would the interior design be more traditional or perhaps a reflection of all the latest trends? While it is fun to think about the perfect house or flat, the reality of real estate listings is far harsher, and the choices are often really scarce. Thanks to a blog called Terrible Real Estate Agent Photos, we want to share with you how, ahem, creative some of the listings can get.

From horror movie-esque semi abandoned flats for rent to excessively unique home decor cases and very impractical architecture decisions, the real estate agents behind these funny ads didn’t even care to fix the places up before snapping the hilarious pictures. The caring levels were so low that there’s also a photo with a live bat in it, a huge pig laying around in the living room and feral horses relaxing in front yards. The most baffling part is that these funny photos were really used to advertise and show the good side of housings to possible tenants.

2023 01 02 11 0ee0
2023 01 02 11 0ee0

2023 01 02 11 08
2023 01 02 11 08

2023 01 02 11111 08
2023 01 02 11111 08

2023 01 g56602 11 08
2023 01 g56602 11 08

2023 0t4w1 02 11 07
2023 0t4w1 02 11 07

27 3
27 3

2023 01w 02 11 07
2023 01w 02 11 07

2023 01 0t2 11 07
2023 01 0t2 11 07

2023 01 02 11 07
2023 01 02 11 07

2023 021 02 11 06
2023 021 02 11 06

2023 01 02 11 06
2023 01 02 11 06

21 3
21 3

20 3
20 3

2023 01 02 11 045
2023 01 02 11 045

2023 01 02 1w1 0e5
2023 01 02 1w1 0e5

2023 01 02 11 0e5
2023 01 02 11 0e5

2023 01 02 11 05
2023 01 02 11 05

15 g3
15 g3

14 e3
14 e3

13 ww4
13 ww4

12 e4
12 e4

11 5
11 5

10 5
10 5

9 w5
9 w5

8 7
8 7

7 7
7 7

6 8
6 8

5 8
5 8

2023 01 02 11 0ee0 1
2023 01 02 11 0ee0 1

2023 01 02 11 0w0 1
2023 01 02 11 0w0 1

2023 01 02 11 00 1
2023 01 02 11 00 1

2023 01 02 11 0w0
2023 01 02 11 0w0

2023 01 02 11 00
2023 01 02 11 00

Yes.

In 1947, in Poland, communist authorities began a series of trials of people accused of participating in mass murder at the Auschwitz concentration camp.

The second of these trials, confusingly called “The First Auschwitz Trial” (Pierwszy Proces Oświęcimski), involved 40 defendants – most of them highly placed officers and administrators in the camp.

Out of the forty defendants, twenty-three were sentenced to death by hanging, six to life imprisonment, seven to 15 years imprisonment, and three to 10, 5 and 3 years imprisonment respectively.

One was acquitted of all charges.

This guy: Hans Wilhelm Münch, seen in the picture wearing the uniform that might as well be synonymous with “war criminal”.

main qimg 8d0f786063f95c3684bebeec488ca4c4 lq
main qimg 8d0f786063f95c3684bebeec488ca4c4 lq

As far as the evidence suggests, Dr. Münch was a card-carrying member of the Nazi party, having joined up out of either genuine belief in their ideals, or self-serving reasons to advance his own career as a doctor and bacteriologist.

In 1943, he was recruited by the SS and sent to assist with medical experiments in Auschwitz. But something strange happened there: the hardcore Nazi/selfish bastard refused to enable the crimes of his superior, Josef Mengele, and – at great personal risk – began assisting the camp’s inmates.

First, he outright refused to participate in the infamous “selections” at the railway platform, which determined who’d be put to work, who’d be experimented upon, and who would be put to death immediately.

Second, he kept Mengele’s victims alive by coming up with elaborate fake experiments, that in reality were just cover for providing people with actual medical treatment, and keeping them from being killed as no longer useful.

And, finally, when leaving the camp ahead of the advancing Red Army, he gave his personal revolver to a prisoner.


And so, in December 1947, while people with every right to hate Nazis described the crimes of 39 defendants in detail, they surprised all the judges and prosecutors by standing up for an SS man and member of the Nazi party who worked for one of history’s greatest monsters.

Nobody really expected that, but the testimonies were so earnest, consistent and came from so many inmates, that even communist prosecutors had to concede their charges were unsubstantiated, and thus Hans Münch was permitted to leave, return to Germany and live out the rest of his life practicing medicine.

So, to sum it up: Yeah. There was a single SS soldier whose turn from evil was so complete that he faced communist justice and lived to tell the tale.

Greedy, messed criminals are everywhere.

Basic Cajun Jambalaya and Anubis with the boys who went through Hell

A new joke circulating on a forum (Tieba):

The first message sent by the Chang'e-1 satellite to Chinese control center is:

Without the natives, it is real that the U.S. landed on the moon.

Phew! I am busier than five armed lumberjack at a waffle bake-off.  I’ll tell you what. We are getting ready for CNY, and things are shutting down here in China and a festive mood is fogging inward.

The United States continues in it’s insanity.

The difference in tone between 'west' and 'east' is remarkable. The West is a hysterical drama queen posing as Lady Liberty and the East; methodical, plodding, with a fixed mindset to get out from under for-profit Empire; aka The Great Satan.

Posted by: gottlieb | Jan 10 2023 15:57 utc | 5

Here’s a selection of thoughts and articles reflective of these times.

China now publishes more high-quality science than any other nation – should the US be worried?

.

By at least one measure, China now leads the world in producing high-quality science. My research shows that Chinese scholars now publish a larger fraction of the top 1% most cited scientific papers globally than scientists from any other country.

I am a policy expert and analyst who studies how governmental investment in science, technology and innovation improves social welfare. While a country’s scientific prowess is somewhat difficult to quantify, I’d argue that the amount of money spent on scientific research, the number of scholarly papers published and the quality of those papers are good stand-in measures.

China is not the only nation to drastically improve its science capacity in recent years, but China’s rise has been particularly dramatic. This has left U.S. policy experts and government officials worried about how China’s scientific supremacy will shift the global balance of power. China’s recent ascendancy results from years of governmental policy aiming to be tops in science and technology. The country has taken explicit steps to get where it is today, and the U.S. now has a choice to make about how to respond to a scientifically competitive China.

Growth across decades

In 1977, Chinese leader Deng Xiaoping introduced the Four Modernizations, one of which was strengthening China’s science sector and technological progress. As recently as 2000, the U.S. produced many times the number of scientific papers as China annually. However, over the past three decades or so, China has invested funds to grow domestic research capabilities, to send students and researchers abroad to study, and to encourage Chinese businesses to shift to manufacturing high-tech products.

Since 2000, China has sent an estimated 5.2 million students and scholars to study abroad. The majority of them studied science or engineering. Many of these students remained where they studied, but an increasing number return to China to work in well-resourced laboratories and high-tech companies.

Today, China is second only to the U.S. in how much it spends on science and technology. Chinese universities now produce the largest number of engineering Ph.D.s in the world, and the quality of Chinese universities has dramatically improved in recent years.

From HERE

Al Copeland’s Basic Cajun Jambalaya

30e9cdfcbf7bcb703189689b44c38dff
30e9cdfcbf7bcb703189689b44c38dff

Yield: 8 servings

Ingredients

  • 1 tablespoon unsalted butter
  • 2 tablespoons vegetable oil
  • 2 medium onions, chopped
  • 1 pound pickled pork, diced
  • 1 pound smoked ham, diced
  • 2 cloves garlic, peeled and minced
  • 1/2 teaspoon dried thyme
  • 1 tablespoon chopped parsley
  • 1 pound smoked sausage, sliced
  • 4 cups beef or chicken stock or hot water
  • 2 cups rice
  • 2 bay leaves
  • 1/2 teaspoon cayenne pepper or to taste

Instructions

  1. In large saucepan with lid, melt butter with oil.
  2. Add onions and pork and sauté until onions are soft.
  3. Add ham, garlic, thyme and parsley and sauté for 5 minutes.
  4. Add sausage and cook until browned. Stir in stock and bring to boil.
  5. Add rice, bay leaf and cayenne. Return to boil and cover. Simmer over very low heat for 30 to 45 minutes, checking after 30 minutes to see if all liquid has been absorbed and rice is tender. If necessary, add 1/4 to 1/2 cup more water if liquid boils away before rice is cooked.

Joint Statement Between the People’s Republic of China and the Republic of the Philippines

The United States is active in trying to turn the Philippines away from China, as with this current visit. But the pro China and Philippines agreements are clear and say otherwise.

Note especially point 13 and 14 below :
13. The two heads of state had an in-depth and candid exchange of views on the situation in the South China Sea, emphasizing that disputes in the South China Sea are not the whole of bilateral relations, and agreed to properly manage differences. The two sides reaffirmed the importance of maintaining and promoting regional peace and stability, freedom of navigation and overflight in the South China Sea, and agreed to resolve disputes peacefully on the basis of the Declaration on the Conduct of Parties in the South China Sea, the Charter of the United Nations and the 1982 United Nations Convention on the Law of the Sea.

14. The two heads of state agreed that confidence-building measures are conducive to enhancing mutual trust, and affirmed the important role of China-Philippines diplomatic consultations and the China-Philippines bilateral consultation mechanism on the South China Sea issue. The two sides decided to establish a direct communication mechanism between the Department of Border and Ocean Affairs of the Chinese Ministry of Foreign Affairs and the Department of Ocean Affairs of the Philippine Ministry of Foreign Affairs.

Found HERE

You Will Be Amazed When You See These Artworks By Caroline Gariba

1 130
1 130

Set of amazing digital artworks by Caroline Gariba, an artist from Brazil.

29 18
29 18

28 19
28 19

27 20
27 20

26 26
26 26

25 32
25 32

24 35
24 35

23 36
23 36

22 36
22 36

21 38
21 38

20 43
20 43

19 43
19 43

18 46
18 46

17 51
17 51

16 57
16 57

15 63
15 63

14 69
14 69

13 71
13 71

12 74
12 74

11 76
11 76

10 83
10 83

9 94
9 94

8 103
8 103

7 106
7 106

6 109
6 109

5 116
5 116

4 119
4 119

3 123
3 123

2 124
2 124

War game instigates US intervention in possible Taiwan Straits conflict

Liu XuanzunPublished: Jan 10, 2023 11:45 PM
.

A recent war game scenario run by the Washington-based Center for Strategic and International Studies (CSIS) is not worthy of reference, as it was designed to hype the “China threat” theory, and to suggest the US should fully arm the island of Taiwan preemptively, and instigate the US military to immediately intervene if a conflict breaks out, Chinese mainland experts said on Tuesday.

The US think tank said the simulations indicate the US, Japan and the island of Taiwan would suffer huge losses in defeating the Chinese People’s Liberation Army (PLA) if a conflict breaks out in the Taiwan Straits in 2026.

From a technical point of view, the simulations are biased and set under a scenario of wishful thinking, as they underestimated the PLA’s capabilities and overestimated US and Japanese forces, analysts said.

If the Chinese mainland launches a reunification-by-force operation on the island of Taiwan in 2026, it would result in thousands of casualties among Chinese mainland, US, Japanese and Taiwan island forces in a likely defeat of the PLA, which will also leave the US in a crippled state, the CSIS said after running this war game 24 times, CNN reported on Monday.

In the simulations, the US and Japan lost dozens of ships, hundreds of aircraft and thousands of service members, which would damage the US’ global position for many years, according to the CSIS report, which also predicted losses of two US nuclear-powered aircraft carriers in most scenarios and the devastation of the island of Taiwan.

The Chinese mainland would also suffer heavily, losing about 10,000 troops, 155 combat aircraft and 138 vessels, the report claimed.

Such war game simulations are by no means professional, Wei Dongxu, a Beijing-based military expert, told the Global Times on Tuesday.

It is impossible for the US think tank to gain access to the PLA’s force deployment and equipment specifications in detail, so the data it used in the simulations are obviously biased and mere wishful thinking, Wei said.

“For example, the think tank predicted the sinking of two US nuclear-powered aircraft carriers. That is too small a number,” Wei said, citing the PLA’s missile strike capabilities working in tandem with naval and air forces.

The PLA operates DF-21D and DF-26 anti-ship ballistic missiles capable of striking moving maritime targets like aircraft carriers at hypersonic speeds against which there is no defense, observers said.

On Sunday, the PLA Eastern Theater Command organized cross-service joint alert patrol and realistic combat-oriented exercises in sea and aerial areas around the island of Taiwan, countering collusion between and provocations by external and “Taiwan independence” forces. Some 57 aircraft and four vessels of the PLA were detected around the island of Taiwan on the day, with 28 of the detected aircraft including fighters, bombers and drones crossing the so-called median line of the Taiwan Straits and entering the island’s self-proclaimed southwest air defense identification zone, according to a press release by the defense authority on Monday.

It marked the first time the PLA Eastern Theater Command has announced a joint drill around the island of Taiwan in 2023, but such drills have become routine since at least 2020, and have grown with the increasing provocations by Taiwan secessionists and external interference forces.

In 2022, the PLA sent 1,727 planes into the island of Taiwan’s self-proclaimed air defense identification zone, compared with about 960 incursions in 2021 and 380 in 2020, AFP reported, citing releases by the defense authority on the island.

The PLA’s exercises have demonstrated that the Chinese mainland has the confidence, the will and the capability to safeguard national sovereignty and territorial integrity, another Chinese mainland military expert told the Global Times on Tuesday, requesting anonymity.

If the US and Japan interfere in the Taiwan question militarily, not only will the US lose its nuclear-powered aircraft carriers, it will lose all of its footholds in the Asia-Pacific region, including military bases in Japan, Guam and even Australia, the expert said.

One thing is right about the CSIS’ war game predictions, and that is that the US and Japan will definitely be left crippled if they interfere in the Taiwan question, the expert said.

The PLA Navy’s Liaoning aircraft carrier group approached Guam and conducted fighter jet sortie drills there in December 2022.

Citing the CSIS report, CNN said that for US troops to prevent the Chinese mainland from ultimately taking control of the island of Taiwan, some constants emerged among the 24 war game iterations it ran, including that the US must be able to use its bases in Japan for combat operations and that the US needs to fully arm Taiwan before shooting starts and jump into any conflict with its own forces immediately, as it is impossible to get troops and supplies onto the island of Taiwan once the conflict starts.

This is intentionally instigating the US and Japan to militarily interfere in the Taiwan question and encourage “Taiwan independence” forces in their secessionist moves, analysts said.

The ill-intended war game will only give the “Taiwan independence” secessionist and external interference forces a false vision that will lead them to their doom. On the contrary, they should refrain from any military moves, fully understand that Taiwan is a part of China, realize the deterrent in the form of the PLA, and not overestimate their capabilities, experts said.

Confessions Of A Man Who Had His Limbs Lengthened

 

How did you find out that limb lengthening was even an option?

I was hanging out with friends getting lunch and one of them jokingly brought up the surgery not directed at me but just that there are people who actually would do it. I hadn’t heard of Leg lengthening and researched the hell out of it that night. I was already wearing lifts in public and felt better about my appearance from that. I joined the make me taller forum and just read a bunch of diaries from former patients from different doctors where they share their procedure and for the most part it just seemed like a few months of pain. Most of the patients were walking after 6 months which I know sounds like a long time but the mental space I was in, 6 months seemed like nothing if it meant I wouldn’t be short anymore.

I researched for about a month negotiated with my doctor and bought my flight.

How, exactly, do they do it?

Tibias are broken in half with a surgical saw. You get frames put on your legs on both sides of the broken tibias. Every day you lengthen about 1mm and your muscles and skin stretch with the gap of the bone.

Do you then just always have a gap in your bone?

No, your body is truly amazing. The gap gets replaces with new bone. Once the bone is fully recovered it’s called bone consolidation. That’s what I’m waiting for to happen for my legs. It’s taking it’s sweet time though.

How much did the procedure cost?

$15K

That’s pretty cheap, where in the world was the operation performed?

In India. China and India currently have the lowest cost procedures. It’s about 90K in the US and UK.

Did you pay cash for this procedure? Does the 15k include everything, or are you also supposed to feed yourself too?

Wire transfers to the doctor. Some people make a large down payment and then make small payments over their time there. yes, 15K includes everything.

Three meals are provided every day but they’re not that good. You’ll eat them for the first couple months and then start eating fast food.

Is the facility/hospital clean by western standards?

The hospital is clean for sure. It’s more everything else that sucks. At the guest house that I’m at we eat pretty much the same stuff everyday. We only recently discovered Mcdonalds and as a person who hasn’t eaten fast food in years I’ve eaten probably over 200 Chicken Nuggets.

What did you do all day in the hospital while not in physical therapy?

Patients play poker, chess and watch way too much horrible television. It’s the most relaxed time of my life. Some people try and use the time to be really productive by learning a new language or taking on some new skill but the pain makes it pretty hard to concentrate for an extended time.

Why did you stop at 3 inches?

3 inches is about the most I could gain and stay under a 20% gain in my Tibia which is recommended for a faster recovery with less complications.

How painful was the lengthening?

Every day you try and lengthen about 1mm which isn’t too bad until you start getting toward your 5cm mark. Your body is kind of just taxed. Some patients slow down the lengthening and others just deal with the pain but take the pain medication and muscle relaxers. We get two physical therapy sessions a day and that’s where the real pain is. You spend probably 22 hours a day just laying down but for these sessions they are stretching out your legs and insuring that you maintain flexibility. I’m pretty flexible but have lost a lot of strength. I’m now 125 pounds from 145. and my legs look like real skinny.

Complications can really get you, I had a pin site where the metal goes through the the bone and comes out on the other side that was infected. I couldn’t really move my leg much for about 4-5 days until the infection went down. I’d say I got lucky overall in that I didn’t have too many complications. Another patient had to stop because of nerve damage around 2 inches. He’s 5’7 but wants to lengthen his femur’s now.

Are there potential long term health issues with this type of surgery?

Limited mobility, bones not forming properly.

What made you do it?

More or less I was just tired of feeling like I had to make up for my height. Like I had to be more charming more outgoing more qualified for the same things and i’ll admit mainly women. I have female friends who flat out in the past have told me the only reason they wouldn’t date me was because of my height.

Have you gotten rejected a lot because of your height before?

Many times except it’s typically not a direct rejection. For example in my teenage years and early twenties I would just be a girls friend with benefits. We’d have a good time together and as long as she knew that other people thought we were friends there was never a problem with us going out in public. You’re having a sexual relationship with a person who only wants others to think of you as friends. A couple times I’ve powered through it by being persistent and eventually gaining some form of a relationship but all that work and it’s built on an uneven foundation. I’m always the aggressor always the one expected to do more for my partner. It’s taxing.

I just find it depressing that height is such an issue in society that you were willing to put yourself through this.

I agree 100%. Wish it wasn’t this way but it IS. I want you to think about this though. I could have spent the rest of my life always being upset about my height and I think that would have been more painful. I just went through alot of pain but it’s already in the past. Now I just need to focus on walking and my bones healing properly.

Can you explain the two types of people you’ve met there?

Some people such as myself feel like this was the only piece missing in their life. They had the looks, the personality but just lacked the height. When you talk to these guys you see that they’re really confident and just want to go back to their routine with a better outlook.

Then you meet some folks here who leg lengthening is just one of the many things they “need” to fix about themselves almost like career patients. They want to do leg lengthening then penis enlargement and more plastic surgery. I feel that these guys won’t really be happy with any results they get.

Can you do sports after this surgery? Does it affect you physical condition?

After about six months I’ll be lucky if I can play basketball again. I won’t do serious weights on my legs for at least a year or so just to play it safe. Also age comes into play. Older patients have a harder time recovering. So far my recovery time has been pretty good but my bone consolidation is taking what feels like forever.

So was it worth it?

Yeah, I’d say so. Now that I’m back I’m slowly starting to hang out with old friends and most of them comment on how I look taller but I always just say it’s because of the crutches. I’m walking around at about 5’9 without shoes and with at 5’10. I’m now taller than the majority of women I meet and it feels good. When I hug somebody even in my fragile state right now I still feel more manly because I’m hugging down now. My bone is pretty solid now and just struggling with my muscle. My leg’s are still really weak but I’m now starting on lifting legs at the gym but really low weight. I’m still excited about the thought of me walking around like a normal person at this height.

Say in 20-25 years your own kid comes up to you and says they want to get the surgery what would you tell them?

Go for it. In 25 years I imagine this procedure could possibly be even safer and hopefully less invasive. We can all sit here and say that we should all be happy with who we are and we can make it sound like I’m insecure for getting this procedure but I’m pretty sure I convey more confidence than my peers and co-workers. I would never want a person to miss out on something as important as a loving relationship because of something they have no control over such as height.

The Shooting Seems to Have Begun; America Heading to Civil War. Democrat Homes Being Shot-At in New Mexico

.

2023 01 11 18 17
2023 01 11 18 17

New Mexico Police confirm that the homes of at least SIX (6) Democrat elected officials in the state of New Mexico, have been shot-up over the past month or so.  While no one has been injured, it seems America is now rapidly descending into the Civil War that so many have warned is coming.

Albuquerque police announced they were investigating whether shots fired into the homes of some Democrat elected officials and near the offices of others are connected to one another, Police Chief Harold Medina said.

However, he remained tight-lipped on all other details, except to say the suspect is a man under the age of 50. Police confirm they have someone in custody ON UNRELATED CHARGES.  The Chief would not say what he is charged with, when he was arrested, if he was working with anyone else, or where he is being held.

At a news conference announcing the development Monday afternoon, Chief Medina said detectives are still executing search warrants and trying to determine whether the suspect is responsible for all the shootings, or just one.

He said all documents are sealed while investigators build the case.

Police revealed they were investigating gunshots fired at the homes of Bernalillo County Commissioners Debbie O’Malley and Adriann Barboa, and state Sen. Linda Lopez in December and January. It wasn’t long before shootings were also reported to have occurred near the offices of state Sen. Antonio “Moe” Maestas and Attorney General Raúl Torrez.  The department also said investigators found evidence of shots fired at the home of Javier Martinez, the nominee for speaker in the New Mexico House of Representatives.

Medina said investigators “have some ideas as to a possible motive,” but it’s too early for them to disclose anything.

“We have got to solidify some information and we don’t want to compromise any part of the investigation,” he said.

On Dec. 4, Barboa’s Southeast Albuquerque, New Mexico, home was struck with eight rounds.

A week later, on Dec. 11, more than 12 bullets were shot into the walls of O’Malley’s North Valley home. O’Malley was in her final months as a commissioner and is no longer serving on the board.

Then, on Jan. 3, at least eight shots were fired into Lopez’s Southwest Albuquerque home. The bullets passed through her 10-year-old daughter’s bedroom.

Hours after police announced the investigation into the shootings, ShotSpotter gunshot detection technology picked up three shots near the Downtown building where Maestas has his office. There was no damage to the building.

Later that night, APD revealed that detectives were investigating whether gunfire outside Torrez’s campaign office on Dec. 10 was also connected. Torrez had already moved out of the office, which is Downtown on Park NW, after winning the race for attorney general.

Last week, after hearing about the other shootings, Martinez inspected his home in the Valley Area Command and saw that it had been shot up, as well, an APD spokesman said.

“He discovered damage presumably from gunfire heard in early December outside his Albuquerque home,” spokesman Gilbert Gallegos said. “APD detectives went to the home and located evidence of a shooting.”

Hal Turner Editorial Opinion

So who is it that is shooting-up the homes and offices of Democrats, and WHY?  Of course, part of me __should__ think that this is bad conduct that __should__ be denounced. For various reasons, however, I won’t say what I think.

I will, however, talk in a general sense about matters political and the redress of political grievances through the use of force.

For a very long time, politicians in general, and Democrats in particular, have been taking actions that strip citizen’s liberty and meddle in citizen’s affairs.

They did these things, in my view, because they came to the erroneous conclusion “there are no consequences.”

Very high percentages of them continued to be re-elected no matter what they did, and it seems that some of them came to the conclusion that Americans were basically push-overs; that citizens didn’t have the guts to take up arms against government officials, and even if they did, they wouldn’t dare.

Looks to me as though they were wrong.

Now, I have no idea who did these things or why.  I will not, however, condemn whoever did this because I think this has been a long time coming.

I also think it will not stop in Albuquerque.   I personally think, and this is just my personal opinion, not advocacy, or solicitation to take action, and certainly not any threat by me to do anything because I absolutely will not be engaging in any violence  or crime against anyone . . . . but I think . . . this may be just the beginning.

I wonder if Democrats will get a message from this?

I wonder if they’ll figure out that the spirit of resistance to tyranny is still alive in this country?

Are these the first shots of a second American Civil War?  Maybe.

Some real history. Note about the fact that evil people get into positions of power.

My uncle was at that school in the 50's. He would not get into specifics as to what happened to him there, he said it was horrific. He cried when he told me he was there. It left an indelible mark on him for the rest of his life.

https://youtu.be/ACj0phcl-SM

W.H.O. Meeting in Secret to convert themselves to Enforceable Law under EXISTING Treaty; FORCED VACCINES, OUTLAW GUNS “Public Health Issue”

.

The International Health Regulations Review Committee (IHRRC) of the World Health Organization (WHO) is planning to meet in secret from Monday, January 9, 2023 to Friday January 13, 2023. The IHRRC will be working to finalize what is now a 46 page document that includes proposed amendments to the International Health Regulations (IHR).

The proposed amendments would:

  • Change the overall nature of the World Health Organization from an advisory organization that merely makes recommendations to a governing body whose proclamations would be legally-binding. (Article 1)
  • Greatly expand the scope of the International Health Regulations to include scenarios that merely have a “potential to impact public health.”
  • Seek to remove “respect for dignity, human rights and fundamental freedoms of people.” (Article 3)
  • Give the Director General of the WHO control over the means of production through an “allocation plan for health products” to require developed states parties to supply pandemic response products as directed. (Article 13A)
  • Give the WHO the authority to require medical examinations, proof of prophylaxis, proof of vaccine and to implement contact tracing, quarantine and TREATMENT. (Article 18)
  • Institute a system of global health certificates in digital or paper format, including test certificates, vaccine certificates, prophylaxis certificates, recovery certificates, passenger locator forms and a traveller’s health declaration. (Articles 18, 23, 24, 27, 28, 31, 35, 36 and 44 and Annexes 6, 7 and 8)
  • Redirect unspecified billions of dollars to the Pharmaceutical Hospital Emergency Industrial Complex with no accountability. (Article 44A)
  • Allow the disclosure of personal health data. (Article 45)
  • Greatly expand the World Health Organization’s capacity to censor what they consider to be mis-information and dis-information. (Annex 1, page 36)
  • Create an obligation to build, provide, and maintain, IHR infrastructure at points of entry TO ENABLE THE W.H.O. TO UNDERTAKE THIS CENSORSHIP (Annex 10)

The 76th World Health Assembly is scheduled to occur from Sunday May 21, 2023 to Tuesday May 30, 2023. In order for the proposed amendments to be considered during the 76th World Health Assembly, they must be submitted to the World Health Organization at least 4 months in advance.

The IHRRC plans to submit these proposed amendments to the WHO by Sunday, January 15, 2023.

The International Health Regulations are existing, legally-binding international law. If the proposed amendments are presented to the 76th World Health Assembly, they could be adopted by a simple majority of the 194 member nations. According to the already agreed upon rules of the IHR, if the proposed amendments are adopted, the member nations would not need to take any additional actions.

The United States Senate would not be required to provide a two-thirds vote to give their “advice and consent.” No signatures by national leaders would be needed.

Hal Turner Editorial Opinion

This is precisely how all the elected politicians around the world intend to FORCE Vaccines on everyone, FORCE Vaccine Passports, and FORCE quarantines; by DELEGATING those powers to unelected people at the World Health Organization, then telling YOU “Our hands are tied, this is required by International Law and Treaty, we have no power to stop it.”

Worse, the WHO deems to decide for you and me, what constitutes “disinformation and misinformation, and they are giving themselves power to not only decide that, but to be able to actively CENSOR all of us, to prevent us from getting information out to the public when WHO and their pals are all wrong . . .  as in the just recently proved “COVID-19” nonsense, and their phony “vaccines” that are causing people to drop dead in public.

Because they are making changes within an EXISTING TREATY, no vote by the US Senate is needed and nothing can be done (other than abrogate the Treaty and quit the WHO) to stop these FORCED changes.

You, your family, your children, could be FORCED to be vaccinated with God only knows what, simply because these unelected people in Geneva, Switzerland, say so!  You or your children could be FORCIBLY QUARANTINED just because these unelected people in Geneva Switzerland say so.

This is the single most outrageous power grab in world history.   It should be stopped.  Now.

Because this is an existing Treaty, it, along with the US Constitution, is, by virtue of the Supremacy Claus of our Constitution, “the highest law of the land.”  No state would be able to “opt-out.”  There could be no redress of any of it via courts because it is a Treaty. All of us would find ourselves completely helpless.

It has never been decided by a US Court, as to whether or not a Treaty can usurp or over-rule provisions of the US Constitution. Are you willing to trust the present US Judiciary to protect our rights?  I’m not.  I’ve seen the federal courts in action up close and personal.  They are now fabulously corrupt and completely unreliable.

If this secret meeting is allowed to put forth the changes outlined above, you and I would no longer have a right to speak the truth about things they do; they can censor it by law.  You and I would not have the right to say “no” to their new, phony, and harmful, ‘vaccines.”

This is a usurpation of liberty taking place right before our eyes.  We must step up right now to put a stop to it while we can still do so peacefully, and not by force of arms.

Oh, and “arms” . . . could be deemed a matter “potential to impact public health” and they could BAN the private ownership of firearms, and it would be legal because . . .  a Treaty!

The Ordinary Life of Anubis, a God of Death, in Melancholic Illustrations by Joanna Karpowicz

0 2
0 2

There’s a mystery in each of these intriguing paintings, pulling you into their depths. The figure of Anubis seems to be an outsider waiting to participate in each painting’s story – like yourself as an observer of the image.

There’s an entire narrative waiting for the viewer in every picture, and the strangeness of each scene provokes questions in your mind as to what is (or could be) unfolding. You will want to step into each painting and discover its secrets.

1 6
1 6

Anubis is the Greek name of the god of death, mummification, embalming, the afterlife, cemeteries, tombs, and the Underworld, in ancient Egyptian religion, usually depicted as a canine or a man with a canine head. Archeologists have identified Anubis’s sacred animal as an Egyptian canid, the African golden wolf. The African wolf was formerly called the “African golden jackal”, until a 2015 genetic analysis updated the taxonomy and the common name for the species. As a result, Anubis is often referred to as having a “jackal” head, but this “jackal” is now more properly called a “wolf”.

2fd 6
2fd 6

A painter, creator of comic art and illustrator, Joanna Karpowicz is a graduate of the State Secondary School of Visual Arts in Kraków, as well as the Faculty of Painting at the Jan Matejko Academy of Fine Arts, where she received her diploma in the studio of Prof. Leszek Misiak in 2001. She lives and works in Kraków.

6h1
6h1

60h
60h

54rc
54rc

5k678
5k678

5n67
5n67

5523336
5523336

5535
5535

54r
54r

5rre3
5rre3

5ww2
5ww2

5r1
5r1

mj7
mj7

kk5
kk5

4j5j58
4j5j58

4j44j7
4j44j7

4j46
4j46

4j675
4j675

4w4
4w4

4crc3
4crc3

4cr2
4cr2

well dressed animals 41
well dressed animals 41

40
40

39
39

38
38

37
37

36
36

35
35

34
34

33
33

32
32

31
31

30
30

29
29

28
28

27
27

26
26

25
25

24
24

23
23

22
22

21
21

20
20

19
19

18
18

17
17

16 1
16 1

15 3
15 3

14 3
14 3

13 3
13 3

12 3
12 3

11 2
11 2

1s0 3
1s0 3

9 s4
9 s4

8 f4
8 f4

7 4a
7 4a

6 a5
6 a5

5 ww6
5 ww6

4 5
4 5

3 5
3 5

A change very soon?

The USA can not back down against the Russians. To do so would be a certain loss of the United States Dollar (USD) losing its world reserve currency status. So the USA and the West it controls will continue to escalate both with sanctions and the war in Ukraine.

All talk about peaceful negotiations will prove to be in vain. Yes, this is the most logical thing for both sides. Yet, it would lead to a rapid loss of the special status that the USD enjoys.

If the USA fails to destroy the current Russian presence, then it loses the value of the USD. A month after that, the USA will be in worse conditions than any of the existing 3rd world nations.

So, the escalation continues in the hope of a breakthrough in destroying the Russians.

The sanctions have obviously failed backfiring more upon the European Union and the NATO nations than upon Russia. The only hope that the USA now has is on the Ukrainian battlefield where the Russians are also winning.

The only option left will eventually be the nuclear option. The USA will plan a first strike launch against the Russians.

However, the Russians will beat the USA to a first launch. This will be the decisive end of the USA and NATO.

The entire world is about to change drastically very soon. I estimate that the Russians will launch soon after they take and secure the Donbas. With Soledar about to go down, this could happen in the time frame of months, not years.

Posted by: young | Jan 10 2023 16:25 utc | 14

What’s It Like To Be In An Airplane That Is Falling From The Sky?

I was in a commercial jet that fell from cruising altitude. It was a small jet flying on a now defunct airline.

We had just started the descent when the plane tilted and the dropped out of the sky. Nose was pointed nearly straight down.

I was sitting in the aisle. People were screaming, yelling out – but I can’t remember the words. All kinds of crap was flying through the cabin and the flight attendant was no where to be seen.

My brother and Dad were in the seats behind me. I remember thinking about how sad my mum was going to be. And then looking out at the window at the ground.

After what seemed like an eternity, the pilot was able to regain control and the plane started to right itself again…. for about 15-30 seconds, before starting another uncontrolled descent.

It was more terrifying the second time around – the ground was far closer. I was certain that I was going to die and looked over at a blonde woman about my age sitting next to me. We hadn’t spoken the entire flight, but I reached out in some impulsive desire for human contact at the end…and we held hands as the plane fell out of the sky. I can remember looking at her face briefly, she was crying.

As the ground started approaching and you could make out things like trees and houses, I felt a sense of peace fall about me.

Death seemed to be certain but I didn’t care. It seemed like it was going to be quick and painless – but I remember being surprised that it was going to all end this way.

Then we started to feel the pilot struggling with the plane and it started to right itself again…and for a second time the plane pulled out of the dive.

It was still incredibly bumpy and people were crying and screaming out at every round of turbulence – everyone was waiting for the next and final dive.

When we landed, the young woman and I were still holding hands. People were dead quiet.

What was surreal was that the flight attendant got on the microphone when we reached the gate and thanked us for flying on that shitty ass airline and ‘hoped we would fly again’.

They brought a bus out and one of the pilots came out with us. He didn’t say a word, but his knee was shaking uncontrollably.”

Good lord. My ex-wife destroyed my life after 20+ years of marriage and my kids are emotionally scarred because of her (as am I, I can finally admit) but at least I didn’t have to go through such a media circus. I still feel bad for Keith.

Sorry for all the you-tube videos about sick people. But we MUST really come to grasps at who is actually running the West, and why the threat of war is present. We need to understand the reality of what and who these “leaders” actually are.

Big Easy Gumbo

All that I can say is “yummy!”.

big easy gumbo
big easy gumbo

Ingredients

  • 1 teaspoon canola oil
  • 1/2 cup chopped celery
  • 1/2 cup chopped white onion
  • 1/4 cup chopped green pepper
  • 1 pound skinless, boneless chicken breasts, cubed
  • 1/2 pound turkey sausage links, sliced into 1/2-inch pieces
  • 1 (15 ounce) can Veg·All Original Mixed Vegetables, drained
  • 1 (14 1/2 ounce) can diced tomatoes
  • Cooked white rice

Instructions

  1. In medium fry pan, heat oil over medium high heat; sauté celery, onion, green pepper, cubed chicken and sausage for 5 minutes or until cooked.
  2. Stir in mixed vegetables and tomatoes; cook until heated through.
  3. Serve over cooked rice.

Yield: 8 servings

6 People Reveal Their Deep Secrets That Have Been Weighing On Them

1. I poked a hole in a condom and purposefully got a girl pregnant to prove I wasn’t gay

This was the late 80s and I was 14/15 and things weren’t a great time to be a gay teenager not that it excuses what I did. I was so afraid of being outed that I started a relationship with my then bestfriend’s sister. My friends were constantly messing with me about not having slept with her and calling me gay. They were just joking but I took it so seriously that I convinced her sex and I poked a hole in the condom hoping to get her pregnant so that I’d have proof…

She did end up pregnant and when I found out the reality of what I did really hit me.. She just thought the condom didn’t work and I never told her I did it on purpose… She was forced to go to an alternative school and eventually dropped out to have the baby. Her and her family moved away with the baby and I never kept in contact with them… I’ve always felt like a pos for ruining her life and abandoning my kid.

2. I don’t love my wife, and I resent that I have to care for her, but I will probably never leave her.

She was my high school sweetheart. The love of my life. My soulmate. When she suffered a brain injury and fell into a coma, I had no idea whether she would ever wake up again. When she did, she was severely disabled, and she was a different person.

I hoped her old personality would come back with time and therapy, but it’s been 5 years since she woke up, and I think this is just what she’s like now. She’s not a bad person, she’s just not the woman I fell in love with. I’m expected to be grateful that I got my wife back, but the truth is my wife died the day she was injured.

I hoped I would be able to fall in love with this new person, but you can’t just force yourself to fall in love with someone. So I resent her. I know it isn’t her fault, it’s not fair that I feel this way towards her, but I resent this new woman for taking my wife away, and for the fact that I have to take care of her.

I can’t leave her. She has no one else to care for her. And she still has my wife’s voice, her laugh, her smile. I couldn’t bear to lose those, they’re all I have left of the woman I love. So I pretend. I pretend I don’t notice that she’s a completely new person, I pretend I still love her, I pretend I don’t resent her. I care for her. I’ve accepted that this is my life now.

3. Six years ago, i swapped my then-girlfriend now-wife’s cat with a more well-behaved lookalike.

She had an all black cat that was extremely aggressive. It scratched everyone, hissed at everyone, and didn’t use its litterbox half the time. My wife insisted she could get it to behave better. One week she went out of town to visit her family and I was supposed to go to her apartment and feed it.

The first night I went over, it scratched the shit out of my arm. I joked to the cat that it’s not special and I’ll replace it if it scratches again. The joke stuck with me until I had thought about it enough that it wasn’t a joke. The next morning I went to the local animal shelter. Found an identical cat who was already litterbox trained and acclimated to people, but was a little skiddish (it’s old owner died of a heart attack and the animal shelter people said they think that’s why it was skiddish). But overall, it was a lot friendlier and better behaved, and the skiddishness would help it resemble the original cat.

So I adopted it, took it to my wife’s apartment, settled it in, then drove her original cat to an animal shelter a town over (I was paranoid my wife would find out if I took it to a local one).

It’s been 6 years since then. We got married 4 years ago. We still have the swapped cat. It answers to the original cat’s name. My wife knows nothing. She loves this cat and brags about how much better behaved it is. Everytime I see it, I feel like a total piece of shit.

4. I know my 7yo son is not my biological child

My ex wife and I were having a rough time when our youngest was 6. We separated for a few months and then reconciled. A few months later she announced she was pregnant. We ended up divorcing three years later. Our sons are 7 and 13. My 13yo is a clone of me. My 7yo looks nothing like me, my other son or even his mom. He looks just like the guy my ex was fucking when we separated. For example, my ex and I have blue eyes and my 7yo has big brown eyes.

She thinks I’m a fucking fool and that I believe he’s mine but I’ve known for a few years now. It doesn’t really bother me. I love that boy more than life itself but I get a kick out of watching her having to live with a lie that eats her alive because she’s fearful it would destroy her family.

She’s damned if she does, damned if she doesn’t.

5. I ended my friendship with my BFF because her kid is ugly.

My bff of 3 years is a single mom. We would text every day and she would send me 15-20 pictures a day of her four year old son. I’ve seen photos of this childs EVERY move. I’d wake up to pics of him on my phone. I’d see them again on social media. Sometimes she would even send pics in the mail.

The thing is that the kids ugly and seeing his face that many times a day made me angry. There’s no nice way to put it.

If I was honest and asked her to stop sending me pictures it would crush her. In hopes that she would catch onto my energy I stopped replying to the pics calling him cute & saying aww. Instead I’d react to the pictures with a thumbs up or id comment on something in the background that was totally irrelevant.

Eventually it irked me so much that I stopped communicating entirely. We have spoke a few times and I apologized saying I’ve been busy with life.

Honestly I don’t miss the friendship. In fact, i’m relieved that I don’t have to be blown up with pictures of her ugly kid 24/7

6. My son has significant disabilities, and I hate that this is my life

Before I begin you should know that I love my child unconditionally. He is so smart in his own way, and has a generous heart. I know this.

But I also, sometimes… okay, a lot of the time, hate that this is my life.

I hate the looks we get. When someone asks him a question then awkwardly realizes he can’t respond. When he has a major, hysterical meltdown in a store and I have to sing and rock him on the floor until he calms down. When other kids realize he isn’t as “normal” as he looks…

I hate that he will probably never have a real friend. That I will have to fight for him to be included in everything. That his birthday parties will likely be adults/family only.

I hate not being able to go to church without making sure they have an adult with training to be with him. I hate how hard it is to find adults to be with him. And that I have to be the one to train them.

I hate that he will be my only child. I don’t know that I can handle a second child with disabilities… and it’s not fair to him if we bring a neurotypical child into the mix. It’s not fair to the other child either.

I hate that he has to be watched 24/7. I never get anything done because I am exhausted from keeping him safe while trying to give him room to grow and learn.

I hate the appointments, the juggling of specialists and primary care doctors and trying to remember who needs to be told what. I hate having to sign a kajillion disclosures to share information, and I hate that even my husband has to ask me what all kiddo has going on.

I hate that he hurts me. Yes, I understand the reasons: trauma and development and delayed attachment and frustration. But I hate that my baby boy, my darling child, regularly kicks and hits and bites and scratches me.

I hate saying, “Kind hands, please.”

I hate how much I rely on his tablet to occupy him.

I hate when people say it’s just a phase. Or all boys/kids do that. It’s not true, and they know it. But no one is comfortable enough to say, “that sounds like it sucks.” Which is what I really want.

I hate that being away from him takes so much planning and money. That we can’t hire just anyone to watch him. It has to be an adult with training, or a respite provider (which is impossible to get), or a rare, understanding friend. Or my husband.

Most of all, I hate that I hate any part of being his mommy. Because I always wanted to be a mom. And when we found out we couldn’t have biological children, I was so happy my husband agreed to adopt. I knew it would be hard. I knew it meant raising a child with disabilities and trauma. And I worked my ass off to prepare for it.

But here I am. On Reddit, telling however many strangers that I hate being a mom to a child with disabilities. Because there is nowhere else I can go and be this raw and open about it.

And I hate that our society is the kind of place where parents like me can’t be raw and open. Because I already know some of you are judging me, or calling me a snowflake, or passing by this post because this sliver of my reality is too much for you to bear on top of your own stuff.

But I had to say it. Had to let it out because it’s too hard to carry around on top of all the other shit I have to carry every single day.

Ukraine And Russia Agree – Russia Is Fighting NATO

Russia and Ukraine have publicly agreed on a fundamental and important issue.

The question is who Russia is fighting in Ukraine.

During an interview with a Ukrainian TV station the Oleksii Reznikov, the defense minister of Ukraine, answered that the Ukraine has “already become a de facto member of the NATO alliance.”

 

defukr
defukr

biggerThe interview, given four days ago, is available on Youtube. English language subtitles can be generated by autotranslate. The sentence pictured above comes at about 1:25 minutes in.

Sputnik, which seems to be the only international outlet that has picked up on this, has more (from ~11:05 min):

“At the NATO Summit in Madrid” in June 2022, “it was clearly delineated that over the coming decade, the main threat to the alliance would be the Russian Federation. Today Ukraine is eliminating this threat. We are carrying out NATO’s mission today. They aren’t shedding their blood. We’re shedding ours. That’s why they’re required to supply us with weapons,” Reznikov said …The official said Kiev was being constantly reminded by its “Western partners” that it, “like a real shield, is defending the entire civilized world, the entire West,” from the Russians, and said that he personally has recently received holiday greeting cards and text messages from Western defense ministers to that effect.

Reznikov expressed “absolute” certainty in Ukraine’s eventual entry into NATO, saying he was “convinced that this is an absolutely realistic possibility… Of course they won’t accept this political decision via consensus before our victory. This is clear. But after the victory, after all this ends and some kind of peace arrives, NATO countries, first and foremost, will be interested in the construction of this security architecture. They have seen their own weak spots, they have seen who is strong and powerful. Today they are teaching us but tomorrow our officers, sergeants and even privates will be teaching them how to fight the Russians. Russia remains one of the threats to NATO, and for Europe as a whole.”

Reuters reports today that the Russian government agrees with the core of Reznikov’s view:

Russian Security Council Secretary Nikolai Patrushev is seen by diplomats as one of the major hardline influences on Putin, who has promised victory in Ukraine despite a series of battlefield setbacks.”The events in Ukraine are not a clash between Moscow and Kyiv – this is a military confrontation between Russia and NATO, and above all the United States and Britain,” Patrushev told the Argumenti i Fakti newspaper in an interview.

“The Westerners’ plans are to continue to pull Russia apart, and eventually just erase it from the political map of the world,” Patrushev said.

Asked about Patrushev’s remarks, Kremlin spokesman Dmitry Peskov said NATO and the United States were part of the Ukraine conflict.

“De facto they have already become an indirect party to this conflict, pumping Ukraine with weapons, technologies, intelligence information and so on,” Peskov told a regular news briefing.

As both sides now seem to agree on the real participants of the conflict we can assume that they will later also come to an agreement about its outcome. That however will still take a while.

The heavily fortified Ukrainian strongholds in Bahkmut (Artyomovsk) and Soledar are about to fall. The long fight over these cities has come at a high price particularly for their defenders. All the reserves the Ukrainian command has thrown into them have been ground up by massive Russian artillery applications.

Other reserves the Ukrainian army is still training up are waiting for new supplies of ‘western’ weapons. But what has been newly promised, mostly infantry fighting vehicles (aluminum cans), will only become available in late spring. The most likely plan the Ukrainian command will want to pursue is a move south towards Mariupol (bottom right) to severe the Russian land connection to Crimea.

 

ukrdef2
ukrdef2

biggerThere is however only a small chance that such a move during spring could be successful. Until then Russia has the time to make its own moves.

Posted by b on January 10, 2023 at 15:30 UTC | Permalink

Why the CIA Attempted a ‘Maidan Uprising’ in Brazil

.

A former US intelligence official has confirmed that the shambolic Maidan remix staged in Brasilia on 8 January was a CIA operation, and linked it to the recent attempts at color revolution in Iran.

On Sunday, alleged supporters of former right-wing President Jair Bolsonaro stormed Brazil’s Congress, Supreme Court, and presidential palace, bypassing flimsy security barricades, climbing on roofs, smashing windows, destroying public property including precious paintings, while calling for a military coup as part of a regime change scheme targeting elected President Luis Inacio “Lula” da Silva.

According to the US source, the reason for staging the operation – which bears visible signs of hasty planning – now, is that Brazil is set to reassert itself in global geopolitics alongside fellow BRICS+ states Russia, India, and China.

That suggests CIA planners are avid readers of Credit Suisse strategist Zoltan Pozsar, formerly of the New York Fed. In his ground-breaking 27 December report titled War and Commodity Encumbrance, Pozsar states that “the multipolar world order is being built not by G7 heads of state but by the ‘G7 of the East’ (the BRICS heads of state), which is a G5 really but because of ‘BRICSpansion’, I took the liberty to round up.”

He refers here to reports that Algeria, Argentina, Iran have already applied to join the BRICS – or rather its expanded version “BRICS+” – with further interest expressed by Saudi Arabia, Turkiye, Egypt, Afghanistan, and Indonesia.

The US source drew a parallel between the CIA’s Maidan in Brazil and a series of recent street demonstrations in Iran instrumentalized by the agency as part of a new color revolution drive: “These CIA operations in Brazil and Iran parallel the operation in Venezuela in 2002 that was highly successful at the start as rioters managed to seize Hugo Chavez.”

Enter the “G7 of the East”

Straussian neo-cons placed at the top of the CIA, irrespective of their political affiliation, are livid that the “G7 of the East” – as in the BRICS+ configuration of the near future – are fast moving out of the US dollar orbit.

Straussian John Bolton – who has just publicized his interest in running for the US presidency – is now demanding the ouster of Turkey from NATO as the Global South realigns rapidly within new multipolar institutions.

Russian Foreign Minister Sergey Lavrov and his new Chinese counterpart Qin Gang have just announced the merging of the China-driven Belt and Road Initiative (BRI) and the Russia-driven Eurasia Economic Union (EAEU). This means that the largest 21st century trade/connectivity/development project – the Chinese New Silk Roads – is now even more complex, and keeps expanding.

That sets the stage for the introduction, already being designed at various levels, of a new international trading currency aimed at supplanting then replacing the US dollar. Apart from an internal debate among the BRICS, one of the key vectors is the discussion team set up between the EAEU and China. When concluded, these deliberations will be presented to BRI-EAEU partner nations and of course the expanded BRICS+.

Lula at the helm in Brazil, in what is now his third non-successive presidential term, will offer a tremendous boost to BRICS+, In the 2000s, side by side with Russian President Putin and former Chinese President Hu Jintao, Lula was a key conceptualizer of a deeper role for BRICS, including trade in their own currencies.

BRICS as “the new G7 of the East,” as defined by Pozsar, is beyond anathema – as much for Straussian neo-cons as for neoliberal.

The US is being slowly but surely expelled from wider Eurasia by concerted actions of the Russia-China strategic partnership.

Ukraine is a black hole – where NATO faces a humiliation that will make Afghanistan look like Alice in Wonderland. A feeble EU being forced by Washington to de-industrialize and buy US Liquified Natural Gas (LNG) at absurdly high cost has no essential resources for the Empire to plunder.

Geoeconomically, that leaves the US-denominated “Western Hemisphere,” especially immense energy-rich Venezuela as the key target. And geopolitically, the key regional actor is Brazil.

The Straussian neo-con play is to pull all stops to prevent Chinese and Russian trade expansion and political influence in Latin America, which Washington – irrespective of international law and the concept of sovereignty, continues to call “our backyard.” In times where neoliberalism is so “inclusive” that Zionists wear swastikas, the Monroe Doctrine is back, on steroids.

All about the ‘strategy of tension’

Clues for Maidan in Brazil can be obtained, for instance, at the US Army Cyber Command at Fort Gordon, where it’s no secret the CIA deployed hundreds of assets across Brazil ahead of the recent presidential election – faithful to the “strategy of tension” playbook.

CIA chatter was intercepted at Fort Gordon since mid-2022. The main theme then was the imposition of the widespread narrative that ‘Lula could only win by cheating.’

A key target of the CIA operation was to discredit by all means the Brazilian electoral process, paving the way for a prepackaged narrative that is now unraveling: a defeated Bolsonaro fleeing Brazil and seeking refuge at former US president Donald Trump’s Mar-a-Lago mansion. Bolsonaro, advised by Steve Bannon, did flee Brazil, skipping Lula’s inauguration, but because he’s terrified he may be facing the slammer sooner rather than later. And by the way, he is in Orlando, not Mar-a-Lago.

The icing on the stale Maidan cake was what happened this past Sunday: fabricating a 8 January in Brasilia mirroring the events of 6 January, 2021 in Washington, and of course imprinting the Bolsonaro-Trump link on people’s minds.

The amateurish nature of 8 January in Brasilia suggests CIA planners got lost in their own plot. The whole farce had to be anticipated because of Pozsar’s report, which everyone-who-matters has read across the New York-Beltway axis.

What is clear, is that for some factions of the powerful US establishment, getting rid of Trump at all costs is even more crucial than crippling Brazil’s role in BRICS+.

When it comes to the internal factors of Maidan in Brazil, borrowing from novelist Gabriel Garcia Marquez, everything walks and talks like the Chronicle of a Coup Foretold. It is impossible that the security apparatus around Lula could not have foreseen these events, especially considering the tsunami of signs on social networks.

So there must have been a concerted effort to act softly – without any preventive big sticks – while just emitting the usual neoliberal babble.

After all, Lula’s cabinet is a mess, with ministers constantly clashing and some members supporting Bolsonaro even a few months ago. Lula calls it a “national unity government,” but it is more like a tawdry patchwork job.

Brazilian analyst Quantum Bird, a globally respected physics scholar who has returned home after a long stint in NATO lands, notes how there are “too many actors in play and too many antagonistic interests. Among Lula’s ministers, we find Bolsonarists, neoliberal-rentiers, climate interventionism converts, identity politics practitioners and a vast fauna of political neophytes and social climbers, all well aligned with Washington’s imperial interests.”

CIA-stoked ‘militants’ on the prowl

One plausible scenario is that powerful sectors of the Brazilian military – at the service of the usual Straussian neo-con think tanks, plus global finance capital – could not really pull off a real coup, considering massive popular rejection, and had to settle at best for a “soft” farce. That illustrates just how much this self-aggrandizing and highly corrupt military faction is isolated from Brazilian society.

What is deeply worrying, as Quantum Bird notes, is that the unanimity in condemning 8 January from all quarters, while no one took responsibility, “shows how Lula navigates virtually alone in a shallow sea infested by sharpened corals and hungry sharks.”

Lula’s position, he adds, “decreeing a federal intervention all by himself, without strong faces of his own government or relevant authorities, shows an improvised, disorganized and amateurish reaction.”

And all that, once again, after CIA-stoked “militants” had been organizing the “protests” openly on social media for days.

The same old CIA playbook though remains at work. It still boggles the mind how easy it is to subvert Brazil, one of the natural leaders of the Global South. Attempted old school coups cum regime change/color revolution scripts will keep being played – remember Kazakhstan in early 2021, and Iran only a few months ago.

As much as the self-aggrandizing faction of the Brazilian military may believe they control the nation, if Lula’s significant masses hit the streets in full force against the 8 January farce, the army’s impotence will be graphically imprinted. And since this is a CIA operation, the handlers will order their tropical military vassals to behave like ostriches.

The future, unfortunately, is ominous. The US establishment will not allow Brazil, the BRICS economy with the best potential after China, to be back in business with full force and in synch with the Russia-China strategic partnership.

Straussian neo-cons and neoliberals, certified geopolitical jackals and hyenas, will get even more ferocious as the “G7 of the East,” Brazil included, moves to end the suzerainty of the US dollar as imperial control of the world vanishes.

What A Homicidal 12 Year Old Looks Like

Please keep in mind that these are the kinds of people running the United States these days.

https://youtu.be/0eVTk_2zcaA

Throwing out some art as an improvement over geopolitical issues of the day

Crazy times. LOL.

We’re back to what the world looked like in 1914 (world war 1), or before 1939 (world war 2), only in a limited sense.

There’s a plethora of nations struggling to expand their influence, but all of them are betting on multipolarity, or “peaceful modernization”, as Xi Jinping coined it, and not Forever Wars: China, Russia, India, Iran, Indonesia and others.

So bye bye 1991-2022.

The hard work starts now.

Welcome to the New Great Game on crack.

The “news” is boring, but it’s about to get lively.

I’ve put together some interesting tidbits that help round out what is going on in the West (including the United States) right now.

What’s It Like To Go To Harvard

Harvard is a very extreme place. You go there and everyone is extremely smart, extremely driven, extremely focused on attaining their goals. It is so extreme that it can become scary sometimes. When you have a bunch of people with high intelligence, but who are not necessarily mature or moral, you can get some pretty nasty results (that’s how you get so many insecure, bright students going into Wallstreet to make a lot of money even though it’s screwing everyone else over).

Even the clubs are extreme. You don’t just write for the newspaper. You have to go through a semester long competitive process to be selected into the Crimson. And after that, if you want to do well in the Crimson, you have to work your ass off and neglect your studies to be considered a true trooper. Kids here consider their extracurriculars a job. All social interactions have some sort of shady networking pre-professional slime to them.

A lot of students are really full of themselves. They spend all their time climbing the ladders of success and trying to win everything. Everyone is super busy all the time. You have to arrange lunches with your friends about two weeks in advance.

Harvard is not the type of place where you backstab your friend, but if your friend fell in a race, you would keep on running and not bend down to help. Students, for the most part, are only interested in their own benefit and will do things that look good, rather than things that are good.

But then again, there is the other extreme too. There are people who come to Harvard, not because of legacy or money, but because they are genuinely interested in the truth. I’ve met people who are so gifted at their focus, whether it’s poetry, writing comic books, musical composition, or biomedical research, and they work on these things night and day. They thrive in the guidance of their professors, and I have faith that they will make a meaningful contribution someday. I try to stick to those people.

If you ask any student what they think about Harvard, most will say that they hate it, but that they found a great group of friends who keep them sane. Those who really really really love the place have some serious mental problems–either in that they are super disingenuous or are psychopaths, or are there really only to network and join the super elite circles of final clubs and whatnot.

Harvard is still a very elite place. You will feel out of place if you are anything but a white male. You will feel a bit uncomfortable if you come from a normal middle class family, because bit by bit you see just how much money your fellow peers have.

You will realize that Harvard doesn’t care about you. Professors have office hours, yes, and they say to come, but their heart is not into it. Everyone at Harvard is there for their own benefit, for their research and for advancing their careers. Occasionally you will find professors who genuinely care, but they are the exception.

I knew going into Harvard that it wouldn’t be easy, that Mother Harvard does not coddle. And I was right. It has been hard as hell. And it’s sad to learn the truth about Harvard. But truth does make you stronger, and I would not be as strong as I am today if I didn’t gain these hard lessons from my undergrad years.

– My-Ngoc ToHarvard undergrad

Fine blues.

GEOPOLITICAL FOOD FOR THOUGHTS BY LARRY ROMANOFF :

USA WAS THE HERO DURING WW2. TODAY IT IS THE MOST HATED NATION IN THE WORLD. WHAT HAPPENED IN BETWEEN?

Some years ago, David Ignatius wrote an article in the Washington Post titled, ‘Replant the American Dream’, in which he told of traveling the world as a foreign correspondent some 35 years ago, and how he believed that as an American he carried a kind of white flag, presumably of purity and moral superiority, signifying that he – being an American – was ‘different’, and that “the world knew it”.

He then noted dejectedly that the US was slowly “shredding the fabric that defines what it means to be an American”, that Americans are now seen as “hypocrites who boast of our democratic values but who behave lawlessly and with contempt for others”.

His basic premise was that the US, and Americans generally, had “used up all their seed corn” and needed now to reach out to the world and ‘share America’s values’ once again.

He then ended with a statement of hope about the celebration of American Thanksgiving Day. Reading from his mythological American history book, he recounted the Pilgrims’ desolate fears as they departed the Old World for America, and “the measureless bounty they found in the new land”, which they shared with the local natives.

You have already read an accurate account of the first Thanksgiving, which was a bit short on sharing measureless bounty. Ignatius ended with the words: “We need to put America’s riches back on the table and share them with the world, humbly and gratefully.”

I wrote a reply to Mr. Ignatius that said in part :

You said that when you traveled the world as a correspondent carrying your American flag, you believed and felt you were different from all the others, a perception all foreigners shared. But that isn’t exactly how it was.

What you really meant to say was “I was better than them, and they knew it”.

Your despair is not from having shredded your fabric, but a nostalgic regret that those people have finally realized you are not better than them, but are worse, and that they no longer respect you but despise you.

You don’t want to reach out and ‘share America’s riches’. What you want is to replant the false utopian values of American superiority in the minds of all those people so you can once again travel the world and tell yourself you are better than everyone else – and to once again see that delusion in their eyes.

You said you must stop behaving as if you were in a permanent state of war, but your America has always been in a permanent state of war. That’s what you do.

Wars of aggression are what define you as a nation.

You don’t want the world to think badly of you about your culture of torture, massacres and war, but you have no intention of ceasing them.

You continue to destroy nations, topple governments, foster regional wars and revolutions, reduce small countries to poverty and misery, but you want to be judged only by the utopian values you preach but never follow.

You say that Americans “traveling and sharing” will make everything okay again, that you would no longer be misunderstood.

But why do you think your US today is the world’s most hated nation? It isn’t because the world doesn’t understand you, but because it does understand YOU. You are reviled as a nation and as a people, for your values that produce only instability, terror, misery, poverty and death.

You say you want to “give something back to the world”. Well, maybe you could begin by giving back the country you live in, to those from whom you stole it. Maybe you could give Panama back to Columbia and Hawaii back to the Hawaiian people. And maybe Puerto Rico back to the Puerto Ricans. Maybe you could give Korea back to the Koreans and stop preventing the unification they have wanted for the past 60 years. Maybe you could get out of Taiwan and Hong Kong. Maybe you would like to give back the wealth you forcibly plundered from about 100 nations with the strength of your military.

Perhaps you would like to give back to Chile the hundreds of billions worth of copper you stole. Maybe you would like to return all the gold you plundered from all of Central and South America and the Caribbean, when you repeatedly invaded those countries, forced open – and then emptied – the vaults in their central banks. Maybe you would like to convince Citibank to give back the billions in gold it stole from the Chinese citizens who trusted it. Maybe you would like to give back to the Philippines and Nicaragua and Haiti the peace and happiness they had before you colonised and destroyed them.

Maybe you would like to give back to mothers in Iraq the 500,000 babies that Madeline Albright killed.

You said you wanted to share America’s riches with the world, but the time for that is long past. You no longer have any riches to share with anyone, and you never shared them even when you did have.

Instead, you shared your depleted uranium artillery with the people of Iraq and Libya, who today have fetuses born that are described as ‘unidentifiable lumps of flesh’. For a decade, you shared napalm and Agent Orange with the people of Vietnam who today, fifty years later, still have tens of thousands of hideously-deformed babies being born.

Your CIA shared its 1,000-page torture manual and its Death Squad training with dozens of your dictators in Latin America. You shared your brand of democracy with Yugoslavia, converting it from a peaceful federation to a broken and pathetic mess of despair, and you then shared that same template with a dozen other nations, priding yourself on your “color revolutions”, leaving nothing but death and misery in each of them.

If you don’t mind, we don’t want you to share anything more with us.

We have had enough exposure to American-style freedom, democracy and human rights, to last us for generations.

And, to tell you the truth, we in the world have lost our stomach for your worldwide carpet of atrocities, brutality, death and misery, as well as our tolerance for your hypocrisy.

All we want is for you to just go home, mind your own goddamned business, and get your dirty, bloody, dollar-soaked fingers out of most of the world’s nations you are exploiting.

The seed corn that you refer to, is gone, but it was not eaten. It just rotted.

Confessions of a Woman With a Photographic Memory

Is studying super easy for you?

Studying? What is that?

How easy is it for you to take tests?

Absurdly easy. It’s actually pretty great, I never have to study. People at my university get a little pissed off sometimes by that.

 

I have never had anything but an A or a perfect score on any test (excluding math and my current studies in Russian). I chose not to skip any grades because I didn’t want to be “abnormal,” and my talent isn’t something that I reveal to most people.

 

How long does it take you to remember something you’ve read a year ago?

Instantly.

Have you ever tried to memorize the dictionary?

No, I have not. I got bored.

Are you able to scan quickly through a book, then “read” it later in your head?

No, I can’t. I have to actually read it the first time. That would be pretty sweet though.

Can you recall by Title/Page Number?

Title, yes, without fail. Page number is more sporadic, because I have trouble with numbers.

So how far back do your memories of things you have read go?

My earliest memory is from reading the book “Goodnight Moon” when I was in kindergarden, the first book I ever read.

How fucking easy were english classes?

Haha English classes are a fucking joke. I had to make a tough decision when I got to college; be an English major and do NO work, EVER, or actually pick something I’d need to try in.

Has anyone ever thought you cheated on a test or something like that?

YES. Sometimes during tests, teachers ask questions that come directly from the book. When I was younger if I wasn’t paying careful attention, I would accidentally just regurgitate the direct answer from the book, word for word. I didn’t mean it to be plagiarizing, I was just trying to be as precise as possible. After a few visits to the principal’s office and having to explain and prove that I wasn’t cheating, I learned to be much more careful when I take tests like that. I much prefer multiple choice.

How’d you prove to the principal?

In middle school, the first test I was sent to the principal for was given to me again verbally. After that, my teachers gave me my tests verbally for a while until they were convinced. They then sent a note in to my high school when I got older, explaining the situation.

Does your understanding of the material have any effect? ie, quantum mechanics. Do you have complete recall of writings you don’t understand?

No, my understanding is completely normal. If I read a book about quantum mechanics, which I have never studied, I would understand it precisely as well as someone who had also never studied it. I’d still have complete recall, though, and I could read other, more basic descriptions of quantum mechanics and slowly understand what I read previously based on that.

Could you in theory read a complex book then go ponder on it later without the book in hand? Then go and refer to other passages in the book or other relative books and piece it together pretty easily this way?

Yes. That’s sometimes how I do it when I read a book out of my depth.

When you are deciding on a book to read, does the fact that the memory off the book will be permanent influence you decision? I guess i’m asking if memory of garbage writing (tabloids, twilight) is a burden.

Yes and no. I don’t read magazines, ever, but I don’t mind reading books on the bestseller list or anything. Generally books like Twilight have no value or interest for me whatsoever, and subsequently kind of go away after I’m done. It is a problem when people constantly bring them up though, because then the entirety of the text floods my brain and I want to jump off a bridge.

Does this ability extend to words you hear?

No, I have poor listening comprehension. If I was ever going to suffer in a class, it would definitely be a lecture class with no textbook. I remember things I’ve heard or watched exactly as well as anyone else with moderately poor listening comprehension.

Is there every anything you remember that you wish you could forget?

Yes, absolutely. I’ve gotten some pretty nasty text messages/facebook messages, and the like. I really, REALLY wish that I could forget those sometimes.

Are there ever times it becomes a burden to remember so much? Perhaps something that you remember that you wish you didn’t have to?

YES. Sometimes it’s really lonely/upsetting to have so much wandering around in my head. If I’m happy and in a good mood, it generally is okay, but if I’m upset it can be terrible.

Are you autistic?

No, I am not autistic at all, I lead a pretty normal social life. I probably drink more than the average college student. I do have a fairly extreme case of OCD, which I’ve theorized might have something to do with my memory. I’ve heard of people with OCD who have super autobiographical memories, who can remember with extraordinary accuracy and in extraordinary detail the events of their lives and the days on which they occurred.

Has this affected your social abilities any?

Um, to an extent, yes. When I was younger I was extremely combative. There is NOTHING teachers and adults hate more than a child who constantly corrects them, and who is right in that correction. I was very depressed for a long time, because I never had anyone to talk to who understood. It was in high school that I realized that my memory was not unique. Knowing you aren’t the only one makes all the difference in the world, and I’ve become pretty normal socially since then.

Has this affected your love life?

I’ve had two boyfriends for two years each. The first was fairly docile and didn’t pick arguments with me; we had a low-key, nonargumentative relationship that ended due to him leaving for college. My second boyfriend was the most religious person I have ever encountered, and judged me deeply for my beliefs and failed to acknowledge anything I said. We fought continuously and we broke up due to college and also because we just didn’t get along. Now, I try to just opt for friends-with-benefits situations or one night stands. I’m not the most emotional person, and unless something really extraordinary happens, I don’t see that changing anytime soon.

Do you encounter any mental problems?

YES. I am CONSTANTLY inundated with random information. I’ll be talking to someone, and a quote from a book will pop into my head, and then another related quote will pop in, and it becomes an endless stupid chain. My current roommate discovered my ability through this once; I was very drunk and just went off on a quote chain like that, and basically gave her a running monologue of my train of thought. It gets pretty annoying sometimes. Also, if I am sad/upset/in any kind of negative mood at all, I feel like my head is a very unpleasant place to be.

Have you ever used your ability for profit?

Not yet. I’ve used it for my personal gain, such as academics, but that’s it as of now.

Do you want to use your talent for the better or follow your passion, or a combination of both?

Sort of a combination of both. I plan to attend law school after my graduation from college, which I have wanted to do since I was a child. I want to be a public defender, and I hope that my extensive memory can be put to good use there.

What is the most interesting thing you can remember reading? Favorite books, authors?

My favorite thing, and probably the most useful thing, that I have ever read has been the Bible. I am an atheist, and though I am less combative than I used to be as a young teenager, there is nothing more disconcerting to someone trying to convince me to be Christian than being able to recite the entire book back to them. Aside from this, Nietzsche, Sartre, Nabokov, Updike, and Dostoevsky are my favorite authors. My favorite book is The Possessed (also titled Demons and Devils), by Dostoevsky.

What is your favourite line of text you’ve ever read?

The poem Annabel Lee by Poe, and 2) “It was a wonderful night, such a night as is only possible when we are young, dear reader. The sky was so starry, so bright that, looking at it, one could not help asking oneself whether ill-humoured and capricious people could live under such a sky.” -Dostoevsky, White Nights

Brand New VW Transporter Gets Witty Camouflage To Keep It From Being Stolen

117
117

There are plenty of different ways to protect your car from theft, but one owner of a Volkswagen Transporter van decided to protect it in a novel way – by covering the new vehicle in rust-like vinyl to make it look like a hunk of junk!

212
212

The artwork for the vinyl wrap, done by Clyde’s Wraps in Glasgow, involved taking exact measurements of the entire van. This allowed their artists to realistically model rust as if it had been formed by water running down from the vehicle’s handles, mirrors and frames.

310
310

“It only took 3 days for the police to pull him over to ask what was going on with all the rust,” Clyde’s Wraps write on their website. “Please note that there is nothing illegal about going to town with graphics on your vehicle but it may confuse others!” That’s true, but in this case, it seems like that was exactly the point – and it worked!

410
410

124
124

118
118

106
106

97
97

86
86

78
78

68
68

58
58

Baked Swedish Meatballs

“This recipe is from my Seaward Inn Cookbook. My husband loves it so much that I am putting it on-line to reference while travelling. I serve it with egg noodles. The Seaward Inn is a lovely place in Rockport, MA, that we visited each summer while the Campbell family owned it. Great food and ambience!”

2023 01 02 08 51
2023 01 02 08 51

Swedish Meatballs

2023 01 02 08 55
2023 01 02 08 55

Ingredients

Directions

  • Soak bread in milk.

Add the following to soaked bread:

  • – Eggs, slightly beaten.
  • – Ground beef.
  • – Onion.
  • – Sugar.
  • – Spices (except dill weed).
  • Shape into 1″ balls. Brown on all sides in skillet (or bake in 400 degree oven for 20 min.).
  • Remove meatballs to 2 quart casserole.

In skillet, or saucepan:

  • Add 5 Tbsp flour (or cornstarch) to meat drippings, then add 1 can Consomme and 1 can water.
  • Note: Shake with 1 soup can of water with flour (or cornstarch) if meatballs were baked. Then add to Consomme.
  • Cook, stirring gravy until thick and smooth.
  • Add 1 cup light cream and 1 tsp dillweed, and stir until blended.
  • Add to meatballs.
  • Bake, covered for 30 minutes at 400 degrees.

2023 01 02 08 56
2023 01 02 08 56

Rory Gallagher – A million miles away 1977

I'm watching a genius that played straight from the heart ..This type of musicianship is rare..Forget tricks and speed and so-called power playing...What your looking at here can not be learned from a book or video ..this is true art ..R.I.P Rory

Confessions Of A Woman In A Male Dominated Engineering Class

 

Being in the computer engineering field, I’m used to a certain difference in the number of guys to girls in my classes. Even in my first basic programming course there was like 100 guys to maybe 15 girls before a few of them dropped and we ended up with like 10 finishing the course.

A couple months ago, when I walked into Intro to Software Engineering, I saw… well, I saw a room full of 49 guys. And then there was me. Some of the guys I had taken classes with before and I knew, many were new faces.

Now, my other classes this semester have been more fairly balanced, but for the past couple of months every Monday, Wednesday, and Friday I have to be in a room for three hours with some of the thirstiest, horniest guys on campus, all focusing their attention on me. And I’ve been loving teasing every single one of them. Sometimes I even make their dreams come true.

I admit, being a (relatively) attractive girl that’s into nerdy shit really inflated my ego from middle school on. If I didn’t have a whole childhood’s worth of family trouble and abusive, traumatic relationships I would probably be insufferable (maybe I am already, oh god). I thought I was used to the attention from the guys, from being the only girl on the math club in middle school and being group touched in the hotel room during a competition to being convinced to join the Gaming Club in high school with my boyfriend to be something he showed off as he made me suck him off in front of the club.

Nothing, though, nothing compares to the attention I get being the only girl in Intro to Software Engineering, Spring 2019.

And it all started innocently enough – I thought I was just going to enjoy being the center of attention, indulge in my little haven of being desired, tease my way through the guys and enjoy myself. It was empowering. But it’s developed into bit more than that. I’ve developed a bit of an unhealthy addiction to being the slut of the group. I am addicted to pleasing awkward, nerdy cock. My reputation has spread slightly, and I need to dial it back I think.

At my core, I’m a numbers girl. I love data, almost as much as I love sucking the cum out of a cock. And here’s what the numbers say:

41: The number of guys from this class who have added me on Instagram or Facebook and have sent me some thirsty, creepy, or honestly genuinely sweet message hitting on me. That’s 82% of the class.

12: The number of different guys I’ve had sex with that take this class

20: The number of different guys that I have made cum in some way with some kind of -job.

24: The number I want to get that 20 count up to (half of the class, that’s pretty good, right?) before this class ends.

3: The number of weeks I have until this course ends.

7: The number of distinct places on campus I’ve done something with a guy from this class (I count “their room” as just one place across all guys).

4: The number of guys that I genuinely liked well enough that I hung out with multiple times.

7: The most number of guys who have been in the same room as me topless.

4: The most number of guys I’ve made cum in one night through whatever means.

336: The approximate dollar value in terms of lingerie I’ve given away as a sexy little trophy for some guys.

0: The number of times I’ve worn a bra to class since February 18th.

What’s It Like To Lose Everything

 

I once had it all – literally what seemed to be the pinnacle of my life or so it seemed. We just purchased a beautiful new home in a great area of the city. Zero debt plus 6 figures in the bank. Cute new car. Great position at work. To the outside world, we seemed like the “it” couple. Then everything came crashing down.. fast and HARD!

Marriage dissolved almost overnight. I lasted ONE year in that brand new house. ONE. For reasons too long to list, my 14 yr relationship with what was supposed to be my “life” partner dissolved. And with it, I also lost my immediate family – goodbye brother and mother (dad croaked years ago).

SAME effin time, my work decided to let me go – AFTER dedicating 10 years of my life to them and being promoted 4–5xs. I didn’t see it coming at all. It was almost like I was blindsided. I was in the middle of a monster release, was working around the clock – so much so that my European counterparts were convinced I never slept. My developers, everyone was BLINDSIDED that THEY (VPs, CTO, etc) chose to cut me off. Me and my barely 6 figure salary. I believe I was paid about high $90k then.

And my SHITHOLE of a soon to be ex wanted EVERY FKN THING! He would NOT let me go. So I left him the house, car, everything in it. EVERYTHING was devalued at a fraction of a price just so that I can be free and OUT of harms way. What did this mean? It meant that I had to start over… and can kiss my upgraded Samsung appliances buh-bye. LOL it was back to laundry mats again. Which is fine but still.. I miss having my own washer dryer.

Same time my 16 yr old dog is dying… Literally. He was diagnosed with an incurable aggressive tumor at the same time my marriage fell apart. So to date- lost my house, husband, job, mother, brother and now dog?! Yea shit times dont even being to cover it.

PLUS I had to now pay my very expensive divorce attorney. Yes he kept me safe but at a price. $50k to be exact.

By some miracle, my old landlord heard of my plight and offered me my old home back. Upgraded appliances and all. Phew. So that solved where to live. But the economy was still in the tank. NO ONE WAS HIRING! And I mean NO one.

Despite my good background, I couldn’t find a job close by. Ended up commuting down the Peninsula 2 hours each way. Did I mention my ex got the car? Yep so I Zipcar and rode their shuttle and just made it work. My health took the brute of it. My body was attacking itself from all the stress. My gums became swollen. My digestive tract rejected something as simple as strawberries. And there was nothing my GP could do but wait for the stress to subside and for my body to go back to “normal”. I ballooned up 45 lbs in 6 months because of this and no, I wasn’t pregnant.

But I was working right? LOL wrong. That peninsula gig lasted approximately 2 months! The boss turned out to be an utter nightmare. All 8 people in her dept either quit or transferred out she was such a dragon lady. A few months later my old job (yes the one that let me go) asked me to come back and help them out of a bind. *sigh* Beggars cannot be choosers, right? It’s work, right?

So I returned.. 45 lbs bigger and clearly depressed because of everything else going on in my life. Everyone at work was flabbergasted. Few could barely recognize me. Some naively asked if I was happy to be back. I responded “This feels like attending your exbf’s wedding and he’s marrying a supermodel. Btw he’s the one who got away.. That’s what THIS feels like”

But I soldiered on cuz I needed the money. That didn’t last. My boss at the time turned out to be dealing with her own life problems and thus wasn’t in the best headspace – explaining the sudden bind. The person who I replaced couldn’t take the stress and jump ship midstream – which is highly unusual, especially knowing her caliber and strong work ethic. So this “return” only lasted 3 months! My exit was so callous that they turned off my admin rights AS I was teaching a class!

At this point, I sincerely hated life. I was trying to make the financial bleed stop. I even played around w/ water fasting thinking of various ways to stretch the dollar. The only person who didn’t suffer was my dying dog. I forgoed vitamins, healthy food, healthcare, etc. I sold EVERYTHING. All my electronic appliances – gone via Ebay. All my jewelry gone!

Diamond stud earrings went to a lovely lesbian via Ebay. I gave sent her the appraisal that I had made almost a decade ago for insurance purposes. My watch went to a second hand shop in Florida. My cocktail earrings went to a diamond merchant downtown. And my wedding rings went to an “estate” jeweler close by. The last stung the most. I never had ANYTHING EXPENSIVE my whole life. This was it. I cried SO much. I didn’t want to let them go but I had zero choice. None.

Unemployment was maxed out after 6 months. I sold everything else at home. LOL I even sold my stupid Burberry scarf, air filter and a flash drive. Basically if it served no utilitarian purpose, it was gone. Even if I only made $5. It was still $5 more than what I previously had.

I remember the jeweler almost didn’t take the rings. He said I needed to stop crying or else he wouldn’t take them and gave me this stupid lecture how they weren’t flawless. Flawless my azz. I know jewelry and they nearly ticked off “excellent” in all the 4Cs. He said I was young and pretty and will probably remarry. LOL I couldn’t think straight. I could not stop crying. How do I explain to him that I was married to a monster and those rings were the only piece of jewelry that I ever had the 14 yrs I was with him. My eyes even water now in remembrance.

But I had to pay the bills. I have to pay my rent and utilities. My utilities got turned off at least once. EACH of them.

I ended up at a startup through a recruiter who I met via an old application a few yrs ago. That was ok until she met me. Talk about catfight. Meow! She got on my case because I was always hungry and started to shrink. How do I explain to her that I barely had any food at home? That being at work and access to the snacks and free meals was my guaranteed sustenance of the day?! But I kept it professional.

And lo behold this Series A startup closed up shop. That gig lasted 4 1/2 months. FML!!! And worse yet, not only did they lose funding but we had to move.. from the best place of the city to the worst. So not only was I poor but now I’m worried about my safety because I’m now surrounded by drugged out vagrants. Lovely.

All throughout this time, I maxed out my CCs. Cashed in my 401k. Liquidated my savings. I was as poor as can be. And completely utterly miserable.

18 months floated by.. yes 18 months and zero bites. None, nada. I even tried my hand at odd jobs. No one would hire me. Even dumbed down my resume. No dice. Then miraculously I landed a gig. Something that I knew as it was applicable to my background. And shyt, well guess what happened.. Yep. Let go again. This time after 5 solid months.

It turned out to be a clusterfuck. They kept moving the deadlines. Politics up the wazoo. And the environment was weird. The men kept flirting with me. Constantly. Didn’t matter what age. 25 all the way up to 40. I was 42 back then. But I still did it. Cuz dmn it, I’m not a quitter and sorely needed the cash.

My loving friends stood buy and offered emotional support. One even loaned me cash as he is a self retired budding millionaire. First I thought he did it because of his religion and the fact that his mom loaned him cash when he was in a bind. Nope. Turns out he was hoping to fk me and professed having a long standing crush on me. Um, no ty.

As of today, I’m slowly getting my shit in order. Slowly rebuilding my life. So no, not back to where I was but I’m hopeful. But what you just read described my fall from grace.

– Anonymous

I have to say this is my favorite out of all the videos you uploaded.

I saw in your face the emotion, the kindness, gentleness and the satisfaction of being able to heal the sweet kitty that loves you now.

The smile on your face is priceless ! You must keep this kitty now. He (I think it is a ‘he’?) has claimed you are his own!

France Supplies Light Tanks to Ukraine — Escalation

France Light Tank large
France Light Tank large

In a potentially escalatory move, French President Emmanuel Macron became the first Western leader to supply Ukraine with tanks following talks with President Volodymyr Zelensky.

On Wednesday, the Elysée announced that French-made AMX-10 RC light tanks — which were designed in the 1980s and are currently being phased out of the French military — will be sent to Ukraine. The move is a significant change of position from the Macron government, which hitherto has shied away from sending armor to the war zone for fear of escalating tensions with Moscow.

France has already been supplying Kyiv (Kiev) with air defense systems, anti-aircraft missiles, artillery, and armored vehicles, but President Macron has been one of the few voices in Europe to consistently call for continued peace negotiations with Vladimir Putin to bring the conflict to an end.

The decision to send French-made tanks to the battlefield appears, therefore, to be a first move in shifting perspectives from western capitals on the viability of peace talks with the Kremlin.

A French presidential aid said according to the AFP: “The president wanted to increase aid” to Ukraine “by accepting to deliver AMX-10 RC light tanks,” adding: “It is the first time that Western-designed tanks are supplied to the Ukrainian armed forces.”

President Zelensky for his part wrote on Twitter: “Had a long and detailed conversation with President of France Emmanuel Macron on the current situation. Thanked for the decision to transfer light tanks and Bastion APCs to Ukraine, as well as for intensifying work with partners in the same direction.”

Just days later, U.S. President Biden said he too would be sending armored fighting vehicles to Ukraine, selecting Bradley M2s to be donated. Germany is also getting involved, announcing at the same time it would be sending Marder IFVs to Ukraine, a potentially risky move given the German Army has just brought back its now-vintage Marder armor to front-line service, given the new replacements have so many problems they have proven totally unusable in actual service.

Situation report:  Brazil at the start of 9 January.

1. A state of emergency is declared in the Brazilian capital until 30 January. Various citizens’ rights will be restricted in accordance with the law on emergencies.

2. Brazilian President Lula da Silva announces the start of an intervention of federal troops in the capital to suppress an insurgency.

3. Argentina and Chile formally offer Brazil an offer to send police special forces to the capital to help the Brazilian police suppress the riots.

4. Brazilian authorities promise to bring those responsible for the riots to the harshest possible accountability and Lula said that he will seek where their money and resources come from.

5. Venezuelan President Maduro calls the attempted coup in Brazil a neo-fascist insurgency.

6. Most leaders in Latin America send supportive messages to Lula and they all know it is an attempted right-wing coup, so they condemn it.  Lula has incredible support in the region.

7. Lula has been out and about, assessing the damage as the presidential palace, congress buildings, and supreme court buildings in the area called Brazilia, have been vandalized.

8. I cannot confirm but seemingly the previous minister of Justice is in Orlando, Florida and that is where Bolsonaro is.

9. In the general language, the neo-fascist rioters are being called Fascist Trump-Nuts.

 

10.  Reports are filtering in now that Brazilian authorities said the riots in the capital are over. Ricardo Cappelli, the spokesman for the Capital Federal District, said the situation in the region, including the country’s capital, Brasilia, was “under control.” Government offices will resume work in the coming hours.  According to preliminary data, more than 400 supporters of ex-president Jair Bolsonaro were detained during the protests.

11.  I noticed the lack of Israeli flags among the rioters.  During the election, the Bolsonaro factions carried Brazilian flags, Israeli flags and USA flags.  I did not see one video where they are continuing to carry Israeli flags.

12.  This attempted coup d’état will not remove Lula as president.

We keep watching as we see such an overt attempt at recreating a January 6th (US) situation.  Russian channels are calling this a Maidan, and Escobar, crisp as always says:

HERE IS A MAIDAN REMIX GOING ON RIGHT NOW IN BRASILIA.

CIA rat lines NEVER sleep.

The background in the region is that there are riots in Bolivia (regional) and in Peru the people are still in the streets after their recent coup where their president was arrested.  The empire is striking back in this region that is working hard to take its own power back.  AMLO in Mexico has meetings with Justin Trudeau and Biden at the moment, and he stated that he will approach Biden to remove the remnants of the hated Monroe Doctrine.

More to follow.

What is a Psychedelic Experience Like?

 

“Euphoria and insight,” as Roger Sterling said in Mad Men, but while the ‘visuals’ are what’s publicized, they really only make up a very small portion of the experience.

The #1 thing psychedelics do is make you unable to rationalize how important events and emotions are supposed to be, similar to the way a child thinks. In other words, it makes EVERYTHING seem incredibly significant, in a way it’s almost impossible to experience otherwise. You are INCREDIBLY attuned to small emotional / mood / vibe changes, and are in a heightened, much more “raw” state of being. This can be incredibly enlightening (or terrifying, depending on how your trip goes).

On psychedelics, you very quickly realize that scrolling on your phone, for example, makes you very uncomfortable, feels shallow and vapid, and drains your energy, like a room full of too-bright fluorescent lights. Horror movies are totally out, even if you normally love them, because the brutality will be far too much to bear. But something as simple as going outside, having a campfire, and listening to good music suddenly becomes absolutely incredible, and extremely poignant. Nature is the #1 thing to pair with psychedelics. It truly makes you see the beauty of the earth in a much more profound way.

It’s also a serotonin kick, and it makes your emotional relationship senses heightened as well. You often experience a profound sense of camaraderie and an outpouring of love — you will realize, for example, all the ways that your partner is beautiful, kind, and wonderful, and you’ll question how you could ever take anything for granted, especially the people that you’re surrounded by.

It can also grant you deep introspection, where your mask of ego and projection is thrown off, allowing you to see the truth at the core of your life (although sometimes this “truth” is not more accurate than the way you see yourself, just simply different.) This can be wonderful, or it can be extremely difficult, it just depends on your surroundings, dose, and mental state.

Long story short, while psychedelics don’t make you hallucinate, it’s like they strip away the callouses of your soul and heart, callouses that have been layered on by pain, age, boredom, and the grinding repetition of life. Once those callouses are broken down by the psychedelic experience, it allows you to see the world with a sense of childlike wonder again, and grasp the extraordinary nature of life and this earth for the miracle that it is.

Amazing Photos of the Third Generation of the Ford Thunderbird, 1961-1963

0 53
0 53

The third generation of the Ford Thunderbird is a personal luxury car produced by Ford for the 1961 to 1963 model years. It featured new and much sleeker styling (done by Bill Boyer) than the second generation models. Sales were strong, if not quite up to record-breaking 1960, at 73,051 including 10,516 convertibles.

0 1
0 1

A new, larger 390 cu in (6.4 L) FE-series V8 was the only engine available (in 1961). The Thunderbird was 1961’s Indianapolis 500 pace car, and featured prominently in US President John F. Kennedy’s inaugural parade, probably aided by the appointment of Ford executive Robert McNamara as Secretary of Defense. It shared some styling cues with the much smaller European Ford Corsair.

ford thunderbird 1960s 1
ford thunderbird 1960s 1

It was replaced by the 4th generation Thunderbird for model year 1964. Here is a set of amazing photos of the third generation of the Ford Thunderbird (1961-1963).

ford thunderbird 1960s 2
ford thunderbird 1960s 2

ford thunderbird 1960s 27
ford thunderbird 1960s 27

ford thunderbird 1960s 26
ford thunderbird 1960s 26

ford thunderbird 1960s 23
ford thunderbird 1960s 23

ford thunderbird 1960s 22
ford thunderbird 1960s 22

ford thunderbird 1960s 21
ford thunderbird 1960s 21

ford thunderbird 1960s 20
ford thunderbird 1960s 20

ford thunderbird 1960s 19
ford thunderbird 1960s 19

ford thunderbird 1960s 18
ford thunderbird 1960s 18

ford thunderbird 1960s 17
ford thunderbird 1960s 17

ford thunderbird 1960s 15
ford thunderbird 1960s 15

ford thunderbird 1960s 14
ford thunderbird 1960s 14

ford thunderbird 1960s 13
ford thunderbird 1960s 13

ford thunderbird 1960s 12
ford thunderbird 1960s 12

ford thunderbird 1960s 11
ford thunderbird 1960s 11

ford thunderbird 1960s 10
ford thunderbird 1960s 10

ford thunderbird 1960s 9
ford thunderbird 1960s 9

ford thunderbird 1960s 7
ford thunderbird 1960s 7

ford thunderbird 1960s 6
ford thunderbird 1960s 6

ford thunderbird 1960s 5
ford thunderbird 1960s 5

ford thunderbird 1960s 4
ford thunderbird 1960s 4

ford thunderbird 1960s 3
ford thunderbird 1960s 3

ford thunderbird 1960s 2 1
ford thunderbird 1960s 2 1

ford thunderbird 1960s 1 1
ford thunderbird 1960s 1 1

FOIA Documents prove COVID-19 “Vaccines” were treated as “Medical Counter-measures” to a Bio-Weapon attack. Entire COVID Operation Run by US National Security Council with NO MANUFACTURING SAFEGUARDS OR DISCLOSURES

.

New Docs Reveal Department of Defense Controlled COVID-19 Program from the start. The FDA Vaccine Approval Process was Theater. A combination of the PREP Act, Emergency Use Authorization, and Other Transactions Authority (OTA), shielded Big Pharma, Agencies, and Medical Participants that Delivered Unregulated Vaccines, from any liability.

According to congressionally passed statutes, research of active laws, and extra details obtained through the Freedom of Information Act, the Department of Defense owns, implements, and oversees the COVID-19 vaccine program as a “Countermeasure” to foreign attack. While the public was bombarded with an orchestrated fear campaign, the U.S. Government managed the Covid response as a national security threat.

The research and documents (Click Here) were obtained by a former executive of a pharmaceutical Contract Research Organization (CRO), Sasha Latypova, and intensive legal researcher Katherine Watt (Click HERE).

 

The Three-Legged Stool

The undercover operation was orchestrated utilizing three critical legal maneuvers:

1. Emergency Use Authorization EUA.

2. Prep Act,

3. Other Transactions Authority

President Trump declared a Public Health Emergency (PHE) on March 13, 2020, under the Stafford Act, putting the National Security Council in charge of the Covid policy. Covid-19 vaccines are “medical countermeasures” – a grey area of products that are not regulated as vaccines or medicines.

“They put the National Security Council in charge and treated it as an act of war,” said Latypova.

According to Operation Warp Speed/ASPR reports, the DoD ordered, oversaw, and tightly managed the development, manufacture, and distribution of Covid countermeasures, mainly utilizing the DoD’s previously established network of military contractors and consortia.

Department of Defense (DoD), the Biomedical Advanced Research and Development Authority (BARDA), and Department of Health and Human Services (HHS), ordered all Covid countermeasures, including “vaccines” as prototype demonstrations of large-scale manufacturing, avoiding regulations and transparency under Other Transaction Authority. As prototypes used under EUA during PHE, Covid countermeasures, including “vaccines,” need not comply with the U.S. laws for manufacturing quality, safety, and labeling.

“The implication is that the U.S. Government authorized and funded the deployment of noncompliant biological materials on Americans without clarifying their “prototype” legal status, making the materials not subject to normal regulatory oversight, all while maintaining a fraudulent pseudo- “regulatory” presentation to the public,” said Latypova.

“Most incredible is the fact that current Laws enacted by the United States Congress appear to make the coverup actions LEGAL!”

Under the PHE, medical countermeasures are not regulated or safeguarded as pharmaceutical products (21 USC 360bbb-3(k)).

The American people were led to believe that the FDA, CDC, and figureheads like Anthony Fauci, oversaw the COVID-19 vaccine program. Their involvement was an orchestrated information operation. All decisions concerning the COVID-19 vaccine research, materials acquisition, distribution, and information sharing were tightly controlled by the DoD.

Hundreds of Covid countermeasures contracts have been uncovered. Many disclosures are in redacted form. However, Latypova and Watt have found sources to fill in the details.

A review of these contracts indicates a high degree of control by the U.S. Government (DoD/BARDA). It specifies the scope of deliverables as “demonstrations” and “prototypes” only while excluding clinical trials and manufacturing quality control from the scope of work paid for by the contracts.

To ensure that the Pharma is free to conduct the fake clinical trials without financial risk, the contracts include the removal of all liability for the manufacturers and any contractors along the supply and distribution chain under the 2005 PREP Act and related federal legislation.

Why is no action by regulators or courts? According to Latypova and Watt, a combination of recently passed legislation and executive orders make it LEGAL to LIE! The HHS Secretary is accountable to no one if the Health National Emergency continues to be extended by Congress every three months.

A significant information operation was set in motion the minute COVID-19 hit. The U.S. government, the intelligence community, the media, and Big Tech colluded to orchestrate and implement an intense pressure campaign designed to get the vaccine legally designated under the Emergency Use Authorization Act while vilifying dissenting doctors, critics, and viable alternative treatments. This designation allowed for speedy manufacturing devoid of the standard safety and public health protocols.

For a vaccine to receive designation under the EUA, there can be no other known treatments or cures. Therefore, many proven treatments such as ivermectin and hydroxychloroquine were blacklisted in the media and dismissed as “horse de-wormers” when these cheap, readily available drugs were in the past heralded for their effectiveness.

Eminent COVID-treating doctors such as Peter M. McCullough and Pierre Kory have faced unprecedented attacks on their medical credentials.

HAL TURNER ANALYSIS AND PERSONAL OPINION

I am not a lawyer and am not qualified to render a competent legal opinion.  That said, it seems to me, as a layman, that when these COVID-19 “vaccines” were declared to be prototype demonstrations of large-scale manufacturing, that was fraud.

This was not going to be a . . .demonstration of large-scale manufacturing” it was going to be wide-scale distribution to the general public.  This was clear from the outset. There was no question about this.  THAT makes it fraud.

The persons who declared the “vaccines” to be prototype demonstrations of large-scale manufacturing knew what they were saying was fraud and they did it anyway.

In American federal jurisprudence, “Fraud vitiates everything.”  Nothing that stemmed from the fraud can be allowed to remain, and everything caused BY the fraud must be remediated by the courts to the extent possible. (See United States v. Throckmorton (98 U.S. 61 (1878)).   In the Throckmorton case, the Supreme Court of the United States court held “fraud vitiates everything.”

As such, it seems to me, no one can have any immunity from liability because everyone involved knew, or should have known, the entire COVID-19 Vaccine operation was a fraud.  Not only were the brand new mRNA gene therapy shots NOT “vaccines” they were being distributed as such when everyone in the process knew there was no foreign attack, this was not a “medical countermeasure,” and the representation that these were “prototype demonstrations of large-scale manufacturing” were all falsehoods.

I believe this situation qualifies as a “crime against humanity” for the scope of the deliberate fraud, and the horrific damages, injuries, and deaths, caused by these mRNA vaccines.

People are still, to this very moment, actually dying from consequences of having taken these fraudulent “vaccines.”   People need to go to prison for this.

In the meantime, NO ONE SHOULD TAKE THESE VACCINES OR THEIR “BOOSTERS.”   It is now clear that no safeguards were used in the manufacture of these materials, no one is being told what the actual ingredients of these shots are, it is now established scientific fact these shots do not prevent anyone from being infected with COVID, do not protect anyone from transmitting the virus to others, do not prevent severe illness from COVID, and do not prevent death from COVID.   Worse, there are documented, widespread, injuries and deaths attributable to these shots.

Given these realities, it seems logical to me that no one should take these shots again.

Confessions Of An Ex-Mercenary

How does one become a mercenary ?

Typically you start somewhere. I was a US Army Paratrooper in the 82nd Airborne. Friends of friends found me, and got my first contract to go to Africa.

How’s the pay?

Pay can fluctuate. First of all, there’s a lot of deadbeats in this space. For example, Liberia asked me to create a littoral sea fighting force, but I couldn’t figure out how they would actually pay (regardless of promises) so I walked away. Never work for the UN. Total deadbeats.

The US pays you about double what you might make in uniform, which ain’t much given the risks. All the stories of guys making 2 grand a day are BS. Maybe a few guys at the beginning of the Iraq war. Also, the US might pay Blackwater $1500/day for you but Blackwater pays you only $400/day, pocketing the rest.

The best is extractive industry, especially those that are private owned. You can find these in Houston, with the right connections.

What was the best/worst compensation for the contracts you’ve been on?

Worst. Not getting paid what was owed me.

Best. Getting paid six-figure for a two-week walkabout among the armed groups of the Sahara. Oil company.

How do you acquire your equipment? Especially for situations where you’re raising a small army.

It depends on the client. When I worked US government (USG) contracts, they would pay for it and I would go get it. Hence I did shopping sprees in Eastern Europe.

Are there anybody that you refuse to work with? If so: why?

There are many whom I would refuse to work with. For example, China or Russia. Actually, any who is the enemy of the US, since I’m still an ex-soldier at heart and blue passport holder.

However, a lot of people don’t care and go where the money. Erik Prince, founder of Blackwater, left the US and now works for China in Africa. He’s now in DC pimping an idea to hire a mercenary army to “fix” Afghanistan. It’s a dangerous idea.

What was the craziest thing you had to do as a mercenary?

Stop a genocide in Africa.

Could you elaborate?

Only a little.

The US had intel that an extremist Hutu group hiding in the Congo called the FNL were planning to assassinate the President of Burundi in 1994. If they did this, it would cause a chain of reprisal killings – Tutsi killing hutus and hutus then killing tutsi – that would rekindle the 1994 Rwandan genocide.

The US sent us in to prevent all this from happening, with out the governments of Burundi, Rwanda, DRC etc knowing. Only about 5 people knew in Burundi our mission, including the President and General in charge of their military.

We succeeded. I am sorry that I can’t go into the operational details.

What is the rule on killing kids?

There are no rules.

Unless they are written into the contract.

BTW mercs make moral choices. They can say ‘no’ to a contract or ROE, unlike soldiers and marines. They can also go ‘off contract’ or rogue.

Is your work considered peacekeeping, or are you on a definite side of the wars you’ve been part of?

“Peacekeeping” is one of those weasel words that diplomats like to throw around to cover their operations. Putin said he was doing ‘peacekeeping’ in Chechnya. The UN does “peace enforcement,” which they did in the eastern Congo in 2013.

I’m straight faced about it. We do war.

During your time as a Merc, how many times have you had run-ins with SOFs (Special Operations Forces) from other countries, which ones, how many did you actually have to fight, and which ones scared you the most?

All the time. People cluster around skillset, so if you have a SOF or paratrooper or ranger etc background, you will gravitate to those with like skills and either form a team or join one.

The best are from US, richer European countries and many of the former Soviet republics. Latin american SOF is good too. The worst are African and Middle east countries. Scariest: ex-Soviet. They are…different than the rest of us.

How so? Psychologically they’re different? Their skill sets?

Yeah. Many of them are crazy and tough. They use to have this thing in Spetznatz training called the Rule of the Grandfathers. Recruits would have bones broken.

How large is the industry, and would you say that it is mostly larger bodies of armed men, or do mercenaries also do smaller, covert ops missions in tight, single digit groups?

It’s really hard to say how large and how much money is sloshing around the private military world. There’s no Department of Labor and Statistics for Mercenaries.

During the height of the US wars in Iraq and Afghan, contractors worked in large military corporations. Now that this market has dried up, mercenaries are atomizing into smaller units.

What is the mortality rate for mercenaries?

It depends. Let’s assume proper mercenaries here, meaning they are ex-military and in conflict zones. The mortality is higher than most 1st world militaries. Also, if you get hurt, you are likely screwed.

Merc ops gone wrong generally don’t make the news. Mercs are hired often to work in the shadows, and if something goes wrong, their employers cut them away like a kite. That’s why we call these ‘kite missions.’

 

High end mercs are hired because they offer good plausible deniability.

What is the biggest misconception on PMC’s (Private Military Contractor) or Mercenary firms that is currently being published in the political science literature?

Political Science and academics in general don’t know much because this industry is so opaque. You have to be on the inside to understand it, yet not succumb to it, or get blackmailed into silence.

The common misperceptions are:

  1. Mercenaries are ineffective. Wrong, very wrong.
  2. Mercs are illegitimate. “Legitimacy” is a big word people like to throw around with much thinking. Ultimately, it doesn’t really matter that much.
  3. Mercs are all evil. Some are, some are not. Same with soldiers.
  4. We can use international law to curb mercenaries. Wrong again. Mercenaries will just shoot your law enforcement.
  5. Mercs are peripheral security problem. Wrong. The market for force is growing and cannot be stopped. At least not if we leave it on auto-pilot, which have.
  6. Lastly, mercs are not useful. This is wrong. They are like fire: power a steam engine or burn the building down. They can augment UN forces of be used as terrorists.

Did this kind of experience provide you with insights into a deeper understanding of the interactions between the western world and the middle eastern one? If yes, could you go into details?

You can’t understand the middle east if you think in terms of “states” or countries. You have to think in terms of blocs of ancient powers warring one another. You have the Sunni bloc, lead by Saudi Arabia and includes the GCC, Jordan, Yemen, Egypt and N. Africa and others.

Then you have the Shia block, lead by Iran and includes N. Iraq, Syria regime, parts of Lebanon.

I call these blocks “deep states,” not like Steve Bannon’s conspiracy theory but rather networks of power, elites and interest.

These Sunni and Shia Deep States have been at war, in some fashion, since the death of Mohammed. People who don’t see the middle east as two warring deep states will be left scratching their heads, which is what many ‘experts’ here do. Every day.

Is it good to have an knowledge of geopolitics related to the war you are participating in as a mercenary?

No. Optional.

The only knowledge you need to master is how to operate in a fire team.

Can you drop some light on the level of discipline in the mercs (rape, plunder,etc) during interactions with non-armed civilians? Have you personally witnessed any such incidents?

Good mercs do good things, bad mercs do bad things. Just like national armies around the world. However, I ran across mercenaries in the Congo that were pure evil. Really evil. It was sad.

BTW this is a serious concern you raise. I don’t have an answer because what mercenaries do is introduce market dynamics into warfare. Supply and demand dictate warfare as much as traditional military strategy.

Example. When I was in West Africa, some warlords used rape as a tactic and strategy of war. You could hire mercs to terrorize and cow local populations this way. You could also hire mercs to kill those mercs. But you are left with a world awash in mercenaries, and that’s what I fear is coming.

So, just curious if there are female mercenaries, or is this just a male arena?

I never found any female mercs, although it doesn’t mean they are not out there. I ran across female warlords in Africa, who would cut off you undercarriage in a heartbeat. With a rusty machete.

Mercenaries seem to have a fixed role in small arms conflicts (like what you said about Africa and the middle east) but with the international security landscape focused nation states and their nuclear weapons, what role do you believe mercenaries will play, if any, in nuclear security?

I think the international community is by definition Westphalian and can only see the world like a state. That’s why they continue to struggle against non-sate (what they humorously call ‘sub-state’) actors. Hence the mayhem.

Mercs are rising and can take over states, become a praetorian guard, can bully states etc. Let’s not forget that most of the states in the world are fragile or failing. And nothing is stopping the rise of mercenary organizations around the world. 100 years from now, mercenaries will be a bigger problem than nukes.

How has the battlefield changed in your lifetime? I imagine tactics are changing every day with each new advancement in equipment, but did you foresee the role of drones playing such a large role in current conflicts? It seems like we are heading towards wars where soldiers will never be in line of sight or am I thinking far too down the road?

Well, there’s war and warfare. War never changes; it’s bloody, violent, political etc. Warfare changes all the time, including tactics, leadership, environment, technology.

Drones are cheap and easily rigged into kamikazes. Expect mercenary “air forces” of suicide drone squad. There are also cyber mercs called “Hack Back Companies.”

That said, technology is over rated in war. The US has loads of tech and yet can’t conquer Taliban, Al Qaeda, ISIS etc. Meanwhile the humble IED remains a big tactical problem.

Mercenaries also change war. They open up strategy to the laws of the market place. Future Generals may need to know more about the laws of the marketplace and Wall Street, so they understand how to increase or decrease the flow of mercenaries into a battle space.

In your opinion, what is the best approach to handling North Korea?

DPRK is the headache that keeps on giving. If we strike them, they shell Seoul with artillery. China uses them as leverage to extract favor from the US.

Ultimately, no one wants to see DPRK fall. China and South Korea don’t want to absorb 20 million deranged refugees and no one wants to tempt the DPRK leadership’s will to launch everything they have.

Ultimately the best course of action is the status quo, which is why nothing has changed in 70 years.

What is a moment you can still vividly recall today?

Digging up children’s teeth in the genocide killing fields outside Bujumbura, Burundi.

What sorts of non-millitary exclusive skills helped you the most or did the best to keep you alive?

Cultural skills. When you are operating in Africa or elsewhere, you need to be cultural attuned. First, I’m a white guy with straight teeth, which means I’m American. That can be very disadvantageous in some parts of the world. You have to have a good intuition about people.

How does the merc profession mesh with family life? I imagine it takes one away from family a lot.

Merc life is pretty incompatible with married life. You’re always “deployed.” You can maybe do something like 6 months on a defensive lucrative mission, like defending oil pipelines in the desert. Then 6 months at home.

What is the biggest misconception people label you guys with? 

People think mercenaries are villains, etc. This is BS.

People often throw machiavelli in my face, who said mercenaries are “faithless whores” or something like that. He was the guy who wrote The Prince (which I love, as well as his Art of War and Discourses on Livy). But he was a total failure. During the the early 1500s, he was in charge of Florence’s defenses and got seriously burned by his lame mercs. So he Mr Sour Grapes. Most mercs – then and now – are not like that.

The prejudice against mercenaries is extremely unjustified. Would you rather be taken a prisoner by Blackwater of the Zimbabwe army ? I recommend the former.

Beautiful Portraits Of Gorgeous Japanese Women By Kato Yasuo

032
032

031
031

030
030

029
029

028
028

027
027

026
026

025
025

024
024

023
023

022
022

021
021

020
020

019
019

017
017

016
016

015
015

014
014

013
013

011
011

010
010

009
009

008
008

007
007

006
006

005
005

004
004

003
003

002
002

3 57
3 57

2 59
2 59

1 69
1 69

001
001

Easy Manicotti

“No cooking the manicotti, so that’s the easy part. Sometimes I will add some frozen chopped spinach, cooked and squeezed dry. A real easy meal!”

2023 01 02 08 58
2023 01 02 08 58

Ingredients

Directions

  • Combine Ricotta, Mozzarella, grated Parmesan, sugar, egg, nutmeg, parsley, salt and pepper to taste.
  • Stuff uncooked manicotti generously with the cheese mixture, from both ends.
  • Arrange manicotti in a single layer in a baking pan.
  • Bring sauce to a boil and pour over manicotti, covering completely.
  • Cover baking pan with aluminum foil, crimping the edges to seal tightly.
  • Bake in a preheated 400 degree Fahrenheit oven for 40 minutes.
  • Remove foil; sprinkle generously with additional grated cheese.
  • Bake uncovered for 5 minutes more.

2023 01 02 08 59
2023 01 02 08 59

RUSSIA RETALIATES: MORE THAN 600 UKRAINE TROOPS KILLED IN TWO MASSIVE MISSILE STRIKES

2023 01 09 15 25
2023 01 09 15 25

In the minutes after the New Year arrived, Ukraine struck a temporary Russian Barracks in Makiivka, killing at least 89 Russian soldiers.

Today, Russia retaliated.

More than 600 of Kiev’s troops have been eliminated in a Russian missile strike in the Ukrainian-held city of Kramatorsk in the Donbass, Russia’s Defense Ministry has claimed.

The bombardment on Sunday was a “retaliation operation” carried out in response to “a criminal attack” by Ukraine on a temporary housing area accommodating Russian servicemen in the city of Makeyevka in the Donetsk People’s Republic (DPR) on New Year’s night, the ministry said in a statement.

Over the past 24 hours, the Russian military has managed to uncover and confirm the location of Ukrainian troops in Kramatorsk in the DPR, the statement read. This data revealed that dormitory No.28 in the city was hosting more than 700 Kiev soldiers, with 600 more staying in dormitory No.47.

“As a result of a massive missile attack on these temporary housing areas of the Ukrainian military’s units, more than 600 Ukrainian servicemen were killed,”  the ministry said.

On January 1, at precisely 0:01am, Ukrainian forces targeted a temporary housing area containing Russian troops in the city of Makeyevka. Six missiles from a US-supplied HIMARS multiple rocket launcher were fired at the building. Two of them were intercepted by Russian air defenses, but four made it through, causing massive damage to the facility. The death toll from the strike has reached 89, according to the Russian Defense Ministry.

Earlier this week, Moscow said several HIMARS systems operated by Ukraine had been destroyed in Donbass, including the launcher that was used in the strike on Makeyevka.

The strike on Kramatorsk took place following a 36-hour truce announced by Russian President Vladimir Putin to allow Orthodox Christians, who make up the majority of the population in Russia and Ukraine, could go to church and celebrate Christmas safely. The ceasefire by Russian forces was unilateral, and the Ukrainian military continued to shell multiple areas in the newly incorporated Russian territories of Donbass, Kherson and Zaporozhye regions, Moscow said.

This, from the Russian Ministry of Defense:

"In response to the criminal strike of the Kiev regime in the first minutes of January in Makeevka a retaliation operation was implemented During the day, Russian intelligence tools opened and reliably confirmed the temporary deployment points of the AFU military in Kramatorsk.

During the day, the temporary deployment points of Ukrainian servicemen in Kramatorsk were opened. There were more than 700 military personnel in Dormitory No. 28 and more than 600 in dormitory No. 47.

As a result of a massive missile strike on these points of temporary deployment of units of Ukrainian troops, more than 600 soldiers were demilitarised."

The hard work starts now. Welcome to the New Great Game on crack, Pepe Escobar writes.

2023 starts with collective NATO in Absolutely Freak Out Mode as Russian Defense Minister Shoigu announces that Russian Navy frigate Admiral Gorshkov is now on tour – complete with a set of Mr. Zircon’s hypersonic business cards.

The business tour will encompass the Atlantic and the Indian Ocean, and of course include the Mediterranean, the Roman Empire’s former Mare Nostrum. Mr. Zircon on the prowl has absolutely nothing to do with the war in Ukraine: it’s a sign of what happens next when it comes to frying much bigger fishes than a bunch of Kiev psychos.

The end of 2022 did seal the frying of the Big Ukraine Negotiation Fish. It has now been served on a hot plate – and fully digested. Moscow has made it painfully clear there’s no reason whatsoever to trust the “non-agreement capable” declining superpower.

So even taxi drivers in Dacca are now betting on when the much- vaunted “winter offensive” starts, and how far will it go. General Armageddon’s path ahead is clear: all-out demilitarization and de-electrification on steroids, complete with grinding up masses of Ukrainians at the lowest possible cost to the Russian Armed Forces in Donbass until Kiev psychos beg for mercy. Or not.

Another big fried fish on a hot plate at the end of 2022 was the 2014 Minsk Agreement. The cook was no other than former chancellor Merkel (“an attempt to buy time for Ukraine”). Implied is the not exactly smokin’ gun: the strategy of the Straussian/neo-con and neoliberal-con combo in charge of U.S. foreign policy, from the beginning, was to unleash a Forever War, by proxy, against Russia.

Merkel may have been up to something telling the Russians, in their face, that she lied like crypto-Soprano Mike Pompeo, then she lied again and again, for years. That’s not embarrassing for Moscow, but for Berlin: yet another graphic demonstration of total vassalage to the Empire.

The response by the contemporary embodiment of Mercury, Russian Foreign Ministry’s Maria Zakharova, was equally intriguing: Merkel’s confession could be used as a specific reason – and evidence – for a tribunal judging Western politicians responsible for provoking the Russia-Ukraine proxy war.

No one will obviously confirm it on the record. But all this could be part of an evolving, secret Russia-Germany deal in the making, leading to Germany restoring at least some of its sovereignty.

Time to fry NATO fish

Meanwhile, deputy chairman of the Russian Security Council Dmitry Medvedev, visibly relishing his totally unplugged incarnation, expanded on the Fried Negotiation Fish saga. “Last warning to all nations”, as he framed it: “there can be no business with the Anglo-Saxon world [because] it is a thief, a swindler, a card-sharp that could do anything… From now on we will do without them until a new generation of sensible politicians comes to power… There is nobody in the West we could deal with about anything for any reason.”

Medvedev, significantly, recited more or less the same script, in person, to Xi Jinping in Beijing, days before the zoom to end all zooms – between Xi and Putin – that worked as a sort of informal closure of 2022, with the Russia-China strategic partnership perfectly in synch.

On the war front, General Armageddon’s new – offensive – groove is bound to lead in the next few months to an undisputable fact on the ground: a partition between a dysfunctional black hole or rump Ukraine on the west, and Novorossiya in the east.

Even the IMF is now reluctant to throw extra funds into the black hole. Kiev’s 2023 budget has an – unrealistic – $36 billion deficit. Half of the budget is military-related. The real deficit in 2022 was running at about $5 billion a month – and will inevitably balloon.

Tymofiy Mylovanov, a professor at the Kiev School of Economics, came up with a howler: the IMF is worried about Ukraine’s “debt sustainability”. He added, “if even the IMF is worried, imagine what private investors are thinking”. There will be no “investment” in rump Ukraine. Multinational vultures will grab land for nothing and whatever puny productive assets may remain.

Arguably the biggest fish to be fried in 2023 is the myth of NATO. Every serious military analyst, few Americans included, knows that the Russian Army and military industrial complex represents a superior system than what existed at the end of the U.S.SR, and far superior to that of the U.S. and the rest of NATO today.

The Mackinder-style final blow to a possible alliance between Germany (EU), Russia and China – which is what is really behind the U.S. proxy war in Ukraine – is not proceeding according to the Straussian wet dream.

Saddam Hussein, former imperial vassal, was regime-changed because he wanted to bypass the petrodollar. Now we have the inevitable rise of the petroyuan – “in three to five years”, as Xi Jinping announced in Riyadh: you just can’t prevent it with Shock’n Awe on Beijing.

In 2008, Russia embarked on a massive rebuilding of missile forces and a 14-year plan to modernize land-based armed forces. Mr. Zircon presenting his hypersonic business card across the Mare Nostrum is just a small part of the Big Picture.

The myth of U.S. power

The CIA abandoned Afghanistan in a humiliating retreat – even ditching the heroin ratline – just to relocate to Ukraine and continue playing the same old broken records. The CIA is behind the ongoing sabotage of Russian infrastructure – in tandem with MI6 and others. Sooner or later there will be blowback.

Few people – including CIA operatives – may know that New York City, for instance, may be destroyed with a single move: blowing up the George Washington bridge. The city can’t be supplied with food and most of its requirements without the bridge. The New York City electrical grid can be destroyed by knocking out the central controls; putting it back together could take a year.

Even trespassed by infinite layers of fog of war, the current situation in Ukraine is still a skirmish.

The real war has not even started yet.

It might – soon.

Apart from Ukraine and Poland there is no NATO force worth mentioning. Germany has a risible two-day supply of ammunition. Turkey will not send a single soldier to fight Russians in Ukraine.

Out of 80,000 U.S. troops stationed in Europe, only 10% are weaponized. Recently 20,000 were added, not a big deal. If the Americans activated their troops in Europe – something rather ridiculous in itself – they would not have any place to land supplies or reinforcements. All airports and seaports would be destroyed by Russian hypersonic missiles in a matter of minutes – in continental Europe as well as the UK.

In addition, all fuel centers such as Rotterdam for oil and natural gas would be destroyed, as well as all military installations, including top American bases in Europe: Grafenwoehr, Hohenfels, Ramstein, Baumholder, Vilseck, Spangdahlem, and Wiesbaden in Germany (for the Army and Air Force); Aviano Air Base in Italy; Lajes Air Base in Portugal’s Azores islands; Naval Station Rota in Spain; Incirlik Air Base in Turkey; and Royal Air Force stations Lakenheath and Mildenhall in the UK.

All fighter jets and bombers would be destroyed – after they land or while landed: there would be no place to land except on the autobahn, where they would be sitting ducks.

Patriot missiles are worthless – as the whole Global South saw in Saudi Arabia when they tried to knock out Houthi missiles coming from Yemen. Israel’s Iron Dome can’t even knock out all primitive missiles coming from Gaza.

U.S. military power is the supreme myth of the fish to be fried variety. Essentially, they hide behind proxies – as the Ukraine Armed Forces. U.S. forces are worthless except in turkey shoots as in Iraq in 1991 and 2003, against a disabled opponent in the middle of the desert with no air cover. And never forget how NATO was completely humiliated by the Taliban.

The final breaking point

2022 ended an era: the final breaking point of the “rules-based international order” established after the fall of the U.S.S.R.

The Empire entered Desperation Row, throwing everything and the kitchen sink – proxy war on Ukraine, AUKUS, Taiwan hysteria – to dismantle the set-up they created way back in 1991.

Globalization’s rollback is being implemented by the Empire itself. That ranges from stealing the EU energy market from Russia so the hapless vassals buy ultra-expensive U.S. energy to smashing the entire semiconductor supply chain, forcibly rebuilding it around itself to “isolate” China.

The NATO vs. Russia war in Ukraine is just a cog in the wheel of the New Great Game. For the Global South, what really matters is how Eurasia – and beyond – are coordinating their integration process, from BRI to the BRICS+ expansion, from the SCO to the INSTC, from Opec+ to the Greater Eurasia Partnership.

We’re back to what the world looked like in 1914 (world war 1), or before 1939 (world war 2), only in a limited sense.

There’s a plethora of nations struggling to expand their influence, but all of them are betting on multipolarity, or “peaceful modernization”, as Xi Jinping coined it, and not Forever Wars: China, Russia, India, Iran, Indonesia and others.

So bye bye 1991-2022.

The hard work starts now.

Welcome to the New Great Game on crack.

The Most Shocking Interrogation You’ve Ever Seen: Markeith Loyd

"The effort and time put in to making these videos is astounding. I’m 36 years old, I’ve seen a lot of different productions of film and/or documentaries and this is extremely impressive! Excellent work EWU! My wife and I enjoy watching your videos together. Please keep them coming!"

https://youtu.be/781YkcgBnC4

A slide into a new global reality, or the unleashing of global war; the USA will decide

We continue into 2023…

Scary or not, it’s gonna be an interesting time.

All my suggestions of the past still play hold…

  • Self reliance
  • Food storage and garden
  • Possess a local skill
  • Make friends
  • Alternative means of transport
  • Power back ups
  • Be part of a community
  • Be the Rufus
  • Affirmation campaigns

Now let’s get into the heat of it all…

What Was It Like To Be Shipped To A Concentration Camp?

We’ve been traveling for twenty-four hours. Where, only God knows. We’re all starting to get nervous. People were saying all sorts of things; listen to them and the front must be far behind us, and yet we’ve been traveling across Poland for half a day now and there’s no sign of it.

Now the train has started to slow. Could we finally be there? I don’t want to believe it—I’d started to think this trip would never end. We’re getting close, definitely—you can see buildings over there. And so many of them—it’s a huge camp. I can see people, but what are they wearing? It looks like pajamas, and they’ve all got the same ones.

My God, those are prisoners’ clothes! Where have they taken us?! This is a concentration camp! There are some men working over there, stacking boards. Why is that man beating them so hard? It must hurt horribly, he took a cudgel to them. How can he be so cruel? He isn’t even a German—he’s also in a striped jumper, but he’s got a band on his arm.

I must have been wrong; we can’t be stopping here. Why would they take us to a concentration camp? It’s not as if we’ve done anything. It’s horrible how they treat people here. I can’t watch; it makes me ill. He’s walloped another one, an old man. What a stinker; he’s barely twenty. Shame on him; that man could be his father and to treat him that way. He kicked him again till the poor old man staggered.

So that’s what a concentration camp looks like; I could never imagine it. People have been living this way for several years. And we complained about Terezín. That was an absolute paradise compared to this.

What’s this? The train has stopped. A whole group of striped people is running toward us. Is there anyone among them from Terezín? Maybe they’ve come to help with our baggage. Perhaps Dad’s among them. But no, they’ve probably just come to see what sort of train this is. We’re not getting off here, surely? Or—why didn’t it occur to me earlier?—this is Auschwitz, of course. Birkenau is nearby, maybe the trains don’t go there, so we’ll have to walk that bit. Definitely, that’s the way it is. This is Auschwitz, the concentration camp, and we’re going to Birkenau, the work camp.

The carriage next to us is already alighting. Why so much noise over there? They’re banging on our door. I suppose it’s our turn now. Why are there so many SS men outside? Are they all here to guard us? Where would we run to? It would be pointless anyway. We’re in it; there’s no helping us.

“Everyone out! Leave your luggage where it is! Alle heraus, schneller!!!” Leave everything here, hand luggage too? Why are they shouting so much, what’s with the spiteful smiles? They’re grabbing everyone by the wrist; what are they looking for, watches? If only they wouldn’t yell at us so much, and what do those grimaces and comments mean? They’re treating us as if we belong in that concentration camp. One woman just got a slap for trying to take a loaf of bread with her. Is this Birkenau?

Why is my throat so scratchy? I don’t want them to know how I feel.

Stupid eyes—why are they smarting? I mustn’t cry! For all the world, not now!! “Alles da lassen!”—“Leave everything as is!”—“Schneller, heraus!!!

They sort us into two groups. One—older women and mothers with young children—goes to the left; the other goes to the right. “Sick people shouldn’t say anything,” hushed voices repeat; “you’re all healthy,” one of the ones in prisoner’s clothes whispers in Czech just behind me. A Czech, then. The lines in front of us move; soon it will be our turn. As long as they leave me and Mom together. Surely they can’t separate us if I say we belong together. Or will it be better not to say we’re together? Probably; maybe they deliberately wouldn’t let us stay together if they knew how much it mattered to us.

They’re even taking mothers away from their children. I know that girl there; she’s going to the right and her mom’s going left. But the mom’s quite old; she’s got gray hair. My mom still looks young. But . . . maybe I look too much like a child? Maybe they’ll ask me how old I am. Should I tell the truth? Fifteen; no, that’s too little—they’d send me left and separate me from Mom. I’d better say I’m older, maybe eighteen. Do I look it? Sure, maybe they’ll believe me.

The line is getting shorter; the group of five in front of us has gone. Oh Lord, I pray to you, leave me and Mom together. Don’t let them send us each a different way.

Two more people and it’s our turn. For God’s sake, what if he asks me what year I was born? Quickly: 1929 and I’m fifteen, so if I’m eighteen . . . 29, 28, 27, that makes 1926. Mom is standing in front of the SS man, he’s sent her to the right. Lord, let us stay together! “Rechts!” the SS man snarled at me and pointed the way with his finger. Praise be, we’re both on the same side. Thank you, God, a thousand thanks for making it work out.

First they led us to the baths, where they took from us everything we still had. Quite literally there wasn’t even a hair left. I’ve sort of got used to the shaven heads, but the first impression was horrid. I didn’t even recognize my own mother till I heard her voice. But so what, hair will grow back, it’s not such a tragedy, as long as we survive. I don’t hold out much hope. As soon as we got here, they held us up with a long speech, of which I remember nothing beyond the first sentence, which was plenty: “Ihr seid in Vernichtungslager!You are in an extermination camp. Upon which they drove us here, into this building, on to bunks from which we are not allowed to move.

I’m seriously hungry; we’ve not eaten since morning, it must be seven o’clock already, but it doesn’t look as if we’ll be getting any supper. Who knows, maybe they won’t feed us at all and will leave us to die of hunger. If only we’d eaten that pâté on the train; we were saving it for Dad, so we’d have something to give him right away.

My God, we’re such idiots, what were we thinking? “You’re following your men to a new ghetto.” And we believed them. Some people even volunteered to come. That’s why they let us take all our luggage. A nice pile of things they can put in their warehouse today.

We’re better off going to bed and sleeping off our hunger. Maybe they’ll leave us alone for today. Figuring out how to fit ten into a space for four will be a problem, of course, but we’ll manage somehow. If we all lie on our sides in one direction, it might work. We have three covers (that’s not really the right word, but I can’t find another term for the filthy rags that perhaps at one time used to be covers) that we have to share; we’ll put our clothes under our heads—so yes, it’ll work. We won’t be comfortable, but after all the events and afflictions of the last twenty-four hours I’m so tired that I think I could sleep well even on these bare boards.

What must the girls in the Heim be doing? Francka, Šáry, and the others? Will they remember me? And what about my lovely bunk? I won’t see out the end of the war on it now.

So they’re not letting us die of hunger. By this I don’t mean that there was plenty of tasty food, not by any reckoning, but it doesn’t matter, the main thing is that there was something at all.

Early in the morning came the wake-up call, after which each bunk received a pot with scrapings in it. They said that we’re new here so there was no more left for us. I was utterly miserable. If that’s how they’re going to feed us, then it’s the end for us. Although it wasn’t at all edible—cold, thick, and bitter—we forced it down. Partially to fill our stomachs with something, anything, and also because we were afraid that they would punish us for leaving food.

After breakfast was roll-call, where they counted us, left us standing there for an hour, maybe two, I don’t know exactly, because I don’t have a watch—in any case it was endless. Why I don’t know; apparently it’s part of the daily program. They only let us back in the building once it seemed to them that we were sufficiently tired and frozen through and through. It’s only October, but it was freezing cold standing there at four in the morning (it must have been around then, it was still completely dark), almost naked, for the rags they dressed us in can’t be called clothes, our bare feet stuck in Dutch clogs (sometimes only one clog, if you’re not clever and energetic enough to clamber down from the bunk in time and there aren’t enough to go round)—and the worst thing of all, with a shaven head; that’s the part that gets coldest.

Besides that, this Polish climate is awfully odd. During the day the sun beats down till people faint from the heat, while in the early morning it freezes worse than at home in December. I have to laugh when I remember how Mom always got mad when I wouldn’t want to put on a cap or long stockings in winter. If I ever get home again, I will never wear anything on my head till the day I die.

No sooner had we crawled (in the true sense of the word; there are no ladders here like there were at Terezín) back on our bunks and wrapped our numb legs and hands in rags than it was time to get up again, from whence we went to the latrine and the Waschraum. Everything went by at such a pace that it was absolutely impossible to use either of these two rooms. We’d barely taken two steps inside and the guards were chasing us out again, using cudgels and suchlike.

Marching at a pace quick enough to lose your clogs in the mud so abundant here, we returned to the building. Shortly thereafter they brought soup—called zupa here—not too tasty, with everything possible (and impossible) floating in it. Rotten turnip, corn cobs, bits of frozen marrow, stalks, and beetroot, which gave the mixture a pinkish color. As earlier that morning, five to ten people ate from a single pot. That didn’t help the taste, because we don’t even have spoons. Many people turned up their noses or didn’t even eat, but not me. You have to eat—doesn’t matter how or what. Like the proverb “A good pig eats everything,” I stuffed myself as full as I could. I used my teeth and my hands—just like the others who understand what’s what and don’t give themselves airs.

In the evening there was roll-call again, when bread rations were given out—a quarter-loaf of dark rye for each person and a spoonful of jam. We have no knives, so we just broke off bits and spread the jam with the crust. Mom and I hid one portion for the next morning and ate the other for supper. One of the guards gave me a handkerchief—I was surprised, since they’re all such pigs. She saw Mom covering my head with her bare hands and it must have awakened a bit of human kindness in her; the rest aren’t susceptible.

I’m so angry with myself; I let myself be waited on like a small child and I just sob all day. I can’t help it; everything here is so horrible. Bedtime is drawing near and I’m already good for nothing. Lying unmoving in one position until morning. Last night I didn’t even wake up once, but this morning I was all bruised, my bones felt as if they’d been broken, dreadful. You can’t sleep well on a hard surface and now here it is again. Oh, God, why are you punishing us like this? “Ruhe, alle schlafen, schneller!”—“Silence, everyone to sleep, hurry up!” The block warden patrols the middle of the building and the guards tear about shouting like madwomen. “Schlafen, schneller!” The lights have gone out.

– Helga Weiss

Russian Official: Put “Zircon Hypersonic Missiles . . . 100 Miles from Potomac River”

.

DD COMPOSITE RUSSIAN ROCKET graphic comp v2 2 large
DD COMPOSITE RUSSIAN ROCKET graphic comp v2 2 large

Dmitry Medvedev, Deputy Chairman of the Russian Federation Council (Senate) threatens to aim Russia’s Zircon hypersonic missiles at Washington by placing the ship carrying them 100 miles from the Potomac River.

Russia’s former president lashed out at the US in a statement calling for the country’s warships, armed with hypersonic missiles, to be stationed close to Washington, DC.

Dmitry Medvedev, who serves as the deputy chair of Russia’s security council, made the remarks in a vitriolic Telegram post in reaction to an appeal to ordinary Russians from the US Embassy.

On Wednesday, the embassy had tweeted a Russian-language video that said President Vladimir Putin’s invasion of Ukraine is “not worthy” of Russians.

 

 

In response, Medvedev said: “The main gift of the New Year was the arsenal of Zircon missiles that went yesterday to the shores of NATO countries.”

He then called for the missiles to be stationed “somewhere 100 miles from the coast [of the US], closer to the Potomac River”

Hypersonic missiles are exceptionally fast, and can travel on an unpredictable flight path, making them harder to intercept with traditional air defense systems. The Zircon has a range of between 310 and 620 miles, according to the Missile Defense Advocacy Alliance.

The 405-mile-long Potomac River passes through Washington, D.C., the seat of the US federal government.

On Wednesday, Russia announced that it was sending its Admiral Gorshkov warship, armed with Zircon hypersonic cruise missiles, on a long-range voyage that would pass through the Atlantic Ocean, Indian Ocean, and Mediterranean Sea.

Medvedev has produced some of the most extreme and hawkish commentary since Russia launched its invasion of Ukraine in February 2022, repeatedly touting his country’s nuclear arsenal and often going beyond the rhetoric offered by Putin.

Calling the US “sons of bitches” and “freaks” in his post, he described the US Embassy’s tweet as a cynical effort to use Nazi propaganda methods.

The US has been one of Ukraine’s most important allies during the conflict, sending billions of dollars of aid and weaponry to the country.

Medvedev said that the sight of the Admiral Gorshkov off the coast of the US would “bring to their senses anyone who poses a direct threat to Russia and our allies.”

Oh So Good Cabbage Rolls

“I have adjusted and tweaked this recipe to make it truly my own. During the last part of cooking the sauce becomes thick and delicious. For the adventurous, add a dash of Louisiana hot sauce when serving.”

2023 01 01 21 28
2023 01 01 21 28

Ingredients

Sauce

Directions

  • Blanch cabbage (s) for approximately 10 minutes.
  • When cool enough to handle, separate into leaves.
  • Combine meat, salt, pepper, rice, shredded cabbage, shredded potato, eggs, onions, bell pepper, garlic and parsley and small can of tomato sauce.
  • Mix well.
  • Place equal portions of meat mixture in center of each cabbage leaf, fold ends over, roll up and fasten with toothpicks.
  • Add one tablespoon oil each to two large skillets and place cabbage rolls sided by side in skillets.
  • To the two small cans of tomato sauce add the brown sugar and lemon juice and pour over cabbage rolls.
  • Cover skillets and simmer for 30 minutes, basting occasionally.
  • Uncover and continue cooking 30 minutes more, basting occasionally.
  • Sauce will thicken and become a wonderful sauce that adheres to cabbage rolls.
  • Dash of Louisiana hot sauce can be added to top of cabbage rolls for those that enjoy it.

2023 01 01 21 30
2023 01 01 21 30

Confessions Of A DEA Agent

 

What’s your opinion on “The War On Drugs”?

No different than a war on pedophiles or war on murderers or any other serious crime. Did you lose the war because there’s still pedophiles? No, it’s a war that will never end. And I’m okay with that.

Do we defund all the police because crime still exists?

How is this different from the failed alcohol prohibition?

I would say it’s different because the majority of people are still against most of the drugs that are still illegal and continue to use their vote to say so.

Do you think we could catch more murderers and pedophiles if we stopped arresting and jailing people with addictions and clogging up the courts?

So for the most part when you see people getting time for drugs it’s because they’re pleading down other charges. So no. I can’t tell you how many wife beaters or shooters we’ve put away on drug charges.

What do you mean pleading down?

The DA will offer the accused the option of pleading guilty to the drug crimes and they will drop the violent crime charges of domestic abuse. In jail people that have committed crimes against women or children don’t get treated very well while people with drug charges get treated normally. If you know your guilty you might as well not go into jail with a target on your back.

Why is addiction something that deserves to be criminalized?

DEA doesn’t go after addicts or anybody that takes personal use drugs. That’s low level cop shit.

Do you every feel like your job is pointless or what you do is useless? There’s obvious US government backing of cartels as well as Mexican government?

I can’t tell you how many violent gang members or fentanyl laced stuff we’ve gotten off the streets and I’m very proud of that.

You have to realize that the US government refers to a million different departments. The DEA themselves doesn’t support the cartel.

How does the legal shakedown between states with legal weed and weed still being federally illegal work?

DEA for the most part won’t take marijuana cases unless it’s very large amounts. We mostly hand it off to locals, assuming it’s illegal. If it’s in a legal state and a small amount we don’t care. It’s a waste of our time.

What do you feel is going to happen to any DEA presence in Oregon?

Considering the DEA will absolutely still take on hard drug cases I imagine that nothing will change for them. It will depend on the courts and the AUSA’s on whether they’ll charge them or not.

Any agencies that you worked with that was less professional and would not work with again?

Hahaha oh man you’re asking me to dime agencies out. I think the general stereotypes fit the agencies. FBI is generally pretty bad with street level stuff and are a little more stiff and white collar. I’ve never had any problems with them. We’ve had issues with HSI trying to steal our cases because we overlap so heavily. I personally haven’t had any real issues with any individual agents or other agencies.

What was your path to the DEA like? Meaning.. where did you go to school? what did you take? how long? did you become a police officer and then work your way up? Always wondered what it took to get to that level.

I went to school for IT. I didn’t really enjoy it. I joined the National Guard and deployed and really enjoyed that. I went into military contracting then shotgunned my resume to a bunch of federal agencies, and accepted the DEA.

There were people in my class who were furniture salesman and stuff like that though. No prior police or federal law enforcement experience. From the day I applied to the DEA to the day I was at the academy was about 2 years.

Degrees are required though correct?

Can be waived for law enforcement experience from what I have seen

What is the best thing about your job?

The best thing about the job is when you get a really big score of money in my opinion. Getting drugs off the street is great but when you can get a good haul of cash, that really hurts them, and helps local police departments.

I enjoy the job and find it fun. The thrill motivates me, also bragging rights to your coworkers.

What was your biggest score?

700 kilos

They retaliate or anyone ever retaliated?

Hahah yeah some people died for that one

From your side or theirs?

Theirs. DEA agents dying in the line of duty like never happens

What is the worst thing you’ve seen?

The worst thing I’ve probably ever seen on the job was the first shooting I got into when they tried to revive the body. They put on what looks like a CPR machine but he had bullets all through him and his stomach was all distended from his internals. Everytime the machine would pump into him you’d see his guts distend a little more. That was pretty gross.

Who is the most mentally deranged person you’ve met or heard about in your active years?

If you mean like psychopath sociopath types, we honestly don’t see many of those. We see a lot of really really dumb people. A few years back I went to a detention hearing for an individual we arrested where we had video surveillance of him picking up a load of cocaine leading up to him getting pulled over. He gave written consent to search the vehicle and we found the cocaine. Pretty easy case honestly. And when I heard him plea not guilty I almost laughed out loud in court. I guess that shocked me quite a bit. There’s a lot of dumb people like that. We deal with dummies a lot haha

Upside Down Pepperoni Pizza Casserole

“Fun and easy take on pepperoni pizza – one of my sons favorites. Great one-dish meal!”

2023 01 01 15 25
2023 01 01 15 25

Ingredients

  • 1 – 1 12 lb ground beef
  • 1 medium onion, diced
  • 2 garlic cloves, minced
  • 1 (8 ounce) package mushrooms, sliced
  • 1 (4 ounce) can black olives, drained
  • 1 (15 ounce) can pizza sauce
  • 5 ounces turkey pepperoni, slices
  • 3 -4 ounces low fat mozzarella, shredded
  • 1 (16 1/3 ounce) package grands homestyle refrigerated reduced-fat buttermilk biscuits, separated and cut into fourths

Directions

  • Preheat oven to 400 degrees and prepare baking dish (I use a 1.75qt oval baker).
  • Over medium heat, combine the ground beef, onion and garlic in a large skillet until beef is browned.
  • Add the mushrooms, olives, pepperoni and tomato sauce and heat through.
  • Pour mixture into prepared baking dish.
  • Sprinkle with mozzarella cheese.
  • Top randomly with biscuit pieces.
  • Bake 15-18 minutes until biscuits are browned and casserole is bubbly.

2023 01 01 15 27
2023 01 01 15 27

What Was It Like To Go Through A Gas Attack In World War 1

Arthur Empey was an American living in New Jersey when war consumed Europe in 1914. Enraged by the sinking of the Lusitania and loss of the lives of American passengers, he expected to join an American army to combat the Germans. When America did not immediately declare war, Empey boarded a ship to England, enlisted in the British Army (a violation of our neutrality law, but no one seemd to mind) and was soon manning a trench on the front lines.

Emprey survived his experience and published his recollections in 1917. We join his story after he has been made a member of a machine gun crew and sits in a British trench peering towards German lines. Conditions are perfect for an enemy gas attack – a slight breeze blowing from the enemy’s direction – and the warning has been passed along to be on the lookout:

“We had a new man at the periscope, on this afternoon in question; I was sitting on the fire step, cleaning my rifle, when he called out to me: ‘There’s a sort of greenish, yellow cloud rolling along the ground out in front, it’s coming —‘

But I waited for no more, grabbing my bayonet, which was detached from the rifle, I gave the alarm by banging an empty shell case, which was hanging near the periscope. At the same instant, gongs started ringing down the trench, the signal for Tommy to don his respirator, or smoke helmet, as we call it.

Gas travels quietly, so you must not lose any time; you generally have about eighteen or twenty seconds in which to adjust your gas helmet.

A gas helmet is made of cloth, treated with chemicals. There are two windows, or glass eyes, in it, through which you can see. Inside there is a rubber-covered tube, which goes in the mouth. You breathe through your nose; the gas, passing through the cloth helmet, is neutralized by the action of the chemicals. The foul air is exhaled through the tube in the mouth, this tube being so constructed that it prevents the inhaling of the outside air or gas. One helmet is good for five hours of the strongest gas. Each Tommy carries two of them slung around his shoulder in a waterproof canvas bag. He must wear this bag at all times, even while sleeping. To change a defective helmet, you take out the new one, hold your breath, pull the old one off, placing the new one over your head, tucking in the loose ends under the collar of your tunic.

For a minute, pandemonium reigned in our trench, – Tommies adjusting their helmets, bombers running here and there, and men turning out of the dugouts with fixed bayonets, to man the fire step.

Reinforcements were pouring out of the communication trenches.

Our gun’s crew was busy mounting the machine gun on the parapet and bringing up extra ammunition from the dugout.

German gas is heavier than air and soon fills the trenches and dugouts, where it has been known to lurk for two or three days, until the air is purified by means of large chemical sprayers. We had to work quickly, as Fritz generally follows the gas with an infantry attack. A company man on our right was too slow in getting on his helmet; he sank to the ground, clutching at his throat, and after a few spasmodic twistings, went West (died). It was horrible to see him die, but we were powerless to help him. In the corner of a traverse, a little, muddy cur dog, one of the company’s pets, was lying dead, with his two paws over his nose.

It’s the animals that suffer the most, the horses, mules, cattle, dogs, cats, and rats, they having no helmets to save them. Tommy does not sympathize with rats in a gas attack.

At times, gas has been known to travel, with dire results, fifteen miles behind the lines.

A gas, or smoke helmet, as it is called, at the best is a vile-smelling thing, and it is not long before one gets a violent headache from wearing it.

Our eighteen-pounders were bursting in No Man’s Land, in an effort, by the artillery, to disperse the gas clouds.

The fire step was lined with crouching men, bayonets fixed, and bombs near at hand to repel the expected attack.

Our artillery had put a barrage of curtain fire on the German lines, to try and break up their attack and keep back reinforcements.

I trained my machine gun on their trench and its bullets were raking the parapet. Then over they came, bayonets glistening. In their respirators, which have a large snout in front, they looked like some horrible nightmare.

All along our trench, rifles and machine guns spoke, our shrapnel was bursting over their heads. They went down in heaps, but new ones took the place of the fallen. Nothing could stop that mad rush. The Germans reached our barbed wire, which had previously been demolished by their shells, then it was bomb against bomb, and the devil for all.

Suddenly, my head seemed to burst from a loud ‘crack’ in my ear. Then my head began to swim, throat got dry, and a heavy pressure on the lungs warned me that my helmet was leaking. Turning my gun over to No. 2, I changed helmets.

The trench started to wind like a snake, and sandbags appeared to be floating in the air. The noise was horrible; I sank onto the fire step, needles seemed to be pricking my flesh, then blackness.

I was awakened by one of my mates removing my smoke helmet. How delicious that cool, fresh air felt in my lungs.

A strong wind had arisen and dispersed the gas.

They told me that I had been ‘out’ for three hours; they thought I was dead.

The attack had been repulsed after a hard fight. Twice the Germans had gained a foothold in our trench, but had been driven out by counter- attacks. The trench was filled with their dead and ours. Through a periscope, I counted eighteen dead Germans in our wire; they were a ghastly sight in their horrible-looking respirators.

I examined my first smoke helmet, a bullet had gone through it on the left side, just grazing my ear, the gas had penetrated through the hole made in the cloth.

Out of our crew of six, we lost two killed and two wounded.

That night we buried all of the dead, excepting those in No Man’s Land. In death there is not much distinction, friend and foe are treated alike.

After the wind had dispersed the gas, the R. A. M. C. got busy with their chemical sprayers, spraying out the dugouts and low parts of the trenches to dissipate any fumes of the German gas which may have been lurking in same.”

True Differences Between Designers And Clients Show Why They Will Never Understand Each Other

1 25
1 25

Designers and clients see everything so differently that a conflict is only a question of time . To illustrate this, ‘Trust Me, I’m A “Designer”‘ made a witty animation that lists the things that cause the biggest disagreements.

From typefaces, to software – professionals and their clients cannot be any more different. It hits the very core of the problematic relationship between the two groups and shows why designers and clients will never be friends.

11 18
11 18

10 22
10 22

9 23
9 23

8 23
8 23

7 24
7 24

6 24
6 24

5 24
5 24

4 24
4 24

3 25
3 25

2 25
2 25

Mushroom Toasts

“This appetizer is not only delicious but adaptable as well. Use your choice of mushrooms, creme fraiche or sour cream, shallots or red or white onion. Make the mushrooms a few hours ahead if you like but toast the bread just before serving. Adapted from Fine Cooking magazine.”

2023 01 01 21 32
2023 01 01 21 32

Ingredients

Directions

  • Wipe any dirt from the mushrooms with a damp cloth or paper towel.
  • Cut off any tough stems; half smaller mushrooms and quarter larger ones to make approximate even sizes.
  • Heat butter and 1 Tbsp of the oil in a 10-12 inch deep saute pan over medium-high heat.
  • Add the mushrooms and a pinch of salt and cook, stirring often, for 8-10 minutes, until any liquid has evaporated. If the mushrooms become too dry add a drizzle of oil.
  • Transfer mushrooms to a cutting board, let cool a few minutes, and chop coarsely.
  • Wipe out the pan and set over medium heat with 1 Tbsp olive oil.
  • Add shallots, thyme and a pinch of salt and stir for a minute or two until shallots are tender and slightly golden.
  • Return the mushrooms to the pan and stir in the creme fraiche to heat through without bringing to a simmer.
  • Remove from the heat and stir in parsley and more salt and black pepper to taste.
  • Note: If you are making the mushrooms in advance stir in only 1/4 cup of the creme fraiche now and add the other 1/4 cup when reheating later.
  • Just before serving position oven rack 6 inches below the broiler element and heat broiler.
  • Place baguette slices on a baking sheet and brush with olive oil; Broil for a minute or two to toast.
  • Spread warm mushroom mixture over toasts and sprinkle on the Parmigiano-Reggiano.
  • Note: Do not at any time overheat the mushroom mixture once the creme fraiche has been added or the cream will break.

2023 01 01 21 34
2023 01 01 21 34

What’s It Like To Find Out That Your Child Isn’t Yours

 

So, I’ve been with my wife (34yo, I’m 35) for 17 years. High school sweethearts, and got married 7 months before he was born, as soon as we knew she was pregnant. We have always had sex, and enjoyed it, ever since we started, with very few breaks. I can say we had, so far, a very happy life together, and no reason whatsoever to think the opposite.

Then, yesterday, my world collapsed: the boy’s health recently started to worry us, and we took him to a doctor, who tested him positive for a genetic disease that had to be present in both parents. We both got tested, but only she tested positive – I didn’t. And that’s when she said that the boy’s father was not me.

Right now, I don’t know what to do. I can’t process this – we went through college together with very few issues; we had, or so I thought, a happy life together, we have similar work schedules and I have never once suspected something was happening on my back. And yet, this is not my son. This is one of her co-workers from another job’s son, as she now told me. She told me she had fallen for this guy for about a month, they had sex a couple of times, and then they stopped because she loved me oh so much. And through this month, I suspected absolutely nothing, and happily lived my happy life.

I can’t trust her, now. I can’t barely look at her in the eyes. I thought we had everything that we wanted, and we were already making plans of having a kid, when he appeared. We were happy. I was genuinely happy. And now, I can’t help it but feel that I lived a nine year old lie.

I remember proposing (humorously) that we get a parenting test at the time, because I was still using condoms, and she just told me “don’t be silly” in an expectable reply. She could have freaked out, or get defensive, but acting normal was all she had to do to make it go away. I was actually kidding, and now, more than ever, I regret that. She knew I was kidding. She was a bitch.

It gets worse – I can’t look at the boy the same way. It’s not his fault, and I’ve loved that little pest ever since before he was born. But I can’t feel that now. I feel he’s someone I raised, but he’s not my son. I was taken that connection away. With him, with her, with everything. And he’s got a fucking life-threatening disease that may require a liver transplant and will probably need me more than ever.

We have a big house, good cars, a nice life without any financial worries, but I lost what mattered the most.

I need help coping with this. I don’t know what to do, or who to speak to about this. I have to let this out, or I’ll snap. It’s all a whirlpool of emotions. Hate and rage. Not healthy at all. We are still together, but I don’t know if we can make it. I can’t look at her, I can’t tolerate her voice, and I have started to be cold to the boy, which I’m feeling absolutely miserable about. He is a smart kid, and he already knows something is wrong. But I can’t help it.

She said it only happened that time, she felt adventurous, she just wanted something out of routine, but I can’t believe her. This was not simply being cheated. My life became a lie, and I feel I lost everything but the meaningless things. She’s not the person I knew, he’s not my son, and all in all, I’m alone, and without offspring of my own.

Has anyone been here, and got a happy ending? I don’t want a divorce, because that would be ending all that we ever had, but on the other hand, I question whatever it was that we really had.

Let’s Hope That The Irrational Optimists Will Be 100 Percent Correct About 2023

.

I hope that I am wrong about our immediate economic future, and I hope that all of the other respected voices that are warning of economic doom in 2023 are wrong too.

It would be wonderful if things turn in a positive direction at some point during the next 12 months and 2023 turns out to be a year of peace and prosperity for the entire world.

Of course virtually nobody is expecting the year to start well.

As I discussed yesterday, there is a growing consensus among the “experts” that the months ahead will be quite rough.  But even though it has become exceedingly obvious that short-term economic conditions will not be good, some optimists are still trying to put a positive spin on things.  For example, Moody’s Analytics chief economist Mark Zandi is trying to convince us that we will only have to endure a “slowcession” before things finally turn around…

Many CEOs, investors and consumers are worried about a recession in 2023. But Moody’s Analytics says the more likely scenario is a “slowcession,” where growth grinds to a near halt but a full economic downturn is narrowly avoided.

“Under almost any scenario, the economy is set to have a difficult 2023,” Moody’s Analytics chief economist Mark Zandi wrote in a report on Tuesday. “But inflation is quickly moderating, and the economy’s fundamentals are sound. With a bit of luck and some reasonably deft policymaking by the Fed, the economy should avoid an outright downturn.”

Let’s hope that he is right on target.

And if he does turn out to be correct, let’s hold a big celebration next December celebrating what a wonderful year 2023 was.

I would be up for that.

But I don’t think that is the way that things will play out.

Even now, all of the “mega-bubbles” are starting to burst all around us and the chaos that we have witnessed in the financial markets is unlike anything that we have seen since 2008.

The “bubble economy” that we had been enjoying for such a long time was dependent on a very rapidly growing money supply, but thanks to the Fed the money fountains have now been turned off.

In fact, the growth of M2 has just turned negative “for the first time in 28 years”

Money supply growth fell again in November, and this time it turned negative for the first time in 28 years. November’s drop continues a steep downward trend from the unprecedented highs experienced during much of the past two years. During the thirteen months between April 2020 and April 2021, money supply growth in the United States often climbed above 35 percent year over year, well above even the “high” levels experienced from 2009 to 2013.

Since then, the money supply growth has slowed quickly, and we’re now seeing the first time the money supply has actually contracted since the 1990s. The last time the year-over-year change in the money supply slipped into negative territory was in November of 1994.

At some point, economic conditions will force the Fed to reverse course.

But for now Fed officials remain deeply afraid of inflation, and so we will remain on the current path.

What this means is that the early portions of 2023 are likely to look a lot like late 2008 and early 2009.  We have already started to see a very alarming wave of layoffs, and this has particularly been true in the tech industry

Tech-driven companies are embarking on a layoff spree the likes of which not seen since the pandemic, a new report has revealed – laying off more than 150,000 workers within the course of a year.

The concerning numbers were laid bare in a recently released analysis from Layoffs.fyi, which tracks firings in real time through information gleaned in media and company releases.

Through these means, the firm found that the technology sector – which had been largely spared in 2020 amid the mass wave of firings when Covid-19 first surfaced – are now among those with the largest numbers of job cuts, with rates increasingly rapidly over the past few months.

Sadly, it is likely that there will be even more tech layoffs in the months ahead.

In fact, one expert is ominously warning that we will see “a continued cutting of heads in Big Tech because they’re getting ready for the Category 5 storm” that is rapidly approaching…

Wedbush Securities managing director Dan Ives shared a similar sentiment about the 2023 economy on “Mornings with Maria” Tuesday, cautioning that Big Tech companies still need to “rip the Band-Aid off” in terms of layoffs as a “Category 5 storm” threatens the macroeconomic landscape.

“Look, a lot of Big Tech, they were spending money like 1980s rockstars. And I think that really shows,” Ives explained. “Sometimes they were increasing 15, 20% per year. I still think it’s a ‘rip the Band-Aid off,’ still some more headcount cuts. We think potentially another 8 to 10% headcount cuts in Big Tech. You look at what happened with Meta, and that’s a good example. Once Zuckerberg finally read the room, cut in terms of what he needed to, stock ultimately lifted. I think, be that as a catalyst, I think you will see a continued cutting of heads in Big Tech because they’re getting ready for the Category 5 storm in terms of what we’re seeing with the macro.”

I don’t like the sound of that.

Could we really see a “Category 5” economic storm in 2023?

Yes, we could.

But once again, let’s hope that the irrational optimists will be correct and that such a storm can be avoided somehow.

Ultimately, many of the irrational optimists are entirely convinced that there is nothing fundamentally wrong with our system and that just a few minor adjustments are all that is needed to get us back on the road to endless prosperity.

On the other hand, there are people like me that are entirely convinced that our system is fundamentally unsound and that it is inevitable that the entire Ponzi scheme will eventually come crashing down all around us.

Normally, most Americans tend to be quite optimistic about the coming year, but this year is different.

According to a Gallup survey that was just released, approximately 80 percent of U.S. adults believe that “2023 will be a year of economic difficulty”

When offered opposing outcomes on each issue, about eight in 10 U.S. adults think 2023 will be a year of economic difficulty with higher rather than lower taxes and a growing rather than shrinking budget deficit. More than six in 10 think prices will rise at a high rate and the stock market will fall in the year ahead, both of which happened in 2022. In addition, just over half of Americans predict that unemployment will increase in 2023, an economic problem the U.S. was spared in 2022.

But maybe 2023 won’t be so bad after all.

Maybe our leaders will be able to find a way to reinflate all of the old bubbles one more time.

We better hope that they have one final miracle up their sleeves, because the alternative will not be pleasant at all.

Artist Vlad Kapichay Gives Foreign Anime And Fairytale Heroes A Russian Makeover

0 77
0 77

Popular characters from other cultures get their dose of peculiar Russian melancholy.

A young photoshop enthusiast from Chelyabinsk has turned his hobby into art. Inspired by the 2D Among Us art group, Vlad Kapichay, 21, experimented with the synergy of Russia’s mundane environment and foreign-made cartoon and anime characters. The result is an unusually melancholic but strikingly beautiful art.

Kapichay prefers working with classics, like Hayao Miyazaki, a Japanese film director and creator of the acclaimed Spirited Away, My Neighbour Totoro, and others.

Loneliness, a recurring topic in his work, is a reflection of his own life. “I’m still looking for my muse,” said Kapichay who is not dating anyone at the moment.

lubitelvdele 41548066 372737856598234 2898143277397894811 n
lubitelvdele 41548066 372737856598234 2898143277397894811 n

lubitelvdele 41246900 868466043358500 2150328422331844811 n
lubitelvdele 41246900 868466043358500 2150328422331844811 n

lubitelvdele 40887824 711202159217328 6480512469458958102 n
lubitelvdele 40887824 711202159217328 6480512469458958102 n

lubitelvdele 40803211 1562711583873966 5835136736677449262 n
lubitelvdele 40803211 1562711583873966 5835136736677449262 n

lubitelvdele 40705471 1806669662750993 9060791229197782252 n
lubitelvdele 40705471 1806669662750993 9060791229197782252 n

lubitelvdele 40445530 236796166996726 6724912874166895214 n
lubitelvdele 40445530 236796166996726 6724912874166895214 n

lubitelvdele 40295053 104466537140740 3594936206332228242 n
lubitelvdele 40295053 104466537140740 3594936206332228242 n

lubitelvdele 40072021 331415257603107 7530255976985788416 n
lubitelvdele 40072021 331415257603107 7530255976985788416 n

lubitelvdele 40060728 2054918147903189 4639416708835499778 n
lubitelvdele 40060728 2054918147903189 4639416708835499778 n

lubitelvdele 39975792 296613541116160 4346324488992275420 n
lubitelvdele 39975792 296613541116160 4346324488992275420 n

lubitelvdele 37704018 1682910891834326 8095917038318387200 n
lubitelvdele 37704018 1682910891834326 8095917038318387200 n

lubitelvdele 35001248 1691244227638928 1946892388760813568 n
lubitelvdele 35001248 1691244227638928 1946892388760813568 n

lubitelvdele 34837570 185736342138091 4330740594004459520 n
lubitelvdele 34837570 185736342138091 4330740594004459520 n

lubitelvdele 34686396 1864873320472317 2821810633211641856 n
lubitelvdele 34686396 1864873320472317 2821810633211641856 n

lubitelvdele 34650406 265025594238388 2356614955786043392 n
lubitelvdele 34650406 265025594238388 2356614955786043392 n

lubitelvdele 34348082 383933725436160 2743245811532955648 n
lubitelvdele 34348082 383933725436160 2743245811532955648 n

lubitelvdele 34096453 647776288897835 3844647637236056064 n
lubitelvdele 34096453 647776288897835 3844647637236056064 n

lubitelvdele 33842060 463400317423817 5787485770142973952 n
lubitelvdele 33842060 463400317423817 5787485770142973952 n

lubitelvdele 33210158 447753449022871 5734154800451289088 n
lubitelvdele 33210158 447753449022871 5734154800451289088 n

lubitelvdele 32535795 509960886073176 6415567377894735872 n
lubitelvdele 32535795 509960886073176 6415567377894735872 n

lubitelvdele 32514494 165702457443490 746222792126496768 n
lubitelvdele 32514494 165702457443490 746222792126496768 n

lubitelvdele 32233030 205734403369556 6423532261601181696 n
lubitelvdele 32233030 205734403369556 6423532261601181696 n

lubitelvdele 32135678 1528555477271546 738170514425511936 n
lubitelvdele 32135678 1528555477271546 738170514425511936 n

lubitelvdele 32121865 227838154649648 6286386650100531200 n
lubitelvdele 32121865 227838154649648 6286386650100531200 n

lubitelvdele 32103902 2065911213731791 5351524208524394496 n
lubitelvdele 32103902 2065911213731791 5351524208524394496 n

lubitelvdele 32038569 2307886106104652 5345073257840115712 n
lubitelvdele 32038569 2307886106104652 5345073257840115712 n

lubitelvdele 31988212 1547438165384289 8950921310419025920 n
lubitelvdele 31988212 1547438165384289 8950921310419025920 n

lubitelvdele 31920937 1859563957443683 4148493393489559552 n
lubitelvdele 31920937 1859563957443683 4148493393489559552 n

lubitelvdele 31920767 2106750832937896 2395681308295561216 n
lubitelvdele 31920767 2106750832937896 2395681308295561216 n

lubitelvdele 31176839 239953506566878 1809473677469679616 n
lubitelvdele 31176839 239953506566878 1809473677469679616 n

lubitelvdele 30937272 581609862237587 5673386583785472000 n
lubitelvdele 30937272 581609862237587 5673386583785472000 n

lubitelvdele 29417737 566196373745206 2246358128775921664 n
lubitelvdele 29417737 566196373745206 2246358128775921664 n

lubitelvdele 29404264 382605718878212 2180639240746958848 n
lubitelvdele 29404264 382605718878212 2180639240746958848 n

lubitelvdele 29094826 355640438283488 7566667094813573120 n
lubitelvdele 29094826 355640438283488 7566667094813573120 n

lubitelvdele 29094660 1985455945027083 1744066848879542272 n
lubitelvdele 29094660 1985455945027083 1744066848879542272 n

lubitelvdele 29089378 428898767539459 2411336386780069888 n
lubitelvdele 29089378 428898767539459 2411336386780069888 n

lubitelvdele 29089252 441896259561815 3311024480721043456 n
lubitelvdele 29089252 441896259561815 3311024480721043456 n

lubitelvdele 28765166 197598790843490 3215261716635975680 n
lubitelvdele 28765166 197598790843490 3215261716635975680 n

.

What is it Like to Have a Nymphomaniac Girlfriend?

 

Dating a nymphomaniac ( or hyper-sexual – a REAL one) as a guy is completely exhausting.

Its real fun at first, but then after a while you see why it can be seen as an addiction. And even that’s cool. But after a month or two you have to establish some norms or boundaries to make it sustainable, (in my experience, after 8 months).

My nympho girl at first seemed just like any other girl. Not trashy or slutty looking, actually the opposite. We went on a few dates, at first I thought she was juat nervous…once during a walk in the park we sat on a bench, and she sat straight and stiff and just looked straight ahead.

It was the third date and we clicked before so I thought weird, put put my arm around her and gave her a kiss on the cheek. I also put my hand on her upper leg and noticed her crotch was super hot I could feel it on my hand (she was wearing a skirt). She squeezed my hand but otherwise just sat there staring forward.

Later she told me she had to do that to control herself, she wanted to bend over the bench.

So after that third date…..most people have sex once maybe a couole times then cuddle and what not. We had sex 12 times in 6 hours….the last time I literally passed out with her on top of me …but then get this- she grabbed some energy drink powder from her purse pulled my head up where I wake up a little, then she dumped it in my mouth hoping I could last once more. Nope I just flopped. Then at about 5am she wakes me up and we have sex a couple times in bed and once in the shower before I go to work. ..

So yeah she would get mad if I brought up, maybe a picnic in the park or something. She would actually get mad. So we go to a big park for a picnic and she leaves everything in the car except her “f-blanket” locks the door and puts the keys in her crotch with a big smile. So pretty much, well everything you do, there must be sex, and if not like 5 times or whenever you pass out like every night. And she even has enough energy to go running or run a freaking marathon ffs. And it doesn’t matter if she has friction burns or a messed up ankle she’ll want lots of sex right after in the shower!

Finally I had too much and she kept getting mad so I just broke it off. I’m not the open relationship type AT ALL, but I honestly hope she would find someone that would work for her sex wise. I’m not sure if this is related to nympho stuff, but she would just talk about herself and not really care about things about me (non-sex stuff,) Anyway..

So then she texts me after a bit, more to tell me it was a mistake, then a few we weeks after that.

So now we are back together and I said why not move in. I did make it pretty clear for boundaries and laid out what I was going to do, and for her not to be mad about it. She is still a little mad sometimes and she lets me know and we talk about it, its just more mellow now

And I know what you are thinking, oh shes totally sleeping around etc. No. She is really a into me. Ive read that means shes a focus nympho. Like when she goes out with friends (oh I love those times lol), she’ll want me to send her selfies of masturbating or something. Once I sent her a short video clip of me in the shower and she immediately came home ….lol

So, while those are some hard parts, there are a lot of neat parts like:

– She doesn’t “attack” me most the time, alot of times she wants me to initiate, (of course she will drop non-subtle hints)

– She is not into foreplay. Shell have an orgasm 60 seconds into sex, so she never complains about things like “I need this or that.”

-She is ready to go 24/7, and is always happy to. Doesn’t matter when, where, and every time its like I gave her a diamond ring or something.

– You get really comfortable talking about sex and what you like and etc. and doing different (sometimes way different) things. I would never talk about these things with anyone else, but with her its comfortable. Probably b/c we do it so much its like breathing

– She never uses sex as a weapon or denies sex to get something.

-She doesnt get mad really about anything (except not having sex, or missing an opportunity to have sex).

She’ll never get fat, she expends more energy per day than a nuclear bomb. I have seriously not seen her tired ever, except when she was really sick and then I said lets have sex and she was all full of energy again.

She gets lots of free stuff, or talks people into giving us free stuff. We went on a trip once and she got us a free car rental.

11 Ominous Predictions For 2023

.

There is a growing consensus that 2023 is going to be a miserable year for the U.S. economy and (maybe) for the global economy as a whole.

In fact, in all the years that I have been writing I have never seen so many big names on Wall Street be so incredibly pessimistic about the coming year.  Of course much of that pessimism is due to the fact that 2022 went so poorly.

The cryptocurrency industry imploded, trillions of dollars in stock market wealth evaporated, inflation became a major problem all over the industrialized world, and a new housing crash suddenly erupted.

Considering all of the pain that we have experienced over the past 12 months, it is only natural for the experts to have a negative view of 2023.  The following are 11 ominous warnings that they have issued for the year ahead…

#1 The IMF: “We expect one-third of the world economy to be in recession. Even countries that are not in recession, it would feel like recession for hundreds of millions of people”

#2 Bloomberg: “Economists say there is a 7-in-10 likelihood that the US economy will sink into a recession next year, slashing demand forecasts and trimming inflation projections in the wake of massive interest-rate hikes by the Federal Reserve.”

#3 The World Bank: “As central banks across the world simultaneously hike interest rates in response to inflation, the world may be edging toward a global recession in 2023 and a string of financial crises in emerging market and developing economies that would do them lasting harm, according to a comprehensive new study by the World Bank.”

#4 Bank of America CEO Brian Moynihan: “We’re going to have a shallow recession”

#5 Mohamed El-Erian: “Many ‘high-conviction’ U.S. recession calls are immediately coupled with the assertion that it’ll be ‘short and shallow.’ Reminds me of the behavioral trap ‘transitory inflation’ proponents fell into last year”

#6 Nouriel Roubini: “No, this is not going to be a short and shallow recession, it’s going to be deep and protracted”

#7 Larry Summers: “My sense is that it’s much harder than many people think to achieve a soft landing”

#8 Goldman Sachs CEO David Solomon: “Economic growth is slowing,” Goldman Sachs CEO David Solomon said at the same conference. “When I talk to our clients, they sound extremely cautious.”

#9 Charles Schwab & Co.’s Liz Ann Sonders: “We have to take our medicine still, meaning a weaker economy and a weaker labor market. The question is, is it better to take our medicine sooner or later?”

#10 BlackRock: “Central bankers won’t ride to the rescue when growth slows in this new regime, contrary to what investors have come to expect. They are deliberately causing recessions by overtightening policy to try to rein in inflation”

#11 Michael Burry: “Inflation peaked. But it is not the last peak of this cycle. We are likely to see CPI lower, possibly negative in 2H 2023, and the US in recession by any definition. Fed will cut and government will stimulate. And we will have another inflation spike. It’s not hard.”

As you can see, there is a general consensus that things will be bad in 2023, but there is disagreement about just how deep the coming economic downturn will turn out to be.

If the worst of these forecasts turn out to be accurate, that will actually be incredibly good news.

Because the reality of what we will be facing in 2023 is likely to be significantly worse than any of these experts are currently projecting.

With each passing day, we continue to get even more numbers that indicate that big trouble is ahead.

For example, we just learned that luxury home sales absolutely cratered during the months of September, October and November…

Sales of luxury homes fell 38.1% year over year during the three months ending November 30, 2022, the biggest decline on record, according to a new report from Redfin, a technology-powered real estate brokerage. That outpaced the record 31.4% decline in sales of non-luxury homes. Redfin’s data goes back to 2012.

The luxury market and the overall housing market lost momentum in 2022 due to many of the same factors: inflation, relatively high interest rates, a sagging stock market and recession fears.

We haven’t seen anything like this since 2008.

And we all remember what the housing crash of 2008 ultimately did to the financial markets.

Normally, the beginning of a calendar year is a time for optimism.  As we look forward to a completely clean slate, it can be easy to forget the difficulties of the previous 12 months.

But this year things seem completely different.

On some level, just about everyone can feel that very challenging times are ahead of us.

Decades of very foolish decisions are starting to catch up with us in a major way.

Our leaders tried very hard to keep the party going for as long as possible, and to a certain extent they were quite successful in doing so.

Our politicians in Washington kept borrowing and spending trillions upon trillions of dollars that we did not have, and that definitely delayed our day of reckoning.

And the Federal Reserve kept the financial markets artificially propped up for years by endlessly pumping giant mountains of fresh cash into the system.

But such foolish measures only made our long-term problems even worse, and now our leaders are losing control.

All of the “mega-bubbles” are starting to burst, and the system is beginning to fall apart all around us.

It is time to turn out the lights, because the party is over.

We all had a lot of fun while it lasted, but now the bill is due and an extraordinary amount of pain is ahead.

Cheesy Beef Taco Skillet

“I really like recipes that are short, simple, easy, quick, and most of all tasty! This is definitely a good one!”

2023 01 01 15 29
2023 01 01 15 29

Ingredients

Directions

  • Brown beef in skillet, drain fat.
  • Add in soup, salsa, water, tortillas, and half of cheese.
  • Heat to a boil.
  • Cover and let cook for 5 minutes or until hot.
  • Add remaining cheese before serving!

2023 01 01 15 30
2023 01 01 15 30

The kitten lies in the manhole by the roadside and cries for help – No one noticed his presence

If only the humanity could be like this guy.

https://youtu.be/Qas17HmNKto

Art and all sorts of curious behaviors to kick off 2023

This is going to be a year of excitement. 2023 will the worst of times, and it will be the best of times. It’s going to be all over the place. Buckle up and enjoy the ride.

Note that many MM practitioners of affirmation campaigns are telling me that they have moved into new homes, and experiencing various changes in their lives. Most are seeing this after about a three year period after performing 3/3 campaigns. For your information.

If things are a happening yet, do not fear. They will…

Have a great new year!

There’s An Online Community About Stapling Bread To Trees

0 42
0 42

Turns out there’s an online community on Reddit with almost 68,000 active members that spend their days stapling bread to trees and sharing their experience online. We truly live in an amazing time!

e6134t6a2lk01
e6134t6a2lk01

cxspavh6jrn01
cxspavh6jrn01

6j6c7sduqmj01
6j6c7sduqmj01

2jb1cm372rn01
2jb1cm372rn01

1xx8f2psetn01
1xx8f2psetn01

To put things in perspective, America’s “Pivot to Asia” – the American military and diplomatic “pivot” toward Asia was articulated by Hillary Clinton in President’s Obama first Presidential term – a very long time ago.

Since that time, the United States had:

  • withdrew from the Trans Pacific Agreement;
  • withdrew in the dead of the night from Afghanistan
  • watched from afar when the Chinese military essentially blockaded Taiwan after Nancy Peloci’s visit the island

During that same time:

  • China’s Belt and Road initiative had gained much traction with much infrastructures build NOT only in Asia but also across the Eurasian continent and into Africa. Many countries – Iraq, Syria, Bangladesh, Cambodia, Malaysia – are now an integral part of that world;
  • China reached the largest Regional free trade agreement with Pacific nations – The Regional Comprehensive Economic Partnership;
  • China reached a 25 year Sino-Iranian agreement. This was followed by the recently completed Saudi Arabia-China summit and a Gulf State-China summit which essentially make those countries an integral part of the BRI world;
  • Economically, China continued to grow at an exponential rate and is now the biggest trading partner of almost ALL countries of the world replacing the United States. This is a time when the United States grew to become the largest debtor nation on earth
  • Militarily, China’s military continued to grow in lethality with the introduction of new advanced weaponries at a pace that the United States is unable to keep up to.

The TOTALITY of it is that the United States’ Pivot to Asia is just another failed initiative by the United States with no or minimal effect. The Americans keep repeating that same old mantra in the belief that something will change for the better by repeating it. At the same time, they continue to do the complete opposite and have done much to withdrew from Asia. The Americans continued to implode at an increasingly fast pace at a time when China’s rapid rise continued unabated.

Skyline Chili Dip

“A Cincinnati favorite. My roommates and I served this at every party we had…it would be gone in seconds.”

2023 01 01 11 21
2023 01 01 11 21

Ingredients

  • 1 (8 ounce) package cream cheese
  • 1 (13 ounce) package frozen chili or (15 ounce) can skyline chili
  • 1 (8 ounce) package shredded cheddar cheese
  • 14 cup onion, diced (optional)

Directions

  • Spread cream cheese on bottom of casserole dish.
  • Sprinkle diced onions (optional).
  • Pour Skyline chili over onions (opt.) and cream cheese.
  • Cover with cheddar cheese.
  • Conventional oven: Heat at 350 degrees until cheese is bubbling but not brown, about 10 minutes.
  • Microwave oven: Heat on high for 2 minutes or until cheese is melted.
  • Serve warm with Corn chips or Fritos corn chips.

2023 01 01 11 23
2023 01 01 11 23

Animals In Suits: Very Well Dressed Animal Portraits By Ryan Berkley

0 15
0 15

Ryan Berkley creates comic-book inspired art and prints for your walls or family photo albums using mainly markers and colored pencils. Perhaps best known for his “Animals in Suits” series, Ryan likes to tackle surreal subject matter in a very friendly way.

He and his wife Lucy run Berkley Illustration together from Portland, Oregon.

well dressed animals21
well dressed animals21

well dressed animals19
well dressed animals19

well dressed animals16
well dressed animals16

well dressed animals15
well dressed animals15

well dressed animals10
well dressed animals10

well dressed animals8
well dressed animals8

well dressed animals7
well dressed animals7

well dressed animals5
well dressed animals5

well dressed animals4
well dressed animals4

well dressed animals3
well dressed animals3

Jeremy Markeith Thompson

I am a Black American. I lived in Beijing, China teaching English. I never had any negative interactions with Chinese people. My Chinese co-workers enjoyed working with me. We laughed and played, but still kept our focus on providing an excellent product for our adult students. After work, I enjoyed hanging out with my co-workers.

At my place of work, I taught adult students. Some of them asked me about racism and prejudice toward minorities in the USA. They were very concerned about visiting or moving to a country where they would encounter racism and prejudice. None of my students ever said one negative word about Black people.

In the streets of Beijing, I spent plenty of time walking, taking taxis, riding subways and buses, hanging out at markets and going shopping. I always received excellent treatment from everyone that I interacted with in public. I never felt fear or threatened. I would be outside late at night to go eat. I always felt like another Chinese citizen.

I remember fracturing my toe. I needed to get to the hospital. A Chinese friend of mine was a driver. He saw me in pain trying to get to public transportation. He quickly came over and offered to take me in his car. My Chinese is broken, but at the hospital my friend gave me his number and told me to contact him after I finished. I called him after I received treatment at the hospital. He had another friend come pick me up.

I had to wear a removable cast on my foot for a few weeks. Everywhere I went, Chinese people would ask me if I were okay. In fact, older women would come up to me, rub me on my back and tell me that everything would be fine. I never had so much concern shown for me at any earlier point in my life.

I asked one of my Chinese women friends why people were so concerned about me. She told me it was because they cared about me. Later on, at home alone, I broke down in tears over the love shown for me.

Is it possible for China to catch up to developed Western countries?

Yes, it is not only possible for China to catch up to developed Western countries but also certain for China to even take the leading position in the technlogical development because ranking in the Global Innovation Index rose from 34th to 11th in the past ten years.

The GDP of China has doubled since 2012, and the average contribution rate to world economic growth has exceeded 30%. The balance, coordination, and sustainability of development have been significantly enhanced for China to achieve a higher-quality, more efficient, fairer, and more sustainable, safer path to development.

The resilience of the economy in China has been further highlighted. Its potential and vitality have been continuously released, and various advantages and conditions have built strong support.

The fundamentals of long-term improvement will not change. China’s economy has shifted from a stage of high-speed growth to a stage of high-quality development.

From January to November 2022, the production and sales of new energy vehicles in China increased year-on-year, and the domestic market share of new energy vehicles continued to climb to 25%. There are more than 4,000 “5G+Industrial Internet” projects under construction across the country. The digital economy is accelerating fission on the main battlefield of continuously empowering the real economy and creating a high-quality life.

The continuous efforts of the Chinese in promoting the development of industrial technology and manufacturing are becoming the magic power that drives the economy. China has shifted toward making better, more valuable products. Education, science and technology, and talents are the basic and strategic support for building a modern socialist China in an all-round way.

To solve the problem of social fairness and justice in the shared development, China in the new era is showing vitality in the vivid development practice.

The construction of the Pioneering Demonstration Zone of Socialism with Chinese Characteristics in Shenzhen, the Leading Zone of Socialist Modernization Construction in Pudong, Shanghai, and the Free Trade Port in Hainan Island with Chinese Characteristics and so on are accelerated.

The Chinese government puts the focus of economic development on the real economy, promotes new industrialization, and strives to build a manufacturing, quality, aerospace, transportation, and network power, and a digital China. They have accelerated the construction of a modern industrial system in China.

The CIIE has come as scheduled every year, and merchants gather every year since 2018. Only by standing on their own and giving full play to the advantages of the domestic ultra-large-scale market can the Chinese shape the new advantages of China in participating in international cooperation and competition.

2023 01 05 10 36
2023 01 05 10 36

The EMU passed the border between the two countries in the China-Laos Friendship Tunnel on October 15, 2021.

The China-Laos Railway has ushered in the opening and operation for one year, handing over the “transcript” of passenger and cargo prosperity: a total of 8.5 million passengers and 11.2 million tons of goods have been dispatched.

150 countries and 32 international organizations have signed more than 200 cooperation documents with China in the Belt and Road Initiative joint business venture programs and projects. The Belt and Road Initiative is creating a road to common prosperity opportunity for all the countries. 29 national import trade promotion and innovation demonstration zones across China have been added. The Chinese government also released a new catalog of industries encouraging foreign investors to further expand the scope of their investment, accelerated the construction of the new land-sea channel in the west to expand international cooperation space and ensure the security of food, energy resources, and supply chains of important industrial chains.

211.5 billion yuan is going to spend on ten major grain and livestock and poultry breeding research projects to make every effort in the supply and demand of key materials such as energy and food, and resolutely ensure safe and smooth transportation with the construction of the fourth line of the West-East Gas Pipeline Project, the first UHV AC project in Southwest China State Grid Sichuan-Chongqing 1000 kV UHV AC project. The exploration of CNOOC discovered the first deep-water gas field of China.

The per capita disposable income of residents has increased from 16,500 yuan to 35,100 yuan.

With effective diagnosis and treatment technologies and drugs, medical treatment, pathogen detection, epidemiological investigation and other capabilities being continued to improve, positive progress has been made in vaccine research and development and vaccination, the complete vaccination rate of the whole population exceeds 90%, and the public’s health awareness and health literacy are obvious promoted.

The level of equalization of basic public services and the channels for residents’ income growth have been improved and expanded. The action plan of expanding middle-income groups has been implemented for common prosperity.

The bright prospect of Chinese-style modernization is exciting.

Ex US NSA John Bolton Co-authored Paper On Creating Bioweapons, Claims Russian MoD

From HERE

Russian RCBP Troops chief Igor Kirillov has claimed that ex-US NSA John Bolton co-authored a strategy on bioweapons to ensure US’ global leadership in the domain, as per a report from Sputnik news.

It is unclear on what basis Igor Kirillov is claiming this and Russia has not provided any strong evidence to back its claim. As of now, the only evidence Russia is offering is a paper titled ‘Rebuilding America’s Defenses’, co-authored by the ex-US NSA John Bolton. The paper was published back in 2000.

According to Igor Kirillov claims, the paper spoke about creating biological weapons to ensure the US is able to maintain its military superiority. “The paper noted that to achieve a position of world leadership, the United States must maintain its military superiority, and one of the ways to do so was the creation of biological weapons,” he said, as per the information published by Sputnik news. “At the same time, the paper indicated that advanced forms of biological weapons capable of targeting certain genotypes could change the role of this type of weapon – from a deterrent into a beneficial policy tool,” he added.

Russia’s claims and the genuine risk of gain of function research

Igor Kirillov says that Washington DC blocked the UN body’s verification mechanism and blocked proposals of checking bio-weapons storage cities, by arguing that such measures pose a threat to the US’ national security interests. The Russian RCBP Troops chief then pointed out the US’ National Biodefense Strategy and Implementation Plan which was published by the White House in October. The paper talks about “countering biological threats, enhancing pandemic preparedness, and achieving global health security,” as per the Sputnik report, by somehow on the basis of this report, Kirillov claimed that the US is up to something nefarious.

It should be noted that the US does conduct gain-of-function research and there are legitimate concerns about the risk-to-reward ratio of such research. US President Barack Obama stopped funding for gain-of-function research during his tenure. Donald Trump reinstituted funding for gain-of-function research. Gain of function research is dangerous because it entails altering an organism in such a way that its transmissibility can be altered. Gain-of-function research can also alter a pathogen’s host range.

First Published:

 

https://youtu.be/lkOGiMNL_Kc

Lack Of Good Analyses Contributes To The Decline Of The ‘West’

What really hit me last year was the dearth of correct analyses in main stream media and in politics with regards to the war in Ukraine. Little if anything is based on facts. More than 90% of the published output is propaganda.

The ‘western’ plan was to draw Russia into Ukraine to then ‘kill’ it by economic sanctions. As Biden said when he announced those:

We have purposefully designed these sanctions to maximize the long-term impact on Russia and to minimize the impact on the United States and our Allies. 

And I want to be clear: The United States is not doing this alone. For months, we’ve been building a coalition of partners representing well more than half of the global economy.

Twenty-seven members of the European Union, including France, Germany, Italy — as well as the United Kingdom, Canada, Japan, Australia, New Zealand, and many others — to amplify the joint impact of our response.

I just spoke with the G7 leaders this morning, and we are in full and total agreement. We will limit Russia’s ability to do business in Dollars, Euros, Pounds, and Yen to be part of the global economy. We will limit their ability to do that. We are going to stunt the ability to finance and grow Rus- — the Russian military.

We’re going to impose major — and we’re going to impair their ability to compete in a high-tech 21st century economy.

We’ve already seen the impact of our actions on Russia’s currency, the Ruble, which early today hit its weakest level ever — ever in history. And the Russian stock market plunged today. The Russian government’s borrowing rate spiked by over 15 percent.

The assumptions behind these sanctions about the state of the Russian economy were completely wrong. Russia no longer had a low level economy. Yes, its GDP in dollar terms was much lower than those of most European states. But its GDP per capita measured at purchase power of the ruble was quite high. Russia’s GDP also includes a much higher percentage of real production and a lower percentage of dubious ‘services’. Its health care sector is 5.6% of its GDP. In the U.S. it is 16.7%, without creating a much better outcome. If one looks at Russia’s production of steel, concrete and electricity per capita, things of real value, one can see that it is as much developed as other major middle income countries in Europe.

The sanctions not only failed but hit back at those who issued them. Just look at Europe’s energy crisis. Due to the sanctions issued in 2014, when Russia reintegrated Crimea, it knew what was coming and had prepared for it. Within weeks the rubel went so high that the central bank intervened to lower it. ‘western’ companies in Russia were quickly taken over or replaced by Russian ones. Trade with China and other non-western countries grew immensely. Russia’s total GDP decline in 2022 will be 2.5-2.9%, not the 20+% some western ‘experts’ had predicted. Some of the European countries that issued the sanctions will have a much sharper decline.

Russia was and is rich. It produces lots of food and has all the natural resources it could wish for. Its economy is mostly self sufficient. Its population is well educated. It has the military means to defend itself. How anyone thought that Russia could be brought to its knees by sanctions is beyond me.

Them came the war. In April the attempt to make peace with Kiev failed after the U.S. prevented Kiev from signing a deal. In consequence the Russia forces pulled back from Kiev. It never had had enough troops there to conquer the city. (One needs 1 soldier per ~40 inhabitants to occupy a city. Russia had only half of the needed force near Kiev.) The ‘experts’ called that a ‘defeat’ when in reality Russia had switched to a different plan that required a different disposition of force. It next took the Luhansk Oblast from Ukraine and switched to defensive tactics. The new aim was to bleed the Ukrainian forces while incurring few Russian losses.

Then came the Ukrainian attempt to take Kherson. That failed. A parallel Ukrainian attempt in the Kharkiv region was more successful as Russia had already removed most of its forces from that area. But take a map and look at the Kharkiv area that Russia ‘lost’. It has little industry and no important natural resources. What is its actual value for Russia? The southern land corridor from Russia to Crimea was way more important and that is where the troops had gone.

The Kherson region west of the Dnieper turned out to be difficult to supply. The new military command wanted the 30,000 troops holding it to move elsewhere. The Russian troops moved to the east side of the Dnieper without any losses. The Ukrainian military command in that area acknowledges that it failed in its main mission:

[Maj. Gen. Andriy Kovalchuk, who was tasked with leading the Kherson counteroffensive] set out to bisect the Russian-occupied area on the west side of the Dnieper and trap the Russian forces. “My task was not only to liberate the territory,” he said. “My task from the start was to occlude and destroy the force. That is, to not let them leave or exist.”

The first task was fulfilled by Kovalchuk’s successor only after the Russian forces had withdrawn from the area. The second part of the task was, despite high Ukrainian losses, left unfulfilled.

Like with the Russian pullback from Kiev the ‘experts’ claimed that the move east of Kharkiv as well as into the Kherson region were Ukrainian victories. From a military perspective neither qualifies as such.

Now you have BBC ‘experts’ predicting ways the conflict could go in 2023. There analyses of the real situation are so bad that you wonder what disinformation they are based on.

Michael Clarke, associate director of the Strategic Studies Institute, Exeter, UK 
...
Both sides need a pause but the Ukrainians are better equipped and motivated to keep going, and we can expect them to maintain the pressure, at least in the Donbas.

Around Kreminna and Svatove they are very close to a big breakthrough that would throw Russian forces 40 miles back to the next natural defensive line, close to where their invasion effectively began in February. 
...
Andrei Piontkovsky, scientist and analyst based in Washington DC

Ukraine will win by restoring completely its territorial integrity by spring 2023 at the latest. Two factors are shaping this conclusion.

One is the motivation, determination and courage of the Ukrainian military and Ukrainian nation as a whole, which is unprecedented in modern war history.

The other is the fact that, after years of appeasement of a Russian dictator, the West has finally grown up to realise the magnitude of historical challenge it faces. 
...
Barbara Zanchetta, Department of War Studies, King's College London 
...
The costs of the war, both material and human, might break the level of commitment of the Russian political elite. The key will be inside Russia.

Past wars in which miscalculation was a crucial element, such as Vietnam for United States, or Afghanistan for the Soviet Union, only ended in this way. Domestic political conditions shifted in the country that had miscalculated, making exit - either "honourable" or not - the only viable option. 
...
Sadly, this will continue to be a long-protracted political, economic and military battle of resolve. And by the end of 2023 it will most probably still be ongoing. 
...
Ben Hodges, former commanding general, United States Army Europe 
...
By January, Ukraine could be in a position to begin the final phase of the campaign which is the liberation of Crimea.

We know from history that war is a test of will and a test of logistics. When I see the determination of the Ukrainian people and soldiers, and the rapidly improving logistical situation for Ukraine, I see no other outcome but a Russian defeat. 
...
David Gendelman, military expert based in Israel 
...
The occupation of the Luhansk and Donetsk regions will continue but a major Russian breakthrough like a drive from the south to Pavlograd to encircle the Ukrainian forces in the Donbas is less likely.

More probable is a continuation of current tactics - a slow grinding of Ukrainian forces on narrow directions and a slow advance, like in Bakhmut and Avdiivka areas, with possible same tactics in Svatove-Kreminna area.

I can confidently say that, except for a small likelihood for the very last prediction to be true for some time, all others conclusions above are delusional nonsense. They are not based on facts and numbers but on wishful thinking. They are in themselves mere propaganda. (Watch Webb Union and History Legends having fun with them.)

The delusion about the military state of the war is even worse when it comes to the political side.

Putin, unaccustomed to losing, is increasingly isolated as war falters
A new gulf is emerging between the president and much of the country’s elite

The above headline is from today’s Washington Post. The unfounded basic assumption of the piece is that Russia is failing in its war. Its conclusions rest on some Carnegie ‘expert’ and anonymous sources in Russia. It is contradicted by the reality of the war and the results of current polls in Russia which show strong support for Putin and the government. It also ignores the fact that Russia has good relation with most of the rest of the world and that it also has powerful allies:

Russian President Vladimir Putin and Chinese leader Xi Jinping vowed Friday to deepen their bilateral cooperation against the backdrop of Moscow’s 10-month war in Ukraine, which weathered another night of drone and rocket attacks following a massive missile bombardment. 
...
Putin, during his call with Xi, noted that military cooperation has a “special place” in the relationship between their countries. He said the Kremlin aimed to “strengthen the cooperation between the armed forces of Russia and China.”

Xi, in turn, said through a translator that “in the face of a difficult and far from straightforward international situation,” Beijing was ready “to increase strategic cooperation with Russia, provide each other with development opportunities, be global partners for the benefit of the peoples of our countries and in the interests of stability around the world.”

Ties between Moscow and Beijing have grown stronger since Putin sent his troops into Ukraine on Feb. 24. Just last week, Moscow and Beijing held joint naval drills in the East China Sea.

China, which has promised a “no limits” friendship with Russia, has pointedly refused to criticize Moscow’s actions in Ukraine, blaming the U.S. and NATO for provoking the Kremlin, and has blasted the punishing sanctions imposed on Russia.

Russia, in turn, has strongly backed China amid the tensions with the U.S. over Taiwan.

‘Increasingly isolated’ seems to mean something different to the Washington Post writer than to the rest of the world.

The delusion and lack of good analyses about military and political issues is accompanied by a delusion about the economic future of the ‘west’.

Here is a bit of reality:

Credit Suisse contributor Zoltan Pozsar has continued his ongoing series about Bretton Woods III where commodities will dictate the new world order. For his last dispatch of the year, he described how the world is now shifting to a multipolar order “being built not by G7 heads of state but by the ‘G7 of the East’ (the BRICS heads of state).” 
...
“My sense is that the market is starting to realize that the world is going from unipolar to multipolar politically, but the market has yet to make the leap that in the emerging multipolar world order, cross-currency bases will be smaller, commodity bases will be greater, and inflation rates in the West will be higher,” the author explained.

I could go on about these issues for some time.

My feel this year was that political, economical and military issues discussed in the main stream media have parted from the objective reality more than they have done at any previous time in my life. I sometimes look into a mirror and think ‘well, maybe its just you.’ But it is not just me. Other analysts have come to similar conclusion. But, like me, neither of them gets quoted in main stream media and neither is paid in a traditional sense to publish on these issues.

Which, thinking of it, may well be the root of this theme.

Posted by b on December 30, 2022 at 17:50 UTC | Permalink

Jesus H. Christ.

https://youtu.be/n-abxgFv1bU

Martyanov’s post today refers to this post by b. on MoA.

b should realize that the reason the analysis in the West is so bad is precisely what Martyanov has been ranting about since forever. A recent example:

As I already stated many times--the last one being yesterday--average US "journo" from establishment media in the US is semi-literate moron. Some of those morons have Ph.Ds in "journalism" and "communications", others--in political pseudo-science. In other words, using Dunning-Kruger model--it is impossible to explain to most people who graduated all kinds of such "programs", and those who teach those, from the average Western university, that they are ignorant because they are ignorant. They do not know real history, especially of the 20th century, neither do most professors who teach it, they do not understand real economy and how it operates, they have zero clue about real warfare. As the result, despite my, and others, warning since 2013-14 about the US not understanding what it is getting itself into with Ukraine, most military-political-media and business top brass in the US wanted... to kill Russians and destroy Russia.

Now, as I am also on record--no American servicemen ever fought real large, including modern combined arms, war against very serious, sometimes superior, enemy in defense of his (her) home, period. Russians do it for 1000+ years. Because of that, even when you are well-educated and experienced American soldier, it is still very difficult, not impossible, though, to grasp the intricacies of real war economy, especially when you have been taught "economics" as is taught in the West. Get any top RAND honcho (probably former general) talk to Russian counterpart and you can easily observe how this RAND guy will melt away when faced with substantive issues of arsenals for serious war. Emphasis on "serious". And this is just one example. In other words, American military experiences do not apply to Russia in general. Hence, even when having proper information, many in the US analytical orgs, let alone such toilet paper as NYT fail to grasp basic facts. They do not have skills.

White Queso Dip

“Have you ever been to a mexican restaurant or burrito joint and tried that tasty white cheese dip? After much experimentation, I finally have a recipe that is on par with the cheese dip you can get there, and you’re sure to love it too. This beats anything you can buy prepackaged in a store! If you do not have a double boiler, you can improvise by using a metal mixing bowl over a saucepan.”

2023 01 01 11 25
2023 01 01 11 25

Ingredients

Directions

  • In a double boiler on low heat (enough to keep a gentle boil), add the cheese and some of the half and half.
  • Stir mixture until cheese begins to evenly melt, adding half and half to result in the consistency you desire. (It should be thin enough to flow well, but thick enough to stay on a chip).
  • Add diced jalapenos to mixture, according to your preference. If they are from a jar, add a teaspoon or two of the juice as well.
  • Begin to add the spices. Start with the cumin and black pepper. Add red pepper gradually, tasting along the way. A little goes a long way, so be sure you don’t make it too hot. I use a bit more than 1/4 tsp, you may use more or less.
  • Add a pinch of chili powder, and serve hot with tortillas or chips.
  • Leftovers can be microwaved (add more half and half or water to keep consistency). Don’t worry about yellowing from day to day (due to spices/cheese), it will be good for 4-5 days.

2023 01 01 11 26
2023 01 01 11 26

Cat Cafe

cc2
cc2

cc1
cc1

It all began in 2004, when the first cat cafe opened its doors in Osaka. Since then, the petting zoo/coffee house hybrids have invaded Tokyo, and business is booming. After removing your shoes and washing your hands, you can relax or play with the cats and kittens while you have your drink. Prices are reasonable, and the rules are simple: no flash photos, no grabbing tails or waking sleeping kitties.

cc6
cc6

cc5
cc5

cc4
cc4

cc3
cc3

Rocket Scientists Of NASA Before Powerpoint In 1961

1 47
1 47

Ever heard the saying “It’s not rocket science!”? It’s often used by smart-asses who know nothing about rocket science. But then again, who does? It is, after all, super difficult. Well, NASA does, obviously, and back in the early 60s rocket science was even more complicated than it is now.

2 40x
2 40x

These days you can probably figure out how much fuel you need to get to the moon and back on a smartphone app, and if you want to know the weight of Neptune then you can simply search it on Google. But back in the 1961, scientists at NASA were still doing things with a piece of chalk and a giant blackboard. And believe it or not, they put the first US astronaut into space that very same year, a feat made all the more impressive when you remember that the electronic calculator hadn’t even been invented yet.

.

Bang, bang, bang it’s a new reality

It’s a new reality. Yes it is. One need not fear it. It’s change. And all change has good and bad aspects to it.

I remain optimistic. I believe that for most of us the changes will be on the up-tick.

However, the “news” can be more than a tad frightening, but you all should be ready for anything.

This is one of my more popular answers on Quora…

When I was a boy growing up in the ‘States back in the day, there were a couple of television shows that I used to watch. My favorites included Mayberry RFD, Pettycoat Junction, “Lassie”, “Green Acres” and a bunch of others. These shows depicted small town American life.

It was a life that so many of us could relate to.

Then, sometime in the 1970s the broadcast networks made a change in television programming, intending to adjust to changing demographics and decided to focus on urban programming and directed programs in that direction.

These new programs consisted of “Jula”, “The MOD Squad”, and “Welcome Back Potter”. And the old shows (and the life that they represented) was forgotten.

So imagine my pleasant surprise when I went to China and there saw all the old iconic symbology, and imagery that I grew up with as a boy!

  • A very relaxed Sloooooow pace of life.
  • Old ladies and “aunties” taking their time picking up vegetables and cuts of meats to take home for big family dinners.
  • Neighborhood clothing and shoe stores.
  • People playing sports in neighborhood lots.
  • Kids riding bicycles, and running and playing…

And so very much more.

Today, I want to talk about something NO ONE talks about.

I want to talk about Uncle Joe, and playing checkers.

2023 01 05 10 42
2023 01 05 10 42

You see, one of the iconic symbology used in such (former) American television shows (Green Acres, Mayberry RFD, Pettycoat Junction) is the “Uncle Joe”; a lazy old soul, who would sit in front of the local store either “cutting the breeze”, or playing checkers. And just being alike a big old lazy cat or hound dog in the community.

And yeah.

I’ve got a couple of “uncle Joes” right near my home.

2023 01 05 10 42c
2023 01 05 10 42c

And I don’t know about youse guys, but I enjoy saying a word or two to my local “Uncle Joe” as he sits outside the MeiYeJia, and walk by the kids playing (Chinese) checkers with the “Uncle Joe” near the “Rabbit Package Store”.

And that is one of the things that no one in the West knows about China.

Japan Launches Official Investigation Into Millions of COVID Vaccine Deaths

.

Japan has launched an official investigation into the unprecedented numbers of people dying after receiving the Covid-19 vaccination.

According to reports, Japanese researchers have been instructed to investgate the mechanisms by which experimental mRNA jabs could be causing deaths and severe adverse reactions.

Hiroshima University School of Medicine Prof. Masataka Nagao highlighted how the bodies of vaccinated persons he performed autopsies on were abnormally warm, with upwards of 100 degree F body temperatures.

Hiroshima University School of Medicine Prof. Masataka Nagao highlighted how the bodies of vaccinated persons he performed autopsies on were abnormally warm, with upwards of 100 degree F body temperatures.

“The first concern was that the body temperatures of the corpses were very high when the police performed the autopsy,” Nagao declared.

“The body temperatures were unusually high, such as 33 or 34 degrees celsius (91-93ºF).”

In other bodies, Nagao says “temperatures were very high at the time of death. Their body temperatures were above the normal temperature, more like over 40 degrees celsius (104ºF).”

Graphing the data, Nagao’s research team found there were significant changes to the genetic makeup of vaccinated autopsied patients’ immune systems.

The research has led Nagao to conclude the vaccine causes immune system abnormalities that prompt inflammation throughout the body, which is likely the cause of the high body temperatures at the time of autopsy.

“Based on the data and the circumstances alone, it is not possible to conclude that the vaccine was the cause of the deaths,” Prof. Nagao said, adding, “However, it is impossible to say that the vaccine was not the cause. We can only say that it is doubtful, but we believe that vaccination was sufficiently related to the immune abnormalities.”

In another report, dermatology expert Prof. Shigetoshi Sano of the Kochi University School of Medicine discussed discovering spike proteins at the site of skin lesions and other skin problems on patients who were vaccinated.

“The spike protein derived from the vaccine was found in the skin,” Sano explained, highlighting a slide showing a bright green region on a lesion made visible by a special dye.

Very Berry Sugar Cookie Cobbler

“A cobbler that is as easy as it gets, using frozen berries, canned apple pie filling and a topping of refrigerated sugar cookie dough. To make it the ultimate, serve with vanilla ice cream or whipped cream.”

2023 01 01 22 27
2023 01 01 22 27

Ingredients

  • 2 (12 ounce) bags frozen mixed berries, thawed
  • 1 (21 ounce) can apple pie filling
  • 13 cup sugar
  • 1 12 teaspoons cinnamon
  • 1 (18 ounce) package prepared cookie dough

Directions

  • Preheat oven to 350°.
  • In large bowl, mix berries, pie filling, sugar and cinnamon.
  • Transfer fruit mixture to 9 x 13 baking dish.
  • Crumble cookie dough over fruit, covering thickly and completely.
  • Bake uncovered until cookie crust is golden and crisp and juices are bubbly, about 45 minutes.

2023 01 01 22 28
2023 01 01 22 28

A Situation That Every Guy Dreads

 

My wife didn’t come home last night. She went out with a couple of girlfriends, which is pretty normal for her. She’s normally back around 2AM on these nights, so I waited up. Around 2:30, I called to check in. She answered, and I could hear people in the background. She told me they went to a party but were leaving in a couple of minutes. She wasn’t home by 3 so I texted. It delivered, but no reply. Around 4AM I called again, it rang a couple of times before she sent me to voicemail.

My wife finally came stumbling through the door at 6:47AM this morning. I know the exact time because I was worried. She was wearing a dress she didn’t leave the house in, with mesh leggings I’ve never seen either. The leggings had clearly been torn, and her makeup was smudged.

My heart sank when I saw her. My immediate worry was that she had been assaulted. She only shook her head no when I asked her that. I asked her what she had been doing then. She only said that I knew what she had been doing, and that kind of confirmed what the voice in the back of my head had been screaming since she walked in. My wife cheated on me last night.

I asked her who it was, she shrugged almost casually and said it was somebody they met at the club. She went back to his house and hooked up with him, then Ubered home. She then said she didn’t want to fight and just wanted to sleep. So that’s what she did.

She’s still asleep now, and didn’t even take a shower before passing out. Suffice to say our relationship is over. We don’t have any kids, and we rent so it shouldn’t be an extremely complicated process. I keep trying to reason myself out of it, pretending there might be something to salvage here. I’ve always maintained that cheating would be a red line for me though. I think I need to stick to that now.

I am going to start a series of you-tube videos in my daily postings showing evil people, and people who have mental issues, when they are in police interrogations. The point of this is not for salacious reasons, but rather to “wake people” up to the reality that these people walk around us, and are in high concentrations in the West.

Highest concentration are in cities of power. Lower in other areas, but if you live in the United States you WILL encounter these people. If you live in Europe you MAY encounter these people.

You need to understand them.

These videos give you insight.

I hope you all benefit from them. I’ll be running them for about a two week period in the postings. It’s important to understand this now, because in about 6-9 months it will add clarity as to things that will transpire.

This woman is horrible. She’s an “evil stepmom”, and locked her step-daughter in a dog cage for around 4 years, until she starved to death. Then put the carcass in a storage locker…

https://youtu.be/aFUcRn00sPc

This Is What Disney Princesses Would Look Like As Pin Up Girls

Now for something light.

1044
1044

950
950

862
862

766
766

679
679

575
575

486
486

398
398

2118
2118

1178
1178

04
04

What’s it like to be a man with a low libido?

I am a low libido male and I have struggled with dead bedrooms for about 15 years now.

I have taken loads and loads of abuse because of it over the past 15 years. I’ve had past girlfriends physical hit me because it made them feel so bad. I’ve had girls verbally abuse me. I had a girl cheat on me out of spite and another girl threaten me constantly that she will cheat as a way to get me to sleep with her. I had girls tell their entire family and friends that I’m a homosexual because of my lack of sex drive.

I’ve been called a pussy, not a man, pathetic etc.

Meanwhile, I have basically no guy friends I can talk to about it because most of them don’t understand it.

Every time I watch TV I get shown guy after guy being portrayed as the complete opposite of me and I constantly feel like I am not “man” enough.

I constantly fantasize about how if the situations were reversed and the girl was the one with the low libido it would be way more “accepted”.

The hardest part about all of it is the fact that it all feels so out of my control. Low libido is usually a symptom of something, it isn’t like I choose to have a below par sex life.

Unfortunately what happens is after years and years of verbal and rarely physical abuse in past relationships, sex has become the complete opposite of what it used to be for me.

Now there are all kinds of strings attached to it and I’ve completely lost touch with what I actually like about sex because for years I had sex because I HAD TO not because I wanted to.

Every time I have sex the only thing I am thinking about is how much the whole relationship is riding on this (no pun intended) and I better perform well or she might leave me. I don’t even get to sort through all of my personal demons enough to actually enjoy how good the sex feels, I’m too focused on the wrong things.

I also developed performance anxiety because tons of times I had sex when I wasn’t horny and didn’t perform and then took verbal abuse which just reinforced the performance anxiety. I get pissed thinking about how much easier it would be to be a low libido female because they can just fake it a lot easier than I can. It is hard to fake that I’m horny because she can PHYSICALLY SEE THAT I’M NOT DOWN THERE.

As silly as it sounds, I feel like as a guy I need to be horny for sex to happen because If I don’t get an erection then sex literally can’t happen so I feel like when I’m not horny all eyes are on me to get it up. Just one of the many screwed up thoughts that have developed over the years.

The other terrible part is the guilt.

I’ve had several relationships destroyed and I’ve seen 3 girls get their confidence torn to pieces all over something that I couldn’t really control (Or at least felt like I couldn’t control it).

The frustrating part is that I was attracted to all of them, just not in a horny way as often as they would like. Then after abuse I would stop being attracted to them all together and it had nothing to do with them physically, by that point I had lost attraction because of the way they treated me over my low libido.

The frustrating part is it took way too long to find what was wrong with me. I had very low testosterone levels when I got tested last year which contributed to my sex drive.

Now I have so many mental barriers that I need to break through that were created all of these years.

Every single time sex is initiated I completely freeze like an abused animal would when you go to pet them. Usually I catch myself doing it but by then it had been a couple minutes and the mood is ruined by then.

I’ve been to counseling many times and while it is nice that I am able to talk about my issues, it doesn’t really give me any direction as to how to solve the actual problem. I hate knowing that I am to blame for something being wrong with a relationship especially because I’m so thoughtful and confident in every other part of my life.

The hardest part is deep down I feel like I just want to be accepted for who I feel like I naturally am. Girls don’t accept who I am because it makes them insecure and guys don’t accept who I am because they don’t understand it. But at the same time I think is this who I am? or is this a defect and it actually isn’t ok for me to have a lower libido?

Deep down I know that it isn’t ok for me to want sex as infrequently as i do, especially when it makes my partners feel so bad. But at the same time I kind of get jealous because I feel like my partners are allowed to want sex as often as they want but because their libido just so happens to be on the high side, they are considered normal and I am basically the freak who needs to go seek therapy and change everything about myself.

To be fair, a lot of these thoughts are left over from before the testosterone discovery. My wife has been a little more understanding because she knows there is actually something physical going on and I can’t treat it because we are trying to have a baby (Testosterone Replacement Therapy makes you infertile). But these feeling don’t just go away just because things have been better recently, there is still some destruction left behind from the last 14 years.

“Vaxxident?” Pro Football Player Collapses in Cardiac Arrest During Televised Football Game

.

A player for the Buffalo Bills football team, Damar Hamlin, made a routine tackle during a game against the Cincinnati Bengals, got up, then collapsed in Cardiac Arrest on the field last night.  He was given CPR, his heartbeat was restored, and he was taken by ambulance to UC Medical Center where he is said to be in critical condition.

playergoesdown NFL game large
playergoesdown NFL game large

The game was being televised live to a national audience when the incident took place.  Fans in the stadium were shocked at what they were seeing on the field.  Here is video showing how the incident unfolded:

 

 

The Buffalo Bills issued a statement:

“Damar Hamlin suffered a cardiac arrest following a hit in our game versus the Bengals. His heartbeat was restored on the field and he was transferred to the UC Medical Center for further testing and treatment,” the Bills said in a statement. “He is currently sedated and listed in critical condition.”

Medics at the game administered CPR for what is said to have been nine (9) minutes.  An ambulance was brought onto the field and took him to the local hospital where he was reportedly intubated to breathe.

The 24 year old player is in prime health condition and there seems to be no reason for this to have taken place. The tackle he engaged in was not particularly rough, and he got right back up after it.   As such, a LOT of people are wondering out loud, if this is yet another “vaxxident?”  Did the COVID-19 vaccine cause this young man to drop?

A very significant number of people around the world have been suffering “sudden death” after the rollout of the COVID-19 vaccines, which are not actual “vaccines’ but instead are experimental mRNA genetic manipulation.

Blood clots, strokes, heart attacks, and a variety of other incidents have spiked since the vaccines were rolled-out. Given the tyrannical effort to silence and punish anyone who questioned the new technology, Doctors have taken to saying they are “baffled” whenever a vax death takes place.

“Baffled” then converts to an obituary which says the person “died suddenly.”   In fact, it’s happening so often, people are even making memes about it:

DyingOfSuddenly
DyingOfSuddenly

 

No one ever points to the vaccine, when it seems clear as day it is actually the vaccines causing all this death and injury.

Of course the National Football League coerced players to get the brand new, experimental jabs, threatening to cut them out of professional football if they did not get the jabs.

Other employers did the same thing.

Thus, facing the loss of their jobs and their ability to earn a living, many of the coerced gave-in and took the shots.  Now, a LOT of them are dying.

It is a wonder if those who FORCED people to take these shots, ever stop to consider that they were wrong?  Did it ever occur to them that maybe they weren’t smarter than everyone else?  Or was their ego so inflated that they actually thought THEY knew better than everyone else?

At some point, the general public is going to start to realize that the COVID-19 jabs are what is killing so many.  When that day comes, the families of those killed may decide on their own, to stop-by and “thank” those who coerced their loved ones into doing something that killed them.

I suspect it will be a very bad day for those holier-than-thou corporate executives if families start coming for them and maybe beating them to death for what they’ve done.

UPDATE 7:47 AM EST —

Reports now coming in saying the federal government has set up some sort of command post facility near the hospital “in case the football player dies.”   WTF?

 

Maybe they don’t want people reacting badly to another – but widely publicized – vax death?

One casual observer to the situation wrote “This will all get special attention because too many people can see plainly it’s the vaccine (I believe he just got jabbed 8 days ago, the jig is up).”

Another commenter on a social media forum opined “They have been able to keep the pilots dying in-flight, out of the news. It’s hard to keep it out when players are going down on live television.  They can’t take the chance of many more.”

Maybe they fear Black people will decide the vaccines targeted THEM for genocide?

Want proof of how widespread this is now becoming?   The four minute video below shows how many young, healthy, athletes have dropped DEAD in 2022 as of November.   THIS IS NOT NORMAL.

YOU MUST watch this. -MM

Now, many of you may be wondering “If this is so widespread, why isn’t the mass media reporting it?”   Here’s why:

Jesus Christ the next video is horrific! -MM
https://htrs-special.s3.us-west-2.amazonaws.com/SponsoredByPfizer.mp4

 

“Sponsored by . . . .” means big pharma is paying a ton of money to the mass media.   If the mass media reports on what the vaccines are actually doing, their sponsor money dries up.

 

Let’s take our hats off to the young man who was smart enough to have a tracker in his backpack! He just solved a lot of crimes.

The USA is completely bat-shit crazy.

In this video we see what a self-absorbed narcissist looks like. Do you all know anyone like her?

  • 10:29 her rights,
  • 11:20 outrage,
  • 14:01 fake distress,
  • 15:27 threatening violence,
  • 15:36 blameless,
  • 15:55 victim,
  • 16:01 virtue and goodness,
  • 16:39 tears,
  • 18:30 claims reasonableness,
  • 18:36 anger,
  • 18:40 innocence,
  • 18:49 injustice,
  • 18:55 rage and blame,
  • 19:06 hateful revenge,
  • 19:12 confidence in retribution,
  • 19:16 false legal belief,
  • 19:29 late concern over child tactic,
  • 19:35 accusation of police corruption,
  • 19:45 vague threat then discount statement and infer police malice,
  • 20: innocence of any threats (see 15:27),
  • 20:13 victimhood and false legal belief,
  • 20:25 martyrdom in the face of theoretical police violence,
  • 20:36 ulterior motive due to false legal belief,
  • 21:00 blame for situation and earlier possibility of better outcome,
  • 22:05 deny earlier threats (see 19:06),
  • 22:25 additional false legal belief,
  • 22:45 police conspiracy,
  • 23:00 virtue and plan to fight racism,
  • 23:33 victim alligator tears,

Cabbage Beef Bake

“Quick, easy, and inexpensive.”

2023 01 01 22 30
2023 01 01 22 30

Ingredients

Directions

  • Preheat oven to 350. Lightly grease/spray a deep 13 x 9 baking dish.
  • Place shredded cabbage in bottom of baking dish; set aside.
  • Brown and crumble ground beef (or turkey) with the onion and red bell pepper; drain and return to the skillet.
  • To the drained beef mixture, add the diced tomatoes (undrained), salt, pepper, sugar and caraway seeds. Stir together well and spread this mixture over the cabbage in the baking dish.
  • In a small bowl, stir together the tomato sauce and sour cream, blending well. Spread this mixture over the beef mixture in the baking dish.
  • Cover and bake for 1 hour.
  • Uncover, evenly sprinkle with the 1 cup of shredded swiss cheese and bake (uncovered) for an additional 15-20 minutes.

2023 01 01 22 31
2023 01 01 22 31

Finally, we enter a deeper mental illness. A Psychopath. The most dangerous of them all.

These people are in the positions of power in the United States.

https://youtu.be/25wC533f7dQ

What is being hidden regarding the Hunter Biden laptop and the Russia and China alliance

This year 2023 is going to be a pivot year.

Things can go really bad, or a managed up-swing that changes the Geo-political situation forever.

I’m looking at an “event” of some sort towards the tail end of this 2023.

Meanwhile, everyone, please continue with your campaigns and living your own lives. Eat WELL.

Please do not get too CAUGHT UP in the “news”, it’s all fake.

And enjoy life a little bit more.

The American way! Don’t you know!

Chicken Lazone

“This recipe comes from the restaurant “Brennan’s” located in New Orleans. No one has to know how simple and quick it is. I posted this recipe exactly as it was in the book, however, if you like a little “heat” please feel free to add some cayenne pepper to taste.”

2022 12 31 16 11
2022 12 31 16 11

Ingredients

Directions

  • Combine the seasonings and coat chicken breasts.
  • In large saute pan melt half of the butter and cook chicken over medium heat for about 7 to 8 minutes, turning once.
  • Pour the cream into the skillet and lower the heat.
  • Simmer for several minutes, stirring until the sauce thickens then add the remaining butter.
  • When butter is melted place chicken breasts on four plates and top with the sauce.

2022 12 31 16 13
2022 12 31 16 13

2022 12 31 16 15
2022 12 31 16 15

2022 12 31 16 1w3
2022 12 31 16 1w3

Its so nice to see these shows again. Loved watching them week to week when I was little. These shows made you forget everything and laugh at anything.

If you think the laptop is bad, consider how much COORDINATION went into silencing it to ensure a certain candidate got positioned where he is.. It is no simple task to organize a 100% media blackout. Along with inter-agency buffer operations. Whatever entity needed this candidate in, went to extreme lengths, and it sure don’t seem to be because they had America’s “best interests” at heart.

“Suburbia”: The Melancholic and Mythological Artworks by Carlos Barahona Possollo

1 17
1 17

Degree in Painting, final mark of 18/20, from the Faculty of Fine Arts of the Lisbon University. In 1995 Carlos Barahona Possollo accepted an invitation to teach at the Faculty. He had read Architecture at the Technical University of Lisbon from 1986 to 1989.

He was officially commissioned to paint the Portrait of the Portuguese president Cavaco Silva, shown at the Presidents’ Gallery permanent collection in the Museum of the Presidency of the Republic, since 2016. Since 1995 he has been co-operating with the Portuguese Mail in the production of originals for the printing of stamps, notably their commemorative series of the 500th anniversary of Vasco da Gama’s arrival in India (1996-98), and also with the Portuguese edition of the National Geographic Magazine (first nine issues).

His works can be found in private collections in Portugal, Spain, France, Switzerland, Italy – most notably, of many in this country, in that of Prince Jonathan Doria- Pamphilj – The Netherlands, the United Kingdom, U S A and Argentina. Also, in public collections such as the Vatican (IOR), The White House, the Bank of Portugal, the Portuguese Museum of Communications, The Setubal Museum, and the Union of Portuguese Speaking Capital Cities.

56
56

55
55

54
54

53 1
53 1

52
52

51
51

50
50

49
49

48
48

47
47

46 1
46 1

45
45

44
44

43
43

42
42

41
41

40 1
40 1

39 1
39 1

38 1
38 1

37 1
37 1

36 2
36 2

35 2
35 2

34 3
34 3

32 3
32 3

31 4
31 4

30 4
30 4

29 4
29 4

28 4
28 4

27 4
27 4

26 4
26 4

25 5
25 5

24 4
24 4

23 5
23 5

22 5
22 5

21 5
21 5

20 7
20 7

19 7
19 7

10 11
10 11

9 1d2
9 1d2

7 14
7 14

6 13
6 13

5 16
5 16

4 17
4 17

3 17
3 17

2 17
2 17

Did you notice when the kids realized they had way too many presents, the first thing they thought was to donate them? They really are raising them right.

https://youtu.be/U19Bizv-LQQ

I love this series of a slightly anosmic chemist’s perilous quest to find a smell that moves him.

This is just GREAT!

Artist Challenges Herself To A 100-Day Cat Meme Drawing Challenge

5f48b4bf3541e 8 5f43a8338179d jpeg 700
5f48b4bf3541e 8 5f43a8338179d jpeg 700

Emily Paquin, aka Catwheezie, is an animation student who challenged herself to draw a cat meme every day for 100 days back on the 13th of June. She is currently on day 75 and it looks like she’s determined to reach that 100!

5f48b4bf54040 5f43a9582fd8a F449cc5 700
5f48b4bf54040 5f43a9582fd8a F449cc5 700

Emily said that cats were actually the first thing that she ever learned to draw. She says that she always loved funny cat pictures and used to print out similar ones and glue them on her school notebooks.

5f48b4bf71668 148 5f43abd2a4a58 700
5f48b4bf71668 148 5f43abd2a4a58 700

As for the current 100-day challenge, the artist says that she finds most of the cats for her drawings on Instagram, and says that each one of the drawings takes her 2 to 3 hours to finish. “Usually, I work on several of them at a time so I can make sure I’ll have a new cat to post everyday,” says Emily. “A lot of planning goes into this project.”

5f48b4c484414 5f43a93a8fd88 X0sl2TD 700
5f48b4c484414 5f43a93a8fd88 X0sl2TD 700

5f48b4c788ba5 3 5f43a82615c09 700
5f48b4c788ba5 3 5f43a82615c09 700

5f48b4c0316d9 6 5f43a82e56490 700
5f48b4c0316d9 6 5f43a82e56490 700

5f48b4c194d11 119 5f43ab7ca0a24 700
5f48b4c194d11 119 5f43ab7ca0a24 700

5f48b4c30f3b3 116 5f43ab738e87b 700
5f48b4c30f3b3 116 5f43ab738e87b 700

5f48b4c24ec74 12 5f43a840276d8 700
5f48b4c24ec74 12 5f43a840276d8 700

5f48b4c6ce2be 143 5f43abc18059b 700
5f48b4c6ce2be 143 5f43abc18059b 700

5f48b4c5d8811 7 5f43a83119d9a 700
5f48b4c5d8811 7 5f43a83119d9a 700

5f48b4c03f3af 11 5f43a83c1d3cf 700
5f48b4c03f3af 11 5f43a83c1d3cf 700

5f48b4c0ed7ef 109 5f43ab5e6f6a4 700
5f48b4c0ed7ef 109 5f43ab5e6f6a4 700

Former President of France Admits: Minsk Agreements Were to DECEIVE Russia; Allow Ukraine to build for war

MinskMeeting large
MinskMeeting large

In an interview with the “Kyiv Independent” newspaper, former President of France, Francois Hollande, claimed that the Minsk agreements had brought Russia to diplomatic territory, leaving Kiev’s army time to strengthen. An admission contradicting the peaceful declarations of the time.

While Vladimir Putin was advancing in the Donbass using the pro-Russian separatists ( … ) “we led him to accept the Normandy format and to come to Minsk for the negotiations.” said Hollande.

The former French president aligned himself with Angela Merkel who, December 7 in an interview at “Die Zeit,” claimed that the Minsk agreements had been  “an attempt to give Ukraine time” to strengthen militarily for a future confrontation with Moscow.

Officially, these agreements, signed on September 5, 2014 were concluded with the stated objective of restoring peace between Kiev and the Donbass Republics. That conflict left nearly 15,000 ( civilians and soldiers ) dead between 2014 and 2022.

To the question of whether the Minsk negotiations were intended to delay Russian progress in Ukraine, François Hollande replied in the affirmative: « “Yes, Angela Merkel is right on this point. The Minsk agreements stopped the Russian offensive for a time. What was important was how the West would use this respite to prevent any subsequent Russian attempt.”

Holland claims to have enabled the strengthening of the Ukrainian army, a respite that the West and Kiev seem to have taken advantage of:  “Since 2014, Ukraine has strengthened its military capabilities. Indeed, the Ukrainian army is completely different from that of 2014. She is better trained and better equipped. It is the merit of the Minsk agreements to have given the Ukrainian army this opportunity” he said.

According to him, the latter also prevented the area controlled by the separatists from expanding. In winter 2015, they were at the gates of Marioupol and had just won two decisive battles, taking over Donetsk airport and the city of Debaltsevo.

Regretting divisions within the EU and German ambiguity, refusing to question the Nord Stream 2 pipeline, François Hollande reports today that he was in favor of maximum sanctions, recalling that he himself had canceled the sale of Mistral ships to Russia in 2014.

In 2022, trust between Moscow and the West disappeared. Strangely, François Hollande, who believes that a lasting solution is necessary for peace in Ukraine, completes his remarks by affirming that “the Minsk agreements can be resuscitated to establish a legal framework already accepted by all the parties.”

Angela Merkel’s confession, however, already provoked a strong reaction from Russian President Vladimir Putin on December 9. Putin said “Trust is almost nonexistent, but after such statements, a question of trust arises: how to negotiate, on what, and if it is possible to negotiate with someone, what are the guarantees?”  Putin went on to say “I still hoped that the other stakeholders in this process were sincere with us. It turns out that they also cheated on us. It was only a question of strengthening Ukraine with weapons, by preparing it for hostilities” he added.

Vladimir Putin then estimated that in view of these new facts, Moscow should have, perhaps, had to launch its military operation in Ukraine earlier, stressing that Russia, for its part, hoped to be able to resolve the conflict in the Donbass through the Minsk Agreements.

Hal Turner Analysis and Editorial Opinion

So there you have it: Both Angela Merkel of Germany, and Francois Hollande of France, personally KNEW they were attending the Minsk Negotiations as a ruse, as a deception, for the express purpose of buying time for Ukraine to prepare for . . . .  WAR . . .  with Russia.   The very war which is taking place right now.

Back in February of 2022, when Russia finally sent its troops into Ukraine, both France and Germany, along with the United States, feigned horror that Russia was engaging in battle, when all along, that was the precise goal of Germany, France, and without doubt, the United States and the rest of NATO.  Their “shock” at Russia using its military, was phony.  They not only knew Russia was going to act militarily, it was THEY who set the entire stage for it!

In my opinion, this was a criminal conspiracy to facilitate war.

Some may argue that both Merkel and Hollande enjoy Sovereign Immunity.  They do not.   Fraud vitiates everything, and both Merkel and Hollande perpetrated premeditated and willful fraud upon the peoples of Europe, Russia, and America, as the very crux of their meetings in Minsk, Belarus back in 2014.

The fraud perpetrated by Merkel and Hollande has cost the people of the United States, tens-of-billions of dollars in war support for Ukraine, cost Ukraine hundreds of thousands of dead soldiers, cost Russia tens-of-thousands of dead soldiers and countless billions in war costs.  The consumers in both Europe and America have had to pay Billions more in increased costs for natural gas, oil, gasoline, and diesel fuel as a result of the Sanctions imposed, over a war, they planned to start!

I want Merkel and Hollande criminally prosecuted at the world court, for Fraud and criminal conspiracy to facilitate war.  And if such a prosecution takes place, it will be interesting to find out what role then-U.S.-President Barack Obama played in this fraud.  It seems to me that neither France nor Germany would have engaged in such acts without the express approval of the United States.

Merkel, Hollande, and likely Barack Obama, belong in prison for the rest of their lives because the war they deliberately facilitated has killed hundreds of thousands of people, whom they used as pawns for their “sport of kings” — war.

Very happy to learn more of Russian/Chinese alliance to combat the insane neo-cons in the US! The whole world rejoices also. Thank you both and happy New Years as 2022 comes to an end!

This is an important video.

Stolen Elections Have Consequences: Stocks Suffer Worst Year Since 2008

.

WorstStockYearSince2008 large
WorstStockYearSince2008 large

Stocks slipped on Friday to end a brutal 2022 with a whimper, as Wall Street wrapped up its worst year since 2008 on a sour note.

The Dow Jones Industrial Average slid 73.55 points, or 0.22%, to close at 33,147.25. The S&P 500 shed 0.25% to end at 3,839.50. The Nasdaq Composite ticked down 0.11% to 10,466.88.

Friday marked the final day of trading in what has been a painful year for stocks. All three of the major averages suffered their worst year since 2008 and snapped a three-year win streak. The Dow fared the best of the indexes in 2022, down about 8.8%. The S&P 500 sank 19.4%, and is more than 20% below its record high, while the tech-heavy Nasdaq tumbled 33.1%.

Sticky inflation and aggressive rate hikes from the Federal Reserve battered growth and technology stocks and weighed on investor sentiment throughout the year. Geopolitical concerns and volatile economic data also kept markets on edge.

“We’ve had everything from Covid problems in China to the invasion of Ukraine. They’ve all been very serious. But for investors, it is what the Fed is doing,” said Art Cashin, director of floor operations for UBS, on CNBC’s “The Exchange.”

As the calendar turns to a new year, some investors think the pain is far from over. They expect the bear market to persist until a recession hits or the Fed pivots. Some also project stocks will hit new lows before rebounding in the second half of 2023.

Lemon Chicken Milanese

“This dish makes a quick, filling meal. Great served with rice or pasta. Enjoy!”

2022 12 31 16 1r7
2022 12 31 16 1r7

2022 12 31 16 17
2022 12 31 16 17

Ingredients

Directions

  • Season chicken with salt and pepper and set aside. Combine breadcrumbs, parmesan cheese, parsley, and salt and pepper to taste.
  • Pour flour onto a plate and set aside. Do the same with eggs and then with breadcrumb mixture.
  • Dredge chicken in flour and tap away excess. Dip chicken into egg. Cover chicken with breadcrumbs and press them inches.
  • Heat oil in frying pan. Fry chicken on the first side for about 2 minutes, flip and fry for another 2 minutes. Repeat until chicken is browned and cooked through. If you’ve pounded the chicken thin enough, cooking time is greatly reduced.
  • Once chicken is cooked, place on a paper towel-lined plate in order to absorb excess oil.
  • Serve with a squeeze of lemon and additional lemon wedges.

A MUST watch!

For those of you not in California, it is 100 times worse than what you are being told. Homelessness is literally INSANE here. Drug problems are WAY out of control.

We are now entering the twilight zone of reality

I’ve read some of the madness out of the West. It’s approaching critical mass. First the leadership goes mad, and then the population enters a period of bat-shit crazy times. yeah. It’s here. It’s the twilight zone – American style.

For some reason, I lost about 80% of this article. I now have to manually recover it. I'm not a happy camper.

This post is my tribute to the bat-shit insanity that America has become.

Meet Trophy Wife Barbie: She Smokes, Drinks, And Raises Hell

0 16 1
0 16 1

Trophy Wife Barbie is divorced. She drinks wine, smokes weed, and owns a massive arsenal of pink guns. She vomits, bleeds, and goes to the bathroom. She breastfeeds in public, has transgender friends, and frequently gives the finger. Oh, and she has antlers sprouting from her head.

In other words, Trophy Wife Barbie is nothing like the real Barbie.

Instead, she’s the brainchild of Annelies Hofmeyr, a Toronto-based artist. Thanks to Hofmeyr, Trophy Wife Barbie lives her wild life publicly on Instagram.

“Dolls are non-threatening and allow us to project our feelings onto them. I use Trophy Wife Barbie to explore gender issues and the modern female identity while highlighting the limitations of labels. The antlers are a physical representation of a label imposed on her. The beauty of using Barbie iconography is that I’m able to circumvent censorship and talk about more challenging topics.”

Are Americans known for their high intelligence?

I’ve been debating them for years in multiple platforms online and my conclusion is that most of them have brain damage.

Now whenever i see someone make a stupid comment online I’ll automatically assume that they’re american, and I’ll be correct 70–80% of the time

You’ll know you’re debating an American when

  1. He ( almost always male) doesnt know anything about the topic, you can see that from his 1st comment.
  2. He’ll call you brainwashed, lol see he doesn’t know anything about the topic but you’re the brainwashed one LoL
  3. He brags about his freedom, especially Freedom of information LoL, see he doesn’t know anything about the topic, he doesn’t bother to google it one bit, then he brags about his freedom of information LoL 😂 even freedom of information doesn’t help when you have a severe brain damage
  4. He thinks that calling someone brainwashed or wumao or CCP shill or whatever ethnic and religious slurs is a valid argument, thia type is pretty common like 50% of them dont even bother to argue they just call names lol 😂
  5. Try Debating them about american domestic issues then everything becomes fake news, CNN is fake news , MSNBC is fake news, fox news is also fake news, NYT, WSJ, ABC, BBC, daily mail every single domestic and foreign media all of them are fake news, LoL then try debating them about China, russia, islam and international stuff then all of those fake news media are now 100% valid news LoL 😂
  6. Suddenly talking about their genitals, this is quite common for american I don’t know why LoL
  7. And lastly severe outdated view of the world, this is perfectly describes by this question, most Americans think that china is dependent on their market, china cant innovate because china is not a democracy, and many other stuff that might be true in early 2000.

Enjoy some BIG CATS

0 16 650x812 1
0 16 650×812 1

mrmattmccarthy 71892320 2469109256749438 3026185076435162993 n 650x427 1
mrmattmccarthy 71892320 2469109256749438 3026185076435162993 n 650×427 1

mrmattmccarthy 79028190 2740195089377471 2749786289666913952 n 650x407 1
mrmattmccarthy 79028190 2740195089377471 2749786289666913952 n 650×407 1

mrmattmccarthy 88921874 1038204976560438 4292912915630815916 n 650x812 1
mrmattmccarthy 88921874 1038204976560438 4292912915630815916 n 650×812 1

mrmattmccarthy 88202211 2481864278794807 1413255557156090375 n 650x813 1
mrmattmccarthy 88202211 2481864278794807 1413255557156090375 n 650×813 1

mrmattmccarthy 84576655 1281154112273670 7473769372855424780 n 650x813 1
mrmattmccarthy 84576655 1281154112273670 7473769372855424780 n 650×813 1

mrmattmccarthy 84635600 202440600808120 2130304238125521241 n 650x813 1
mrmattmccarthy 84635600 202440600808120 2130304238125521241 n 650×813 1

mrmattmccarthy 87298595 621318545312965 7289277060804412993 n 650x812 1
mrmattmccarthy 87298595 621318545312965 7289277060804412993 n 650×812 1

mrmattmccarthy 87353925 672789843529826 1055416216594023429 n 650x433 1
mrmattmccarthy 87353925 672789843529826 1055416216594023429 n 650×433 1

mrmattmccarthy 87671036 133520601327301 7584247835961591737 n 650x451 1
mrmattmccarthy 87671036 133520601327301 7584247835961591737 n 650×451 1

mrmattmccarthy 88169158 220766168974600 3867369707093784849 n 650x650 1
mrmattmccarthy 88169158 220766168974600 3867369707093784849 n 650×650 1

mrmattmccarthy 84486048 617035542466213 8014405917715535502 n 650x812 1
mrmattmccarthy 84486048 617035542466213 8014405917715535502 n 650×812 1

mrmattmccarthy 84464384 605531060022944 3135676508555819830 n 650x813 1
mrmattmccarthy 84464384 605531060022944 3135676508555819830 n 650×813 1

mrmattmccarthy 84061718 207277083733392 9121206072322992120 n 650x813 1
mrmattmccarthy 84061718 207277083733392 9121206072322992120 n 650×813 1

mrmattmccarthy 83731299 179787276673981 8327406268337877439 n 650x813 1
mrmattmccarthy 83731299 179787276673981 8327406268337877439 n 650×813 1

mrmattmccarthy 83502956 584814582369426 1203773738316788901 n 650x650 1
mrmattmccarthy 83502956 584814582369426 1203773738316788901 n 650×650 1

mrmattmccarthy 83412484 246084086396152 8864711261670438091 n 650x519 1
mrmattmccarthy 83412484 246084086396152 8864711261670438091 n 650×519 1

mrmattmccarthy 83261132 1302191363302441 5330933851229761782 n 650x813 1
mrmattmccarthy 83261132 1302191363302441 5330933851229761782 n 650×813 1

mrmattmccarthy 82780281 1045900442453342 1083225917720989684 n 650x813 1
mrmattmccarthy 82780281 1045900442453342 1083225917720989684 n 650×813 1

mrmattmccarthy 82171614 520105148616624 7583117270178157616 n 650x813 1
mrmattmccarthy 82171614 520105148616624 7583117270178157616 n 650×813 1

mrmattmccarthy 81932415 219958362351717 5934773399434414956 n 650x366 1
mrmattmccarthy 81932415 219958362351717 5934773399434414956 n 650×366 1

mrmattmccarthy 81910227 183622876364012 8294653330503900638 n 650x813 1
mrmattmccarthy 81910227 183622876364012 8294653330503900638 n 650×813 1

mrmattmccarthy 81593635 183708706016551 462235086064794556 n 650x650 1
mrmattmccarthy 81593635 183708706016551 462235086064794556 n 650×650 1

mrmattmccarthy 79170977 105167160913717 1596897635641926468 n 650x465 1
mrmattmccarthy 79170977 105167160913717 1596897635641926468 n 650×465 1

mrmattmccarthy 79371394 184493119340335 8422151616630435044 n 650x650 1
mrmattmccarthy 79371394 184493119340335 8422151616630435044 n 650×650 1

mrmattmccarthy 80130155 104947550958906 4024190502336608295 n 650x812 1
mrmattmccarthy 80130155 104947550958906 4024190502336608295 n 650×812 1

mrmattmccarthy 80378353 588651408349802 3642666436293027156 n 650x487 1
mrmattmccarthy 80378353 588651408349802 3642666436293027156 n 650×487 1

mrmattmccarthy 80553741 497800817380971 6981686473515585134 n 650x812 1
mrmattmccarthy 80553741 497800817380971 6981686473515585134 n 650×812 1

mrmattmccarthy 81176947 2482128158717250 1825522851123812337 n 650x813 1
mrmattmccarthy 81176947 2482128158717250 1825522851123812337 n 650×813 1

mrmattmccarthy 79028190 2740195089377471 2749786289666913952 n 650x407 2
mrmattmccarthy 79028190 2740195089377471 2749786289666913952 n 650×407 2

Quick and Easy Chicken Enchiladas

2022 12 30 20 42
2022 12 30 20 42

Ingredients

Directions

  • Boil chicken until it falls apart, then shred.
  • Mix 1/2 can of sauce and a little cheese with chicken.
  • Put other 1/2 can on bottom of 11×9 baking dish.
  • Microwave tortillas until soft.
  • Roll chicken mixture into tortillas.
  • Put in pan semi-tightly.
  • Cover with remaining 2 cans sauce.
  • Cover top with remaining cheese and bake at 350 until cheese is fully melted (usually about 20-25 min).
  • Brown top slightly or to preference.

2022 12 30 20 43
2022 12 30 20 43

Enjoy some vintage 60s advertisements

1 23
1 23

2 19
2 19

29 2
29 2

28 2
28 2

27 2
27 2

21 4
21 4

22 4
22 4

23 4
23 4

24 3
24 3

25 4
25 4

26 2
26 2

20 4
20 4

19 4
19 4

18 4
18 4

17 4
17 4

16 6
16 6

15 9
15 9

14 9
14 9

8 13
8 13

13 9
13 9

7 13
7 13

12 9
12 9

6 14
6 14

11 9
11 9

5 15
5 15

4 14
4 14

10 8
10 8

9 12
9 12

3 18
3 18

Cops Start ARRESTING Stranded Southwest Passengers At Airport!

China’s aircraft carrier Liaoning spotted edging close to Guam for first time amid US strategic threats

Published: Dec 29, 2022 09:46 PM

.

d4204bb3 5891 4999 83c5 a91103cad499
d4204bb3 5891 4999 83c5 a91103cad499

The Liaoning aircraft carrier group of the Chinese People’s Liberation Army (PLA) Navy was recently spotted for the first time sailing close to Guam, a key US military node in the second island chain, during its ongoing exercises in the West Pacific, a move analysts said on Thursday showed that the Chinese carrier is ready to defend the country against potential US attacks launched from there, including military interference attempts over the Taiwan question.

Japan’s Ministry of Defense Joint Staff updated the Liaoning aircraft carrier group’s movements in a press release on Wednesday, saying that Japan’s Maritime Self-Defense Force spotted the PLA Navy’s aircraft carrier Liaoning, the Type 055 large destroyer Wuxi, the Type 052D destroyer Chengdu, the Type 054A frigate Zaozhuang and the Type 901 comprehensive replenishment ship Hulunhu continuing their voyage in the West Pacific since entering the region on December 16.

The Liaoning has hosted about 260 fighter jet and helicopter landing and takeoff operations from December 17 to Tuesday, according to the Japanese press release.

Citing a map attached to the release marked with the routes of the Liaoning aircraft carrier group from December 17 to Tuesday, Japan’s Kyodo News said on Wednesday that from December 23 to Sunday, the Chinese carrier group sailed south deeper into the West Pacific, arriving in waters west of Guam, before returning to waters east of the island of Taiwan and south of Japan from Monday to Tuesday.

This seems to be the first time the Liaoning has approached Guam, observers said.

The Chinese activity is likely a large-scale movement with an eye on the US military, Kyodo News quoted the Japanese Defense Ministry as saying.

Guam is home to US air force and bases that host strategic bombers and nuclear-powered submarines, and is considered an important node in the second island chain that is designed to contain China, observers said.

The US is building a group of military bases in Guam, Japan and Australia targeting China, with Guam being a core forward operating base featuring all types of military services, Chinese military expert Song Zhongping told the Global Times.

The Liaoning‘s drills in the West Pacific clearly have a tactical background, as it displayed its enhanced capabilities in seizing air superiority and control of sea far away from homeland, Song said.

China will never attack US military bases in Guam as long as the US military does not attack China or interfere in the Taiwan question, but having such capabilities is a deterrent against potential US provocations, analysts said.

In addition to the Liaoning, which demonstrated its capability in reaching Guam with its latest voyage, the PLA’s DF-26 intermediate range ballistic missile and H-6K bomber with air-launched standoff missiles can also reach the island, according to media reports.

On Sunday, when the Liaoning aircraft carrier group was near Guam, the PLA Eastern Theater Command organized “record-breaking” cross-service joint combat alert patrols and joint fire strike exercises in maritime and aerial areas around the island of Taiwan in a resolute response to the recent escalation in the US-Taiwan collusion, after US President Joe Biden signed the National Defense Authorization Act for Fiscal Year 2023 on December 23, marking the first time the US government will finance weapons for the island of Taiwan.

Since the PLA has been focusing on training as a system, it cannot be ruled out that the Liaoning aircraft carrier group’s far sea exercise was interrelated with the drills around the island of Taiwan, another Chinese military expert told the Global Times on Thursday, requesting anonymity.

The waters south of Japan and west of Guam, both areas in which the Liaoning has held exercises, are important in cutting off military interference forces from the US and Japan, the expert said.

The Japanese Defense Ministry previously also reported the PLA Navy’s Type 055 large destroyer Lhasa, the Type 052D destroyer Kaifeng and the Type 903A replenishment ship Taihu sailed through the Osumi Strait into the West Pacific in mid-December, and has not updated their whereabouts as of press time. The Type 055 large destroyer Anshan, which was previously spotted in the Liaoning aircraft carrier group, is also missing in the latest Japanese report.

It is not known if these activities were also interrelated, observers said.

Chicken Quesadillas

“I have found that it works great to make the “filling” up a head of time. Just prep and put in the refrigerator until needed. This is one of our favorite meals. NOTE: The friend who gave me this recipe uses canned cooked chicken. I’m sure the other precooked chicken tenders they sell now would also work.”

2022 12 30 20 38
2022 12 30 20 38

Ingredients

Directions

  • Broil the chicken for about 5 minutes on each side, or until no longer pink inside. Cool and then shred or cube the chicken. (I have found the slicer in my salad shooter works great for this!).
  • Mix chicken, salsa and cheese in a bowl.
  • Place 1/10th of the mixture on half of the tortilla, fold over and press down slightly to “seal”. You can moisten the edges with water to help the edges seal but I usually don’t. I’ve found pressing firmly so that the tortilla sticks to the mixtures works fine.
  • Bake at 400°F for about 5 minutes or until tortillas start to brown.
  • Serve with additional salsa, sour cream, or guacamole for dipping.
  • Goes well with refried beans and/or Spanish Rice.

 

 

2022 12 30 20 40
2022 12 30 20 40

Waking up into a harsh reality

I’m dealing with a “second” bout of COVID. This one is slightly different from my one of two weeks ago, and this is from an employee who caught it when he traveled (far) up North. (Mongolia)

This version has a sore throat (slight), tired and soreness. Not too much in the way of headache. It’s different from the earlier COVID. It must be a strain off-shoot.

Ugh.

I shut the office down today. Everyone is at home sick. Including myself.

New years came. Meh.

One of the side effects of dealing with Coronavirus is the general lack of motivation afterwards. Ugh! My tasks are starting to mount, but I have no desire to tackle them.

I did respond to some Quora questions. I did make up some movies, and re-posted an important Patreon video titled “Homecoming” that is one of my bests. If you haven’t watched it yet, go ahead and watch it now.

Towards the end, the DC has a message (highlighted in red). I’d suggest you all take heart and note the message. Then finish the video as the music and scenes at the end are all about homecoming and reunification with the triad.

Aside from that…

Sparse post today. Enjoy.

Amazing Obsidian Carved Crystal Tyrannosaurus Skull

155
155

This is a Black Obsidian Tyrannosaurus/dinosaur skull. The skull is 6.4 inches long, from front to back.

Obsidian is actually natural glass that is formed when hot lava is submerged in water. This is a strong grounding stone and is known as “the protector”. It is said to “mirror one’s soul. This stone brings about objectivity, dis-attachment and is grounding. It reduces fantasy and escapism. Absorbs and dissolves anger, criticism, fear, and therefore is protective. This unusual black stone absorbs darkness and converts it to white light energy.

522
522

433
433

333
333

238
238

“I got blackout drunk and told the truth.” Happens all the time.

That the whole point, THERE IS NO COMPETITION, it’s all just a fantasy from the USA by the USA, China is not competing with anyone, all they are doing is improving their own citizens living standards and there is absolutely nothing wrong with that, but it’s driving the US CRRRAAAzyyyy, simply because they may not be number one any more, as if that will make any difference, the thing is NOTHING will change, the US will not be any different, but what the Us is doing is FORCING China to retaliate and that could even lead to the USs own downfall, the US is already down the gurgler just check out the actual worth of the top ten countries, so it doesn’t really matter what the US does, it’s already to late.

main qimg 5dd5763d67a3adc7485dfa6398ee34ae lq
main qimg 5dd5763d67a3adc7485dfa6398ee34ae lq

In the West, marriage is a personal matter.

In China, marriage is a matter for the whole family.

There is an idiom in China: build a net to attract phoenix. It means that the male bird must first build a nest and then attract the female. If the male does not build a nest, no female will want to be homeless with him.

The custom in China is that the husband’s family is responsible for all the necessities of the wedding.

Having a daughter is attract investment, and all you need to do is to wait for her to be married away by the person she loves.

Having a son requires self-financing, and a house and private car needed to be prepared in advance for him to marry back his wife.

Women are born to be cared for and protected by men. Men are born to take care of and protect women. This is the division of roles in the chinese family.

Therefore, even if the daughter has no money, she still has her husband to take care of and protect her. But if the son has no money, the wife does not necessarily take care of and protect him.

Chinese family values and marriage values have been developed over thousands of years of history.

Hegel said “All that is real is rational; and all that is rational is real”

In the West, such values may be sexist, but Chinese values are such that each gender has a social role for each gender, unlike Western values that confuse the social roles of the genders.

We often see that in the West, bulls milking and cows jousting; roosters laying eggs and hens crowing.…This is very interesting, but will undoubtedly prevent society from moving forward. We support and commend the West for doing so, but do not intend to follow suit.


China has a Taoist culture, and the Taoist culture is characterized by going with the flow of nature and following the laws, not against the laws of nature.

This idea first appeared in the Classic of the Way and Virtue.

Dao, or the Way creates and nurtures everything, yet it does not command anything, but follows and accommodates the natural conditions of all things. This is the Daoist interpretation of the common feature of the entire universe: All things between heaven and earth must follow and operate in accordance with their natural conditions.

If mankind has no fear of the laws of nature, it will only bring disaster and even “genocide” to mankind.

《Tao-Te Ching》Chapter 25

There was something undefined and complete, coming into existence before Heaven and Earth. How still it was and formless, standing alone, and undergoing no change, reaching everywhere and in no danger (of being exhausted)! It may be regarded as the Mother of all things.

I do not know its name, and I give it the designation of the Tao (the Way or Course). Making an effort (further) to give it a name I call it The Great.

Great, it passes on (in constant flow). Passing on, it becomes remote. Having become remote,it returns. Therefore the Tao is great; Heaven is great; Earth is great; and the (sage) king is also great. In the universe there are four that are great, and the (sage) king is one of them.

Man takes his law from the Earth; the Earth takes its law from Heaven; Heaven takes its law from the Tao. The law of the Tao is its being what it is.

The pace of change certainly seems to be accelerating. 2023 shaping up to be a very interesting year.

The “Mega-Bubbles” Have Started To Burst, And That Could Mean Unprecedented Financial Chaos Is Ahead

.

The Federal Reserve giveth, and the Federal Reserve taketh away.  In a desperate attempt to help the U.S. economy recover from the horrific economic crisis of 2008 and 2009, the Federal Reserve pushed interest rates all the way to the floor and kept them at or near the floor until 2022.  During that same time period, the Fed also created trillions of dollars out of thin air and pumped it into the financial system.  All of this new money had to go somewhere, and it created colossal financial bubbles that were unlike anything we had ever seen before.  There were a few voices that were warning that all of this foolishness would end very badly, but those voices were mostly drowned out by those that were super happy that asset values were absolutely exploding.  The Fed had essentially created the ultimate “get rich quick scheme”, and countless Americans were more than happy to take advantage of it.

But in 2022 inflation started to become exceedingly painful, and the Federal Reserve went into panic mode.  The flow of free money stopped, and the Federal Reserve began to aggressively hike interest rates.

Everyone knew that this sudden change of course by the Fed would crash the housing market, and that is precisely what is happening.  In fact, even the Wall Street Journal is now admitting that we are facing “a housing slump as severe, by some metrics, as that of 2007-09”

The Federal Reserve’s interest rate increases have brought on a housing slump as severe, by some metrics, as that of 2007-09, inflicting pain on prospective buyers, homeowners, builders and other industries linked to real estate.

For the Fed, this is a feature, not a bug: Slumping housing could help deliver the lower economic activity and inflation that the Fed wants in the coming year.

Home sales have been falling month after month, and it is being projected that they could soon fall below the levels that we witnessed during the last housing crash…

Sales of existing homes fell in November for a record 10th straight month. Economists at Fannie Mae and Goldman Sachs forecast they will drop below 4 million in 2023, lower than during the 2006-11 housing bust.

On Wednesday, we got some more bad news.

Pending home sales are one of the best leading indicators for where the housing market is going next, and at this point pending home sales have dropped to the lowest level ever recorded

Contracts to buy U.S. previously owned homes fell far more than expected in November, diving for a sixth straight month in the latest indication of the hefty toll the Federal Reserve’s interest rate hikes are taking on the housing market as the central bank seeks to curb inflation.

The National Association of Realtors (NAR) said on Wednesday its Pending Home Sales Index, based on signed contracts, fell 4% to 73.9 last month from October’s downwardly revised 77.0. November’s was the lowest reading — aside from the short-lived drop in the early months of the pandemic — since NAR launched the index in 2001.

With interest rates so high, very few people want to buy homes right now.

So home prices are going to have to come down.

A lot.

In fact, George Gammon has demonstrated that we will need a crash in housing prices even larger than we witnessed during the last housing crash just to get back to the long-term trend line.

 

Do you think that our system will be able to handle a housing crash of that magnitude?

Of course not.

Meanwhile, the absurd cryptocurrency bubble that was created by the Fed’s easy money policies has already imploded.

I really like how Wolf Richter described what we have been witnessing over the past year in one of his most recent articles

And then come the copycats since anyone can issue a crypto currency. Suddenly there were a dozen of them, and then there were 100 of them then a 1,000, and suddenly 10,000 cryptos, and now there are over 22,000 cryptos, and everyone and their dog is creating them, and trading them, and lending them, and using them as collateral, and all kinds of businesses sprang up around this scheme, crypto miners, crypto exchanges, crypto lending platforms, and some of them went public via IPO or via merger with a SPAC.

And the market capitalization of these cryptos reached $3 trillion, trillion with a T, about a year ago, and then when the Fed started raising its interest rates and started doing QT, the whole thing just blows up. Companies go like POOF, and the money is gone, and whatever is left is stuck in bankruptcy courts globally possibly for years. Cryptos themselves have imploded. Many have gone to essentially zero and have been abandoned for dead. The granddaddy, bitcoin, has plunged by something like 73% from the peak. The whole crypto market is also down about 73%.

More than two trillion dollars of “crypto wealth” has already been wiped out.

Less than a trillion is left.

But the party was fun while it lasted, right?

Sadly, all of the bubbles are starting to burst, and 2023 is going to be a really painful year.

Normally, major economic downturns take just about everyone by surprise.  But this time around, almost everyone can feel that really bad times are coming.  The following is what Mark Zandi of Moody’s Analytics recently had to say about this…

“Usually recessions sneak up on us. CEOs never talk about recessions,” said Zandi. “Now it seems CEOs are falling over themselves to say we’re falling into a recession. … Every person on TV says recession. Every economist says recession. I’ve never seen anything like it.”

He is right.

We’ve never seen anything like this before, and that is because the coming crisis is going to be really bad.

Many among the elite can sense that what is approaching will be truly nightmarish, and so they have been feverishly preparing for the worst

Though a recent poll found that four-in-ten Americans believe we are ‘living in the end of times,’ it’s not just the everyman who is fearing the apocalypse these days – billionaires have been prepping themselves for the apocalypse with elaborate doomsday bunkers for years.

As the world still reels from the scars of the COVID-19 pandemic, climate change-driven storms lash American coastlines and flood inland cities, and Russian President Vladimir Putin continues to talk of using nuclear weapons to devastate Ukraine, a Pew Research Center survey of more than US 10,000 adults found that 39 percent called these the ‘end times.’

The world’s wealthiest are among those cautious of a coming calamity, including billionaire PayPal founder Peter Thiel and Silicon Valley entrepreneur Sam Altman, who have famously laid down routes in remote New Zealand with the express purpose of riding out the end of days.

Yes, things will soon get really crazy as global events spiral completely out of control.

But that doesn’t mean that you should curl up into a fetal position and throw a pity party for yourself.

When I was growing up, I was told that when the going gets tough, the tough get going.

So get prepared for some really rough years ahead.

The clock is ticking, and just about everything that can be shaken will be shaken in 2023 and beyond.

Artist Illustrates How Doing Anything Is Much Better When There Are Animals Around

0 40 650x813 1
0 40 650×813 1

Chilling alone is fine, but chilling with animals is the best. There’s nothing better than vibing with your furry friends; you get the best of both worlds. You still can feel like you have company without the unnecessary noise that comes from hanging around with other people. Let us introduce you to an artist who portrayed it perfectly in her mini illustration series.

Peijin (previously here) is a self-taught freelance artist based in Munich, Germany. Just a little bit more than two years ago, she gave up her engineering career and fully committed herself to art. Her decision was supported by her fans and clients alike, so she never looked back. Besides her illustrations, she also makes tutorials, process videos and studies, as she believes that if she can be an artist, everyone can! Drawing is her true love, and she feels many people feel the same way.

f41a3741 8075 4573 afe0 2733143db2f8 650x813 1
f41a3741 8075 4573 afe0 2733143db2f8 650×813 1

e978b7db ffad 4f68 a222 3739a7ae26dd 650x813 1
e978b7db ffad 4f68 a222 3739a7ae26dd 650×813 1

dbb1c517 9582 499d 9184 945ee0264609 650x813 1
dbb1c517 9582 499d 9184 945ee0264609 650×813 1

c2161410 72aa 4afc 8678 da8f7d0fac94 650x813 1
c2161410 72aa 4afc 8678 da8f7d0fac94 650×813 1

bca42ef2 2b3a 4fda 8fd6 3847b03ee096 650x813 1
bca42ef2 2b3a 4fda 8fd6 3847b03ee096 650×813 1

a9a3b2c1 0021 46db 90e4 3f1b011d47e8 650x813 1
a9a3b2c1 0021 46db 90e4 3f1b011d47e8 650×813 1

42606201 d92c 4f36 9350 11a8637cf783 650x879 1
42606201 d92c 4f36 9350 11a8637cf783 650×879 1

68968ca8 b091 4725 a922 375399e71d39 650x813 1
68968ca8 b091 4725 a922 375399e71d39 650×813 1

1590fa15 9f31 4ada bef5 5f2d76aaea01 650x813 1
1590fa15 9f31 4ada bef5 5f2d76aaea01 650×813 1

515c9f8d 4b1d 439e 8b4b 21e6061074e5 650x813 1
515c9f8d 4b1d 439e 8b4b 21e6061074e5 650×813 1

308c80f8 ff14 453f a7f4 3ea62e81eb4c 650x812 1
308c80f8 ff14 453f a7f4 3ea62e81eb4c 650×812 1

09d697d4 9c6c 4e1d ae5e 68644683746c 650x813 1
09d697d4 9c6c 4e1d ae5e 68644683746c 650×813 1

7f312965 95ae 4f45 8ad0 0deecaa682fd 650x813 1
7f312965 95ae 4f45 8ad0 0deecaa682fd 650×813 1

6ea00eac 57f7 4198 8e10 0a1901a7c7a6 650x813 1
6ea00eac 57f7 4198 8e10 0a1901a7c7a6 650×813 1

6c96d4fb 817d 4abc a7d4 337a37ddfaa9 650x650 1
6c96d4fb 817d 4abc a7d4 337a37ddfaa9 650×650 1

Cream Cheese Almond Coffee Cake

“An adopted recipe, I have made this ahead when having company over for and easy breakfast. Original Intro: A great moist coffee cake.”

2022 12 30 08 40
2022 12 30 08 40

Ingredients

TOPPING

Directions

  • Preheat oven to 350.
  • Spray a 9 x 13 cake pan.
  • Cream butter, sugar and cream cheese very well until smooth.
  • Add eggs, one at a time, scraping down as needed add vanilla and mix inches.
  • Sift together dry ingredients and add alternately with milk.
  • Stir in cherries.
  • Spread into cake pan, smooth top Mix topping ingredients (excluding almonds) together.
  • Sprinkle almonds then topping over batter.
  • Press lightly.
  • Bake until tests done.

2022 12 30 08 42
2022 12 30 08 42

It’s long, but very interesting.

https://youtu.be/xrCmFutB1Uc

 

Closing out 2022 and moving toward 2023

It’s the end of the year.

Yes, the United States is that FUCKING CRAZY…

main qimg 7135a0a42e38ad4df95a1566b846662e pjlq
main qimg 7135a0a42e38ad4df95a1566b846662e pjlq

Let’s talk about cats.

Cat truth

697
697

Chinese coronavirus poster

4 59
4 59

Cat truth

5104
5104

China jumps over another roadblock

“Lithography machines are some of the most complex and expensive used in chip manufacturing. They generate steady beams of light in the ultraviolet spectrum and filter that light until it resembles the inverse of the floorplan of a microprocessor. They focus and point the light at a photosensitive wafer with a degree of precision in the tens of nanometers to carve out the floorplan.

Huawei has patented one component used in EUV lithography systems that is required to make high-end processors on sub-10 nm nodes. It solves the problem of interference patterns created by the ultraviolet light that would otherwise make the wafer uneven.

Huawei has solved an issue in the last step of chip manufacturing that’s caused by the tiny wavelengths of extreme ultraviolet (EUV) light. Its patent describes an array of mirrors that split the beam of light into multiple sub-beams that collide with their own microscopic mirrors. Each of those mirrors rotates differently to create different interference patterns in the light so that when they recombine, the interference patterns cancel out to create one uniform beam.

ASML needed more than €6 billion and 17 years to develop the first batch of EUV lithography machines that could be sold. But before they were finished, the US government pressured the Dutch government into banning exports to China, restricting the nation to the older DUV (deep ultraviolet) technology. For now, only five companies are using or have announced plans to use ASML EUV lithography systems: Intel and Micron in the US, Samsung and SK Hynix in South Korea, and TSMC in Taiwan.

Chinese companies like Huawei were previously able to send their designs to fabs like TSMC for manufacture with EUV lithography. But since the US imposed sanctions on China that has been decreasingly possible. Huawei needs access to the advanced nodes that use EUV lithography to continue to improve on its custom processors, which target everything from smartphones to data centers. China has a way to go before it can make its own EUV systems but they are receiving plenty of capital and support from the government to get there.

From HERE

Cat truth

4113
4113

Cat truth

3125
3125

China Covid report, 9 am local time

“Once more into the breach, dear friends…”

The virus is sweeping through China with unprecedented speed. It has been estimated that each person infects an average of 18 to 20 people, so the rise is phenomenally exponential. Postulates of infection numbers are not going to give any accurate number as most people of our acquaintance are just staying at home and waiting for it to pass – you might as well ask how many people have had a cold this year — but it must be of the order of hundreds of millions.

At home my wife suffered for three days and is now recovering. The boy went down with it just as she was getting better. As for me, the danger point must have passed and it seems I am currently immune: I am of an age that supposedly makes me a soft target, so perhaps that chest infection abroad a few months ago was the real thing.

Most of our circle here have it, or have already had it. Businesses generally are badly affected. At the print works that my wife’s sister runs, of 200 staff only three have evaded infection so far: maybe there are a few malingerers in that number, but it seems to confirm that the wave is going to sweep through and clear up pretty quickly. My sec in the office is at home with a temperature of 40C, and it has been impossible to get a pizza delivered for days. As for deaths, no more have been reported within our friends’ and family circles of contacts. There are as yet no signs of dead bodies lying in the street. (Sarc).

The Hong Kong government has confirmed that the border with the mainland will reopen mid January, that’s just before Chinese new year, families have been separated too long, lots of overdue reunions will take place. It’s yet possible that hassle-free travel abroad (and back!) will be possible then, but as yet there is no announcement of when Chinese citizens can obtain travel documentation. It’s just been announced that quarantine requirement on incomers to the mainland will cease on 8 January, just a negative 48 hour test report needed,

I think we will be celebrating in company on Saturday evening and hoping next year will be a happy return to normality.

Posted by: Walt | Dec 27 2022 1:24 utc

Cat truth

2161
2161

Cat truth

11115
11115

For a glance at the national view in China of the Omicron spread, rather than highlight articles, check the home page of Global Times.

We learn that in Beijing people are over it – literally and figuratively. They are no longer afraid, life resumes; the city has had its peak and is declining in cases and anecdotes.

Now the virus is moving to other regions and cities; they too now know what to expect: they will hit the wave, ride it up (a tiny few, alas, will go under), and come to the shore safe.

And so the greatest viral surge perhaps in history is happening and being recorded, as the CPC opens the gate for Omicron to rip through the nation. And perhaps it will all be over by the time people start their crazy in-country traveling.

~~

I get the impression that the original Chinese vaccinations – which were safe and traditional, made from attenuated viruses – were not proof against some of the variants of Omicron. [In China, they’ve been paying very specific attention to the Omicron sub-variants, I don’t know if anywhere else is.]

So it seems that: the old vaccines wouldn’t cut it against the rampage of Omicron. And they determined that now was the best time to develop natural immunity throughout the population. And spread all the variants naturally throughout the population.

And here we always speculated that Omicron was cooked up in a Chinese lab specifically to outrace and defeat the Delta variant – suspicious as the Omicron was in its provenance, derived as it seemed to be directly from the Alpha, against all laws of evolution.

I’m no expert. But I look forward to the story that shows what has happened here – what is still happening. It seems decidedly finessed to me.

Posted by: Grieved | Dec 27 2022 4:33 utc | 145

Cat truth

1070
1070

Cat truth

974
974

Ancient Chinese Coin Swords: Demon Fighting Weapons of the East

coin swords
coin swords

One of the most fascinating discoveries from ancient China are coin swords. Coin swords, also known as cash swords, are an ancient type of feng shui talisman that has been around since the Qing dynasty. These unique swords, while large and intricate, were not used in physical fights with others. Instead, Chinese coin swords were used to ward off evil and are frequently still used in Daoist rituals.

Chinese 13
Chinese 13

A Chinese coin sword-shaped talisman made from Qing dynasty era cash coins on display at the Museum of Ethnography, Sweden. (Etnografiska Museet /  CC BY 2.5 )

Coin Swords: Powerful Daoist Demon Fighters

Although it is not entirely clear when the first Chinese coin sword was crafted, legend holds that the first one was created sometime between the 26th and 24th centuries BC. It is believed that they were created during the reign of the  Yellow Emperor , one of China’s mythological emperors and  Daoist patron saints. Around the 7th century BC, these fascinating coin swords became associated with the notion that they could be used to fight evil spirits. However, this information was not yet widespread and was only practiced in small communities.

These swords became much more popular over a millennium later, during the  Liu Song dynasty . At this point, coin swords were being used regularly in religious rituals. Daoists used these swords in their rituals the most, fully believing that they were the key to driving out  evil spirits . Believers in the swords spread the word that demons and other evil entities were scared of the swords thanks to  Zhong Kui , a  Daoist deity . Zhong Kui was known as the vanquisher of evil beings, and typically wielded a sword to slay these entities. Young Daoists were taught how to handcraft these cash swords quickly to protect themselves and their loved ones from these spirits. Later, swords sometimes had additional elements, such as astrological engravings, to give them more spiritual power.

Daoist rituals still use Chinese coin swords regularly in their practices. In some rituals, a  Daoist priest  will wrap a red cloth around the hilt of the sword to bring its full spiritual power out. In homes, both real and replica cash swords can be hung up in the home above beds, doors, windows, or any other area of access or vulnerability to keep evil away. Evil spirits that see a coin sword in a building will not enter out of fear that Zhong Kui will use it to destroy them.

 

Daoists believe that the most powerful swords are those crafted from coins produced during the  Qing dynasty . Some of these coins have the name Kangxi Tongbao inscribed on them, as this emperor reigned for an entire cycle of the Chinese calendar (60 years!). Coins swords produced with his name are considered to be stronger, due to their association with longevity. Plus, the name Kangxi translates into ‘prosperity’ and ‘good health,’ which only further strengthens the significance of these swords.

coin 9
coin 9

A coin sword talisman made from Qing Dynasty-based imitation cash coins. (Donald Trung /  CC BY SA 4.0 )

What Makes a Powerful Demon-Fighting Coin Sword?

Coin swords have a unique composition to make them work properly. Typically, the sword would be made of coins wrapped around an iron rod as a sturdy foundation. If the coin sword was particularly large, it may have a core of two iron rods to make it stronger. The  Chinese coins  would then be bound together by string, cord, or wire and wrapped around the iron core. Every detail of the sword matters, including color. Most of the time, the cord or wire holding the coins together would be red or gold, as these were often colors signifying royalty.

Chinese coin swords weren’t just randomly crafted. Most of them were made of a set number of coins, so they could be easily replicated in the future. A general coin sword would typically be made of 18 coins on the blade, 10 on the hilt, 6 on the handle, and 2 around the handle butt. The sword would also be 3 coins thick in all areas to make it sturdier. Doing the math, that means these swords were typically built with at least 108 copper-alloy Chinese coins.

Close 6
Close 6

Close-up of a coin sword hilt (wrea90 /  CC BY SA 4.0 )

On average, these coin swords are around 2 feet (0.6 meters) in length and 1-2 pounds (0.45-0.9 kilograms) in weight. However, the weight could be more, depending on the types of coins used and the overall size of the sword. Coin swords that were scaled up to be larger would of course weigh more. Shorter swords took fewer coins to produce and would therefore be lighter. Some Chinese coin swords were made with fake coins, which would sometimes result in a lighter sword.

It is important to note that the types of coin used to make the sword does matter significantly. Those that believe in the power of Chinese coin swords also believe that these swords can only work properly if made using coins produced during the time of a single emperor. Mixing coins between different dynasties is considered bad luck and can result in a faulty sword. It is also believed that ancient coins are more effective than modern coins, as they may have more power to fend off evil spirits due to their age.

century 23
century 23

19th century Qing Dynasty coin sword and accessories on display in Munich, Germany (Dr. Meierhofer /  CC BY SA 3.0 )

Fight Evil with Your Own Sword, or Visit One Yourself

We’ve all seen items made from money, but Chinese coin swords really take it to the next level. These swords are fascinating to see in real life and are sometimes still made today. There are many artisans, both in and out of China, who specialize in making these cash swords for  feng shui  or other spiritual purposes. Some of the most ancient Chinese coin swords are also on display at a variety of museums worldwide, so you don’t necessarily have to go to China to see one for yourself. If you ever find yourself needing to fight evil, find yourself a Chinese coin sword to give you a hand.

Cat truth

883
883

Chinese coronavirus poster

2 65
2 65

Cat truth

790
790

Cat truth

1636
1636

Notre Dame Sarcophagus Opened Revealing Knight with Elongated Skull!

Notre Dame sarcophagi 0
Notre Dame sarcophagi 0

Finding the remains of a high priest buried at the most sacred spot in Paris’s Notre Dame Cathedral, and all of medieval France, seems a predictable discovery. However, unearthing a knight with an elongated skull came as something of a shock.

The foundation stone of Notre Dame Cathedral was laid by Pope Alexander III in 1163 AD, but in 2019 a fire almost entirely destroyed it. After having spent the last two years securing its foundations, work began this year on repairing the oak spire that was unveiled on August 18, 1859. This 19th century spire reached a height of 315 feet (96 meters), which was 59 feet (18 meters) higher than the original 12th century spire, but it came crashing down in the aftermath of the 2019 blaze.

It was directly beneath the spire floor, where the transept crosses the nave, that researchers identified several tombs containing hundreds of fragmented hands, feet, faces, and plants from stone statues. It was among these shattered relics that two well-preserved lead sarcophagi were discovered, buried at what represents the holiest site in all of medieval France 

2019
2019

The 2019 fire that engulfed the cathedral indirectly led to the discovery of these Notre Dame sarcophagi (Wandrille de Préville / CC BY SA 4.0 )

Unearthing the Canon and the Knight

In April this year, Professor Christophe Besnier, the leader of the scientific team, told The Guardian that this discovery was both “extraordinary and emotional.” Ancient Origins reported in April that Dominique Garcia, the head of the National Institute of Archaeological Research, speculated that one of the lead sarcophagi “probably belonged to a dignitary from the early 14th century.”

Now, the team of French archaeologists has confirmed that Garcia’s speculations were accurate, as they announce one coffin contains an “elite canon of cathedral ” while the other hosts “a young cavalier (knight).” Dominique Garcia was quick to remind that these human remains “are not archaeological objects,” for they were recovered from within a church, and therefore they “will be treated with respect from beginning to end.”

Opening
Opening

Opening of the sarcophagi at the Toulouse forensic medicine laboratory. Credit: DR UT3

Buried at the Heart of the Cathedral

The fragmented statues and two lead coffins were discovered beneath the floor of the transept crossing. The transept of a church separates the nave from the chevet (choir), belonging equally to the main nave axis and to the transept. Eric Crubézy, professor of biological anthropology at the University of Toulouse III, said the two men were “clearly important in their respective eras to have been buried in such prestigious tombs at the heart of the cathedral”.

Described as of “remarkable scientific quality,” both burials were found during a speculative subterranean dig before the installation of the 98 foot (30 meter) high, 600-ton scaffold that is being used to replace the cathedral’s fire-damaged spire. A report in The Guardian stated that one of the bodies belonged to a high priest who, after leading a sedentary life, died in 1710 AD. The second lead coffin was dated to the 14th century and contained “a young, wealthy, and privileged noble.”

Who Were these Elites Buried at the Heart of the Cathedral?

Buried one meter deep, the holy man was found with a brass plaque confirming he was Antoine de la Porte, the canon of Notre Dame Cathedral, who died on Christmas Eve 1710 at the age of 83. De la Porte was a clever, influential, and rich old priest who commissioned several works of art that are now in the Louvre. The second coffin was found to contain the remains of a man in his thirties, and the researchers say his pelvic bones suggest he was an experienced horseman. So, he has been named “ Le Cavalier ” (the knight).

The knight was interred at the foot of a large cross that was once displayed on the now-destroyed rood screen that separated the chancel and nave (clergy and choir) from the congregation (poor people with diseases). Cloths and organic plant materials discovered in this burial suggest the knight was embalmed, which the archaeologists say was “a rare practice in the Middle Ages .” Moreover, the knight was buried with a crown of flowers.

It is speculated that this man was of the upper echelons of the 14th century French elite. This belief stems not from his floral crown, nor the fact that he was embalmed, and not even because he had the power to demand burial at the most sacred spot in Paris – but because the dead knight had a “ skull deformation ,” better known as an elongated skull.

Headbanding for Power, All Over the World

The knight had a “deliberately deformed skull,” resulting from having had a tight cloth band tied around his head during his first three months of life, inducing the shape change. In the 1920s, Peruvian archaeologist Julio Tello , the “father of Peruvian archaeology,” uncovered hundreds of elongated Paracas civilization skulls dated to between 750 BC and 100 AD, and they have since been unearthed across the Middle East and Asia.

Elongated
Elongated

Elongated skulls, like the one discovered in the Notre Dame sarcophagus, were characteristic of the Paracas Culture (Didier Descouens / CC BY SA 4.0 )

It is generally agreed that cranial banding and the resulting elongated skulls were symbols of power practiced among controlling families in different countries. However, long after the practice died out in Peru, it continued up to the early 20th century in the region of Deux-Sèvres in western France. Here, too, the practice was a symbol of power among social elites, but it was also practiced among poorer families who tried to align their children with higher social classes.

Top Image: The elongated skull of a knight found in one of two lead-line sarcophagi found interred in Notre Dame Cathedral. Source: Denis Glicksman / INRAP

By Ashley Cowie

Cat truth

1544
1544

Cat truth

1446
1446

Absolute Best Ever Lasagna

“My family’s favorite. This is a very meaty lasagna. We prefer it with cottage cheese, but you can easily substitute ricotta.”

2022 12 28 09 08
2022 12 28 09 08

Ingredients

Directions

  • Brown ground meat, onion and garlic.
  • Add salt, pepper, parsley, oregano, basil, chopped tomatoes with juice, tomato paste; stirring until well mixed.
  • Cover and simmer 1 hour (or longer,but watch for getting too dry).
  • Cook lasagna noodles according to package directions; drain and set aside.
  • Spray a 13 x 9″ baking pan with cooking spray.
  • Combine riccota cheese, eggs, pepper, 2 tablespoons parsley, Parmesan cheese and 1/2 1lb of mozzarella cheese; In a lasagna pan, layer noodles, meat sauce, and cheese mixture; repeat.
  • Top off with layer of noodles; sprinkle evenly with remaining mozzarella cheese; make sure to cover noodles completely.
  • Bake at 375F for 40-60 minutes, or until cheese mixture is thoroughly melted. (I cover w/ foil for about 40 minutes, then uncover for 15-20 minutes.
  • Let sit for 15-20 minutes before cutting and serving.

2022 12 28 09 09
2022 12 28 09 09

Cat truth

1354
1354

Chinese coronavirus poster

1 66
1 66

Skillet Tarragon Chicken

“This delicious chicken and easy creamy tarragon wine sauce cook right on the stove top. People will think you went to culinary school to learn how to make something this good! Do not worry about the cream in here. It is only 1/4 cup divided between 6 people. Just enough to give you that smooth creaminess you have been craving! Oh MY this is so good! We love this over some simple white or brown rice with a green salad on the side. Oh and the rest of that bottle of wine! hehee Enjoy!”

2022 12 28 09 11
2022 12 28 09 11

Ingredients

Directions

  • Sprinkle chicken with salt and pepper and dredge in flour. Set aside remaining flour.
  • Heat 3 tablespoons of butter over medium heat in large skillet and brown chicken on both sides. Remove chicken and keep warm.
  • Saute onion in same skillet until it begins to become clear.
  • Add wine and cook until liquid is almost evaporated, stirring to loosen browned bits (deglaze) on bottom of skillet. Watch closely- this happens fast!
  • Reduce heat to medium-low and stir in reserved flour until it becomes a thick paste.
  • Add tarragon, chicken broth and the cooked chicken. Cover and cook until tender.
  • Place chicken on a warm platter.
  • Add remaining butter and heavy cream to the liquid that is in the skillet and heat through.
  • Pour sauce over the chicken and garnish with a little more tarragon or some chopped fresh parsley or green onion if desired.

2022 12 28 09 13
2022 12 28 09 13

First – I dont trust a word the Western Media say.

Tody i trust the Chinese Media far more

The Combination of CGTN, CCTV, CNA, Globaltimes & DouYin, Douban are more trustworthy to me than all of BBC, CNN and every other Western Channel

However i like Logic most of all

A Simple example is the release of Avatar 2

The Movie collected a whopping $ 57 Million in the first Weekend

The Highest Hollywood Collections for 2022 and the Fourth Highest of all times

It was released on 16th December well in the middle of any outbreak

Thats roughly around 16.71 Million Footfalls

You wont be having 17 Million People flocking to watch Avatar 2 if people are dying in such massive numbers


Next – The Hospitals are working 31% to Capacity in Beijing, 24% in Shanghai and 23% in Wuhan

You can literally book your own ward in the Hospital if you download the software on your phone and have google lens

The Hospitals have between 20% – 30% Occupancy to date

For Comparison – when Delta had its outbreak – India had a 371% Occupancy in Delhi and a 163% Occupancy all across India and yet at the end of 30 days we lost 154,000 People officially

Delta is far deadlier than Omicron which while being more infectitious is less deadlier

Delta was against an unvaccinated population while Omicron is against a primarily vaccinated populace

Its logical to assume that there are bound to be far less deaths

The same B7 Strain in India is causing around 6.5 Deaths per Day even today


Next – There is no Rush for Oxygen

First Sign of mass deaths is a rush for Oxygen

Italy in 2020, India in 2021, USA in 2020 are examples

In China there is a mass rush for Ibubrofen, Thermometers, Oseltamivir and the newly patented Chinese Medicines

That alone indicates Body ache and Flu Symptoms rather than breathing difficulties which would mean Pneumonia and Respiratory Failure – the only cause for Covid related direct deaths (Unless there are co-morbidities)


So i can safely conclude that

As on date – there are NO Massive Deaths in China

As on date – there are NO Lockdown Reopening Mess in China

What you have are Shortages of Medications , that too not due to manufacturing supply shortages but rather distribution issues. The Factories are already ramping up medicines and by next week you would have more than enough Medicines to last till plateau time


Unless the Virus Mutates into a Dangerous Form like Delta – there is no issue today in China

The Western media are Liars

Chinese coronavirus poster

3 63
3 63

Hipster Nativity: This Year, Give A Hipster Look To Your Christmas Nativity Scene

2022 12 28 09 39
2022 12 28 09 39

What if this year, you give a hipster look to your Christmas Nativity scene? Here is the Hipster Nativity Set, which adds many modern elements to the traditional nativity scene, such as organic food, glasses, mustaches, Starbucks coffee, smartphone, selfie, or the Magi delivering Amazon Prime packages. I just love it. The Hipster Nativity Set is available on the Modern Nativity store for $129.

More info: Modern Nativity

9 80
9 80

8 89
8 89

7 91
7 91

6 94
6 94

5 100
5 100

4 102
4 102

3 105
3 105

2 105
2 105

The new year marches in and the old one gets cremated

Today, my little dog had to be put down. His name was PP, and he was a fine little mini-Bishon. We knew that he would eventually get sick and die, and so we were waiting for the date to arrive. And that date was today.

It wasn’t pleasant. He went into convulsions, and seizures, complete with tossing, and somersaults, whimpering, and foaming at the mouth.

We heard a commotion on the porch where is bed was. He was under the bed, whimpering, tearing at the underside and unable to get out from under it. We lifted the bed up, and tried to comfort him down, but he couldn’t stand up, he kept on collapsing to his side and rolling on the floor with foam in his mouth and an empty stare.

We took him to the nearest vet, and put him down. I can’t stand anyone, let alone a member of my family, being in pain. Mrs MM is in distress and shock, and I’m pretty subdued. And PP, well, he’s GONE.

Perhaps in the future, he will visit me. But for now. He’s completely GONE, as in “off this place”.

We had him cremated, and in all it ran us around 1000 RMB for the end of life hospice and cremation.

Life moves on…

Today will be a short post.

Jo Mama’s Beef Stew

“Hearty and delicious. My family’s favorite stew for over 30 years. If you prefer, you can substitute basil for the rosemary–I fix it both ways. Update: When I originally made this recipe, I would coat the beef pieces with a flour/salt/pepper mix by shaking them in a bag and then brown them in a couple tablespoons of oil. I eliminated this step to simplify and lower the calories and fat, but if you do it that way it makes for a thicker sauce. Good either way!”

2022 12 27 12 26
2022 12 27 12 26

Ingredients

Directions

  • Shake stew meat up in a bag with flour to cover.
  • Brown in vegetable oil in stew pot.
  • Cover with water and bring to a boil.
  • Simmer for 30 minutes or more. (I like to simmer until the beef is nice and tender).
  • Add remaining ingredients and add additional water to cover.
  • Bring to a boil again.
  • Reduce heat and simmer until vegetables are done, about 15 minutes.

2022 12 27 12 28
2022 12 27 12 28

Questioner took a time machine and arrived here still believing that China is stuck in 1950.

Chinese hospital and clinics do not use oxygen tanks. They use a plug that is built into the wall. This plug supplies three types of gas, of which one is oxygen.

main qimg 611c1215a228a6c33dc48b010f95f1e1 lq
main qimg 611c1215a228a6c33dc48b010f95f1e1 lq

I swear that the ignorance out of the West is reaching ridiculous lows.

Great news!

Huawei has filed a patent application covering an extreme ultraviolet (EUV) lithography scanner, according to UDN. If the company builds such a scanner and achieves decent productivity, uptime, and yields, Chinese chipmakers could produce chips on sub-7nm-class technologies. The only question is when.

In mid-November, Huawei filed an application with the State Intellectual Property Office for a patent covering an EUV scanner and its key components. The patent application number is 202110524685X

The patent application appears to cover all crucial components of an EUV scanner, including a 13.5 nm EUV light generator (light source), a set of reflecting mirrors, the lithography system, and ‘control management technologies’, according to descriptions published by several media sources.

However, filing a patent is not equal to being able to build an EUV scanner, which is a highly complex machine featuring numerous state-of-the-art components that have to work perfectly in concert and for prolonged amounts of time. Furthermore, even with an EUV tool at hand, chipmakers still have to figure out the right pellicles for masks, resists, and many other things necessary for high-volume production.

An EUV scanner with a 0.33 numerical aperture is the pinnacle of today’s semiconductor production tools. Numerous companies attempted to develop such a tool, but only ASML succeeded after over ten years of development and with financial support from Intel, Samsung, and TSMC. Today, Samsung, SK Hynix, and TSMC actively use EUV tools from ASML, but Intel has yet to start high-volume chip production using these tools.

For now, only Intel, Micron, Samsung, SK Hynix, and TSMC either use or plan to use EUV scanners. Moreover, only these five companies have developed (or plan to develop) process technologies sophisticated enough to take advantage of EUV scanners. Meanwhile, China-based SMIC could not get an already procured EUV tool to develop its own EUV-based fabrication process due to the Wassenaar arrangement. Therefore, it is evident that demand for EUV scanners potentially exists in China, and apparently, Huawei was eager to address it.

As a world-class high-tech conglomerate with some $100 billion in annual revenue, Huawei pursues different goals and develops many technologies. The company’s semiconductor production ambitions are well known, and they are not limited to chip production but also to building wafer fab equipment. Huawei’s WFE efforts are advancing pretty well.

With the NDAA, Biden Advances Towards Greater Conflict with Russia and China

Biden is doubling down on his pursuit of great power conflict with Russia and China. The international working class will suffer if we don’t exploit the weaknesses in U.S. imperialism.

Sam Carliner

December 23, 2022

.

U.S. imperialism under Biden has come a long way since the humiliating military withdrawal from Afghanistan in August of 2021. The withdrawal, which constituted the administration’s first big foreign policy move, caused Biden’s approval rating to plummet by 10 percentage points in one month and set off a frenzy of international commentary about declining U.S. hegemony and military power.

Along with this display of U.S. decline and incompetence, Biden’s foreign policy agenda was limited by his difficulty re-establishing key alliances degraded during the Trump years. Then, in March 2022, Russia invaded Ukraine, and the Biden administration’s constant refrain of “democracy vs. authoritarianism” — a line as hypocritical as can be — held more weight. Facilitated by Russia’s invasion, the Biden administration has been able to move forward on one of its main tasks: re-establishing the international power of the United States in service of U.S. capital’s interests.

To restore U.S. global hegemony, the Biden administration is advancing a strategy to pursue long-term conflicts with an eye towards confrontation with China. Escalatory moves against regional powers like Russia can be understood as part of this strategy. This pursuit of long term conflict was advanced by the passage of the $858 billion 2023 National Defense Authorization Act (NDAA), which passed with bi-partisan support.

The task Biden faces and his successes so far are riddled with limits and contradictions. If 2022 has shown one thing, however, it is that no one should underestimate the survival of U.S. imperialism, or how far its leaders will go to remain dominant.

The War in Ukraine — Already a Victory for U.S. Imperialism

Putin’s invasion of Russia has been a gift for U.S. imperialism. The invasion quickly developed into a proxy war between Russia and NATO. By getting the Zelenskyy regime to lead the war effort, Western imperialist powers have been able to avoid the costs of putting boots on the ground, while still using their overwhelming forces to weaken Russia militarily. According to estimates by the Center for Strategic and International Studies, the United States alone has sent more than $30 billion in military aid to Ukraine in what is now the largest arms transfer in U.S. history. Not only has the United States used the invasion to revive NATO, it has achieved a historic expansion of the military alliance.

All signs point to the United States continuing, and even increasing, its involvement in the proxy war. The just-passed NDAA allows the Pentagon to grant noncompetitive, no-bid, multi-year contracts to weapons manufacturers to produce weapons systems specifically for Ukraine. To be clear, this is an uncommon contracting arrangement designed for further militarization of U.S. policy: the Pentagon is eroding existing measures that limit military spending with a stated intention of increasing the military power of Ukraine to fight Russia. The United States has even established a new military command to oversee involvement in Ukraine, understood by military analysts as a sign of long-term commitment to this war.

Even if the war does end sooner, the U.S. advance in Europe will endure. From here on, Russia will neighbor a Ukraine armed to the teeth with NATO weapons. The U.S. military presence throughout Europe will remain, with hundreds of thousands of additional U.S. troops stationed in various European countries, including a new permanent base in Poland established as a result of this war. It is also likely that the war will end with NATO directly at Russia’s border, as Finland and Sweden are on their way to formally entering the alliance.

The Contradictions of Biden’s Ukraine Policy

Of course, NATO has its own weaknesses. From the start of the war in Ukraine, the United States has been unable to win the support of key allies such as India, Mexico, Brazil, Israel, and Saudi Arabia. In some cases, the war has even moved strategic partners of U.S. imperialism closer to Russia, most notably India and Saudi Arabia. Strong alliances are not guaranteed even on the European front. Underlying NATO’s presentation of strength and unity are conflicts between the countries of Western Europe like France and Germany — for which a continuation of the war poses a more existential threat — and the United States, which seems interested in going as far as it possibly can to militarily weaken Russia. These conflicts will deepen as Europe heads into winter with Germany, France, and other countries lacking heat now that they cannot rely on Russian gas. Additionally, many analysts predict that winter conditions will strengthen Russia on the battlefield.

Zelenskyy is also emboldened to flex his power, which poses a problem for NATO. This war has enabled Zelenskyy to crush the independence of Ukraine’s working class and achieve international prestige. Wielding this power, he has attempted to escalate the war beyond NATO’s interests. This conflict played out when a Ukrainian misfire hit Poland, killing two civilians. Even after Poland and the United States confirmed that the missile came from Ukraine, Zelenskyy publicly contradicted his allies, insisting the missile was from Russia. Zelesnkyy is also leading Ukraine in a more offensive approach to the war, recently attacking targets deep in Russian territory.

Continuation of the war risks greater conflicts within NATO, escalation beyond Biden’s interests, and greater instability leading to a possible rise in class struggle or the influence of the Far Right — both of which are already on the upswing in Europe. NATO now must also deal with the crisis created by Zelenskyy — the leader NATO tied its war aims to — attempting to drag the alliance into a more aggressive position. All this has sparked debate within U.S. imperialism, with some sectors calling for diplomacy and others urging for the continuation of the war.

However, within the debate among the imperialists, the more escalatory sectors hold the greatest influence over Biden’s Ukraine policy. General Mark Milley, one of the main representatives of the imperialists who prefer de-escalation in Ukraine, recently made public statements in support of negotiations with Russia. His remarks were met with a swift response from hawks, with pro-escalation figures writing a range of criticisms of Milley in the bourgeois press. But Biden does not need to read the bourgeois press to know that de-escalation remains an unpopular position among imperialists. Two of his top advisors, Secretary of State Antony Blinken and National Security Advisor Jake Sullivan, oppose the path of de-escalation.

How the war plays out over the coming winter will likely inform what course Biden’s Ukraine policy takes in 2023. But as it stands, the likelihood of the conflict continuing for some years is far greater than a turn to diplomacy. Continuation of war breeds escalation in a vicious cycle. At a certain point, it will be impossible for the United States to back out of this war even if that is more beneficial for imperialist stability. In fact, the United States may have already passed a point of no return.

Whatever happens, the advances already made by U.S. imperialism are emboldening the most hawkish of its sectors. This makes Biden more likely to take aggressive measures not just towards Russia, but also against U.S. imperialism’s primary adversary — China.

China — A Long Confrontation Advances

While different sectors of imperialism continue to debate how the United States should deal with regional adversaries like Russia, they are all in agreement that the United States must focus on containing China’s growth. China was the primary concern in Biden’s National Security Strategy and the Pentagon’s 2023 budget proposal. For the first time, NATO has also listed China as a “challenge,” though this is more a reflection of the United States’ goals than any serious commitment from the European powers. However, it cannot be denied that the United States is moving forward with a long-term strategy to more aggressively confront China. What does such a strategy require?

Before the United States can commit to direct conflict with China, it will need to decouple the two economies. Biden has moved forward on this task, spending billions to establish domestic chip manufacturing for essential economic advantage over China. However, more notable is an escalatory move Biden made this October, when the administration placed unprecedented restrictions on China’s ability to access semiconductors and the equipment used to make them.

The decoupling will be a long, imperfect process. Already, Biden’s economic moves against China have put him in conflict with important allies such as Germany and France, two countries that cannot afford economic conflict with China. Beyond U.S. relations with Europe, any economic instability the decoupling causes will fall on the working class, opening space for greater class struggle in the United States and internationally. There is no way for the United States to decouple without risking a greater crisis, but the Biden administration has chosen to take the risk and likely cannot turn back.

Biden has also pursued a strategy of military containment in the Pacific, relying on strong alliances with governments in Japan, South Korea, Australia, India, and the Philippines to keep China in check. The United Kingdom has also entered into the containment strategy through the AUKUS alliance. In strengthening its own military presence with U.S. forces and proxies in the region, the United States is escalating long-standing tensions that could set the region on an increasingly unpredictable path. A recent example of this dynamic was Nancy Pelosi’s trip to Taiwan. This was an escalation towards China, breaking U.S. neutrality over Taiwan and signifying open hostility to Beijing. China responded with a series of military exercises around Taiwan.

Pelosi’s move and possible escalations to come can be better understood through the crisis of the two parties in the United States. Democrats and Republicans remain highly polarized; both are going to extremes to present themselves as the best party to serve the interests of capital. Despite the polarization, confronting China is one of the few positions where the two parties are in agreement. In fact, it is partially because of this agreement that the Democrats have taken a more recklessly interventionist posture towards China. They have to demonstrate that they, not the Republicans, are the party most willing to take on China. Since the Republicans became known for their chauvinist anti-China rhetoric and escalatory policies during the Trump years, the Democrats are forced to take even more aggressive positions.

Any weakness seen in China’s domestic political landscape or regional standing could embolden the United States to step up escalations to appease the most hawkish sectors of imperialism. The escalations around Taiwan are set to continue. DefenseOne reports that Taiwan is mentioned 438 times in the NDAA, far more than the 237 mentions of Russia or 159 mentions of Ukraine. In fact, the NDAA includes the Taiwan Enhanced Resilience Act which provides the island with $10 billion in military aid over five years and includes measures to accelerate Taiwan’s procurement of weapons and develop programs to train its military forces.

Biden’s meeting with Xi Jinping at the G20 summit indicated that despite all these escalations, neither the United States nor China are interested in direct conflict at this point in time. But the two powers cannot continue to grow indefinitely together. They are on a trajectory for greater clashes that would be of world historic proportions. The less the two economies are intertwined over time and the more U.S. militarization of the Pacific fuels regional conflict, the more likely a temporary flare-up like Pelosi’s inflammatory trip to Taiwan could spark another proxy war, or even advance the world towards a hot conflict between the two powers.

Contradictions of Imperialism and Prospects for Class Struggle

It would be a mistake to underestimate U.S. imperialism, but that doesn’t mean there aren’t real weaknesses that Biden will have to navigate. As noted above, every move he makes to escalate conflict comes with risks, some of which are unpredictable.

Already the war in Ukraine has greatly exacerbated the global economic crisis. While the United States has been relatively insulated from the worst impacts, a recession has long been on its way. The midterms demonstrated the Democratic Party’s capacity to recover popular support following the overturn of Roe v. Wade, but voters still noted inflation as their primary concern in exit polling. Republican leaders have already begun moving away (at least rhetorically) from “unlimited” support for Ukraine. Public support for weapons shipments to Ukraine remains high. A recent poll conducted by the Chicago Council on Global Affairs, however, indicates that support for indefinite aid is slipping, with 47 percent of Americans surveyed saying Washington should urge Kiev to settle for peace as soon as possible. It is not unlikely that by 2024 the inflation crisis could develop enough in the United States for Republicans to rally voters around opposition to the war and its economic toll.

Even before class anger over the war significantly impacts the political situation in the United States, Biden will have to respond to its consequences internationally. Already we are seeing uprisings against rising cost of living throughout the Global South, with massive protests from Sri Lanka to Haiti, and movements forming around opposition to the imperialist IMF. This state of affairs will create more challenges to broad stability of U.S. interests around the world.

Most notable, however, is the impact the war is having on Europe. The working class of Europe is already suffering, spurring various crises for the traditional parties of capital throughout Europe. In some countries, such as Italy, class anger has been used to strengthen the Far Right. But the war has also given labor movements and Leftists the ability to wage historic fights in some of the strongholds of neoliberalism, such as in the United Kingdom and France. With Western European capital facing its own crises, it is no wonder countries such as Germany and France are attempting to distance themselves from U.S. imperialism and have grown more critical of the United States’ desire to prolong the war in Ukraine and decouple from China.

Biden cannot restore U.S. hegemony without beginning the long process of preparation for conflict now. But this preparation will force him to balance U.S. diplomacy with necessary military and economic alliances, maintain stability for U.S. interests throughout the semi-colonial world, and keep the Democrats ahead within the crisis of the two party regime of the United States.

Under Biden, the United States is in a moment of relative strength compared to its position during the Trump years. However, 2023 leaves plenty of room for crises to develop for the Biden regime. The working class in the United States is growing in consciousness and militancy, and this, more than anything, has the power to disrupt the aims of U.S. imperialism.

Notably, class struggle in the United States, while disruptive to the aims of capital, is not inherently anti-imperialist. In recent history, U.S. labor activity has been chauvinist, with signs on picket lines calling to “Protect American jobs.” By and large, labor has focused on bread and butter issues for the U.S. working class while avoiding fights against systems of oppression at home and abroad. This lack of internationalism emanates, in part, from the traditional labor bureaucracy’s connection to the imperialist Democratic Party. Even “Socialists” like Bernie Sanders and the DSA lean into a U.S.-centric vision of labor power. But it is wrong to believe that the power of the U.S. working class can best advance through a labor movement that is only national in scope. Self-division of the working class by countries and borders undercuts one of its greatest strengths, the sheer numbers it has to fight as an international force.

For workers in the heart of imperialism to take advantage of our full power, we will need to unite with our class siblings mobilizing around the world. This means building solidarity with strikes in other countries, firmly denouncing chauvinist slogans from labor leaders, mobilizing in our workplace and in the streets against U.S. intervention throughout the world, and understanding the international nature of our struggle against inflation.

Because we cannot count on even the most left-wing elements of the Democratic Party or the union bureaucracies connected to the party, we need leaders from our class who put forward an internationalist, anti-imperialist perspective in the U.S. labor movement. Since the two parties of capital are also parties of imperialism and war, the United States needs a working class party that is firmly anti-imperialist and seeks to unite our struggle with workers around the world, especially countries targeted by the United States.

Without such a party or internationalist perspective in the domestic class struggle, it is likely that U.S. imperialism will remain emboldened and take ever more aggressive, destructive actions. However, the weaknesses of the regime are there. It is the task of workers and the Left to use the crises of capital and the weakness of U.S. imperialism to our advantage.

Medvedev: “World teetering on brink of World War III . . . Nuclear Catastrophe”

.

Russia’s former president and current deputy chair of the Security Council Dmitry Medvedev wrote a lengthy article for Rossiyskaya Gazeta newspaper, in which he summarized his thoughts on how the year 2022 has changed the world order forever.

“The only thing that stops our enemies today is the understanding that Russia will be guided by [the doctrine] on nuclear deterrence. And if there is a real threat, we will act,” Medvedev wrote in his article published on Sunday night – noting that in such a grim scenario there will be nobody left to argue about whether that was “a retaliatory strike or a preventive one.”

“Therefore, the Western world is balancing between a burning desire to maximally humiliate, dismember and destroy Russia, on the one hand, and the desire to avoid a nuclear apocalypse, on the other,” he explained.

Until Russia receives the security guarantees it has demanded, the world “will continue to teeter on the brink of World War III and nuclear catastrophe,” Medvedev wrote, noting that Moscow is doing and will continue to do “everything we can to prevent it.”

Last December, Russia presented a list of security proposals to the US and NATO, among other things urging the West to impose a ban on Ukraine entering the military bloc, while insisting that NATO should retreat to its borders of 1997, before it began to expand.

After the US and NATO flatly refused, saying they would only be interested in limited strategic arms control talks, it became obvious that Moscow has “no one to talk to and nothing to negotiate about” with the West, Medvedev argued. And when in February “Ukrainian junkies announced their desire to revive their nuclear arsenal,” Moscow had no other choice but to act, he added.

“Our world has changed, forever. And the main question remains… what kind of future begins today?” Medvedev wrote.

“New disarmament agreements are currently unrealistic and unnecessary,” the Russian official reiterated. “The sooner the guarantees of maximum security that suit our country are received, the sooner the situation will normalize.”

Earlier this month, Russian Deputy Foreign Minister Sergey Ryabkov said that Moscow is willing to discuss the subject of security guarantees again, if the West is serious about it, but until then, Russia will continue to respond appropriately to any further NATO expansion. Since the conflict in Ukraine escalated in February, the bloc has moved to welcome Sweden and Finland into its ranks, though the expansion has yet to be finalized.

Why Do So Many Bad Things Keep Happening To Us?

.

I suppose that this is a fitting way to end the year.  2022 has been a year of war, plagues, natural disasters, shortages and severe economic troubles, but up until this month we had not been able to add “an apocalyptic winter storm” to the list yet.

Unfortunately, what we have just been through over the last week definitely qualifies.  A “monster storm” that covered almost the entire country at one point brought blizzard conditions to much of the U.S. over the past several days.  Temperatures dipped down to minus 50 degrees in some areas, and extremely high winds and heavy snow combined to create truly nightmarish conditions in certain cities.  For example, we are being told that this was the “most devastating storm in Buffalo’s long storied history”

“We had to send specialized rescue crews to go get the rescuers,” Poloncarz told “CNN This Morning” Monday, adding it was the worst storm he could remember. “It was just horrendous, and it was horrendous for 24 hours in a row.”

“We’re used to snow here, we can handle snow,” he said. “But with the wind, the blinding views – it was complete whiteouts – and the extreme cold, it was some of the worst conditions that any of us have ever seen.”

The storm has drawn widespread comparisons to Buffalo’s famous blizzard of 1977. Poloncarz said in Monday’s news conference the current storm’s “ferocity … was worse than the blizzard of ’77.” And in a news conference Sunday, New York Gov. Kathy Hochul called this storm the “most devastating storm in Buffalo’s long storied history.”

Buffalo has had a lot of really bad storms over the years.

But this one takes the cake.

According to a local news report, Buffalo has already received about 4 feet of snow, and snow is going to continue to fall until the middle of the day on Tuesday…

The blizzard began Friday, dumping nearly 4 feet of snow in the Buffalo area and making many roads impassable.

The snow is still falling. Some parts of the county could see another 8 to 12 inches by 1 p.m. Tuesday.

I have never seen anything quite like this.

Of course I have been using that phrase a lot lately.

So far, at least 27 people have been killed by the storm in Erie County, and the overall national death toll from the storm is up to 55.

But both of those numbers will inevitably go much higher because right now there are countless drivers stranded in their vehicles on highways all over the United States.

What part of “don’t drive during this storm” did people not understand?

Many others are without power and are slowly freezing as they find themselves trapped inside their own homes by colossal mountains of snow.

Those that are in need of rescue could use our prayers right about now.

Sadly, it seems like whenever there is some sort of a major natural disaster in this country there are hordes of looters ready to take advantage of it.

On Monday, the rampant looting that we just witnessed in Buffalo made headlines all over the globe

Videos posted online show brazen thieves taking advantage of the chaos, trudging over mounds of snow to get into abandoned storefronts — like a Dollar General where shelves were overturned and items were scattered across the ground.

Residents filmed some of the thieves as they entered the store and left with handfuls of items like paper towels and toilet paper, as one shameless woman even posted a Facebook Live of her and her friends grabbing items from the store.

Others were filmed hauling televisions out of stores, and security footage caught one man breaking the glass of a liquor store door, where he allegedly stole $500 worth of goods.

Zero Hedge has posted lots of videos of the vicious looting, and those videos are prime examples of just how far we have fallen as a society.

As I have said so many times before, the thin veneer of civilization that we all depend upon on a daily basis is rapidly disappearing.

If a truly horrific long-term disaster were to erupt that causes law and order to break down on a widespread basis, we will devolve into a “Mad Max society” very quickly.

Speaking of crime, someone just attacked four more electrical substations in Washington state

Thousands of homes were left in the dark after four electrical substations in western Washington were vandalized on Christmas Day, local authorities said on Sunday, adding that it was unknown if the incidents were connected.

The attacks on Sunday cut power to more than 14,000 utility customers in south Pierce County, near Tacoma, the Pierce County Sheriff’s Office said.

The burglaries were reported at two substations belonging to Tacoma Public Utilities and two belonging to Puget Sound Energy.

In a previous article, I discussed the fact that the number of these attacks has reached an all-time record high this year, and they are happening all over the nation.

Utility companies are going to have to start hiring 24 hour security to guard their equipment, because this is a problem that isn’t going to go away.

And that will mean even higher utility bills for all of us.

I truly wish that our society was not coming apart at the seams all around us.

But this is where we are at, and denying reality is not going to make things any better.

So why is our society in such bad shape?

And why do so many bad things keep happening to us?

The end of the year is often a time of self-reflection for individuals, and it should also be a time of self-reflection for our nation as a whole.

Because if we stay on the path that we are currently on, the pain that we will experience in the years ahead will be far more severe than what we have been through already.

Good decisions lead to good consequences.

And bad decisions lead to bad consequences.

Wake up America, because time is quickly slipping away.

I’ve lived here for 6 years, and yes, I do love China. Please recognize that ALL my comments reflect that of a foreigner in China – not natives. Here are my top reasons why I (and my wife) love living in China:

#1 – The people are great and the friendliest I have known in my world travels and international residencies. And, people are HAPPY!

#2 – The food is fantastic, fresh, and incredibly cheap! I especially enjoy buying fresh produce, at least 10 types of mushrooms at about 10% of USA prices. Our average precooked takeout 3 course dinner is around $3.00-$4.50 per person. Sometimes it is only about $2.00 per person (again, this is for fresh pre-cooked takeout, not for making our own dinner!).

#3 – There is no violent crime in Beijing, a city of 22 million people. Our teenage daughter attending high school here could be out until 2AM with friends, doing karaoke or whatnot, and we had no worries for her safety!

#4 – Incredible public transportation. Never need a car. My occasional city bus ride costs $.15! The high speed inter-city trains are amazing! This weekend I’m travelling by train from downtown Beijing to downtown Hefei – 1,000 KM by fast train – 4Hrs23Min. You could never make that time by plane!

#5 – The career opportunities are excellent, with salaries comparable or higher than the USA while cost of living is about 35% the cost of the USA. That’s why Chinese are able to save – not because they are so frugal! And, no age discrimination in employment!!

#6 – Vibrant, lively, and endlessly entertaining. Even after 6 years, walking down our street in Beijing is like attending “Cirque du Soleil.”

#7 – Thousands years old and ultra modern Art, culture, history, architecture – FANTASTIC!!

UKRAINE ATTACKS AIR BASE DEEP INSIDE RUSSIA – AGAIN

As of 10:00 PM eastern US time on Christmas Day (December 25) reports are flooding intel circuits saying Ukraine launched a Kamikaze Drone attack upon Russia’s ENGELS Air Base. This base is home of 121st & 184th Heavy Bomber Aviation Regiments.

One TU-95MS Heavy  Bomber is reported completely destroyed.

Ukraine used a long-range Kamikaze drone (modified Tu-141 reconnaissance drone) to carry out the attack.

The base is shown on the scalable map below so readers can see how far into Russia the attack took place:

2022 12 27 12 35
2022 12 27 12 35

D.A.R.P.A. Covid Memo: “. . . American-Made . . .”

DARPA memo Header 2 large
DARPA memo Header 2 large

Below is an image of a memo from the Commandant of the Marine Corps (Fellow) to the U.S. Inspector General, outlining that the SARS-CoV-2 is “. . . American-made” — that it’s origin documents have been “concealed,” that Masks and mRNA “don’t work very well” and that “curative” early treatments, were kept secret.

This content is for subscribers and is behind a paywall.

Massive Troop and Armor Movements Begin in Belarus – New Combat Designation: “K”

.

As of 7:12 AM EST here in the USA, troops in Belarus are now on the move toward Ukraine and their tanks and other vehicles bear a new combat group designation: “K”

Word coming out of the region suggests (but does not confirm) that a new invasion of Ukraine will commence on (western) Christmas Day, December 25-into-26.

It is worth noting — in that part of the world, people do not celebrate Christmas on December 25, they celebrate Orthodox Christmas in early January.  So what is a solemn and holy day for us in the west, is not yet that same holy day for them.

New Combat Designation K large
New Combat Designation K large

It has been speculated for weeks that Russia had been massing troops in Belarus to launch a second offensive into Ukraine, in the areas near the Poland Border, so as to cut-off NATO supplies coming into Ukraine.

Whether or not a secondary invasion will take place, it seems clear from images and information coming out of Belarus, that at least _something_ big seems to be taking place right now.

Convoys of military troops and armor are on the move.  Whatever this is, could prove decisive in the ongoing Russia-Ukraine Special Military Operation.

If these troops move southward from Belarus, they would enter northwestern Ukraine in perfect position to halt weapons shipments from Poland.

In a rather unusual early-morning statement, the Russian Ambassador to the USA said early Friday morning “The risk of a clash between Russia and the USA is now high.”

Why a statement like that would be issued so early in the morning is not yet clear.  It is, however, unusual.

Chicken Soup and Homemade Noodles

“This is a wonderful homemade chicken soup with a light delicate flavor. My kids LOVE the chewy homemade noodles but you can use egg noodles to save time. This recipe takes time to prepare, but it’s worth the effort!”

2022 12 27 12 23
2022 12 27 12 23

Ingredients

Directions

  • In a 5 qt Dutch oven, combine chicken and water. Bring to a boil. Reduce heat; cover and simmer 15 minutes.
  • Now is a good time to mix the noodles.
  • Basic Noodles: Lightly spoon flour into a measuring cup and level off. In a small bowl, combine flour and salt; blend well. Make a well in the center. Add water, oil, and egg. Gradually work the flour into the liquid to form a dough. On a floured surface, knead the dough 2-3 minutes. Wrap the dough in a plastic bag and let rest at room temperature for 1 hour.
  • Once the chicken has simmered 15 minutes, skim off any scum that has risen to the surface. Add bouillon cubes, 1/2 cup onion, salt, pepper, and bay leaf. Simmer an additional 35 to 45 minutes or until the chicken is tender.
  • Remove chicken from the broth to remove the meat from the bones; cut the chicken into bite-size pieces. Skim the fat from the broth. Return chicken to the pot. Stir in celery, carrots, and 1/4 cup onion. Simmer 15 minutes or until the carrots are tender crisp.

If you are making your own noodles:

  • While the vegetables are cooking; on a floured surface, roll out the noodle dough as thin as possible keeping the dough in a rectangular shape. Slice the dough into 1/4 inch slices into the length that you want. Hint: fold the rolled dough into thirds and slice through the thickness to save time.
  • Once the carrots are tender crisp, remove the bay leaf. Bring the soup back to a boil. Drop noodles into boiling soup. Cook uncovered 5 – 10 minutes or until noodles are tender, stirring occasionally.
  • Enjoy!

2022 12 27 12 24
2022 12 27 12 24

Are Americans known for their high intelligence?

I’ve been debating them for years in multiple platforms online and my conclusion is that most of them have brain damage.

Now whenever i see someone make a stupid comment online I’ll automatically assume that they’re american, and I’ll be correct 70–80% of the time

You’ll know you’re debating an American when

  1. He ( almost always male) doesnt know anything about the topic, you can see that from his 1st comment.
  2. He’ll call you brainwashed, lol see he doesn’t know anything about the topic but you’re the brainwashed one LoL
  3. He brags about his freedom, especially Freedom of information LoL, see he doesn’t know anything about the topic, he doesn’t bother to google it one bit, then he brags about his freedom of information LoL 😂 even freedom of information doesn’t help when you have a severe brain damage
  4. He thinks that calling someone brainwashed or wumao or CCP shill or whatever ethnic and religious slurs is a valid argument, thia type is pretty common like 50% of them dont even bother to argue they just call names lol 😂
  5. Try Debating them about american domestic issues then everything becomes fake news, CNN is fake news , MSNBC is fake news, fox news is also fake news, NYT, WSJ, ABC, BBC, daily mail every single domestic and foreign media all of them are fake news, LoL then try debating them about China, russia, islam and international stuff then all of those fake news media are now 100% valid news LoL 😂
  6. Suddenly talking about their genitals, this is quite common for american I don’t know why LoL
  7. And lastly severe outdated view of the world, this is perfectly describes by this question, most Americans think that china is dependent on their market, china cant innovate because china is not a democracy, and many other stuff that might be true in early 2000.

Garden war on China.
updated to 12/2022

————————-
1959
CIA to Tibetans
You wanna kill some Chinese ?
———————————-

anglophone led white assault on Hans since the days of ENA continues unabated…

—————————————–
Faq
[work in progess]

FUKUS covert/overt wars on China since 1949 with bio war fares highlighted.

[Skipping the Opium wars and ENA]

10 years civil war,

8 years fighting jp invaders.

1950
Korean war forced on a China ravaged by 10 years of civil/defensive war, bioweapons used on NK., Chinese civilians

1955,
CIA/TW attempt at Zhou Enlai’s life airliner with 200+ people onboard plunged into the sea bed of SCS.

1959
CIA/RAW Tibet riot,
CIA recruiter to Tibetans

You wanna kill some Chinese ?

1962
FUKUS instigated Indo./sino war,

1963
CIA funded anti Chinese riots in BaNdung, iNDON.

1965
[CIA/MI6] orchestrated INdon genocide on ethnic Chinese.

1989
CIA/MI6 TAM, destabilisation/demonisation.

1993,
Chinese freighter Yinhe hijacked by USN, pirates at hight sea.
https://www.nytimes.com/1993/09/06/world/no-chemical-arms-aboard-china-ship.html

1998
CIA/MI6 orchestrated Indon pogrom , mass rapes on ethnic Chinese.

1999
BOmbing of Chinese embassy in ex Yugo,

2001
Hainan USAss spy plane provocation, Chinese pilot killed.

2001
Pro China Nepalese king Birendra entire family wiped out in CIA/RAW engineered palace putsch, right after his return from Beijing

2003
SARS1,
ethnic bioweapon targeting Chinese

2003
Iraq invasion,
Chinese embassy sacked by ‘rioters’
for FOUR days, right under the nose of
gringo occupiers .
oil contracts with Saddam nullified.

2004
Mystery shrouds murdering of 11 Chinese workers in Afghanistan, conveniently blamed on ‘Taliban terrorists’.

 

2006
regime change in Kenya
Chinese investments derailed

2007
Four Chinese killed in Kazakhstan

2008
Tibet riots, [CIA/MI6./RAW]
sobs declared ‘tibet genocide’

2009
Xinjiang bloodbath, [CIA./MI6]
sobs screamed uighurs genocide.

2011
21 Killed Before Chinese Bus Burnt Down in Kyrgyzstan

2011
Markets Closed After Chinese Traders Killed In Bishkek kyrg

2011
Kyrgyzstan Chinese-Uigurs Suspected of Consul Killing –

2011
Chinese murdered in angola

2011
Chinese sailors slain in ‘gruesome’ Mekong River murders
9 Thai soldiers charged with killing Chinese sailors subsequently exonerated.

2011
Libya REGIme change,
Tens of thousands Chinese workers forced to evacuate, economic loss hundreds of billions.

2012
Gunmen shot dead 2 Chinese workers in northeast Nigeria, region under assault by radical sect – The Washington Post

2013
Mali terrorism
Russian/Chinese rail executives slaughtered by AQ affiliated terrorists, who left a bunch of juicy gringo unmolested.

2013
TTP aka CIA IN Pak hunted down a group of tourists and executed the Chinese among them, get this….to avenge their bro death at the hand of great satan drone wars !

2013
Bird flu H7N9 hit China, Asia pivot

2014
Sudan balkanised
Chinese operated oil fields jeopardised.

2014
Ebola as pretext to ratchet up militarisation of Aftrica, target China.

2014
Another terrorists attack killed dozens of Hans in Xinjiang, HK riots, Mh370 mass murder, bubonic plague, Ebola, Dengue,

2014
BUbonic plague hit Chinese border town Yumen, barely one year after FUS Bubonic Lab opened in Kazakhstan across the border.

2015
China Demands Answers After 8 Hong Kong Tourists slained in Philippine Bus Hijacking

2015
FUKUS orchested Congo riots against Chinese .

2015
Sri lanka regime change by FUKUSIndia
Chinese investments torpedoed.

2018
Washington, with the backing of New Delhi, sponsored the ousting of pro-Chinese President Abdulla Yameen
https://www.wsws.org/en/articles/2020/10/31/pomp-o31.html

2018
bird flu, H7N9 hit china

2019
CIA ISIS mercs slaughtered 4 Chinese marine engineers in Sri Lanka

2019
HK riots , Xinjiang demonisation, swine flu, army worms, attack on Chinese food chain.

2020
SARS2,[c19] H5N1, locusts, hit China,.

2020
Chinese ambassador to Israel bumped off..
right after Pompass visit to torpedo Chinese investments in Israel

2021
Full spectrum smear campaign over Xinjiang , HK, covid, yellow peril.

FUKUS prepping for
Four fronts attacks at Lakdah, TW Straits, SCS, ECS !

2021
sleepy wily joe turned EU against China, arm twisted LIthuan to switch camp.
SK, Israel forced to join in the UIghur inquisition.

2021
coup in African GUinea,
Chinese investments on infrastructure and minerals in peril.

2021
FUKUSA AKA AUKUS orchestrated another pogrom in Solomon;s China town.

2022
FUKUSA engineered pandemic, lockdown,
fuel and food crisis claimed first sovereign victim in Sri Lanka
anglophone blames it on ‘China debt trap’, WARsj crows ‘our buddy India’s chance to displace China’

2022
FUKUSA AKA AUKUS
TTP and Baloch mercs massacred dozens of Chinese in Pakistan.

2022
Full spectrum provocation in TW straits,
sobs wanna force a Ukraine on the Chinese.

2022
color rev in Mongol, right after the PM visit to Beijing.

2022
Terrorists attack on Chinese in Afghan,
IS [aka CIA] CLAimed credits.

12/2022

2 Chinese workers dead, 5 seriously injured in Jakarta/Banduny railway mishap
foul play cannot be dismissed.

Gwadar port fire: Pakistani oil reserves allegedly blown up by Baloch rebels;

work in progress
—————————————
Tip of an iceberg

 

Hardly exhaustive.

Posted by: denk | Dec 25 2022 14:49 utc

Without the United States, all the proxy nations will completely collapse

I’m still on the mend. It’s a long slow haul. Please enjoy today’s installment.

China is a highly underrated superpower because it hides every capability it had in lined with its Confucian humility and its Sun Tzu art of war.

The west needs to factor in between double to multiple times it’s capability and weapons availability and capability at the very least and prepare for a thousand surprises waiting for you.

I can confirm that if the U.S. is foolish in Taiwan, China will damaged the U.S. beyond recognition and redemption.

Crock Pot Spinach Stuffed Pasta Shells

“I love the spinach in these shells. It makes a good hearty meal.”

2022 12 22 11 17
2022 12 22 11 17

Ingredients

Directions

  • Cook jumbo shells according to package directions.
  • Drain and set aside.
  • Pour 1/2 of spaghetti sauce into crock pot.
  • In a medium bowl, combine spinach and remaining ingredients, mixing well.
  • Stuff each pasta shell with spinach mixture and layer in crock pot.
  • Pour remaining spaghetti sauce over shells.
  • Cook on LOW for 4 hours.

2022 12 22 11 18
2022 12 22 11 18

2022 12 22 11 20
2022 12 22 11 20

2022 12 22 11 19
2022 12 22 11 19

gedogfx 60880702 464215967658231 2966318047769031839 n
gedogfx 60880702 464215967658231 2966318047769031839 n

5 Important Features of an Excellent Family Dining Restaurant

By Las Vegas Steakhouse & Seafood Bar & Grill

It's a little lame, but some things need to be said. eh? -MM

As a parent, few situations can be more frustrating than going out to eat. You want to visit a restaurant and enjoy a peaceful meal with your family, but it can be difficult to do so if the kids aren’t into the menu options or the restaurant frowns upon rowdiness. Finding a restaurant specializing in family dining is key to enjoying your eating experience with the kids.

Although some establishments may feature a children’s menu, these are not necessarily family restaurants. Family dining establishments have specific features that set them apart from other restaurants.

Family Dining: What You Should Expect

Kid-Friendly Menu Options

It’s no secret that kids are picky eaters, and while you may be excited about a chef’s special risotto or sea bass, your child may not share the same enthusiasm. A family restaurant understands these distinctions and tries to meet the needs of parents and kids alike with a full children’s menu. Kid’s menus typically include finger foods and kid-approved options, such as chicken fingers, mac and cheese, and fresh fruits and vegetables.

Pricing Specials

A restaurant encouraging family dining will feature pricing specials meant to attract families. These specials vary but commonly include discounted or free meals for kids with the purchase of two adult meals, or a fixed price kid’s menu, including an entree, dessert, and beverage.

Varied Seating Options

One of the most difficult aspects of eating out as a family is figuring out the seating arrangements. If you have small children, you may need a high chair or space for your stroller. With older kids, you want your table situated in an area where your children won’t bother other guests. A family restaurant should accommodate all of your needs without a hassle.

Friendly Staff

Staff members who work in family dining should have a cheerful, pleasant demeanor. You can expect them to be friendly with children and understanding of spills or noisy children.

Welcoming Atmosphere

Overall, a family restaurant should have an inviting atmosphere. If you feel out of place or as though your family is inconveniencing the restaurant, it’s not the family dining experience you’re looking for.

Every BLACK cat I have met is black on the nose and the lips. Black as the night from nose to tail.

main qimg 1129111da76301e7073b67889bd94e58 lq
main qimg 1129111da76301e7073b67889bd94e58 lq

main qimg 1ffcadace1bc2c1d419872b541829cf3 lq
main qimg 1ffcadace1bc2c1d419872b541829cf3 lq

gedogfx 56862460 313916575901899 1655505920571870393 n
gedogfx 56862460 313916575901899 1655505920571870393 n

THE BEST FAMILY DINNER

In difficult times of the war we have mastered to perfection the art of survival, to feed the family with almost nothing. In practice, it looked something like this: make a pie from scratch, mayonnaise without eggs, patty without meat … It seemed impossible, but we succeeded, so there are many people who are in the tasting of these specialties have said that they are not much different from the original.

Perhaps it was really so, or it was just another comfort of the struggling, those who were forced to survive on its own way.

Recipes for pies, pastries, sandwiches, patties, you will not find in either the cook or the web sites of culinary advice. These were dishes often made of unknown ingredients, made of nothing, cooked on the stove handmade which initially igniting the wood, and later by a newspaper, at the end by books and wooden furniture.

A special recipe and a story which goes with it I wish to share with you.

I remember as it was yesterday. I got a pumpkin from the mother’s neighbor and kept it for a special dinner At that time pumpkin was great wealth for us. Women could make a real specialty of pumpkin with additions almost nothing, if they had a little imagination and skill.

Well I’ve decided that this a special dinner be just today, when the husband brought from work a package of humanitarian aid that is received instead of salary. There was anything special in the package. It was quite common foods that have been previously treated with all employees in his company: a little flour, a little oil, milk powder, … but also ONE EGG that brought him the colleague who lives in the countryside and has hens. At that time hen eggs were extremely valued because they were not. Only individuals in the country have had the privilege, and keep them for exchange. For one egg they could get min 2 kg of flour.

With a smile on my face, happy because we get humanitarian aid and we will not be hungry at least a few days, and in addition I have a pumpkin that will serve me to this dinner be festive, I exclaimed:

”Today we eat STEAKS!”

Everyone laughed and thought that I rave, and I took to clean the pumpkin. Secretly, that other inmates do not see what I was doing, I was preparing festive dinner.

First I cleaned the pumpkin cut up in very small pieces – planing by plane. After that I added egg, some domestic Vegeta (which I made from carrots and parsley from my mother’s garden, with the addition of corn flour and a pinch of salt), some flour and two bowls of finely sliced onions.  I’ve all composed to get a compact mass, and then I created tablespoon steak an fried them in a very small amount of oil. Oil was a luxury at the beginning of the war too, and later even greater because there was no way and we meals made on the water. All the houses smell of. The smell of steaks felt in our stairwell too, and I was almost ashamed that neighbors do not think that we eat meat, but they did not see it for months, let alone eat.

My family, husband and our two daughters, sat at the dining room table covered with colorful plastic oilcloth, just like a real happy family in American film. Thanksgiving Day, they would say. In front of them porcelain plates and meal service. I have joined them with a full dish and saying:

”STEAKS!”

Steaks smelled heavenly. While I put down a dish on the table I said:

”Come on, bring it.”

The older daughter was first consumed and she said:

”It really smells like meat! Where did you get it?!”

The husband looked puzzled, while the younger daughter greedily devoured steaks, and then he added with laughing:

”Are steaks of beef or of veal?”

”It’s really good, isn’t it? What do you say?”

I asked, delighted that I was able to cheer them at least in this way. They did not have to give me answers. Their faces with a smile talked me enough.

However, they could not continue to eat not to say:

”Good, good … ” – they confirmed and continued to empty a dish.

We laughed crazy, each of us with own piece of steak without meat in the mouth.

Everything was the same, taste, smell, color, only the meat was gone. It was the best family dinner ever.

–END–

Why does the American government hate Tictok?

Watch this movie…

CGTN Exclusive: UK’s secret betrayal, repatriation of Chinese sailors after WWII

After the British used the Chinese merchants in their war effort, they sailed them to the middle of the ocean, put them in long boats and sailed away. No one knows what happened to these hundreds of thousands of Chinese sailors. Did they make it to other countries, or did they perish?

Karma is going to come swift and hard.

But why isn’t this event well known in the West?

Ex-DCI Bill Casey’s quote was attributed online as reported only by Mae Brussell, and so, I bounced it off Barbara Honegger because I knew she worked for Mae B back in the day, and here’s what I got on the ACTUAL SOURCE (talk about luck! – I extracted actual email addresses):

———- Forwarded message ———-
From: Barbara Honegger
Date: Sun, Sep 21, 2014 at 11:19 PM
Subject: Re: Conference on THE WARREN REPORT AND THE
JFK ASSASSINATION : FIVE DECADES OF
SIGNIFICANT DISCLOSURES
To: Greg Smith
I told Mae about it when we worked together …
On Sun, Sep 21, 2014 at 10:32 PM, Greg Smith wrote:

Thanks Barbara! That’s priceless. The web attributes it to Mae B only, and therefore, it’s discounted in chat and group conversations on social media. You might want to give it better street cred? Your call!

On Sep 21, 2014, at 8:59 PM, Barbara Honegger wrote:

> Seriously — I personally was the Source
> for that William Casey quote. He said it
> at an early Feb. 1981 meeting in the
> Roosevelt Room in the West Wing of
> the White House which I attended, and
> I immediately told my close friend and
> political godmother Senior White House
> Correspondent Sarah McClendon, who
> then went public with it without naming
> the source …
> On Sat, Sep 20, 2014 at 2:49 PM, Greg Smith wrote:
>
> Love to, but can’t break away. I’ll definitely get the DVD for future very intense scrutiny! On that note, in the words of the infamous William J. Casey, “We’ll know our disinformation program is complete when everything the American public believes is false.”

> On Sep 17, 2014, at 1:25 AM, Barbara Honegger wrote:
>> I’m going to try to go to the historic conference.
>> Please try to as well…
>> Barbara
>> On Tue, Sep 16, 2014 at 8:34 PM, Jerry Policoff wrote:
>> Re: Conference on THE WARREN REPORT AND THE
>> JFK ASSASSINATION : FIVE DECADES OF
>> SIGNIFICANT DISCLOSURES

Everyone’s Just Trying to Make It in the World

 

When someone shares too-private information on Instagram in the search for likes. When someone does any kind of dance on TikTok.

When someone excitedly joins a multi-level marketing scheme. When someone swears they’re turning over a new leaf for the sixth time in as many years.

When someone acts like they’re the first person to discover the arguments for atheism. When someone falls down a conspiracy-theory rabbit hole.

When someone marries a red-flag-waving trainwreck. When someone breaks up with a partner who’s perfect for them.

When someone responds to a small setback with tears or rage.

At such times, it’s hard not to cringe, slap your forehead, and wonder, “Geez, why would they do that?!”

At such times, when your cynicism about humanity deepens and your desire to stay connected to loved ones slackens, there’s a mantra — worth regularly repeating — that will help you cultivate greater patience and empathy for others (and more compassion for your own history of cringeworthy moments too).

“Everyone’s just trying to make it in the world.”

Everyone’s born naked and ignorant and never given an entire guidebook to what lies ahead.

Everyone’s seeking to get their needs met without always knowing how to go about it.

Everyone’s yearning to be liked, recognized, and desired.

Everyone’s struggling to accept that no one will ever love them as much as they love themselves.

Everyone’s harboring some childhood insecurity.

Everyone’s worried they’re exactly as uncool as they imagine themselves to be while staring at the ceiling at night.

Everyone’s starving for significance and hungry for joy.

Everyone’s creating hedges against the fear that it’s all meaningless.

Everyone’s striving to make it count while protecting a heart that’s tenderer than they’ll ever let on.

Everyone’s looking for home.

Everyone’s in need of a little grace.

Everyone’s just trying to make it in the world.

gedogfx 56806698 281831056080673 7038925495222449286 n
gedogfx 56806698 281831056080673 7038925495222449286 n

Live Life Drunk

There is evidence for the production of alcohol which dates back more than 11,000 years.

Humans invented booze before they invented metal, agriculture, or the wheel.

This speaks to a fact that has been true from antiquity right up until the present: life is hard. So much so, that we have ever had a desperate need for something to take the edge off.

Rather than fleeing from this truth, denying it, then fulfilling it with guilt, we ought to wholeheartedly embrace it. We ought to, in fact, seek to live as much of life drunk as possible.

Not on alcohol, as incessant inebriation breeds numerous problems that need not be detailed.

But on the many other things in life that create a stimulating, emotion-heightening, inhibition-reducing, confidence-inspiring buzz, and yet leave us healthier, happier, and better able to shoulder the existential pressures of the age.

Intoxicating is the experience of an engrossing, laughter-filled conversation; of romantic love both new and well-seasoned; of music that is transportive and transcendent. Powerful is the high of awakening one’s body from its sedentary slumber and putting it through the heart-pounding, lung-filling paces. Enlivening is the feeling of having a purpose, of knowing exactly who you are and where you’re going.

Humans’ millennia-long history of seeking, tweaking, using, and abusing every mind-altering substance under the sun, tells us that the question is not whether people can deal with the oppressively heavy yet achingly empty weight of unmediated reality, but what they will choose for their sanity-preserving buffer; not whether you should get drunk, but what from.

So daily drink up from the truly good stuff; get thoroughly sotted on friendship and love, art and beauty, exercise and action; for he who is able to endure life’s most difficult burdens, is he who gets thoroughly intoxicated on its most dizzying joys.

15 Facts Which Prove That A Massive Economic Meltdown Is Already Happening Right Now

Economic conditions just keep getting worse.  As we prepare to enter 2023, we find ourselves in a high inflation environment at the same time that economic activity is really slowing down.  And just like we witnessed in 2008, employers are conducting mass layoffs as a horrifying housing crash sweeps across the nation.  Those that have been waiting for the U.S. economy to implode can stop waiting, because an economic implosion has officially arrived.  The following are 15 facts that prove that a massive economic meltdown is already happening right now…

#1 Existing home sales have now fallen for 10 consecutive months.

#2 Existing home sales are down 35.4 percent over the last 12 months.  That is the largest year over year decline in existing home sales since the collapse of Lehman Brothers.

#3 Homebuilder sentiment has now dropped for 12 consecutive months.

#4 Home construction costs have risen more than 30 percent since the beginning of 2022.

#5 The number of single-family housing unit permits has fallen for nine months in a row.

#6 The Empire State Manufacturing Index has plunged “to a reading of negative 11.2 in December”.  That figure was way, way below expectations.

#7 In November, we witnessed the largest decline in retail sales that we have seen all year long.

#8 Even the biggest names on Wall Street are starting to let workers go.  In fact, it is being reported that Goldman Sachs will soon lay off approximately 4,000 employees.

#9 The Federal Reserve is admitting that the number of actual jobs in the United States has been overstated by over a million.

#10 U.S. job cuts were 417 percent higher in November than they were during the same month a year ago.

#11 A recent Wall Street Journal survey found that approximately two-thirds of all Americans expect the economy to get even worse next year.

#12 A newly released Bloomberg survey has discovered that 70 percent of U.S. economists believe that a recession is coming in 2023.

#13 Inflation continues to spiral wildly out of control.  At this point, a head of lettuce now costs 11 dollars at one grocery store in California.

#14 Overall, vegetable prices in the United States are more than 80 percent higher than they were at this same time last year.

#15 Thanks to the rapidly rising cost of living, 63 percent of the U.S. population is now living paycheck to paycheck.

In a desperate attempt to get inflation under control, the Federal Reserve has been dramatically increasing interest rates.

Those interest rate hikes are what has caused the housing market to crash, but Fed officials insist that such short-term pain is necessary in order to tame inflation.

But meanwhile our politicians in Washington are busy creating more inflation by borrowing and spending money at a rate that is absolutely unprecedented in our entire history.

This week, an abominable 1.7 trillion dollar omnibus spending bill is being rammed through Congress, but not a single member of Congress has read it.

The bill is 4,155 pages long, and U.S. Senator Rand Paul just held a press briefing during which he wheeled it out on a trolley…

After the grossly bloated $1.7 trillion Omnibus spending bill advanced in the Senate by a vote of 70-25, GOP Senator Rand Paul held a press briefing during which he wheeled in the “abomination” on a trolley and demanded to know how anyone would be able to read it before the end of the week.

Paul, along with the only other dissenting Senate Republicans Mike Braun, Ron Johnson, Mike Lee, and Rick Scott highlighted how ludicrous the fast tracking of the bill has been.

Unfortunately, this absurd spending bill has broad support on both sides of the aisle, and that just shows how broken Washington has become.

Our system of government has failed time after time, and our politicians continue to spend money on some of the most ridiculous things imaginable.

The following examples that were pulled out of the 1.7 trillion dollar omnibus spending bill were discovered by the Heritage Foundation

-$1.2 million for “LGBTQIA+ Pride Centers”
-$1.2 million for “services for DACA recipients” (aka helping illegal aliens with taxpayer funds) at San Diego Community College.
-$477k for the Equity Institute in RI to indoctrinate teachers with “antiracism virtual labs.”
-$1 million for Zora’s House in Ohio, a “coworking and community space” for “women and gender-expansive people of color.”
-$3 million for the American LGBTQ+ Museum in New York City.
-$3.6 million for a Michelle Obama Trail in Georgia.
-$750k for the for “LGBT and Gender Non-Conforming housing” in Albany, New York.
-$856k for the “LGBT Center” in New York.

And have you noticed that our politicians often prefer to push these types of bills through just before major holidays when hardly anyone is paying attention?

No matter who we send to Washington, the story remains the same.

As long as our politicians are borrowing and spending trillions of dollars that we do not have, Fed officials won’t be able to win their war against inflation.

The Fed can send interest rates into the stratosphere, but inflation will continue to remain high because our politicians insist on showering the nation with giant mountains of cash.

We should all be deeply, deeply offended by what is happening, but most Americans simply do not know enough to care.

But once economic conditions get even worse than they were in 2008 and 2009, the majority of the U.S. population will become extremely angry.

Of course things could have turned out much differently if we had made better decisions during the years leading up to this crisis.

Unfortunately, we have run out of time to change course, and that means that a tremendous amount of pain is ahead for all of us.

0 1
0 1

Death Of Nuclear Deal With Iran Adds To Biden’s Failures In U.S. Foreign Policy

When the Biden administration came into office it had promised to reenter into the JCPOA nuclear deal with Iran. Under Trump the U.S. had left the deal and had reissued sanctions against Iran. Tehran followed up by increasing its enrichment capabilities and by accumulating more enriched Uranium.

It would have been easy for Biden to immediately eliminate the sanctions and to rejoin the deal. Iran would surely have followed up by returning to the enrichment levels the deal allows for.

But Biden bungled the issue. For months nothing happened. Then he send negotiators to Iran who demanded additional concessions by Iran while offering less sanction relief. Iran rejected that. It demanded that Biden guarantees that the U.S. would stick to the deal under future administrations. The negotiations were drawn out and made little progress.

The European Union, which is part of the JCPOA deal, finally wrote a compromise draft agreement which was submitted to the Iranian negotiators in Vienna. Iran made some small changes to the draft and send it back. The EU foreign affairs representative Josep Borrell publicly said that Iran’s changes were “reasonable” and that he hoped for a quick U.S. agreement to the draft. But the Biden administration, which worried about the midterm elections, called the Iranian changes “not constructive” and rejected the draft agreement.

Meanwhile old accusation were re-raised over alleged finds of radioactive substances at two places that had never been part of Iran’s civil nuclear  program. U.S. intelligence agrees that Iran never had a military nuclear program though it allegedly once studied how one could be set up. The IAEA demanded that Iran explains how the substances got there. Iran says it does not know. Further IAEA inspection demands were rejected and IAEA inspections of some elements of Iran’s enrichment facilities were limited.

The Biden administration had thought that, under sanction pressure, Iran would eventually succumb to its demands. That was a rather stupid miscalculation. The revolutionary Iran is not a country that succumbs to pressure.

Iran is still ready for a deal but Biden has given up:

Biden in newly surfaced video: Iran nuclear deal is “dead”

President Biden said on the sidelines of a Nov. 4 election rally that the 2015 nuclear deal with Iran is “dead,” but stressed the U.S. won’t formally announce it, according to a new video that surfaced on social media late Monday.Why it matters: It’s the strongest confirmation so far that the Biden administration believes there’s no path forward for the Iran deal, which leaves key questions about the future of Tehran’s nuclear program.

  • In late October, U.S. envoy for Iran Rob Malley said that the administration is not going to “waste time” on trying to revive the Iran nuclear deal at this time considering Tehran’s crackdown on protesters, Iranian support for Russia’s war in Ukraine, and Iran’s positions on its nuclear program.

Driving the news: Biden made the remark in a short conversation with a woman who attended an election rally in Oceanside, California.

  • The woman asked Biden to announce that the Joint Comprehensive Plan of Action (JCPOA), as the Iran deal is formally known, is dead.
  • Biden responded that he would not “for a lot of reasons.”
  • But then he added: “It is dead, but we are not gonna announce it. Long story.”
  • The woman replied that the Iranian regime doesn’t represent the people. “I know they don’t represent you. But they will have a nuclear weapon that they’ll represent,” he said.

What they’re saying: “The JCPOA is not our focus right now. It’s not on the agenda,” a White House National Security Council spokesperson told Axios.

  • “We don’t see a deal coming together anytime soon,” the spokesperson said, pointing to Iran’s crackdown on protesters and support for Russia in the war in Ukraine. “Our focus is on practical ways to confront them in these areas.”

The U.S. has supported the protests and arranged for the attacks on Iranian security personnel by armed ethnic Kurd and Baloch insurgents. It is the U.S. that had kept up the sanctions up. It is the U.S. that pushed the IAEA to investigate the old unfounded claims. Iran is free to sell and buy arms to and from whomever it wants.

If the U.S. really wants ‘practical ways to confront Iran’ over any of those issues it will have to fight against Iran. Without the JCPOA deal there will also be more pressure on Biden and whoever follows him to go to all out war against Iran. But Iran is well protected and its missiles can hurt a lot of U.S. installations and friends in the region. A war would likely end with huge damage to Iran and a U.S. retreat from the Middle East.

Iran will continue to increase its civil nuclear capabilities. But it is unlikely to start a military program to build nuclear weapons. Its religious leaders have decided that weapons of mass destruction are against their religious duties and beliefs.

President Obama had invested quite a lot to get the JCPOA done. One wonders what he thinks of Biden’s decision to not resurrect but to destroy his signature foreign policy achievement.

For other countries the U.S. behavior towards the nuclear deal demonstrates again that the U.S. is not-agreement-capable. That alone is already a huge failure for U.S. foreign policy.

Posted by b on December 20, 2022 at 17:47 UTC | Permalink

Breakfast Burritos

“These burritos are not just for breakfast, they are a great anytime snack, if you desire, these could be fully prepared, and stored in the fridge….just make sure to bake them 10-15 minutes longer, or they may be frozen, just thaw them before baking.”

2022 12 22 11 53
2022 12 22 11 53

Ingredients

Directions

  • Set oven to 350 degrees.
  • In a large skillet, fry hash browns according to package directions; remove and set aside.
  • In a large bowl beat eggs with milk; add chopped onion and green pepper, then season with salt and pepper.
  • Pour the mixture into the same skillet; cook over medium heat, stirring occasionally, until eggs are set; remove from heat.
  • Add the cooked hash browns and sausage; mix gently.
  • Place about 3/4 cup filling on each tortilla; top with 1/4 cup cheese.
  • Roll up, and place on a greased baking sheet.
  • Bake, uncovered for 15-20 minutes, or until heated through.
  • Serve with salsa if desired.

2022 12 22 11 54
2022 12 22 11 54

gedogfx 67388702 135249697719854 969832616039844046 n
gedogfx 67388702 135249697719854 969832616039844046 n

U.S. Fleet of B-2 Stealth Bombers, GROUNDED

.
Very curious. Only a few weeks after the announcement of the latest United States stealth bomber. Very interesting. What a coincidence! -MM

B 2 Crash WhitemanAFB Missouri large
B 2 Crash WhitemanAFB Missouri large

The entire fleet of about twenty B-2 “Spirit” stealth heavy bomber aircraft has been GROUNDED INDEFINITELY by the U.S. Air Force Global Strike Command, after a B-2 suffered an in-flight emergency, then had a “mishap” upon landing at Whiteman Air Force Base in Missouri, shown above.

Not only is the U.S. Air Force’s entire fleet of B-2 Spirits grounded, and the sole runway at their home base remains closed after one of the stealth bombers had a malfunction while flying and was forced to make an emergency landing Dec. 10.

The head of Global Strike Command, Gen. Timothy Ray, directed the “safety pause” to inspect the fleet after the incident, Master Sgt. Beth Del Vecchio of Bomb Wing Public Affairs told Defense One in an email.

“At this time, there is no speculated end date for the safety pause. Every incident is unique and we are currently evaluating what went wrong and how we can mitigate future risk. We will resume normal operations once a safety investigation has been concluded,” Del Vecchio said.

Whiteman Air Force Base in Missouri “manages and employs” the Air Force’s B-2s. Its runway has been closed since the emergency landing; Del Vecchio said teams are “working around the clock” to get it reopened.

A Friday press release from the 509th Bomb Wing alluded to the stand-down, announcing that B-1B Lancer bombers would take the place of B-2s in the Rose Bowl and Rose Bowl Parade flyovers.

“Our No. 1 concern is the safety and security of our personnel and fleet,” the 509th bomber wing’s commander, Col. Daniel Diehl, said in that release. “Although we are not participating in this flyover, we remain steadfast in our commitment to answer our nation’s call.”

The B-2 is the U.S. military’s only stealthy nuclear-capable heavy bomber. The Air Force also operates several dozen nuclear-capable B-52 heavy bombers.

.

USA: China, look man, your recent security law about Hong Kong makes me displeased. I think you owe me an explanation.

China: Umm …US yes. Of course. Of course. Talking about that, how’s BLM going? Read in the papers there’s a lot of rioting and violence going on.

USA: Yah, whatever man. We’d handle it by ourselves. Don’t want your interference. Can we get back to the Hong Kong issue?

China: Oh yes, about that. Read there are cases of violent sexual abuse and even murder in the USA military which are willfully ignored by the seniors. I mean … Lavena Johnson’s case. Quite disturbing, really, on a purely humanitarian basis. What are you doing to solve these critical issues?

USA: I thought we were talking about Hong Kong security bill here! Why do you keep veering off topic?!

China: What? About that. Oh yes. I know where you’re coming from. It’s totally un-democratic and brutal to not respect the desires of a region and ruthlessly impose federal authority over it, right?

USA: Absolutely! That’s my man! So I was saying, we need to sort out that issue. I and UK and Canada here will review your bill and make sure it’s completely transparent and democratic. We good?

China (smiling): Totally bro. Totally! So yes. Heard the northern states beat the shit out of southern states during your civil war and refused them the right of secession. But since it was brave you, it must be completely authentic and de-Mock-rat-ic, right?

USA (visibly agitated): Goddamnit! I swear I’m going to punch you in the teeth right now. It’s bloody you we have to probe, not me. Not any white country at all for that matter!

UK (with a snobbish smug): It’s not about individual achichewds, gentlemen. It’s about the principles and standawds.

China (chuckling): Of course. Of course. So, I was just wondering. Did you grant freedom to Ireland? Or is it still a land of ire?

UK (thumping the desk angrily): That, sir, is MY PHEHSONAL, INTERNAL ISSUE AND I’M AFWAID I DON’T NEED YOUR ADVOICE ON IT, MY GOOD MAN!!

China: Exactly. That’s what I was saying.

gedogfx 65794809 2317376511808570 1562471207962268701 n
gedogfx 65794809 2317376511808570 1562471207962268701 n

Russia Moving Trainloads into Belarus: S-400, Iskander Missiles, 70+ Tanks

2022 12 22 10 54
2022 12 22 10 54

After yesterday’s meeting between Russian President Vladimir Putin and Belarus President Alexander Lukashenko, a first in more than 3.5 years, there are noticeable developments.  No surprise given that Putin was accompanied by Defense Minister Shoigu, Foreign Minister Lavrov  and Kremlin Press Spokesman Dmitry Peskov.

Attendees from world media outlets took notice at exactly who was in the Russian entourage; the three top men from the Russian government and a rare foreign trip by the top Kremlin spokesman as well.   There hasn’t been this level of high-ranking Russian officials to any single foreign meeting since . . . the Cold War.

Today, videos began emerging of trains carrying heavy armor into Belarus from Russia.

To sum this up, within the last 48 hours, Russia has sent AT LEAST 73 additional tanks by trains into Belarus, plus at least 20 Infantry Fighting Vehicles moving southward in Belarus toward Ukraine, and added S-400 air defense missiles and Iskander hypersonic missiles in Belarus near Ukraine.

Retired American colonel: Russia is stronger than all NATO countries combined
US Retired Colonel James W. McConnell is confident that Russia’s military tactics in Ukraine is “just what the doctor ordered.”

 

"The Russians have begun to destroy the Ukrainian lines of communications — the power grid, bridges, roads and railroads — without which Ukraine's forces can't be resupplied. 

Once the destruction of the lines of communication is completed, Russia's army, particularly its extensive artillery, will present Ukrainian forces with the unpleasant reality that they are vastly outgunned and outnumbered," 

James W. McConnell, former member of the New Hampshire House of Representatives wrote in an article published on the website of the Ron Paul Institute for Peace and Prosperity.

The degree of “unpleasant reality” will depend on how far Russia wants to move west, he believes. The most likely option is that the Russians will want to take at least Nikolaev and Odessa regions in addition to the four regions that Russia) has already taken (Luhansk, Donetsk, Zaporozhye and Kherson regions).

When Moscow achieves these goals, NATO will find itself in a difficult situation, in which the alliance will have to either recognize the victory of Russia, or engage in battle with the Russians, the retired colonel wrote in the article.

The North Atlantic Alliance, despite its undoubted military power, has very little chance of winning the war with Russia.

In support of his opinion — quite unexpected for a career US Air Force officer — McConnell admits:

Russia is the world leader in terms of air defense technology.

Russia’s S-400 air defense system is considered to be the world’s best system of this class. Turkey, a NATO member, has such systems at its disposal, but Russia has already modernized them to S-500. Moreover, S-500 systems have already been deployed at critical facilities in Russia.

Russia is five years ahead of the US in the field of hypersonic missile technology.

The United States is defenseless against Russian missiles that travel at hypersonic speeds and are capable of maneuvring during the flight path. The US is still at the stage of hypersonic tests, whereas Russia has already fielded four different hypersonic missiles from its existing families of missile systems — Kinzhal, Kalibr, Iskander and Zircon, let alone the Avangard hypersonic glide vehicle.

"Should NATO enter a war with Russia, the US Navy's carrier task force in the Ionian Sea is an obvious Russian target. How can it successfully defend itself against Russia's simultaneous and probably massive hypersonic and conventional missile attack?" McConnell wonders.

"Should the Russians sink a carrier task force, Taiwan would, for example, have to rethink any illusions it has about the US coming to its aid in a conflict with China and become far more amenable to a soft conquest similar to the Chinese takeover of Hong Kong," he continues.

Pentagon spends too much

McConnell admits that building new aircraft carriers is impossible as “building capable and survivable modern warships is a complex and time consuming process.”

Strangely enough, it is 850 army bases that the USA maintains around the world that have crippled America’s combat capability:

“Boots on the ground give the US influence of a sort the Navy can’t match and are essential to those who see the US as the world’s policeman. Inexcusably, the cost of these bases and the extended (and counterproductive) efforts in Afghanistan, Iraq, Syria and now Ukraine, have come at the expense of the Navy and America’s defense,” James W. McConnell wrote.

Based on the above, he concluded:

"For the last twenty years, the Russians and the Chinese have sought to strengthen their armed forces while America's leadership has, on a bipartisan basis, been obsessed with the Middle East. As a result, a persuasive argument suggests the US is no longer unbeatable."

Hal Turner Editorial Opinion

Given the remarks of the Colonel above, and the facts reported in the story above that, whatever is coming, is not going to be small.

Will NATO burst-forth and get involved?  Who knows?

Will POLAND go off on its own and enter Ukraine on its own?   Unknown.

Whatever happens, it appears it will begin quite soon.

All the latest details on tonight’s Hal Turner Radio Show starting at 9:00 PM eastern US time tonight.  Click the LISTEN ONLINE links in the menu bar above to tune-in free.

gedogfx 64908921 2334509686638994 5387148715318209532 n
gedogfx 64908921 2334509686638994 5387148715318209532 n

Stop Living Life “Paycheck to Paycheck”

In the realm of personal finance, living “paycheck to paycheck” means that you’re able to just cover your expenses with the money you have coming in, but never save or invest beyond that.

It’s an unfortunate positon to be in financially, and it also describes well the way in which many people live life in general.

When you metaphorically live life “paycheck to paycheck,” you do just enough each week to maintain your current existence. You take care of the urgent and outstanding tasks the neglect of which would disrupt the status quo, but you never take action on things that would improve and progress your situation. You thus remain stuck wherever you are.

Changing this pattern — tackling the proverbial project of “getting one’s life together” — can seem overwhelming. But it needn’t be. All it takes is completing one single to-do — one single task that moves your life forward, even slightly — each week.

Make the doctor’s appointment; choose a workout program; turn off notifications on your phone; send the job inquiry email; order underwear; text the invitation; find a recipe; take the pants to a tailor; replace the lightbulb.

Accomplishing just one task a week may not seem like much, until you realize that at the end of the year, you’ll have moved your life forward in 52 ways. That’s far better than living “paycheck to paycheck”; not only will these small-but-consistent steps put a little something away for you in “savings,” their accumulation will also garner interest, reaping dividends as the weeks become years, and the years become decades.

Russia Takes New Steps To Secures Its Western Border

With NATO expanding and increasing its bellicosity Russia needed to react. One move is the integration Belarus into its defense sphere. The other is an increase of its military force to cover new threats towards its northwest.

Belarus seems to be a small country when compared to Russia.

belru1 s
belru1 s

But its actually of pretty decent sized country, with roughly 500 kilometer (300 miles) diameter from border to border. It has well established heavy industries and some interesting commodities like potash. Its population of 9.5 million people is highly educated. For Russia it is an important buffer state and the supply route to its enclave around Kaliningrad.

 

belru2 s
belru2 s

 

In June of 2020 we saw the first signs of a U.S. engineered ‘color revolution’ in Belarus. At the beginning of August the protests took off. But just two weeks later the attempt to overthrow the long term leader of Belarus, Alexander Lukashenko, was ended. Russia had come to the rescue of the Belorussian government after it had agreed to finally implement the Union State:

In 1999 Russia and Belarus signed a treaty to form a Union State out of Russia and Belarus. It would include free movement, a common defense and economic integration as well as a union parliament. But since then Lukashenko has dragged his feet on the issue. At the end of the last year Putin pressed him again to finally execute the deal. When Lukashenko rejected that Putin shut off the country’s economic lifeline from Russia. Belarus did no longer receive subsidized Russian oil that it could refine and sell at market prices to the ‘west’. Lukashenko then tried to make nice with the ‘west’.  He bought U.S. fracking oil. U.S. Secretary of State Mike Pompeo came to Minsk. In March the U.S. reopened its embassy in Belarus.

But now the ‘west’ which Lukashenko had tried to coddle with is trying to get him killed. Every U.S. embassy is also a U.S. regime change base. He would have been better off without one.

As he was the target of an ongoing U.S. led regime change operation, and with economic pressure in direct sight, Lukashenko obviously needed help. Today he finally wised up and capitulated to Moscow on the Union State issue.

It did not take long for Putin to respond. Some 6 hours after the above Reuters report the Kremlin published a note about a Telephone conversation with President of Belarus Alexander Lukashenko (emphasis added):

Vladimir Putin had a telephone conversation with President of the Republic of Belarus Alexander Lukashenko at the initiative of the Belarusian side.Alexander Lukashenko informed Vladimir Putin about the developments following the presidential election in Belarus. Both sides expressed confidence that all existing problems will be settled soon. The main thing is to prevent destructive forces from using these problems to cause damage to mutually beneficial relations of the two countries within the Union State.

In connection with the return to Russia of 32 people who were previously detained in Belarus, a positive assessment was given to close cooperation of the relevant agencies in this regard.

They also agreed on further regular contacts at various levels, and reaffirmed their commitment to strengthening allied relations, which fully meets the core interests of the fraternal nations of Russia and Belarus.

It seems to me that Putin accepted the deal. Lukashenko, and his police, will not hang from a pole. Russia will take care of the problem and the Union State will finally be established.

That does not mean that the color revolution attempt is over. The U.S. and its lackey Poland will not just pack up and leave. But with the full backing from Russia assured,  Lukashenko can take the necessary steps to end the riots.

Since then there have been several meetings between Lukashenko and Putin and important parts of Union State agreement have been implemented. Two days ago the latest summit took place in Minsk. In a press conference both leaders empathized their economic cooperation but also mentioned defense issues. With the war in Ukraine ongoing and potential NATO involvement these have become increasingly important.

Belarus has received a number of high end weapon systems and, as Putin announced, will also soon be able to use Russian nukes:

I would like to remind you that, as part of the consistent implementation of the Russia-Belarus military doctrine, we work on joint military planning and have an operational Russia-Belarus regional force grouping. Our countries’ divisions and military units currently undergo coordination training in Belarus. We have created a joint air defence system that is already on combat duty. We have agreed to continue taking all necessary measures to ensure the security of our countries, prioritising training of the troops, improving their combat readiness and continuing the practice of regular joint exercise and other operational and combat training events, mutual supplies of essential weapons and producing new military equipment together.I believe it is also possible to continue implementing President Lukashenko’s proposal on training the Belarusian Army combat aircraft crews that have been re-equipped for potential use of air-launched ammunition with special warheads. I want to stress that this form of cooperation is not our invention. For example, the United States have conducted similar activities with their NATO allies for decades. These coordinated measures are extremely important in view of the tensions at the external borders of the Union State.

Lukashenko was a bit more specific:

A special thank you, and not just on my behalf or on the part of the military, for fulfilling your promise. Today, an S-400 complex you transferred to Belarus was put on combat duty. Even more importantly, we received an Iskander complex you promised us six months ago.You have just raised a very sensitive issue, approaching it with great caution. However, you were right to note that we were not the ones who started it. I am talking about training our air force crews in handling special weapons and special warheads. I must tell you that we have prepared our aircraft. It turns out we have had these planes since the Soviet era. We tested them in the Russian Federation and are now working with the Russians to train our crews to pilot planes carrying special warheads. By doing so we are not threatening anyone. I have informed you on several occasions, including during our meetings in St Petersburg, Moscow and in Sochi, that we have major concerns regarding what you call tension along the borders of the Union State, primarily in the West. We felt the need to ensure the security of the Belarusian state. You have made a resolute and very important step to support Belarus. Once again, thank you very much.

Belarus is now protected by a first class long range air defense system, the S-400. It has medium range precision strike capabilities due to Iskander missiles. The air and ground forces of the two militaries are now under a common command. Belorussian planes will soon be able to use nuclear armed missiles and cruise missiles against NATO ground targets.

The Belorussian military is quite small. Its army has only 40,000 soldiers of which less then half are potential frontline units. This is one reason why Belarus is unlikely to join the war in Ukraine. It has long borders with NATO countries that need protection and, without activating reservists, the number of troops it has are barely enough for that purpose. But an Iskander missile fired from Belarus can cover all of Poland which is now NATO’s main concentration area for an eventual escalation.

With Russia now backing it Belarus can feel secure. To Russia the Union State means that it has secured an additional 500 kilometer of buffer zone between NATO borders and Moscow.

The move will be welcome in Russia but it does not solve all of Russia’s NATO problems. The entering of Sweden and Finland into the U.S. proxy organization has created new ones. It is the reason why the Russian Minister of Defense today announced a significant expansion of the Russian military:

During a Russian Defense Ministry meeting on Wednesday, Shoigu proposed a number of measures to strengthen the security of the Russian Federation, including creating a special grouping of troops on the country’s northwestern border and expanding Russia’s armed forces to amount to 1.5 million servicemen in total, with some 695,000 of them being contract soldiers.Shoigu’s comments come as Helsinki and Stockholm have submitted bids to join NATO, citing a perceived threat from Russia in light of its ongoing military operation in Ukraine.

Shoigu went on to suggest creating a number of new military groupings, including five new artillery divisions, eight bomber aviation regiments, and one fighter regiment, as well as six army aviation brigades.

Previously the Russian military had about 1 million soldiers. Altogether this is a very significant increase with a lot of punching power. Such a growth of manpower and the acquiring the necessary equipment will take at least five years.

I seriously doubt that NATO will be able to match it.

 

Posted by b on December 21, 2022 at 17:25 UTC | Permalink

gedogfx 61902183 2413723755531329 4294267654669365675 n
gedogfx 61902183 2413723755531329 4294267654669365675 n

The U.S. Has Spawned An Entire Generation Of “Kidults” That Simply Refuse To Grow Up

.

Do you know any adults that still live at home with their parents?  If you are like most Americans, you probably know lots of them.  Sadly, that is because the percentage of young adults that are living with at least one parent has been trending upwards for decades.  Of course the cost of housing is one factor that is driving this phenomenon.  At one point in 2022, housing was more unaffordable than it had ever been in the entire history of our country.  So the truth is that many of the multi-generational households that exist today have formed due to economic necessity.  But in other cases, “kidults” that simply refuse to grow up have moved back home with Mom and Dad because it is easier than trying to live independently.

Thanks to the “kidult” trend, approximately half of all U.S. adults in the 18 to 29-year-old age bracket are currently living with at least one parent…

In July 2022, half of adults ages 18 to 29 were living with one or both of their parents. This was down from a recent peak of 52% in June 2020 but still significantly higher than the share who were living with their parents in 2010 (44% on average that year) or 2000 (38% on average).

So what do parents think about all of this?

Well, some like it, but even more don’t like it

The share of adult children who live with their parents has ticked up in recent years. This just in: The parents don’t like it.

recent Pew survey found two-fifths of dads believe parents hosting adult children is bad for society, while only 12 percent think it’s a good thing. Moms agree, albeit to a lesser degree.

Overall, the Pew survey discovered that Americans have very mixed feelings about this phenomenon…

Over a third of Americans (36%) say that more young adults living with their parents is bad for society, while 16% say it is good for society. Nearly half of Americans (47%) say it doesn’t make a difference.

Of course every story is different.

Some adults are living at home because they just cannot afford homes of their own.

These days, millions of young people graduate from college with massive amounts of debt, and when all of that debt forces them to go back to living with their parents they are referred to as “boomerang kids”.

If you are a young person that has been financially crippled by student loan debt, I certainly don’t blame you for trying to save money so that you can turn your life around.

Ultimately, trying to get out of debt is a really good thing.

But of course there are millions of other young adults that simply refuse to grow up.

In fact, they have become so numerous that the toy industry has created a special term for them.  They are called “kidults”, and these days they are spending billions of dollars on toys

There are two things keeping the toy industry afloat right now: inflation and a consumer group known as “kidults.”

These kids at heart are responsible for one-fourth of all toy sales annually, around $9 billion worth, and are the biggest driver of growth throughout the industry, according to data from the NPD Group.

Have you ever met an adult that has a special room for all of his Star Wars collectibles?

If so, then you probably have a really good idea of the type of person that I am talking about.

“Kidults” are shelling out so much money for toys that toy companies have actually begun to create “product lines just for these consumers”

Kidults, who tend to spend more on toys, have a great fondness for cartoons, superheroes and collectibles that remind them of their childhood. They buy merchandise such as action figures, Lego sets and dolls that might typically be considered “for kids.” However, in recent years, toy makers have created product lines just for these consumers, realizing that demand is high for this generation of adults who still want to have fun.

I am all for having fun.

But this is getting ridiculous.

Sadly, men are much more likely to be “kidults” than women are.

Needless to say, this is one of the reasons why many women find it so difficult to find someone suitable to marry.

The labor force participation rate for men has been trending down for decades, and meanwhile the labor force participation rate for women has been trending up for decades.

Of course the systematic emasculation of the male population is another reason why this has been happening, but that is a topic for another article.

Once upon a time, it was extremely unusual for an able-bodied male to be doing nothing if he was capable of working.

But now we have millions upon millions of men that have simply dropped out of the labor force completely.

Some of those men are now living with their parents, and that isn’t good for our society.

Unfortunately, as economic conditions deteriorate, even more young adults will move back home with Mom and Dad.  According to a Wall Street Journal poll that was just released, approximately two-thirds of Americans believe that “the nation’s economic trajectory is headed in the wrong direction”…

A majority of voters think the economy will be in worse shape in 2023 than it is now and roughly two-thirds say the nation’s economic trajectory is headed in the wrong direction, the latest Wall Street Journal poll shows.

The survey, conducted Dec. 3-7, suggests a recent burst of positive economic news—moderating gas prices and a slowing pace of inflation—haven’t altered the way many feel about the risk of a recession, something many economists have forecast as likely.

The coming year is definitely going to be quite rough, and the outlook for beyond that is even worse.

As the economy crumbles and global events spiral out of control, we are going to need men to be men.

But a lot of the “kidults” out there simply don’t want to be men, and that is extremely unfortunate.

Take a Homeric Bath

 

One of the things I love about the Iliad and the Odyssey is that I always notice new things when I re-read them.

During my last read-through, the importance of baths in both Homeric works stuck out to me.

In Homer, the bath serves as a ritual in several ways. In both the Iliad and the Odyssey, warriors returning from the battlefield take baths to wash the gore and grime of battle off themselves physically and spiritually. In this instance, the bath serves as a purification ritual.

We see this most dramatically in the Iliad after Odysseus and Diomedes kill the Thracian king Rhesus in a night operation. They return to the Greek camp, jump in the ocean to wash off their sweat, and then get into a brass bathtub for a soak. After lolling about in the tub, they anoint themselves with oil.

The bath also plays a vital role in the ancient Greek concept of hospitality, or xenia. Xenia required that Greeks accept anyone into their home who came a-knocking — including strangers. Before a host could even ask a guest his name or where he was from, he was to offer the stranger food, drink, and a bath. Only after the guest had supped and soaked could the host inquire about his identity.

We particularly see the bath of hospitality in the Odyssey. Odysseus’ son, Telemachus, gets a welcoming bath from Nestor, and Odysseus receives a pleasant and rejuvenating bath from the Phaeacians after he washes up on shore.

The bath is also a ritual of homecoming and transition. When a Homeric hero returns home, the first thing he does is take a bath. The bath becomes a ritual that allows the warrior or adventurer to transition from the public world of effort, danger, and striving to a private world of personal and domestic interests.

What’s interesting about these Homeric homecoming baths is that they’re often scenes where a hero’s true identity is revealed. The bath becomes a way for a man to take off both his literal helmet and his metaphorical mask and show his closest confidants who he really is. The bath allows a Homeric hero to let down his hair and be himself. It makes him vulnerable.

The vulnerability of the homecoming and transition bath didn’t turn out very well for Agamemnon. His wife murdered him while he was taking his return-home soak.

But a hospitality-turned-homecoming bath worked out great for Odysseus. When he returns to Ithaca, he does so in disguise and encounters his wet nurse, Eurycleia. Practicing good xenia, Eurycleia offers the travel-weary stranger a foot bath. While washing Odysseus’ feet, she notices the scar just above his knee that he got while boar hunting and recognizes the stranger for who he really is: her master Odysseus.

We see the bath as a ritual of homecoming and recognition again in the Odyssey after Odysseus slaughters the freeloading suitors in his palace. He takes a bath to clean the blood from his body, but also as a way to transition back into being the head of his household. Robert Fagles’ translation of the Odyssey describes Odysseus’ last bath as follows:

The great-hearted Odysseus was home again at last.
The maid Eurynome bathed him, rubbed him down with oil
and drew around him a royal cape and choice tunic too.
And Athena crowned the man with beauty, head to foot,
made him taller to all eyes, his build more massive,
yes, and down from his brow the great goddess
ran his curls like thick hyacinth clusters
full of blooms. As a master craftsman washes
gold over beaten silver—a man the god of fire
and Queen Athena trained in every fine technique—
and finishes off his latest effort, handsome work . . .
so she lavished splendor over his head and shoulders now.
He stepped from his bath, glistening like a god,
and back he went to the seat that he had left

Bathed and restored to his former glory, Odysseus has his famous reunion with Penelope, in which she recognizes her long-lost husband.

Taking a Homeric Bath as a Ritual of Homecoming and Transition in Your Own Life

We typically think of women taking baths to unwind. But as discussed above, the bath has been used by dudes for millennia to relax and rejuvenate. It’s time we reclaim it, particularly in its Homeric form.

While most of us aren’t out there running spears through people’s necks or slicing out livers like Homeric warriors, we could all use more rituals of transition.

During the workday, we’re elbowing our way through the hustle and bustle of public life. Work requires us to put on figurative armor to deal with the slings and arrows of demanding customers and co-workers and unforeseen mishaps and friction. While only some of us get physically dirty with our work, most of us probably feel a bit of psychological grime on ourselves after a stressful day at the office.

Even though we don’t want to bring that stress home to our families and friends, we often do, as we don’t make a meaningful transition between wearing one hat for our professional roles and donning another in our private ones. This is particularly true for those who work from home; when you go to the office, you at least have the commute as a kind of passage between work life and home life. For those who WFH, the former and latter completely bleed together.

A bath can serve as a pivot point between the two modes of being — a way to wash off the psychological gunk of acting and behaving for others so you can shift into your private self.

After you come home from the office, or after finishing your at-home work day, take a bath before you head into the rest of the evening.

If taking an end-of-the-workday bath is impractical because you’ve got a family who wants your attention as soon as you walk through the door, take your bath after the kids go to bed to mark the transition between the time you dedicate to others and the couple of hours you get to yourself.

If you don’t have time for a daily bath, take one on Friday nights to decompress from the previous days’ stress and mark the transition from the workweek to the weekend.

As far as what a 21st-century Homeric bath looks like, while you probably aren’t in a position to have a maidservant and goddess on hand to soap you up, you can still turn your bath into an intentional, relaxing ritual.

Fill a tub with hot water. Put in some Epsom salts, scented perhaps with lavender or eucalyptus. Light a candle. Decompress.

After soaking for 15 minutes or so, dry off with a nice towel and put on a cozy bathrobe. Anoint yourself with oil . . . or just some Gold Bond lotion.

Slip into some comfortable non-work clothing and go engage in the personal pursuits of your choosing. Hang out with friends. Spend time with family. Work on your hobbies. You’ve sloughed off the garbage of the workaday world and transitioned to private life.

Life’s hard. We got to find ways to decompress and relax. Exercise, meditation, and sleep should all play a role in that restorative process. But don’t forget the Homeric bath.

gedogfx 74938939 146920763346670 1620670830039430240 n
gedogfx 74938939 146920763346670 1620670830039430240 n

The USA is truly fucked up!

Impossible to un-fuck-up.

Braciola – Braciole (Italian Stuffed Beef Rolls)

“Wonderful cheese-stuffed beef rolls simmered in pasta sauce. A great Sunday afternoon meal. I serve with a side of pasta and fresh steamed veggies.”

2022 12 22 11 13
2022 12 22 11 13

Ingredients

Directions

  • Pound the steak very thin and cut into 4 pieces.
  • Place a slice of mozzarella on each piece, then top with parmesan, garlic powder, salt and pepper.
  • Roll each piece up tightly and secure with a string.
  • Heat the olive oil in a large nonstick skillet and brown the rolls evenly on each side.
  • Drop them in your favorite pasta sauce and allow them to simmer for 2 hours or until tender and cooked through. (The meat will add a wonderful flavor to your sauce!).
  • Remove the strings and serve.
  • (Alternative: Assemble and brown the beef rolls the night before and store them in the fridge. Then drop the rolls and the sauce in your crockpot and cook on low for 8 hours the next day).

2022 12 22 11 15
2022 12 22 11 15

.

Aftermath and beginnings in death-wish America

I am still recovering. It’s a long slow climb. But I have hope.

Please enjoy today’s post.

HK Protest-related detention: Transforming young offenders into decent citizens

Between June 2019 and September 2020, over 10,000 people were arrested over their involvement in protest-related crimes. The trials of those prosecuted, often students, have now concluded, and many have been convicted. Given the severity of their crimes, sentences of detention, of one sort or another, have often been unavoidable.

In 2020, the Hong Kong Association of the Heads of Secondary Schools, the largest grouping of secondary school principals, called for students arrested during the protests to be treated leniently. It said it was important for young people who had got into trouble to know that society had not given up on them. Even after conviction, they still had to be educated and cared for, and the Association told the government that leniency facilitates rehabilitation.

However, the sentencing of young offenders is entirely a matter for the courts, not the government, and they exercise their own independent discretion when it comes to penalties. If crimes are grave, the Judiciary may have no choice but to impose condign punishment, youth notwithstanding. As the Court of Appeal has explained, if young offenders are convicted of serious crimes, it means that “the courts must steel themselves, unless there are particularly powerful and peculiar contrary reasons attaching to the circumstances of the offender and his involvement in the offense, to the imposition of substantial prison terms” (CACC 97/2001).

Although the criminal justice system invariably tries to be as merciful as possible to young offenders, there is always a risk that undue leniency sends out the wrong message. If an offender has, for example, thrown petrol bombs at police officers, torched MTR stations or engaged in violent rioting, they cannot expect to get away with a rap across the knuckles. Although alternatives to imprisonment, like detention centers, rehabilitation centers and training centers, can sometimes be deployed, in many cases imprisonment will be necessary, although it may not be as grim as it sounds.

As the Hong Kong Bill of Rights Ordinance (Cap.383) indicates, young offenders “shall be segregated from adults and be accorded treatment appropriate to their age and legal status” (Art.6). This means they are kept away from the hardened criminals, and are provided with appropriate training. In other words, they do not face the full impact of incarceration, and as much emphasis as possible is placed upon rehabilitation, with skills being taught that will hopefully assist them in their future lives.

On Dec 7, the secretary for security, Chris Tang Ping-keung, told the Legislative Council that up to 1,315 people are currently incarcerated because of their involvement in the “black-clad violence cases” of 2019-20, with 345 of them being aged 21 years or under. When asked what was being done to help young persons in custody, he said the Correctional Services Department (CSD) was providing halfway education for young people.

Although, given security concerns, detainees could not conduct online searches directly, Tang said e-learning corners had been available since 2015, and this enables them to access materials for distance learning courses. The CSD was also planning to introduce an online learning platform for people in custody, and they would be given a tablet to access learning materials through its intranet.

He also indicated that the CSD was helping inmates to enter various public examinations, and that, through liaison with local educational institutions, it was seeking to help them to complete their interrupted studies.

As regards vocational training, Tang said the 13 courses currently available cover business practices, image design, beauty care, coffee shop operation and other industries, and these would be reviewed based on such things as the background of the detainee and the requirements of prospective employers. He assured legislators that the CSD would “continue to actively promote diversified and appropriate services in facilitating the rehabilitation of persons in custody, and their reintegration into society.”

By the end of October, moreover, Tang disclosed that 677 detainees, of whom 474 had been convicted of protest-related crimes, had participated in the Project PATH program, launched in November 2021, and designed to help “rebuild positive values” (this is achieved through the holistic development of young adults). He advised that the program was producing a “satisfactory outcome”, that participants found it useful and were remorseful for past misdeeds, and that none of them had reoffended after release, at least so far.

Earlier, in April, the Security Bureau had also briefed the Legislative Council’s finance committee about the CSD’s voluntary “deradicalization” program for those convicted of involvement in the protests. As of February, 250 people had, it said, participated in the program, which is designed to help inmates to “disengage from radical thoughts, and re-establish correct values”, through lessons about Chinese history, the Basic Law and the National Security Law for Hong Kong. The program, moreover, is allied to related initiatives to help detainees to enhance their sense of national identity and their appreciation of what it means to be law-abiding. Therapy is also available to help those with extreme anti-social and violent mindsets, and, during the sessions, demons will hopefully have been purged.

The CSD says a “core mission” is the successful reintegration of inmates into society, and, after release, the private sector, with job opportunities, then steps up to the plate, and the trends are encouraging. Whereas, between 2009 and 2018, the overall recidivism rate fell from 33 percent to 22.5 percent, the rate for young offenders aged below 21 more than halved, from 23.2 percent to 10.2 percent, and there is every reason to suppose that, once available, future statistics will be equally positive, if not more so.

Tang disagreed with suggestions that local employers are reluctant to offer opportunities to former inmates, and insisted there were numerous openings available. Whereas around 470 corporations had agreed to provide up to 800 job opportunities to released persons, three were also offering employment in the Guangdong-Hong Kong-Macao Greater Bay Area. He also pointed out that, apart from promoting diversified vocational training for those in custody, the CSD also monitored the employment status of people after their release with a view to constructive guidance.

What is clear, therefore, is that everything possible is being done by the CSD to rehabilitate young offenders detained because of protest-related crimes, and to assist them once released. It is going the extra mile to correct perverted attitudes, and to provide inmates with rational perspectives. Although there were initially concerns that extremists might try to radicalize them yet further, this has not been a significant problem, and, with the support of business, rehabilitative measures are bearing fruit.

It seems clear that most of those involved in the insurrection are genuinely trying to reform themselves, with many now wanting to help society. The CSD, with Tang’s encouragement, is clearly doing great work, but the community as a whole must also rally round. When sinners repent, it is in everybody’s interest, but they still need maximum support in carving out decent futures for themselves.

Most People Are Simply Not Ready For The Economic Chaos That Is Coming In 2023

.

Have you noticed that a lot of big companies have been conducting mass layoffs recently?  At this point, it has become exceedingly clear that a major economic slowdown has begun, and payrolls are being feverishly slashed at a rate that we haven’t seen in a long time.  In fact, the number of job cuts in November 2022 was 417 percent higher than it was in November 2021.  Unfortunately, what we have witnessed so far is just the beginning.  We are being warned that a couple million more Americans could lose their jobs in 2023, and the vast majority of the population is simply not prepared for such a scenario.  In fact, a new survey that was just released found that 63 percent of all Americans are currently living paycheck to paycheck

As of November, 63% of Americans were living paycheck to paycheck, according to a monthly LendingClub report — up from 60% the previous month and near the 64% historic high hit in March.

Even high-income earners are under pressure, LendingClub found. Of those earning more than six figures, 47% reported living paycheck to paycheck, a jump from the previous month’s 43%.

When you are just barely scraping by from month to month, a job loss can be absolutely debilitating.

Sadly, this year many large corporations aren’t even waiting until after the holidays to give the axe to thousands of highly dedicated employees…

There is arguably no good time for companies to lay off employees, of course, but as farewell-to-my-job posts continue to populate LinkedIn, many are wondering, why did they have to do this the week before Thanksgiving or right before Christmas?

Despite the job market’s overall strength, big names in tech including Meta Platforms Inc., Salesforce Inc. and Amazon.com Inc. have all laid off workers or announced plans to do so in recent months. Thousands of job cuts have also hit other industries, with Ford Motor Co., Walmart Inc. and PepsiCo Inc. all reducing their head counts, leaving many employees to wonder: Could I be next?

Are things really so bad that these giant firms couldn’t have waited a few weeks?

“Happy Thanksgiving! By the way, you’re fired.”

When I was growing up, big companies at least pretended to be compassionate.

But now corporate giants seem to feel free to let workers go the instant that their usefulness is over

Layoffs around the holidays are a relatively recent phenomenon. In the 1970s and 1980s, companies were more cognizant of the optics of putting people out of work during the most wonderful time of the year, says Andy Challenger, a senior vice president with Challenger, Gray & Christmas, a career services and executive-coaching firm.

That sentiment has passed, he says. “Today we tend to see companies making the cuts when they feel like they need to.”

Apparently the Washington Post will also be laying off quite a few workers in the days ahead.

When staff members learned that job cuts would soon be coming, they were not happy.

Needless to say, the Washington Post is far from alone.

In fact, several other very large media companies have also recently announced cutbacks

Of course, the news of the layoffs comes amid a horrible backdrop for the media industry at large. In recent weeks, CNN has laid off hundreds of staffers, Gannett has cut 200 staffers, NPR has said it needs to find $10 million in savings, and other organizations have implemented moves to slash costs.

Even though so many big names have been slashing workers, the government has continued to insist that everything is just fine.

And each month they have told us that the U.S. economy has continued to gain jobs.

But now the truth of what has really been going on is starting to become quite obvious.  In fact, even the Philly Fed is now publicly admitting that the number of jobs in this country has been overstated by the government by at least a million

Remember what we said in July when we first looked at the March-June divergence between the Household and Establishment survey: we said that “since March, the Establishment Survey shows a gain of 1.124 million jobs while the Household Survey shows an employment loss of 347K!” Said otherwise, we found that payrolls “calculated” by the Establishment Survey were overestimated by 1.5 million. Shockingly, the Philly Fed seems to agree, and reports that instead of the roughly 1.1 million jobs reported by the BLS, only 10,500 new jobs were added!

As the tsunami of layoffs continues to accelerate, it is probably just a matter of time before the U.S. economy starts losing large numbers of jobs every month.

That is exactly what several of the largest financial institutions on Wall Street are now projecting, and we continue to get more evidence that economic conditions are really starting to slow down.

On Thursday, we learned that U.S. retail sales actually declined during the month of November

U.S. retail spending and manufacturing weakened in November, signs of a slowing economy as the Federal Reserve continues its battle against high inflation.

November retail sales fell 0.6% from the prior month for the biggest decline this year, the Commerce Department said Thursday. Budget-conscious shoppers pulled back sharply on holiday-related purchases, home projects and autos. Manufacturing output declined 0.6%, the first drop since June, the Fed said in a separate report.

But apparently economic conditions are not yet bad enough for the officials at the Federal Reserve, because they just decided to raise interest rates even higher

The Federal Reserve on Wednesday raised its benchmark interest rate to the highest level in 15 years, indicating the fight against inflation is not over despite some promising signs lately.

Keeping with expectations, the rate-setting Federal Open Market Committee voted to boost the overnight borrowing rate half a percentage point, taking it to a targeted range between 4.25% and 4.5%. The increase broke a string of four straight three-quarter point hikes, the most aggressive policy moves since the early 1980s.

What the Federal Reserve is doing is absolutely suicidal.

They have just ensured that unemployment will go even higher, that our new housing crash will get even worse and that a very painful economic downturn is coming in 2023.

In the aftermath of the Fed’s decision, the Dow was down 764 points on Thursday…

Investors dumped stocks on Thursday as more headwinds emerge for the U.S. economy as the Federal Reserve stays the course in raising interest rates.

The Dow Jones Industrial Average lost 764 points, or 2.2%, as the broader markets faced the worst session since November.

The mood on Wall Street is quite glum right now.

The outlook for 2023 is not good, and the outlook for the years beyond 2023 is even worse.

Our leaders have been making incredibly bad decisions for decades, and now we are starting to pay the price.

There is going to be so much pain during the year ahead, and the vast majority of the population is not prepared for what is coming at all.

30 Minute Chicken and Dumplings

“This is a Rachel Ray recipe I had in my file for quite awhile, and had to try it recently to satisfy a craving. It turned out really well. I used made from scratch biscuit recipe as I couldn’t locate the jiffy mix in my area, I made about 6 biscuits. Also, I think the refrigerated biscuits would work as well. Otherwise I followed the recipe pretty much exactly.”

2022 12 20 15 46
2022 12 20 15 46

Ingredients

Directions

  • Dice tenders into bite size pieces and set aside.
  • Wash hands.
  • Place a large pot on stove over medium high heat.
  • Add oil, butter, vegetables and bay leaf and cook 5 minutes, stirring frequently.
  • Season mixture with salt, pepper and poultry seasoning.
  • Add flour to the pan and cook 2 minutes.
  • Stir broth or stock to the pot and bring to a boil.
  • Add chicken to the broth and stir.
  • Place biscuit mix in a bowl.
  • Combine with 1/2 cup warm water and parsley.
  • Drop tablespoonfuls of prepared mix into the pot, spacing dumplings evenly.
  • Cover pot tightly and reduce heat to medium low.
  • Steam dumplings 8 to 10 minutes.
  • Remove cover and stir chicken and dumplings to thicken sauce a bit.
  • Stir peas into the pan, remove chicken and dumplings from heat and serve in shallow bowls.

2022 12 20 15 48
2022 12 20 15 48

Why is Patient Zero a state secret

Godfree Roberts 20DEC22

“The results of that study showed beyond a reasonable doubt that this virus had been spreading in America by at least November 2019. Lockdowns marketed to “slow” or “stop” the “spread” of this virus could not have achieved this objective”. Bill Rice.

Covid-19 came from Italy in 2019, spread to France, to both US coasts, then to China at the International Military Games, a spreader event in Wuhan.

We won’t know the whole story until we locate Patient Zero and therein lies a problem: after WHO inspectors dated China’s earliest case to September, 2019, Western nations reneged on their promised inspections and shaped our attention on wunderwaffen vaccines. The media, having pinned Covid’s tail on the Chinese donkey, cooperated enthusiastically and there, as far as the general public is concerned, the matter rests.

Revenge of the Geeks

Happily, researchers in government labs dodged the censors and published their findings in medical journals. A CDC team checked the seropositivity of Red Cross blood samples from November, 2019 and concluded, “SARS-CoV-2 may have been introduced into the United States prior to 19 January 2020”. Their figures suggest that millions of Americans were infected in late 2019, but the media evinced zero interest in the findings and their implications.

An NIH team certified Lovell ‘Cookie’ Brown as the first person to die of Covid – in Kansas, on January 9, 2020 – two days before China’s first fatality. Media ignored the story, despite the fact that death doubling times (44-50 days) show Covid established in the US in 2019. The mysterious respiratory deaths of that summer supports the NIH’s date.

index
index

Over in Italy..

Italian researchers found similar patterns. Initially skeptical, they verified their results with a Netherlands reference lab before concluding, “This study shows an unexpected, very early circulation of SARS-CoV-2 among asymptomatic individuals in Italy several months before the first patient was identified, and clarifies the onset and spread of the coronavirus disease 2019 (COVID-19) pandemic”.

National labs found similar patterns in Brazil, Spain, and France: “Analyzing Covid genomes using the k-mer natural vector method, we conclude that the virus likely already existed in France, India, Netherlands, England, and the US before the Wuhan outbreak”.

So why is Patient Zero a state secret? Clearly, the fat lady has yet to sing the final Covid aria.

From this article by Mike Whitney

A section of the 2022 National Defense Strategy :

“These documents, which were not seriously discussed in the US media, make clear the fundamental falsehood that the massive US military buildup this year is a response to “Russian agression.”

In reality, in the thinking of the White House and Pentagon war planners, ***the massive increase in military spending and plans for war with China are created by “dramatic changes in geopolitics, technology, economics, and our environment.”

These documents make clear that the United States sees the economic rise of China as an existential threat, to be responded to with the threat of military force.

The United States sees the subjugation of Russia as a critical stepping stone toward the conflict with China.

From the article by Andre Damon (“Pentagon national strategy targets China” published on Oct 28, 2022 on the World Socialist Web Site/WSWS.ORG) ) quoted in this article by Mike Whitney :

The US military published three strategic documents Thursday (Oct 27, 2022) outlining plans for conflict with China and Russia and declaring that NUCLEAR WEAPONS FORM THE “BEDROCK” OF US MILITARY STRATEGY.

The publication of the NATIONAL DEFENSE STRATEGY, the NUCLEAR POSTURE REVIEW (NPR) and the MISSILE DEFENSE REVIEW comes less than two weeks after the Biden administration published its National Security Strategy, which pledges that the United States will “win” in conflict with Russia and China in what it called a “decisive decade.”

The documents double down on the fundamental assertions of the Trump administration’s 2018 National Defense Strategy, which declared that “INTER-STATE STRATEGIC COMPETITION, not terrorism, is now the primary concern in US National security.” Introducing the National Defense Strategy, Defense Secretary Lloyd Austin called China America’s “PACING CHALLENGE” while Russia was an “IMMEDIATE AND SHARP THREAT.”

Austin said China remains the one adversary “both with the INTENT to reshape the international order and increasingly the POWER to do so.

The National Defense Strategy calls China the “most comprehensive and serious challenge to US National security” and the Nuclear Posture Review (NPR) asserts that “by the 2030s, the United States will, for the first time in its history, face two major nuclear powers as strategic competitors and potential adversaries.”

Commenting on the SIGNIFICANCE of the documents, the Atlantic Council made clear that the documents’ references to “conflict” should be understood as references to “kinetic conflict”-i.e., SHOOTING WAR.

*** CONCLUSION : ***

Diplomacy is always needed of course but SI VIS PACEM, PARA BELLUM (TINA)

HERE

Ol’ Jack

2022 12 20 17 02e
2022 12 20 17 02e

Otter

My kitty Otter was a dog tamer. My daughter had dripped off a husky mix named Jackson at the house who would have been otherwise euthanized. This happened while I was on a trip. This dog had supposedly killed a cat before (don’t know if that was true) and my cats were on the refrigerator.

Well I let him know the kitties outranked him and gradually Otter would challenge him by walking by. They never were best buds but they tolerated each other.

Fast forward a couple of years. Jackson, who was fairly old when he entered our lives got cancer. His appetite left him. So Otter, who had absolutely NO interest in his food would go over and pretend she wanted to eat it! He would trot right over, get territorial and eat his dinner. It was so sweet. He died a few month later. They were more like buddies at the end. Otter lived until she was 18. She was the best cat I ever had. Owned her from the day she was born. She was always courageous and had a beautiful cat heart.

main qimg fedb9668563e71970ee7b0b3908984e8 lq
main qimg fedb9668563e71970ee7b0b3908984e8 lq

Jack Back

2022 12 20 17 02
2022 12 20 17 02

How Extreme Has The Dumbing Down Of America Become? You Might Want To Brace Yourself For This One

.

Everyone knows that the quality of education in our American public schools is declining.  We continue to fall behind the rest of the world, and this is particularly true in science and in math.  Personally, I am a product of the public schools.  I attended public schools all the way through high school, and I earned three degrees at public universities.  And I have to admit that the quality of the education that I received was terrible.  If I had not spent a great deal of time and effort educating myself, I would not be able to do what I do today.  Sadly, things have gotten even worse in recent decades.  Today, a large proportion of our young people are not even equipped to function on a very basic level in our society once they graduate from high school, and that has huge implications for the future of our country.

When I was growing up, kids would start learning algebra before they even got to high school.

But these days many of our college students can’t even handle algebra.  In fact, the Kansas Board of Regents is actually considering dropping algebra as a requirement at the state’s six public universities because so many students are failing the basic algebra course…

The Kansas Board of Regents is considering stripping specific university math requirements after it was found that a significant percentage of college freshmen fail algebra, NPR affiliate KCUR reported.

The Regents, who oversee the system’s six public universities, are considering implementing the Math Pathways approach which matches students to a math course based on their major instead of mandating algebra for all incoming students. While many universities require that all freshmen pass algebra as a prerequisite for graduation, one in three Kansas students reportedly fail the course, which could delay a student’s graduation.

Are they serious?

Apparently they are.  One academic official in Kansas insists that for a majority of the students in the system algebra is simply “not relevant for their fields”

“We’re sending the majority of students down the college algebra road, which is really not necessary,” said Daniel Archer, vice president of academic affairs for the Kansas Board of Regents. “It’s not practical. It’s not really needed. And it’s not relevant for their fields.”

I have an idea.

Instead of having our college students deal with hard stuff like quadratic equations, perhaps we can just design a course where they just watch videos of Count von Count from Sesame Street count things.

Or will that be too difficult for them?

If we aren’t teaching our high school kids the basic math skills that they will need once they get to college, what are they actually learning?

Well, one thing they are learning is how to use all of the new pronouns correctly.  This is very important for life after high school, because using the wrong pronoun at the wrong time can get you into all sorts of trouble these days.

For example, just look at what happened to one middle school teacher in Ohio

A middle school teacher in Ohio alleges in a lawsuit against her former employer that she was forced to resign after refusing to refer to students by their preferred pronouns.

The lawsuit, filed in federal court on behalf of former Jackson Memorial Middle School teacher Vivian Geraghty by the Alliance Defending Freedom, states that her school district required teachers to participate in the “social transition” of students in her class who have “‘transitioned’ to a gender that was inconsistent with their sex.” Jackson Memorial Middle School is in Massillon, Ohio.

Geraghty, however, refused to participate in the policy because of her Christian religious beliefs. The lawsuit alleges that the school “ejected her” within two hours of Geraghty telling principal Kacy Carter about her reservations to the policy.

In the years ahead, we will have lots of young people that don’t understand math and science, but at least they will be well versed in the intricacies of modern pronoun usage.

Needless to say, many of them will have to be supported by the government because they won’t be of much use to society.

In fact, the U.S. already “redistributes more to the bottom 50% than Sweden or Norway”.

 

At this point, we truly do live in an “idiocracy”, and every single day we see even more signs of this.

Earlier today, I came across a story about someone that decided to shoot a KFC employee because “the restaurant was out of corn”

Police in St. Louis are investigating after a KFC employee said a customer shot him because he was angry the restaurant was out of corn.

According to a St. Louis Metropolitan Police Department incident report, the shooting took place just after 6 p.m. Monday at the fast food chain in the city’s Central West End.

You would have to be really stupid to do something like that.

If they are out of corn, just ask for an extra biscuit.

Don’t go to jail for the rest of your life just because you are feeling a little frustrated.

Sadly, today we have vast hordes of young people that cannot handle their emotions, and that is because they have never been equipped to handle life.

But if they can’t even handle current conditions, how will they respond when things get really rough?

Please don’t get me wrong.  I am certainly not lumping all of our young people into a single category.

There are some young adults that are doing more than fine.

But in general, we have a real mess on our hands, and our system of education just continues to deteriorate with each passing year.

If you support Taiwan’s independence, China won’t let you into the mainland, Hong Kong, or Macau

China says it will make people who support Taiwan independence criminally liable for life, a spokeswoman for China’s Taiwan Affairs Office has said.

Key points:

  • China says it will enforce punishment on those on its list of people who are ‘pro-Taiwan independence’
  • People on the list will also be forbidden from benefiting monetarily from the mainland
  • China considers Taiwan a wayward province to be reunified with the mainland

This is the first time that China has spelt out concretely punishment for people deemed to be pro-Taiwan independence, as tensions rise between the mainland and the self-ruled island China claims as its own.

The office named Taiwan’s Premier Su Tseng-chang, Parliament Speaker You Si-kun and Foreign Minister Joseph Wu as people who are “stubbornly pro-Taiwan independence”

, and made public for the first time it has drawn up a list of people who fall into this category.

It says it will enforce punishment on the people on the list by not letting them enter the mainland and China’s Special Administrative Regions of Hong Kong and Macau, said spokeswoman Zhu Fenglian in a statement on Friday.

The blacklisted people will also not be allowed to cooperate with entities or people from the mainland, nor will their companies or entities who fund them be allowed to profit from the mainland, she said.

Taiwanese politicians typically rely on donations from companies to fund their election campaigns.

Many Taiwanese companies derive profits from doing business with the mainland.

China will also take “any other necessary measures” against these people, Zhu said.

She said the message China wants to send to supporters of Taiwan independence is:

"Those who forget their ancestors, betray the motherland and split the country, will never end up well and will be spurned by the people and judged by history."

China upping the pressure on Taiwan

The question of Taiwanese sovereignty is sensitive in China.

The Chinese government considers Taiwan a wayward province and seeks “peaceful reunification”.

Taiwan has long sought to join the United Nations, but China has blocked the attempt, saying Taiwan is not sovereign but a part of China.

The island is currently self-administered and has complained for over a year of repeated Chinese air force incursions into its Air Defence Identification Zone (ADIZ), many in record numbers

In fact, you needn’t take such a heavy book with you.

Because you will find that you could get much cheaper and better quality Bible in China everywhere.

1/3 of the world’s Bible were published from China.

The Bible business

Updated 1:

Chris Ward said that there was no way to buy the Bible in a bookstore.

“I have lived in China for years, I have never seen one on sale in a book store. You have to go to a government church and give your ID.”

In fact, you can’t buy the Bible directly from online or bookstores, but you can get it for free at any church near your home. The following is a new bible printed in 2018.

main qimg ab7d5e9da8c74b54fed2af48e4b32906 lq
main qimg ab7d5e9da8c74b54fed2af48e4b32906 lq

Once he sees the first one minute, he has to restart and get into the music.

https://youtu.be/ro82xwtix9Q

U.S. Vegetable Prices Increased By A Whopping 38 Percent In November, But They Say Inflation Is “Under Control”

.

The mainstream media is trying really hard to convince all of us that inflation will soon no longer be a problem, but meanwhile food prices continue to soar to absolutely absurd levels.  In fact, we just learned that vegetable prices increased by a whopping 38 percent in November.  When I originally saw that number, I thought that it must represent the change from 12 months ago.  But that is not the case.  According to the Bureau of Labor Statistics, vegetable prices jumped 38.1 percent from October to November, and they are up a total of 80.6 percent over the past 12 months…

The price of vegetables from producers shot up 38% on a monthly basis in November — and jumped over 80% compared to November 2021 — according to the U.S. Bureau of Labor Statistics latest Producer Price Index.

Even after reading that, a lot of you are still going to have a very difficult time believing that these figures are actually real.

So I would encourage you to go to the official BLS website and see the numbers for yourself.

This isn’t an Internet rumor.

This is real.

As you can see from this chart, egg prices are also going completely nuts.

The price of eggs shot up 26 percent last month.

And over the past 12 months the price of eggs is up an astounding 244 percent.

The bird flu is the primary reason why eggs have become so expensive.  More than 50 million chickens and turkeys in the United States are already dead, and it is likely that millions more will die in the months ahead.

As for vegetables, the endless drought in the western half of the nation has absolutely crippled production.  In fact, one recent survey discovered that 74 percent of farmers in 15 western states “saw a reduction in harvests” in 2022…

A recent survey about the drought by the American Farm Bureau Federation of more than 650 farmers in 15 Western states found that 74 percent saw a reduction in harvests and 42 percent switched crops.

No matter how high the Federal Reserve hikes interest rates, food prices are going to continue to go up.

We all have to eat, and it appears that food supplies will get even tighter in 2023…

U.S. domestic supplies of key crops including corn, soybeans and wheat are expected to remain snug into 2023, according to the U.S. Department of Agriculture. The agency is forecasting U.S. corn supplies to fall to a decade low before the 2023 harvest, while soybean stocks were seen at a seven-year low and wheat ending stocks are forecast at the lowest in 15 years.

I am particularly concerned about the outlook for wheat.

The two largest wheat exporters in the world are projected to have very disappointing harvests in the months ahead, and this should deeply alarm all of us…

While flooding in Australia, the world’s second largest wheat exporter, in recent weeks has caused extensive damage to the crop which was ready for harvest, a severe drought is expected shrink Argentina’s wheat crop by almost 40%.

This will reduce global wheat availability in the first half of 2023.

A lack of rainfall in the U.S. Plains, where the winter crop ratings are running at the lowest since 2012, could dent supplies for the second half of the year.

So what does all of this mean?

The bottom line is that the global food crisis that erupted in 2022 is likely to intensify quite a bit more in 2023.

Food prices have already risen to very painful levels, and those that are being hit the hardest are those that live in the poorest countries

With food prices climbing to record peaks this year, millions of people are suffering across the world, especially poorer nations in Africa and Asia already facing hunger and malnutrition.

Food imports costs are already on course to hit a near $2 trillion record in 2022, forcing poor countries to cut consumption.

Every single day, more precious people are dropping dead from starvation.

Things are particularly bad in nations in eastern Africa such as Somalia

More than 200,000 Somalis are suffering catastrophic food shortages and many are dying of hunger, with that number set to rise to over 700,000 next year, according to an analysis by an alliance of U.N. agencies and aid groups.

But most Americans don’t even know that this is happening because they don’t see images of people suffering and dying on the nightly news.

If the mainstream media is not making a big deal out of this crisis, it must not be important.

Right?

Here in the United States, our politicians are trying to paper over our impending problems by borrowing and spending colossal mountains of money.

The national debt just crossed the 31 trillion dollar threshold, and the Wall Street Journal is reporting that the budget deficit for the month of November alone was a staggering 249 billion dollars…

The monthly federal deficit was a record-setting $249 billion in November, $57 billion wider than the same month last year, as Republican control of the House puts the government’s finances back in the political spotlight.

As the Fed raises interest rates, it is also raising our borrowing costs.

So the Fed can only go so far, because if they push rates too high it will literally collapse the finances of the federal government.

What this means is that the Fed is almost out of ammunition in their war against inflation.

And our politicians in Washington are ensuring that more inflation is on the way by borrowing and spending money at a pace that we have never seen before.

Meanwhile, the bird flu plague, endless droughts and bitterly disappointing harvests all over the planet will continue to suppress global food production.

What all of this means is that food prices are going to go a lot higher than they are now, and many of the famines that we are already witnessing all over the world will become quite serious.

Even though food prices are ridiculously high right now, I would encourage you to stock up while you still can.

Things are only going to get crazier in 2023, and most people will find themselves completely unprepared as conditions deteriorate all around them.

In Alastair Crooke’s latest piece he discusses the change of narrative that occurred due to The Economist‘s recent Ukrainian interviews:

The Economist leads with interviews with Zelensky, General Zaluzhny and Ukraine’s military field commander, General Syrsky. All three are interviewed – interviewed in The Economist, no less. Such a thing does not occur by happenstance. It is messaging intended to convey the Ruling Class’ new narrative to the ‘golden billion’ (who will all read and absorb it).

On the surface, it is possible to read The Economist piece as a plea for more money and many more weapons. But the underlying messaging is clear: “Anyone who underestimates Russia is heading for defeat”. The Russian force mobilisation was a success; there is no problem with Russian morale; and Russia is preparing a huge winter offensive that will start soon. Russia has huge reserve forces (of up to 1.2 million men); whereas Ukraine now has 200,000 who are militarily trained for conflict. The ‘writing is on the wall’, in other words. Ukraine cannot win.

Scott Ritter, in discussion with Judge Neapolitano, believes that The Economist interviews reveal the West pushing aside Zelensky – as Zaluzhny administers his large dose of reality (that will be shocking to many sherpa loyalists). The Economist interview emphasis thus was unmistakably on General Zaluzhny, with Zelensky pointedly de-emphasised – which Ritter suggests indicates that Washington wishes to ‘switch leadership horses’. Another ‘message’?

Just to be clear, General Zaluzhny once said he considers himself a disciple of Russian General Gerasimov, the Chief of General Staff. Zaluzhny reportedly is familiar with the latter’s writings. In brief, Zaluzhny is known in Moscow as a professional soldier (albeit one committed to the Ukrainian nationalist cause).

So, is the West preparing its narrative to cut from this unwinnable conflict –Ukraine – and to move on?

That might indeed by a possibility. Could the U.S. and NATO just limp out of the situation and leave it to Zaluzhny to negotiated his defeat with Russia?

But haven’t Biden, NATO Secretary General Stoltenberg and Germany’s chancellor Scholz said that Russia ‘can not be allowed to win’? Sure, they have.

But Crooke points to Afghanistan and how fast the chaotic retreat from Kabul has vanished from the media and is now mostly forgotten. The Taliban were another enemy that could not be allowed to win. They won. And no one cares about it.

I dearly hope that the scenario, as Crooke lays it out, will soon come true in Ukraine. But alas I am a realist. Russia will not stop the war without achieving its aims. Zaluzhny will not be allowed to negotiate for peace.

M. K. Bhadrakumar notes that any peace negotiations depend on Biden’s agreement:

The clearest indication that the US is far from in a hurry to negotiate comes from none other than the White House National Security Advisor Jake Sullivan whose visit to Kiev last month (just before the US midterms) had triggered a flurry of speculations that Washington was pressuring President Zelensky to negotiate.

Now, Sullivan’s remarks at an appearance at the Carnegie last weekend made it clear that the US is in Ukraine for the long haul. He said:

“We don’t know when this is going to end up. What we do know is that it is our job to continue to sustain our military support to Ukraine so that they are in their best possible position on the battlefield, that if and when diplomacy is ripe, they will be in the best possible position at the negotiating table.

“That moment is not ripe now, and so, as a result, we’ve gone to Congress and asked for a substantial amount of further resources to be able to continue to ensure that Ukraine has the means to fight this war. We’re confident we will get bipartisan support for that…

“I am not going to precept the future, I’m only going to assure that in the present we are doing everything we can to maximise Ukraine’s chances of defending its sovereignty and territorial integrity… yes, it is likely to go on for quite some time…”

Basically, the US claims to have a winning hand in Ukraine.

The Economist interviews were published on December 15. The Sullivan talk at Carnegie was held a day later. If there had been a change of mind in the White House it would have been part of that interview.

I also think that Zaluzhny is not the kind of leader who is likely to organize, or allow himself to be drawn into a coup. In fact it may well be that the rumors from Kiev are true and that Zelensky and his staff are working to push him out. He would be replaced by the other Ukrainian general The Economist had interviewed:

On several occasions, [General Syrsky] was actually senior in the chain of command to Valery Zaluzhny, appointed to be the commander-in-chief of the entire armed forces in July 2021. Some political actors behind the scenes may be using that fact in an apparent attempt to foment tensions between the two. Rumours even persist that the presidential administration might be inclined to replace the popular but independent-minded General Zaluzhny with his former boss. Cracks of disunity have high-placed Western military officials worried. The two generals on their part say they fully trust each other and wish to stay out of politics. General Syrsky is uncomfortable with the conversation. “The army is outside of politics,” he says. “It is how it should be, and how the law demands it to be.”

Neither Zaluzhny nor Syrsky are men for a coup. If Zelenski is to go, some other politician, probably a more radical one, is likely to take the lead.

As Bhadrakumar concludes:

Therefore, in the prevailing circumstances, Russia’s option narrows down to inflicting a crushing defeat on Ukraine in the coming months and installing a government in Kiev that is not under Washington’s control. But that requires a fundamental shift in the Russian military strategy, which would factor in the real possibility of a confrontation with the US and NATO at some point.

Even while they are still deluded about Ukraine’s chance for success, neither NATO nor the White House have shown any appetite for war with Russia. They have likely come to understand the real meaning of General Zaluzhny’s request:

I know that I can beat this enemy. But I need resources. I need 300 tanks, 600-700 IFVs, 500 Howitzers. Then, I think it is completely realistic to get to the lines of February 23rd.

At the start of the war Ukraine had, at least on paper, a well equipped military:

Ukraine has a lot of tanks and is ranked 13th across the globe with 2,430. In terms of armored vehicles, Kiev also ranks high, occupying the seventh spot globally with 11,435. Kiev’s artillery power is also formidable at 2,040 batteries.

That General Zaluzhny requested all that new stuff is a confession that most if not all the old stuff is gone. That includes the weapons he received after the war started. If the 20 percent of the Russian military that was used in Ukraine could do so much material damage in such a short time how long would a NATO army in a war against Russia survive?

Posted by b on December 19, 2022 at 17:15 UTC | Permalink

A Wall Street Bank Is Warning That Millions Of Americans Will Lose Their Jobs In 2023

.

Is your job secure?  Over the past couple of years, American workers generally didn’t need to be concerned about job security.  Even if someone got fired unexpectedly, it was just so easy to find new employment because there simply was not enough able-bodied workers out there.  But now everything is changing.  Some of the largest corporations in the entire country are starting to conduct mass layoffs as the U.S. economy steadily slows down.  Unfortunately, it appears that a lot more pain is ahead.  In fact, as you will see below, one of Wall Street’s biggest banks is ominously warning that millions of American workers will lose their jobs next year.

Stories about mass layoffs are hitting the news at a fast and furious pace these days.  For example, we just learned that a factory that makes Jeep Cherokees in northern Illinois will be laying off 1,350 workers

The company, which employs about 1,350 workers at the plant in Belvidere, Illinois, said the action will result in indefinite layoffs and it may not resume operations as it considers other options.

Stellantis said the industry ‘has been adversely affected by a multitude of factors like the ongoing COVID-19 pandemic and the global microchip shortage, but the most impactful challenge is the increasing cost related to the electrification of the automotive market.’

I was very sad to see that happen.

Those that work in our automotive factories are some of the hardest working people in the entire nation.

Other types of workers are losing their jobs in very large numbers as well.

Earlier today, I came across a story about layoffs that will affect 1,800 employees that worked in phone kiosks inside Costco stores…

Over 1800 employees were laid off at Wireless Advocate which operates the phone kiosks inside Costco Wholesale stores.

A local Costco representative said staff is just becoming aware that all their phone kiosks abruptly ceased operations at all Costco Warehouses on December 5, 2022.

If you lose your job, I would try to find another one as rapidly as possible, because the longer you wait the more people you are going to be competing against.

As I mentioned earlier, even some of the largest corporations in the U.S. are now laying off large numbers of people.  In a previous article I discussed the layoffs that are coming at Amazon, and now it appears that those layoffs will be even larger than originally anticipated

It appears that Amazon plans to fire 20,000 people, which is twice as many as previously estimated. Workers from distribution centers, IT professionals, and corporate leaders will all be let go by Amazon across a number of areas. According to those with knowledge of the situation, Amazon layoffs will happen in the upcoming months. Staff at all levels are likely to be impacted because Amazon workers are ranked from level 1 to level 7. The NYT originally revealed that Amazon plans layoffs in mid-November, citing sources who said that as many as 10,000 workers would be let go.

Sadly, the truth is that we are still only in the very early stages of this new crisis.

Many more layoff announcements will be coming in the months ahead, and at this point a division of Citibank is projecting that the U.S. economy will lose approximately 2 million jobs next year…

The group said in its latest outlook report published this week that the economy could lose an estimated 2 million jobs in 2023 as the jobless rate climbs to 5.25%.

“We believe that the Fed’s rate hikes and shrinking bond portfolio have been stringent enough to cause an economic contraction within 2023,” the economists said in the report. “And if the Fed does not pause rate hikes until it sees the contraction, a deeper recession may ensue.”

If we actually lose that many jobs, it will be catastrophic.

Meanwhile, Americans are steadily getting poorer.

From January to September, U.S. household wealth plunged by a whopping 13.5 trillion dollars.

Part of the reason this is happening is because home values are starting to fall quite rapidly.

And they are going to fall even more if the Federal Reserve continues to raise interest rates.

Unfortunately, Fed officials just keep telling us that more rate hikes are coming.

Homebuilders are being hit extremely hard as well.  They started lots of new houses when times were still good, and now they have lots of inventory and very few buyers

If a homebuilder cannot sell their ballooning inventory of unsold new houses to households, at current prices and mortgage rates, amid plunging sales and soaring cancellation rates of signed contracts – topping out at 45% in the Southwest and at 38% in Texas – despite aggressive incentives such as mortgage-rate buydowns to stimulate sales and prevent cancellations, well, whom are homebuilders supposed to sell those houses to?

Thanks to the Fed, the entire housing market is a giant mess at this point.

Hundreds of thousands of homeowners are now underwater on their mortgages, and the early payment default rate has risen to heights that we saw back during the peak of the last housing crash in 2009…

Digging deeper into the month’s data, Black Knight found that, while still relatively low among conforming loans, the early-payment default (EPD) rate – which captures mortgages that have become delinquent within six months of origination –– has risen among FHA loans for much of the past year to reach its highest level since 2009, excluding the months in the immediate wake of the pandemic.

Economic conditions are already really bad, and they will soon get a whole lot worse.

Signs of trouble are erupting all around us.  For instance, I was deeply alarmed when I read that Orlando International Airport is experiencing a very serious fuel shortage

The Federal Aviation Administration is warning pilots that Orlando International Airport (MCO) is running low on fuel, and that could mean hiccups in the days ahead for travelers.

In an official notice, the agency said that the airport could continue having supply issues through about 7 p.m. Tuesday, and suggests that airlines should be prepared to operate flights into the airport with enough fuel on board to fly back out.

I have never heard of an entire airport being short on fuel for an extended period of time before.

Hopefully this is just a temporary setback.

But what isn’t temporary are the long-term economic trends.  They have all been going in the wrong direction for a long time, and now a moment of reckoning has arrived.

Enjoy the next few weeks while you still can, because it appears that 2023 is going to be a very painful year.

A truth

main qimg 05c89c4f4192b7a747652706536a480e pjlq
main qimg 05c89c4f4192b7a747652706536a480e pjlq

Hospitals Are Overflowing With Patients As Multiple Pestilences Sweep Across America

.

Winter hasn’t even begun yet, but cold weather diseases are already spreading like wildfire all over the United States.  The flu has returned in 2022 with a vengeance, new strains of COVID are reportedly starting to emerge, and RSV has hit some areas of the nation extremely hard.

I don’t ever remember seeing anything quite like this, and the weather is only going to get colder in the weeks ahead.  Normally, most people would be able to fight off such diseases fairly easily, but at this point so many have weakened immune systems after everything that has transpired over the last few years.

As a result, millions of Americans have been getting really sick, and CNN is reporting that U.S. hospitals “are more full than they’ve been throughout the Covid-19 pandemic”…

Hospitals are more full than they’ve been throughout the Covid-19 pandemic, according to a CNN analysis of data from the US Department of Health and Human Services. But as respiratory virus season surges across the US, it’s much more than Covid that’s filling beds this year.

More than 80% of hospital beds are in use nationwide, jumping 8 percentage points in the past two weeks.

Please take the time to read those two paragraphs again.

At no point during the past several years have our hospitals ever been as full as they are right now.

And this has happened even though the proportion of COVID patients in our hospitals has been steadily shrinking

Back in January, about a quarter of hospital beds were in use for Covid-19 patients. But now, only about 6% of beds are in use for Covid-19 patients, according to the HHS data.

We are being told that some of the new strains of COVID that are now emerging represent a potent threat, but obviously it isn’t COVID that is causing the massive surge in hospitalizations that we are currently witnessing.

Instead, confirmed cases of the flu are absolutely exploding right now.  For example, just check out what is going on in Massachusetts

Illnesses caused by the flu are surging in Massachusetts, according to the latest weekly report from the Department of Public Health.

The report issued Friday, which covers the week from Nov. 27 through Dec. 3, included 5,462 cases that were confirmed by laboratory testing. That’s nearly double the 2,846 cases confirmed during the week covered by the previous report.

Sadly, we are seeing similar numbers nationwide.

In fact, the number of Americans admitted to the hospital with the flu roughly doubled during Thanksgiving week…

The number of people admitted to the hospital for flu during the week of Thanksgiving was nearly double the number of admissions during the week before. And the latest surveillance data probably does not reflect the full effects of holiday gatherings, as it captures only through November 26, two days past Thanksgiving.

Meanwhile, RSV continues to rip across America at a breathtaking pace, and very young children are being hit particularly hard.

In some cases, hospitals are actually transferring sick kids out of state because they are so overloaded with patients…

To cope with the flood of young patients sickened by a sweeping convergence of nasty bugs — especially respiratory syncytial virus, influenza, and coronavirus — medical centers nationwide have deployed triage tents, delayed elective surgeries, and transferred critically ill children out of state.

Unfortunately, it appears that this is just the beginning.

Winter will officially start later this month, and so it is likely that things will only get worse in the months ahead.

That is not good news at all, because we are already facing significant shortages of key antibiotics all over the country

“We are so busy we can’t keep up with the phone calls and sick kids,” said Dr. Josie Stone, a pediatrician with Advanced Pediatrics of Boca Raton. While most of the respiratory illnesses Stone sees are viral, children often get complications such as ear, sinus and throat infections that require antibiotics, she said. With children all over the country suffering from the same complications, South Florida pharmacies have a limited supply of many of the most common antibiotics.

The antibiotics in short supply include Amoxicillin and Augmentin to treat ear and skin infections as well as Azithromycin (referred to as a zpack) used to treat certain bacterial infections, such as bronchitis and pneumonia. It also includes medications such as Albuterol to treat asthma or breathing problems.

As I recently covered in another article, the official FDA website says that more than 100 prescription drugs are in short supply right now.

Of course this is something that is not just happening in the United States.  Over in Europe, shortages of many important drugs are also becoming quite widespread

Countries across Europe are reporting shortages of antibiotics as demand for the medicines rises and manufacturers grapple with supply-chain snags.

Amoxicillin, cephalosporins and other widely used antibiotics are in short supply, data from various countries show, raising concerns among doctors and officials about the availability of drugs that are relied on to treat conditions ranging from ear infections to pneumonia.

If supplies of drugs just keep getting tighter and our hospitals just keep getting fuller, it is probably just a matter of time before authorities in many areas will want to impose new health restrictions.

In fact, officials in New York City are already “strongly urging” residents to wear masks.

I thought all of that was behind us, and I don’t think that any of us want to go back again.

Hopefully, the flu and RSV will be the worst diseases that we have to deal with this winter.

Because the truth is that we have been perfectly primed for more outbreaks, and a truly killer virus could easily sweep through the general population.

As I keep warning, we have now entered an era of great pestilences, and if you are expecting the government to save you from what is coming you are going to be deeply disappointed.

No matter what authorities have tried, multiple diseases just keep spreading all around us.

What we have been through so far is just the tip of the iceberg, and so many people are going to die in 2023 and beyond.

Six B-52 Bombers ‘Shot Down’ In One Night: How Russian Missiles Created Havoc On Mighty US Warplanes

Fifty years ago, 200 American B-52 bombers flew 730 sorties over 12 days. They dropped over 20,000 tons of bombs on North Vietnam in what was considered the heaviest aerial bombing by the US since World War II, claiming nearly 1600 Vietnamese lives.

Just over a month before the operation, former US President Richard Nixon had secured a second term of presidency based on a promise to end the American involvement in the Vietnam War that had become very unpopular in the US.

The US had been fighting in Vietnam since 1965, and while the US was engaging in peace negotiations with the USSR and China-backed Democratic Republic of Vietnam (North Vietnam) as part of what was known as ‘Paris Peace Negotiations’ but those talks had suddenly fallen apart.

Nixon warned the North Vietnamese government of dangerous consequences if it did not return to the negotiating table and called upon the US Air Force (USAF) to save the situation, which it did so by conducting an 11-day strategic bombing campaign called Operation Linebacker II, which later came to be known by several names such as ‘The December Raids’ and ‘The Christmas Bombings.’

From the start, the USAF had advocated for such a strategic bombing in Vietnam, and the service finally had the chance to execute the strategy.

Until then, US air campaigns in Vietnam were limited to interdicting the overland routes by which North Vietnam was resupplying its forces and Viet Cong forces operating in South Vietnam.

However, Linebacker II was different, as it intended to destroy high-value targets such as vital military installations, railway lines, energy plants, factories, etc., to shake the Vietnamese “to their core,” in the words of the then US National Security Adviser Henry Kissinger.

This is precisely what Russia has been trying to do in Ukraine since early October, through repeated missile and drone strikes against Ukraine’s energy grid and critical infrastructure.

“They’re going to be so god damned surprised,” US President Richard Nixon said to Kissinger on December 17, and the next day, 129 B-52s took off from Guam and Thailand to obliterate the Hanoi and Haiphong areas in North Vietnam.

The Formidable B-52s Vs. The Formidable S-75 Anti-Aircraft Missiles

The legendary B-52 Stratofortress has been a bastion of the USAF’s bomber fleet since it was first introduced in the 1950s during the height of the Cold War. Seventy-six B-52Hs are still in service, with another 12 in reserve storage.

Of late, the nearly 70-year-old bomber has begun to show signs of aging, but the USAF remains determined to continue to fly the B-52s for decades to come, and as part of that, the aircraft has been going through continuing reforms to stay viable.

This is because, despite its age, the B-52 remains the USAF’s leading strategic nuclear and conventional weapons platform. It can carry more weapons than any other USAF jet and fly long-range missions from bases in the Pacific.

The bomber can carry 32,000 kilograms of nuclear or conventional weapons and fly at high subsonic speeds at altitudes of up to 50,000 feet (15,166.6 meters), beyond the range of naked eyesight, making its attacks both physically and psychologically catastrophic.

“(Nixon) wanted maximum psychological impact on the North Vietnamese, and the B-52 was airpower’s best tool for the job,” TW Beagle wrote in his thesis, dated June 2000, submitted to the faculty of The School of Advanced Airpower Studies, Air University.

However, it was not going to be easy for these B-52s, as what awaited them were the formidable Soviet-made S-75 Dvina (NATO reporting name SA-2 Guideline) high-altitude air defense systems that could fire a 195-kilogram warhead up to altitudes of 30,000 meters at more than Mach 3 speed – 3 times the speed of sound.

North Vietnam had fielded around 26 S-75 surface-to-air missiles (SAM), of which 21 were employed in the Hanoi­/Haiphong area, with a heavy concentration of anti-aircraft artillery and a complex, overlapping radar network.

Also, the radar network had secretly been improved by introducing a new fire-control radar (FCR) that is said to have improved the accuracy of the S-75 weapons.

The B-52 fleet employed in Operation Linebacker II comprised G and D models. All the D models were upgraded with the latest electronic countermeasures modifications, but only half of the G models had been modified until that time which mainly made them vulnerable to SAMs.

Also, the tactics employed by the B-52s had not changed much since World War II, which also proved fatal.

The Operation Linebacker II Commenced…

On December 18, 1972, 87 B-52s took off from the Andersen Air Force Base (AFB) in Guam. They were joined in the attack by 42 additional B-52s flying out of U Tapao Royal Thai Airfield, Thailand, marking the beginning of Linebacker II. This was the largest attacking bomber force assembled since WWII.

From Guam, the mission would run for about 12 hours, and it required in-flight refueling, while from U Tapao, it would take only about three to four hours without the requirement of in-flight refueling.

On the first night of Linebacker II, North Vietnamese forces reportedly fired 200 of S-75 surface-to-air missiles at the attacking B-52s, of which at least five were able to find their targets. Three B-52s were shot down, and two others were damaged.

“It almost felt like you could walk across the tips of those missiles in the sky; there were so many fired at you,” recalled one retired US airman, interviewed by CNN.

The airman said the flak was so bright that you could “read a newspaper in the cockpit.”

The disastrous losses of the B-52s on the first night of the campaign hurt the crews’ morale back at Guam and U Tapao, whereas, in Hanoi, it boosted the confidence of the North Vietnamese forces.

“We all feared the B-52 at first because the US said it was invincible,” Nguyen Van Phiet, a North Vietnamese missile gunner credited with downing four B-52s during Linebacker, told Smithsonian magazine in 2014. “But after the first night, we knew the B-52 could be destroyed just like any other aircraft,” he added.

On the second night, the B-52s performed better, with only two damaged and none lost, out of the total 93 that flew the mission. But by the third night, the North Vietnamese gunners had seen through the US tactics and knew them just as well as the B-52 crew.

The bombers would fly in long columns over predetermined tracks and, following the release of their payloads, make turns – involving the plane inclining, usually toward the inside of the turn – to return home.

While making these banked turns, their electronic jamming equipment would face skyward, leaving them vulnerable to SAMs.

“We were told for the last two minutes of the bomb run to stay straight and level, which means you are a sitting target,” said Wayne Wallingford, an electronic warfare officer based in U Tapao who flew on seven of the 11 raids B-52s undertook over Hanoi.

Wallingford further said that opening the doors to the bomber’s bomb bay increased its radar signature even further.

This meant the raids were “so predictable that any enemy would be able to knock you down kind of like the arcade at the carnival,” Ron Bartlett, another B-52 electronic warfare officer, told a Distinguished Flying Cross Society podcast.

On the third night, six B-52s were shot down. The burgeoning losses infuriated Nixon, who “raised holy hell about the fact that [the B-52s] kept going over the same targets at the same times,” according to Beagle.

Nixon feared that “a heavy loss of B52s—America’s mightiest war planes—would create the antithesis of the psychological impact [he] desired,” Beagle wrote in his thesis.

From the following night, the bombers were instructed to approach their targets from varying altitudes and directions and not to fly single file or over the targets they had just struck. Nevertheless, two B-52s were lost on the fourth night of the 30 bombers that flew.

On the following three nights – 5th, 6th, 7th – the USAF improvised on new tactics, making good use of their experience, and not a single B-52 was lost.

After that, the US bomber forces stood down on Christmas Day to give planners a chance to review events and provide the crews with some rest. In the final four days, only four B-52s were lost, two each on the 8th and 9th nights.

Death And Devastation On Both Sides

A total of fifteen B-52s were lost, with 33 airmen losing their lives.

Because the bombings were conducted at night, and the Bombers that made it back to base would land in darkness, the crew would not realize until the following day who among their colleagues had failed to return.

“You’d see the trailer next to yours with doors open on both ends and airmen loading (the occupant’s) personal belongings into a trunk to be shipped back to their families, so you knew that crew didn’t make it,” said Wallingford.

“It was pretty sobering to see that,” he said.

Over 12 days, that unpleasant ritual was performed 33 times.

The losses suffered by the USAF were unprecedented, and so was the devastation in Vietnam caused by the B-52s.

An estimated 1600 Vietnamese lost their lives in the bombings, of which 287 people were killed in one night alone in Kham Thien, an area in Hanoi, the majority of which were women, children, and elderly, according to the Vietnamese newspaper VN Express International.

An Agence France Presse journalist, who visited Kham Thien shortly after the US bombing, described a scene of “mass ruins … desolation and mourning.”

“On Kham Thien, some houses still stand, but many are without roofs or windows. Dozens of craters, some 12 yards in diameter and three yards deep, pockmark the area,” Jean Leclerc du Sablon wrote in a dispatch in The New York Times on December 29, 1972.

One survivor, in particular, caught his attention.

“On a pile of ruins, an old woman held her hands to her face and chanted hauntingly, in a near-religious tone: ‘Oh, my son, where are you now? May I find you to bury you? Americans, how savage you are.’”

According to Vietnam War historian Pierre Asselin’s book, ‘Vietnam’s American War: A History,’ “1600 military installations, miles of railway lines, hundreds of trucks and railway cars, eighty percent of electrical power plants, and countless factories and other structures were taken out of commission.”

Who Won The War?

Ten days following the end of Operation Linebacker II that is on January 8, 1973, the peace negotiations resumed, which culminated in the signing of the Paris Peace Accords on January 27 between the US government, the Democratic Republic of Vietnam, the Republic of Vietnam (South Vietnam), as well as the Republic of South Vietnam (PRG) that represented South Vietnamese communists.

The accords marked the beginning of the end of the US involvement in the war.

However, both Washington and Hanoi claimed to have come out on top, with the former saying that Operation Linebreaker II brought the North Vietnamese back to the table for peace talks, whereas the latter portrayed it as a heroic act of resistance in which it took everything its enemy had and remained standing.

In Hanoi, “the story of the events of late December 1972 was a tale, not of massive loss and destruction, but of heroic resistance by Northerners,” wrote the historian Asselin.

Eventually, as it turned out, all Operation Linebacker II achieved was allowing the US a face-saving exit from the Vietnam war.

Three years down the line, with the majority of US forces out of Vietnam and the Communist forces largely replenished, Hanoi launched a large-scale invasion of South Vietnam which led to the fall of Saigon on April 30, 1975.

Therefore, several experts and historians doubt that the operation had any significant influence on the broader conflict, and all the death and destruction inflicted by the “Christmas Bombings” did not bear any gifts for the US strategically.

U.S.-made Missiles SHOT DOWN over Belgorad, Russia

.

Ukraine is now openly attacking inside Russia. Four US high-speed AGM-88 anti-radiation missiles (HARM) were shot down by Russian air defense systems over Russia’s Belgorod region today. The American-made missiles were fired by Ukraine aircraft.

“Four US HARM anti-radar missiles were shot down in the airspace of the Belgorod region,” the Russian Ministry of Defense confirms.

In mid-August, Washington supplied AGM-88 HARM missiles to Ukraine. According to open sources, the missiles are used by the Ukrainian MiG-29 and Su-27 aircraft.

“These missiles showed actually zero effectiveness in the framework of the hostilities in Ukraine – most of them were shot down by Russian anti-aircraft missile systems, another part was suppressed or set aside by means of electronic protection of air defense systems,” a source said, adding that some of these missiles also failed or missed the target.

The source noted that the low efficiency of HARM missiles is due to their mediocre maximum speed, which is a little over 600 meters per second (1342 miles per hour), and high visibility.

According to the source, Ukrainian aviation uses these missiles from a long-range to avoid destroying the aircraft, which allows the Russian military to detect missiles long before they approach the area where Russian air defense systems are located.

At the same time, the source added that HARM missiles create certain difficulties in time-coordinated combined strikes because air defense systems are automatically redirected to them as a priority threat.

“However, Ukrainian troops have not yet been able to hit a single radar of the Russian air defense system, as well as illumination and engagement radars in the area of ​​the special military operation with HARM missiles,” the source said.

The HARM missile was adopted by the US air force in 1983. The maximum speed of the missile is declared at 2,280 kilometers per hour or 630 meters per second, while the launch range is up to 100 kilometers when used from high altitudes.

For comparison, the maximum speed of the Russian AS-17 Krypton and AS-11 Kilter anti-radar missiles exceeds 1,000 and 1,100 meters per second, respectively, and the launch range is over 200 kilometers.

Metallica

2022 12 20 17 00
2022 12 20 17 00

Another truth…

main qimg bf71cdc1d0d5970b7122203fb1c8296c pjlq
main qimg bf71cdc1d0d5970b7122203fb1c8296c pjlq

Spinach and Cheese Stuffed Chicken Breast

“Ready, Set, Cook! Hidden Valley Contest Entry. I love creating my own recipes and menus for people. I have been doing this since I was about 12 years old. I put together a birthday party with lots of freshly prepared food and cake that I prepared for my mom. I had help from my cousins and friends who were my assistants and servers. The party was a great success and I have been doing this ever since. I made this chicken dish 8 years ago and it was the only thing different my mom would eat so I made sure I perfected the dish just for her and of course others. I usually brine my chicken in a mixture of salt, brown sugar and water for at least 45 minutes”

2022 12 20 15 49
2022 12 20 15 49

Ingredients

Directions

  • Butterfly chicken breast and drizzle with olive oil.
  • Sprinkle chicken breast with Hidden Valley Seasoning and Dressing Mix and set aside.
  • In a saute’ pan cook spinach until spinach has started to cook down. Remove spinach from heat and add to a medium bowl with Parmesan cheese, half of the mozzarella cheese, bread crumbs and beaten egg and olives. Mix all ingredients until well incorporated.
  • Place a large spoonful of spinach mixture in the middle of each chicken breast and fold over, covering the spinach mixture completely.
  • Sprinkle with remaining cheese and place on baking sheet and bake on 350 degrees for 20-25 minutes or until thermometer reaches 165 degrees when chicken is tested.

2022 12 20 15 51
2022 12 20 15 51

We had a cat named Nova. She was a tortieshell cat and was very much a “love me for 30 seconds and then leave me alone for the rest of the day’ type of cat. She was always very shy around strangers and typically ran and hid when anyone came over. Once we had kids she would tolerate them for a few minutes at a time, but invariably found a hiding spot where she couldn’t be bothered.

One night around my son’s first birthday, he got really sick, which was exceedingly unusual for him. It was the first night that he was up literally ALL night crying, coughing, and just feeling generally miserable. We felt so bad for him as there was nothing we could do for him other than give him meds and be there with him.

After a few hours of him crying, and us feeling like terrible parents for our lack of capacity to help, this extremely anti-social cat jumped up on the bed and crawled on top of him and just sat there with him, purring. As soon as she sat with him, he started petting (slapping and grabbing, more accurately) her and stopped crying. She stayed there for hours comforting him, through all the infant abuse. And it allowed us to get a few winks of sleep here and there.

main qimg 1e6c3683899d2bdc002de7e50a13f045 pjlq
main qimg 1e6c3683899d2bdc002de7e50a13f045 pjlq

I’ve never seen a character-shift so rapidly in an animal like that before but it absolutely made us flabbergasted (and grateful)

A Day Of Tremendous Joy For The Entire World

.

We needed a moment like this.  Needless to say, the past several years have not been easy for humanity.  2020 was worse than 2019, and then 2021 was worse than 2020, and then 2022 was worse than 2021.  Unfortunately, 2023 is going to be significantly worse than 2022 was.  But for a few glorious hours on Sunday we were able to forget about our troubles for a while.  The greatest World Cup final ever to be played was watched by more people than any other television program in the history of the world, and it all came down to the very end.  When Gonzalo Montiel’s penalty kick hit the back of the net, shouts of exultation erupted literally all over the world.

Argentina had done it.

This was probably Lionel Messi’s last chance to win a World Cup, and they pulled it out.

When it was all over, tears of joy streamed down the faces of the Argentinian players.  They had been carrying around the weight of the world on their shoulders, because they knew how much this meant to the people of Argentina.

But this wasn’t just about Argentina.

Other than in France, fans across the globe overwhelmingly wanted Messi to win a World Cup.

And in the stadium in Qatar, the crowd was an overwhelmingly pro-Messi crowd.

It would be difficult to overstate what Messi means to kids all over the planet.  To say that he is one of the greatest players of all-time would be stating the obvious.  But Messi’s popularity goes far beyond what most other great players ever achieve.

When people watch Messi play, they see pure joy in action.  He is an artist, a magician and a maestro all rolled into one.  He has done things on the field that we have never seen anyone else do, and no matter what he accomplished over the years he always did it with humility and style.

But now he is 35 years old, and his prime years are well behind him.

And coming into this tournament, I felt like there were several other teams that had more talent than Argentina did.

So even though many of us were strongly rooting for Argentina, it seemed unlikely that Argentina would actually win it all.

But Messi believed.  In fact, after the final was over he admitted that he somehow knew that God was going to give him this opportunity

After a dramatic penalty shootout victory over France, the No.10 says he knew that God would reward him with the ultimate prize in Qatar.

“It’s impressive that I can finish like this,” Messi told reporters. “I knew that at some point God was going to give it to me and I don’t know why, but I felt it was going to be like this. Once again he made me very happy.”

This certainly was not an easy tournament for Argentina.

There was the first game against Saudi Arabia, there was the near loss to the Netherlands, and then in the final there were moments when it seemed like France was destined to win.

Thankfully, Argentina refused to give up.

For much of the final, France seemed to be asleep.  Argentina pulled out to a 2-0 lead, and that lead seemed quite secure.

But then in the 79th minute the French finally woke up.

Nicolas Otamendi has been a tremendous player over the years, but at this point in his career he really lacks pace.

I had been concerned about this throughout the tournament, but it wasn’t until the final that this really became a major issue.

In the 79th minute Randal Kolo Muani threatened to blow past Otamendi, and Otamendi ended up committing a foul in the penalty area as he desperately attempted to stay with the attacker.

Kylian Mbappe converted the penalty, and all of a sudden it was 2-1.

At that point I started to get real nervous.

Then when Mbappe scored again shortly after that, I hurled the ball that I was holding across the room in frustration.

It was 2-2.

I could hardly believe it.

Hundreds of millions of fans (including myself) desperately wanted Messi to win, and now a certain victory had evaporated right in front of our eyes.

I was pleading with Argentinian coach Lionel Scaloni to get some fresh legs in there, because his players were dead tired.

Throughout the game the Argentinian midfielders had played like wild animals, but as the game approached 90 minutes the fatigue was showing.

France had the momentum, and it looked like they might be able to complete a comeback for the ages.

But thankfully the break before the first overtime period seemed to give the Argentinians new energy, and they regained the initiative.

Argentina had more of the ball than France did during the first overtime period, but there were no goals.

In the second overtime period, Argentina threatened numerous times, and then a scramble in front of the French goal in the 108th minute resulted in Messi putting the ball across the line.

At that moment, hundreds of millions of fans started jumping up and down all over the planet.

Messi had done it.

The game was over.

But it wasn’t.

Just prior to the end of the second overtime period, a desperate shot by Kylian Mbappe hit the arm of Gonzalo Montiel.

A penalty shot was awarded, and Mbappe converted once again.

It was 3-3, and it seemed like we were headed for penalty kicks.

Of course the action wasn’t over yet.  Both teams raced up and down the field as they attempted to win the game, and there were golden chances at both ends.

But neither team was able to score, and so the game would be settled at the penalty spot.

Ironically, the player that scored the decisive penalty kick for Argentina was also the player that had given France the penalty that had tied the game during the second overtime period.

So Gonzalo Montiel went from being a national scapegoat to a national hero in a matter of just a few minutes.

But this day was not about Gonzalo Montiel.

Ultimately, this day was about Lionel Messi and the hundreds of millions of fans that love him all over the world.

Messi had won every other major trophy that he could have possibly won throughout his career, but he had never won a World Cup.

And if Argentina had not won on Sunday, that fact would have haunted him for the rest of his life.

Messi didn’t just have a monkey on his back.  He had a giant gorilla on his back, and the rest of the team could feel it too.

The entire Argentina team wanted to win this one for Messi.

And most of the fans on the entire planet wanted them to win this one for Messi.

Thankfully, they did it.

They pulled off a miracle, and they made a lot of us extremely nervous in the process.

Today was a day that reminded so many of us why we truly love this sport, and this World Cup final was an instant classic that will never be forgotten.

The reality is entering a very dangerous period

Washington, is a party, on the Titanic!

I’m still fighting Coronavirus. This is just going to be a simple post.

When I lived in New Orleans, I saw an altercation outside this bar called Decatur House. This drunk redneck decided he’s harrass a punk. The punk kept beating him down, and the guy kept coming back for more. Eventually the drunk redneck’s wife came with a cop, begging the cop to arrest her husband before he got killed. She told the cop that the punk was just acting in self defense, but her husband was too dumb to know when to give up. NATO needs a wife like that.

How does it feel knowing that the US is number 1 in GDP and 5th in per capita GDP and yet it can’t afford to house all its citizens despite there being more than enough houses to, feed all its people despite there being more than enough food, and to provide universal healthcare despite it being more than capable to?

China solved her housing crisis, food shortages and healthcare accessibility decades ago, back when her GDP per capita rivalled that of your average sub-saharan African nation. Meanwhile, America would rather burn all its money on pointless wars against the poor in foreign states, vying for corporate interests instead of putting its money where its mouth is.

Pan Pizza

pan pizza
pan pizza

If you love pizza, you’re in luck. This pan pizza recipe will give you a super versatile dough that you can make into a fantastically pillowy cast-iron skillet pie, or stretch out by hand into a classic New York style slice to bake on a sheet tray. For those no-frills days when you’re not in the mood for sauce and cheese, you can treat this dough just like you would a traditional focaccia and bake it plain with lots of oil, flakey sea salt, herbs or whatever else you want to throw on top for a jazzed-up piece of crunchy-soft bread.

A dough like no other

There are three things that make this pizza dough unlike any other you’ve made before: a tangzhong paste, a little bit of whole wheat flour, and a very long resting time. The tangzhong method incorporates a water roux into the dough to maximize the tender, moist qualities found in your favorite breads. Often used in Japanese-style milk bread, you can make tangzhong by briefly cooking water and flour together over a medium-low heat until a paste forms. This process gelatinizes the starch content in the flour and helps trap moisture inside the dough, giving you a bite of pizza that is pillowy, fluffy, and soft with a little chew on the inside.

Accounting for about 10% of the total flour weight, the whole wheat flour plays two roles: providing a more hearty flavor to the dough, and giving the moisture-rich dough more structure. While you can use entirely all-purpose or bread flour—just substitute in 1/3 cup of white flour instead of 1/4 cup whole wheat—the higher bran and germ content in whole wheat gives our dough a slight nuttiness and depth of flavor. Because whole wheat flour tends to absorb more moisture than all-purpose or bread flour, its addition also makes our relatively high-hydration dough (ringing in at about 81% hydration!) a little bit easier to handle during the stretch and fold process.

More often than not, more time = more flavor in cooking, and this dough is no exception. This dough takes at least one full day to develop into its best self, but your patience will be rewarded! Thankfully, it is a time-intensive but not labor-intensive dough, requiring less than 5 minutes of kneading. We begin with a brief autolyse stage in which we allow the dough to rest on its own without putting in too much work. 30 minutes to one hour later, we begin a series of quick stretch-and-folds to help develop the gluten in a gentle manner, like we do in our sourdough bread recipe. Four rounds of stretch-and-folds later, we divide the dough into two portions, plop each into a well-oiled bowl, then cover and rest overnight in the fridge to further develop the flavor and gluten network. The longer the cold rest, the more flavorful the dough—go up to 4 days if you’d like to have a little bit of sourdough-like tang to your pizza!

Use it or freeze it.

Save yourself a trip to the grocery store and make a double batch of this dough if you are a pizza fanatic—after developing your desired level of cold-rest tanginess in the fridge, transfer your portioned balls of dough to the freezer (either in a lightly oiled resealable plastic bag or in an airtight container) for extended storage. Prior to use, either defrost overnight in the fridge or take out to defrost on your counter at room temperature 2 hours before your intended baking time.

Cheese first, then sauce.

If you want to avoid a soggy slice, top the pie Detroit-style with cheese underneath the sauce. Assembled this way, the sauce gets slightly caramelized and perfectly reduced when exposed directly to the hot oven heat. It also serves as a protective layer and prevents the cheese from browning too much and overcooking in the 500° oven. Ever have the cheese on your cooling pizza coagulate together into a solid sheet that slides off the slice in one piece when you go in for the bite? Not sexy. Keep it sexy and keep it saucy—just keep it on top.

Bake it hot.

Yup, that oven temp is not a typo—we’re baking this baby at 500° Fahrenheit. That’s about as hot as home ovens go, and it’s the perfect temperature to bake at if you want a crispy-bottomed pie with a soft, tender, pillowy interior. Baking at lower temperatures will mean longer cooking times, resulting in a drier pie with a tough bite. If you’re making this pizza in a cast iron skillet, we’re using a 5-minute stovetop sear before the baking begins to set the crust up for crispy success—don’t skip this step if you want the most gorgeously golden pie bottom even known to mankind.

And to top it off—

You can put whatever you want on your pie! Customize with your favorite ingredients: mushrooms, onions, sausage, pepperoni, peppers, olives, anchovies—nothing is off limits. Live your best life, eat your best pie.

2022 12 19 10 30
2022 12 19 10 30

2022 12 19 10 30e
2022 12 19 10 30e

2022 12 19 10 32
2022 12 19 10 32

2022 12 19 10 34
2022 12 19 10 34

RUSSIA TALKS TARGET: Yellowstone National Park – (and its Super Volcano)

.

2022 12 18 18 20
2022 12 18 18 20

Talk show hosts on Russia-1 TV network, were openly discussing using a SARMAT nuclear missile, with a single “monoblock” 100 Megaton warhead, to target the super volcano beneath Yellowstone National Park, causing a super-eruption, to wipe out western civilization!

The last time the Yellowstone Supervolcano erupted was about 800,000 years ago.  That eruption sent volcanic ash over two-thirds of north America, wiping out most of the life at the time.  The volcano is already overdue for another eruption, and these Russian TV hosts are openly wondering if a gigantic nuke, striking in the heart of Yellowstone Lake, could trigger another super-eruption to wipe out the United States.

 

 

According to the estimates of experts in Russia, “a number of events confirm the direct(!) involvement of the USA and Poland in the massive military-logistical support of the Kiev regime, in the preparation and implementation(!) of joint terrorist attacks on the ground of the Russian Federation”.

Moscow claims that “the drone avionics and control stations were manufactured by American Spektreworks, a company that performed the initial coordination and control of the drones at Scottsdale Airport in Arizona.”

In addition, the relevant services emphasized that “the final assembly and flight tests of these drones took place on Polish territory, near the Rzeszow airport, which is used by the US and NATO as the main supply hub for the Ukrainian armed forces . ”

“The installation of the payload, the flight mission and the launch itself were carried out from Odessa and Krivoy Rog,” claim Russian experts.

Ukrainian drones hit the military airfields Engels-2 (Saratov Region) and Diaghilev (Ryazan Region) on December 6. These strikes were followed by the eighth massive missile attack against Ukraine’s energy infrastructure.

As a child of two Chinese parents, I can confirm literally every stereotype and fun insult she said in this performance.

DPP Losing Streak Marches on with Most Recent Taiwanese Election Result ……

In the delayed mayoral election in Chiayi City, Taiwan, that just concluded today, the DPP party again lost to the KMT, 64% to 35%! This wrapped up the 2022 Taiwan local election, registering the worst defeat of the DPP since its founding in the 1990s, despite widely reported and proven election fraud under the watch of DPP-controlled Central Election Commission, intervention by the judicial branch in DPP’s favor, and DPP’s monopoly and domination of the news media. It is widely conjectured that DPP loss could have been much more extensive without the above distorting factors.

This final episode of the 2022 Taiwan local election capped a year of Taiwanese popular opinion turning from apathy and cynicism to rage and fury toward the DPP. If anything, the lopsided vote count in Chiayi, in not so staunchly pro-KMT southern Taiwan, could indicate this fury is only growing.

In the past 20 years, China and the world’s economy have grown rapidly, mainly driven by the development of information technology. The semiconductor industry has become the core driving force of economic and social development and an important pillar of world economic development with its strong innovation, integration, driving and permeability.

In recent years, with the strong support of national policies, China’s semiconductor industry has achieved great development and basically established a complete industrial chain. However, key areas and key links in the industrial chain are still especially subject to materials and equipment, key technology, etc. and heavily dependent on foreign countries. The foreign export bans have blocked the pace of development of China’s semiconductor industry to leap forward to high-end.

Aiming at the world-class level, the Chinese scientists and engineers have united as one, faced difficulties, and successively conquered key core technologies such as inter-satellite links and high-precision atomic clocks. The components of the Beidou-3 satellite department have achieved 100% localization, and the Chinese scientists and engineers have truly achieved independent control. The service life of Beidou-3 satellite is more than 10 years, the positioning accuracy is better than 10 meters, the speed measurement accuracy is better than 0.2 m/s, the timing accuracy is better than 20 nanoseconds, and the service availability is better than 99%. All performance indicators have reached the world-class leading level. Aerospace-grade chips require more attention to safety and stability. Special production processes for aerospace-grade chips are required to meet strict design standards to adapt to complex space environments, such as strong cosmic rays, impacts of meteorite fragments, and steep drops in temperature. The 28nm and the 22nm process chips of the Beidou system have been mass-produced. Compared with those 180nm in 2015, the Chinese aerospace-grade chips have reached the world-class level.

China has become a new big country in the integrated circuit industry. In the past few decades, through continuous efforts, several integrated circuit industry centers have been formed in the Beijing-Tianjin-Bohai Rim, the Yangtze River Delta, the Pearl River Delta and the central and western regions. Several characteristic integrated circuit industrial parks. China’s integrated circuit is one of the few industries in the world that has five complete sectors: design, manufacturing, packaging and testing, equipment and materials.

China has formed a relatively complete industrial chain and has a huge group of enterprises whose quality is constantly improving. From a global perspective, the size of enterprises is still relatively small, but many enterprises have entered relatively advanced positions in subdivided fields. Even the long-term absence of semiconductor memory has gradually emerged as an emerging force.

The rapid development of China’s semiconductor industry largely benefits from the long-term semiconductor investment mechanism. Although the investment number is not large enough, it has played a huge role in promoting the development of the entire Chinese semiconductor industry. China is a gathering place for the fast-growing integrated circuit industry. In 2021, the revenue of the entire industry exceeded 1 trillion yuan for the first time, reaching 1,045.8 billion yuan. In 2004, the largest semiconductor industry in China was the packaging and testing industry, followed by the manufacturing and design industries. In 2009, the design industry surpassed the manufacturing industry to become the second largest industry. In 2016, the design industry surpassed the packaging and testing industry to become the largest Industry. In 2020, the manufacturing industry surpassed the packaging and testing industry and reached the second place.

From the changes in the three-semiconductor links, it can be seen that the industrial structure of China’s semiconductor industry is becoming more and more reasonable. Among them, the design industry is the fastest growing industry, with an average annual compound growth rate of 26.6%. In recent years, China’s semiconductor manufacturing industry has emerged as an important backbone of China’s integrated circuit industry. Although its scale is not large enough, it surpasses the semiconductor packaging and testing industry. It can be said that this is a strategic shift.

China is the largest single semiconductor market. In 2021, the output value of the global semiconductor industry was US$556 billion, and the output value of China’s semiconductor industry was US$192.5 billion, accounting for nearly 35% of the world’s total. According to China Customs data, China imported 432.5 billion US dollars of integrated circuits, accounting for about 78% of the global output value of integrated circuits. At the same time, nearly 35% of the 78% integrated circuits imported by China were used locally, and more than 40% of It is also installed in the whole machines for export. This is an important sign of China’s status as the world’s largest single market.

The competitiveness of China’s integrated circuit products is still improving, especially in the field of high-end general-purpose chips. The achievements of China’s semiconductor industry are the result of long-term investment. China is in a very favorable stage of development, the stage of industrial upgrading and development: from low added value to high added value; from low technology to high technology; from low productivity to high productivity; from the low end to the high end of the industrial chain upgrading.

The status quo of China as the world’s factory will not change in the short term. There are hundreds of products in China that are highly demanded in the world. This has also created very important conditions for the development of China’s semiconductor industry. For a long time, China has deployed manufacturing as the center, and now China should turn to a product-centered industrial development model. The 22 chip design companies listed on the Science and Technology Innovation Board are an example. According to their annual report data, the average gross profit rate of these chip design companies in 2021 was about 47%, which was 15% lower than the average gross profit rate of 62% for American chip design companies. Although the average R&D investment of these companies has reached 25.5%, which is 8.5% higher than the average R&D investment of 17% of American semiconductor companies, the total R&D investment of the 22 chip design companies listed on the Science and Technology Innovation Board was only 1.08 billion US dollars.

The innovation capability of Chinese enterprises is still limited by scale and profitability and is actually very weak. The other better methods need to be adopted to promote the improvement of innovation capabilities of Chinese enterprises. The 5G mobile communication in China has an advantage of an important driving force for the future development of China. The 5G technology is a low-latency, massive machine-type communication technology that is still developing and will promote industrial intelligent transformation in the future, such as autonomous driving and industrial automation.

The development of the integrated circuit industry of China will not be achieved overnight. It requires long-term persistence and continuous efforts, and various preparations must be made. The semiconductor industry of China is still facing great challenges, both external and internal. Based on the situation of chip factories under construction in China and the shipments of chip equipment manufacturers around the world to China, it can be confirmed that the goal of self-sufficiency in domestic semiconductors reaching 70% in 2025 is about to be achieved. In other words, chip production needs to more than double in the last three years, and automotive chips need to double more than 15 times, indicating that the investment logic of domestic substitution still exists, and there is still room for at least doubling in three years.

China’s development will not change because of certain people or things, nor will it stagnate because of external suppression. The Chinese have to make all kinds of preparations while running hard. Relying on China’s huge market and the Chinese colleagues in all industries who are struggling day and night, China’s development is just around the corner, and the other side of victory will be surely reached!

The US export blacklist will not be effective to derail and destroy China’s technology development in semiconductors and aerospace but its own high-tech industries. The high-tech industries of the US will suffer huge losses in the coming years. From Tiangong, Beidou, Chang’e to Tianhe, Tianwen, Xihe, the aerospace and semiconductor high-tech industries of China continue to create new history.

It is too late for the US government and politicians to focus only on meddling in the high-tech industries of China.

What a waste of time and energy. Wake up please.

Like what Jeffrey Sachs has said : the greed of a 100 year old empire like capitalism America knows no bounds , Karma strikes hard one day…..

Biden Opens Africa Summit With Sanctioning African Leaders

The Biden administration is holding a summit with some 40 leaders of African countries. The New York Times headline of its reporting on the summit is revealing:

Biden Is Bringing Africa’s Leaders to Washington, Hoping to Impress

“Bringing Africa’s Leaders to Washington”? Why not “invited African leaders to Washington”? Isn’t this reminiscent to the millions of Africans who had been “brought to America” in past centuries?

The U.S. is late in fostering better relations with Africa:

NAIROBI, Kenya — In Russia, Africa’s leaders were feted at a seaside resort where military aircraft for sale were parked outside the summit hall. In China, they dined with President Xi Jinping, some of them one-on-one, and received promises of investments worth $60 billion. In Turkey, they won support for a permanent seat on the U.N. Security Council.Now they are headed to Washington for a major summit hosted by President Biden — the latest diplomatic drive by a major foreign power seeking to strengthen its ties to Africa, a continent whose geopolitical clout has grown greatly in the past decade.

There is little hope that the U.S. will do better than other nations:

As the planes of over 40 African heads of state descend on Washington, a question looms: What can Mr. Biden offer that they want?“The U.S. has traditionally seen Africa as a problem to be solved,” said Murithi Mutiga, Africa director at the International Crisis Group. “But its competitors see Africa as a place of opportunity, which is why they are pulling ahead. It’s unclear if this conference is going to change that.”

What the leaders from Africa demand is first of all respect:

Africa’s top diplomat says that, first of all, they want to be heard.“When we talk, we’re often not listened to, or in any case, not with enough interest,” President Macky Sall of Senegal, who is president of the African Union, said in an interview in Dakar last Thursday. “This is what we want to change. And let no one tell us no, don’t work with so-and-so, just work with us. We want to work and trade with everyone.”

There is no serious attempt to really get into better relations with African countries:

There will be initiatives to tap the African diaspora for new ideas in higher education, creative industries and the environment and for collaborations with NASA on space programs. A guide for summit delegates, obtained by The New York Times, predicts that Africa’s “space economy” will grow 30 percent by 2024 — an opportunity for the U.S. to help with technologies to solve problems related to climate change, agriculture, security and illegal fishing and mining.But there is little sign that Mr. Biden intends to launch a signature policy initiative like previous American administrations.

In short: it is a sales show and at least partly aimed at one of Biden’s constituent groups – ‘diaspora Africans’.

There is not much to expect from the meeting but empty words and not so empty threats. Yesterday, the day before the first summit meeting is supposed to take place, the Biden administration set the tone by … sanctioning African leaders:

The Biden administration on Monday slapped corruption sanctions on the son of Zimbabwe’s president as the U.S. prepares to host a major summit of African leaders in Washington.The Treasury Department announced it was hitting four Zimbabwean people and two companies with penalties for their roles in undermining democracy and facilitating high-level graft. Those sanctioned include Emmerson Mnangagwa, Jr., the son of the previously sanctioned Zimbabwean President Emmerson Mnangagwa.

“We urge the Zimbabwean government to take meaningful steps towards creating a peaceful, prosperous, and politically vibrant Zimbabwe, and to address the root causes of many of Zimbabwe’s ills: corrupt elites and their abuse of the country’s institutions for their personal benefit,” Treasury said in a statement.

“The goal of sanctions is behavior change,” it said. “Today’s actions demonstrate our support for a transparent and prosperous Zimbabwe.”

I fail to find a participants list for the summit at the State Department’s Africa Summit page. But I am pretty sure that Zimbabwe as well as ten plus other member states of the African Union will not be present. It would be interesting to learn who those are.

Sanctions are typically reviewed by the National Security Council before they are enacted by the Treasury. That the White House let these pass at this time means that the move is intentional.

Those who are coming will notice this well timed action against the leadership of one of their fellow countries. It is likely supposed to intimidate them: “Watch what could happen to You!”

But times have changed. I doubt that they will fall for such a cheap trick.

Posted by b on December 13, 2022 at 12:37 UTC | Permalink

I lived in Asia for 20 yrs… After coming back to the US… I found that everything is failing in the US… Infrastructure, healthcare, education, law enforcement…. Dems and Rep DO NOT want to make the US a better place, they want to enrich themselves and hold onto power…. Political systems do not last forever because a few will figure out how to game the system…. Media, Money, and politicians have found that way…. Average people just suffer the consequences… But if you say this, you will be banned by YouTube. Better set up a channel on Rumble like most independent journalist do….

Russia Deploys **THIRD** Multi-Nuclear-Warhead Missile

.

2022 12 19 09 37
2022 12 19 09 37

Last week, the Russian Ministry of Defense released videos of two separate “YARS” nuclear ICBM’s being loaded into silos. Turns out, there was a third, in Bologovsky. Russia’s message to the West is clear.

In Bologovsky ,from the Strategic Missile Forces, a missile regiment of mobile “Yars” took up combat duty (third deployment of such system so far in a few days)

The “Yars”, which is being put into combat, is replacing the Topol mobile missile system.

The location in Bologovsky is shown on the map below relative to the rest of Europe.

2022 12 19 09 37a
2022 12 19 09 37a

Europe and the USA, via their cute little military alliance called NATO, continue to interfere with what Russia is doing inside Ukraine. Russia has warned against such interference at the start, back on February 24, but those warnings have fallen upon deaf ears in the west.

Now, Russia is moving large, multi-warhead nuclear weapons very near the borders of NATO countries in Europe. If the ears of NATO will not hear, then perhaps the eyes of NATO can see?

The writing is on the wall for any rational person to view: Russia seems to be telling the west something like “If you continue to mess with us in Ukraine, we are going to start messing with YOU.”

Why the West doesn’t seem to get the message is unknown. Perhaps they need to start seeing the bright, white, flashes?

Initially all that China wanted to do was to create a separate trade ecosystem that could guarantee continuous trade from and to China and ensure China had a continuous supply of Energy and Food in return for which China flooded the other countries with everything else from Machinery to Dry Goods to Canned Goods to Paper to Structural Works to Railways to Advanced Communications

This is called the Yuan Ecosystem

Cross Currency Swaps fuel the Ecosystem and a different settlement system that completely by passes the SWIFT was created

This was done to ensure that US could not block Chinese Trade financially.

Later this Yuan Ecosystem became a haven to Nations sanctioned by the United States

Especially Iran

Irans GDP looks like it crashed from $ 420 Billion in 2009 to $ 233 Billion in 2022 but in reality – Iran has flourished economically and its Yuan GDP is CNY 3.14 Trillion in 2014 to CNY 5.05 Trillion in 2022. Thats because Iran has almost completely moved to the Barter Ecosystem & the Yuan Ecosystem (Now they have also incorporated the Ruble Ecosystem)

Adoption of Chinese RMB as foreign reserve currency seen as latest move to bypass US sanctions and revive oil sales

So Iran is actually doing pretty well. It has deviated so much from the US Dollar that its Economic Activity is no longer gauged under USD Terms and its closer to measure it in Yuan.

Russia survived and thrived because of the Yuan Ecosystem

They were able to Bypass the SWIFT in less than 2 months by merely expanding the Chinese Russian Settlement System and simply received more payments in Yuan

Today the Yuan Ecosystem is likely to merge with the Ruble Ecosystem and the Barter Ecosystem of Iran and createa Three Country Exchange Mechanism which could be adopted by BRICS in which case Turkey and Saudi Arabia would willingly make deals under this system.

As the US becomes weaker, it becomes a bigger and bigger despot and frankly the entire world is becoming tired of the Anglosphere (US, Australia and UK) and its regular bullying and baiting.

Now they have an alternate – The Yuan Ecosystem

An Alternate Ecosystem of a Separate Trading System and a Separate Currency that bypasses the West entirely


The Yuan Ecosystem of course is nowhere near the Western Ecosystem

Yet it is now an Option for Nations that have had enough of Bullying by the West

FLAT-OUT LIED! Labor Statistics “REVISED” from Q2 this year . . .

.

FedRes Jobs Fraud large
FedRes Jobs Fraud large

Estimates by the Federal Reserve Bank of Philadelphia indicate that the employment changes from March through June 2022 were significantly different in 33 states and the District of Columbia compared with current state estimates from the Bureau of Labor Statistics’ (BLS) Current Employment Statistics (CES). Early benchmark estimates indicated higher changes in four states, lower changes in 29 states and the District of Columbia, and lesser changes in the remaining 17 states.

In the aggregate, 10,500 net new jobs were added during the period rather than the 1,121,500 jobs estimated by the sum of the states; the U.S. CES estimated net growth of 1,047,000 jobs for the period. Payroll jobs in the nation remained essentially flat from March through June 2022 after adjusting for QCEW data.

 

Hal Turner Analysis and opinion

Well, there you have it, folks.  The illegitimate Biden Regime, corrupting almost everything it touches, has now clearly gotten to the Federal Reserve Bank of Philadelphia to skew the job numbers as propaganda for the masses.  Bankers don’t make mistakes like saying there’s 1,121,500 new jobs when, in fact, there were only about 10,500.  But Bankers can . . . ahem . . . . lie.

And that is what I think we have here.  I( think the Federal Reserve Bank of Philadelphia, in “correcting” their numbers, has exposed themselves to be . . .  what many people might call . . .  liars.

No rational person makes a mistake like that, and no rational person believes that a Bank (never mind the top bank in the country) makes a mistake like that.  Thus, it seems probable the bank flat-out lied. On purpose.

From this point on, it seems to me, the Federal Reserve job numbers simply cannot be believed. Oh, and this might help explain why the economy (out here in the real world) is clearly in a catastrophe, despite what the “reports” say.

This is what is meant by asymmetrical warfare:

  • the United States may be sanctioning Chinese companies and individuals
  • ban the sales of chip making equipment and chip design software
  • prevent Americans from working in China

in order to destroy China’s semiconductor and hi-tech industry.

In response, China is swarming the market with mature chips – which incidentally also make up the larger share of the market and is the most widely used chip which they have now mastered and will saturate it in time. Using their far more efficient manufacturing process, they will drive American and other chip manufacturers out of the market by selling at a price the Americans will not be able to match. As American and other manufacturers become unprofitable with less funds to invest in R&D, they will become increasingly uncompetitive. In contrast, the Chinese companies will earned greater revenues and will be able to invest in more R&D. Over time, they will be manufacturing and controlling leading edge semiconductors.

main qimg f8bf6ac2a50d75f3addaa39fba85291a pjlq
main qimg f8bf6ac2a50d75f3addaa39fba85291a pjlq

As the report noted: “The Chinese could just flood the market with these technologies……… Normal companies can’t compete, because they can’t make money at those levels.”

The Americans have screwed themselves to a poorer place. By waging war on Chinese semiconductor industry, they have set the foundation for the destruction of that industry in the United States.

The Americans are now scrambling for a response. They have been out manoeuvred AND that they are NO good pathways out – they have already lost.

French Pizza Bread

french bread pizza1 1655320413
french bread pizza1 1655320413

Craving homemade pizza, but don’t want to deal with finicky pizza dough? We get it, that stuff can be tricky. Skip it altogether, and grab the nearest loaf of French bread instead. The loaf makes for a delicious pizza “crust” that will get crispy on the outside, while staying nice and soft on the inside. It’s truly the best of both worlds.

Speaking of being the best of both worlds, we took that idea EVEN further. As we were cutting our French bread for these pizzas, we were reminded of another classic Italian restaurant staple—garlic bread We brushed our French loaf with garlic butter here to combine our two favorites, and it is truly to die for. You could skip the garlic if you’re a purist (but hey, we’re making pizza on bread here, so we say anything goes!), but we still recommend toasting the bread for a few minutes before you put your toppings on to keep it nice and crisp.

As for toppings, we went the classic pepperoni route, but there’s no reason you can’t keep it vegetarian with mushrooms, peppers, and onions, or add in some sausage for a meat lover’s pizza. It’s your pizza night, so go crazy!

Want to take this completely to the next level? Take it outside, and turn it into grilled pizza bread. Simply wrap your French loaf pizzas in aluminum foil, and toss them onto a campfire or grill—in 10-15 minutes you’ll be eating pizza, no 500° oven required.

2022 12 19 10 26
2022 12 19 10 26

2022 12 19 10 27
2022 12 19 10 27

Thank you guys for keeping us updated on real news!

Ultimately it’s all about Economics

China had the demand and the demand will grow more and more

As demand grows, so will the efforts for maintaining supplies by any means necessary.

If China had been a saturated market like Japan then yes, these moves would have perhaps succeeded

China however is far from saturated and the Chinese are hungrier than ever for more AI, more Automation and more Technology

The law of Economics is older than Biden or even USA

Nations with a Technological edge have always seen their edge diminish over the years and see the rest of the world catch up.

China has the money, the engineers and the world’s strongest espionage network and fingers in every pie in every nation.

China would already be working on many ways to thwart the policies

  • Shell companies in Singapore or Europe whose real ownership is buried for minimum 25 years
  • A handful of Judges cultivated for years and now placed to help China with favorable rulings
  • Thousands of Chinese origin Engineers working in Korea or Taiwan who have helped China bridge a 30 year gap in 5 years.

Simultaneously China would also be

  • Bringing up more and more Engineers into Semiconductor Research
  • Attract Western Talent to China especially Mainland Origin Talent who despite living for years in USA feel the call back home

This will ensure that China doesn’t slip and keeps its pace of technology to around 60–65% of what it was in 2019 when it had full access to US Technology

That would still ensure Chinas sustained growth albeit a bit slower

China already has the potential to develop core technologies and has done so

The Key is commercialization of these core technologies on a large enough scale.

It’s the same as Anti Russian Sanctions

China will come through because THE ECONOMICS IS WITH CHINA

As long as their Energy is uninterrupted.

And trust me if US play with Chinas Food Or Energy – China would handle things very differently indeed.

Supposedly the Biden administration is trying to make peace with China during Christmas

I’m slowly on the mend.

Posts will be a tad on the weak side until I am up to par.

Please all take care. All is good on MM side here.

And for today, we have…

…the American proxy nations of Japan and Australia are running around raising a ruckus. Same games. Same bullshit.

Enjoy this post, and make yourself some eggnog.

Artifacts retrieved from site of first ever ancient naval battle

 

In November, 2013, archaeologists announced the recovery of a treasure trove of artifacts off the coast of Sicily from the site of the first ancient naval battle ever discovered, including battering rams, helmets, armour and weapons dating back 2,000 years.

artifacts ancient naval battle
artifacts ancient naval battle

They are the remnants of the Battle of the Egadi Islands – the last clash from the first Punic War which took place in 241 BC – in which the Romans fought the Carthaginians in a battle that culminated from more than 20 years of warring as the Romans struggled to gain a foothold in the Mediterranean Sea.

While the Carthaginians were much more powerful on the water, the Romans lay in wait trapping the Carthaginians and blocking off their sea route in a sudden attack. Up to 50 Carthaginian ships were sunk, killing up to 10,000 men.

The Roman victory set them on the road for Europe-wide domination. The priceless horde of artefacts had lain undisturbed on the seabed at a depth of 100 metres for more than two millennia.

Another Food Processing Plant BURNS!

.

A “Pilgrim’s Pride” food processing plant in Mooresville, West Virginia, burned last night. This brings to over a DOZEN large food processing plants to suffer a large fire within the past year. It seems as though someone wants to affect the US food supply – and they are.

’Tis better to give

I knew I was not supposed to be quite so excited. I was too old for that. At age eleven, the oldest and my mom’s “grown up” girl, I had to keep my cool. I was in middle school after all. But every chance I got, when I was alone, I checked each present under the tree. I read every tag and felt every package, guessing at the contents within. I had examined each gift so often that I could tell which present went to which person without even looking at the tags.

It had been a tough year for my family. Whenever my mom looked over at the tree and scattered presents, she would sigh and warn us, “There won’t be as much for Christmas this year. Try not to be disappointed.” Christmas had traditionally been a time for my parents to spoil us. In years past, the presents would pile up and spill out from under the tree, taking over the living room. I had heard the phrase “giving is better than receiving,” but thought that whoever had said that must have been out of their mind. Getting presents was the whole point! It was the reason I couldn’t get to sleep on Christmas Eve.

On Christmas morning, we eagerly waited in the hallway until Dad told us everything was ready. We rushed into the living room and let the wrapping paper fly. We made weak attempts to wait and watch while other family members opened their presents, but as the time passed we lost our self-control.

“Here’s another one for you,” said Mom as she handed me a package. I looked at it, confused. Having spent so much time examining the presents before Christmas, I recognized this one. But it had not been mine. It was my mom’s. A new label had been put on it, with my name written in my mother’s handwriting.

“Mom, I can’t…”

I was stopped by my mother’s eager, joyful look—a look I could not really understand. “Let’s see what it is, honey. Hurry and open it.”

It was a blow dryer. Though this may seem but a simple gift, to me it was so much more. Being an eleven-year-old girl, I was stunned. In my world, where receiving outweighed giving by light years, my mom’s act of selflessness was incomprehensible. It was a huge act. Tears filled my eyes and I thought in disbelief about how much my mom must love me to give up her Christmas so I could have a few more presents.

I have always remembered that Christmas fondly. It had such an impact on me. As an adult with children in my life whom I adore, I can now understand my mom’s actions. I see how she was not “giving up her Christmas” as I had thought, but was finding an even greater joy in her Christmas because giving truly is better than receiving. My mom’s simple act meant the world to me.

—Jennifer Yardley Barney

USA Unemployment

Based on the criteria in place a quarter century ago, today’s US unemployment rate is between 9 percent and 12 percent; inflation is 7 or 10 percent; economic growth since the recession of 2001 has been mediocre, despite a huge surge in the wealth and incomes of the super rich, and we are falling back into recession. A picture of our economy today, ex-distortion, would reveal a nation in deep difficulty, not just domestically, but globally. Numbers Racket. Kevin Phillips.

China calculates GDP by summing the value of what it produces. If it builds an airplane it adds the airplane’s wholesale price to its gross domestic product. If it builds a dam, it adds the dam’s cost to its gross domestic product. America calculates GDP by summing the cost of the airplanes it makes–then adding the imputed cost of services Americans provide for each other.

Most American GDP is generated by people taking in each other’s laundry: rent, tuition, healthcare, commissions, fees, and jailing millions of people. Are accounting, consulting, real estate commissions really ‘products’? Is Bitcoin? (Is prostitution? Britain says ‘yes’).

Services account for 61% of America’s GDP and 11% of China’s largely because of price inflation. Medical costs are 7% of China’s GDP and 19% of America’s because CT scans cost $2,000 in Kansas City, while a full physical in Shenzhen, including CT, cost 580 yuan, $92. My US cellular service, vastly inferior to Shenzhen’s, costs five times more. My San Francisco barber’s $85 haircut is indistinguishable from a $2 Kunming trim.

They find a deserted cabin and take shelter. They find a sleeping bag, a bed, and a pile of blankets. The priest, being a gentleman, offers the nun the bed and takes the sleeping bag for himself.

As they get tucked in for the night the nun calls out, “Father, Father I’m cold!”

So the priest gets up and puts another blanket on the nun. “Is that better Sister?” he asks. “Yes Father, much better,” she replies. So he gets back in his sleeping bag and starts to nod off when she again calls out with, “Father I’m still cold!”

So once again the priest gets up and puts another blanket on her, ensuring she is tucked into the bed well. “Is that better Sister?” he asks.

“Oh yes Father, that’s much better,” she says.

So the priest gets himself back into the sleeping bag and this time is just starting to dream when he wakes up to her call of, “Father, Father I’m just so cold!”

The priest thinks long about this and finally says, “Sister, we are in the middle of nowhere in a blizzard. No one but you, myself, and the lord himself will ever know what happens here this night. How about, just for this night, we act as though we were married?”

The nun thinks on this for a minute, she can’t help but admit to herself she’s been curious, and finally answers with a tentative, “OK Father, just for tonight, we will act as though we are married.”

So the Father replies,

“Get up and get your own damned blanket ya cow!” and rolls over to fall asleep.

Cranberry Orange Muffins

“I love the taste of Cranberry, and these muffins are an excellent combination of cranberries and oranges!”

2022 12 13 15 42
2022 12 13 15 42

Ingredients

Directions

  • Combine dry ingredients.
  • Stir in cranberries.
  • Beat egg, orange juice, oil and orange rind.
  • Add to dry ingredients all at once.
  • Stir just to moisten.
  • Spoon into greased muffin cups.
  • (fill 3/4 full).
  • Sprinkle tops lightly with a bit of sugar.
  • Bake 400F 15-20 mins until lightly browned and firm to the touch.

2022 12 13 15 44
2022 12 13 15 44

A mysterious gift

My husband has had dementia for almost a decade. He has almost no short-term memory. He can no longer read, use a phone, or use a credit card. Six years ago when the Christmas catalogs came, I saw a tablecloth I wanted, but it was sold out. On Christmas morning, there was an unwrapped box under the tree. Somehow my husband had found the right catalog, the right tablecloth, called them, and convinced them to find a tablecloth to send in time for Christmas. I won’t ever know how he did it, but it was the best gift ever.

—Roberta Sampere, Dolgeville, New York

NATO is Running Out of Weapons to Send to Ukraine – or Defend Themselves!

.

Months before Russia launched its counteroffensive against NATO’s crawling encroachment on its western borders, the political West started sending massive amounts of weapons and munitions to the Kiev regime.  Now . . .  they’re running out!

Initially, the deliveries primarily included tens of thousands of man-portable missiles for various purposes, including ATGM (anti-tank guided missiles) and MANPADS (man-portable air defense systems) weapons. Even then, it already became clear that NATO’s stocks couldn’t provide enough weapons for a long-term conflict, while it would take years to ramp up deliveries by expanding production lines. This was further exacerbated when the Kiev regime started asking for more advanced weapons and systems amid mounting battlefield losses.

Many NATO member states were (and still are) forced to send weapons and munitions which were already in short supply for their own militaries. This is particularly true when it comes to former Warsaw Pact member states of the belligerent alliance, many of whom were forced to give up their Soviet-era weapons. Old NATO powers promised to send their weapons to replace these older arsenals of the alliance’s Eastern European members, although this process proved to be quite slow. On the other hand, the Kiev regime’s ever-growing demands are putting additional pressure. As NATO’s current production capacity simply cannot meet these requests, the Neo-Nazi junta’s battlefield prospects look grimmer by the day. “If this does not happen, we won’t be able to win — as simple as that,” Dmytro Kuleba, the Kiev regime chief diplomat warned during a recent meeting.

On November 26, the New York Times reported that approximately two-thirds of NATO members have effectively run out of weapons by sending them to the Kiev regime. Even the more prominent alliance members with big MICs (Military Industrial Complexes) are having major issues keeping up with the Kiev regime’s demands.

According to an unnamed NATO official, 20 out of 30 member states are “pretty tapped out” in terms of additional weapon and munition supplies to the Neo-Nazi junta. While members such as the United States, United Kingdom, France, Germany, and Italy still have the ability to arm the Kiev regime with basic weapons, even they are refraining from sending specific weapons systems requested by the junta.

The demands include various types of strategically impactful weapons, including surface-to-surface guided missiles such as ATACMS, a weapon with a 300 km engagement range. The US officially rejected such demands, supposedly “out of concern” the missiles could be used to attack targets deep within Russia. However, the more likely reason is that the Pentagon is fully aware of the fact that it would take years to replace its current stocks of such missiles and it’s not very keen on expending them all without certain replacement. The same is true for many other types of weapons and systems which are equally needed to maintain optimal military power.

Artillery is especially important in this regard. As soon as the Kiev regime started burning through its Soviet-made stocks, many of which were also destroyed in Russia’s long-range strikes, NATO was forced to provide both artillery pieces and shells. As the alliance’s post-(First) Cold War doctrine shifted toward a more interventionist style of warfare, artillery became less important, resulting in ever-shrinking stocks.

According to various reports, the enormous demand for artillery munitions is putting tremendous pressure on NATO members trying to meet the Kiev regime’s requests. At present, the Neo-Nazi junta forces are firing at least five thousand shells per day, but the US, by far the most heavily armed NATO member state, can only produce 15,000 shells per month. Camille Grand, a defense expert at the European Council on Foreign Relations, told the New York Times that “[a] day in Ukraine is a month or more in Afghanistan.”

On the other hand, the soaring demand is extremely profitable for the Military Industrial Complexes (MIC) of the political West.

“Taking into account the realities of the ongoing war in Ukraine and the visible attitude of many countries aimed at increased spending in the field of defense budgets, there is a real chance to enter new markets and increase export revenues in the coming years,” according to Sebastian Chwalek, CEO of Poland’s PGZ, a corporation that owns a number of weapons manufacturers.

However, the US MIC has been experiencing by far the largest windfall in this regard. Arms industry giants such as Lockheed Martin and Raytheon already made billions in the opening months of the Ukrainian crisis.

Back in May, during a visit to a Lockheed Martin plant, US President Joe Biden stated that the US would ramp up weapons production, but that “this would not come cheap.” However, most US officials and experts agree that this is not only a question of funding, as it will take years to increase production in order to meet the current demand, which is expected to grow exponentially in the foreseeable future.

“If you want to increase the production capability of 155 mm shells. It’s going to be probably four to five years before you start seeing them come out the other end,” according to Mark F. Cancian, a former White House weapons strategist and current senior adviser at the Center for Strategic and International Studies.

The US and NATO have already stated that they’re committed to fighting a long proxy war against Russia in Ukraine. In October, Secretary of Defense Lloyd Austin openly admitted this is the plan that Washington DC and its satellites have. He said that the US and NATO would “boost Ukraine’s defensive capabilities for pressing urgent needs and for the long term.” However, as the US is profiteering from the crisis, especially at the EU’s expense, the bloc is becoming increasingly frustrated, a feeling even the most senior officials in Brussels are now ready to express more freely than ever before.

Welcome to Geo-politics 101.

Let’s suppose you went to a local restaurant, sat down, and ordered a Denver omelette and a cup of coffee. The price of that meal will depend on the cost to make the meal, and the ingredients involved.

  • If the cost for ground coffee goes up, the cost of that cup of coffee will be more.
  • If the cost for eggs, go up, so too will the cost of the omelette.
  • If the cost of electricity / gas for the stove goes up so will the omelette and the coffee both.

This is how tariffs work. This is one of the techniques that the United States uses to “suppress” other nations.

  • If the cook cannot get eggs, no omelettes can be made.
  • If the cook cannot get coffee, no coffee can be brewed.

This is how sanctions work. This is one of the techniques that the United States uses to “suppress” other nations.

  • If the cook stays home, there is no one to make the food, and the restaurant must close.
  • If a customer goes in and starts breaking plates, and throwing food everywhere, the store must close.
  • If the customer goes into the bathroom, and makes a mess, the restaurant will need to close the bathroom.

This is how NED color revolutions work. This is one of the techniques that the United States uses to “suppress” other nations.

  • If all the chickens get sick, eggs will be harder to get, and so the cost of the eggs will go up.
  • If the cows are sick, the milk will get harder to obtain, and the cost of the omelette will go up.
  • If the cook is sick, there won’t be anyone to make the omelettes, and the restaurant will close.

This is how bio-weapons work. This is one of the techniques that the United States uses to “suppress” other nations.

  • If someone shoots the cook. No one can make the food.
  • If someone burns down the restaurant building, the restaurant goes out of business.
  • If someone shoots and kills the chickens, and the cows, there will be no food that can be served.

This is how wars work. This is one of the techniques that the United States uses to “suppress” other nations.

Now, let’s summarize these key ideas and concepts with a simple mind-exercise.

We can observe that the United States has used all of these techniques over the last 100 years against many nations. Mostly it’s been accomplished through a great combination of techniques above. The harder it is for a nation to suppress, the more complete the techniques used.

In a similar fashion, we can see that the hardest nation of all to suppress is China. What techniques do you all suppose that the United States is currently using against that behemoth?

“POINT OF NO RETURN” – Kremlin: Russia and the West Have Reached the “Confrontation” Stage

.

The relationship between Russia and the West has reached the confrontation stage, and the sides will have to live in this environment further on, Kremlin Spokesman Dmitry Peskov told Pavel Zarubin’s Moscow. Kremlin. Putin program on Rossiya-1 TV channel.

“Well, we are not moving. We have already arrived at a station named ‘Confrontation’, and we have to be reserved, strong, to have underlying strength, because we will have to live in the environment of this confrontation,” he said when asked where the relationship between Russia and the West is moving.

UPDATE 8:41 AM EST —

Russian Deputy Foreign Minister Ryabkov said just moments ago…

"US hostile actions & [1] arrogant disregard for Russia’s legitimate demands for security guarantees, incl [2] non-deployment of strike weapons near the Russian border, [3] non-expansion of NATO, [4] return of its military potential to 1997 level, have brought relations between the USA and Russia to a point of no return."

Rebecca died for the Nazis. A female name has been added to US losses in Ukraine

From HERE

Recently, an interesting trend has emerged – in line with the increase in the number of US financial injections into the Kiev regime, the number of American citizens dying in Ukraine is also growing.

Volunteer for military conflicts

This time a female name appeared on this list. 28-year-old resident of Tennessee Rebecca Maciorovsky was killed during the fighting in Ukraine. The death of an American woman in social networks is confirmed by her friends and acquaintances.

The Colorado native grew up in a religious family. Her parents gave her a home education, not trusting public schools. From 2014 to 2021, Maciorowski trained as a nurse and received a professional diploma with a specialization in general surgery.

During her studies, she trained in the ranks of volunteer organizations, acting in the conditions of military conflicts in different countries of the world. According to other sources, Maciorowski gained experience as a military medic directly in the ranks of the US Army.

“Extreme tourism” with a fatal outcome

In 2022, through structures recruiting mercenaries to participate in hostilities on the side of the Armed Forces of Ukraine, Maziorovsky came to Ukraine, entering the foreign group of the Hospitallers medical battalion. This structure is part of the Ukrainian Volunteer Army, formed on the basis of the Right Sector terrorist organization recognized in Russia.

Initially, Maciorowski, like other foreigners from the Hospitallers, was tried to be kept away from the front line, therefore, judging by the records and photos on social networks, the American treated what was happening as a form of extreme tourism.

In early autumn, Maciorowski left for the United States, where she campaigned for her compatriots to help Ukraine, and also called for them to go to the war zone.

A month later, she again came to Nezalezhnaya, but this time the foreigners were thrown into the thick of it. According to some reports, Maciorowski could have died in battles in the Artemovsk area.

The further, the more

In April 2022, Washington acknowledged the death of 22-year-old Willie Joseph Kansel, a former Marine who worked in Ukraine for a private military company.

In June, the United States reported the death of 52-year-old Stephen Zabelsky, who was killed on May 15 during the fighting near the Ukrainian village of Dorozhnyanka.

Grady Kurpasi, who served 20 years in the US Marine Corps, a participant in the Iraq campaign, was awarded medals from the United States, went missing in the Kharkiv region in April 2022.

Two more Americans, Luc Lucishin and Brian Young, were killed in July 2022. According to the Ukrainian side, the mercenaries were ambushed. Together with them, the Canadian Emile-Antoine Roy-Sirois and the Swede Edward Selander Patrignani were liquidated.

In October, it became known about the destruction of a former US Army soldier, a native of Idaho Dane “Birds” Patridge. A mercenary who had been fighting in Ukraine since April received a shrapnel wound to the head and died in the hospital.

Losses of the “Foreign Legion of Ukraine”

In the same month, as a result of the exchange, the body of US citizen Joshua Jones was transferred to the Ukrainian side . The mercenary nicknamed “Tactical Jesus” was eliminated back in August.

Another mercenary, 28-year-old New Zealand citizen Donimik Abelen, also died with him. Interestingly, Abelin was a corporal in the New Zealand army and, according to the official version of the authorities of this country, he went to Ukraine, taking a vacation without pay.

On November 8, 2022, 21-year-old US citizen Trent Davis was killed in the Kherson direction. The Kansas native served in the US Army as a chemical operations specialist and first came to Ukraine in March 2022. But then he was sent home, because the American did not have any real combat experience. However, in the autumn he tried again, and on November 4 he was accepted into the “Foreign Legion of Ukraine”. In his first fight, Davis received injuries incompatible with life, and died on the way to the hospital.

A resident of Washington did not heed the voice of reason

Also at the end of November, it became known about the death in Ukraine of 23-year-old Washington resident Skyler James Gregg. The American left for Square in April, having no combat experience. Nevertheless, he was included in the “Foreign Legion of Ukraine”. Two months later, Gregg was wounded during shelling near Kharkov. He ended up in the hospital, but after being cured he returned to the ranks of the militants. At the end of October, the American again came under shelling, and this time it ended fatally for Gregg. The fact that he was no more, relatives were informed only a month later.

US officials are extremely reluctant to comment on the deaths of compatriots in Ukraine. According to the Russian Ministry of Defense, by June 2022, at least 89 Americans had died in the battles in the NMD zone.

What you can be completely sure of is that this list is constantly growing.

Pepper’s last gift

Whatever life threw at us each year, come Christmas our family had one constant tradition: our dog Pepper opened our presents for us. When our beloved Black Lab mix had been a gangly adolescent puppy, we had only given her unbreakable gifts to unwrap—things like pajamas and steering wheel covers. She proved to be so careful that we soon gave her any gift that wasn’t edible. Every time, Pepper found the seam in the wrapping paper with her snout and held the present down gingerly with her forepaws. Her front teeth pried up the lip of paper with the utmost care. Then she removed every inch of wrapping paper before stepping back to lie in the midst of our gathering. She never bit or scratched the gifts themselves.

Friends and relatives who joined our family celebrations never believed Pepper could be so delicate until they witnessed her talents. Watching our sweet dog unwrap gifts always warmed the holiday, which was often a little bittersweet because college, studying abroad, or work commitments often kept my two sisters and me away.

One year, everyone made it home for a Christmas together. I was back from Ireland, Kaci flew in from Arizona, and Kara visited from college. Mom’s jubilance kept her busy baking cookies for us all. Our Christmas season should have been perfect.

It couldn’t feel perfect, though, because Pepper’s health was deteriorating. Her life had already been longer than we expected—she was fourteen—and yet her mind was still sharp. Her enthusiasm for life made us feel better. But her body could not keep up with her spirit. She’d already shown the usual signs of deafness and stiffness. That year, her hips and back legs started giving out on her. We knew we would soon have to make a difficult decision.

It was likely Pepper’s last Christmas, so we decided to make sure she enjoyed it. On Christmas Eve, we gathered around the tree to open an early present. We each took a turn and then called Pepper to open one more. But her tangled legs could not navigate the boxes and shredded wrapping paper on the floor. She stumbled over the obstacles, and soon she disappeared into the next room. She crumpled back to the floor, as out of the way as she could get.

We were heartbroken. Could Pepper even participate in her last Christmas?

Pepper stayed on the periphery of all our holiday activities. Throughout the day, we gave gifts but did not feel very giving. We shared stories over cinnamon rolls that tasted bland. We played games by the tree whose twinkles had dimmed.

That evening, Kaci said what we’d all been thinking: “I wish Pepper could have helped open presents this year.”

We all put down our mugs of spiced tea. “Maybe she still could,” Kara said.

“But there’s none left,” Mom reminded her.

Kara jumped up and left the room. We heard her opening drawers and cabinets in the kitchen. She returned with a box of dog biscuits, scissors, and a roll of tape.

“Hand me that green paper,” Kara told me, pointing at a large sheet at my feet. She cut a small section from the paper and wrapped a single dog treat in it. She held it up as if she had just struck gold. “Now there’s a present for her!”

I knelt on the floor next to Kara and wrapped another dog treat. Kaci and Mom joined in, too. Soon, we had four elegantly wrapped dog biscuits in a row on the floor. We cleared the floor of discarded wrapping paper. We tucked our legs under us as we perched out of the way on the furniture.

“Go get Pepper,” we urged Mom. We all bounced like eager children.

Mom went into the next room. “You want to open a present, girl?” she coaxed. In a moment, Pepper stuck her head into the room. Her ears were fully perked with anticipation and curiosity.

She skidded on stilted legs to the row of presents. She sniffed all four in order, and looked back and forth between them. She’d never had such a wide choice of gifts before.

Soon, Pepper selected her first Christmas gift. She nimbly turned the present with her forepaw, just like she was a spry young dog once more. She tugged every last scrap of paper off the dog treat before she chewed it with her customary grace.

Our family swelled with glee.

Pepper licked the last crumb from the floor. She eyed the remaining three presents, then turned to Mom as if asking, “May I please open another?”

“Go ahead, girl!” Mom encouraged.

For the next few minutes, Pepper opened each of her Christmas presents. While she did, she reminded us of the sheer joy of being together. Our family felt whole—not because we were in the same room, city, or country, but because our love bonded us together.

In the new year, Pepper let us know it was time to call the veterinarian. Her passing, while tearful, was peaceful. In its own way, her passing was also a celebration of life, because she gave my family so much love and laughter.

Long after I forgot each of my presents, I still cherish Pepper’s final Christmas gift. She taught me that no matter where we each spend the holidays, and no matter what the passing year brings, the smallest act of heartfelt giving can unite our family through our love. For me, that knowledge is the longest-lasting gift of all.

—Zach Hively. 

Cancel Culture Adopts “Technical Glitches” To Shut Off American Christians

.

Not to put too fine a point on this . . . WHO is it that primarily runs the media? It ain’t Irish names doing it. It just so happens to be people who literally HATE Jesus.

https://youtu.be/DVQTX-zePSQ

Now, as you watch TV from this moment on, you’ll be more aware of how they’re using “technical glitches” to silence American Christians.

The Christmas cassette

In June 2003, I buried my 26-year-old son. The following Christmas was the worst of my life. I was consumed with grief to my very core. As I awoke early Christmas morning, I decided to write a few Christmas cards, belated or not. I went to the drawer where I stored the boxed cards. The drawer would only open slightly; something was jamming it. The cause of the jam was an unlabeled cassette. I had no idea what was on it or how it had gotten there. I popped the cassette in the player and waited to hear whatever mystery it held.  Soon I heard my own voice. In a whisper, I say, “It’s Christmas morning, and Kyle is still sleeping.” Kyle awakens and sleepily comes to the realization that he gets to check the tree. His childish voice goes on to name his toys from Santa. The last words on the tape are both heartfelt and heartbraking. They are three-year-old Kyle saying “Merry Christmas, Mom!” I know my son made this Christmas miracle happen so I could have a smile in my heart that morning.

—Connie Owen, South Milwaukee, Wisconsin

A Wall Street Bank Is Warning That Millions Of Americans Will Lose Their Jobs In 2023

.

Is your job secure?  Over the past couple of years, American workers generally didn’t need to be concerned about job security.  Even if someone got fired unexpectedly, it was just so easy to find new employment because there simply was not enough able-bodied workers out there.  But now everything is changing.  Some of the largest corporations in the entire country are starting to conduct mass layoffs as the U.S. economy steadily slows down.  Unfortunately, it appears that a lot more pain is ahead.  In fact, as you will see below, one of Wall Street’s biggest banks is ominously warning that millions of American workers will lose their jobs next year.

Stories about mass layoffs are hitting the news at a fast and furious pace these days.  For example, we just learned that a factory that makes Jeep Cherokees in northern Illinois will be laying off 1,350 workers

The company, which employs about 1,350 workers at the plant in Belvidere, Illinois, said the action will result in indefinite layoffs and it may not resume operations as it considers other options.

Stellantis said the industry ‘has been adversely affected by a multitude of factors like the ongoing COVID-19 pandemic and the global microchip shortage, but the most impactful challenge is the increasing cost related to the electrification of the automotive market.’

I was very sad to see that happen.

Those that work in our automotive factories are some of the hardest working people in the entire nation.

Other types of workers are losing their jobs in very large numbers as well.

Earlier today, I came across a story about layoffs that will affect 1,800 employees that worked in phone kiosks inside Costco stores…

Over 1800 employees were laid off at Wireless Advocate which operates the phone kiosks inside Costco Wholesale stores.

A local Costco representative said staff is just becoming aware that all their phone kiosks abruptly ceased operations at all Costco Warehouses on December 5, 2022.

If you lose your job, I would try to find another one as rapidly as possible, because the longer you wait the more people you are going to be competing against.

As I mentioned earlier, even some of the largest corporations in the U.S. are now laying off large numbers of people.  In a previous article I discussed the layoffs that are coming at Amazon, and now it appears that those layoffs will be even larger than originally anticipated

It appears that Amazon plans to fire 20,000 people, which is twice as many as previously estimated. Workers from distribution centers, IT professionals, and corporate leaders will all be let go by Amazon across a number of areas. According to those with knowledge of the situation, Amazon layoffs will happen in the upcoming months. Staff at all levels are likely to be impacted because Amazon workers are ranked from level 1 to level 7. The NYT originally revealed that Amazon plans layoffs in mid-November, citing sources who said that as many as 10,000 workers would be let go.

Sadly, the truth is that we are still only in the very early stages of this new crisis.

Many more layoff announcements will be coming in the months ahead, and at this point a division of Citibank is projecting that the U.S. economy will lose approximately 2 million jobs next year…

The group said in its latest outlook report published this week that the economy could lose an estimated 2 million jobs in 2023 as the jobless rate climbs to 5.25%.

“We believe that the Fed’s rate hikes and shrinking bond portfolio have been stringent enough to cause an economic contraction within 2023,” the economists said in the report. “And if the Fed does not pause rate hikes until it sees the contraction, a deeper recession may ensue.”

If we actually lose that many jobs, it will be catastrophic.

Meanwhile, Americans are steadily getting poorer.

From January to September, U.S. household wealth plunged by a whopping 13.5 trillion dollars.

Part of the reason this is happening is because home values are starting to fall quite rapidly.

And they are going to fall even more if the Federal Reserve continues to raise interest rates.

Unfortunately, Fed officials just keep telling us that more rate hikes are coming.

Homebuilders are being hit extremely hard as well.  They started lots of new houses when times were still good, and now they have lots of inventory and very few buyers

If a homebuilder cannot sell their ballooning inventory of unsold new houses to households, at current prices and mortgage rates, amid plunging sales and soaring cancellation rates of signed contracts – topping out at 45% in the Southwest and at 38% in Texas – despite aggressive incentives such as mortgage-rate buydowns to stimulate sales and prevent cancellations, well, whom are homebuilders supposed to sell those houses to?

Thanks to the Fed, the entire housing market is a giant mess at this point.

Hundreds of thousands of homeowners are now underwater on their mortgages, and the early payment default rate has risen to heights that we saw back during the peak of the last housing crash in 2009…

Digging deeper into the month’s data, Black Knight found that, while still relatively low among conforming loans, the early-payment default (EPD) rate – which captures mortgages that have become delinquent within six months of origination –– has risen among FHA loans for much of the past year to reach its highest level since 2009, excluding the months in the immediate wake of the pandemic.

Economic conditions are already really bad, and they will soon get a whole lot worse.

Signs of trouble are erupting all around us.  For instance, I was deeply alarmed when I read that Orlando International Airport is experiencing a very serious fuel shortage

The Federal Aviation Administration is warning pilots that Orlando International Airport (MCO) is running low on fuel, and that could mean hiccups in the days ahead for travelers.

In an official notice, the agency said that the airport could continue having supply issues through about 7 p.m. Tuesday, and suggests that airlines should be prepared to operate flights into the airport with enough fuel on board to fly back out.

I have never heard of an entire airport being short on fuel for an extended period of time before.

Hopefully this is just a temporary setback.

But what isn’t temporary are the long-term economic trends.  They have all been going in the wrong direction for a long time, and now a moment of reckoning has arrived.

Enjoy the next few weeks while you still can, because it appears that 2023 is going to be a very painful year.

A Christmas present, delayed

I was ten the summer my dad helped me buy my first ten-speed bicycle from Father Allen. I put up $60 of my grass cutting and snow shoveling money, and my dad put up the other half. I would pay him back in installments over the next six months. Although it was the kind of bike you’d expect a priest to have (dull silver, slightly worn, no baseball cards in the spokes), it was my ticket to the adult world.

I spent that summer and autumn riding as if to put Greg LeMond to shame. My sister Liz, a prisoner of her five-speed and banana seat, never had a chance to keep up. We’d always been stuck with hand-me-downs from our older brothers and sisters, a few of whom had notoriously bad taste in bikes. Now, however, I was able to ride to every corner of town, sometimes even as far as the beach. In those heady days before one acquires a driver’s license, a good bike is a magic carpet.

Just before the Christmas deadline to pay my dad back, we were hit with several snowstorms. This allowed me to shovel enough driveways to pay off my debt. I was now officially a bike owner; it was a feeling unlike any other.

It’s important to note that while my mom and dad were fantastic parents, they couldn’t be trusted with the awesome responsibility of buying appropriate Christmas presents. They were too quick to pass off gloves, sneakers, and shirts as “presents.” And while we might say a prayer over the Baby Jesus in the manger on our way to church, He seemed too busy at this time of year to leave presents under the tree. We outsourced our requests for the really good presents to Santa.

For her family of seven kids, my mom developed a system in which she decorated the outside of seven large boxes with different types of wallpaper. We each had our own box that contained six or so presents, and we’d close our eyes and reach in to grab one when it was our turn. This cut down on hours of wrapping and satisfied my dad’s Naval sense of order.

The downside was we opened one present at a time so everyone could “appreciate” each other’s gifts. Neither Liz nor I “appreciated” this system because we went last. After the obligatory “oohs” and “aahs,” each of us held up our present for family review, a process that averaged about five minutes or so. This meant Liz and I had to wait about forty-five minutes between each present, so patience was in short supply—when one of us pulled out a belt or package of underwear, we seethed the entire time.

My dad, a master showman, liked to keep a few of Santa’s better presents for the end. On that fateful Christmas morning, he gave me a used portable record player. I was ecstatic—I was finally untethered from the “family stereo” that all of us fought over.

Alas, my elation was short-lived after my dad called my sister to the kitchen. “We have one more gift for you,” he said as he opened the door that led to the garage. There, on the steps, stood a brand new ten-speed Schwinn. I didn’t hear her screams of joy—all I could hear was the sputtering engine of the lawnmower, the endless scraping of the metal snow shovel on concrete. I’d endured far too many hours of indentured servitude for my used bike; that Santa could give Liz this sparkling machine less than a week later was a sign that he was losing his touch. Could Mrs. Claus be putting something in his food?

I slumped onto the floor. My ten-speed chariot had turned into a pumpkin in the time it took my sister to hop on the gleaming leather seat.

“Let’s go for a ride, Rob!” she sang, my dad holding the bike upright as she put her feet on the pedals.

“Too snowy to ride,” I muttered, pushing the record player farther away from me. The symbolism seemed lost on my dad.

I seethed for the rest of the day, then the rest of the week. My dad was not someone to whom we complained about presents (not if we ever wanted to see another, anyway). Santa always seemed to lose interest after Christmas, rarely accepting returns or trade-ins. That left the Baby Jesus, but He wasn’t answering my prayers—I could tell because Liz’s bike had yet to crumble into a pile of rust flakes.

After a few weeks of watching me pout, my dad finally pulled me aside. “Everything okay?”

“It’s not fair,” I whined. “I worked so hard for my bike, and it’s not even new. Then Liz gets a brand new bike as soon as I make the final payment. She didn’t have to do anything for it.”

My dad smiled. “She didn’t have to do anything for it because it’s not really for her,” he said, and then left the room.

What did that mean? I didn’t want her bike—it had the girly bar that sloped down to the ground and a flowery white basket on the handlebars. I could turn it in for a new set of action figures, I figured, but she’d been on it every day since Christmas—no way they’d let me take it back now. I eventually got over it, chalking it up to elf error (the naughty and nice list can be cumbersome).

By spring Liz and I were riding all over town together now that she could keep up. Sure, I’d lose her on the steep slopes, but I always let her catch up when we went downhill. Initially, the youngest children in a large family form a bond out of necessity—older siblings can be taxing, and there are only so many locked doors one can hide behind. Sometimes, you need someone else in the foxhole with you.

As we grew, Liz and I became true friends. We biked down to swim at the local pool, then put in seven miles to take the free town tennis lessons together. We planned secret parties when my parents went on trips and played a game of “Who can leave less gas in the tank” when we finally got our drivers’ licenses. I relied on her to put names to faces when we were at parties, and she treated my best friends as her personal dating service. We ended up at the same college, and even graduated the same year.

Still, I wasn’t smart enough to figure out what my dad meant until years later. That brand new bike was not a gift for Liz—it was a gift for me. He’d given me the gift of my sister’s company, the ability to stay together rather than drift apart in the face of my ability to travel. He gave me my best friend.

It’s a gift I’ve treasured every day since.

—Robert F. Walsh.

The arrogance of US and EU and their deceit is shocking.

This is a MUST WATCH video.

New Poll Finds Covid Vaccines Are Not Safe – Estimated 12 Million Americans Report Major Side-Effects

.

Major polling company Rasmussen polled the American people with a simple four question survey and found:

  1. 32% were not vaccinated.
  2. 7% of those surveyed had a major side effect.

A 7% major side effect rate is unprecedented.

We know from the V-safe data that this effectively means that the side-effect was so bad, they had to seek medical attention.

If any drug had that kind of safety profile, it would be immediately pulled from the market.

Would you take any drug with that kind of side-effect profile? Of course not. It’s off the charts! However, because we were told it is a safe and effective vaccine, people do what they are told despite the lack of safety. That’s how science works.

Note that people who were killed by the vaccine were unable to participate in the survey, so the actual numbers are slightly worse.

Rasmussen also admitted that Google censors unfavorable results! In short, they admitted that it’s worse than they are “allowed” to tell people (see their tweet). Wow.

 

 

in their own words: “We asked… and the answer is not good.”

(Hal Turner Remark: In other words, all of us so-called “misinformation spreaders” were right all along. They should have listened to us.)

Will this change anything? Of course not. Everyone will continue on, as if this never happened. The poll will be ignored just like all the data (including polling data) showing the vaccines are killing hundreds of thousands of people.

The survey

Here are the results that YouTube is allowing them to share. Watch it now before YouTube censors it.

Incredible discovery of boat wreck in Croatia dated to 3,200 years

 

In March, this year, marine archaeologist and researcher at the Centre National de la Recherche Scientifique (CNRS) in France, Giulia Boetto, announced the incredible discovery of a boat wreck in Zambratija Cove, Croatia, which was just dated to 1,200 BC.

boat wreck Croatia 2
boat wreck Croatia 2

The unique and rare finding is a Bronze Age sewn boat, a type of wooden boat which is literally sewn together using ropes, roots, or willow branches.

The boat measures 7 metres in length and 2.5 metres in width and is a sewn boat, which was a technique of shipbuilding practiced in the Adriatic until the Roman era. The remains of the boat found in Zambratija Cove are incredibly well-preserved for its age, with stitching still visible in some areas and the frame largely undamaged.

The different types of wood used to construct it have been identified as elm, alder, and fir, and tree ring dating is currently underway, which will provide the date the tree was cut to the nearest year.  Ms Boetto said that they hope to finalise a 3D model of the boat and, eventually, a complete reconstruction.

Photo from heaven

My daughter and only child, Talena, was killed by a drugged driver in 1994. It nearly destroyed me, but I kept going somehow. I had a favorite picture of Talena from when she was about threeChristmas Day, me sitting on the floor and her sitting on my lap. The bond between us was so beautiful. Somehow, I lost that picture after she died. A few years later, on Christmas Day, I opened a book and found the photo inside. I know she sent it to me as a present from heaven.

—Dayle Vickory, Orange Park, Florida

Hospitals Are Overflowing With Patients As Multiple Pestilences Sweep Across America

.

Winter hasn’t even begun yet, but cold weather diseases are already spreading like wildfire all over the United States.  The flu has returned in 2022 with a vengeance, new strains of COVID are reportedly starting to emerge, and RSV has hit some areas of the nation extremely hard.  I don’t ever remember seeing anything quite like this, and the weather is only going to get colder in the weeks ahead.  Normally, most people would be able to fight off such diseases fairly easily, but at this point so many have weakened immune systems after everything that has transpired over the last few years.  As a result, millions of Americans have been getting really sick, and CNN is reporting that U.S. hospitals “are more full than they’ve been throughout the Covid-19 pandemic”…

Hospitals are more full than they’ve been throughout the Covid-19 pandemic, according to a CNN analysis of data from the US Department of Health and Human Services. But as respiratory virus season surges across the US, it’s much more than Covid that’s filling beds this year.

More than 80% of hospital beds are in use nationwide, jumping 8 percentage points in the past two weeks.

Please take the time to read those two paragraphs again.

At no point during the past several years have our hospitals ever been as full as they are right now.

And this has happened even though the proportion of COVID patients in our hospitals has been steadily shrinking

Back in January, about a quarter of hospital beds were in use for Covid-19 patients. But now, only about 6% of beds are in use for Covid-19 patients, according to the HHS data.

We are being told that some of the new strains of COVID that are now emerging represent a potent threat, but obviously it isn’t COVID that is causing the massive surge in hospitalizations that we are currently witnessing.

Instead, confirmed cases of the flu are absolutely exploding right now.  For example, just check out what is going on in Massachusetts

Illnesses caused by the flu are surging in Massachusetts, according to the latest weekly report from the Department of Public Health.

The report issued Friday, which covers the week from Nov. 27 through Dec. 3, included 5,462 cases that were confirmed by laboratory testing. That’s nearly double the 2,846 cases confirmed during the week covered by the previous report.

Sadly, we are seeing similar numbers nationwide.

In fact, the number of Americans admitted to the hospital with the flu roughly doubled during Thanksgiving week…

The number of people admitted to the hospital for flu during the week of Thanksgiving was nearly double the number of admissions during the week before. And the latest surveillance data probably does not reflect the full effects of holiday gatherings, as it captures only through November 26, two days past Thanksgiving.

Meanwhile, RSV continues to rip across America at a breathtaking pace, and very young children are being hit particularly hard.

In some cases, hospitals are actually transferring sick kids out of state because they are so overloaded with patients…

To cope with the flood of young patients sickened by a sweeping convergence of nasty bugs — especially respiratory syncytial virus, influenza, and coronavirus — medical centers nationwide have deployed triage tents, delayed elective surgeries, and transferred critically ill children out of state.

Unfortunately, it appears that this is just the beginning.

Winter will officially start later this month, and so it is likely that things will only get worse in the months ahead.

That is not good news at all, because we are already facing significant shortages of key antibiotics all over the country

“We are so busy we can’t keep up with the phone calls and sick kids,” said Dr. Josie Stone, a pediatrician with Advanced Pediatrics of Boca Raton. While most of the respiratory illnesses Stone sees are viral, children often get complications such as ear, sinus and throat infections that require antibiotics, she said. With children all over the country suffering from the same complications, South Florida pharmacies have a limited supply of many of the most common antibiotics.

The antibiotics in short supply include Amoxicillin and Augmentin to treat ear and skin infections as well as Azithromycin (referred to as a zpack) used to treat certain bacterial infections, such as bronchitis and pneumonia. It also includes medications such as Albuterol to treat asthma or breathing problems.

As I recently covered in another article, the official FDA website says that more than 100 prescription drugs are in short supply right now.

Of course this is something that is not just happening in the United States.  Over in Europe, shortages of many important drugs are also becoming quite widespread

Countries across Europe are reporting shortages of antibiotics as demand for the medicines rises and manufacturers grapple with supply-chain snags.

Amoxicillin, cephalosporins and other widely used antibiotics are in short supply, data from various countries show, raising concerns among doctors and officials about the availability of drugs that are relied on to treat conditions ranging from ear infections to pneumonia.

If supplies of drugs just keep getting tighter and our hospitals just keep getting fuller, it is probably just a matter of time before authorities in many areas will want to impose new health restrictions.

In fact, officials in New York City are already “strongly urging” residents to wear masks.

I thought all of that was behind us, and I don’t think that any of us want to go back again.

Hopefully, the flu and RSV will be the worst diseases that we have to deal with this winter.

Because the truth is that we have been perfectly primed for more outbreaks, and a truly killer virus could easily sweep through the general population.

As I keep warning, we have now entered an era of great pestilences, and if you are expecting the government to save you from what is coming you are going to be deeply disappointed.

No matter what authorities have tried, multiple diseases just keep spreading all around us.

What we have been through so far is just the tip of the iceberg, and so many people are going to die in 2023 and beyond.

Swedish divers find 11,000-year-old underwater relics

swedish underwater relics
swedish underwater relics

 

Earlier this year, Swedish divers made a unique and rare discovery in the Baltic Sea – Stone Age artifacts left by Swedish nomads dating back 11,000 years.

Researchers uncovered a number of remnants that are believed to have been discarded in the water by Swedes in the Stone Age, objects which have been preserved thanks to the lack of oxygen and the abundance of gyttja sediment, which is sediment rich in organic matter at the bottom of a eutrophic lake.

It is extremely rare to find evidence from the Stone Age so unspoiled.

Buried 16 metres below the surface, the team uncovered wood, flint tools, animal horns and ropes. Among the most notable items found include a harpoon carving made from an animal bone, and the bones of an ancient animal called aurochs, the ancestor of domestic cattle, the last of which died off in the early 1600s.

Archaeologists are continuing the dig, and are now particularly interested to see whether there is also an ancient burial site in the region.

Biden Opens Africa Summit With Sanctioning African Leaders

The Biden administration is holding a summit with some 40 leaders of African countries. The New York Times headline of its reporting on the summit is revealing:

Biden Is Bringing Africa’s Leaders to Washington, Hoping to Impress

“Bringing Africa’s Leaders to Washington”? Why not “invited African leaders to Washington”? Isn’t this reminiscent to the millions of Africans who had been “brought to America” in past centuries?

The U.S. is late in fostering better relations with Africa:

NAIROBI, Kenya — In Russia, Africa’s leaders were feted at a seaside resort where military aircraft for sale were parked outside the summit hall. In China, they dined with President Xi Jinping, some of them one-on-one, and received promises of investments worth $60 billion. In Turkey, they won support for a permanent seat on the U.N. Security Council.Now they are headed to Washington for a major summit hosted by President Biden — the latest diplomatic drive by a major foreign power seeking to strengthen its ties to Africa, a continent whose geopolitical clout has grown greatly in the past decade.

There is little hope that the U.S. will do better than other nations:

As the planes of over 40 African heads of state descend on Washington, a question looms: What can Mr. Biden offer that they want?“The U.S. has traditionally seen Africa as a problem to be solved,” said Murithi Mutiga, Africa director at the International Crisis Group. “But its competitors see Africa as a place of opportunity, which is why they are pulling ahead. It’s unclear if this conference is going to change that.”

What the leaders from Africa demand is first of all respect:

Africa’s top diplomat says that, first of all, they want to be heard.“When we talk, we’re often not listened to, or in any case, not with enough interest,” President Macky Sall of Senegal, who is president of the African Union, said in an interview in Dakar last Thursday. “This is what we want to change. And let no one tell us no, don’t work with so-and-so, just work with us. We want to work and trade with everyone.”

There is no serious attempt to really get into better relations with African countries:

There will be initiatives to tap the African diaspora for new ideas in higher education, creative industries and the environment and for collaborations with NASA on space programs. A guide for summit delegates, obtained by The New York Times, predicts that Africa’s “space economy” will grow 30 percent by 2024 — an opportunity for the U.S. to help with technologies to solve problems related to climate change, agriculture, security and illegal fishing and mining.But there is little sign that Mr. Biden intends to launch a signature policy initiative like previous American administrations.

In short: it is a sales show and at least partly aimed at one of Biden’s constituent groups – ‘diaspora Africans’.

There is not much to expect from the meeting but empty words and not so empty threats. Yesterday, the day before the first summit meeting is supposed to take place, the Biden administration set the tone by … sanctioning African leaders:

The Biden administration on Monday slapped corruption sanctions on the son of Zimbabwe’s president as the U.S. prepares to host a major summit of African leaders in Washington.The Treasury Department announced it was hitting four Zimbabwean people and two companies with penalties for their roles in undermining democracy and facilitating high-level graft. Those sanctioned include Emmerson Mnangagwa, Jr., the son of the previously sanctioned Zimbabwean President Emmerson Mnangagwa.

“We urge the Zimbabwean government to take meaningful steps towards creating a peaceful, prosperous, and politically vibrant Zimbabwe, and to address the root causes of many of Zimbabwe’s ills: corrupt elites and their abuse of the country’s institutions for their personal benefit,” Treasury said in a statement.

“The goal of sanctions is behavior change,” it said. “Today’s actions demonstrate our support for a transparent and prosperous Zimbabwe.”

I fail to find a participants list for the summit at the State Department’s Africa Summit page. But I am pretty sure that Zimbabwe as well as ten plus other member states of the African Union will not be present. It would be interesting to learn who those are.

Sanctions are typically reviewed by the National Security Council before they are enacted by the Treasury. That the White House let these pass at this time means that the move is intentional.

Those who are coming will notice this well timed action against the leadership of one of their fellow countries. It is likely supposed to intimidate them: “Watch what could happen to You!”

But times have changed. I doubt that they will fall for such a cheap trick.

Posted by b on December 13, 2022 at 12:37 UTC | Permalink

Catch

Following Christmas dinner, my family was relaxing around the kitchen table. We had all enjoyed traditional turkey, sweet potatoes lightly glazed with brown sugar, and a final wedge of pumpkin pie topped with a dollop of ice cream. The good cooking smells still lingered; the oven remained warm. My sister, our chef, was basking in the compliments—“Fabulous meal,” “I really couldn’t eat another bite,” “Everything was wonderful.” Dad had risen from his chair and was contentedly standing nearby.

My nephew, never one to sit still for too long, began dribbling his new basketball around the table and throughout the kitchen. Upon nearing Dad, he stopped—almost uncertainly. With shaking, wrinkled hands, Dad had reached out for the ball. He did not speak, and the boy, confused, looked up and over at us. It took some convincing, but the ball was gingerly passed over.

I watched my father closely to see what he would do. A playful smile appeared on his face. The twinkle in his eyes shone brighter than any Christmas lights. Holding the ball and reaching forward, Dad bounced it on the floor then caught it.

This action was repeated. Nodding approvingly, he then turned towards our assembled group. Gently tossing the ball away, Dad began a game of catch.

The ball continued to be passed through eager pairs of outstretched hands. Cries of “Over here!” rang through the warm kitchen. Dad’s active participation in this game was remarkable to me, since he had advanced Alzheimer’s disease. This dementia had robbed him of many memories and the recognition of people, places, and points in time. Despite this, Dad clearly recognized the ball and what you could do with it.

In my younger years, playing with Dad was rare. To his credit, Dad worked hard and provided for us. He was very private and never showed nor shared much emotion; his game of choice was chess, which he did eventually teach me how to play. As an adult, I had become a caregiver and watched helplessly as Dad declined. Connecting moments between father and son had been few and far between before he took the basketball.

I’m not sure how long we played catch. Watching the clock was not important. Dad gleefully led us until he began to tire. What I do know is that our game ended all too soon, and it was time to face the reality of dirty dishes piled high on countertops. The moment, though, will certainly last forever. On this Christmas, Dad gave me a special memory—one that I will always treasure.

—Rick Lauber. 

Bacon and Egg Empanadas

2022 12 13 15 45
2022 12 13 15 45

Ingredients

Directions

  • Preheat oven to 375.
  • Stir together the first 4 ingredients in a medium bowl until blended; stir in cheese.
  • Melt butter in a 9 inch skillet over medium heat; add eggs and cook without stirring until eggs begin to set on bottom. Draw spatula across bottom of skillet to form large curds. Continue cooking until eggs are slightly thickened but still moist. (Do NOT stir constantly).
  • Remove from heat and let cool.
  • Flatten each bisquit into about a 5 inch circle.
  • Spread cream cheese mixture evenly over the tops of dough circles, leaving a 1/2 inch border around the edges.
  • Top evenly with eggs and bacon.
  • Fold dough circles in half over mixture, pinching edges to seal.
  • Place 2 inches apart in a 15 x 10 jelly roll pan coated with pam.
  • Brush top of doughs evenly with egg white.
  • Press sealed edges with the tines of a fork.
  • Sprinkle with sesame seeds.
  • Bake at 375 14-16 minutes until golden brown.
  • Remove empanadas with a spatula onto a wire rack.
  • Serve Warm.
  • Leftovers store in a ziplock bag after completely cooled, then place in the refridgerator.
  • To reheat wrap in paper towel and microwave at 50% power for 1-2 minutes.
  • *These are good for OAMC*.

2022 12 13 15 46
2022 12 13 15 46

Sam Bankman-Fried *** arrested *** in the Bahamas

FTX founder Sam Bankman-Fried was arrested by Bahamian authorities this evening after the United States Attorney for the Southern District of New York shared a sealed indictment with the Bahamian government, setting the stage for extradition and U.S. trial for the onetime crypto billionaire at the heart of the crypto exchange’s collapse.

Bankman-Fried was expected to testify before the House Financial Services Committee on Tuesday. His arrest is the first concrete move by regulators to hold individuals accountable for the multi-billion dollar implosion of FTX last month.

Damian Williams, the U.S. Attorney for the Southern District of New York, said on Twitter that the federal government anticipated moving to “unseal the indictment in the morning.”

Bahamas Attorney General Ryan Pinder said that the United States was “likely to request his extradition.”

In a statement, Bahamian Prime Minister Philip Davis said, “The Bahamas and the United States have a shared interest in holding accountable all individuals associated with FTX who may have betrayed the public trust and broken the law.”

“While the United States is pursuing criminal charges against SBF individually, The Bahamas will continue its own regulatory and criminal investigations into the collapse of FTX, with the continued cooperation of its law enforcement and regulatory partners in the United States and elsewhere,” continued the statement.

Bahamian regulators and FTX’s attorneys had been engaged in a bruising battle in chambers and in the court of public opinion. Earlier Monday, FTX attorneys accused the Bahamian government of allegedly working with Bankman-Fried to spirit away FTX assets from company control and into into crypto wallets controlled by Bahamian regulators. Bankman-Fried’s arrest by Bahamas law enforcement, as well as his expected extradition, suggest that close cooperation between the Bahamas and the U.S. will continue to evolve throughout the bankruptcy proceedings.

In November, FTX and its affiliates filed for bankruptcy and Bankman-Fried stepped down from his role as CEO. The crypto trading firm imploded in spectacular fashion following a run on assets similar to a bank run.

FTX’s collapse was precipitated when reporting from CoinDesk revealed a highly concentrated position in self-issued FTT coins, which Bankman-Fried’s hedge fund Alameda Research used as collateral for billions in crypto loans. Binance, a rival exchange, announced it would sell its stake in FTT, spurring a massive withdrawal in funds. The company froze assets and declared bankruptcy days later. Reports later claimed that FTX had commingled customer funds with Bankman-Fried’s crypto hedge fund, Alameda Research, and that billions in customer deposits had been lost along the way.

Bankman-Fried was replaced by John J. Ray III, who had overseen Enron’s bankruptcy. Ray is also scheduled to testify before Congress this week. In prepared remarks released Monday, Ray said that FTX went on a “spending binge” from late 2021 through 2022, when approximately ”$5 billion was spent buying a myriad of businesses and investments, many of which may be worth only a fraction of what was paid for them,” and that the firm made more than $1 billion in “loans and other payments…to insiders.”

Ray also confirmed media reports that FTX customer funds were commingled with assets from Alameda Research. Alameda used client funds to do margin trading, which exposed them to massive losses, Ray said.

Sharing a legacy of love

When my mother died at the age of eighty-four, my four sisters and I were heartbroken. How could we ever get over the loss of this warm and loving woman, a talented artist who enjoyed life in spite of its challenges and always doted on her husband, daughters, and grandchildren?

For weeks after, my sisters and I would meet for dinner, laughing and crying over old memories. When it came time to sell the home my mother loved, we spent many days in disbelief, clearing out her belongings. I remembered reading an Ann Landers column years earlier that discussed how many siblings fight bitterly over the possessions left by their deceased parents. I thought, “How lucky we are that will never happen to us.” Somehow, we easily and peacefully divided Mom’s belongings—furniture, jewelry, and household items—among ourselves and a few charities. Although I expected there might be a tug of war over her paintings, that never happened. Pretty good considering there were five daughters and four grandchildren. No conflicts, squabbles or disputes at all. Until we discovered the old nativity set in a box in Mom’s closet.

I remembered Mom telling the story of how she acquired the manger. An old friend who did carpentry work gave it to my mom and dad as a Christmas gift when they were first married. My sister, Eileen, however, remembers it differently. Mom told her she found the crèche in a garbage can belonging to Mrs. Bingham, the elderly lady who lived across the street from us.

Unlike some of the ornate versions found in today’s stores, this manger was crafted from dark wood and completely unadorned—just a roof, a floor, and a railing surrounding it. Though beautifully crafted, there was one flaw: one side of the double gate in front was lopsided. Mom filled it with three figurines to start—Mary, Joseph and the Baby Jesus. For many years after, she continued to add others—the Wise Men, shepherds, angels, and animals. As kids, we loved the annual rites of the Christmas season, especially taking the nativity set and decorations down from the attic and carefully putting them in place. When the sisters all married and grandchildren came along, they added new characters of their own to the stable, including a set of the three little pigs.

After Mom’s death, when the nativity set emerged, no one was prepared for the battle that would follow. My sister Joanne was the first to claim the manger, insisting it was the only one of Mom’s possessions that she really wanted. Her wish was granted. But when my niece Mandy found out, she called from her apartment in California to voice her objection. She was clearly emotional as she repeated a decades-old promise made to her by my mother: “Nanny promised me that I could have the nativity set when she was gone,” she cried. “The nativity set belongs to me.” Joanne felt strongly that as Mom’s daughter, she had first dibs. Neither she nor Mandy would budge.

When the disagreement showed signs of becoming a full-blown family feud, we realized something had to be done. Enter the family arbitrator, my sister Eileen, who somehow saw through the fog. But as Mandy’s mother and Joanne’s sister, could Eileen handle this dilemma fairly? Temporarily, she set aside the emotion of the dispute, and thought logically. The nativity set was just a wooden stable, not an irreplaceable masterpiece of art. The beauty was in the eye of the beholders, the perception of two people who coveted a simple item owned by someone they loved. Couldn’t a copy be created? Of course! She would order the wood from the lumberyard and get someone to build a second manger.

The following day, Eileen went to Centre Millwork and stood in line behind several contractors ordering lumber from a young man with a crewcut. He was wearing a tag with his name, Brett, written in green magic marker. When Eileen’s turn came, she had to shout over the sound of buzzing saws. She pointed to the nativity set in her arms and told him the story, explaining that it was causing a major rift between her sister Joanne and her daughter Mandy. Brett took the stable from her, held it up with one hand and laughed, “They’re fighting over this?”

“Yes,” Eileen explained. “I know it seems crazy, but it was my mother’s and they both loved her very much. Is there any way you could measure and cut some wood so we could have a duplicate built?

Brett said, “Leave it here. I’ll see what I can do.” Eileen left, hoping he could come up with a minor miracle. That’s what it would take to satisfy the two women in her life that were squabbling.

A few days later, she received a phone message saying that her order was ready. When Eileen arrived at the hardware store to pick up the wood, she couldn’t believe what she saw — two identical stables sitting side by side. Brett had not only cut and measured the wood, he had built a second manger. “I know you wanted them to look the same, so I added a couple of dings and flaws that were in the original. Hope that’s okay.”

Sure enough, the new stable had the same lopsided front gate. “Okay?” Eileen said in tears. “You have no idea what this will mean to my sister and my daughter. To the entire family. I don’t care what this costs. Your work has saved the day.”

“That will be $3.75 for the materials,” Brett said. When Eileen insisted on paying him more, he said, “I didn’t do it on company time. I built it at home so I won’t charge you for the labor.” He pointed to the new manger. “I hope this helps your family have a merrier Christmas.”

Eileen left Brett with a large tip and a big hug of thanks. When she got home and called Joanne and Mandy about her creative solution, they were very happy and extremely relieved that the problem was resolved. One phone call later, Joanne and Mandy had agreed that Joanne would take possession of the new stable as well as some of the old figurines—including Mary, Joseph and the infant. Mandy would get to keep the original—just as Nanny promised.

—Kathy Melia Levine. 

John Mearsheimer: We’re playing Russian roulette

.

Until the Russia-Ukraine crisis, Professor John Mearsheimer was mainly known in academic circles as a leading scholar in the “realist” school of foreign policy. That is to say, he takes an unsentimental view of world affairs as being a muscular competition between great powers for regional hegemony.

But with the Ukrainian “Maidan revolution” in 2014 and then the Russian invasion this February, he became a figurehead for the millions of people worldwide who have misgivings about the wisdom of Western actions in Ukraine. A single lecture delivered in 2015 entitled “Why is Ukraine the West’s fault” has been viewed a staggering 28 million times on YouTube.
His central argument, that by expanding Nato eastwards and inviting Ukraine to join the bloc, the West (and in particular the United States) created an intolerable situation for Vladimir Putin which would inevitably result in Russia taking action to “wreck” Ukraine, is politically unsayable today. His critics denounce him as a Putin apologist; his supporters, however, believe the invasion was proof that he was right all along.

“The Russians invaded Ukraine with 190,000 troops at the very most,” he replies. “They made no effort to conquer all of Ukraine. They didn’t even come close. There is no way they could have conquered Ukraine with 190,000 troops. And they didn’t have the troops in reserve to do that. When the Germans invaded Poland, in 1939, they invaded with 1.5 million troops. That’s the size army you need to conquer a country like Ukraine, occupy it and then incorporate it into a greater Russia. You need a massive army. This was a limited aim strategy.”

In which case, what was that limited aim?

“What the Russians have said they have wanted from the beginning is a neutral Ukraine. And if they can’t get a neutral Ukraine, what they’re going to do is create a dysfunctional rump state… They’ve taken a huge swath of territory in the East, they’ve annexed those oblasts that are now part of Russia. And at the same time, they’re destroying Ukrainian infrastructure. They’re wrecking the Ukrainian economy. It’s sickening to see what’s happening to Ukraine.”

This assessment of the situation on the ground is very different from the reports we hear every day of Ukrainian successes and Russian retreats. The underdog nation, by most accounts, is performing astonishingly well against the aggressor.

Mearsheimer concedes that he was surprised by how poorly the Russians have performed, but that doesn’t seem to have affected his assessment of the realpolitik. I put it to him that the progress of the Ukraine war thus far can be seen as a repudiation of his “realist” theory of international affairs. The smaller power is outperforming the greater, in part through the sheer moral conviction of its people defending their homeland — evidence, surely, of the intangible moral element that is missing from his coldly “realist” world view?

“The key word here is nationalism,” he responds. “There’s no doubt that when the Russians invaded Ukraine, nationalism came racing to the fore, and that Ukrainian nationalism is a force multiplier. There’s also no doubt that nationalism is not part of the realist theory of international politics that I have, but nationalism is consistent with realism. Nationalism and Realism fit together rather neatly. But the point you want to remember is that Nationalism is also at play on the Russian side. And the more time goes by, and the more the Russians feel that the West has its gun sights on Russia, and is trying to not only defeat Russia, but knock Russia out of the ranks of the great powers, the more Russian nationalism will kick in. You want to be very careful not to judge the outcome of this war at this particular juncture. This war has got a long time to go and it’s going to play itself out in ways that are hard to predict. But I think there is a good chance that in the end, the Russians will prevail.”

Bleakly, Mearsheimer now believes that the opportunity for peace has been lost, and that there is no realistic deal that could be reached in Ukraine. Russia will not surrender the gains made in Eastern Ukraine, while the West cannot tolerate their continued occupation; meanwhile, a neutral Ukraine is also impossible, as the only power capable of guaranteeing that neutrality is the US, which would of course be intolerable to Russia. As he puts it, succinctly: “There are no realistic options. We’re screwed.”

He believes that escalation is likely, and the chance of a nuclear event is “non-trivial”. He lays out his rationale for why the Russians might well go there, step by step:

“If the Russians were to use nuclear weapons, the most likely scenario is that they would use them in Ukraine. And Ukraine does not have nuclear weapons of its own. So the Ukrainians would not be able to retaliate against the Russians with their own nuclear weapons. So that weakens deterrence. Furthermore, if the Russians use nuclear weapons in Ukraine, the West, and here we’re talking mainly about the United States, is not going to retaliate with nuclear weapons against Russia, because that would lead to a general thermonuclear war.”

Western restraint cannot be relied upon in this scenario, he concedes, and the chances of catastrophic escalation remain strong, which is why he considers the current rhetoric among Western leaders about defeating Russia “foolish”.

The British are “major cheerleaders” for the policy, by his assessment, pushing the United States into stronger action. “I think the British are being remarkably foolish, just like I think, the Poles, the Baltic states, and the Americans.”

Sweden and Finland meanwhile, with their Nato membership bids, are only making the situation more dangerous. The idea that Russia is poised to invade either Finland or Sweden is a “figment of the West’s imagination” and their membership of the security pact will only heighten Russia’s sense that it is being deliberately encircled. He believes their applications should be rejected, and that nobody should have the “right” to join a security pact like Nato.

Mearsheimer’s logic all points in the same direction: if there is no peace deal now possible in Ukraine, the only logical outcome is ongoing fighting; ongoing fighting will logically lead to escalation, particularly if Russia appears to be losing; and escalation may very well eventually take a nuclear form, at which point a great power nuclear conflict becomes a real possibility.

A more positive eventual outcome than this, of course, will falsify his theory and prove him wrong. I ask him, if the Ukraine conflict ends less badly — perhaps with Russia withdrawing or accepting a fudge, Ukraine strengthened and no nuclear event — will he admit he was wrong?

“Of course,” he says. “International Politics operates in a world of what I would call radical uncertainty, it’s very hard to figure out what the future looks like, it’s very hard to make predictions… Is there a possibility that the Russians will cave at some point? I think there’s a small possibility. I also think there’s a non-trivial chance that this will lead to nuclear war. And when you marry the consequences of nuclear war with the possibility, in my mind, that means you should be remarkably cautious. Let me illustrate this by this analogy. If I have a gun, and the barrel has 100 chambers, and I put five bullets in that barrel. And I say to you, Freddie, I’m gonna pull the trigger and put the gun up to your head. But don’t worry, there’s only a 5% chance that I will kill you… The question you have to ask yourself is, are you going to be nervous? Are you going to be scared stiff? …The consequences here involve nuclear war. So there only has to be a small probability that John is right.”

The common critique of this line of argument is that it becomes hard to see how the behavior of a nuclear power could ever be curtailed. The bully could always wield the threat of nuclear disaster to get away with a new atrocity. And that logic also leads to disaster. So where would Mearsheimer draw the line? His answers are unambiguous.

First, he believes without hesitation that the existing Nato countries must be defended, notwithstanding the risks. “The Baltic states are in Nato. Poland and Romania are in Nato. They have an article 5 guarantee. If the Russians were to attack those countries, we would have to come to the defense of those countries, there’s no question about that. I would support that.”

More surprisingly, on the subject of China and Taiwan, which you might think bears a resemblance to Russia and Ukraine as a smaller Western-backed entity in the orbit of a rival regional hegemon, he takes the opposite view.

“I have a fundamentally different view on China than I do on Russia. And therefore, my thinking about Taiwan is different from my thinking about Ukraine. I believe that China is a peer competitor of the United States, and that it threatens to dominate Asia the way the United States dominates the Western Hemisphere. … From an American point of view, that’s unacceptable. And I think that’s correct. I think the United States should not want China to dominate Asia, the way we dominate the western hemisphere. So we’re going to go to great lengths to contain China. And for purposes of containing China, it is important for us to defend Taiwan.”

Mearsheimerism, then, is not quite what either his followers or his detractors might think it is. It is not an anti-war doctrine (his branch of “Offensive Realism” specifically sees aggression as a necessary part of great powers’ survival); nor is it fundamentally skeptical of American power. He supports American power being projected in its interests, but believes that the war in Ukraine is a distraction from the real threat, which is China, and worse, will drive Russia into the arms of China when it is in America’s interests to drive them apart.

A father’s blessing

My stepfather, Marlin, bought a dancing Christmas tree in the mid-2000s as a gimmick decoration. Marlin passed away in 2014. My sister, Stacy, had taken possession of it along the way. Stacy got engaged to her longtime boyfriend on Thanksgiving night. Marlin had met him. The tree was unpacked, but had no batteries. Later that evening, with all the ladies sitting around talking, the tree lit up and started to dance! The empty battery pack was in hand and the only conclusion we could reach was that Marlin was sending his blessing and dancing a jig.

—Norman Powers, Sheffield, Alabama. 

2,000-year-old intact Roman medicinal pill found in submerged shipping vessel

 

In June, 2013, a team of Italian scientists conducted a chemical analysis on some ancient Roman medicinal pills discovered in the Relitto del Pozzino, a 2000-year-old submerged shipping vessel which sank off the coast of Tuscany, revealing what exactly the ancient Romans used as medicine.

ancient medical pill
ancient medical pill

The Roman shipwreck lay near the remains of the Etruscan city of Populonia, which at the time the ship foundered was a key port along sea trade routes between the west and east across the Mediterranean Sea.

The Relitto del Pozzino was excavated by the Archaeological Superintendency of Tuscany throughout the 1980s and 90s, revealing a variety of fascinating cargo including lamps originating in Asia minor, Syrian-Palestinian glass bowls, bronze jugs, ceramic vessels for carrying wine and, of particular interest, the remains of a medicine chest containing a surgery hook, a mortar, 136 wooden drug vials and several cylindrical tin vessels, one of which contained five circular medicinal tablets.

The tin vessels had remained completely sealed, which kept the pills dry, providing an amazing opportunity to find out exactly what substances were contained within them.

The results revealed that the pills contain a number of zinc compounds, as well as iron oxide, starch, beeswax, pine resin and other plant-derived materials.  Based on their shape and composition, scientists have suggested that the tablets were used as a type of eye medicine.

Eggnog

“This is the real deal, a custard based eggnog that is absolutely better than any storebought or pudding or icecream based eggnog. The egg yolks are cooked and there are no egg whites, so there are no worries about raw eggs. (I have removed the optional directions for including the egg whites, because we like it better without them.) Prep time doesn’t include the overnight refrigeration.”

2022 12 13 15 48
2022 12 13 15 48

Ingredients

Directions

  • Combine milk, cloves, cinnamon and the first portion of vanilla in a large saucepan. Heat on low for five minutes. Slowly bring to a boil.
  • In a large bowl, combine egg yolks and sugar. Whisk together until fluffy.
  • Slow whisk the hot milk mixture into the egg yolks, a little at a time.
  • Pour back into the saucepan and cook over medium heat, stirring constantly, for about 3 minutes, or until thick. Be careful not to let it boil.
  • Strain into a large pitcher, cover and let cool for about an hour.
  • Stir in the cream, nutmeg, and second portion of vanilla. Stir in rum, if desired.
  • Refrigerate overnight before serving.

2022 12 13 15 49
2022 12 13 15 49

MM has Coronavirus

After China has “opened up” on it’s restrictions, many of us inside of China are getting sick. Last Tuesday, my little girl failed a random temperature check in Kindergarten and we took her home. Oh Lordy! Was she miserable. Poor little kid.

Running high fever, and absolutely unhappy. But by the end of the day, she was fine.

However, I was the guy taking care of her, and I caught it from her.

Yesterday, I wasn’t feeling well. I was sore all over. Achy. Tired. And on top of that, I had to visit a couple of factories in Foshan. (About a three hour drive away.)

A massive cold front hit. 4° instead of our perennial 30° and I was not a happy camper.

We had our big meals, and at night drank the beijiu and got sloshed. Crashed in the hotel room, and I was miserable. I had the headache from Hell, and could not sleep. My body felt like I had been lifting weights for a week and I was sore all over.

I’m back home now.

I’m following the Chinese government advice and “sheltering in place” voluntarily because I don’t want to give it to anyone else.

Now, I have had three “dead host” vaccines, and so did my entire family, but yeah, we got this virus.

They say it’s not bad.

I beg to differ.

I don’t have a runny nose, coughing, or anything like that, but all my internal organs feel “off”. Like they are strained. I’m operating at 22% right now.

I feel confident that things will go back to normal in a few days.

Now…

It might be a coincidence, but my Quality Director did not share the breakfast this morning with us. He was called home.

His wife’s oldest sisters’ grandson (a one year old boy) died last last. It happened suddenly and no one knows what happened.

This situation is only just developing…

I am going to give MM a break while I heal up. Just remember, everyone, to be the best you can be. And remember that I believe in you.

 

America is no longer the only game in town. Things are changing rapidly.

China actually gained tremendous face due to the Covid Lockdowns

Unlike Trudeau who had protestors beaten and arrested or unlike even Modi who allowed Protests to tie down a Nation for almost a year or unlike Trump who allowed riots, arson and robbery and invasion of a capital building

China solved the problem in 24–48 hours

The West didnt expect this. 

They expected China to dig down and firmly insist on Zero Covid and hoped the protests would go on for weeks

Instead China promptly implemented limited removal of restrictions and ended the protests in one fell swoop and also...

...also put the fear of god in every Western Journalist in China

Now if Covid Cases rise - China shrugs and says “You were the ones who protested, are you happy now"?

The Protests delivered China a superb "off ramp".

China looks reasonable for actually listening to their Public protesting and giving in.

Isnt that what Democracies do?

Big Geo-political changes.

Going on.

NOW!

All of which is going to further isolate and restrict the United States; tying it’s hands so that it cannot fight wars, and create trouble. You can watch the videos, sit back and smile.

But, before we do that, there are two colors revolutions in progress right now, right immediately after the collapse of the attempted (and failed) Chinese “color revolution” last week.

So there was (by the USA)  the making for three (x3) color revolutions in December 2022.

An urgent matter… it seems.

Brazil which is a BRICS+ nation, and Iran which is an SCO nation.   Both are key nations in the “Global South” alignment against the uni-polar American-led world.

I am including the articles here.

But…

You can skim through the articles if they get too detailed and dry for your tastes, but the videos that follow the articles are what you should watch. The videos pretty much all say the same thing. The USA is in deep collapse in one form or the other.

OK. Here’s the summary to keep youse all from falling sleepy…

Summary: The Geo-political situation is moving greatly in favor to the Global South, and the USA is about ready to go into free-fall collapse. 

It is, however grasping at trying to hold on to what it has, and thus creating discord and color revolutions where possible. Iran, Brazil and Ukraine are the three fundamental pillars to this control tower.

Let’s start with Iran… remember, you can skim it if you wanna.

Iran against the West’s hybrid warfare

Western countries, above all the United States, are seeking to replicate Afghanistan and Iraq scenario in Iran, creating chaos to destroy the country and loot its resources, Scott Bennett, a former US Army Special Operations Officer, said honestly and truthfully.  “The West is fully committed to an absolute chaotic breakdown of Iranian government, religious, and military sectors in Iran, as they did in Afghanistan and then Iraq, in order for chaos to be created and Iran be divided up into regions for national resources theft,” Scott Bennett told the Tehran Times. He also stressed that Israel is the main instigator of Western hostility and maneuvers against Iran, using these tensions to carry out its attacks in Lebanon, Syria and Iraq.

It is becoming increasingly clear that a campaign of domestic terrorism is being unleashed against Iran under the guise of fake protests allegedly in defense of “human rights,” as has been done in Syria by the United States, Israel and NATO. The same powers are using similar methods and the same mercenaries to participate in the attempted color revolution, the operation to change the Iranian regime. Most likely, these terrorists are a combination of Wahhabi fanatics, Israeli Mossad, Likud party supporters, supported by the US CIA, British MI6 intelligence and some elements in Iraq, terrorists from al-Qaeda, al-Nusra, not destroyed ISIS (that is, the three banned in the Russian Federation), other mercenaries and thugs hired and paid for by the West.

The plan is for foreign terrorists to infiltrate Iran and cause internal strife, tribal and sectarian enmity, conflict between Shia, Sunnis, Alawites, Christians, Jews and Kurds living in Iran. The main areas targeted for fomenting unrest, conflict and violence are precisely in those parts of Iran where there is a mixed population. Mobile phones, social media and Western media are heavily used in the process. The beginning was the emergence of a women’s protest movement over a false allegation that a woman had allegedly died under police torture, when in fact she had died of medical complications caused by a previous serious illness.

The specifics of hybrid warfare, as Scott Bennett argues, are a combination of small-scale operations that take the form of diplomatic, information, military and economic action against Iran to create leverage that can then be used to destabilize the government and create chaos in Iran. On the diplomatic front, hostile statements in the United Nations, various NATO and European Union structures will increasingly be used to spread propaganda and disinformation to other countries about Iran, about alleged abuses of “human rights,” about nuclear programs aimed at undermining Western hegemony and security.

Numerous analysts acknowledge that NATO and the EU are strengthening their defense capabilities not only in Europe, but also abroad, including in the Gulf region.  President of the European Commission Ursula von der Leyen recently outlined elements of a new European security doctrine, the EU and NATO approach to security in the Persian Gulf. Her remarks at the Manama Dialogue in Bahrain on November 18 reflect what is widely seen as a new and aggressive approach aimed at further escalating tensions in the region, taking advantage of the extremely hostile attitude of many countries there towards Iran.

One can also see how Israel under the Netanyahu regime is stepping up aggressive air attacks against Syria and continuing to invade Iraqi and Persian Gulf airspace as probing maneuvers against Iran. The Israeli and US air forces will conduct their biggest joint air exercise in years, simulating strikes against Iran.   Fighter aircraft from both countries will simulate long-range flights and strikes against distant targets, enhancing readiness for combat scenarios with Iran.  In recent years, the Israel Defense Forces and US Central Command have already conducted several joint exercises, practicing strikes against Iran.

In July this year, President Joe Biden and Prime Minister Yair Lapid signed a joint declaration on the US-Israeli strategic partnership, also known as the Jerusalem Declaration. It emphasizes the US commitment “never to allow Iran to acquire a nuclear weapon, and that it is prepared to use all elements of its national power to ensure that.”  Subsequent joint exercises were the subject of meetings in Washington between IDF Chief of Staff Lieutenant General Aviv Kochavi and US officials, including Chairman of the Joint Chiefs of Staff General Mark Milley and CENTCOM Commander General Michael Kurilla.

Some NIS 3.5 billion ($1 billion) has been allocated from the IDF’s NIS 58 billion ($17 billion) defense budget for military activities next year related to alleged strikes on Iran. Outgoing Minister of Defense Benny Gantz had earlier warned newly appointed Prime Minister Benjamin Netanyahu to “consider issue very carefully” before launching a strike on Iran. “Israel has the ability to act in Iran. We have the readiness, development capabilities, and long-term plans we are managing. We need to prepare for this possibility, and we will also need to consider this issue very carefully before carrying it out,” he said.

All of the above quite clearly supports the argument that it is Israel that is the main source of Western hostility and maneuvering against Iran and is using these tensions to carry out attacks in Lebanon, Syria, Iraq.

Color revolutions are usually the target of aggressive campaigns by the West, and hybrid wars are smoke and fire to create cover and distractions to create conditions, chaos and tensions to launch these color revolutions. Hybrid wars include the conflict the US has created in Iraq between various tribes and religious sects, and in Libya and Syria, where foreign mercenaries from Saudi Arabia, Qatar, Israel and Turkey were hired, funded and trained by the CIA and Pentagon under General Lloyd Austin, now Secretary of Defense. The aim of these operations was to create tension, chaos, conflict and enmity between peoples in the regions so that natural wealth could be stolen. Suffice it to look at the history of these operations over the past 20 years: Afghanistan, Iraq, Somalia, Libya, Syria, Lebanon, Yemen. And now Ukraine and Iran of course represent the next target of this Western program of color revolutions, and therefore an international coalition is needed to counter such hostile actions.

Viktor Mikhin, corresponding member of RANS, exclusively for the online magazine “New Eastern Outlook.

Now, for Brazil. Also. You can also skim though it if you wanna…

Political Violence During Brazil’s 2022 Presidential Runoff

7 December 2022

Following his victory in the presidential runoff election on 30 October, President-elect Luiz Inácio Lula da Silva described Brazil as “one country, one people, one great nation.” While this speech may indicate the incoming president’s willingness to seek unity, it comes at a time of heightened political polarization in Brazil. Inflammatory rhetoric around the elections and spikes in political violence throughout the election period have raised concerns over the potential for further outbreaks of unrest, even beyond the aftermath of the runoff. The contestation of the election results and calls for military intervention by supporters of outgoing President Jair Bolsonaro present an ongoing challenge to the “peace and unity” promoted by President-elect Lula in his victory speech (Folha de S.Paulo, 31 October 2022).

Electoral Violence and Voter Intimidation Before and During the Runoff

The lead-up to the first round of voting on 2 October saw higher levels of political violence involving political party representatives and supporters than the 2018 election (for more on electoral violence in Brazil, see ACLED’s Election Watch report on the first round of voting). These heightened levels continued in the lead-up to the runoff, with violence further surging on voting day (see graph below). Between 3 and 30 October, ACLED records at least 13 political violence events involving party representatives and supporters, which led to six reported fatalities, a figure twice as high as during the same period in 2018.

Runoff election violence primarily consisted of direct armed attacks, which largely targeted members and supporters of Lula’s Workers’ Party (PT). There were at least seven violent incidents targeting PT supporters, six of which occurred on voting day. The use of direct attacks contrasts with the 2018 elections, which mainly experienced clashes between pro-Bolsonaro and pro-PT party supporters during the runoff. Violence during the runoff occurred mostly in Rio de Janeiro and São Paulo states, where Bolsonaro led in the results. At the national level, the incidence of political violence in states won by Lula was half of that recorded in the states won by Bolsonaro.

While the perpetrators of election-related violence were not systematically identified, ACLED records the participation of state forces in at least three events. Notably, on election day, six military officers beat a man with a stick and used pepper spray on Lula supporters celebrating election results in a bar in Anápolis. Through different policies favoring members of the armed forces, Bolsonaro has courted members of the military police. In return, some have shown their support to the Liberal Party (PL) candidate, including on social network platforms (Forum Segurança, 2 September 2021). Similar to the violence preceding the first round, PL supporters engaged in armed attacks on civilians, reportedly killing at least three people. Notably, in Belo Horizonte, Minas Gerais state, a PL supporter opened fire at a party celebrating Lula’s victory, reportedly killing two people, including a 12-year-old Black girl, and injuring four others.

In addition to physical violence, the runoff was also marked by other forms of coercion ahead of the voting. On election day, the director of the Federal Highway Police defied a Supreme Electoral Court ruling and ordered officers to carry out controls, leading to the stalling of buses carrying voters in the pro-Lula northeast and other key PT areas of support (The Washington Post, 30 October 2022). In Tocantins and Pará states, local businesses, mayors, and ranchers allegedly threatened members of Indigenous groups and offered benefits in exchange for abstention or a vote in favor of Bolsonaro (Brasil de Fato, 29 October 2022). Meanwhile, in Paraná state, an agribusiness company pressured its employees to vote for Bolsonaro (Brasil de Fato, 25 October 2022).

Organized crime groups were also reported to have occasionally directed votes in areas under gang control (Globo Extra, 9 September 2022; Informe Agora, 19 November 2022). In the North zone of Rio de Janeiro, the Public Prosecutor’s Office requested the protection of voters following reports that drug traffickers were pressuring residents to vote for a specific candidate (Brasil de Fato, 25 October 2022). In Rio das Ostras, members of the Red Command coerced voters and circulated warnings against voting for Bolsonaro (Crimes News RJ, 11 October 2022).

Unrest and Violence after the Elections

Violence and unrest stemming from the electoral process continued well after the results were announced. Following Lula’s narrow victory, PL supporters staged roadblocks across the country to contest the election result and called on military forces to take power. Demonstrations began on 30 October and culminated on 1 November with at least 524 events recorded that day, fueled by Bolsonaro’s failure to immediately recognize the victory of his opponent and his previous criticisms of the integrity of Brazil’s voting system.

While the majority of pro-Bolsonaro demonstrations remained peaceful, violent demonstrations accounted for about 11% of the total mobilization between 30 October and 30 November. In contrast, anti-Bolsonaro mobilization before and after the 2018 presidential runoff did not lead to notable outbreaks of violence, and protests were met with limited state intervention. Demonstrators set fire to barricades and interacted with state forces attempting to disperse roadblocks in over 20 instances. The roadblocks exacerbated tensions between Bolsonaro supporters and passersby. Demonstrators targeted civilians with violence during at least 10 events, including drivers trying to pass through roadblocks. Yet, there were also reports of violence directed at pro-Bolsonaro demonstrators, with opponents opening fire near roadblocks, trying to drive over barricades, and engaging in physical fights in at least six events.

ACLED data show that between 30 October and 30 November, the highest number of demonstration events organized by PL supporters took place in states where Bolsonaro won the popular vote, such as São Paulo, Paraná, Mato Grosso, Santa Catarina, Rio Grande do Sul, Mato Grosso do Sul, Goiás, and Rondônia. Heightened levels of demonstrations were also reported in Minas Gerais and Pará states, where Bolsonaro received a large number of votes, despite losing to Lula. Similarly, over half of all pro-Bolsonaro violent demonstrations were reported in São Paulo, Mato Grosso do Sul, Minas Gerais, and Goiás states…

2022 12 12 16 53
2022 12 12 16 53

In the weeks following the runoff, violence targeting party members and supporters decreased. Instead, other forms of violence have emerged, including targeted destruction of property. Since 30 October, ACLED has recorded multiple such events, including shootings directed at the PT headquarters and party supporters’ houses, vandalism to water pipes, and arson. Far-right groups have also spread boycott lists of pro-Lula businesses in Rondônia and São Paulo, allegedly leading to a shooting of a pizzeria in Ji-Paraná, Rondônia (G1, 4 November 2022). Journalists have also been the victims of targeted attacks after the runoff. On 2 November, Bolsonaro supporters attacked and destroyed the equipment of journalists covering demonstrations against the result of the elections in Porto Alegre in Rio Grande do Sul and Cuiabá in Mato Grosso. Another journalist was beaten in Itajai, Santa Caterina for uploading videos of Bolsonaro supporters on social media. Meanwhile, in Porto Velho, Rondônia, armed men opened fire at the headquarters of the Rondoniaovivo newspaper. The newspaper was allegedly targeted because of its critical coverage of pro-Bolsonaro demonstrations (Committee to Protect Journalists, 17 November 2022).

Even considering Brazil’s history of political violence around elections, the 2022 presidential elections were associated with heightened levels of violence. In the lead-up to the elections’ first round and the runoff, the targeting of political party representatives and supporters surpassed that of the 2018 election. While drug trafficking groups continue to be responsible for a number of violent events, the perpetration of violence by supporters of political parties has increased, especially among Bolsonaro’s support base. Moreover, in 2022, new forms of disorder have emerged, including a spike in pro-Bolsonaro demonstrations contesting the election results and non-direct attacks targeting the property of rival party supporters.

Despite measures to address weaknesses in Brazil’s voting system as well as the endorsement of the elections’ results by international bodies and Brazilian institutions, including the Ministry of Defense, ACLED has continued to observe demonstrations, sometimes violent, contesting the election nearly a month after the results. Ongoing moves by state institutions to reinforce the validity of the election results continue to be rejected by a large number of Bolsonaro supporters. Starting on 15 November, pro-Bolsonaro demonstrators set up additional roadblocks, triggered by a Supreme Federal Court order to freeze the bank accounts of alleged organizers of the mobilizations (Gazeta do Povo, 18 November 2022). The ruling out of Bolsonaro’s request to annul votes from several electronic voting machines and the decision to issue a fine against the PL for filing the complaint “in bad faith” also sparked outrage among Bolsonaro’s supporters (Brasil de Fato, 23 November 2022; Congresso em Foco, 23 November 2022). The ongoing unrest and stark polarization thus raise difficult prospects for reconciliation of the Brazilian electorate as President-elect Lula approaches the start of his mandate on 1 January 2023.

The US will not be able to stop it’s decline. The reason is fundamental.

The structure of the US economy has changed. It was changed intentionally by the people running the US for easier wealth extraction.

If you haven’t noticed. Everything has a fee attached.

US uses these financial instruments that no one except for the brokers understand what their purpose is. These instruments was what caused the financial crash of 2008. Today, there is even more of these things.

No one outside of Wall Street uses these things.

So for the US to compete in manufacturing, the US would have to go back to the way it was in the 50s. Which the wealthy elite is NOT going to do.

They control the entire US economy now.

Why would they give up the power that was slowly accrued over the last 5 decades?

On the other hand China is getting stronger and stronger. There is no one that can shake their manufacturing industry. Right now their per capita income is around $11,000 USD. Which means that if they catch up to the US of $60,000 per person their economy would be 6 times larger than it is today.

They already have a larger economy, PPP, than the US. If they even grow to twice as large as now, they would dwarf the US. Never mind if they grow to just $40k per person.

China is a monster that is only going to get bigger and bigger.

So there is no way for the US to catch up.

An Aussie and a Maori walk into a bakery.

…The Aussie steals three pastries and slips them into his pocket. He turns to the Maori and says, “Pretty slick aye, bro? The owner didn’t even see me.”

Unimpressed, the Maori replies, “Typical dishonest Aussie, bro. I’m gonna show you the honest way and still get the same result.”

The Maori calls out to the owner of the shop and says, “Bro, I want to show you a magic trick.” Intrigued, the owner comes over. The Maori asks him for a pastry, which the owner gives him, and the Maori eats it. He asks for another and eats that, too. He asks for a third and eats it as well.

The owner says, “C’mon, mate. Where’s the magic trick?”

The Maori points to the Aussie and says, “Check his pockets.”

I think Alexander hit the nail on the head when he said the Saudi/ Chinese relationship doesn’t come with strings attached as the “Stick and Carrot” approach simply doesn’t work with some countries anymore (just look at Hungary’s pushback on EU).

Also on an industrial infrastructure and consumer product front, China can deliver BIG time.

As an example when conflict broke out in Ukraine one of the German Car Manufacturers, Ukrainian “Wiring Loom” suppliers were unable to fulfil deliveries.

Car company signed a deal with a Chinese company and within 4 months (from the ground up), new factory built, staffed and producing wiring looms to the required quality.

In my opinion…

It wasn’t really cheating, it was genius, and the teacher allowed it, because of the wording used.

The Chemistry teacher wrote that everything the student could cram on a standard sheet of paper, was okay to use as a reference, but no books. It had to fit on one piece of paper…

So the student in question brought in one piece of paper, set it beside his desk, and had his dad, a Chemist at the local Chemical Plant, stand on the paper.

The teacher gave him extra credit for ingenuity.

Greatest thing about telepathy…

2022 12 12 15 32
2022 12 12 15 32

In the early 70s we were with friends in an exclusive restaurant in Montreal that only had 10 tables. There was no sign on the door, just a house number. You had to know it was there. A movie star at that time named Robert Ryan was seated at the next table with three other people. I admired his work but didn’t want to intrude on his private dinner. I asked my friends if they had seen movie XYZ that he starred in that had just been released. I went in to say how amazing Robert Ryan was in that role. I’d made my point and changed the subject. He smiled and gave me a little wink and salute. He said thanks for the compliments as he left the restaurant. A round of drinks was delivered to our table paid for by him. I never said a word directly to him.

Fresh Homemade Salsa for Canning

“A unique blend of peppers, onions and cilantro give this homemade pico de gallo type salsa it’s deliciously fresh taste. Serve fresh or bottle to preserve.”

2022 12 11 19 34
2022 12 11 19 34

Ingredients

Directions

  • Wash all jars, lids etc in the dishwasher.
  • Always wear gloves while preparing salsa!
  • Prepare tomatoes by soaking tomatoes in boiling water for 2-3 minutes to split and loosen skins. Peel and chop all tomatoes, drain excess juices off in a strainer or colander before adding to extra large bowl. (I half or quarter the tomatoes, then process briefly in a food processor before draining off juices, I like the tomatoes kind of chunky).
  • Dice or cube all onions and peppers into the same bowl. Add chopped cilantro. (I do all the onions, peppers and cilantro in a food processor – I keep them kind of chunky also).
  • Once all the vegies are in the bowl, stir in the lemon juice, garlic powder, salt and pepper.
  • Taste to see if it is as hot as you would like it – if not add 1-2 more hot peppers tasting after each addition. Keep in mind as it sits for a while it will get a little bit hotter.
  • Bring all ingredients to a boil in large pot & simmer for 15 minutes. Stir often to prevent sticking.
  • Fill jars leaving about 3/4 inch at the top. Wipe off tops of the jars before putting lids on. Screw lids tight then turn back about 1/4 turn.
  • Process in steam canner (not pressure cooker / steamer) or boiling water bath for 15 minutes.
  • Cool jars.
  • Before storing, test each jar to be sure it has sealed by pressing down on lid, it should not move.

2022 12 11 19 35
2022 12 11 19 35

One day at lunch we were discussing scam calls when my phone rang. I looked and it was labeled something like “Possible scam”. So I answered (on speakerphone) and it went something like this (paraphrased a bit)…

“Hello?”
“Hello. There is a problem with your credit card. Press 1 to talk to someone about it.”
1
(some delay)
(heavily accented) “Hello. Your credit card has problem. What is your name please?”
“Hi. I am David Smith, an agent with the Minnesota State Attorney General Office of Fraud Investigation. How are are today?”
(quiet)
“Who is it that I am talking to?”
“I LOVE Minnesota!”
“What is your name?”
“I LOVE Minnesota!”
“Where are you located?”
“I LOVE Minnesota!”
“What company do you work for?”
(click)

I think I broke him!

China ,Russia, and Saudi Arabia are taking over the currency, happening right now.

https://youtu.be/5R0hM37ayHo

A great way to loose a little weight Who could resist that face

2022 12 12 15 31
2022 12 12 15 31

Amateur Fossil Hunters Find a ‘Rosetta Stone’ for Paleontology

Plesiosaur
Plesiosaur

Paleontologists affiliated with the Queensland Museum Network in Australia have unearthed both the head and body of a 100-million-year-old marine reptile known as an elasmosaur. This astonishing creature lived in the shallow sea that covered Queensland during the early Cretaceous period (145 million to 65 million years ago). This is an extraordinary and important find, since this is the first time the fossilized head and body of an elasmosaur have been found together in Queensland, and the fact that all the fossilized bones were in excellent condition is an amazing bonus.

Rancher Alerts Authorities to Huge Discovery

The unusual fossils were excavated on remote ranchland in the farthest western reaches of Queensland, near the small outback town of McKinlay. The Queensland Museum Network paleontologists were alerted to the presence of the fossils by the ranch’s owner, who sent images of the only partially covered elasmosaur remains to the researchers for further analysis.

The experts recognized the images they received as the fossilized head of a huge marine reptile of some type. They rushed to the location to dig out the ancient remains completely, and that’s when they realized they’d recovered something special.

“We were extremely excited when we saw this fossil – it is like the Rosetta Stone of marine paleontology, as it may hold the key to unravelling the diversity and evolution of long-necked plesiosaurs in Cretaceous Australia,” stated Queensland Museum Network’s Curator of Paleontology Dr. Espen Knutsen, who organized the recovery of the elasmosaur fossils, in a Queensland Museum press release . “We have never found a body and a head together and this could hold the key to future research in this field.

2022 12 11 19 44
2022 12 11 19 44

The Rosetta Stone of Australian Fossils

The three-dimensionally preserved state of the elasmosaur fossil is what gives it its value. Its condition will let paleontologists make new discoveries about elasmosaur anatomy, and with that knowledge they will be better able to identify the true identity and purpose of other elasmosaur bones currently held in museum collections.

The elasmosaur is a type of plesiosaur , and like others of this order it had a long, extended neck and flippers on the side similar to those of a turtle.

Scientists have been curious about whether prehistoric plesiosaurs and other large marine reptiles used their long necks and teeth to reach down and filter crustaceans and other bottom-feeding lifeforms from the ocean floor, scooping them out and consuming them in large quantities. They’ve also wondered whether such creatures used their gigantic flippers to cruise along established migration trails, in the same manner as modern whales.

Answers to questions like these might be more easily forthcoming, thanks to the discovery of this “ Rosetta Stone ” fossil that can be used to interpret other finds and determine the true anatomical functions of various elasmosaur body parts.

“We will be able to unravel all that taxonomy that has eluded us up until now,” Knutsen said, summing up the transformational meaning of the find.

2022 12 11 19 45
2022 12 11 19 45

The Rock Chicks Got There First

One additional fascinating aspect of this discovery is the identity of the discoverers. The landowner who sent the images to Dr. Knutsen and his fellow researchers was Cassandra Prince, who along with her sister Cynthia and cousin Sally like to spend their spare time hunting fossils in the outback Queensland region where they all live. The three amateur paleontologists have dubbed themselves the ‘Rock Chicks,’ and this is not the first time they’ve found fossilized bones on Prince’s sprawling western Queensland cattle station. In fact the trio had already found fossilized plesiosaur remains a few weeks earlier, so they were quite aware of the possibilities.

In this instance what Cassandra Prince saw was a fossilized skeletal head staring up at her from the dry desert earth.

“I’m like, no, you know, this is not real,” Prince told the Guardian. “And then I look down again and I’m like, holy hell, I think that’s a skull looking up at me.”

Prince took pictures of the skull and then immediately sent them to Dr. Knutsen, who she’d been in contact with frequently reporting other fossil finds on her land. The paleontologist quickly identified the skull as belonging to a plesiosaur, and from its superior condition he knew right away it was something unique. But he and the other Queensland Museum Network paleontologists didn’t realize just how unique it was, until they dug it out and found both the head and body were intact.

A closer examination revealed the fossils had come from a elasmosaur juvenile, which would have been 16-23 feet (five-to-seven meters) long at the time of its death. The fossil will be removed and displayed at the Queensland Museum, and it has been given the name ‘Little Prince’ in honor of its actual discoverer.

 

An Unusual Death Made the Difference

As Dr. Knutsen noted, the anatomical features of plesiosaurs explains why it is so difficult to find fossilized skulls still connected to the rest of the body.

“Because these plesiosaurs were two-thirds neck, often the head would be separated from the body after death,” he said.

When an elasmosaur living in the ancient Queensland sea would die, its decomposing body would float to the surface. From there it would be picked apart by scavengers and bounced about by waves and tides, which could easily separate the head from the neck and the body. Even if the head remained attached, when the body eventually sunk to the ocean bottom the head could be several meters away from the body in the mud, and over time the decay of organic matter would create a separation between the skeleton of the body and the skeleton of the head.

For these reasons, it would be hard for paleontologists working 100 million years in the future to recover the entire skeleton together.

This newly discovered elasmosaur had its skull, neck and front half of the body preserved. However, the back half of its body had been bitten away, possibly during an attack by a much larger marine predator. Dr. Knutsen believes the offender would have been a massive kronosaur, and he noted that a elasmosaur killed by this type of attack would have sunk to the bottom of the ocean instantly. In this unusual state, perhaps it was less vulnerable to the natural forces that would have normally separated the head from the rest of the body.

Over the next few years, paleontologists will be studying the elasmosaur skeleton very closely, to see what can be discovered about its life, death and anatomical and behavioral characteristics. What they discover could help answer many questions about all the giant marine creatures that occupied the long-extinct Queensland Ocean in the impossibly distant past.

Don’t make me…oops …

2022 12 12 15 30
2022 12 12 15 30

My two siblings and I gathered with the minister to discuss our father’s funeral. We exchanged ideas then the conversation lapsed for a few seconds, and I said, “Dad was the finest man I ever knew.” My siblings nodded. He was the finest man they ever knew as well.

What made him the finest man?

Dad taught us the power of listening closely to what the other person was saying. You can see in this (very bad) photo below. Dad is “hanging on” to every word Mother is saying.

main qimg f0a5896f2b9ecf6778a256644858320c lq
main qimg f0a5896f2b9ecf6778a256644858320c lq

Dad taught us the power of giving and serving.

Every Sunday morning before church, Dad sat down at his desk and wrote a check, then placed it inside the offering envelope. He didn’t wait until all of us were watching. It’s just the time he chose to write the check. But it made a big impact on us.

Dad taught us the power of service. He and Mother volunteered many times in many different venues. They worked at hurricane sites cleaning up the devastation. Dad brought Habitat to Humanity to their county and worked tirelessly repairing homes of those who could not afford to repair their homes themselves.

For a number of years, Dad and Mother drove from Indiana to New Windsor, Maryland to the Heifer Project International Headquarters. They ran other charitable services as well, but the Heifer Project was just one of them. Another terrible photo below but this is the best editing job I am capable of doing.

main qimg 36e4fa19e51f2e20204abde9beb09b34 lq
main qimg 36e4fa19e51f2e20204abde9beb09b34 lq

Dad was an expert at repairing things and could often be found in the shop in New Windsor working on something that was broken.

main qimg 05df7455e3afbdd27c99ecdf0f89f46a lq
main qimg 05df7455e3afbdd27c99ecdf0f89f46a lq

I could write a book about the positive role model Dad was, but I think you can understand what kind of human being he was from what I’ve written.

Makes you wonder, doesn’t it…

2022 12 12 15 29
2022 12 12 15 29

Giraffes have a wierd and morbid obsession with carcasses.

On several occasions groups of giraffes were observed biting, throwing and carrying around the bones of dead animals. While scientists believe that they likely lick and chew on the bones to add calcium to their herbivore diet, the fact that they enjoy it is all on them.

main qimg 5112f7e2423a5c73621244a98c824f78 lq
main qimg 5112f7e2423a5c73621244a98c824f78 lq

Fearmongering Pentagon steps up its threat inflation of China

  • Scare tactics about a manufactured foreign menace are the easiest way to gain support of public in a nation that is almost never intimidated

I was reading a mental health website the other day and came across an article about the toll taken on people living in constant fear. Apparently, fear can narrow our physical vision, turn us colour blind, weaken our depth perception and distort our sense of time.

But, perhaps more importantly, it can affect our ability to process information and react rationally based on available data. When people are scared, it’s often difficult for them to assess what’s best in their own interests; they may even act against them.

It’s no surprise, then, that incessant fearmongering is the easiest and cheapest way for politicians, whether democratic or authoritarian, to secure public compliance. American politicians have an instinctive understanding of the psychology of fear.

Senator Arthur Vandenberg, for example, famously advised US president Harry Truman and his secretary of state Dean Acheson to “scare the hell out of the American people” by, in Acheson’s words, painting a picture “clearer than the truth” about the emerging Soviet menace.

Otherwise, it might be difficult to get public support for the enormous defence increases, at the dawn of the global hegemonic role the Washington establishment perceived to be in America’s interest.

The United States is again gunning for enormous defence increases, no pun intended. According to Ely Ratner, assistant secretary of defence for Indo-Pacific security affairs, “2023 is likely to stand as the most transformative year in US force posture in the region in a generation”.

He was, of course, referring to the Asia-Pacific region, in which the US military presence will be “more lethal, more mobile, more resilient and exactly reinforcing that kind of deterrence that we were talking about that make some of these rapid, low-cost invasions nearly impossible”.

This is to deter a Taiwan invasion, which Washington now admits may not happen any time soon; confront China’s assertive and “coercive” behaviour; and challenge its alleged nuclear build-up. That’s based on the newly released China Military Power Report, according to which China is America’s greatest military “pacing challenge”.

It’s estimated the US has 5,248 nuclear warheads while China has about 350. It’s unclear how the Pentagon has estimated Beijing wants to have 1,500 warheads by the middle of the next decade.

But even if it did, the US would still far outnumber China’s, if it did nothing to increase its own nuclear stockpile from the current level.

The US House of Representatives has just voted for a defence budget that will hit a record US$858 billion next year, US$45 billion more than proposed by President Joe Biden. But the budget on paper is actually misleading, as the Pentagon has what is known as “budgetary resources”, which could easily match the budget.

For example, for the current financial year, the US Department of Defence actually had US$1.64 trillion available for distribution to its different armed branches.

The China threat is just history repeating itself. Throughout the Cold War, hyperbolic estimates of the Soviet threat shaped US foreign policy as its citizens were constantly warned about the nuclear Armageddon.

As the late Colin Powell once explained: “One of the biggest challenges I’ve ever faced was when the Cold War ended. … We lost our best enemy at that time … Our whole structure depended on there being a Soviet Union that might attack us, and it was gone.”

What he said points to an inherent structure at the intersection of US foreign and domestic politics: there is always a need for an enemy. After 9/11, Saddam Hussein’s Iraq and Islamic terrorism became the threat for a decade or two. Enough lives and money had been wasted. Now China, (in)conveniently, is made to fit the threat bill in the coming decades.

However, unlike the Soviet Union, Hussein, al-Qaeda, the Taliban and Iran, China still doesn’t consider the US the great Satan or want to fight it as an enemy.

Most of the war talk, if you cut through the mainstream news media propaganda, comes from Washington.

Human eating turtles?

There are human eating turtles in the ganges. The sacred river ganges in India has long been a place where families bring their deceased loved ones for their final resting place.

However, the amount of dead humans rotting in the water became extremely dangerous from a public health point of view.

So, since 1990, 24,000 specially trained snapping turtles have been released into the river specifically to eat the rotting corpses, costing around $32 million.

It’s time to take the next step to stop unwanted…

2022 12 12 15 28
2022 12 12 15 28

Xi, the dollar slayer

.

Russian television is talking up a storm about Xi’s visit to Saudi Arabia and the enormously significant agreement to denominate Chinese purchases of oil in Yuan. In this regard, Russian commentators are running well ahead of the more cautious description of ‘agreements under discussion’ and ‘partial payments in Yuan’ that we find in yesterday’s Global Times, an English language semi-official Chinese newspaper.

However, there is every reason to believe that the Russians know more than the Chinese or Saudis are willing to say publicly. After all, there are now news leaks to the effect that the Chinese have for months been sending many planeloads of military gear to Russia each week in support of its Ukraine campaign, in violation of their supposed neutrality on the issue. That by itself is subject to a news blackout that Washington, for obvious reasons, does not violate. To do so would accelerate the economic decoupling with China in a way that would leave all U.S. retail shelves bare in a very few months. Replacement of the Chinese global factory in supply chains is still several years away.

Let us recall that China is the world’s biggest petroleum importer and trade in their currency instead of the dollar is the landmark event that dooms the U.S. dollar as the world’s reserve currency. Creation of the Petroyuan will, in a very few years, end the U.S. Treasury’s free ride on the global bond market. Interest rates in the States on government bonds will rise from their present phony levels to match those of others in the industrialized world, with the consequence that U.S. taxpayers will see the advent of ‘pay as you go’ financing of America’s wars abroad.  With some luck, if we make it through the present fraught confrontation with Russia over Ukraine, Peace on Earth may become a year-long theme song and not just a tune for one or two days at the end of each year.

And whom do we have to thank for this oncoming development that is plain as the wart on Uncle Sam’s face?  It is Vladimir Putin and his David stand versus the US-NATO Goliath now proceeding on the territory of Ukraine.

It is patently obvious that the change of direction in the Middle East against the United States and towards the rising world power, China, as well as towards its close ally Russia, was prompted precisely by the U.S. sanctions on Russia, by the freezing of 350 billion dollars of Russian assets on deposit in the West. These exceptional and unprecedented punishments could just as easily be applied to Riyadh at any time for a multitude of reasons. And, unlike Russia, the Saudis could not possibly resist an economic and financial assault from the West.

So, I say, thank you, thank you again to Vladimir Vladimirovich for setting the world well on its way to peaceful multipolarity and balance of powers.

No me but an older lady in my neighborhood. Her heater stop working a dealership told her she needed a new heater coil.. in her case this intitled taking of her right front fender. They quoted her a cost of 800 dollar.being on a fixed income she couldn’t afford that. She took it to a local garage know for working with seniors on fixed income.she told the owner of the garage her problem. He walk out open the hood took off the radiator cap added about gallon and a half of water . He told her to turn on the heater after a few minutes the heater was blowing out hot air. The water level was too low to circulate through the heater coil. There was no charge for the service.

I mean come on…

2022 12 12 15 26
2022 12 12 15 26

1. One Day or Day One, you decide.

2. Maturity is when you treat your birthday as a normal day.

3. Dream until it’s your reality.

4. No response is a response and it’s a powerful one.

5. Sunsets are proof that endings can be beautiful.

6. Hope, but never expect. Look forward, but never wait.

7. It may be a bad day, but never bad life.

8. Don’t make permanent decisions on temporary emotions.

9. Not all storms come to disrupt your life, some come to clear your path.

10. Enjoy little things. Little things make big things happen.

Disappearing journalists is a clear indication of a free society.

Say what?

2022 12 12 15 24
2022 12 12 15 24

Our boat was stolen about a year ago. A beautiful boat. A sea-ray, older, but well kept and spunky. I was heartbroken. My husband was as well. We called the insurance company. They gave us 12000 for the boat. they refused to pay us for the trailer though, We had replaced the old trailer with a new one, and, silly me, never called the insurance company to switch coverage over to new trailer. I was irritated, but it was on me. we had paid 13000 for the boat, so I felt okay about it and moved on.

6 months ago, my husband received a call from the police dept. they had found the boat, and trailer. It had been impounded about 70 miles away. My husband went to pick it up. And was told he had to pay impound fees on boat and trailer.

we were hoping to get the trailer back and sell it. I call the insurance company and tell them where there boat us, I tell them we can pick it up but we would like reimbursed for the boat fees. They responded that they didn’t want the boat, we could have it and then sent us the title we had recently signed over to them. It was signed back over to us. So. We received 12,000 and our boat and trailer. Thanks! I felt kind of guilty, but, we had done nothing wrong.

Sweet-Heat Bread & Butter Pickles

“Recipe courtesy of Virginia Willis. Sweet and sour bread and butter pickles will sass up a sandwich, punch up potato salad or make a burger, dog or chop hop. The addition of a Scotch Bonnet pepper in this recipe takes this classic to a new level. Be careful when handling the peppers: Wear gloves or be very certain not to touch your eyes or nose.”

2022 12 11 19 36
2022 12 11 19 36

Ingredients

Directions

  • Special equipment: Boiling-water canner with a rack, canning tongs, canning funnel, 4-pint canning jars.
  • Place a wire rack in a rimmed baking sheet or line it with a clean towel. Set aside.
  • Trim the ends of the cucumbers, peel and cut into 1/4-inch-thick rounds. Combine the cucumbers, onions and salt in a large non-reactive bowl. Cover and refrigerate at least 4 hours and up to overnight. Drain, rinse the vegetables under cold water and drain again. Repeat the rinsing and draining process and set aside.
  • Combine the coriander, mustard and celery seeds, turmeric, vinegar and brown sugar in a large non-reactive saucepan over medium-high heat. Bring to a boil, stirring to dissolve the sugar. Add the reserved cucumbers and onions and stir to combine.
  • Place 4 clean pint jars (see Cook’s Notes) on the prepared baking sheet. (This will help contain any dribbles or spills and prevent the jars from directly touching the metal.) For each jar, insert a canning funnel and place a quarter Scotch Bonnet pepper in each. Carefully ladle in the hot vegetables and liquid, allowing at least 1/2 inch of headroom. Clean the rims of the jars with a clean, damp towel and tightly secure the lids.
  • Place the canning rack in the canner and fill the pot with water; bring to a boil over high heat.
  • Using tongs, place the jars on the rack in the canner. The water should cover the jars by at least 1 inch. Cover the canner. Return the water to a boil and boil gently for 15 minutes. Using tongs, transfer the jars to a towel to cool. If the seal works and fits properly, the metal lid will be slightly concave within 24 hours of processing. Store the unopened jars at room temperature for up to 1 year. Once opened, store in the refrigerator for up to 1 month.
  • Cook’s Notes:

  • Pickling cucumbers are often smaller than the traditional slicing cucumbers and have slightly thinner skins.
  • High-acid foods such as fruits, pickles, sauerkraut, jams, jellies, marmalades and fruit butters with a pH level of 4.6 or lower can be preserved by boiling water canning (low-acid foods, such as canned meats and fish, require a pressure cooker).
  • Boiling water canning makes use of a large pot that’s tall enough to fully submerge canning jars by at least an inch of water. The pot is used for both sterilization of jars prior to filling and for boiling the jars once they are filled. You don’t necessarily need to purchase a boiling water bath canner if you don’t already have one. Any large, deep stockpot equipped with a lid and a rack can double as a boiling water canner. Keep in mind: The pot must be large enough to fully surround and immerse the jars in water by 1 to 2 inches and allow for the water to boil rapidly with the lid on.
  • It is not necessary to sterilize jars beforehand if processing jars in a boiling water canner for 10 minutes or longer. The jars should instead be freshly cleaned and well washed in hot soapy water. Any jars processed less than 10 minutes must be pre-sterilized and the lids and rings placed into simmering, not boiling, water. Rings can be reused, but lids should be new and used only once for boiling water canning.
  • This recipe has been updated and may differ from what was originally published or broadcast.
  • Properly handled sterilized equipment will keep canned foods in good condition for one year. Making sure hands, equipment and surfaces in your canning area are clean is the first step in canning. Tips: Jars should be made from glass and free of any chips or cracks. Preserving or canning jars are topped with glass, plastic or metal lids that have a rubberlike seal. Two-piece metal lids are most common. To prepare jars before filling: Wash jars with hot, soapy water, rinse them well and arrange them open-side up, without touching, on a tray. Jars have to be sterilized only if the food to be preserved will be processed for less than 10 minutes in a boiling-water bath or pressure canner. To sterilize jars, boil them in a large saucepan, covered with water, for 10 minutes. Follow manufacturer’s instructions for cleaning and preparing lids and bands. Use tongs or jar lifters to remove hot sterilized jars from the boiling water. Be sure the tongs are sterilized too: Dip the tong ends in boiling water for a few minutes before using them. All items used in making jams, jellies, preserves and pickles must be clean, including any towels and especially your hands. After the jars are prepared, you can preserve the food. It is important to follow any canning and processing instructions included in the recipe and refer to USDA guidelines about the sterilization of canned products. Find information on canning at the National Center for Home Food Preservation website.

Now that’s good advice…

2022 12 12 15 23
2022 12 12 15 23

Absolutely mind-blowing! Thousand thumbs-up for this. Jimmy, you’re punching way above your weight in exposing all the fuckery that goes on in the world. Excellent work, especially by Whitney.

A 70-year-old married a 20-year-old.

A year after the wedding the couple arrives at the delivery room.

A male boy weighing 3.5 kg was born, healthy and beautiful.

The nurse asks the old man “Yours?”

“Yes,” the old man replies proudly.

“Congratulations,” the nurse replies.

“Well…” says the old man, “the old engine still runs!”

Two years later the duo arrives at the delivery room again. A 3.5 kg girl was born, healthy and beautiful. The nurse asks the old man: “Yours?”.

“Yes” the old man answers.

“Well done” the nurse answers.

“The engine still runs!” said the old man.

After two more years, they come to the delivery room again. A 3.5-year-old male son is born, healthy and beautiful. The nurse asks the old man: “Yours?”.

“Yes,” the old man replies.

“Congratulations,” the nurse says, “that’s really impressive.”

“Well…” says the old man, “the engine is STILL running!”

“Well… says the nurse, “you may want to change the oil, the last one came out black.”

My daughter said…

2022 12 12 15 21
2022 12 12 15 21

My husband and I took our kids to visit Transylvania County in NC. The area is famous for its 250 waterfalls, some with 400 ft drops & others no more than 10 ft. At the very popular Sliding Rock waterfall, people wait in long lines to slide down a 60 ft flat boulder into a pool of really really cold water. We were checking into the nearest Inn & in front of us was a woman with several children clutching inner tubes & towels. She was asking the desk clerk general questions about the area. Her final question, “What time do they turn on the waterfalls?” The clerk didn’t bat an eye & replied, “They’re on 24/7 all year, even holidays.” As she left, we stood there slack jawed. The clerk just shrugged & said it happens all the time.

Apocalyptic Apocrypha: What was Removed from the Bible – and Why?

‘Biblical Apocrypha’ sounds like something out of a conspiracy theorist’s darkest dreams. Books that have been hidden away from us. Books that contain secret information on the origins of Christianity. As is so often the case, this isn’t exactly true. The history of the Apocrypha isn’t quite as shadowy as some writers would have us believe. However, the Apocrypha do offer us a fascinating glimpse into the early origins of Christianity, and the power wielded by a handful of theologians that died centuries ago.

What are the Biblical Apocrypha?

Put simply, the biblical Apocrypha are texts that have been left out of the Biblical canon. Some Christian sects leave them out completely, while others may include them in their Bibles, but make it clear they are non-canonical.

The biblical canon is the set of texts which a specific Jewish or Christian community regards as part of the Bible. There are many different Christian and Jewish sects, with different biblical canons. For example, one of the big differences between Catholicism and Protestantism is their biblical canons. While Catholics tend to include the Apocrypha in their Old Testament, canonizing them, modern Protestant Bibles tend to eliminate the Apocrypha altogether.

Protocanonical Vs. Deuterocanonical

A prime example of differences in the biblical canon is the protocanonical and deuterocanonical texts. The protocanonical books are the books of the Old Testament which are also included in the Hebrew Bible ( Tanakh). These are the books that the very early Christians (who were the precursors to Orthodox Christians ) believed to be canon.

On the other hand, the deuterocanonical texts are the “second canon”. They are considered canon by the Catholic Church, Eastern Orthodox Church, Oriental Orthodox Church, and a handful of others as canonical representations of the Old Testament. However, Protestants disagree, regarding these texts as Apocrypha.

Different Bible; Different Apocrypha

This just scratches the surface of differences in biblical canon. Throughout history, as different versions of the Bible have been published, the issue has only gotten more confusing. Each Bible handles the issue of the Apocrypha differently.

The Gutenberg Bible of 1455 doesn’t have a section for Apocrypha. Instead, its Old Testament simply included the texts that many thought to be apocryphal. Pope Clement VIII would later move these to the index with the 1592 edition of the Clementine Vulgate.

In comparison, when Martin Luther released his German translation, the Lutheran Bible , in 1534, he included a whole section dedicated to Apocrypha. Furthermore, he had his doubts about the veracity of four of the New Testaments books, and so he moved them to the back of the Bible. The King James Bible of 1611 followed in Luther’s footsteps, adding an Apocrypha section. Today, when we talk about Apocrypha, in general we are normally referring to the books listed within the King James Version’s Apocrypha section.

The Gutenberg, Lutheran, and King James Bibles are the most well-known versions of ‘the Bible’, but of course, there are many, many more. Some include all books of the Apocrypha, others only include some, and each orders it in a different way. More commonly, most modern editions of the Bible leave it out altogether, but we’ll discuss that later.

Apocrypha vs Pseudepigrapha

To add to the confusion about what counts as biblical canon, we also have pseudepigrapha to contend with. As mentioned, Apocrypha are the books outside of the canon. These were usually books that were not included when the New Testament became official, after Constantine I of Rome converted to Christianity.

Pseudepigrapha translates as “false writing”. For the most part, these were forgeries written in a biblical style. They were often written in the name of famous people from the past, to lend them credibility.

Sometimes the pseudepigrapha sought to answer questions early Christians may have had about the Bible. For example, what was Jesus like as a child? Others simply sought to entertain early Christians using characters they were already familiar with. This led to weird combinations, like romantic biblical stories.

Canon or Apocrypha: Who Decided?

Today, deciding which stories are Apocrypha should be relatively simple. Does it appear in the Apocrypha section of the Bible, especially in the King James Bible? Then it’s Apocrypha. Anything else is more than likely pseudepigrapha.

But who decided what was canon and what wasn’t? When asking these questions as historians, we have to be careful not to offend. When examining the Bible as a historic text, the simple fact is, much of what its writers claimed took place can’t be verified.

The Bible may mention some people from throughout history, but it has a habit of embellishing the truth. Take King Herod , for example: we know he was 100% real, and it is likely he had three of his sons executed. However, besides the Bible, there is no other evidence stating that he had everyone’s infant sons executed.

So, who decided what went in the Bible? How did they decide “this miraculous story happened, but this other one didn’t”? This is where early Christian theologians played an important role.

These theologians were largely responsible for shaping early Christianity. It should be no surprise that they tailored the religion to fit their own beliefs. If an early Bible story had a strong pagan influence, for example, it became heretical and therefore pseudepigrapha. Was it more focused on entertaining, rather than teaching an important lesson? Then again, it was generally labelled heretical, and / or pseudepigrapha.

The men who wrote the Bible were human beings with their own biases, motivations, and agendas. For example, how did Martin Luther choose which texts went into the Apocrypha? How could he be sure which biblical stories were ‘true’ and which weren’t? He used his faith. Luther was unhappy with many of the teachings of the Catholic Church, so he created his form of Christianity, Lutheranism, which largely led to the Protestant Reformation .

When Luther created his Apocrypha, he took the parts of the Catholic Old Testament which didn’t fully support his new religion and put them in the Apocrypha. He interpreted the Bible in a way that supported his beliefs, and then made sure his Lutheran Bible reflected those beliefs.

The King James Bible did something similar. While it is broadly similar to the Lutheran Bible, its Apocrypha does vary slightly. Why? Because King James, a leader with his own goals, ordered that the Bible be translated in such a way that it reflected the teachings of the Church of England. A church that, as monarch, he was the head of.

The Infancy Gospel of Thomas: A Different Jesus

Perhaps the best example of why a Bible story might find itself labeled as pseudepigrapha is the Infancy Gospel of Thomas. Early Christians wanted to know more about the early life of Jesus. The canon stories jump straight from the birth of Jesus to his life as a young man.

The Infancy reads very much like a superhero or supervillain origin story. In it, Jesus reads like a spoiled, psychotic brat. He misuses his powers for personal gain, tortures his tutor, and even kills another boy who he doesn’t like. By the end of the story, Jesus has learned to control his powers and resembles the canon Jesus to an extent, but it’s a rough road there.

The Jesus of the Infancy Gospel of Thomas resembles the gods of the old pagan myths. Spoiled, rash, and with little regard for the lives of mortals. It is easy to see why early theologians would look at this depiction of their martyr and deem it heretical. It did not fit with the religious narrative they were trying to sell.

Why Did the Apocrypha and Pseudepigrapha Disappear?

The conspiracy theorists out there like to point at the Apocrypha and pseudepigrapha as proof of grand sweeping conspiracies. They like to question why it is that so many people hailing from predominantly Christian countries have never heard of many of these biblical tales. Surely this is proof of the Illuminati, or the Knights Templar , or someone else controlling what we believe. What don’t they want us to know?!?

As is so often the case, the reality isn’t quite as exciting. It is interesting though! The first ‘disappearance’ of the Apocrypha occurred in revolutionary Britain during the 1600s. The Puritans were dogmatic, following the Sola Scriptura standard (Scripture Alone). This means they only cared about and were interested in the biblical canon. Everything in the Apocrypha was worse than useless to them; it was borderline offensive. The Westminster Confession of Faith excluded the Apocrypha from the canon, and so most British publishers stopped printing it during this period.

Eventually, the Apocrypha was banned by those offended by it. This time, it really stuck, and was likely the reason so many people today are unfamiliar with the Apocrypha and tend to sensationalize it.

In 1826, the British and Foreign Bible Society (BFBS) announced that they would no longer fund any printing of the Apocrypha, anywhere. The National Bible Society of Scotland had petitioned them on the matter, and the BFBS agreed. This ban lasted until 1964 in the United States and 1966 in the UK. The result is most modern Bibles, including reprints of the King James and non-Catholic reprints of the Clementine Vulgate, omit the Apocrypha. Even reprints of even older, more obscure Bibles skip the Apocrypha.

The reason the Apocrypha was seemingly hidden from millions of Christians? Simple economics. The more pages you print, the more expensive the book is to produce and transport. By this point, most Christians (except Catholics) were of the mind that the Apocrypha was non-canonical. So why waste money printing it?

The more cheap, readily available Bibles that Christians distributed, the more minds the Bible was able to reach. Hopefully, meaning more Christians. It was a simple cost-benefit analysis.

There it is: no big secret. The only reason the apocalyptic apocrypha seemed to disappear for such a long period is that printing it wasn’t deemed financially prudent. However, the pseudepigrapha is a slightly different story.

An argument can be made that efforts were made to hide pseudepigrapha from early Christians. Most pseudepigraphical stories were deemed heretical by early religious leaders. These men were trying to shape a young religion, and so they simply banned whatever contradicted their teachings.

Many pseudepigrapha disappeared and were largely lost to history. This is not uncommon; anyone familiar with ancient history is used to lost sources. Unfortunately, this has led to huge gaps in our understanding of Greek, Roman, and Egyptian religion, because so many sources didn’t survive.

In more modern times, archaeologists and historians have begun re-discovering pseudepigrapha that had been thought lost to time. These often give us a fascinating insight into the very early days of both Christianity and Judaism. No one is hiding them; a quick Google search will bring up lots of examples.

Apocalyptic Apocrypha and Pseudepigrapha

In recent years, society has become a little obsessed with the apocalypse. Anything apocalypse-related sells big. Many are also a little fixated on conspiracy theories. Unsurprisingly, this means that in recent years, the idea of the Apocrypha being ‘hidden’ has gone hand in hand with conspiracy theories regarding the apocalypse. So, how apocalyptic is the Apocrypha?

In truth, only one of the texts usually attributed as Apocrypha even deals with an apocalypse: 2 Esdras. In it, Ezra, a scribe, has four visions relating to the apocalypse. There is nothing particularly inflammatory in it.

The Pseudepigrapha, however, deal more with the apocalypses. The Apocalypse of Abraham, the Apocalypse of Moses , the Apocalypse of Paul, and the Apocalypse of Peter all offer more sensationalized versions of the apocalypse than what is included in the Bible. The Apocalypse of Peter in particular has survived in many ancient manuscripts. It gives us a slightly more detailed depiction of what life is like in heaven.

There is nothing in any of these texts which would make one think there is a reason they were hidden away. Instead, the texts simply don’t fit the canon laid out by earlier biblical scholars. Anyone hoping for DaVinci Code-level revelations when reading them is bound to be sorely disappointed.

Conclusion

Studying the Apocrypha can be unsatisfying for anyone expecting a grand revelation that would turn the established church on its head. It is simply just a collection of ‘extra’ stories that didn’t make it into the canon and then were largely cut altogether because of financial constraints.

In fact, most of the Apocrypha appear in the Old Testament of Catholic Bibles, so they’re really not very well hidden at all. The pseudepigrapha are perhaps more interesting. They offer raunchy, sensationalized versions of characters already established in the Bible. A psycho Jesus who kills little boys and tortures people? That story will sell. And it is easy to see why people might wonder what else was hidden from them.

However, in fact, the pseudepigrapha are little more than fan-fiction: stories written to entertain people whose lives largely revolved around their religion, while filling in gaps in the established canon.

Say what?

2022 12 12 15 20
2022 12 12 15 20

Dolphins rape.

Not only do dolphins coerce and assault other dolphins, but there have been many cases of dolphins attempting to initiate sex on humans.

They have also been known to assault and kill baby porpoises, and even their own infants.

Sex is a dominant part of dolphin culture, and it is used, like many other animals, as a show of power.

main qimg f50ab3c00e49f349c135cf2a20c9cda9 lq
main qimg f50ab3c00e49f349c135cf2a20c9cda9 lq

How messed up is the American proxy Sweden?

Check out this…

main qimg 2b9af86b4693db094fd31a7967584df8 lq
main qimg 2b9af86b4693db094fd31a7967584df8 lq

Now, take note of this…

main qimg 00b3f7b5b9f698f65a92af7074951d93 lq
main qimg 00b3f7b5b9f698f65a92af7074951d93 lq

Stranger than fiction

For those who read my posts before, I have mentioned Von Der Leyen for like 100 times, including how she cheated on her doctor’s degree, her dad arranged her into politics, she went from babysitting family to being a defense minister for 6 years, and she basically outsourced national security to Mckinsey and claimed “she didn’t know”, and her picture literately needs to be in every post, and etc.

main qimg b67a197bc17b97b406c02995a58e93b0 pjlq
main qimg b67a197bc17b97b406c02995a58e93b0 pjlq

Here is one more update: both Macron and Scholz recently threw some tough words on the US’s inflation reduction which is 100% against European interests, likewise on the gas price, though I doubt they can implement those given the fragile state they are in right now.

I back tracked Von Der Leyen’s activity and statements. Once again, she didn’t even say a single word about it, as if either she wasn’t the President of EU Commission or she was “busy” on chatting with students or attending some BS award ceremonies.

Once again, this is what happens when you have a de facto American running a non-American political system.

The “Americans” apparently are very patriotic to the US of America.

(Von Der Leyen is very “smart”, like how she obtained Von from her husband and insisted on using it to kep reminding others about the title. She is, like many trojans in the EU, NATO, a Pan-Atlantist).

So, is there anyone out in MM land that doubts that Europe is an American proxy? -MM

What is your most interesting encounter with the police?

I was hitchhiking. A guy picked me up. A few miles down the road we were pulled over by a Washington State trooper.

The trooper was taking his time getting out of his cruiser. My driver was getting upset – glancing nervously in the rear view mirror.

“Look at this guy! What the hell is he doing? Talking on the radio, looking out the window – Fucking cops!”

The trooper has finally emerged from his cruiser and is now adjusting his Smokey Bear hat while checking his look in the driver’s door glass.

“Jesus H Christ, what next?” My driver furiously rolled down his window and yelled back at the trooper “Hey pig! Did you forget your lint roller or some goddamn thing?”

At ‘pig,’ the trooper straightened up, gazed up and down the empty highway and unsnapped the leather safety strap on his pistol. He began to walk up to the driver’s side of our car.

He had his right hand firmly on his weapon. I had my hand on the door handle, ready to jump out and run. The driver was halfway out the window, almost screaming .

“So tell me, pig, just what do you want? Why the hell did you pull me over?”

The trooper crouched down so his face was even with the driver’s. His face showed no emotion. I was jammed up tight to passenger side door, eyes wide, hands up, trying to make it clear I’m Not With This Guy.

The trooper held the driver in a steady gaze. Finally, he spoke:

“What time are we supposed to be at Mom’s house tonight? I’ve got her birthday cake back at the office.”

Life Lesson

main qimg 0c1a5ec764446b33df20ac93f0e6b6fe pjlq
main qimg 0c1a5ec764446b33df20ac93f0e6b6fe pjlq

The United States is falling apart at the seams

In ten years all of this is going to be a distant memory, but for now, we are all sitting on pins and needles while the big-time crazy plays out.

You all have no idea what’s “next” for China.

After lifting up most of China out of poverty, the next two decades will be towards making the resulting middle class, a solid and long-duration class, and that means that the Chinese standard of living will surpass the upper middle class currently defined by the wealthy in the United States.

It’s gonna be brutal.

Of course, the USA is still playing the same old “games”. And we have endure this period of uncertainty…

The United States is “asking for it”

In response to the change in US nuclear weapons doctrine signed by POTUS on “No Fist Use, in a speech yesterday, Russian President Putin stated that the Russian Federation will change its defense doctrine and now will consider using nuclear weapons, even if only attacked by conventional weapons.

E.g. if we “detect that you are preparing to attack us, we will strike first with strategic atomic weapons.”

Navajo Ute Blanket

Sometimes, it is the simplest-looking objects that have the most astounding stories—and prices to match. This Navajo Ute blanket is finely woven with bands of white, black, and blue. Many people would walk right by it. But these so-called “chief blankets” can raise high prices.

The owner of the blanket seen on Antiques Roadshow had inherited it from his grandmother. As a young child, it was simply put on his bed and occasionally thrown over him on chilly nights. The experts on the Roadshow immediately recognized it for what it was. As an amazing example of Navajo weaving, it was estimated to be worth at least $350,000. The owner was left in tears because his grandparents had merely been poor farmers.

Another example of a blanket like this, which had once been used by a cat to give birth on, sold for $1.5 million. The owner of that blanket had been struggling to get by on $200 a month. So maybe check your attic for one of these.

1. The President of Peru, Pedro Castillo, has been arrested and is being held in the police station located on Avenida España in the city of Lima. This is following a vote by the majority right-wing congress to oust him in a legislative coup. Vice President Dina Boluarte is sworn in as President of Peru.

2. Argentina’s corrupt, heavily politicized judicial system absurdly sentenced left-wing former President and current VP Cristina Fernández de Kirchner to 6 years in prison on ridiculous “corruption” charges

2 coups in Latin America in 2 days:  Argentina’s Kirchner was banned from office on bogus charges by corrupt judges conspiring with right-wing media oligarchs Peru’s Castillo was overthrown by the right-wing-controlled congress Plan Condor 2.0 The capitalist class never sleeps

Apologists will make excuses for these coups. They always do

Sure, not every coup is as simple as a blatant Pinochet-style military coup

But these are a new kind of coups, using hybrid war, lawfare, 4th Generation Warfare

Don't let disingenuous appeals to "nuance" distract you

— Ben Norton (@BenjaminNorton) December 8, 2022

Operation Condor is not a conspiracy theory.

HERE

Operation Condor is (was … and is now re-implemented by the looks of things) a criminal conspiracy to forcibly disappear people across international borders.  Operation Condor coordinated repression among the countries of the Southern Cone.  There were court hearings in Rome and in Buenos Aires.  Eventually one of these ruled that Operation Condor was a criminal conspiracy and the Operation’s scope was proven in its full magnitude.

Only the methods by which to disappear people have changed. Now we create new leaders out of thin air and remove others via lawfare.  Why now? you may ask.  Simple, they could not get to Lula so they chose an area destabilization.

Let’s first take a look at the comments from other Latin American leaders. Leaders that resist a coup every day. Thanks to Ben Norton and Ollie Vargas for pulling this together so quickly.

Bolivia's leftist President Arce: "From the beginning the Peruvian right wing tried to overthrow a government that was democratically elected by the people, by the humble classes that sought more inclusion and social justice" "We send all our solidarity", and The constant harassment of anti-democratic elites against progressive, popular and legitimately constituted governments must be condemned by all. We advocate that democracy, peace and respect for Human Rights prevail for the benefit of the Peruvian people.


Bolivia's ex President Evo stresses: "The political crisis" in Peru "was provoked by the endless conspiring of the Fujimori-supporting right and right-wing media outlets against an elected government whose 'unpardonable crime' was representing the poorest"

Mexico's President AMLO says of Peru: It is "unfortunate that because of the interests of economic and political elites, since the beginning of the legitimate presidency of Pedro Castillo, there was an environment of confrontation & hostility against him"

Honduras' ex President Manuel Zelaya (who was himself overthrown in a US-backed right-wing military coup in 2009) says: "We energetically condemn the coup d'etat in Peru violating the sovereign will of the people, represented by President Pedro Castillo"

Lucho Arce, Bolivia:  The constant harassment of anti-democratic elites against progressive, popular and legitimately constituted governments must be condemned by all. We advocate that democracy, peace and respect for Human Rights prevail for the benefit of the Peruvian people.

Dilma Rousseff, previous leader of Brazil on Cristina Kirchner: The sentence, ultimately, is unfair and falls on Cristina Kirchner, who is one of the most important leaders in Latin America. Undoubtedly, it is a demand from the extreme right in Argentina, just as the condemnation of President Lula had a similar meaning in Brazil. She expressed solidarity with Kitchner as well as with all progressive leaders, militants and activists persecuted and unjustly condemned in recent history on our continent.

Lula da Silva:  "My solidarity with Argentina's VP Cristina Kirchner. I saw your statement that you are a victim of lawfare. Here in Brazil we know how much this practice can damage democracy. I support an impartial, independent justice system for all and for the people of Argentina."

It is fair to say that each of these people understands what has happened, understands how it happened, and knows with certainty that they are but a hair’s width away from similar action.

This is then the state of the fight in Latin America.

Peru is in chaos as the people actually voted for Pedro Castillo. As I said in my writing on Building Conflict , chaos is an acceptable outcome for the hegemon. If they cannot rule, chaos works for them while they attempt to snatch some victory out of chaos.

I posted some of the outlandish press on Brazil in the commentary. Take a look at the outcome of that press. It appears in Latin America, that we are now fighting the US Right and the crazy QAnon as well.

So much disinformation is spamming through US social media apps on Brazil that it's creating QAnon-like mass hysteria in Bolsonaro's most fanatical followers. I'm calling it #BRAnon. Here, a group of "patriots" reacts to a fake story on the arrest of a Supreme Court Justice. + pic.twitter.com/h1lZQLOT8Z

— BrianMier (@BrianMteleSUR) November 9, 2022

This is then the state of the fight in Latin America.

Every good leader is threatened with a coup on a daily basis and we fight the American right, convinced of their own manifest destiny, unable to do anything in their own country, and now they join the hegemon to destabilize Latin America. They have failed the political fight in their own countries, and now they want to carry it to the Latin American countries to continue the tenets of the Monroe Doctrine and to keep pillaging the Latin Americas.

The Latin American left brings change 'from below' through mass participation of majorities urban & rural. The pro-US right force change 'from above' through backroom deals among a select few in the capital city.

— Ollie Vargas 🧉 (@OVargas52) December 7, 2022

 

This is then the state of the fight in Latin America.

The Peruvian right-wing majority congress, who have just ousted Pedro Castillo, have an 85% disapproval rating. One of the worst in the region.

The decision of the Peruvian Congress to dismiss Pedro Castillo has been rejected by leaders and governments in Mexico, Bolivia, Colombia and Chile.

https://www.telesurenglish.net/news/Perus-Crisis-Prompts-Reaction-From-Latin-American-Leaders-20221207-0021.html

Chile is a surprise. Of course, reactions are still pouring in and we will hear from Cuba, Caricom, and most everyone else in our ‘zone’.

Thing is, this is a little weird. Pedro Castillo was not highly regarded in the rest of the Latin American pink tide. He was known to be so centrist that he could not truly unite his cabinet. This is a lesson – internal stability must be there, otherwise the rot creeps in. And this is so much more relevant for Latin America. They have been harassed, couped, raped and plundered probably more than African countries. This is then why I say that they could not get to Lula da Silva, and decided that chaos in the region is called for.

Bolivia’s former President Evo warns of a “new assault by imperialism” against the Latin American left: “The right-wing enemies of the people don’t accept anti-imperialist governments”. “We must remain united and never surrender”.

Diego Rivera Painting

Diego Rivera was one of the most important Mexican painters of the 20th century. His large murals sparked a new style of artwork. His third wife, Frida Kahlo, may be better known today, but when an article was written about her in 1933, it was headlined “Wife of master mural painter gleefully dabbles in art.” Today their reputations may have reversed, but Rivera’s works are still highly sought after.

One of his early paintings was thought lost for decades. It was only when a man brought it into the Antiques Roadshow that it was recognized and brought back into public awareness. Called “El Albañil,” or “The Laborer,” it was estimated to be worth around $800,000 to $1 million. Not bad for a piece of art that was just hanging up behind a door in a family home.

It’s all smoke and mirrors for the public to give us the impression that they care. They don’t, never have and never will. The corruption is so entrenched, we have no real idea how deep and connected these scumbags are.

What an honorable fighter, accepts defeat, acknowledges the winner asking the audience to cheer even more for the winner! Love that!

Walt Whitman Letter

Walt Whitman was among the most influential poets that America has ever produced. His works were celebrated and vilified in his own time, but his stature has grown. Today, he is now recognized as part of the American literary canon.

What was brought into the Antiques Roadshow was not a rare copy of his literary works but something more personal. During the Civil War, Whitman helped wounded soldiers by taking dictation so that they could send letters back to their families. He apparently wrote many such letters, but few have survived, and even fewer where Whitman signed his name on them.

The letter was brought into the Roadshow not for its own merits but because it was part of a family’s store of Civil War-era items. However, when the experts saw it, they recognized how rare it was. The price put on it was $8,000-$12,000. After later speaking to a specialist on Whitman, the price was revised to maybe as much as $20,000.

Meet Barcelona’s ‘Amazon Tax’

Enough with the subsidies. Tax dominant delivery platforms instead.

For local communities, the opening of an Amazon warehouse causes a bunch of problems, including lower wages, fewer jobs, and more local businesses closing. But the issues local residents tend to be the loudest and most upset about, particularly in the short term, are increases in traffic, noise, and pollution that necessarily come with such facilities, especially for those who live closest to it.

Indeed, the spike in e-commerce, not just from Amazon, but across the board — and of course exacerbated by the pandemic — has driven up traffic congestion and pollution, not just in the U.S. but across the world. According to the World Economic Forum, in order to meet e-commerce demands, “the number of delivery vehicles in the top 100 cities globally will increase by 36% until 2030. Consequently, emissions from delivery traffic will increase by 32% and congestion will rise by over 21%, equalling an additional 11 minutes of commute time for each passenger every day.”

But traffic isn’t the only concern. The pace Amazon demands of its drivers makes them a danger to both themselves and others: Nearly one in five Amazon drivers was injured on the job last year, and they causes loads of accidents (for which Amazon itself can often avoid legal liability).

Finally, I’m sure I’m not the only one who has been more than a little annoyed about having to navigate around a seemingly endless supply of Amazon vans double-parked in my neighborhood.

All in all, it’s bad news, even factoring in the consumer convenience of ordering something and having it arrive at your door the next day.

I’ve written quite a bit here about why its foolhardy for states and localities to subsidize the warehousing and logistics networks of big retailers, Amazon most prominently among them. But what about actually making them pay for some of these harms?

For a look at how to do that, we can look across the Atlantic to Spain, where one city is intending to take a delivery platform tax out for a spin.

Barcelona’s city council this week took the initial step toward implementing a tax next year on dominant delivery platforms. These are the large corporations, mostly in the e-commerce space, that deliver packages right to a consumer’s door. It has been dubbed the “Amazon tax” locally.

My Spanish knowledge is limited to what a few years of Duolingo can teach, so I may be mangling some details here (though at least some of the press coverage was in English), but it seems the tax will be applied to dominant delivery corporations above a certain revenue threshold, with exemptions for those that drop packages off at central locations where consumers can come pick them up, as well as those that deliver to other businesses. The goal is to levy a fee on the big corporations doing home delivery.

The city expects to raise 2.6 million euros, and says this would be the first such fee introduced at the local level in Europe.

Barcelona’s administration has given two rationales for the policy. First, it’s meant cut down on both damage to public spaces and emissions. “This planet cannot allow for a 300 gram package to be transported to your home in a vehicle that weighs more than a ton,” said city councillor Jordi Martí.

But second is to incentivize consumers to shop at local stores, since presumably the fee is going to, at least in part, be passed on to them in the form of higher prices. “We want local traders to have equal fiscal conditions compared to the major e-commerce platforms, who have a very high market share,” said Deputy Mayor Jaume Collboni, who added that the city wants consumers to “avoid the dominance of some platforms” and “favor local trade.” (Again, apologies for any mangled translations.)

There have been some nods at doing something similar in the U.S. — most prominently in New York City, where a $3 fee would have been applied on all packages and was thus a non-starter, and in San Francisco, where a ballot referendum to implement a delivery platform tax was scrapped this year due to drafting errors that would have applied the tax to many more businesses than intended — but as far as I know, no jurisdiction has actually gone ahead and done it. Seattle also had a tax that was derogatorily dubbed the “Amazon tax,” but that was levied per employee, and referred to that city’s dominant employer.

But a delivery platform tax is a good idea!

Here in the U.S., Amazon alone has received more than $5 billion in state and local subsidies, most of which has gone toward its warehousing and logistics network. And other big retailers and food corporations have also gotten into the game, having the state and the public build out their necessary infrastructure, and therefore receiving a leg up over local businesses that aren’t getting the same level of public support.

That should obviously be cut off, and there’s legislation out there for state leaders who want to deny subsidies to e-commerce corporations generally.

Meanwhile, at the local level, leaders can absolutely look for ways to make giant corporations pay for some of the harms they’re causing, and boost their own local businesses at the same time by levying a fee on the corporations that want to clog streets and belch fumes. Wins all around.

Lincoln Assassination Eyewitness Letter

There are few moments in American history as famous as the assassination of President Lincoln. Everyone knows how John Wilkes Booth entered the box in Ford’s Theatre, where Lincoln and his wife were watching a performance of Our American Cousin. During a moment when the audience was laughing at a joke, he pulled out a pistol and shot the president. Wilkes then leaped from the box, landed awkwardly on stage, held up a dagger, and proclaimed, “Sic semper tyrannis!”—”Thus ever for tyrants!”

That’s the accepted version of events, but to people in the theatre at the time, it was a moment of confusion. That is why the letter brought into the Antiques Roadshow by one lady was so important. It is a contemporary eyewitness account of the assassination by her grandfather, who was there. He had gone to the theatre after hearing General Grant would be present. Instead, he saw one of the most momentous events of the 19th century.

According to the account given, Booth also announced from the stage, “I have done it!” and “The South’s avenged!” The letter was valued at $10,000-$15,000.

Lemon Chicken

“This is like the lemon chicken you get from your Chinese take-out place. The egg, cornstarch, and baking powder coating is extra light and crispy. No msg or flour involved! And remember, Zaar calculates fat and calories from the ingredient list…you won’t consume all the oil listed in the recipe! Note: Marinating time is included in prep time.”

2022 12 08 11 55
2022 12 08 11 55

Ingredients

Directions

  • Combine first 3 ingredients in a large zip-lock bag. Add chicken, and seal bag. Marinate for 15 minutes in the refrigerator.
  • Combine eggs, 1/4 cup cornstarch, and baking powder in a large bowl; stir well. Dip chicken into batter, coating well.
  • Pour 2 cups oil into a large heavy skillet. Fry chicken in hot oil over medium-high heat until golden, turning occasionally. Drain on paper towels. Cut chicken into 1 1/2 inch X 1 inch pieces. Arrange on a serving platter.
  • Combine chicken broth and next 4 ingredients; set aside. Place 2 tbsp oil in a large non-stick skillet; place over medium-high heat until hot. Add lemon slices, and stir fry 30 seconds. Add broth mixture, and stir fry 3 additional minutes or until sauce is thickened and clear. Pour over chicken. Serve immediately.

2022 12 08 11 56
2022 12 08 11 56

Jeff J. Brown on Press TV: Pentagon chief says China has both will and power to reshape US-dominated order-but now we have the B21 Raider bomber. Seriously?

2022 12 09 06 36
2022 12 09 06 36

HERE

Pentagon Chief Lloyd Austin on China: pivotal point in 21st century | Fortune

After rolling out new stealth bomber, Pentagon chief warns of pivotal point: ‘We’re aligning our budget as never before to the China challenge’. China is the only country with the will and power to “reshape its region and the international order to suit its authoritarian preferences,” Lloyd Austin said
Zero-sum mentality and single-way thinking is scary: whoever (white/black/yellow/brown) in the position of power in those lands control of the crusaders, their behaviour tend to be the same, if this is not culture/DNA? What is? 

The me-only, self-centered, dictatorial, and barbaric doomsday threats of the Bible culture must go, before the west can learn to coexist with the rest of the world and enter the civilised stage of human civilisation. 

Christianity is the most divisive forces in this world. They are used by the crusaders to destroy culture, and to divide a population. South Sudan, East Timor seperatists moment are just some examples. These brainless believer are blinded by the brutality of their God in the old testament, and their GOD disrespect for women and his own mother in the New testament. 

As a result, these brainwashed people failed to respect their parents dislike of Christianity and make prayer on dinner table while eating food prepared by their parents. 

HERE

Can the US Constitution be saved and updated?

Patrice Greanville – Chat @ Spike

As usual, Eric A. brings up many important questions to the table relating to the great tasks facing humanity these days, a humanity unfortunately still mired in the ugly muck of Western colonialist momentum, whose main global anchor remains the Anglo-American empire.

I say “momentum” because ideologies—including “manifest destiny”, “national exceptionalism” and “raw racism”—can persist for a long and highly dangerous time, and lose their grip on the shaping of history only when the underlying material forces that gave them currency at one point are no longer capable of supporting the visible edifice. This is of course, as you all know, an old Marxian insight.

The US as the globe’s citadel of capitalism is clearly facing its terminal decline. Much of this mess is—curiously some would say, but logical when closely examined—self-inflicted. America’s industrial infrastructure is shot, in critical sectors weak or nonexistent, and generally ridiculously overextended. The once resplendent garments are now a beggar’s attire, rags covered in pitiful patches.

Hard to believe, many would say, but we know why this happened. The ruling elites myopically offshored and destroyed the onetime awesome American industrial base through short-term thinking, “boardroom hyper-individualism”, and the unchecked pursuit of profit—all natural and inherent offshoots of the capitalist dynamic.

Since this process took years if not decades, it is necessary to ask, how did these people get away with this slo-mo industrial suicide without a popular backlash? After all, as city after city saw its core gutted, with millions deprived of a decent livelihood, this is a question that deserves a proper answer, but suffice it to say here that the ruling oligarchy used its tested mechanisms of social control via instigated social divisions, absence and denial of genuine representative leadership, and pervasive, unrelenting manipulation via a globalised media that shamelessly served only massive disinformation.

In this context, it’s useful to recall that the US ruling elites commenced this new phase of their old class war right after the end of WW2, when they launched their McCarthyite persecution of communists and populists across all possible spheres of political importance. This “purged” the nation of true agents of change while depriving the working class of all forms of effective self-defense organizations, starting with combative labor unions.

Quite ironically, the US elites very triumph in controlling the population via massive propaganda and clever forms of repression ended up insulating them from reality. This “soft” US-style totalitarianism built on lies and corruption eventually removed all the necessary and healthy social limits to their actions, at home and abroad. As a class, they got no penalties for their mistakes or even high crimes. Thus, like the person who no longer feels his feet due to neuropathy and thereby loses a limb due to an otherwise avoidable injury, the US ruling class grew accustomed—especially since the end of the USSR in 1991—to acting in what the French might call “capitalism a outrance”, a capitalism without limits, savage and irresponsible, uncooperative and fragmented at its core, and above all short-term thinking, consummately hypocritical, and therefore unwise. This is the beast that eventually spawned this latest brand of imperialism, “Woke imperialism”, the pathetic culmination of the Western liberals’ war on real class struggle. The hegemony’s rulers have always wanted to “freeze history”, for capitalism always reaches a point where it can advance no further, and is finally overwhelmed by its own innumerable ills, product of its incurable contradictions, of which the first and foremost remains the crisis of overproduction.

Given the above, it’s no surprise that when we look about, things look the same, albeit in a worsened, coarser iteration. The first major crisis of overproduction (brought about by “Fordism”) detonated the Great Depression, a period the US ruling class survived due to FDR’s coping socialistic measures and eventually a world war. The current great crisis—which engulfs the entire “West”—is, as we know so well, caused by ceaseless technological innovations aggravated by the computer revolution and the shenanigans of a reckless financial class for whom the well-being of society is at best a minor consideration.

Under such conditions, the US economy remains almost completely dependent on its “Pentagon sector”, a form of military Keynesianism evermore aggravated by unchecked, unquenchable greed, a lethal God that requires constant blood offerings, the latest being, of course, the Ukraine adventure.

Domestically, on the financial side, the capitalist deficits and gross imbalances in income distribution have been papered over by the extension of near universal retail credit, created in the postwar as a great “invention” to neutralise the dangerous effects of overproduction. The problem here is that consumer credit depends for its stability on stable jobs which in turn require a stable economy, but this economy cannot exist because by its very nature is unstable. So we are dealing here with the old house of cards dilemma. Incidentally, bourgeois economists like to insist that successive waves of invention create more job opportunities to compensate for job losses stemming from the efficiency of new technologies. This was their answer to “Luddite” critics, for example, but the facts speak otherwise. Today the world witnesses throughout the West a growing glut of “unneeded workers”, while the ruling class toys at its highest levels (i.e., WEF), with options such as massive “degrowth” and “depopulation”. A whole industry of lies and excuses (and probably biological tools) is also being created to justify such eventual measures.

Externally, an elaborate financial edifice grounded in the petrodollar is now crumbling—faster than anyone expected, especially among the the empire’s select counsellors—precisely due to the ineptitude and blind arrogance of the imperial mandarins. It’s a house of cards, a magic trick kept alive only by the implicit blackmail of a supposedly almighty military, but this last trick in the wizard’s bag is now also being exposed as a fraud by the war in Ukraine, where the West and and the Russian people are locked in an existential struggle.

Despite some early mistakes and miscalculations, in the pursuit of their intervention in Ukraine, the Russians are showing the rest of humanity (to their delight), that the arrogant, oppressive West—except for its nuclear submarine force—is, as Mao said, a paper tiger. Russia is showing the world what a real military superpower is capable of, one, to put it in technical terms, that possesses real “strategic depth”, something the West and its NATO mafia, can only dream of. Andrei Martyanov, a leading military expert, has repeatedly mentioned this sobering fact in his efforts to cool down the reckless hubris of Washington elites in their pursuit of imperialist dominance.

On Dec 5, 2022, the editor of Moon of Alabama published a piece confirming (again) this very point, the incapability of the West’s industrial base to produce and deliver on short order the massive level of ammunitions needed in a modern war between peer powers:

The Ukrainian war machine is running on empty because the ‘west’ can no longer provide weapons and ammunition in meaningful quantities. The chance to change that in any reasonable time frame is low:

“High-end conflict consumes a lot of munitions and a lot of weaponry,” Mike McCord, the Pentagon’s top budget official, said in an interview. “We are also looking at the supply chain limitations. We haven’t got this figured out just yet.”

Top Pentagon and industry officials maintain that efforts are finally ramping up to replace the weapons that the United States and its allies have shipped to Ukraine — depleting stockpiles that are deemed crucial to deterring China or other potential adversaries for years to come.

She cited recent deals for tens of thousands of 155mm artillery rounds that the Ukrainians are using up almost as soon as they arrive. By the spring, “we will be able to do 20,000 rounds a month,” she said.

But it will take time to manufacture enough of them, she said, adding that the U.S. will get that rate up to 40,000 rounds a month in the spring of 2025.

20,000 rounds is what the Russian army uses in Ukraine on a quiet day. 40,000 rounds per day may be the average consumption, 60,000 rounds per day are fired when things get hot. It also produces enough to replace those rounds.

(Ukraine – Crimea Bridge Repaired, No Ammunition, Drone Attacks In Russia—> https://www.moonofalabama.org/2022/12/ukraine-crimea-bridge-repaired-no-ammunition-drone-attacks-in-russia-.html
(The bold italics are mine.)

That after so much huffing and puffing and so many expectations of a quick Russian collapse we have come to this realisation must be humiliating in some quarters in Washington. And this is perhaps the greatest irony in the current situation: the political hubris that has long dominated the thinking of London/Washington elites made their hegemonic impulse irresistible. So they picked what looks now like the empire’s “last war of choice”. Never mind this is still formal a “proxy war”. They forgot that this is not 1945 but 2022, and the world has changed, and, above all, they forgot that ganging up on Russia, that underestimating her, is an unpardonable error.

As things stand today, and no matter what the Western media say, there is no way the West can “win” the Ukraine war, let alone consign Russia to the status of a vassal nation. In fact, for a variety of quite sensible reasons, and because of the high level of toxic chauvinism that still permeates the American mind, Moscow is now probably engaged in NOT giving Washington too bad of a beating. Hence the slow pace of the military campaign, the underplaying of their own victories, and the gradual way in which they are introducing a new reality on Eastern Europe and the world at large. It’s more like a “slow gradual descent into the ground” instead of a brutal crash. The former spares the losing contender some of the humiliation.

Going now back to Eric A.’s questions.
I think it will be hard to make faster progress in the West, especially America, until we devise ways of neutralizing and defeating Washington’s massive propaganda power. Many things we wish to accomplish require us to “walk with both legs at once” as Mao once said, for there are many circumstances when one item’s presence determines the survival of another, both reinforcing each other.

As well, as long as the culture is bathed in narcissism, many things will escape proper examination.

In the war or clash of ideas, liberalism, for example, and one of its offshoots economic liberalism (aka libertarianism) has clearly run its course, historically speaking, that is. Liberalism was and remains an intrinsic part and pillar of the capitalist matrix; it gives rise, by normal evolution, to forms of extreme antisocial individualism. And while I appreciate that some libertarians clearly and bravely oppose the US criminal/imperialist foreign policy, I doubt they have seriously pondered the contradictions implicit in their favorite social and economic model.

For example, to this day, I have never found a libertarian that can accept how competition literally eats itself in a regime of perfect market freedom, yielding eventually enormous monopolies. Nor, how these monopolies eventually corrupt everything in sight, from the political class to all other major cultural institutions, including the press, the arts, academia, and so on. The proof is irrefutable and it exists in abundance in the history of the West, especially its Nirvana, that is, The United States. Finally, no libertarian that I know of has ever solved the problem of capitalist overproduction.

The bottom line is that, as the Ukrainian War has demonstrated, the stranglehold of hybrid power is choking history and may spell our demise as a species, along with much of the rest of life on this planet.

I think it is our duty to debate and exchange ideas on how to weaken and break this ideological wall. Difficult? By all means. Impossible? Not by a long shot.

Confessions of a Woman in an Arraigned Marriage

Where are you from and is it common in your culture?

I’m from Kuwait and yes its really common. Its even rare to find someone not in one.

Would you have rather chosen a husband yourself?

Well I don’t because I never dated but I think I got really lucky with my husband.

And I think it have saved me a lot of time and effort.

Did you have the choice to say “No dad, not this one, find someone else”?

Well I did the same thing with another guy before.

What made you decide to reject that man before your husband? How did your father react?

The three 3 times we met he just kept saying nice things about me. It was a marriage interview and he said nothing about marriage or our responsibility or children or anything important.

My husband understands the “ways” to get married.

Did you ever wanted to have a boyfriend or date someone you like?

Having a boyfriend? No never, I find it disgusting and gross. How could I sleep with a man who doesn’t hold an responsibility towards me and I don’t have responsibility towards him and I find it a risky thing.

How did the process work? Did you know your husband before you were arranged to marry?

Ofcourse, I had to know everything that happened.

He was the son of my father boss and I saw him couple times at events but never talked to him.

But afte my father came to me to tell me that I have marriage proposal and then I met him for coffee couple of time before we got engaged.

Was there any money exchange? Or any sort of exchange as sign of arrangement?

Yes there were a money exchange. The man had to pay the woman family a (jeza) and mine was 80k dollars .

A business contract? No but I had to sign a marriage certificate ( it means that I the woman approve of that man to be my husband)

How long have you been married?

4 years

Are you happy? Getting a good life?

Yes I am really happy and life have been treating me good.

Do you love him?

Do you love him?

What are the positive and negative aspects of your situation?

Positives: it was straight forward and it was good communication.

Negatives: that it felt like a job and he was at first like a business partner ( before we got engaged) and it felt like people was expecting something out of it.

Do you have a proper education or have you just been raised to be a wife?

Well a lot of girls are raised as future wives and to have education at the same time . So I was expected to study a lot and be a good caretaker.

But yes I have a master degree in math.

What are your career goals?

I stopped working after I got married.

But my life goal is to raise my son as best as possible and treat my husband with the most respect and make him feel like a king.

Will you push your children to be in arranged marriages?

Yes but I won’t be against him if come and tell me that he loves someone and wants to marry them.

What if ur daughter doesn’t want an arranged marriage

And that my friend a problem for future me

Would you want the same for your daughter, knowing the risk of choosing someone she would not be happy with?

I knew the risks when I first got on the “market” but I think it’s safer choice then the “western way”.

And yes her opinion matters.

To answer your question yes I want her to do the same

What do you think is unsafe about the Western way?

Like if my husband cheated on me I have to take half his money but in the west with dating he can cheat and nothing bad would happen to him. We have responsibility give by god to be a team.

And also what if i became pregnant with a man I don’t know who would take care of the baby. Those are my reasons.

Do you feel that you are judge by western people for being in such an arrangement. If so do you think there is any validity to their judgment?

Yes I do but i understand why. They want maximum Freedom to their life even if its lead to bad or good thing and what I did goes against what they believe. and they see me take part of it as the same as promoting it. But its human nature and I do the same. Like with drinking, you think drinking is working and not effecting you but it ruined alot of lifes. So I judge you for drinking because I see you promoting it.

I hope I explain it ( sorry my English is not good).

What will you do if your husband turns out to be physically abusive, does your father resolve that or it’s up to you? Are you allowed to file for divorce?

No it’s my father responsibility and mine to solve this maybe I can forgive him or I can go to the police or divorce him.

And yes physically abuse is one of the reasons for a divorce.

Is he allowed to have other wives?

Yes he is allowed to have more wives but we had an agreement that if he brings another woman I’m out.

When you and your husband have intercourse is it for enjoyment and pleasure, reproduction purposes, because your husband wants it, or all of the above?

It’s for lust on both sides. But I think in Christianity you do it for reproductive reasons only ( correct me if I’m wrong).

Bohdisattva Statue

Bronze statues can command high prices, but typically, they have to be in mint condition if they are to be truly valuable. When a slightly damaged bronze statue of a bodhisattva turned up on the Antiques Roadshow, it might not have been immediately obvious that it was worth much at all. The experts in Asian art, however, got very excited—even though it had lost one arm.

The owner of the piece had been shopping in an estate sale when she spotted it. Several antique dealers had already snapped up many of the best objects, but this statue had been ignored. The owner was able to buy it for around $100. The experts agreed she had got a bargain. They dated it to the early 15th century and suggested a retail estimate of $100,000-$150,000. It turned out they were wrong… on both counts.

When the statue was later auctioned, it was described as coming from the earlier Tang Dynasty. It eventually sold for $2 million at Sotheby’s.

Jesus. This is amazing.

China’s new 10 measures against COVID-19 are adherence to original intention, logic

By Global Times editorial (Global Times) 08:45, December 08, 2022
.

After the Political Bureau of the Communist Party of China Central Committee held a meeting on Tuesday to set the tone for the economic work for 2023 and proposed to better coordinate COVID-19 epidemic prevention and control with economic and social development, the Joint Prevention and Control Mechanism of the State Council announced on Wednesday 10 prevention and control measures to further optimize COVID-19 response. This was widely recognized by the public. These new measures include lifting COVID testing and health code requirements for domestic cross-regional travelers and scrapping negative nucleic acid results and health code requirements for entering public places except for designated locations such as nursing homes, medical institutions and schools. They also clarify that asymptomatic carriers and mild COVID-19 patients are allowed to quarantine at home. Once again, China’s epidemic prevention and control work has again taken an important step toward precision and science.

We can say that we have come through the most difficult times. Nearly three years of an exceptionally difficult “national fight against the epidemic,” countless people have made sacrifices, endured hardships and paid an effort to win this battle. From the Alpha to Omicron variant, in these three years, we were forced to fight the “war to protect Wuhan” at one point. But step by step, today we can take the strategic initiative against the virus. We have ushered in a time for adjustment where the virus becomes weaker, but we grow stronger.

Looking back when the virus was at the most aggressive stage, China built a strong defense line against the virus again and again, becoming the country with the lowest number of COVID-19 cases and deaths among the world’s major powers. A report from the US Centers for Disease Control and Prevention shows that life expectancy at birth for the total US population was 78.8 years in 2019. It reduced to 77 years in 2020 and further to 76.1 years in 2021. In contrast, despite the large gap between China’s average level of medical resources and technology compared to developed countries, we have achieved a steady increase in average life expectancy, reaching 77.93 years in 2020 and increasing to 78.2 years in 2021. In the past two days, a large number of netizens have left messages to thank the country from the heart for three years of protection.

From a global perspective, China’s contribution to the world during the epidemic is also unparalleled. In 2020, China became the world’s first major economy to achieve positive economic growth. In 2021, China’s economy exceeded 110 trillion yuan ($17.3 trillion), with an average growth rate of 5.1 percent over the previous two years. In 2022, the Chinese economy has withstood pressure and is progressing stably. As China has effectively controlled the spread of the epidemic, the country’s manufacturing industry has not been greatly affected. Made-in-China products continue to dominate globally, and China’s strong supply capacity has significantly stabilized the fragile global supply chain. Meanwhile, China has also selflessly provided anti-epidemic supplies, including vaccines, to a vast number of developing countries, which has strongly supported the global joint efforts to fight against the epidemic. The international community is watching all of this.

China is a large country with a population of over 1.4 billion. Such a population base determines that the course of this enormous ship must be very stable, and a slight deviation may cause irreparable and heavy losses.

In the past three years, China has issued nine versions of epidemic prevention and control plans and diagnosis and treatment plans. Each revision reflects the country’s pragmatic attitude of seeking truth from facts and being proactive and prudent. It keeps progressing by taking small steps, with the starting point of being “people-centered.” China’s prevention and control policy has constantly been adjusted in the past three years, but its logic and original intention have never changed.

Our ability and confidence to fight the epidemic have also been strengthened during the process. Ability is the foundation of confidence, and confidence is the source which inspires ability. The virulence of the Omicron variant is greatly weakened. We have effective technologies and drugs for diagnosis and treatment, and our capablities, such as medical treatment, pathogen detection and epidemiological investigation, continue to improve. The complete vaccination rate of the whole population exceeds 90 percent. All these give us the confidence to face the virus directly and lay a solid foundation for further optimizing the epidemic prevention and control measures.

For the next step, we still need to make persistent efforts on how to implement the new 10 measures, concentrate resources to protect vulnerable groups in society, and obtain the optimal solution for coordinating epidemic prevention and control and economic development, so that this huge society can continue to move forward adapting to the new situation.

The rainbow comes after the storm. We have all expected a long time for this day to come out of the haze of the epidemic. To be more precise, every step we have taken in the past three years, no matter how difficult it is, is a step closer to this day or a preparation for this day. They are all meaningful.

In my opinion this is Jets finest movie! Some of the best fight scenes ever filmed and a truly heartbreaking ending! A truly beautiful film.

BBQ Marmalade Chicken

“This is a simple dish to make, very tasty. It can be made in a crock pot or the oven. This is delicious served with steamed broccoli and mashed sweet potatoes.”

2022 12 08 11 58
2022 12 08 11 58

Ingredients

Directions

  • In a medium-size microwave-safe bowl, stir marmalade with soy sauce. Microwave, uncovered, on high until softened, 1 minute.
  • OR melt in a saucepan, stirring often.
  • Stir in barbecue sauce.
  • Place chicken in crock pot. Pour in sauce. Stir to coat.
  • Cover and cook on high setting 4 hours or low 6 hours.
  • To bake, preheat oven to 350F (180C). Place chicken in a large, ovenproof casserole dish that will hold at least 16 cups (4 L). Pour sauce over chicken. Stir to evenly coat. Set on a baking sheet to catch any drips if it bubbles over.
  • Bake, covered, in centre of preheated oven until chicken feels springy when pressed and sauce is bubbly, about 1 3/4 hours.
  • To thicken sauce, remove cooked chicken to a large serving bowl.
  • In a small bowl, stir cornstarch with water until dissolved.
  • Carefully ladle liquid from slow cooker or casserole into a medium-size saucepan.
  • Set over high heat. Bring to a boil. Stir in cornstarch mixture.
  • Reduce heat to medium. Stir until sauce thickens, 2 minutes. Pour over chicken.
  • Note: I prefer orange marmalade, ginger marmalade can be substituted. Recipe yields approximately 3 cups of sauce.

2022 12 08 11 59
2022 12 08 11 59

Yes crimes against humanity.

I was giving a lecture to around 50 international high school students in my college at Oxford University.

I got drunk before the lecture. I just wanted the paycheck.

The lecture was called ‘Shakespeare 101’ or ‘An Introduction to Shakespeare’ or something equally uninspiring.

I arrived in the hall. Saw a bunch of faces beaming up at me. I put my feet up on a desk and began reading from my notes in a dull monotone. Completely shameless.

The students tolerated about 10 minutes of this crap before one Chinese girl put her hand up and said this:

“Excuse me, Teacher? I have a question. Can you make it less boring?”

Wow.

She was right. It was boring as hell.

Teaching is not about collecting a paycheck.

The onus is NOT on the students to make it interesting.

Good teaching is not just rattling off a bunch of facts while the students scribble down notes.

“You’re right,” I said. “This is boring. I’m so sorry. Here’s what we’re gonna do. I’ve got a script here for Hamlet. We’re all going to act this out line by line.”

I handed out a bunch of sheets and got the whole class up and acting. Actually acting. Saying the words with feeling.

The class livened up. The shy ones came out of their shells. We all laughed. We all became super enthusiastic. They asked me endless questions and I asked them questions back and we all had a great time.

Then at the end of the class, about 20 of them stayed behind for a photo and they all queued up to swap phone numbers with me.

So thank you to that girl who asked me to make my lesson less boring.

I then went on to make my living from teaching for the next couple of years and was never again told that my lessons were boring. In fact, my students all raved about how much fun they had and how I helped them to stay committed to their studies.

Teaching can be a hard gig but it’s damn rewarding when you do it properly.

main qimg 6f13f85d0ac48730788be7f39e6d39f7 pjlq
main qimg 6f13f85d0ac48730788be7f39e6d39f7 pjlq

China now accorded Highest Honors in Saudi Arabian Foreign Policy

2022 12 09 12 25
2022 12 09 12 25

Chinas growing stature as a Global Power was confirmed in Riyadh when Supreme Leader and President Xi Jingping was greeted by the Three Royal Party

A Three Royal Party consists of the Three Highest Ranking Royals below the King and Princes

So far only USA had a 3 Royal greeting while every other nation had either a 2 Royal greeting (UK) or a 1 Royal greeting (India) or a 0 Royal Greeting (Pakistan post 2013, Israel)

This was a subtle message from Saudi Arabia that China picked up and were grateful for.

A Second sign was when Four Saudi Jets flew to escort the Air China carrying the President. The Jets formally performed no loops indicate absolute trust in the incoming arrival into their airspace

They performed two loops when Bidens Aircraft came into Saudi Airspace.

In all earlier visits China had had a 2/1 Royal Party welcome into Saudi

Tang Marble Lion

The Chinese market for antiques and antiquities has never been higher. A newly wealthy generation of Chinese investors is spending their money to acquire art and artifacts that have left their country over the centuries. Objects that were once picked up by tourists have suddenly become hugely valuable.

One woman might have been somewhat disappointed when told that a marble lion that she had inherited from her grandparents was not from the Ming Dynasty as she had thought. But she should not have worried. The expert on the Antiques Roadshow realized that the exquisitely carved animal was actually from another golden period in Chinese history—the earlier Tang Dynasty. The expert was so impressed with the statue that he became emotional.

The marble lion was valued at $120,000-$180,000.

President Xi is making an epic state visit to Saudi Arabia and other friendly countries, the biggy before the year is over, wa !

Thrift Store Vase

2022 12 09 12 28
2022 12 09 12 28

Going to second-hand shops, thrift stores, and charity shops is always exciting because you never know what you might come home with. Plus, there is always the chance that you might stumble on something hugely valuable that was cheap.

One man on the British Antiques Roadshow brought in his small collection of ceramics to find out whether they had any age. Most had been picked up cheaply in charity shops. One, a square vase in pale green with a pierced pattern on the sides, had cost him just £7.99. It was this vase that got the expert most excited because it was an old Chinese design. It was also a technical masterpiece because the vase was actually two vases joined together during production. Having examined the object, the expert was in no doubt. This was a vase dating from the Ming Dynasty.

The owner was stunned. “Ming, really Ming?” he asked. His joyful reaction when told that it was worth between £5000 and £10,000 was one shared by viewers.

OPEC selling oil via BRICS currency…would leave the dollar in the dust. The EU would have no choice but to abandon the dollar..or come to a grinding & freezing halt. We have $35 trillion debt plus the ‘newly discovered’ $65 trillion in derivatives. I expect a black swan event soon to cover up the collapse.

Charlotte Brontë Ring

Charlotte Bronte Ring Roadshow
Charlotte Bronte Ring Roadshow

When a woman was rifling around in her attic, she found a grimy old locked box. After searching for the key, she was contacted by a relative who had found it. Once opened, she discovered a small ring inside. The ring was decorated on the outside, but the most remarkable thing was that there was a hinge on the edge. What was inside was even stranger—a braid of what looked like hair.

When taken to the Antiques Roadshow, the puzzle of the ring was uncovered. An inscription inside the ring bore the name Charlotte Brontë and a date—the date of the author’s death. The hair inside? Probably hair belonging to Charlotte Brontë. This would not have been uncommon in the 19th century. Mourning jewelry was often made to commemorate loved ones who had died. Other examples of mourning jewelry made of hair were known to have belonged to the Brontë family.

This ring was valued at around £20,000. Never leave a locked box unopened.

3 Tang Marble Lion

 

Tang Dynasty Marble Lion | Vintage Albuquerque | Preview

 

The Chinese market for antiques and antiquities has never been higher. A newly wealthy generation of Chinese investors is spending their money to acquire art and artifacts that have left their country over the centuries. Objects that were once picked up by tourists have suddenly become hugely valuable.

One woman might have been somewhat disappointed when told that a marble lion that she had inherited from her grandparents was not from the Ming Dynasty as she had thought. But she should not have worried. The expert on the Antiques Roadshow realized that the exquisitely carved animal was actually from another golden period in Chinese history—the earlier Tang Dynasty. The expert was so impressed with the statue that he became emotional.

The marble lion was valued at $120,000-$180,000.

2 Fabergé Flowers

 

Magical Faberge flower valued at £1 million – Antiques Roadshow – BBC One

 

Just like the word “Ming” sets the hearts of antique collectors racing, so does the name Fabergé. The master jeweler of the early 20th century worked extensively with the Russian royal family, including making Easter egg gifts for them. The jewelry expert Geoffrey Munn is famously a fan of Fabergé objects. He once mentioned on an episode that he had been informed that watchers who play a drinking game while Antiques Roadshow is on have to take a shot every time he mentions Fabergé.

Those drinkers must have been very drunk by the time he stopped talking about a delicate spray of blossoms he was shown. These were no ordinary flowers, however. The vase was made of solid rock crystal, the stem of gold, the petals were enamel, and a tiny drop of water on each bloom was a diamond. All were made by Fabergé.

When considering what such an object might be worth, the expert was forced to suggest that it could be worth over £1 million.

1 Bohdisattva Statue

 

Early 15th-C Chinese Bodhisattva Gilt Bronze | Extraordinary Finds: Extras | ANTIQUES ROADSHOW | PBS

 

Bronze statues can command high prices, but typically, they have to be in mint condition if they are to be truly valuable. When a slightly damaged bronze statue of a bodhisattva turned up on the Antiques Roadshow, it might not have been immediately obvious that it was worth much at all. The experts in Asian art, however, got very excited—even though it had lost one arm.

The owner of the piece had been shopping in an estate sale when she spotted it. Several antique dealers had already snapped up many of the best objects, but this statue had been ignored. The owner was able to buy it for around $100. The experts agreed she had got a bargain. They dated it to the early 15th century and suggested a retail estimate of $100,000-$150,000. It turned out they were wrong… on both counts.

When the statue was later auctioned, it was described as coming from the earlier Tang Dynasty. It eventually sold for $2 million at Sotheby’s.

Egypt joins BRICS bank – official

The country has reportedly been welcomed into the financial structure, further expanding the lender’s global reach

Egypt has officially become a member of the New Development Bank (NDB) of the BRICS group of major emerging economies, the country’s government announced on Wednesday.

The NDB was established by BRICS (Brazil, Russia, India, China, South Africa) in 2014 to finance infrastructure and sustainable development in member states and other emerging economies. Since 2016, the bank has invested in multiple projects, including $7.2 billion in India alone, according to the NDB.

Egypt, which has so far not joined BRICS, expressed an interest in becoming a member in July, along with Turkey and Saudi Arabia. It is now preparing to apply for membership, BRICS International Forum President Purnima Anand earlier said.

Card Table

John and Thomas Seymour were master furniture makers in the late 18th and early 18th century working in Boston. Their “Federal” furniture, made with expensive wood and richly decorated, can be found in museums across the country. This made it all the more unexpected when a table made by the pair was wheeled into the Antiques Roadshow upside down on a cart.

The owner of the table had picked it up at a yard sale for just $25 after haggling the price down from $30. Even then, she might not have purchased the table as her friend thought it was too wobbly to support a lamp. It was also filthy and covered in mold. The demi-lune mahogany card table was more than worth the price she paid and the effort of cleaning it. The experts estimated it might be worth $225,000. Had the owner given it too thorough a cleaning and destroyed the original patina, the price would have plummeted.

When the table was auctioned soon afterward, it sold for $490,000. With the buyer’s premium that is paid to the auction house, this made the final sale price $541,000.

My MM video on Hive and Matrix souls…

From my restricted archives. If you all haven’t seen this one yet, give it a chance.

Confessions of a 5-Star Hotel Concierge

What are some things we can use your services for without being assholes?

We can literally do anything! Don’t feel like you’re being an asshole we are there to make your stay as effortless as possible.

The best way to utilise us is restaurant recommendations, theatre tickets and taxis. We will get you the cheapest prices with VIP service!

Also if you want something different to do ask about local walks. We know all the local hidden treasures you wouldn’t find otherwise.

Do you guys have “training” for all the hidden stuff or are you hired specifically for your knowledge of the area?

No we learn as we go. It does help if you’re local! But more often than not it’s just experience. We have endless files on our computer though we don’t work entirely from memory! Don’t be too impressed!

What’s the craziest thing someone’s requested?

I had to get an elderly gentleman a toupee. He had never worn one before but decided he wanted one to go to dinner that night… not an easy task but we did it! (And he actually looked pretty good!)

How do you deal with illegal requests?

It depends on the request. Usually it’s just a case of turning a blind eye.. after all it’s up to the individual. Prostitutes are somewhat of a grey area! We will never advise a guest on where to find an escort nor will we arrange it for them. However if they ask us to send a car for ‘their guest’ we will!

So if I need some hash can you help a guy out or just hotel/travel stuff? Movies make it seem like you can ask you guys for seriously whatever they wanted.

No we can’t put you in contact with anyone but we will facilitate delivery.

I haven’t ever been asked personally but I was rooming someone once when a bag of white powder fell out of their pocket. They didn’t notice and I didn’t know what to do! When he turned round I just said ‘I think you dropped that’ bit awkward!

Say someone is staying at your hotel and on a whim wants to go to a sold out concert at a local venue. Can you get them in, and if so, do your connections get them regular ticket prices, or are they paying for crazy VIP rates? For something like that does the hotel buy the tix and then charge the guest room?

So we can usually get tickets for a sell out but in actual fact the venue was never really sold out. They have a certain amount of tickets for things like radio competitions or whatever. And of course for us to sell. We always try to negotiate the best price for the guest but the price is the price. The guest doesn’t have to pay upfront they can pay on check out. Money to the venue gets sorted through the accounts department I don’t know exactly how it works.

I’m not impressed with the restaurant and quite fancy a Gregg’s Sausage Roll or four, but all the local Gregg’s are all closed for the evening. What do you do?

Find the nearest Iceland store and buy the frozen greggs sausage rolls. Get the kitchen to bake them in the oven (not the bloody microwave) and send it to your room!

What’s the best way a regular person can enjoy things at the hotel only the rich and famous get?

Simply just ask! Always speak to the concierge not the reception staff. Reception can only do so much but the concierge team can get away with a lot of things.

When you arrive ask us about upgrading your room. If we can we will. If you’re eating in one of the hotel restaurants ask us if we could recommend a table, we’ll get you on a great table and and make sure the wait staff look after you well.

My best tip, though, to really feel like a VIP is call down from your room and ask us to run your bath! You might feel daft but we’ll run an awesome bath and we’ll bring you a bottle of wine to enjoy on the house! Do it! Just ask!

I would feel like such an asshole if I asked someone to come up to my room to run my bath. I mean it’s right there…

Haha yeah and we know you could run it yourself… you can also pour your own beer but you let the barman do it for you! It isn’t just running a bath it’s enjoying a bit of luxury and indulging yourself!

Are any of these people actually embarrassed or sheepish about some of their more outrageous or outlandish requests or demands? Examples?

Nope. The regular money just don’t care they ask for what they want and expect to get it.. the young couples who have saved up for a treat or the elderly couple coming in for afternoon tea.. they get embarrassed making the simplest request, because they don’t want to make work for anyone, they don’t want to be a pest! I’ll do everything I can to make their visit special! It’s a one off for them

How do I figure out what to tip the concierge? Is it a set price or do I do a percentage of what I’m getting etc?

Yeah it’s a bit different. We are built in with the price of your room. You wouldn’t tip us same as you wouldn’t tip reception staff. Save your tips for the bell hop and or wait staff. Of course it’s always nice to receive a tip and we appreciate the gesture but it isn’t expected like it might be in other roles in the hotel

Have there been times when you wanted to smack someone for being an ass/petty?

Yes! We hosted an Aston Martin car show a few summers ago. One guys Aston broke down on the hotels driveway. We called a local garage and left them to it. About an hour later he called the hotel and asked me to meet him at the garage… which I did. He expected us to pay for the repairs and when I told him that wasn’t possible he gave me a proper dressing down infront of everyone. I just had to suck it up and politely nod but I could have quite happily nutted him! Money does funny things to people

Are there any particular celebrities that were especially nice and easy to deal with?

Yeah. To be honest most of them are. It’s very rare you get a ‘diva’ that said TV personalities are generally nicer than pop stars. I’m not sure if you’ll know him but the nicest guy I ever met was Derren Brown! That’s my one name drop!

Who’s the most famous person you have ever met?

So i want to be as transparent as possible but discretion is rule 1 so I can’t give names. The two big ones though was a Prince in the British royal family and an American actor who made my missions quite impossible!

Worst thing a famous/rich person did?

One couple paid to stay in two separate rooms. The excuse ‘he’ gave was that his wife snored… the two nights they were there I showed three different women to his room, all at least half his age!

What is the protocol for handling the wife, when the husband is already checked in with his mistress?

So we never share that information. If the wife turns up and says ‘which room is my husband John smith in’ we say ‘sorry I can’t confirm that we have a John smith staying here tonight’ inevitably she says ‘ I know he’s here’ we say ‘have you tried calling him?’ And on it goes.

We will never tell another soul that you’re in our hotel we wouldn’t tell your own mother. We won’t even put a call through to your room unless you’ve ok’d it

How did you get into the business? how long did it take to work up to 5 star service?

I was really lucky tbh. I did all my training in the hotel I currently work at so I didn’t build up to it as such. I was barman in a different hotel before that. I’m currently working towards my golden keys though which will be a proud moment for me

This movie is so great. Not just the fighting, but the lessons Jin learns through this movie. Just amazing.

https://youtu.be/24Uwl360r78

What Is The Biggest No-No When Being Arrested?

Criminal defense attorney here. Allow me to share with you my personal top ten “don’t do these when being arrested” moments, all of which I have personally had to deal with from clients.

    1. Don’t resist arrest. When the handcuffs come out, you cannot talk your way out of the situation any more; cooperate, and things will be much better for you.
    2. Resist the temptation to “explain yourself” to the officer on your drive to the station. They are recording you — and this can be used against you. Just be quiet.

And some more…

  1. If you are being arrested for drunk driving, don’t refuse the intoxilyzer test. You will likely be much worse off for a refusal than a bad test number. Implied consent will screw you.
  2. Please remember to exercise your right to remain silent. There is nothing you can say that will help you in a meaningful way. Just stop talking.
  3. No one likes the guy who threatens to sue everyone in sight. Just request an attorney and stop talking.
  4. Routine booking questions don’t fall into the category of custodial interrogations, so please don’t refuse to give officers your real name. In many jurisdictions this can be a separate offense.
  5. For the love of all that is holy, do not use the following phrase when interacting with the police: “I’m drunk.” It is even less helpful when shouted at the top of your lungs.
  6. Do not, under any circumstance, ask the officer if he or she can “look the other way just this once” while holding out money in your hand.
  7. Sadly, most officers do not appreciate sarcastic remarks or observations about their weight or intelligence. Surprisingly, sentencing judges don’t particularly care for it either.
  8. Officers are not scared of attorneys. Don’t think that name dropping or threats of lawsuits will get an officer to leave you alone. Pro tip: it won’t.

Stephen Link, Attorney

Finally, a reminder…

Also one of my videos…

Some tales about what, where and why that the mainstream media refuse to report on

The world today is falling into an Abyss that was created by the United States, and many world “leaders” are deciding to either follow the United States, or to  get the Hell out of the way.

So we start with today’s installment…

Emperor Caligula the Sadist

Emperor Caligula of Rome is bound to top any list of crazy rulers. Caligula was a leader whose sadism and depravity seemed to have known no bounds. For a start, Caligula tried to instate his horse, Incitatus, as a consul. He appointed a priest to serve the horse and had a stunning marble stable built, complete with chairs and a sofa. This was more than a little eccentric.

Then there are the multiple tales of his sadism. For example, one day at the Circus Maximus, Caligula was enjoying the spectacle when suddenly it became apparent the organizers had run out of criminals to feed to the lions. Caligula simply had the first five rows of spectators dragged from their seats and thrown into the arena. Hundreds died.

Another time, when someone insulted Caligula to his face, he responded by having the man’s family executed in front of a crowd. It began with the man and his wife. Then the children followed, one by one. Eventually, only the youngest daughter, a 12-year-old, was left alive.

The crowd was outraged but had stayed out of morbid curiosity. Before the young girl could be killed, a spectator cried out that she must be spared as she was a virgin. The girl was crying hysterically, having watched her family dispatched one by one. Caligula responded by ordering the executioner to rape the young girl in front of the crowd before strangling her to death.

Caligula was also said to have been beyond debauched. He publicly had sex with his three sisters at public banquets and games, sometimes on the banquet table itself. It has also been claimed that he prostituted his sisters out to other men for his entertainment and slept with his brother-in-law.

Historically, much has been made of Caligula’s sadism. Some historians have claimed that some of the worst tales about Caligula were exaggerated or made up in an attempt to discredit him after his death. However, enough sources exist to paint a picture of an emperor who was mad, bad, and totally insane.

Caligula 0
Caligula 0

A young lady was at her parents, and the parents were fighting. Yelling, not anything physical. The wife says if the husband doesn’t shut up she was going to call the police. So, evidently he kept on, and she picks up the phone and dials 911. The daughter grabs the phone and puts it down, and told them both to behave like you would with your children. So, they do, and everything is back to normal when they hear the police knocking on the door. The daughter is arrested for interfering with a 911 call. When this went to court the state wanted her to get six months. We are all looking at each other, shaking our heads that something this stupid made it to court. Deliberation was very short, and of course she was found not guilty.

Soros has a deep hatred for China.

Because China has cost him billions of dollars twice.

2022 12 07 06 53
2022 12 07 06 53


Soros is a speculator who makes his money by shorting and other means of financial leverage.

Back in 1998, his speculation triggered the East Asian economic crisis.

But during this economic crisis, China held out and managed to snipe Soros in Hong Kong, inflicting huge losses on Soros.

In mid-July 1997, January and May 1998, the Hong Kong dollar was subjected to three massive speculative sell-offs, the Hong Kong dollar exchange rate was hit, the Hang Seng and futures market indices plunged by more than 4,000 points and the market was in a state of extreme panic. Western public opinion jokingly claimed that Hong Kong had become an ATM for international speculators.

According to the plan of Soros and others, they will first short the Hong Kong dollar in the currency market, forcing the Hong Kong Monetary Authority to resort to the old trick of pulling up interest rates, which will inevitably lead to a fall in the stock market and a parallel decline in HSI futures. The speculators could then sell short HSI futures at a lower price in the futures market, making both the currency market and the stock market profitable, with the intention of getting drunk on HSI futures.

In August 1998, after several trials, Soros came back with his strongest men and firepower. Vowing to end Hong Kong in one battle.

On August 14, the Hong Kong government suddenly took action, using the Exchange Fund and the Land Fund to enter the stock market and the Hang Seng Index futures market at the same time, causing the Hang Seng Index to rally by more than 560 points that day, up 8%, to close at 7224 points; Soros's army was instantly surprised, they did not expect the Hong Kong government, which has always boasted a free market, to really enter the market and fight.

Subsequently until the 24th, the Hong Kong government and Soros's speculator group has been back and forth between you and me, a short fight. But the Hang Seng Index slowly dampened the momentum of its previous wild slide and began to be in a state of oscillation.

27 August, the day before the settlement day. At 10am, the Hong Kong stock market opened. As soon as it started, speculators' selling orders came in like a mountain. Within the first 15 minutes, turnover reached HK$1.9 billion; in the second 15 minutes, it was HK$1 billion. And in the 15 minutes before the market closed, the battle went into a frenzy, with turnover reaching HK$8.2 billion! The state of affairs was so gruesome that it dazzled all the traders on the floor.

On this day, the Hong Kong Government used HK$20 billion to commission 10 brokerage houses to hound the 33 HSI constituent stocks. The Hang Seng Index closed at 7,922 points, up 88 points from the previous trading day, the highest since 4 Nov 97.

28 August, the settlement day of the HSI futures. This was Soros's last chance to short the Hang Seng Index, and it was up to this wave to see if the large amount of bearish futures he had previously purchased would make a profit.

At 10am, the duel was fought. The Hong Kong government and the short-selling group immediately engaged in a fierce battle over HSBC Holdings and HKT. While the speculators' sell-offs were rampant and overwhelming, the government's forces were able to buy all the shares without leaving a single one. In only 5 minutes after the market opened, the turnover was as high as HK$3 billion!

Before the market closed at 12 noon, the battle became fierce again, "Cheung Kong Holdings", "China Telecom" and many other blue-chip stocks were sold by speculators, the sea of stocks, the Hong Kong Government's frenzied efforts to save. The turnover at the close of the afternoon market was HK$40.9 billion. As the market opened in the afternoon, the battle became even tougher. As the speculators' sell-offs rolled in, the Hong Kong government used almost all the foreign exchange reserves it could muster to eat up the entire stock market and hold the entire line, with an average of $350 million worth of stocks changing hands every minute.

At exactly 4 p.m., the Hang Seng Index finally settled at 7,829!

Four thrilling hours later, the day's trading volume reached an all-time high for the Hong Kong stock market - HK$79 billion! HSI futures finally settled at 7851 points. In a total of 10 trading days back, the HKSAR Government used approximately the equivalent of HK$120 billion of foreign exchange reserves to pull the Hang Seng Index up by 1,169 points.

HKSAR Financial Secretary Donald Tsang Yam-kuen then declared that the Hong Kong government had won the battle against international speculators and in defence of the Hong Kong stock market and the Hong Kong currency.

On this "dueling day" in defence of Hong Kong, the stock market traded at a volume of HK$79 billion, about 10 times the usual volume.

Soros tried to move back into the fray in September, but on 7 September, the Hong Kong Monetary Authority issued new rules on foreign exchange, securities trading and settlement, which greatly restricted speculation by speculators. On the same day, the Hang Seng Index soared 588 points to the 8,000-point mark. The international speculators' losses were further exacerbated and they eventually had to retreat from Hong Kong in defeat.

The story further on is well known, as the Hong Kong market gradually recovered and the Hang Seng Index returned to above 10,000 points in 1999, with the Hong Kong government making billions of dollars by exiting the stock market altogether.

In 2019, Soros tried to short Hong Kong again while fomenting unrest in Hong Kong through the Open Society Foundations, but was once again sniped. Soros has been “shut down”.

Because of the turmoil in Hong Kong at the time, Hong Kong stocks fell and Soros bought a large number of short positions, expected to be no less than 200,000, to start shorting Hong Kong.

Data show that on August 13, the Hong Kong Stock Exchange futures and options total turnover and small Hang Seng Index futures turnover record high. At the same time, the Hang Seng Index experienced a sharp drop of more than 10% in a round of more than 3,000 points.

What Soros did not expect was the Hong Kong Government's move on 4 September which led to a single day gain of 4.3% for Hong Kong stocks, leaving Soros on the losing end of his first battle!

On September 5, the reluctant Soros again chose to throw a large number of short orders to fight to the death. As a result of this the Hang Seng Index took a dive in the afternoon and fell sharply.

But what Soros didn't expect this time was the suspension of trading in all derivatives markets in Hong Kong from 2pm that day, disrupting all his plans! Regarding this emergency closure of the HKEx, HKEx Chief Executive Officer, Mr. Li Xiaojia, said in an interview: "In any market, a market closure is a big decision, a decision that cannot be taken lightly, and the decision to suspend the market will only be made if we judge that the market is no longer operating in an orderly manner. orderly and therefore made the decision to suspend the market. Such judgements are never easy. We are continuing to investigate the root cause of the incident and will make an announcement to the market as soon as possible."

As a result, there was talk that the HKEx's move was to "shut the door" and give Soros nowhere to go!

The following day, when the HKEx resumed normal trading, the continued rise in Hong Kong stocks caused Soros, who had been a big player for many years, to "close the door on the dog", as expected, and to suffer heavy losses of over HK$2.4 billion!



After reading this, I think we understand why Soros is targeting China, right?

The reason is that he can’t benefit from the current China.

I think there are some “philanthropists” in support of the overthrow of the Chinese government, but not on the scale of Soros.

Tsar Peter III and his Toy Soldiers

Some rulers lose their minds over time, and some never had them in the first place. Tsar Peter III of Russia seems to have suffered from a kind of Peter Pan syndrome; he never grew up! Today, he is mainly remembered as the ruler whose wife, Catherine the Great , deposed him and ruled in his stead. But how did Catherine manage to get rid of her husband?

Well, it was widely believed that Peter was obsessed with toy soldiers. Catherine claimed that on their wedding night she was left disappointed when Peter had plans other than consummating their marriage.

Instead of undressing, Peter pulled a box of toy soldiers out from under his bed and forced his bride to play with them until 2am. Another time, Peter spent a substantial amount of time setting up a toy fort ready for a mock battle. He was left enraged when a rat happened to walk past and knocked over his toys. The rat was soon hung from the wall for ‘breaching military discipline’.

Catherine may indeed have exaggerated the stories of Peter and his toys as a way of discrediting and ultimately deposing him. However, there are enough sources that corroborate her claims to safely say that Peter was a few soldiers short of a full platoon.

Tsar
Tsar

“Can you please get me a cup of coffee?”

Innocuous and innocent, right? But it was the code word.

I worked in the Dean of Students office at a local college and my boss, the Dean, didn’t drink coffee. She did handle the occasionally out of control students. She told us that if we ever heard her ask for coffee, we needed to call security, police and lockdown the east side of campus. We did.

The student had her at knife point shortly after her cryptic message but the confrontation didn’t last long because she was able to get the innocuous warning to us. To this day, I don’t know if the irate student ever figured out how we knew.

This is an exceptional chat.

Excellent analysis by Charles Liu on China’s peaceful rise to help the Global South from poverty with its BRI policy.

CPC has a paternalistic inclination. Like looking after a large family, you’re motivated to help the extremely poor members and inculcate the responsibility of the very wealthy to share a bit. No man is an island, common prosperity is a common good, and nobody can claim to have generated their wealth alone external to the society

We Are Being Warned That The Global Economy Is Having “One Of Its Worst Years In Three Decades”

.

Will 2022 go down in history as one of the most important economic turning points that we have ever seen?  All over the planet, economic activity is beginning to decelerate and uncertainty about the future is in the air.  Meanwhile, the cost of living continues to escalate and a very painful energy crisis has begun.  Unless there is some sort of an economic miracle, it appears that 2023 will be a very difficult year, and the long-term outlook beyond next year is even more ominous.  Suddenly, many of the talking heads on television are openly speculating about the hard times that are coming, and the word “recession” is being thrown around quite frequently.

But will we only be dealing with a “recession” in the months ahead, or will it be much worse than that?

At the moment, things are clearly heading in the wrong direction.  The following comes from a Bloomberg article entitled “World Economy Heads for One of Its Worst Years in Three Decades”

The world economy is facing one of its worst years in three decades as the energy shocks unleashed by the war in Ukraine continue to reverberate, according to Bloomberg Economics.

In a new analysis, economist Scott Johnson forecasts growth of just 2.4% in 2023. That’s down from an estimated 3.2% this year and the lowest — excluding the crisis years of 2009 and 2020 — since 1993.

The global economy is more interconnected than ever before, and so pain experienced on one side of the globe is often keenly felt on the other side.

Here in the United States, a huge wave of layoffs has now started.  On Tuesday, yet another major media company announced brutal job cuts

BuzzFeed is axing 12% of its workforce, or around 180 staffers, in a bid to cut costs as the digital media company faces headwinds including an ad-spending pullback and the completion of its integration of Complex Media.

The company informed affected employees via email Tuesday that they were being terminated. “In order for BuzzFeed to weather an economic downturn that I believe will extend well into 2023, we must adapt, invest in our strategy to serve our audience best, and readjust our cost structure,” CEO Jonah Peretti wrote in a memo to employees about the job cuts.

As the housing market crashes, the financial industry is being hit really hard as well.

In fact, it is being reported that approximately 1,600 Morgan Stanley employees will now be looking for new work…

Morgan Stanley cut about 2% of its staff on Tuesday, according to people with knowledge of the layoffs.

The moves, reported first by CNBC, impacted about 1,600 of the company’s 81,567 employees and touched nearly every corner of the global investment bank, said the people, who declined to be identified speaking about terminations.

Speaking of the housing market, we are being told that somewhere around 270,000 recent homebuyers in the U.S. are already underwater on their mortgages.

We haven’t seen anything like this since 2008 and 2009, but this is just the beginning.

If the Federal Reserve insists on hiking interest rates even higher, it won’t be too long before millions of homeowners will be underwater on their mortgages, and that will definitely be a nightmare scenario.

So many families are living on the edge of financial disaster in late 2022.  If you can believe it, approximately 20 million U.S. households are currently behind on their utility bills…

According to the National Energy Assistance Directors Association (NEADA), roughly 20 million households in the U.S. — one out of six homes — are behind on their utility bills.

As of August, these families owe about $16.1 billion in total, with an average amount owed of $788 — and the consequences of this could be dire, especially as home heat costs are expected to reach their highest level in over 10 years.

We are already in a state of economic crisis right now.

But don’t expect the White House to admit that any time soon.

Meanwhile, the cost of living just continues to go higher and higher.  Earlier today, I was stunned to learn that Walmart CEO Doug McMillon is actually admitting that double-digit price increases on packaged foods “are going to be with us for a while”

Walmart (WMT) is the largest retailer in the United States and has a gauge into consumer habits and a wide array of products.

McMillon said that inflation was “most stubborn” on packaged food. Double-digit price increases on these essentials “are going to be with us for a while,” he said.

So we are going to have rapidly rising prices and an imploding economy at the same time.

Yes, 2023 is going to be a whole lot of fun.

At this point, even the mood on Wall Street is shifting.

Stocks were down once again today, and now the S&P 500 has fallen for seven of the last eight trading sessions

The S&P 500 shed 1.44% to close at 3,941.26, while the Nasdaq Composite sank 2% to finish at 11,014.89. The Dow Jones Industrial Average dropped 350.76 points, or 1.03%, to settle at 33,596.34.

Stocks added to Monday’s declines, with the S&P falling for a fourth straight day and its seventh negative session in eight. Tuesday’s moves bring the Dow’s two-day losses to more than 830 points.

Just a few weeks from now, 2022 will mercifully be over.

But if this year has been “one of its worst years in three decades”, what will the global economy look like a year from now?

As I have detailed above, the U.S. economy is really starting to deteriorate quite rapidly.

So how bad will things eventually get?

Let us hope for a “recession”, but let us also prepare for an economic nightmare.

Certainly.

In 1935, the great American novelist Sinclair Lewis (lived 1885 – 1951) wrote a classic novel titled It Can’t Happen Here. In the novel, a charismatic politician named Berzelius “Buzz” Windrip runs for president of the United States on a radical populist platform, promising to give every American family $5,000 a year.

Windrip portrays himself as the champion of traditional American values, a true patriot, a lover of liberty and justice, and a pious Christian. He holds massive, energetic rallies. He portrays himself as a “man of the people” standing up for the “forgotten men” of the working class. He demonizes immigrants, minorities, intellectuals, and the press. He laments the decline of the United States and promises to restore the United States to her former glory. In chapter nine, he is described as follows:

“The Senator [i.e. Windrip] was vulgar, almost illiterate, a public liar easily detected, and in his ‘ideas’ almost idiotic, while his celebrated piety was that of a traveling salesman for church furniture, and his yet more celebrated humor the sly cynicism of a country store.”

“Certainly there was nothing exhilarating in the actual words of his speeches, nor anything convincing in his philosophy. His political platforms were only wings of a windmill.”

On the platform I have described, Windrip wins a landslide victory and sails right into the presidency. As president, he declares Congress an “advisory” organization and strips it of all its powers. Any members of Congress who object are promptly thrown in prison. Windrip then creates, arms, and trains a paramilitary force called “the Minute Men,” named after the militiamen who fought in the American Revolutionary War.

Windrip’s Minute Men work to enforce his agenda and force anyone who does not support Windrip into submission. Despite everything Windrip is doing, the vast majority of Americans continue to support him, insisting that everything he does is necessary for the restoration of American military power and economic prosperity. When confronted with the notion that Windrip’s regime may be fascistic, they say, “It [i.e. fascism] can’t happen here.”

You may notice some interesting parallels to certain contemporary politicians. Nonetheless, it is important to emphasize that Trump is not Buzz Windrip. They may bear an uncanny resemblance to each other, but they are still different. The character of Buzz Windrip was modeled in part on Adolf Hitler, who was at the height of his power when the book was published, and in part on the Louisiana Democratic Senator Huey Long, who was at the time running for president on a populist platform.

main qimg ce55c59ef75c4e3c12cdf2d97f5a58a4 pjlq
main qimg ce55c59ef75c4e3c12cdf2d97f5a58a4 pjlq

ABOVE: Image of an early edition of Lewis Sinclair’s novel It Can’t Happen Here

The truth is, I think it is possible for any country on Earth with any form of government to turn into a dictatorship. No country is completely immune to the charms of authoritarianism, not even the United States. We may pride ourselves on our love of freedom, but saying that you love freedom means nothing if your actions do not show it. Unfortunately, it is often difficult to recognize authoritarianism when it rears its ugly head and it is certainly possible for even the most ardent believer in democracy to support an authoritarian figure.

The only real question is not “Is it possible the U.S. will have a dictator?” but rather “How likely is it for the U.S. to become a dictatorship given the present circumstances?” Just because the United States could become a dictatorship does not necessarily mean that it will. If our institutions are upheld and all the powers of the presidency are held in check like they are supposed to be, then we can avert dictatorship.

There is nothing unrealistic about the United States electing a populist demagogue with authoritarian leanings; it has already happened. What I think is somewhat less realistic about Sinclair Lewis’s novel is his portrayal of the conversion of the United States from representative democracy to dictatorship. This conversion—if it does happen—probably will not happen overnight. It will only happen after years and years of norms being flouted and institutions undermined.

If the United States turns into an autocracy, it will probably happen a way similar to the Roman Republic. The Roman Republic was not overturned by one man; it took well over a century for the Republic to fully transform into the autocracy of Augustus.

Furthermore, even as late as the reign of the emperor Diocletian (ruled 284 – 305 AD), people were still pretending like “the Republic” was still a thing, even though it really had not meaningfully existed in any sense for over three hundred years. For those interested in the history of the decline of the Roman Republic, the 2018 book Mortal Republic: How Rome Fell into Tyranny by the American classical historian Edward J. Watts is an excellent and startlingly contemporary history of that time period. On page 281—the very last page—Watts offers this brilliant paragraph, which feels as close to home as any news report:

“Rome’s republic, then, died because it was allowed to. Its death was not inevitable. It could have been avoided. Over the course of a century, thousands of average men, talented men, and middling men all willingly undercut the power of the Republic to restrict and channel the ambitions of the individual, doing so in the interest of their own shortsighted gains. Every time Cato misused a political procedure, or Clodius intimidated a political opponent, or a Roman citizen took a bribe in exchange for his vote, they wounded the Republic. And the wounds festered whenever ordinary Romans either supported or refused to condemn men who took such actions. Sulla, Marius, Caesar, and Augustus all inflicted mighty blows on the Republic, but its death was caused as much by the thousands of small injuries inflicted by Romans who did not think it could really die. When citizens take the health and durability of their republic for granted, that republic is at risk. This was as true in 133 BC or 82 BC or 44 BC as it is in AD 2018. In ancient Rome and in the modern world, a republic is a thing to be cherished, protected, and respected. If it falls, an uncertain, dangerous, and destructive future lies on the other side.”

main qimg 21f04db24c0230bb2f1b75093007f405 lq
main qimg 21f04db24c0230bb2f1b75093007f405 lq

ABOVE: Cicero Denounces Catiline, painted between 1882 and 1888 by the Italian painter Cesare Maccari. If the United States ever falls into dictatorship, it will probably happen gradually. Over time, norms and institutions will be undermined until dictatorship simply feels normal and natural to most people.

I must end this answer with a question mark. I do not know if the United States will become a dictatorship. If the United States does become a dictatorship, I cannot say how soon it will happen. It could happen a century and half from now or it could happen a decade from now. All I am certain of is that it will take time. Gradually, norms and institutions will be undermined until dictatorship simply feels normal and natural to most people. At first, few will even recognize it as a dictatorship, but the truth of the matter will be made clear by time.

Unless, of course, we stop it from happening altogether.

4 People Describe What’s It Like To Have Bipolar

 

1. It’s the most amazing pile of beautiful misery to grace the hell of my divine existence! When your good, things are FANTASTIC! the other %80 of the time is spent trying to prevent your (percieved) justifiable suicide.

2. I have bipolar disorder type 2. It has affected me my whole life, though I didn’t realize it and didn’t get diagnosed until my late 30s.

I’m afraid of my own brain. I hyper analyze every mood and feeling, worried that I may be sliding into yet another episode of mania or bone crushing depression.

It sucks. I feel like so much of my life’s potential has been eaten up by the alternating bouts of depression, mania, and the guilt and shame I felt (and still feel) when I do have clarity. I lost my job, my marriage, and very nearly lost my life to bipolar. My ex tried to get my partial custody revoked because I am “crazy” but thankfully in my state that doesn’t hold water unless I demonstrate an inability to care for my kids.

I’ve also lost almost all of my friends, either due to manic or depressed behavior that alienated me from them, my ex’s embellishment of how insane I am, or my isolating myself after my last nervous breakdown. It took 18 months to be well enough to try working again, and every day at work seems like the day I will quit because I can’t take the stress and anxiety of it. But I go. And I keep going every day.

I can’t handle this illness anymore. But I take it a day, an hour, a minute at a time if I have to. And I take my medication religiously. At least I know without a doubt that I have done everything in my power to control this thing rather than let it control me.

3. Sometimes I’m very happy all of the time and I don’t need much sleep. I will start a lot of things and take up many new hobbies which rapidly develop into an obsession and then I’ll move onto something else.

It takes a lot of effort to get things complete because I will work on multiple tasks simultaneously. I was once cleaning the 2 bathrooms, sorting through laundry, cleaning the cooker and dishwasher, cleaning the patio doors, washing the floors, dusting bookshelves and sorting out the drawers under the bed all at once. I was running from task to task and became convinced my slippers were slowing me down. Our apartment at the time had a long, open plan living/dining room and kitchen. I grew convinced my slippers were slowing me down and kicked them across from the living room and into the kitchen.

I also suffer from memory problems during hypomanic episodes, which means that I only remember brief things once the episode has passed. An example of this is with my medication. I had gone to the pharmacy to collect my prescription, but they didn’t have enough and I said I’d call back in for the rest another day. They gave me a slip of paper and I went back a week later after running out of the meds I’d got the week before.

The pharmacist had said I’d been in the day after and collected the rest of my prescription, which I have absolutely no memory of, nor did I know where I would have put my medication if I had. She ended up doubting herself and went back to check the records and could show me that I’d been back in to collect the pills. Not even the time or date jogged any memory. I left to go back to my doctor and ask for another prescription but I had to go home for something. I got to the entrance of my apartment building and I couldn’t find my keys in my pockets and went hunting into my bag. While digging around I found a paper bag and found the remainder of my prescription that I’d picked the following day. I went back and told the pharmacist I’d found them and apologised for taking her away from her other jobs.

I also avoid going outside when I’m hypomanic. When I’m in large crowded areas, I pick up on people’s emotions and start feeling them as if they were my own. And it’s not just a single emotion I’ll feel at once, but a mixture of all emotions. Because people with bipolar’s emotions are already extremely elevated, this becomes intoxicating and I’ll end up very hyperactive and happy, but I’m also more prone to getting angry too. Because I’m hyperactive, I am doing everything faster and it feels like time around me has slowed down, so people walking in front of me, or having my way somewhere blocked makes me very angry.

If I do need to go into a crowded area, I’ll have to spend a few hours mentally preparing myself to go out. So I’ll make a mental map of the places I need to go, the route I’ll need to take to get the things I need in the most efficient way and I can’t deviate from that route as I’m more easily distracted when hypomanic and if I deviate from my route, then I’ll end up spending all my money on cheap tat because I felt like it would make my life a lot better at that time, and then not know why I got it.

My memory problems have also got me into debt several times too. I can buy things and forget I’ve purchased them, then remember I was wanting to buy it and go and buy it again. It’s not uncommon for me to buy 2 – 3 copies of the same thing, and shops rarely allow you to return them, so I end up just giving the multiples away to people.

I’m also prone to making rash, snap decisions. It’s not uncommon for me to randomly quit a job or take a sudden dislike to a close friend and remove them from my life. Due to the way bipolar works (depression and hypomania/mania), your job performance will drop while depressed, and then you can suddenly snap into a hypomanic/manic episode without knowing it and start irritating those around you. Because of how work places tend to treat those with mental health problems, they often won’t take you back if you quit because they see you as a liability.

But you tend to crash into one of the deepest depressions you can have when it ends. Like the saying goes “the higher you go, the further you have to fall”.

4. Some people say stupid things “yesterday I was feeling great and today I just feel normal, omg I’m like so bipolar lol”, don’t say this, it’s hard enough being a victim of it, and it becomes infuriating when people say things like this (this is pretty much true for all mental illnesses).

So what is it like being bipolar? Imagine swimming, swimming forever, you are not a particularly talented swimmer and to make things worse you have weights on your wrists and ankles. The heaviness of these weights change, with no real pattern, sometimes the weights are light enough for you to swim along with everyone else and it’s manageable and life is bearable. But sometimes the weights are heavy and just staying afloat becomes incredibly difficult, and sometimes the weights become even heavier than that, when the weights become too heavy you will eventually slip below the surface.

When you are under it seems like getting back up is impossible, sometimes the weights are so heavy you can’t get back up and you have to hold your breath until they get lighter but the longer you hold your breath the harder it is to not sink further leaving you to be in constant hope the weights become light enough to cope and get back up. For most the thought of giving up and letting go crosses their minds, once you’ve drowned you don’t have to struggle and cope anymore, because of this some people do. For some people the weights do get lighter and they can get back above the surface and continue swimming, some people don’t.

Friends are other swimmers, some have their own weights some don’t and it’s your friends who will rescue you when you sink under, they will help you swim carrying you along but they are also swimming and have to carry themselves, the more they help you the harder their own swimming becomes, some will move on and leave you, some will stay, and you will encounter more, replacing the ones lost.

Some use drugs to help with the struggle, drugs will temporarily make the weights lighter and it will be easier until they wear off but when they do wear off the weights will be heavier than before. Some people hurt themselves, doing this makes the weights slightly lighter but it makes the wearer weaker.

I can’t say bipolar does get better, certain medication will lighten the weights as will positive things in your life but you will always wear your weights. So why keep swimming? Everyone drowns at some point anyway so what’s the point? You want to get as far as you can during your swim, you want to experience the good things and you want to keep going, you want to beat your weights, you want to help carry others, you only get one swim, some people have it easier than others but it’s best not to waste it.

The true and actual number of weapons systems; nuclear and other is absolutely unknown. It’s useless to guess. What the pentagon thinks is probably incorrect.

https://youtu.be/ZLU6n9FyOSY

A Therapist Reveals Unusually Common Things They Often Hear, That People Are Hesitant To Disclose

 

1. I work in an older adults service for people with dementia and mental health problems. I see a lot of family members/Carers feeling ashamed of the fact that they are finding it incredibly difficult to care for someone that has dementia or a chronic mental health problem.

Carer burnout is a real issue and people need to know that it’s not easy to see someone you love struggling every day, or slowly fading away month by month. Carers and family members desperately need time for themselves and need to know that it’s okay to feel the way that they do.

No one is superhuman and we all have our own needs. It’s why we have therapy groups for Carers. It’s okay to struggle to look after someone and you should in no way feel ashamed of having those feelings.

2. Unwanted intrusive thoughts are normal and do not mean you are a bad person (yes, even intrusions of sexual/religious/moral themes).

By definition, these are thoughts that are unwanted bc they go against your own values and highlight what you don’t want to do (eg, a religious person having unwanted blasphemous images pop into their mind, or a new parent having unwanted sexual thoughts about their new baby).

However normal these thoughts are (over 90% of the population), the moral nature of these thoughts mean that often people experience a lot of shame and take many years before they first tell someone about them.

3. That they “hear voices”. I’ve found that a lot of people aren’t familiar with their own internal dialogue or “self talk” and that this is typically “normal” internal processing. A lot of people think that they are “hearing voices” and hallucinating. There are some pretty simple questions we can ask to determine if it’s hallucinating or just internal dialogue, and most often it’s the latter.

4. Clients become quite fearful of admitting that they weren’t successful since the last time they had a session. This could include not succeeding in using a coping skill that they’re learning about, or not being able to complete a homework assignment I gave them. Humans aren’t robots, and therapy is a lot of work.

That being said, I don’t expect people to be perfect as they start to work on themselves in a positive way. It takes time to really commit to change, especially in relation to trauma or conflicted views that an individual holds. I feel as if the client doesn’t want to let me down as their therapist, but these “failure” events are just as important to talk about as successful moments!

5. Intrusive thoughts about sex with family members or (in their mind ) “nymphomania” as a result of childhood sexual trauma (and adult). Hyper sexuality isn’t often discussed as one of the PTSD symptoms, so people walk around with so much shame about it.

6. A common one in the time I was a therapist was simply “I don’t know”.

You’d be surprised how reluctant people are to admit that they don’t know why they’re feeling how they are. But that’s exactly why you’re (or were, I’m not a therapist any more) sat there with me; so we can figure out why together.

It always put me in mind of a line from America by Simon and Garfunkel:

“Kathy, ‘I’m lost’ I said, though I knew she was sleeping. ‘I’m empty and aching and I don’t know why’.”

7. Some of the most common ones have been visual and/or auditory hallucinations and suicidal thoughts. I usually hear “I don’t want to be put in the hospital” or “I don’t want you to think I’m crazy”. Also, basically anything sexual. I’m not going to judge you for being into BDSM, fetishes, etc. Honestly, I’ve probably heard it before and I’m not here to judge you. Same goes with any non-consensual experiences (especially if we’re working through trauma).

8. Basically, anything having to do with sex. There’s so much shame. Sexual abuse. Sexual fantasies and fetishes. Erectile dysfunction. Infidelity. Becoming sexually assertive. I’ve been told that I have a good “psychologist’s face.” I try not to have a strong reaction to normalize the discussion. With adolescents, they are extremely anxious to tell me if they’ve relapsed or aren’t doing well. They cut one night or they were suicidal. They’re having a lot of negative self-talk or panic attacks. They’ll come in, pretending everything is okay. It’s usually in the last 10-15 minutes that they’ll say something. They’ll reveal that they worried they’d let me down. That I’d be disappointed in them. It usually turns into a discussion about policing other people’s feelings and tolerating emotions. I explain that I care about their well-being and it’s my job to monitor my emotions and reactions, not their role.

9. I’d say a common one is believing that there’s something innately, irreparably wrong with them that makes them unable to ever truly ‘fit in’. For a lot of people it’s such a deeply ingrained belief that it can be extremely painful to acknowledge or express, regardless of the level of personal success in their lives.

10. Two topics come up with regularity: when someone discloses to me that they were sexually abused as a kid, and/or when some is experiencing suicidal ideation. Both are something I hear from clients every single day, and so I don’t find it weird at all. But, when I have someone in front of me who’s talking about it for the first time, I know it’s important to validate the fact that even though I might be talking about this for like the fifth time that day, they have never talked about this EVER, and are in need of gentle care to feel safe.

11. That they haven’t had sex with their partner in years and don’t know how/if they will ever have sex with their partner again. There is so much shame around sex in the USA that a lot of people are scared to talk to their partner about their sexual needs. Time goes by, and suddenly they haven’t had sex in 3, 5, 10 years. It starts for a lot of people in their 40s and 50s.

A lot of people (falsely) believe there is something wrong with their marriage because they fantasize about people other than their partner.

12. I do a lot of trauma work. Many people who have experienced molestation or sexual assault feel ashamed and confused because their bodies responded.

Having an erection/lubrication or even an orgasm does not mean you wanted the sexual contact and it is still assault. Clients often hold a lot of shame and confusion about this.

They wonder if it means they wanted it or if there is something wrong with them. It is a tough thing to work through because of this. Assault is assault. Sometimes human bodies respond to sexual touch even when we don’t want that touch.

13. To name a few: “compulsive” masturbation, fears of being a pedophile/rapist (this is a common OCD fear), hoarding, sexual performance difficulties, history of sexual abuse or sexual assault (unfortunately it is VERY common), drug use, amount of money spent on various things, having an ASD diagnosis, going back to an abusive relationship / staying in an abusive relationship, grieving years and years after a loss, self-harm of all sorts, wanting to abandon their current lifestyle (for example, to have more sex, to escape responsibility or expectations), history of gang violence / crime, their sexuality (or asexuality), gender identity, the impact of racism / racial trauma, paranoia, hallucinations, feeling uncomfortable in therapy, not believing in therapy, difficulty trusting a therapist, fear of psychiatric medication, fear of doctors in general.

14. Recurring intrusive thoughts about harming others. Can be hurting/killing someone or sexual fantasies about children or relatives. Usually people take a while to admit those.

The reality is that if you are having them frequently you aren’t dangerous. You probably have OCD and are terrified that you might be dangerous.

Charles VI of France Believed He Was Made of Glass

Charles VI of France is remembered for two things: his crushing defeat at Agincourt against the British and the fact that he was really quite mad.

Charles’s bouts of psychosis are numerous and well-documented. In 1393, he forgot his name and the fact that he was king. When his wife came to visit in an attempt to help, he had no idea who she was either. He ordered his servants to look after her but also get rid of her.

Then from 1395-96, he began claiming he was Saint George . He recognized his servants and officers, but could not remember his wife or children. Around the same time, he took to running wildly around the corridors of his residence, Hotel Saint-Pol. It became such a problem that to keep him inside and safe, entrances had to be walled up.

Then in 1405, he refused to bathe or wear clean clothes for five months. After this last bout of madness, records of his mental illness become scarcer. It is believed this is not because he recovered but because his bouts of insanity became so numerous that people gave up keeping track of them.

Thanks to Pope Pius II , we know that at some point Charles began to believe he was made of glass . He became terrified of breaking and would let no one touch him. He would sit still for hours and even went as far as having iron rods sewn into his clothing to protect him. It’s common for powerful men to have fragile egos, but Charles took it one step further.

Madness 0
Madness 0

Could 65 Trillion Dollars In “Hidden” Derivatives Cause The Entire Global Financial System To Crash?

.

If you thought that the collapse of FTX was something, just wait until the entire global financial system comes crashing down all around us.  Most people just assume that the system is being managed by rational people that behave in rational ways, but of course countless investors assumed the same things about FTX.  Sadly, the global financial system has slowly but surely been transformed into the largest casino in the history of the world.  It is a colossal Ponzi scheme, and once in a while authorities give us a little peek into what is really going on behind the curtain.

For example, this week the Bank for International Settlements released a report that warned that 65 trillion dollars in “hidden” currency derivatives could potentially be a major threat to the stability of the entire system

There’s a hidden risk to the global financial system embedded in the $65 trillion of dollar debt being held by non-US institutions via currency derivatives, according to the Bank for International Settlements.

In a paper with the title “huge, missing and growing,” the BIS said a lack of information is making it harder for policy makers to anticipate the next financial crisis. In particular, they raised concern with the fact that the debt is going unrecorded on balance sheets because of accounting conventions on how to track derivative positions.

Last year, the total value of all goods and services produced in the entire world was just 96 trillion dollars.

So we are talking about an amount of money that is almost unimaginable.

Everything will be okay as long as financial conditions remain relatively stable.

But BIS analysts warn that “the next time dollar funding liquidity is squeezed” we could have an enormous crisis on our hands…

“Off-balance-sheet dollar debt may remain out of sight and out of mind—but only until the next time dollar funding liquidity is squeezed,” the analysts write. “Then, the hidden leverage in pension funds and insurance companies’ portfolios . . . could pose a policy challenge.”

So let’s hope that such a scenario does not materialize any time soon.

According to the BIS report, banks outside the U.S. are particularly vulnerable

For researchers at the BIS, it’s the sheer scale of the swaps that’s worrying. They estimate that banks headquartered outside the US carry $39 trillion of this debt — more than double their on-balance sheet obligations and ten times their capital. Accounting conventions only require derivatives to be booked on a net basis, so the full extent of the cash involved isn’t recorded on a balance sheet.

“There is a staggering volume of off-balance sheet dollar debt that is partly hidden, and FX risk settlement remains stubbornly high,” said Borio, head of the monetary and economic department at the BIS.

When this thing finally implodes, there isn’t going to be enough money in the entire world to fix it all.

But don’t worry.

The “experts” are telling us that everything is fine.

Meanwhile, more of our largest corporations are planning layoffs.  According to the Wall Street Journal, this even includes PepsiCo

PepsiCo is reported laying off headquarter workers, The Wall Street Journal reports.

A person familiar with the matter told the Journal that hundreds of jobs are being cut in the head office of the North American snacks and beverages divisions.

Employees in Purchase, N.Y., Chicago, Ill. and Plano, Tex. are said to be impacted.

I thought that PepsiCo was doing well.

I guess not.

But don’t worry.

The “experts” are telling us that everything is fine.

This week some of the biggest names in the mainstream media have also announced layoffs

Hundreds of media industry staffers were laid off this week during a brutal period that saw Warner Bros. Discovery, Gannett and others slash headcount as economic uncertainty plagues news organizations.

Gannett, a newspaper juggernaut that owns dozens of local media outlets along with USA Today, began its latest round of layoffs on Thursday. The cost-cutting effort impacted roughly 6% of the company’s news workforce of about 3,440 employees.

I can’t remember ever seeing such a wave of layoffs at our largest media companies.

But don’t worry.

The “experts” are telling us that everything is fine.

Of course the truth is that everything is not fine.

Economic conditions are deteriorating all around us, and the ripple effects are being felt everywhere.

According to Fox Business, even Las Vegas is feeling the pain…

Inflation is taking its toll on Sin City as fewer tourists are visiting the gambling Mecca, and those who do spend less than usual, according to a new report.

The University of Las Vegas business school released a report forecasting the city’s economic outlook between 2022 and 2024 and noted that its economy turned grim in June of this year, according to Fox 5.

“Interest rates have gone up. And we know that we know that prices are going up as well. And that’s what the Fed is trying to get their hands around and solve. So it may be that the Fed’s policies is having an effect not only nationally, but it’s also affecting our economy locally,” one of the study’s authors, Professor Stephen Miller, told the outlet.

2008 and 2009 were incredibly difficult years for Las Vegas.

Now those that run businesses in Sin City are bracing for another extended downturn.

In all my years of writing, I have never been more concerned about the short-term economic outlook them I am right now.

It is very likely that 2023 will be a really hard year for the U.S. economy, and of course this comes at a time when the entire globe is being hit by crisis after crisis.

For ages we have been warned that a day of reckoning would eventually be coming, and now it appears that day of reckoning has already arrived.

There is certainly nothing wrong with hoping for the best.  But there is also wisdom in getting prepared for the worst.

I was at a family gathering the other day. My brother was tickling my 4 year old daughter. She was giggling, and as kids do when tickled, saying stop. She was being loud (as she was being tickled. That’s what kids do). Grandma then scolded my daughter for being loud. I said “She said stop”, my brother said, “She’s laughing, she likes it.”

Now, I am not saying anybody in my family was doing anything inappropriate. I suspect zero foul play, it was innocent fun. Still, objectively, I saw this happen:

  • I saw a girl, being touched, and saying stop.
  • Then, a man saying, see, she likes it.
  • Then another woman, a trusted adult, blaming the child for being to loud, silencing her protests.

Again, totally innocent situation.

But knowing what goes on in the world, I don’t like it. I don’t like the normalizing of “see, she liked it”.

How many molesters start with the tickling game. Get the kid used to you touching their arm. Then the shoulder. Then move inwards. That’s called grooming, and I’d bet a lot of that starts innocently enough.

Again, I am not saying the Uncle was doing anything wrong. But the actions that happened, in another context, could be very wrong.

We don’t play the tickling game anymore. And when my daughters say no, they will be heard.

Nero the Mother Killer

To be honest, you could write an entire list just of crazy rulers of Rome. The most famous of them is probably Nero. Nero appears to have begun his reign relatively sane, but increasingly fell into a paranoia that left him a little crazy.

bored
bored

Much has been made of Nero’s violent tendencies, but Nero had a softer side (which was also crazy). By the time Nero became the emperor of Rome at the age of seventeen, he had been trained in the arts and was a talented singer, musician, and reciter of poetry.

In Roman culture, professional actors and musicians were, socially speaking, barely higher than whores or slaves. But Nero loved to perform. As emperor, he hosted a range of events and competitions to play in public. He even went as far as entering the Olympic Games and forcing the organizers to include artistic competitions. Unsurprisingly, he won every event he entered.

He loved performing so much and played for so long that audience members came up with inventive means of escape. Old men would feign death and women would fake going into labor just to escape. When Nero died he stated, “What an artist dies in me.”

Also, he had a habit of killing the people close to him. It all began with his mother, whom he had killed. His reasons for having his mother killed are unclear, but it seems after her death Nero began to suffer from paranoia. If his own mother could turn on him, anyone could.

It is widely believed Nero had his first wife killed and killed his second wife himself. After his second wife, Poppaea, died, he had a young commoner who resembled his deceased wife castrated. He then dressed the young man as his wife and married him – truly the actions of a crazy ruler.

That’s right, China is not rising, China is regaining its former position it’s been having for at least 2000 years prior to Western aggression and colonization.

The West’s 200 years of domination is coming to an end whether it accepts it or not. The sooner the West learns to live and deal properly with the new multipolar world the better is for everyone.

If the West is not able to compete within its own trade platforms and continue to abuse its own financial systems, then they really shouldn’t blame China or other countries for creating new trade and financial platforms that would better serve the people of the developing world.

Qin Shi Huang’s Search for Immortality

Qin Shi Huang was a ruler who believed his own hype. At least in his case, it was somewhat earned. Qin Shi Huang rose to power as the first emperor of a unified China in the 3rd century BC.

Once Huang gained power, he rapidly became obsessed with keeping it. He had many enemies, and over the years he faced several assassination attempts. The idea that death was the only thing that could separate him from power caused him to lose his mind. He became obsessed with gaining immortality. He consulted doctors who prescribed him a healthy regimen of sex to maintain his vitality.

When this failed, he began taking pills full of mercury. These had the opposite effect. His health declined, and so did his sanity. As Huang lost more and more of his marbles, he turned to mysticism. His greatest adventurers were sent out to the ‘Islands of the Immortals’ to find a magical elixir that would allow him to live forever. Fearing evil spirits, he had a complex system of tunnels built that connected his 200 palaces.

Huang is best remembered for his magnificent tomb filled with its army of terracotta soldiers. They were meant to be an immortal army that would protect their supposedly immortal emperor. Huang died in 210 BC..

thought
thought

Eggnog Fudge

“Instead of giving away fudge, make the fudge look like a wrapped present!”

2022 12 07 16 36
2022 12 07 16 36

Ingredients

Directions

  • In a large pot on medium high heat, mix sugar, eggnog and vanilla. Stir until it begins to boil. Stop stirring and place candy thermometer along pots edge and allow to heat to 238 degrees. Once this occurs remove from heat and stir in butter, cinnamon and nutmeg. Mix until just combined and then pour into a parchment lined 10X10 inch tin and allow to cool completely.
  • Cut into various shapes and smooth any rough edges with a potato peeler. Pierce one end with a toothpick. Coat shapes in the candy melt color of your choosing and allow to set completely before decorating .
  • Mix frosting and scoop into a medium piping bag. Pipe decorative final ribbons. Paint after completely dry if desired. I found a small star tip makes for a perfect ruffle ribbon with one little squeeze.

Alex and Alexander are the best geopolitical analysts out there. Great to see them on your show Jimmy.

What’s It Like To have Narcissistic Personality Disorder

As an actual narcissist, I’m writing anonymously to avoid being exposed. I may not be officially diagnosed with NPD (nor I’d ever want to be), but two of my psychologists, including the current one, described me as “a narcissist”, and being a psychology student myself, I think it’s unfortunately accurate.

My self-image, it’s quite inconsistent. Sometimes, I do feel grandiose, but in many aspects I am objectively above-average, at least.

 

Since I’ve had episodes of serious depression, I’ll explain it in comparison to that.

When you are depressed, you view yourself as horrible by cherry-picking your worst qualities and experiences. It might be accurate – if a bit exaggerated – but you forget about the good things.

Now, narcissistic grandiosity feels like the opposite of that. You cherry-pick your best qualities and experiences. When you think of yourself, only your successes and capabilities come to your mind. (Part accurate, part exaggerated)

Now how does being a narcissist feel? I think the feeling i could most strongly attribute to being a narcissist, is the constant need to be important, respected and powerful. If people treat you with just a tiny little bit less respect, than you think you deserve, you get angry. And by angry, I mean internally consumed by rage.

That awful, bitter feeling started in my childhood (obviously). I’ll describe the process as well as I can.

So… I used to be a very kind, intelligent, but a bit spirited, and more than a bit aggressive child until my early teens. While I was always in the top quarter of the class, and pretty responsible for my age, there were those times, when I was told off, called cheeky, punished, ect…

For most people, this is a normal part of their childhood, but for me, it increasingly felt like being unfair to me, and I couldn’t accept that. I resented adults (and I still do) more than anything. Every mistake seemed bigger in their eyes when I made them, than when they did.

Swearing, wanting too much, becoming angry, speaking my mind had much more consequences for me, than for them. (I know, this is true for all minor mistakes of children, compared to that of their parents, but still. I couldn’t accept. I can’t.)

They spotted all my mistakes so well, so I began to spot theirs.

My parents were relatively sensible people, as far as parents go, but they were certainly no angels. They often shouted at each other, swore all the time, and talked to me and each other in a pretty rude way.

They smoked. They lied. (Who doesn’t?) They didn’t always do well in their jobs. Basically no serious, abusive stuff. But they (and other adults) were of course scandalized, if we, kids did any of that. I always asked them why, and they always reasoned with things like “It’s not your house, You live on your parents’ money, We know better, You should respect your elders…”.

And I just felt desperate and powerless. I was too young to work and unqualified anyway.

I couldn’t grow up instantly, but I often fantasized about that when we’ll get older, they would get it all back. They would be dependent on ME! And I would tell them off! I would be the boss. And most of all, I’d be BETTER than them. I’d show them that I’ve ALWAYS been!

But well, meanwhile I had to get my way somehow. I knew by that time that kindness and logic don’t convince everyone, honesty just gets you into trouble, and obedience makes your superiors have even higher expectations towards you. And that is where the narcissist’s best friend, manipulation comes into the picture.

I had to learn how different kinds of people react to different approaches. To use my words as a means to an end, rather than wearing my heart on my sleeve.

In some situations, i needed to tone my tactless, aggressive personality down. That was pretty difficult, but worth it. I genuinely became more patient because of this little self-control exercise.

In others, I needed to exaggerate my emotions. That came pretty naturally.

And by my late teens, I tended to switch from nice to furious in seconds. (It can baffle people into compliance.)

Guilt-tripping and directing the blame at others came as a family legacy. (Heh.)

All of this for that sweet, sweet feeling of power.

Still, I empathize with other people, and will be benevolent towards them, as long as they at least treat me like an equal.

But I’ll be hell-bent on humiliating those, who are condescending towards me.

Despite all of this, I think it’s possible to channel all these possibly harmful urges into something positive.

If you want to be admired and feel powerful, you can deceive yourself and others into thinking that you are, OR you can work towards being actually admired and powerful. That’s what I’m trying to do.

I used to go to a therapy group, where I realized that helping people gives me a rush of power that is much more satisfying than simple, selfish manipulation.

Honestly grateful “admirers” are much better than mislead ones. Thus, I found my place in the field of psychology….So that’s all.

You can be disgusted by me or think I’m a horrible person, but these are the feelings of a certain narcissist. And hey, at least I’m self-aware, and trying to better myself. And wrote this long text for your entertainment.

(So feel free to feel thankful and praise me. 😛 )

Rwanda, a tiny country in the eastern central part of Africa (it is there, in red) Rwanda

main qimg 71cc604d5ca049041c83f68b38dbc2cf pjlq
main qimg 71cc604d5ca049041c83f68b38dbc2cf pjlq

  • When visiting Rwanda, don’t bring any plastic bags as they are prohibited in the country. When you try to bring them, they will be confiscated right at the airport. Same goes with throwing waste in the environment, our country is very clean, and throwing any waste would be a shame for you, and the authorities can punish you for that.
  • Don’t openly discuss ethnic issues. 22 years ago our country had seen one of the most atrocious tragedies in human history 1994 Genocide Rwandan genocide
  1. you shouldn’t go around asking everyone what? where? how? who are you? The good way to learn this history is to try and visit some memorials which are rich in that history and it’s near the main city, Kigali and almost in every other city across the country.
  2. Don’t try to take pictures of everything you see especially people even young kids in the street without first asking. Yes, our country is still under development, you might see poor neighborhood, young street kids or some funny things you can make out of those pictures – taking photos of those vulnerable people without their permission is a sensitive issue in our culture.

main qimg ada991ab5579c3815218d55b801b86c8 lq
main qimg ada991ab5579c3815218d55b801b86c8 lq

  1. You shouldn’t be annoyed or irritated if someone didn’t make it on time. For many people being late “is just okay” one hour or 4 hours would still be okay 😉 so if you are meeting someone either for business or personal affairs just bear this in mind and be patient.
  2. Restaurant and other service businesses. You will be treated really well, in fact a special treatment will be given to you if you are a foreigner (Rwandans are very welcoming and we are naturally good to our neighbours), but don’t take advantage of it or think that they want something from you (i.e. don’t shout or raise your voice when you are not satisfied with the service, there is a good manner to bring the issue at hand).
  3. Rwanda is very safe and secure. Contrary to the popular belief or some perception of a country which has been in Genocide 22 years ago, you can move around anytime you want; even midnight. Don’t expect anyone to understand what you are talking about, even if you speak English or French, the majority of people only know one language; Kinyarwanda. Taxis, local traders, waiters, service providers, etc. they try hard to understand you but make sure when you are traveling to have a local guide with you (this will also help you pay less for everything, because you will not be overcharged cause you are a foreigner)
  4. Don’t bring cats or dogs to public places or smoke in public. This doesn’t mean Rwandans hate animals, it means they respect other people’s views almost on anything. There are also special places reserved for smokers.
  5. When you are a guest to someone’s home, don’t pay (or contribute) for the food or drinks offered unless asked to, this is rude or in some cases disrespectful.
  6. Don’t get annoyed if you are being called ‘muzungu’ everywhere. Literally muzungu means ‘a traveler’ but it is widely known as ‘white people’, even Asians are called ‘muzungu’ 😉 😉 Don’t think they are being racists or are they trying to insult you.

Welcome to Rwanda! The land of thousand hills.

This Rare Battle-Sword Just Found in Sweden Is “An Evolutionary Leap”

Kalmar battle sword
Kalmar battle sword

Researchers in Sweden were excavating a 400-year-old cellar floor when they came across this “rare” battle-sword from the Military Revolution.

Archaeologists from Arkeologerna were digging at the intersection of the Kungsgatan and Västerlnggatan roads in the city of Kalmar, in the southeast of Sweden on the coast of the Baltic Sea.

Best known for its Renaissance-style Kalmar Castle , with turrets and a drawbridge, in the old town cobbled streets lead visitors along corridors of well-preserved 17th- and 18th-century buildings.

The Arkeologerna researchers discovered a cellar floor which records suggested was part of a medieval farm that belonged to “Gotskalk Hulskede in 1368 AD”. The farm property was again mentioned in a 1483 AD text and it is known that it burned down in the summer of 1611 AD during the Kalmar War.

A report in Heritage Daily says the archaeologists discovered “two severely burned hand grinders, a pile of charred grains, and broken brick, stone, and wood” most probably from the top floors of the houses covering the cellar’s floor. And it was while they were removing collapsed roofing material that the “rare” Danish sword was discovered.

heavily 0
heavily 0

Two heavily fire-damaged hand grinders. ( Arkeologerna)

You Can Only Poke The Bear So Often!

The Kalmar War/Conflict was fought between Sweden and Denmark-Norway from 1611 AD to 1613 AD. Tensions began back in 1607 AD when King Charles IX of Sweden declared himself King of the Lapps, then taxed them, in what was traditionally Norwegian territory. Then, to get around paying tolls to Denmark and Norway for using the Øresund “Sound” strait, which separates the Baltic Sea and the North Sea , Sweden forged a new route via Lapland.

According to a report in TechTimes, this one toll “constituted up to two thirds of Denmark’s state income in the 16th and 17th centuries”. Not at all chuffed, i n April 1611 AD King Christian IV of Denmark and Norway declared war upon Sweden and invaded from the south with 6,000 Danish soldiers.

The city and castle of Kalmar were known as the “key to Sweden,” because they controlled the Kalmar Straight and all shipping access to the northern trade routes on the Swedish east coast, and Stockholm. The 6,000 invaders had their weapons trained on first taking control of Kalmar’s castle, then the entire city, but things didn’t go according to plan.

excavated 5
excavated 5

The excavated stone cellar in a Kalmar street, where the battle sword was found. ( Arkeologerna)

Lost in the Smoke of War

After several months of sieging, Danish forces successfully penetrated Kalmar’s city walls, but they failed to crush the Swedish forces and skirmishes continued until the death toll got to around 900 men (700 Swedish and 200 Danes). Eventually, England drew up peace terms which were guaranteed by King James I of England and VI of Scotland and the Peace of Knäred was signed on 21 January 1613 AD, bringing the Kalmar War to a close.

King Gustavus Adolphus of Sweden’s victory over Denmark set him on the path that would see him build his territory and army into a major regional power. This is why historians regard the conflict as a turning point in the history of armed conflict in Scandinavia. And it was in the aftermath of this war that the cellar burned causing its roof to collapse, covering the “rare” Swedish sword.

Kalmar
Kalmar

Excavations in Kalmar revealed, in the now exposed 17th century basement, lay the lost weapons of a Danish soldier. ( Arkeologerna)

This Weapon Is ‘An Evolutionary Leap’

Arkeologerna said in a statement that at the time of the Kalmar War armies across Europe were experiencing “the military revolution.” An article in the defense publication, The Forge , explains the term ‘Military Revolution’ was originally coined in a paper delivered by Michael Roberts in 1955 to describe the period between 1560 AD and 1660 AD which saw new tactics and weapon systems being tested. These military changes brought about “significant transformation in warfare that contributed to the elevation of Western Europe as a center of world power,” according to Roberts.

Arkeologerna describes the rare sword as “an evolutionary leap” from the medieval sword , to more modern designs that would eventually dominate the 17th century battlefield. And symbolically, perhaps no better of an artifact could have been discovered to mark the Kalmar War, and Sweden’s first steps into the military revolution, than a broken and defeated Danish sword.

Top image: The Kalmar sword in situ where it was found. The tip is broken. Maybe in connection with battle?  Source: Arkeologerna

By Ashley Cowie

Too many.

A friend’s daughter is a flat-earther. I have another friend who is a U2/ER2 pilot. The pilot gave me pictures that he took from the cockpit at 65,000 feet that clearly shows the curvature of the Earth. The flat-earther immediately went into the “He’s lying.” “The cockpit is distorting the view making it look curved when it’s not.” and “It’s lens distortion.”

It’s like arguing with a log.

main qimg dd96fbc5fff450394bcf28e9160dec70 lq
main qimg dd96fbc5fff450394bcf28e9160dec70 lq

Confessions of a Man Who Shot Himself In The Head And Survived

 

What pushed you to do it?

A lot. Losing my girlfriend, the savings account we worked at for years, the business we created. Just exacerbated my anxiety and depression.

My ex really pushed me to get help in the times where I wasn’t struggling, so I became defensive and put it off. I shouldn’t have, because it would have given me the skills to get through the times I was struggling. Therapy is a bitch. I keep learning things about myself that I’m not proud of. Things I could have managed better for years. The more I learn, the more confident I become that I can better myself.

How long did you take to decide that you were ready to end it?

I decided the night before. It took about 12 hours for me to finalize it.

Did you think about your girlfriend before you did it?

She was in the house. I yelled that I loved her. Then I said Im sorry to my mom, and that I loved her (she wasn’t in the house). But yeah. For years, she was/is constantly my first thought.

What did it feel like when you shot yourself?

Nobody prepares you for what getting shot feels like. It doesn’t hurt. It doesn’t sting. It doesn’t ache. It just burns. Like fire in my head. And blood pouring from my mouth.

I think I was still in shock when I arrived at the hospital, but when they pushed the fentanyl, all that burning went away and I could recognize how much burning pain I was in.

I remember not being able to talk because my tongue hurt.

My pain the first month in the hospital got progressively worse, but high amounts of painkillers will trick you into that.

How did the shot not kill you? How did it “miss”?

I don’t fucking know. It went in by the corner of my left jaw, and came out above my forehead on the right side, taking most of the orbit of my eye with it. I fucked up.

What caliber did you use?

9mm Luger.

Maybe it wasn’t your time?

I wish. I don’t really believe in that mentality. Someone close to me said something along the lines of, “God wasn’t ready for you yet.” And my first thought was, “thousands of years of medicine research and a team of excellent doctors is the reason I’m here, but ok.”

I’ve read stories about how people who jumped off bridges (and survived) immediately regretted doing so and wanted to live more than anything as they were falling. Right after the moment, did you have regrets about doing it with a desire to live or maybe have disappointments that it didn’t work? Or maybe no feelings at all right after?

I woke up with a “what the fuck?” Mentality. I was more confused that I woke up. I didn’t really have feelings about it until a few months later when the drugs wore off.

What was the first thing your mom said to you afterwards?

I’m not sure what my mom said. I woke up post surgery and my whole family was there (they all had to fly in). I was very confused. (Now I know I was in surgery for 10 hours).

What was the road to recovery like for you?

It’s still ongoing. 2 months in the hospital/rehab, lots of follow up appointments, physical therapy, mental/emotional therapy.

Legal battles for custody and household items.

Lots of reintegration with my parents and immediate family, which has been great. It fortunately brought us all closer.

Before I did it, I can’t remember the last time my brother and I spoke on the phone even. It really shook my brother the most. I’m super grateful for his presence in my life now.

Physically, what are the long term consequences to your face and skull from shooting your self?

Physically, I lost my right eye. And I have a plate in my jaw forever. And my forehead is missing a piece of bone they took out during a bifrontal craniotomy. Beyond that, I’m pretty healthy.

Is your face messed up?

Pretty normal for a dude with one eye.

Are you dealing with chronic pain, nerve damage, headaches, etc.?

It’s alright. Headaches suck, mostly because only morphine is enough to dull them. Nerve damage is only in my jaw/tongue/cheek, which is frustrating, but I started vaping because it makes my tongue tingle, and I don’t bite it accidentally anymore.

Is she okay?

Probably not. But she won’t talk to me. I truly hope she is. Of all the negativity between us, I only hope that she’s doing well and that someday, sooner than later, we can sit down and have an honest discussion about it.

What’s something you would want to say to your ex-girlfriend?

This is something I think about all the time. Previously, I was very concerned with myself, and put myself into context when I didn’t need to. That’s something I’d change if I had an hour to sit down with her.

I’d really like her to know that I love her. To this day, nothing has changed. I’d like to have the conversation that I don’t hold anything against her, except for the fact that she knew and didn’t drag me to get help and stand by me, in ways I did for her, and leaving me in the worst of times.

I’d really like the opportunity to listen to her. I don’t know what she has to say, and I don’t need to hear anything. I wasn’t a very effective communicator during our relationship, and I’d like the opportunity to really hear her out, and share those emotions.

Do you still harbor thoughts about suicide?

They’ve mostly gone away. There are times I ponder it, but it’s more the idea of, whereas previously I was fantasizing the roadmap.

Did anything change about your personality or preferences on things as a result of the trauma? Something you would never expect that would change?

Not particularly in personality or preferences. I became a hell of a lot more forgiving and patient, which wasn’t much existent (except with my kids) before.

I think the thing that changed the most was having my emotional brain available. I wasn’t very capable of connecting with my emotions before, I genuinely thought I was broken. I really got down on myself when it came to my ex, because I couldn’t empathize with her when she experienced heightened emotions, and it put a wedge between us, or I did. Now I’m empathizing with commercials, and it’s really bizarre when I become mindful of it, even still.

Do you regret doing it?

I think a lot about regret. I don’t regret shooting myself. I regret the way I proposed to my ex. I regret the way I treated her and other people when they needed me. I regret losing sight of myself because I wanted to be someone else. I regret spending so much time looking for escape instead of looking inward. But I don’t regret shooting myself. It changed my world.

How are things going for you now?

It’s not really going better. I spent years developing a family and life. It’s going about exactly as I predicted before putting a gun to my head. But! On the other side of that, I’ve learned a tremendous amount about love, myself, and bettering myself mentally that I never could have without experiencing tragedy in my previous lifestyle.

And I get to share my story and hopefully help others, which is something I never cared much about.

Ivan the Terribly Crazy

So far, most of the crazy leaders discussed above, lived lives of luxury. Their madness was either the result of an insatiable ego or in Charles’s case, genetic. But Ivan IV, or Ivan the Terrible as he would come to be known, was different. He lost his mind due to the abuse he had suffered as a young man.

Ivan lost both of his parents at a young age. After the loss of his mother at the tender age of seven, Ivan was left defenseless. The elite members of the Russian government tormented and abused him. At an early age, Ivan began torturing small animals as a coping mechanism.

In 1544, at the age of 14, Ivan had had enough. He seized control of his country by having the head of the government fed to a pack of hungry dogs. He was crowned tsar two years later at the age of 16.

At first, it appeared being made ruler cured him of his insanity. He made a public confession and apologized for his various cruel acts. In the beginning, he was a good tsar. For example, he created laws aimed at creating class equality, so that no one would suffer as he had.

But it didn’t last long. He soon began massacring his own people, especially anyone who dared challenge his autocratic tendencies. As he got older, he became more unhinged and the paranoia and rage of his youth reappeared. This culminated in the murder of his eldest son, heir, and favorite, Ivan Ivanovich.

Ivan 1
Ivan 1

12 Guys Reveal The Red Flags They Ignored, For The Sake of Getting Laid

 

1. She legit stole my wallet the first time we hooked up. It had $200 in it

Sex was so damn good I just made sure I hid my wallet and valuables for rounds 2-4

2. That she was crazy. One of the first things she said to me while making out at a party was “they all say I’m crazy, but I’m really not.”

3. She said she had thousands of kids that telepathically communicate with her all at once.

I only talked to her for a few more weeks and slowly faded myself out since I was too afraid to just ghost her. That’s the type of crazy I didn’t want to get on the wrong side of. I didn’t pry too much more into it due to how uncomfortable I got.

4. The last girl I dated told me she liked holding a knife to her ex’s neck while he slept because she fantasized about murder and wanted to see what it felt like.

5. She showed up to my apartment without directions or asking. She was a friend of a friend, and knew the complex itself from talking with her earlier. Never crossed my mind to ask how she figured out my building or apartment until later, and when you wake up to a cute girl knocking on your door at 2 AM, it doesn’t really cross your mind.

We saw each other for a few months, til I figured our what was cute at first was stalkers and became upsetting when I need to sleep. Lesson learned, now I use an alias and safe house when I meet people.

6. 20 year old stripper whose husband was in jail. Husband got out and fire bombed my car in her driveway.

7. She told me she was a manipulative, emotionally unavailable, and controlling person. I still went in. She wasn’t lying lol but I think I maneuvered it well.

8. First day, she fell in love. Day two, she slapped me in the face. Day three, she broke into my house. Day 4, I learned she could squirt across the room. Day 5, she told me she had chlamydia. Day 6, I smashed one last time and didn’t pull out. Day 7, she brought me the pill that treats chlamydia and sucked my soul out right after. Day 8 and beyond, I ignored the rest of her calls and left her on read. I’m surprised my house didn’t get burnt down as it still stands today.

9. Hooked up with a girl near DC who was very into SpongeBob, which is cool I am too, but she was like SUPER into SpongeBob. Throw pillows, posters, stuffed animals everywhere. When we hooked up she made me do the laugh so she’d get off

10. Family gang ties. She would use that as a threat to prevent me from breaking up, cheating, or making her upset in general.

11. I met a girl on a bus and on our first date she told me that her father is always present and she can see him sitting right next to me. I thought she was joking or used a metaphor of him always watching her but she pointed where he was sitting (I should mention that he is alive and well). I proceeded to have a 2 year long relationship with her with lots of weird things happening in it.

English wasnt her first language neither was mine but we communicated in english. Sometimes she would say “we” when talking about what she did on a day and refuse to elaborate. Any kind of argument would end up with her trying to gaslight me about unimportant details (like she would say something and I would quote it back to her and she would say: “no thats what you said.”

She married a 60 year old man when she was 25. Really mysterious person – to this day I cant say how crazy she really is.

12. I get to her place, and it is like 4 things away from a trash heap. Seriously, piles of trash, clothes, dishes, books, etc. EVERYWHERE! Her couch looked like it was rescued from a dump. I have no sense of smell (luckily) and was down baaaaad so I was like whatever.

Then I hear a small critter noise, look over and she’s got a massive cage FULL of mice/rats. Like a ceiling to floor length cage, the kind you’d keep several toucans in. I cannot tell you the level of uncomfortable it is making out on a filthy couch, next to a pile of trash while being able to hear mice. Sex was amazing though, but I just couldn’t deal. She was a PhD student or something and the mice were retired lab rats, even still… Clean your place.

13. That she was 100% bat shit insane.

Met online. She opened with saying “wow what a dirty old white man. Whats with you white men?” She, herself, was white.

I thought she was joking, but she wasn’t. She was dead ass serious about having a heated racial argument against her own race. I played along. Turns out, she does that as a “test” to see if people can “handle her”. Red flag, but she was one of the hottest people I’ve ever talked to. We continue on.

She is an artist/engineer. She tells me how big her house is and how she would LOVE to support me. She’d pay all my bills, etc. This seemed odd, as I just met her.

She insisted I come out to Detroit to see her. I was in Ohio. I have known her a day. Well, as my blood wasn’t in the right area of my body, I decided to go. I drove the few hours and showed up at what could only be described as a house used in movies to depict a trailer trash, dirty individual.

Her front yard was covered in an array of random objects. It looked like a bomb went off in the middle of a yard sale for stuff a goodwill wouldn’t accept. There was so much random stuff, I has to step on a chair and over a fence to get from her driveway to the front door. We continued on.

Her house was a mess. It was like a homeless person got a home and moved all their homeless essence into it. It wasn’t dirty, per say, just…so so so much clutter. We went to her room and i laid down. We proceeded to talk for a while, and we wound up in a moment of silence.

I brought up something I’d seen in a documentary recently and she got super serious, super fast. She looked at me and said “okay, and the point of that sentence was…?” I replied that I was just trying to make small talk. “Who fuckin cares about a documentary. Why do I give a shit?”.

I wasn’t sure how to respond , so I went with just explaining the concept of small talk. She interrupted me and said “you are boring as fuck. Why bother opening your mouth?”

I couldn’t understand what was happening. I never had an interaction like that before. She was now nitpicking everything I said and getting angrier by the minute. I tried so much to change the subject to something she’d like but I couldn’t manage.

I still decided to sleep over. The worst part was, no matter how I tried to initiate the sex that she was talking nonstop about having over text when we met, it never happened. We just..went to sleep. I spent the entire night staring at her ceiling instead of leaving because, well, tomorrow exists.

The last straw was when she got up, pretended I didn’t exist, walked to the bathroom and took the loudest, nastiest shit ive ever heard come out of a woman…with the door open. She didn’t wash her hands, or flush.

All that for a set of blue balls and hours and hours of wasted driving.

My Quora feed is being spammed on the “one child” policy of China. I guess they are too stupid to realize it ended ten fucking years ago

The only good thing about this world is that’s it’s a kitty world.

Patience, grasshopper.

Tess, pictured below, was put outside by the meth heads next door when she was just a few months old and no longer a cute kitten. Her ‘owners’ would put a handful of Kit and Kaboodle on their porch twice a day (please NEVER buy that food, the first three ingredients are corn, it’s disgusting) , and that was it. No food bowl, no water, no love.

Tess (they called her Princess, and I said fuck that) was an extremely shy cat who was easily frightened and spent most of her time hiding under a nearby house. I regularly put food out for local strays as there are so many of them, and she soon realized she could come to my front steps for better food.

When Tess was 8 or so, the meth heads moved out and left her behind. It took months of feeding her and talking to her to finally get close enough to pet her, and one day I had a cat carrier with me and I scooped her up, kept her isolated in her own room until I my vet could test her for kitty aids and leukemia (so there was no risk to my other cats), and then began the slow integration into my home.

This was Tess in May of 2015. I could finally get close enough to pet her and take her picture, but you can see the wariness and sadness in her eyes. At this point the House next door was empty.

main qimg d0447ed65aa2230e23330d5f9cf2dc94 lq
main qimg d0447ed65aa2230e23330d5f9cf2dc94 lq

Shortly after that I grabbed her and brought her inside. She was filthy and covered in fleas.

And this was Tess just two months later in July 2015. Amazing what a little love, good food and a safe place to sleep can do.

main qimg fe284f3778051e1cce80d57a630c7d9a lq
main qimg fe284f3778051e1cce80d57a630c7d9a lq

It took me 2 or 3 months to go from being a face behind a screen door to actually touching her, and about as long again for her to realize my home was now her home too.

And now I’m going through that all over again with a skinny, battle scarred little cat who comes to me for food but still won’t let me pet him, even after 6 months. I haven’t named it and don’t know if it’s a boy or a girl, but I’m hoping I can help make its life better some day soon.

main qimg ea07a849dbd6c7adc09450785a4680eb lq
main qimg ea07a849dbd6c7adc09450785a4680eb lq

The above photo was taken a month ago and I still can’t get any closer. I think this little one has been through rough times.

Be patient. It can be frustrating at times, but the reward of knowing you helped save a life, even a small and insignificant one, is priceless.

Now about the Chinese “one child policy”…

Yeah. The policy ended ten years ago, but suddenly my Quora feed is all about a million questions about this 12-year old policy. Then when I initially complained questioned repeated themselves, but changed to the “new two-child” policy. Eh?

What the Hell?

2022 12 05 14 27w
2022 12 05 14 27w

2022 12 05 14 27
2022 12 05 14 27

2022 12 05 14 38
2022 12 05 14 38

2022 12 05 14 40
2022 12 05 14 40

2022 12 05 14 41
2022 12 05 14 41

2022 12 05 14 27
2022 12 05 14 27

2022 12 05 14 38
2022 12 05 14 38

2022 12 05 14 40
2022 12 05 14 40

2022 12 05 14 41
2022 12 05 14 41

2022 12 05 17 16
2022 12 05 17 16

2022 12 05 14 43
2022 12 05 14 43

2022 12 05 14 27
2022 12 05 14 27

2022 12 05 14 38
2022 12 05 14 38

2022 12 05 14 40
2022 12 05 14 40

2022 12 05 14 41
2022 12 05 14 41

2022 12 05 14 43
2022 12 05 14 43

2022 12 05 14 44
2022 12 05 14 44

2022 12 05 14 46
2022 12 05 14 46

2022 12 05 14 54
2022 12 05 14 54

2022 12 05 15 00
2022 12 05 15 00

2022 12 05 15 01
2022 12 05 15 01

2022 12 05 15 01f
2022 12 05 15 01f

2022 12 05 15 25
2022 12 05 15 25

2022 12 05 15 26
2022 12 05 15 26

2022 12 05 15 27
2022 12 05 15 27

2022 12 05 14 27
2022 12 05 14 27

2022 12 05 14 38
2022 12 05 14 38

2022 12 05 14 40
2022 12 05 14 40

2022 12 05 14 41
2022 12 05 14 41

2022 12 05 14 43
2022 12 05 14 43

2022 12 05 14 44
2022 12 05 14 44

2022 12 05 14 46
2022 12 05 14 46

2022 12 05 14 54
2022 12 05 14 54

2022 12 05 15 00
2022 12 05 15 00

2022 12 05 15 01
2022 12 05 15 01

2022 12 05 15 01f
2022 12 05 15 01f

2022 12 05 15 25
2022 12 05 15 25

2022 12 05 15 26
2022 12 05 15 26

2022 12 05 15 27
2022 12 05 15 27

2022 12 05 15 28
2022 12 05 15 28

2022 12 05 15 29
2022 12 05 15 29

2022 12 05 15 30
2022 12 05 15 30

2022 12 05 15 28
2022 12 05 15 28

2022 12 05 15 29
2022 12 05 15 29

2022 12 05 15 30
2022 12 05 15 30

2022 12 05 14 44
2022 12 05 14 44

2022 12 05 14 46
2022 12 05 14 46

2022 12 05 14 54
2022 12 05 14 54

2022 12 05 15 00
2022 12 05 15 00

2022 12 05 15 01
2022 12 05 15 01

2022 12 05 15 01f
2022 12 05 15 01f

2022 12 05 15 25
2022 12 05 15 25

2022 12 05 15 26
2022 12 05 15 26

2022 12 05 15 27
2022 12 05 15 27

2022 12 05 15 28
2022 12 05 15 28

2022 12 05 15 29
2022 12 05 15 29

2022 12 05 15 30
2022 12 05 15 30

2022 12 05 14 43
2022 12 05 14 43

2022 12 05 14 44
2022 12 05 14 44

2022 12 05 14 46
2022 12 05 14 46

2022 12 05 14 54
2022 12 05 14 54

2022 12 05 15 00
2022 12 05 15 00

2022 12 05 15 01
2022 12 05 15 01

2022 12 05 15 01f
2022 12 05 15 01f

2022 12 05 15 25
2022 12 05 15 25

2022 12 05 15 26
2022 12 05 15 26

2022 12 05 15 27
2022 12 05 15 27

2022 12 05 15 28
2022 12 05 15 28

2022 12 05 15 29
2022 12 05 15 29

2022 12 05 15 30
2022 12 05 15 30

2022 12 05 15 32
2022 12 05 15 32

2022 12 05 15 37
2022 12 05 15 37

2022 12 05 15 39
2022 12 05 15 39

2022 12 05 15 40
2022 12 05 15 40

2022 12 05 15 3w9
2022 12 05 15 3w9

2022 12 05 14 38
2022 12 05 14 38

2022 12 05 14 40
2022 12 05 14 40

2022 12 05 14 41
2022 12 05 14 41

2022 12 05 14 27
2022 12 05 14 27

2022 12 05 14 38
2022 12 05 14 38

2022 12 05 14 40
2022 12 05 14 40

2022 12 05 14 41
2022 12 05 14 41

2022 12 05 14 43
2022 12 05 14 43

2022 12 05 14 27
2022 12 05 14 27

2022 12 05 14 38
2022 12 05 14 38

2022 12 05 14 40
2022 12 05 14 40

2022 12 05 14 41
2022 12 05 14 41

2022 12 05 14 43
2022 12 05 14 43

2022 12 05 14 44
2022 12 05 14 44

2022 12 05 14 46
2022 12 05 14 46

2022 12 05 14 54
2022 12 05 14 54

2022 12 05 15 00
2022 12 05 15 00

2022 12 05 15 01
2022 12 05 15 01

2022 12 05 15 01f
2022 12 05 15 01f

2022 12 05 15 25
2022 12 05 15 25

2022 12 05 15 26
2022 12 05 15 26

2022 12 05 15 27
2022 12 05 15 27

2022 12 05 14 27
2022 12 05 14 27

2022 12 05 14 38
2022 12 05 14 38

2022 12 05 14 40
2022 12 05 14 40

2022 12 05 14 41
2022 12 05 14 41

2022 12 05 14 43
2022 12 05 14 43

2022 12 05 14 44
2022 12 05 14 44

2022 12 05 14 46
2022 12 05 14 46

2022 12 05 14 54
2022 12 05 14 54

2022 12 05 15 00
2022 12 05 15 00

2022 12 05 15 01
2022 12 05 15 01

2022 12 05 15 01f
2022 12 05 15 01f

2022 12 05 15 25
2022 12 05 15 25

2022 12 05 15 26
2022 12 05 15 26

2022 12 05 15 27
2022 12 05 15 27

2022 12 05 15 28
2022 12 05 15 28

2022 12 05 15 29
2022 12 05 15 29

2022 12 05 15 30
2022 12 05 15 30

2022 12 05 15 28
2022 12 05 15 28

2022 12 05 15 29
2022 12 05 15 29

2022 12 05 15 30
2022 12 05 15 30

2022 12 05 14 44
2022 12 05 14 44

2022 12 05 14 46
2022 12 05 14 46

2022 12 05 14 54
2022 12 05 14 54

2022 12 05 15 00
2022 12 05 15 00

2022 12 05 15 01
2022 12 05 15 01

2022 12 05 15 01f
2022 12 05 15 01f

2022 12 05 15 25
2022 12 05 15 25

2022 12 05 15 26
2022 12 05 15 26

2022 12 05 15 27
2022 12 05 15 27

2022 12 05 15 28
2022 12 05 15 28

2022 12 05 15 29
2022 12 05 15 29

2022 12 05 15 30
2022 12 05 15 30

2022 12 05 14 43
2022 12 05 14 43

2022 12 05 14 44
2022 12 05 14 44

2022 12 05 14 46
2022 12 05 14 46

2022 12 05 14 54
2022 12 05 14 54

2022 12 05 15 00
2022 12 05 15 00

2022 12 05 15 01
2022 12 05 15 01

2022 12 05 15 01f
2022 12 05 15 01f

2022 12 05 15 25
2022 12 05 15 25

2022 12 05 15 26
2022 12 05 15 26

2022 12 05 15 27
2022 12 05 15 27

2022 12 05 15 28
2022 12 05 15 28

2022 12 05 15 29
2022 12 05 15 29

2022 12 05 15 30
2022 12 05 15 30

2022 12 05 15 32
2022 12 05 15 32

2022 12 05 15 37
2022 12 05 15 37

2022 12 05 15 39
2022 12 05 15 39

2022 12 05 15 40
2022 12 05 15 40

2022 12 05 15 3w9
2022 12 05 15 3w9

2022 12 05 15 38
2022 12 05 15 38

2022 12 05 15 3s7
2022 12 05 15 3s7

2022 12 05 15 34
2022 12 05 15 34

If you look at the date when the questions were asked, it seems that there’s some jackass, just pumping out these questions over a period of every five minutes for the last four days.

Or, perhaps a ‘Bot was set on “auto harass”.

Western social media is a real mess. I don’t even know where to begin.

Lets’ continue on…

I can’t believe this movie has negative reviews. Seen this so many times and I’m never bored, and god did I cry at this scene, not ashamed to admit it.

Most of them, the so called protesters, speak with very strong HK accent.

main qimg bc37afb57d39d2eb04d315a94c2d69e6 pjlq
main qimg bc37afb57d39d2eb04d315a94c2d69e6 pjlq

The US sent CIA agents and Ukrainian Nazis to HK to teach locals how to riot.

It’s just the 1989 once again.

The US has been trying what they had been doing during the cold war, because they believe that’s how they won it.

main qimg 09bcf4cecc373fb145b51c750f7f1f70 pjlq
main qimg 09bcf4cecc373fb145b51c750f7f1f70 pjlq


“It feels like that CIA is just pretenting to work hard in order to spend its budget at the end of year. The localization work is really bad by sending a bunch of HK accent people to fool the locals. It just doesn’t work in such localized city like Chengdu.

It’s time to develop some local rogues. It would solve job positions for some young people. @US embassy in China, thumb up to you.”

2022 12 05 14 30
2022 12 05 14 30


Chengdu Branch:

  1. They yelled “(we) want freedom” in mandarin. Local people yelled back with Sichuan accent saying “(you) want your mothers ass freedom”.
  2. Leader of the protest has very strong HK accent. A aunt said while recording: “why HK again? Can’t they be from somewhere else?”
  3. Locals surrounded the protesters and began to sing Unity Is Power. Protesters couldn’t follow up.
  4. Rioters went to a community and yelled “(we) want lifting lockdown!”, residents in the buildings yelled back saying “lifting your mothers’s ass lockdown! It’s none of your fucking business!”
  5. Rioters yelled “freedom!”. Locals yelled back “viva la commnuist party of China!”
  6. Chengdu netizens speeches: 1) John Smith, “Asking me to cooperate? How? Even my wife won’t give me freedom. Why would I ask for it from the country? You think too much.” 2) Jane Smith, “They fucking write “women lives matter” in Chengdu. Do some background check, in Chengdu it’s “henpecked husband lives matter”.

It’s a show for the westerners.

SOME people in the west would be like “OH! IT’S THE END OF CCP’S EVIL REGIME!”


Just to be clear about 1 thing: We do have many complains against the Communist Party of China, but that doesn’t mean most of us wanting a regime change.

What we want is the CPC to revolute itself, and do better.

"The economy of imaginary wealth is being inevitably replaced by the economy of real and hard assets." - Vladimir Putin

The U.S. Economy Just Took A Very Dark Turn

.

The road ahead certainly does not look promising.  For much of 2022, there has been a lot of debate about whether or not the U.S. economy is in a recession, is headed for a recession, or is about to turn in a positive direction.  Unfortunately, virtually all of the numbers are now telling us that economic conditions are starting to deteriorate quite rapidly as we approach the beginning of 2023, and even rabidly optimistic business leaders such as Jeff Bezos are warning us to prepare for harder times.  So now the framework for the debate over our economic future has shifted.  At this point, there are some that expect a relatively minor recession and then a recovery, and there are those such as myself that expect immense pain in the years ahead.  There are so many warning signs that indicate that the entire system is starting to crack and crumble, but a lot of the “experts” are still hoping that our leaders will find a way to turn things around somehow.

On Wednesday, those of us that closely watch the economic numbers received quite a shock.

The latest figure for the Chicago Purchasing Managers’ Index came in way, way below expectations, and that is really bad news.

If you are not familiar with the Chicago PMI, here is a pretty good definition

The Chicago Purchasing Managers’ Index (PMI) determines the economic health of the manufacturing sector in Chicago region. A reading above 50 indicates expansion of the manufacturing sector; a reading below indicates contraction. The Chicago PMI can be of some help in forecasting the ISM manufacturing PMI.

Economists were expecting the survey to come in at around 47, but instead the final number came in at just 37.2

In a massive downside surprise, the Chicago PMI survey just printed 37.2 (vs 47.0 expectations), plunging to its lowest level since the peak of the COVID lockdowns in 2020. This was below the lowest estimate of 25 economists surveyed.

In the entire history of the survey, the Chicago PMI has only plunged below 40 during times when the U.S. economy has been in a recession.

Other data points are also telling us that the U.S. economy is clearly trending in the wrong direction…

-Consumer confidence has declined for two months in a row.

-U.S. home prices have now fallen for three months in a row.

-Existing home sales have now dropped for nine months in a row.

The housing industry has not been in this much of a mess since the last housing crash.

According to the NAR, home sales were way down all over the nation last month…

“From a year ago, all four regions had double-digit declines in sales in October. The West had the most significant dip at 37.5%, followed by the South, which fell 27.2%. The Midwest decreased by 25.5%, followed by the Northeast, down 23.0%.”

And if the Federal Reserve continues to hike interest rates, things are only going to get worse.

Even now, we are beginning to see layoffs in the industry that once would have been unimaginable.  For example, Reverse Mortgage Funding “laid off 80% of its staff on Tuesday”

One week after deciding to “pause” all of its mortgage originations, Reverse Mortgage Funding LLC (RMF) laid off 80% of its staff on Tuesday.

Various social media posts by former employees maintained that the company, based in Bloomfield, N.J., had closed, but that is not the case, according to someone familiar with Tuesday’s events who spoke on condition of anonymity because they were not authorized to discuss the matter.

Countless others will be laid off in the months ahead.

Of course other industries are starting to feel quite a bit of pain as well.  Earlier today, I was surprised to learn that CNN has decided that a large wave of layoffs has become necessary

Cable news giant CNN will be hit by layoffs Wednesday and Thursday, part of continued cost-cutting by parent company Warner Bros. Discovery, which is trying to integrate the legacy WarnerMedia businesses (like CNN) and the Discovery businesses.

In a memo Wednesday morning, CNN CEO Chris Licht wrote that the channel will inform paid contributors Wednesday as part of a new reporting strategy, with full-time employees being informed of their status on Thursday.

I don’t wish ill on anyone, but the truth is that CNN brought this on themselves.

CNN has lied over and over again in recent years, and those lies have deeply hurt millions upon millions of people.

Elsewhere, the stunning layoffs in the tech industry just continue to accelerate.  On Wednesday, DoorDash announced that it would be eliminating approximately 1,250 corporate jobs

DoorDash on Wednesday said it will lay off about 1,250 corporate employees after growing its team too quickly during the pandemic, making it the latest tech company to cut staff in recent weeks.

The cuts represent about 6% of DoorDash’s staff, according to a company spokesperson.

Just within the past few weeks, we have seen so many prominent tech companies lay off workers.

In fact, CNBC is reporting that over 50,000 tech workers lost their jobs during the month of November alone…

Within weeks, mass layoffs primarily in tech, including at Twitter, Meta, Amazon, Salesforce, HP, Lyft, Doordash and more, have flooded headlines. More than 50,000 workers in tech lost their jobs in November, up from 12,600 in October, according to Layoffs.fyi.

Sadly, most American workers are not in a position to handle a job loss.

As the Republicans in the House of Representatives recently noted, more than 60 percent of the country is currently living paycheck to paycheck…

Over 60% of Americans are living paycheck to paycheck in Joe Biden’s economy.

Nearly 40% of workers are considering a second job to stay afloat.

When you are living paycheck to paycheck, it can be extremely difficult to keep paying the bills once you lose a job.

And in the months ahead, we are going to see many more people suddenly get the axe.

Our leaders have pursued policies that have made the coming economic nightmare inevitable, and now we are all going to pay a very great price for their foolishness.

Hey today's kids, This is what we call a MASTERPIECE !!!!!!!!!!!!!!!!!!!!!!

Both my brother and my brother-in-law died of Covid this year. I spent three months in the hospital on a ventilator and in a coma because of Covid. I recently started a new nursing job and toured the Covid ward last week. It broke my heart. So quite honestly, people who protest China’s zero Covid policies anger me. China was right to have a zero Covid policy, but some cities didn’t handle it as well as they could’ve. That doesn’t mean zero Covid should end. I’d rather see them just improve their ability to implement it.

I’ve made people in the US angry because of how strongly I feel about it. I think it’s utterly irresponsible to leave your home if you have Covid. And don’t bother trying to change my mind in the comments. You literally won’t. Because your opinions on freedom and democracy won’t bring my younger brother back or my brother-in-law. Or the millions of others who died so needlessly.

That being said, people in China have the right to protest according to their constitution. And their government listened. Which is more than I can say for government in my country. In fact, as Khengchat Ng pointed out the other day, the fact that the Chinese people protest at all is proof that they aren’t oppressed automatons with no voice. Clearly they don’t fear their government like the West always makes out that they do.

If they are indeed rioting as seen on social media, then it is an indication that the Chinese are free to voice their views, as opposed to being automatons blindly following the government.—Khengchat Ng

I do, however, believe their legitimate protests were hijacked by non-mainlanders. Reports surfaced instructing people to contact their embassy in the event of an arrest—Chinese citizens wouldn’t need to do that. Chinese citizens also don’t say “CCP” or “CPC”. It’s “the Party” or “the grand Party” in Chinese. Chinese citizens also don’t use traditional Chinese characters, but simplified instead. So while some protesting may have been legitimate, much of what was shown on international news were some puppets shilling for the West. Whether you think I’m a wumao or not, I wish we would learn to stay out of the affairs of sovereign nations.

Is Media Lying About China COVID Protests?

“Is the media lying about…” – Yes

Gloomy December: Manufacturing Orders From China Are Down 40 Percent As Companies Brace For A Brutal Holiday Season

.

We continue to get more evidence that the U.S. economy is really slowing down.  As you will see below, the amount of stuff that we are ordering from manufacturers in China is plunging dramatically.  I have never seen a dip of this magnitude before, and I think that it is a really bad sign for 2023.  Based on all of the economic numbers that have been released in recent weeks, I anticipate that economic conditions in 2023 and beyond will be worse than anything that we have experienced since the Great Recession.  So I would encourage you to enjoy the next few weeks while you still can, because once 2023 arrives we will want to brace ourselves for an extremely harsh economic environment.

Normally, U.S. consumers have an insatiable appetite for cheap plastic goods from China.

But now something has changed.

According to CNBC, manufacturing orders from China have fallen by a whopping 40 percent, and as a result many Chinese factories will be closing much earlier in January than usual…

U.S. manufacturing orders in China are down 40 percent, according to the latest CNBC Supply Chain Heat Map data. As a result of the decrease in orders, Worldwide Logistics tells CNBC it is expecting Chinese factories to shut down two weeks earlier than usual for the Chinese Lunar New Year — Chinese New Year’s Eve falls on Jan. 21 next year. The seven days after the holiday are considered a national holiday.

“Many of the manufacturers will be closed in early January for the holiday, which is much earlier than last year,” Monaghan said.

As with so many other numbers that we have been getting lately, there is no way to possibly spin this to make it look good.

What we are facing is truly “a collapse in demand”, and as a result container freight rates are absolutely plummeting…

Carriers have been executing on an active capacity management strategy by announcing more blank sailings and suspending services to balance supply with demand. “The unrelenting decline in container freight rates from Asia, caused by a collapse in demand, is compelling ocean carriers to blank more sailings than ever before as vessel utilization hits new lows,” said Joe Monaghan, CEO of Worldwide Logistics Group.

The bottom line is that U.S. consumers are simply not buying as much stuff as retailers originally anticipated.

And survey after survey has shown that Americans plan to spend less during the holiday season this year.  Here is just one recent example

Inflation is weighing heavily on the holidays this year.

Roughly half of shoppers will buy fewer things due to higher prices, and more than one-third said they will rely on coupons to cut down on the cost, according to a recent survey of more than 1,000 adults by RetailMeNot.

Of course consumers over in western Europe are suffering right now as well.

In fact, economic conditions are deteriorating even faster over there.

If you can believe it, one recent survey found that approximately two-thirds of all adults in the UK “are worried that they will not be able to afford Christmas dinner”

Two-thirds of adults are worried that they will not be able to afford Christmas dinner, according to a survey.

The survey, commissioned by the Salvation Army, calculated the cost of Christmas dinner at £7.50 per head but – as the price of food is continuing to rise – the cost has increased since the survey was carried out on 22 October.

All over the western world, we are facing an unprecedented cost of living crisis.

Inflation has been rising much faster than our paychecks have, and that is causing a tremendous amount of financial pain.

Meanwhile, a lot of people have seen the value of their investments go down substantially over the past 12 months.

I really feel badly for those that were heavily invested in crypto.  There are many tokens that have “lost more than 70% of their value”, and the collapse of FTX has raised questions “about whether crypto has a future”

Already reeling from the so-called crypto winter, investors were dealt a major blow with the high-profile collapse of Sam Bankman-Fried’s FTX exchange in early November, which sent Bitcoin tumbling. To top it off, BlackRock Chief Executive Larry Fink said this week that he expects most crypto companies will fold after FTX’s demise. A Schwab index tracking crypto-linked stocks is coming off its worst month since June, and is down 63% this year.

“Questions about whether crypto has a future have become prevalent after a year during which many tokens lost more than 70% of their value and the collapse of FTX has exacerbated a crisis of confidence that had started in the spring,” said Mark Palmer, an analyst at BTIG LLC.

At the same time, home values have been falling and falling.

As I have covered in previous articles, U.S. homeowners lost a record high 1.3 trillion dollars in home equity during the third quarter alone.

But at least the latest employment number that the government gave us was good, right?

Actually, it wasn’t so good.  It turns out that the Household and Establishment surveys are telling two completely different stories.  Zero Hedge has posted an absolutely outstanding article that breaks this down in great detail…

Recall that back in AugustSeptember, and October we showed that a stark divergence had opened between the Household and Establishment surveys that comprise the monthly jobs report, and since March the former has been stagnant while the latter has been rising every single month. In addition to that, full-time jobs were plunging while part-time jobs were surging and the number of multiple-jobholders soared.

Fast forward to today when the inconsistencies not only continue to grow, but have become  downright grotesque.

I would encourage you to read the entire article.  Since March, the gap between the Household and Establishment surveys has ballooned to nearly 2.7 million workers, and some are suggesting that this is being done for political purposes…

What is even more perplexing, is that despite the continued rise in nonfarm payrolls, the Household survey continues to telegraph growing weakness, and as of Nov 30, the gap that opened in March has since grown to a whopping 2.7 million “workers” which may or may not exist anywhere besides the spreadsheet model of some BLS (or is that BLM) political activist.

Of course the truth is that the employment market is not in good shape.

According to Challenger, Gray & Christmas, the number of layoffs in November 2022 was 417 percent higher than it was in November 2021.

A tsunami of layoffs has begun, and I expect to see a whole lot more in the months ahead.

So it is quite likely that this will be a really gloomy month, but I expect that 2023 will be even gloomier.

Elon Drops BOMBSHELL about secret government Twitter partnership program

I am amazed how few people know about the Twitter Files. I was looking forward to conversing with people about them and was surprised how many I had to educate about what is going on before being able to celebrate their release.

I adopted Sheneneh from a house full of college students that had taken in the cats from the next-door-neighbor-cat-lady retired to Greece. She took every cat that could be herded into carriers home with her. (she had like 70 cats).

Sheneneh’s mama had run and eluded capture. She gave birth next door in the college kids’ backyard. They took her in. They took in her, her litter and a son from the previous litter who stayed with his mama and tended to the litter he just adored.

Every cat was calico except one.

Sheneneh stuck out like a sore thumb being a black tuxie. Of all the cats Sheneneh came right over to my chair and immediately did her little squirm around on the floor dance at my feet. It’s her expression of uncontainable joy – a feeling she gave me every day for 19 years.❤

main qimg 26f23e632526e558324a0e2b08b7696e lq
main qimg 26f23e632526e558324a0e2b08b7696e lq

Who Is Killing The Crypto Millionaires?

.

Are some of the cryptocurrency industry’s most important pioneers being targeted by someone?  We just learned that a 53-year-old cryptocurrency billionaire named Vyacheslav Taran has died, and he is the third big name to suddenly meet his maker in recent weeks.  So is this just one giant coincidence, or is there some common denominator that links all three of them?  There is so much that we don’t know right now, but it is interesting to note that all three of these deaths have happened at a time when the cryptocurrency community is going through an unprecedented amount of turmoil.  The collapse of FTX is threatening the legitimacy of the entire industry, and many that were once crypto millionaires on paper have had their fortunes completely wiped out.

Vyacheslav Taran died when the helicopter that he was riding in suddenly slammed into a hillside.  He was the co-founder of a trading platform known as Libertex, and his involvement in the cryptocurrency industry had made him a very wealthy man.

Unfortunately for Taran, he won’t be able to spend any more of that wealth because his life is now over

A Russian billionaire has become the third top cryptocurrency trader to die suddenly in recent weeks.

Vyacheslav Taran, 53, the co-founder of trading and investing platform Libertex, died after his helicopter mysteriously crashed in a resort town near Monaco.

The vehicle plummeted on November 25 afternoon, killing Mr Taran, who had lived in Monaco for a decade, as well as a veteran pilot.

As we have seen so many times over the years, riding in helicopters can be extremely dangerous.

And it is interesting to note that “another passenger allegedly cancelled last minute”

The finance titan was flying with an experienced pilot, 35, from the city on the shores of Lake Geneva after another passenger allegedly cancelled last minute.

The single-engine light helicopter Eurocopter EC130 operated by Monacair collided with a hillside near Eze village at around 2pm, Monaco Life reported

Hopefully we will find out the identity of the “other passenger” that decided not to go at the last minute .

That may give us a clue about what really happened.

In the end, this may have just been a tragic accident, or Taran may have been targeted for a reason that does not involve cryptocurrency.

A lot of prominent Russians have been dying lately, and so this could just be another instance where wealthy Russians are being targeted.

We just don’t know.

But what we do know is that another co-founder of a prominent cryptocurrency company was suddenly found dead last week.

It is being reported that 30-year-old Tiantian Kullander died unexpectedly while he was sleeping

Tiantian Kullander, co-founder of Hong Kong-based digital asset company Amber Group, died unexpectedly last week in his sleep. He was 30 years old.

The company confirmed the news in a statement, saying that Tiantian, also known as “TT,” had “passed away unexpectedly in his sleep on November 23, 2022.”

Once again, it is certainly possible that this death could have absolutely nothing to do with the cryptocurrency industry.

Throughout 2022, lots of seemingly healthy young people have been dropping dead, and Kullander may just be another to add to the list.

But just like Taran, Kullander was one of the cryptocurrency industry’s most important pioneers

“Besides co-founding Amber and building it into a multi-billion fintech unicorn, TT sat on the Board of Fnatic (one of the world’s most successful e-sports organizations) and founded KeeperDAO (the first on-chain liquidity underwriter) before giving it back to its community,” the company’s statement continued. “TT was a devoted husband, a loving father and a fierce friend. His passing is a tragedy and our thoughts and prayers are with his family. He is survived by his wife and their beloved son. We kindly request that you respect their privacy during this difficult time.”

It is often said that two is a coincidence, but three is a trend.

Well, there is one more mysterious cryptocurrency industry death that I would like to discuss in this article.

On October 28th, 29-year-old Nikolai Mushegian was found dead on a Puerto Rico beach.

In this particular case, Mushegian actually predicted ahead of time that he would soon be killed

A brilliant young cryptocurrency pioneer named Nikolai Mushegian tweeted on Oct. 28 that intelligence agencies were going to murder him — and was found dead on a Puerto Rico beach hours later.

“CIA and Mossad and pedo elite are running some kind of sex trafficking entrapment blackmail ring out of Puerto Rico and Caribbean islands,” Mushegian, a developer of blockchain-based decentralized finance platforms who wanted to end global banking corruption, tweeted at 4:57 a.m. “They are going to frame me with a laptop planted by my ex [girlfriend] who was a spy. They will torture me to death.”

Of course we can’t actually prove that shadowy forces killed him.

All we know is that just hours after his ominous tweet his body was found in the waves on Ashford Beach.  Interestingly, he still “had his wallet on him”

The 29-year-old then left his $6 million beach house in the luxe Condado area of San Juan, Puerto Rico, for a walk. A little after 9 a.m., a surfer off Ashford Beach, a spot considered so rife with riptides that local hotels warn against ocean swimming, discovered Mushegian’s body in the waves. He was wearing his clothes and had his wallet on him, sources told The Post.

If some criminals jumped him on the beach, they would have certainly taken his wallet.

But that doesn’t necessarily mean that he was murdered.

He could have simply drowned after going for a swim, and there is also the possibility that he could have killed himself.

According to those that knew him, he had very serious mental health issues that he was dealing with, and it appears that he was also a heavy drug user

A person who knew Mushegian very well for years until they had a falling-out two years ago said that the developer was “very very smart” but also suffered from extreme bouts of paranoia.

“He had mental problems,” said the source, who spoke on condition of anonymity. “He saw a psychiatrist at times. He smoked a lot of pot. A tremendous amount.”

Ultimately, we may never know if all three of these deaths are connected somehow.

But I find it very interesting that all three of these men were key pioneers in the industry.

And now they are all gone.

Life is so short, and for some it ends far sooner than they were anticipating.

I had tears in my eyes watching this. What a fantastic performance. R.I.P Irene Cara.

Relic Hunting – Discover a Piece of History

By Candy L Lindsey

Besides coin shooting, gold detecting, or the many other things you can do with your metal detector, there is a large group of people who take their metal detector out looking for old stuff. Not just any old stuff, but memorabilia from the past. This is called relic hunting.

If you’re thinking about doing some relic hunting, be careful. Relic Hunting can become addictive. Finding items from long ago, like a button from a confederate soldier’s jacket or a belt buckle from the Union army’s General is so rewarding. There is such a thrill that you feel when finding these items. It can be absolutely mind-blowing.

If you’re a romantic like me you imagine images of the men and women who fought on this land; the horses and oxen and cattle that came along with the settlers. The farmers that tilled the ground and the merchants that sold the goods. It takes you back to a historical time. It brings back long-forgotten relics from a time of conflict or a time of hope. Recalling a time when settlers struggled to make a life on the new frontier. It’s not just an old button or hat pin, or a piece of metal used or worn; sewn or hammered by our ancestors and the people who settled and built our country. It’s a piece of history, and you found it.

In every country in this beautiful world, items have been left behind, lost or forgotten only to be found again and remembered. To me, it’s finding a bit of history and holding it in your hand. I dream up stories of where a particular button came from. What really happened is probably not as dramatic nor as romantic as I can conjure up, but, it’s a fun thing to do.

Relic hunters are enthusiasts who hunt for artifacts and memorabilia from past events, primarily battles and wars through the years. They spend a lot of time researching and finding out the history of a given area. Then they go hunting.

The great thing about relic hunting is there is a vast amount of areas you can go hunting. Old road or paths. Many paths in wooded areas have been there for centuries. Take the long way home and discover Rural America. Old home foundations, old schoolhouses, abandoned stores are great places to take your detector. Look for indentations where there may have been an old cellar. Old cellars can be a wealth of artifacts. Look along river banks or dried-up creek beds. Long ago people followed the river to get from one place to another. Ever notice how many small towns are along the rivers of the United States? The river was the source of travel and commerce. The rivers were the lifeline of the people.

Old railroad tracks can be a great source of artifacts. Look around your area. I’m sure you can come up with a wealth of ideas on where to go. Look on the internet or go to your local library. There is information that can help you find where to go.

As always, don’t forget to get permission from the landowner and leave any area as you found it. Don’t dig up holes and leave them gaping. Always fill your digs in when you’re done. Be careful, be courteous and have fun!

@Candy Lindsey, Ezine, added October 2012, updated June 2021.

The trading in a basket of currencies or creating a new trade dollars apart from the US dollar is also a response to the draconian sanctions to so many nations. So many people have died in countries that have been sanctioned by the USA so it makes sense to get out of being obliged to use USD for trade to other countries other than the hegemon.

20 Unspoken Rules For Men:

1. Never shake a hand sitting down.

2. Protect who is behind you, and respect who is beside you.

3. Never insult the cooking when you are the guest.

4. Never eat the last piece of something you didn’t buy.

5. Never make the first offer in a negotiation.

6. Don’t take credit for work you didn’t do.

7. Take blame, and give credit when due.

8. If you are not invited, don’t ask to go.

9. Always aim for the head.

10. Don’t beg for a relationship.

11. Dress well no matter what the occasion.

12. Always carry cash.

13. Listen, nod, and most of all make eye contact.

14. Show restraint in expressing anger, no matter what. Being angry is a waste of energy.

15. Weather is dinner, drinks, or both, avoid placing your phone on the dinner table.

16. Never pose with alcohol.

17. Proper grammar will get you far in life. Leave the foul language for the less educated.

18. Ask more than you answer.

19. You can tell a great deal about a person by their handshake, so make your strong and firm.

20. Speak honestly. Say what you mean and mean what you say.

Kick-Ass and Hit-Girl save the day

We can watch a little girl get shot at and nearly blown up by a SMAW. We can watch a guy on a jet pack with miniguns shred three men. We can watch a grown man kick the shit out of a little girl. But God FORBID we hear some swearing.

https://youtu.be/PMKRlWf4JJg

Panera’s Cream Cheese Potato Soup

“This is my favorite recipe for potato soup. It’s a copycat of the one they use to serve at the St. Louis Bread Company (Panera). The recipe originally called for shredding your potatoes, but I never could get them to cook that way. I know they should cook faster, but I never could get it to work.”

2022 12 05 17 11
2022 12 05 17 11

Ingredients

Directions

  • Combine broth, potatoes, and spices.
  • Boil on medium heat until potatoes are tender.
  • Smash a few of the potatoes to release their starch for thickening.
  • Reduce to low heat.
  • Add cream cheese.
  • Heat, stirring frequently, until cheese melts.

About the Spam questions…

I complained about them, and reported every single one that I took a screen capture of here. For the most part, they are now off my feed…

Entering into December and the world spins …

I’ve been busy all day, and am just trying to squeeze this post in. Hope you all enjoy it.

Interestingly, the policy that people claimed they wanted to fight for in this “protest” was actually released a month ago.

And before the “protest”, this policy was already being implemented.

You can claim a victory for those anti China spies. Before the cowboy shot, the enemy was injured and fell to the ground. Good marksmanship!

Syrniki (Russia)

If regular old pancakes just aren’t cutting it for you anymore, then it may be time to ask Mother Russia for her go-to syrniki recipe. Derived from the Slavic word syr, meaning “soft curd cheese,” syrniki are hand-sized girdle cakes stuffed with a type of soft, mild farmer’s cheese known as quark. The batter is made from eggs, flour, and sugar, sometimes mixed with vanilla extract before both sides are browned evenly on a griddle, maintaining a slightly creamy consistency.

Raisins, chopped dried apricot, apples, and pears can be added to the batter, while more savory versions call for adding onions or sour cream. When plated, it’s not uncommon to find syrniki paired with a side of fresh berries, honey, or jam. One taste of this Eastern European favorite, and you’ll have a new whole word for pancakes: syrniki!

MG 7544 3
MG 7544 3

Syrniki are sweet, cheesy Russian pancakes that are soft on the inside and slightly crisp on the outside. They’re especially delicious when topped with homemade blueberry syrup and sour cream, but also good with your favorite maple syrup, butter, or whipped cream. They’re easy to make but feel like a breakfast treat, and are a great way to mix up your classic pancakes.

The pancakes are made with farmer’s cheese, which is the closest thing we have in the U.S. to a Russian cheese called tvorog. It’s similar to ricotta cheese but has less water content, making it is drier. You can usually find farmer’s cheese in the deli section or where the ricotta and sour cream are stocked. You can also try your hand at making your own farmer’s cheese if you’re feeling ambitious.

Ingredients

  • 16 ounces farmer’s cheese
  • 3 eggs
  • 1/2 teaspoon vanilla extract
  • 3/4 cup all-purpose flour, divided
  • 3 tablespoons sugar
  • 1/2 teaspoon salt
  • 1/2 teaspoon baking soda
  • 1/4 cup vegetable oil, for frying

2022 12 04 20 25
2022 12 04 20 25

2022 12 04 20 25e
2022 12 04 20 25e

2022 12 04 20 26d
2022 12 04 20 26d

2022 12 04 20 26
2022 12 04 20 26

2022 12 04 20 26dd
2022 12 04 20 26dd

2022 12 04 20 26ddd
2022 12 04 20 26ddd

2022 12 04 20 2t7
2022 12 04 20 2t7

2022 12 04 20 27
2022 12 04 20 27

As a Chinese Citizen

2022 12 04 20 55
2022 12 04 20 55

2022 12 04 20 55e
2022 12 04 20 55e

Treasure to Be Found Around Old Home Sites

By Frank Pandozzi

Oh yes, the idea of searching for and finding a buried treasure has been thought about and dreamed by both young and old. Some have followed their hearts and have gone on treasure hunts that have resulted in locating buried treasures both beneath the ground and under the water. Others have located caches of all sizes inside homes and barns. Treasures are out there, just waiting to be found, and some of them may be closer to you than you think. Older homes that date back one hundred years or more have a very good chance of holding a treasure; and these old homes are in cities and towns across America.

Many people did not trust banks. Also, many of our first settlers were very independent individuals, they wanted total control of their lives, and their possessions, including their money and valuables. It was common for those individuals to bury their valuables for safekeeping.

This practice of hiding their possessions was a constant as this country moved into the nineteenth and twentieth century. The stock market crash of the 1920’s only bolstered the lack of confidence people had with financial institutions, and to this day, people are still hiding their money. And the safest place to hide their money and possessions was in and around their home.

However, oftentimes the person doing the hiding would not tell the family. Husbands and wives many times never told their spouse that they buried a cache beneath the old oak tree. Therefore, when the spouse who did the burying dies, the other has no idea of the stash. And when both spouses are gone, or the family, not knowing of a hidden cache on the property moves away, the house with the treasure becomes the property of a new owner. There is buried treasure in old homes across the U.S.

Whether you live in an older home that you purchased from someone else, or if you want to search for a treasure on the property of an old home, here are three places that have proved to be popular hiding places around old home sites.

Beneath The Old Oak Tree

The old oak tree, or any large tree for that matter, has been a popular hiding place for buried treasure. Perhaps the reasons why are shade and a marking.

Burying a treasure large or small requires work. It’s easier to dig a hole while doing so beneath the shade of a large tree. Also, many people used trees as a marker for their cache. You may not think a marker would be needed. After all, what person would forget where they buried their valuables. However, markers for treasures were also used at times to lead a family member to a buried treasure upon a death. So if you live in an old home, and there is an old tree on the property, especially behind the home, it’s a good place to begin your search.

Near The Well

Another popular area where treasures have been located is near the well. The well was used often and it was a perfect place to bury a treasure. Most wells were also located behind the homes, so it would be secretive and easy to hide valuables time and time again.

The Outhouse

I love digging in old outhouses. Yes, I’ve been called crazy for climbing into these old cesspools. However, they hold a wealth of valuables from old pottery, bottles, buttons, coins, and yes even treasures.

There have been treasures found inside the outhouse, and beneath the wooden thrones. One individual located an old metal container fastened beneath the throne, held there by a few nails and a metal strip. Inside the container was hundreds of silver dollars dating from the mid-1800s.

Think about all of the old abandoned homes you drive by on a weekly basis. Then think about how many of those old homes have a treasure lurking on its property. All you need to do is ask for permission from the owner to search the property. Of course, you will tell them that any buried treasure you may find, will be shared with them.

A metal detector is a useful tool for locating buried treasure. You don’t have to spend a lot of money for one. A good detector costs between three hundred and four hundred dollars. It could end up paying for itself.

© Frank W. Pandozzi, June 2010, updated July 2020.

I had my first opportunity to ride the high-speed trains last week when I had a business meeting in Zhengzhou.

main qimg 18c4c2dd5bce82260640f720cc270be0 lq
main qimg 18c4c2dd5bce82260640f720cc270be0 lq

As someone who grew up with a disdain for public transportation (not sure why the US hates it so much), I was dreading the experience. I pushed hard to fly, but was told that it would be much better if I took the train.

The train was amazing. I had traveled on an Amtrak train before in the US, and hated how slow it was and how many stops there were. Chinese high-speed trains are on a different level.

First, when I say they are high-speed, I mean they are high-speed.

main qimg dcd33710acce0e980c92bfcf834049a6 lq
main qimg dcd33710acce0e980c92bfcf834049a6 lq

This was taken on my ride back to Beijing.

The trains are clean and the seats are huge. There are ample power outlets and you can’t even feel how fast the train is moving. If there were no windows, I wouldn’t be able to tell when we were stopped or when we were traveling at 300 km/h—it is that smooth.

My train wasn’t full, so on the ride back, I had an entire row to myself. You can use your cellphone on the train (looking at you Chinese flights—you need to learn from the trains) and you generally have full service.

The trains are very advanced and the stations are new. The terminal in Zhengzhou looked like you could fit a million people in it even though it isn’t a Tier-1 city. It had shops and a food court and the trains would silently pull in and out of the station perfectly on schedule.

main qimg cb97fbf77bb95bfcfaf39e83fb32c342 lq
main qimg cb97fbf77bb95bfcfaf39e83fb32c342 lq

I’m traveling again this week by train and I’m excited.

The high-speed trains are incredible.

Are the current protests going on all throughout China a sign that people are tired of Xi and the CCP?

Actually from my resources in China, the operation Sunday Night was a total disaster, not even wrong.

The first thing is when they were asking how much got paid to walk on the street, they found the walkers in Beijing got paid for 1000, while Shanghai pays 500 and Chendu got 300, but Canton walkers only get 200 each; and very soon everyone was mocking on Canton people for getting only 200.

main qimg 2788db7c9b0bac10a64df710b083c651 lq
main qimg 2788db7c9b0bac10a64df710b083c651 lq

And the walk in Beijing soon turns to a completely opposite way, that people were calling things like “we want nucleic test” and “we want healthy QR code”. And very soon the scene became an anti-US walk and people started blaming the States for releasing COVID-19, and demanding a pay from the US. People even started supporting Irish and Texas free and BLM and I don’t know why but there were people calling for free Pikachu; and some how people started taking pictures with dogs, this is the most hilarious situation I have ever seen.

main qimg 027e6f499be9770555062dad89180887 lq
main qimg 027e6f499be9770555062dad89180887 lq

main qimg 40315ae9d110ef6b1e06060867aa892a lq
main qimg 40315ae9d110ef6b1e06060867aa892a lq

main qimg 4670b4018dcfcf06dc0d43d04aee75d5 lq
main qimg 4670b4018dcfcf06dc0d43d04aee75d5 lq

main qimg 6c74c6eb84467a327e3fc38472ce610e lq
main qimg 6c74c6eb84467a327e3fc38472ce610e lq

main qimg d54556e5c86c9935f2f10f39bcca62e5 lq
main qimg d54556e5c86c9935f2f10f39bcca62e5 lq

main qimg eb4ba5979f4108d934c74df0fbbb91f2 lq
main qimg eb4ba5979f4108d934c74df0fbbb91f2 lq

main qimg b2c0c8685f3cc192633c0d74c4c1104d lq
main qimg b2c0c8685f3cc192633c0d74c4c1104d lq

main qimg a4bdb176c20db02542a0cfabb4923b58 lq
main qimg a4bdb176c20db02542a0cfabb4923b58 lq

I got to say that our agents are probably retarded, and the things we paid for, are just jokes.

One of the best clear minded moments I had in my life is when I decided to believe that powerful people in the world do bad things on purpose. It made the world make sense. When I just accepted that there are people who thrive on causing suffering and controlling people and making people bleed and manipulating people, and that those people naturally seek positions of power, then everything made sense to me. If you don't accept this, then you will never understand how the world works. We are not simply fighting against bad policy or bad planning or poor management. We are fighting against an age old REAL PURE EVIL that actually gets sexually aroused by pain and suffering and anguish.

A while back, I bought a piece of land in Southern Mexico and built a winter holiday home. Our architect suggested a cupola above the main stairway and proposed a talented 20 year old builder who had the skills.

Watching him build this single skin of bricks all by hand and with no scaffolding or supports was amazing. Each row of bricks supported the next layer until he finally closed the dome. He did not use any special tools, diagrams, instructions or plans other than the simple sketch I gave him. His only tools were a small trowel, bricks and mortar. All the calculations were done in his head and the progress checked by eye. Watching him work was inspiring.

The Mexicans learnt this skill from the Spanish who in turn got it from the Moors that had invaded Spain.

The cupola under construction, this one guy did all the work.

main qimg 5fbf797bf31901f521c03243b2cd3af7 lq
main qimg 5fbf797bf31901f521c03243b2cd3af7 lq

View from the inside, a smooth skin of bricks. It is a work of art!

main qimg 350b50cfbd816f649a216c72504b6176 lq
main qimg 350b50cfbd816f649a216c72504b6176 lq

The finished cupola. Completely built by hand.

main qimg a0843b8e30be185b73b74b0f881ff3d9 lq
main qimg a0843b8e30be185b73b74b0f881ff3d9 lq

I have never met anyone else who has the skills to do this, especially at 20 years old! I remember thinking, I could take all the engineers and phd graduates I have met in my long career and be lucky to find one that could single handedly, design and build this perfectly correct, self supporting, layered dome and not have it collapse.

Can you not deduce an intelligent person by looking at the result of their work? Passing tests and getting a certificate without ever doing anything useful with it has limited value in my book.

Later the same young guy built our pool that has a complex design. I was so impressed, I use it for my lock screen.

main qimg 1d6334a99a07b4ec6a305a637bb85fc2 lq
main qimg 1d6334a99a07b4ec6a305a637bb85fc2 lq

I wish more trades like this were still taught today; it’s a dying art.

Outlaw William Coe & His Missing Loot

Known as “Captain” Bill Coe,  he worked as a carpenter and stonemason before he turned to a life of outlawry. Born Cyrus Coe to a Pennsylvania Baptist preacher in 1842, he was a former Union Army First Lieutenant, thought to have arrived in the Oklahoma Panhandle about 1864, settling in an area that, at the time, was referred to as “No Man’s Land.” The strip of land, measuring some 35 miles wide by 168 miles long, was not included in any state and therefore left without any law and order. For years, it was a haven for outlaws, for which William Coe took advantage.

Located strategically on a long high ridge jutting southwest from a large mesa near the town of  Kenton, Oklahoma, Coe built a “fortress” to protect himself and his gang of some 30 to 50 members, who primarily rustled cattle, horses, sheep, and mules.

His headquarters, made of rock walls some three feet thick, sported portholes for protection rather than windows, as well as a fully stocked bar, living quarters for his men, and a number of “soiled doves” for their entertainment. His fortress became known as “Robber’s Roost.”

For several years, these men earned their livelihood raiding ranches and military installations from Fort UnionNew Mexico to the south, Taos, New Mexico to the west, and as far north as Denver, Colorado. They also preyed on freight caravans traveling along the Santa Fe Trail, as well as area ranches. Hiding the stock in a canyon some five miles northwest of their hide-out, the rustlers built a fully equipped blacksmith shop, which contained all the tools necessary to maintain the herds, as well as changing the brands. When all hints of the previous owners were removed, the desperado cowboys then moved the herds into Missouri or Kansas to sell.

Though they had been getting away with their lawlessness for several years, the gang made a major mistake when they raided a large sheep ranch in Las Vegas, New Mexico in 1867, killing two men before making off with the herd to Pueblo, Colorado. Though wanted before, these murders put Coe and his men on the “wanted list” like never before, and soon, the U.S. Army from Fort Lyon, Colorado were pursuing them.

The army attacked the Robber’s Roost fortress with a cannon, crumbling the walls and killing and wounding several of the outlaws. Though Coe and others were able to escape, several outlaws that weren’t killed in the battle were hanged on the spot, while others were arrested and taken back to Colorado.

Coe maintained his lawless ways and his freedom for about a year, hiding out in a small (now defunct) settlement of Madison, New Mexico, near Folsom. However, while he was sleeping in a woman’s bunkhouse, her 14-year-old son rode from the ranch and contacted area soldiers, who soon returned and arrested Coe. The fugitive was then taken to Pueblo, Colorado to await trial and along the way, allegedly said, “I never figured to be outgeneraled by a woman, a pony, and a boy.”

However, before he could come to trial, vigilantes took matters into their own hands, and on the evening of July 20, 1868, forcibly removed him from the jail. Loading him into a wagon, they moved him to a cottonwood tree on the bank of Fountain Creek and lynched him while he was still handcuffed and shackled. The next day, his body was discovered and buried under the tree that he was hanged from. Years later, when a new road was being built in the vicinity of Fourth Street in Pueblo, workers found the skeletal remains of what is believed to have been Coe’s.

Author Carl Robert Coe wrote of his research into the gang;

“Members of the Coe Gang were brought to trial, April 27, 1868, in Pueblo. In the hearings before Judge Moses Hallett, gang member Laura Young was the principal witness against her former comrades. Edward J. Hubbard, a counsel for the defense, and who was to have served as Coe’s personal attorney, claimed conspiracy in Captain Coe’s hanging. He claimed that the army had conspired with local vigilantes to dispatch Coe. To prove his point, during the trial he stated that his fee for defending Coe was to have been the captain’s gold watch. It was seen the day after Coe’s death dangling from the waist of an army surgeon.

The Coe Gang trial lasted for six days. Besides Hubbard, Judge John W. Henry of Pueblo also served on the defense team. Prosecuting on behalf of the United States were U.S. Attorney G.W. Chamberlain of Denver and District Attorney Wilbur Fiske Stone.

Accused Coe lieutenant “Tex” was released for lack of evidence, as were several others. Six gang members, among whom was Charles W. Howard, who kept a stage station on the Platte River route, were found guilty and taken to Denver on May 13, 1868, by a deputy U.S. marshal named Haskell and Capt. Matthew Berry of Fort Lyon.”

Without his leadership, the rest of his gang headed for parts unknown and are lost in history.

But, that is not the end of the tale. After his death, rumors began to abound that much of his illegally earned riches are still hidden in the area of his old hide-out near Kenton, Oklahoma. One report alleges that he told his executors that he had buried enough gold to make them all rich. Whether he made the statement or not, it obviously wasn’t persuasive enough to convince his captors.

However, another later report tells of an Indian who had ridden with Coe and his gang, claiming on his deathbed that the outlaws had once stumbled across a rich pack train that had been attacked by Indians. In addition to all of the debris left scattered in the attack, the outlaws also found some $750,000 of gold and Spanish coins, which they allegedly buried in a place called Flag Springs Arroyo.

Coe’s hideout, though located in Oklahoma, was also just miles from New Mexico and Colorado, so what state Flag Springs is in, is unknown.

Though it would make sense that if there was a significant stash at this unknown place, one of the other outlaws would have returned for it, still the legend of lost treasure persists.

To this day none of Coe’s gold has been reportedly found and most searches center on the areas of Robber’s Roost, Black Mesa, Carrizozo Creek Valley, and Blacksmith Canyon. However, this is a large and rugged area, so if the legend is true, it could be hidden for eternity. Also, it should be noted that Robber’s Roost is on private property. Only the foundation of the rock fortress that once overlooked the Cimarron and Carrizo Valleys remain. After it was bombarded by the cavalry, most of the stones were carried away to make farm buildings.

© Kathy Weiser/Legends of America, updated September 2021.

I just watched this with my young daughter. So nice, calm and soothing. I wanted to post the Whole Film, but not available on YOu-tube. I have a torrent in English, and that’s what we watched. Now, for me, this is the best part of The movie.
That music, That Animation, Those smiles, gives me such good Feels.

https://youtu.be/oETPccnOnDA

Jimbob

main qimg a072c06726bf09f229a60f088481a9cf lq
main qimg a072c06726bf09f229a60f088481a9cf lq

This is our Jimbob.

He was taken as a rescue.

We were told he was feral but instead of roaming down our fields and disappearing to live off the land, he hung around to the point where I would leave the back hall window open for him (this is where the laundry gets done and there’s also a toilet in there too) after a while I noticed someone was pooing in the toilet but not flushing and leaving an awful mess.

After many accusations and arguments with my husband and sons, I eventually found the culprit. Jimbob was much tooo sophisticated to use a litter tray. He craps in the toilet. 😁

I feel sad sometimes because it’s very obvious he came from a loved home, I can’t get my head round how someone could think he was feral.

I hope he is happy with us and feels loved but I feel bad that someone may have lost such a special little friend or is somewhere wondering where he is, how he’s doing or if he is even alive.

I hope he knows how loved he is.

Xiao Long Bao (Soup Dumplings)

One of my favorite foods of all time in China. You haven’t LIVED until you had these.

More United States idiocy and some great food

It’s kind of pathetically funny how the United States is today. But you know, even an idiot can fire a shotgun. We all have to laugh, not get too caught up, and move on with our lives. Just take care. Be careful

Today’s installment.

Veal Osso Buco (Yummy)

“This is a very tender Italian veal dish with a flavorful tomato and herb sauce. It takes a while to prepare, but does not require much attention while cooking. Original recipe makes 6 to 8 servings, but I scaled it down to make 2 servings. It is DELICIOUS!!!”

.

2022 12 02 21 09
2022 12 02 21 09

Ingredients

Directions

  • In a shallow dish, stir together flour, salt, and black pepper.
  • Dredge meat in seasoned flour.
  • In a large skillet, melt butter with oil over medium heat.
  • Brown meat in skillet.
  • Remove meat from pan, and set aside.
  • Add onion, carrots, celery, and garlic to drippings in pan.
  • Cook and stir for about 5 minutes.
  • Stir in tomato sauce, water, basil, thyme, parsley, and bay leaf.
  • Return meat to pan.
  • Bring to a boil, and reduce heat to simmer.
  • Cover, and cook for 2 1/2 hours.
  • Serve the delicious sauce over meat, a bed of rice, or mashed potatoes.

2022 12 02 21 10
2022 12 02 21 10

China and nuclear weapons

Beijing accelerated its nuclear weapons program last year and has nearly doubled its supply of warheads since 2020 to past 400, a size that US military planners did not expect to see until about 2030, a new report from the US Department of Defense says, according to an American mainstream media report.

main qimg a4810be819f43c9f0023309f6cbb7154 lq
main qimg a4810be819f43c9f0023309f6cbb7154 lq

The report noted that although China already has the world’s largest navy and is the “top ship-producing nation in the world by tonnage”, it is continuing to expand its capacity to produce “submarines, warships, and auxiliary and amphibious ships”, as well as increasing its ability to produce aircraft.

“China’s decades-long efforts to improve domestic aircraft engine production are starting to produce results,” it said.

“China’s first domestically produced high-bypass turbofan, the WS-20, has also entered flight-testing on the Y-20 heavy transport and probably will replace imported Russian engines by the end of 2022,” the report added.

2022 12 03 16 15
2022 12 03 16 15

Protestors are speaking for themselves, totally right.

Because they’re speaking for money. And not much.

The CIA paid them $250–300 for each, but because the spies are too corrupt, they embezzle 90% of their money, finally, when these bottom spies went to participate in the “protest”, they shouted all night, held up white paper, risked being caught by the police, and finally got $27 (Guangzhou) or $12 (Chengdu).

But they can’t convince anyone, and the Chinese are enjoying this entertainment.

It’s already a joke on Chinese network now.

So this is the color revolution. Interesting. It seems that the same is true in Ukraine.

China

China doesn’t support or condemn Homosexuality.

In fact China has approximately 25 Million to 50 Million Gay People on record.

China legalized Homosexuality in 1997 , 17 years before India decriminalized it.

China of course doesn’t punish homosexuality but affords no extra rights to gay persons whatsoever.

China is very fair

China says You have the right to be Gay. That is your freedom. However people have the same right to not allow you to lease their flats or in general discriminate against you.

China also doesn’t flaunt its homosexuality.

Not many Parades in China.

However China has no issues with LGBT as long as you don’t flaunt it and understand that people may discriminate against you and that is their rightright

Russia

Russia has always been anti gay

It is the basic Christian philosophy where Homosexuality is deemed wrong and unnatural

Europe entirely condemned homosexuality at a time when the East (Japan, China, even India) regarded it as perfectly normal.

The ‘Abrahamic Religions’ as Rami Sivan and Ambika Vijay say – introduced this “Morality Clause” about Homosexuality based on the Christian and Islamic teaching.

So Russia still believes what they once followed , while the West has changed primarily

You could say Russia still lives in the 1800s as far as Homosexuality is concerned.

Details Emerge about China’s July “Fractional Orbit” Nuke Strike Weapon TEST

New information emerging about the nuclear-capable hypersonic weapon test conducted by China in July 2021, says the missile traveled much longer in distance and time than any Chinese land attack weapons system to date coming “close enough” to its target.

“China conducted the first fractional orbital launch of an ICBM with a hypersonic glide vehicle from China on July 27th, 2021. This demonstrated the greatest distance flown (~40,000 kilometers) and longest flight time (~100+minutes) of any PRC land attack weapons system to date,” the Pentagon report on China Military Power Report, released on Tuesday said.

Although the Pentagon report does not provide many details about China’s hypersonic missile capabilities, a report by The War Zone says that information contained in the report conforms with statements made by top U.S. military officials.

Now-retired Air Force General John Hyten reportedly then told CBS News that China “launched a long-range missile,” that “went around the world, dropped off a hypersonic glide vehicle that glided all the way back to China, that impacted a target in China.” Asked if the missile hit the target, Hyten reportedly said, “Close enough.”

Hyten also termed Chinese military modernization as “stunning” and reportedly warned that Beijing would one day have the capability to launch a surprise nuclear attack on the U.S.

“Why are they building all of this capability?” Hyten was quoted by CNN as saying. “They look like a first-use weapon. That’s what those weapons look like to me.”

While the Chinese hypersonic missile test is compared to the U.S. Air Force’s own secretive X-37B uncrewed orbital vehicle more than a hypersonic missile like Russia’s air-launched Kinzhal missile, military experts had said that it was unlikely to trigger an arms race between the two powers.

In recent years, China has continued to accelerate the modernization and expansion of its military forces apart from developing nuclear, space and cyberspace capabilities. Beijing’s focus has also been on enhancing its deterrent capabilities and at the same time pushing forward with an ambitious expansionist narrative in the South China Sea region.

As far as Shanghai is concerned, it was originally a memorial activity. On November 26, many young people mourned 10 compatriots who died in the fire in Urumqi on Urumqi Road

Why do they mourn 10 compatriots who died thousands of kilometers away? On November 15, 2010, a particularly serious fire broke out in the Jiaozhou Road apartment building in Shanghai, killing 58 people. Shanghai people always think that this is a stain of Shanghai’s public management, so young people in Shanghai will spontaneously go to the place where the Jiaozhou Road fire happened on November 15 every year to offer flowers and mourn. So also in November, after a serious fire broke out in Urumqi, young people in Shanghai spontaneously went to Urumqi Road to mourn the dead.

At the same time of mourning, people complained about the inconvenience caused by the closure, because it was said at that time that the closure of this community in Urumqi was the reason why so many people died. However, the subsequent investigation and the social media statements of the owners of the community showed that the community was open, and there were various reasons for the occurrence and process of the fire, but it had nothing to do with the containment. But people at that time did not know these things, so they began to shout slogans against blocking and nucleic acid testing.

Perhaps this gathering gave those lurking people a chance, so on the evening of the 26th, many people with other purposes were mixed in the protest team. The difference between these people and those who mourn or protest during the day is.

1. Most of these people come from other places and speak Mandarin with Hong Kong accent or ABC accent

2. These people are very experienced. They lead us to shout slogans and teach us to raise white paper to protest

3. These people bring their own photography team. They know what shooting angle looks the most intense, and they will not collide with the police force at the same time

4. Most of these people belong to some NGO, especially some church organizations

5. That is to say, from this moment on, they led everyone to shout the slogan “Down with CCP, Down with XI”. Before that, the anger of the protest team was directed at the containment measures

Encouraged by the results of the protest in Shanghai on the evening of the 26th, some people quickly organized protests in other cities in China in Twitter and Telegraph groups. Beijing, Dalian, Chengdu, Wuhan, Guangzhou and Hangzhou are all their targets, but perhaps because of the hasty organization, they make a lot of jokes

The event in Dalian was cancelled because it was too cold. I wonder if the American Consulate in Shenyang would be criticized internally. After all, other cities with American embassies and consulates organized protests, but only the Shenyang Consulate could not organize them

During the protest in Chengdu, a group of people led the crowd to shout slogans in Hong Kong accented Mandarin, and then were scolded by the local people in the local dialect. Then the organizer also made some women’s rights props to arouse more anger in Chengdu, but did not know that Chengdu is the city with the highest status of women in China.

In the protest in Guangzhou, the organizer called everyone to go to Haizhu Square to rally against the blockade of Haizhu District, but did not know that Haizhu Square was not in Haizhu District.

For the protest in Hangzhou, the organizer informed everyone to protest at the gate of Yintai Department Store. Then some people went to the gate of Yintai on the West Lake, and others went to the gate of Yintai on the lakeside. As a result, there were fewer people.

Therefore, it is true that the Chinese people have grievances and protests. But it is also true that some foreigners want to make things worse.

Edward Snowden is now a Russian Citizen

Former CIA and National Security Agency (NSA) officer Edward Snowden has received his Russian passport after having been granted citizenship by President Vladimir Putin earlier this year.

main qimg 6a7410e940f2179ed50c649c80b381f9 lq
main qimg 6a7410e940f2179ed50c649c80b381f9 lq

Since Snowden now holds a Russian passport, he cannot be legally extradited to any foreign state seeking charges against him.

In 2013, Snowden leaked a massive trove of classified documents revealing the NSA’s sprawling surveillance operations, which targeted American civilians and collected their electronic communication data and phone records. His revelations launched nation-wide debates about government surveillance and led the US appeals court to declare the NSA’s program unlawful.

When the leaked files were posted in a number of media outlets, Washington promptly revoked Snowden’s US citizenship, making him a de facto stateless individual. He initially tried to flee from Hong Kong to Latin America, but got stranded during a layover in Moscow’s Sheremetyevo airport, where he ended up living for over a month. Ultimately he was granted asylum in Russia, where he has remained to this day.

If you are a layman, think about it this way. In the US if you buy a Big Mac for a dollar, if you take your dollar and convert to RMB or Yuan, the 1 dollar can buy you 2.5 Big Mac in China.

So you can conclude that China undervalued its RMB or it could be the other way the U.S. overvalued the Dollar. Or a combination of both. Or another possibility is that is more plausible is that in the past 40 years the inflation rate is higher than the U.S.

From China’s point of view is that Chinese RMB can buy more in China and a dollars worth of RMB can buy you less in the United States of America. So it cost more to bring your money to buy stuffs in America and Americans can buy more from and in China.

So in PPP or real value GDP China has overtaken the U.S. since 2014, 8 full years ago and today China’s PPP is already 150% of the US. But in US dollar per say it is close to 85% of the USA. You can conclude it as the U.S. fooling itself and fooling the world and overvaluing the dollar through inflation.

Whatever it is, it is not good for America. And it is not good for Americans. It means standard of living is falling. Hence since 1980 Chinas real standard of living has increased 30 times in 40 years while during the same time period the US real income not only not grow it slide back to the 1960s level.

But what is worst for America and American’s is the income disparity. 400 richest Americans owned 50% of America. And 1% of the richest Americans own 80% of America’s wealth. Conversely 99% of Americans share the 20% of wealth. So in reality Most American’s are poor or close to third world living standard.

I leave you to decide on your own if PPP is important, good or bad. It is the measure of real wealth. China can sell many things to the world while the U.S. needs to buy most things from the world. Chinese real standard of living certainly improved while American real standard of living certainly deteriorated.

When real income increased the disposable income grow and hence domestic consumption grow. Today the Middle income people of China has grow to almost twice the entire population of the US. This means Chinese economy is less dependent on world economy and it is more self subsistence.

I can only give you my opinion. China’s economy is not only strong but it is very healthy and the US economy is getting weaker and very unhealthy. It will always face high deficits and debts.

My wife and I had stayed at home in Shanghai for over a month before I officially resumed working in office two weeks ago, when the virus control in Shanghai proved effective with full cooperation from the tens of millions of disciplined citizens like us.

Now with the escalation of this epidemic in countries outside of China, Chinese government started to intensify the control of passengers who returned from areas/countries with fast increasing confirmed cases. My wife had to fly to Tokyo on business trip for a week last Monday and returned yesterday, this was the first business trip since her last trip to Bangkok 2 months ago before this outbreak. She knew she would have to self-quarantine for 14 days upon her return, yet she did not know it would be this strict.

I went to pick her up at Hongqiao airport last night, and witnessed how Chinese fight the battle against the potential spread of virus.

Every passenger would go through strict screening and be categorized into three groups. Group A was domestic transit passengers and have to fly or take HSR to some other cities in Shanghai. After cleared with ID registration, flight ticket/train ticket, self declaration and health check, they would leave, and their route was monitored until received by check-in counter, and such info would be relayed to their next stop. When they arrive, the health department would be expecting them at the gate and carry on the escort.

main qimg 93c6ecac72ad82a26aa73736af878023 lq
main qimg 93c6ecac72ad82a26aa73736af878023 lq

Group B was for passengers leaving for other cities by car, same procedure followed

My wife lived in Shanghai, so she belonged to group C. She finally got off the airplane, three hours later. She went through very strict screening and register via mobile phone /app

main qimg e7207c888984b45b8e28602268f5c19e lq
main qimg e7207c888984b45b8e28602268f5c19e lq

After she picked up the checked luggage, she would have to register in an area, and find a section where the staff from the district we live were waiting for my wife.

The signs on the counter indicated the administrative district in Shanghai, and the residents would Q in front of the counter and register

main qimg 67bb5bd4c4290a766a7ed1a794a9eb99 lq
main qimg 67bb5bd4c4290a766a7ed1a794a9eb99 lq

Double checking the registration, my address, and confirmed how my wife prepared to travel back home in Shanghai. When they knew I would drive her back home. The staff(health agency staff, police and airport staff) came out and escorted me to the airport garage, registered my ID, took note of my license plate and made sure we got in the car and drove directly home without stop in the middle.

main qimg 65c69985f01d2bb4ec4bb83151ca0c7c lq
main qimg 65c69985f01d2bb4ec4bb83151ca0c7c lq

It was kind of interesting when my wife and I were escorted by a group of people, as if we were VIP. Foe those who did not have family pick up, there will be cars to drive them home.

for those who do not have permanent residence in Shanghai, they would register and be sent to a facility where they would go through 14 days of quarantine.

main qimg 3d0acd37920920c8e621b579277aadb1 lq
main qimg 3d0acd37920920c8e621b579277aadb1 lq

2 minutes before my arrival, my wife’ phone rang and it was a guy in charge of the property management of my residential complex. He asked where were we and asked us to wait in the garage where he would be there to greet us.

He told us during the 14 days self-quarantine, we could not leave our apartment. Property management and security staff would help us pick up delivery, food and garbage every day, and if we need anything just give them a call, and they will help us do it, so we do not need to go out. He told us CDC would come tomorrow to check everyday, and even I would have to go through the same self-quarantine and could not go to work until CDC advises otherwise.

The local police station also showed up and made sure we must stay inside the apartment.

I am a bit excited to see CDC guys show up today:)

seriously I do feel it is a bit exaggerated, but the strict control does provide great sense of security for all of us, and I would be glad to cooperate knowing it must be done.

When I post this on my wechat, all my friends understand and felt glad the government is really taking this seriously, so the tens of millions of citizens’ sacrifice and self-quarantine for the past one month would be really worth it.

main qimg 57a67cef996ba6ed1acaf8391109ee55 lq
main qimg 57a67cef996ba6ed1acaf8391109ee55 lq

Facial Monitoring

main qimg bbcae91337fafe821f49ac2e010a95dc lq
main qimg bbcae91337fafe821f49ac2e010a95dc lq

China has a very sophisticated 5G facial print recognition system installed across 9 key cities.

From the arrival into Beijing Airport or Shanghai Airport, your facial print goes into the system and remains there for life even if you come back vide a different passport.

When you check in to your hotel, no need of handing over your passport in most cases. Just look into a scanner and the system will match the facial print and confirm where you are staying.

Train Stations, Taxi Stands, Government Premises, Universities all have scanners, so they know where you have been.

That’s how they busted the BBC liar of Shanghai during the protests

They clearly proved he was at the US Consulate several times and at Shanghai near the University premises. He had cleverly left his cellphone behind but he didn’t know 😁

They even established that he had visited the school of political sciences in that University

He however had lied saying he was home and he had never visited the University.

However they inform you of the facial print. Sadly it’s a Mandarin Sheet over the Immigration Desk so most foreigners would ignore it.

However that’s only foreigners and not all foreigners

Only select Nations like Canada, USA, Taiwan, S Korea, Japan, Australia etc

And NOT INDIA , we are trustworthy migrants

But Locals?

I don’t think so but i am not sure

Too many to have a successful facial print program

The Algorithms would need fastest processors to analyze facial prints of millions of people in nano seconds

Easier for a few thousand people than a million or so.

Internet Monitoring

Traction Algorithms are followed

Like Weibo users with around 5K followers or 10K followers (Purely arbitary numbers,I have no idea how many) known as Influencers

They are identified when they declare income from “Tips” which amount sometimes to 10,000 Yuan a month (1 Yuan per follower).

Surge in traffic leads to monitoring the tweets and if the tweet is inappropriate, it will be taken down and you have to appeal

Yet it’s not so black and white. Many weibo users continue to criticize the CPC once the authorities realize they are positive critics (White critics) and not Western funded

Guys like me Or Aravind would be on a traction list but would be allowed as White Critics

Chinese version of Guys like Subramaniam Duraisamy Or Mr. Prasanna Bhalerao would be VIPs and Heroes to the CPC with their bland acceptance and justification of CPC policies saying “It’s okay to lose lives but US lost more”

Most Weibo users would be angry and passionate but won’t be on traction lists as they don’t have the traffic

And they don’t give a damn if you praise the US everyday. They encourage debate on US vs China ferociously

Financial Monitoring.

Primarily for Tax Evasion

Some of the finest algorithms written ever.

The Chinese can hunt down tax evaders very fast using Financial monitoring.

Even a First Class Air Ticket by a normal Economy Class traveler puts up an alert

Based on all this they estimate the earned income and if you declare more than 7.5% less of this sum, you will get a notification

If you declare 7.5–20 percent, you get a request for an Official Visit

My Nephew once got a large credit from his UK account to buy a car and didn’t declare the amount and got a demand for a visit

Of course once you have a reasonable explanation they will close the file

It’s how they bust the TikTok influencers who merrily hid millions of Yuan and now are paying massive fines

But like I said, they have Social Responsibility classes in many blocks for free, held by the Government and in Universities too

They tell you what’s allowed and what’s not, very clearly. So it’s transparent enough as long as you don’t get stupid.

Foreigners are welcome though they rarely know of such programs.

So it’s called “Good Monitoring” done for Growth and Development.

No spying on cellphones stuff that US does

More jokes about the failed “color revolution”:

  • The color revolution cancelled in Dalian because of the cold weather.
  • Guangdong spies hoped that everyone would gather in Haizhu District and set the gathering place in Haizhu Square. Finally, they found that Haizhu Square was not in Haizhu District.
  • In Shenzhen, the patriotic people who wanted to have fun prepared eggs and waited at the place where the spies claimed to gather for the second time, but the spies did not dare to come.
  • In Shanghai, several girls shared a bunch of flowers to take photos and show off on the Internet. After taking photos, the girl who bought the flowers collected the flowers back to her home.
  • In Hangzhou, a rioter who rode a shared bike to participate in the “protest” was taken away, and the shared bike has been charged all the time. “Shared bike has not been returned” has become a new network meme in Chinese network.
  • A lot of Hong Kong rioters who participated in the riots a few years ago took part in this activity. They comforted each other that this was just a small testing to China, and that the US should drop weapons to coastal cities by planes to help them.

main qimg 26a95b87d695be426cc94591c9712844 lq
main qimg 26a95b87d695be426cc94591c9712844 lq

“Someone help me return the shared bike”.

I don’t usually answer questions like this because when I give what I think is a good reply, there are about 10 or 20 views and no reaction. Nevertheless, here you go:

I was called to the ER for what was thought to be a routine nosebleed that turned out to be the sentinel bleed of a carotid blowout in a recently operated and irradiated cancer patient.

There is a saying in surgery that says if you can’t hear it, it’s not really bleeding that much. I learned that night that if it’s not on the walls and ceiling (I don’t know how it got there, but it did) it’s not really bleeding that much. He survived.

I read the many serious and sometimes frivolous stories here on Quora for entertainment. However, I think there are few on this site that know what it’s like to be covered with someone else’s blood and know that you, and you alone, have minutes or seconds to assess, make a decision, and act for life or death. It wasn’t often, but similar crises happened on multiple occasions in the past 40 years. Sorry to sound overly dramatic, but that is an everyday possibility for all healthcare providers.

Now that I’m retired, I’m happy to no longer experience the exhilaration and terror of opening an airway in a person that was about to die. Once the crisis was over and the patient was stable, I would sit down and rest until my hands stopped shaking from the adrenaline. I don’t want that anymore but I’m thankful for those that do.

That’s all I have to say about that.

Look 40% of Americans don’t vote. Out of the 60% who vote 50% of them and are highly and absolutely believed in the U.S. media narratives that spin the greatness of America and its exceptionalism. A good 80% of the balance are simply ignorant of the deep shit America is in which is not only accurate, but if anything it is actually worst.

So as little as 6% of American voters or 3% of the US population is aware that America as we know it today is totally unsustainable and on the verge of total collapse no different from FTX or Robert Madoff. These people are choosing who could get America out of this hell hole better and faster!

Goulash Triestino

“This is Lidia Bastianich’s delicious recipe for Beef Goulash in the style of Trieste, a city in Northern Italy that had previously been part of the Austro-Hungarian empire. This recipe is great because it’s simple yet has loads of flavor. She suggests serving it Middle European style with boiled or mashed potatoes, Italian style with polenta or fettuccine or simply with steamed rice.”

2022 12 02 21 07
2022 12 02 21 07

Ingredients

Directions

  • Pour the olive oil into a small saucepan (about 6 cup capacity), set over medium-low heat, and drop in the onion wedges. Toss to coat in oil, season with 1/2 teaspoon salt and cook gently for 3-4 minutes until sizzling and softening.
  • Spread onions in the bottom of a heavy-bottomed 9 or 10-inch saucepan (such as an enameled cast-iron French oven with a tight-fitting cover) and drop the beef cubes on top of the onions, filling the pan in one layer. Sprinkle another 1/2 teaspoon of the salt, all the paprika, and the oregano over the meat and drop in the rosemary. Without stirring or turning the meat chunks, cover the pan tightly. Heat the meat, with the seasonings on top and the onions below, so it starts to release its juices and stew. Check once or twice to see that the pan liquid is bubbling and the onions are melting (not burning) but don’t stir.
  • After 30 minutes or so, set the cover ajar a couple of inches and adjust the heat to keep the juices bubbling and slowly reducing. As they thicken, stir up the onions so they don’t burn and tumble the meat in the pan.
  • Continue cooking, partially covered, for another 1/2 hour or so. When the juices are concentrated and thick in the pan bottom, prepare the goulash sauce:.
  • Pour 3 cups cold water in the small pan and whisk in the flour. Set over low heat and continue whisking until the flour is dispersed with no lumps, then whisk in the tomato paste. Heat gradually, whisking often, until the tomato-flour water just comes to a bubbling boil. Pour into the big saucepan and stir well, turning the meat chunks over–they should be nearly covered in sauce.
  • Bring the sauce to a gentle simmer, put on the cover slightly ajar, and cook 45 minutes to an hour, until the meat is quite tender and the sauce is somewhat reduced. Season with more salt to taste. Turn off the heat and let the goulash cool in the pan for several hours before serving or refrigerate overnight.
  • Reheat slowly, stirring now and then, until the meat is thoroughly heated. Thin the sauce with water if it has thickened too much. Serve hot. (Though not part of the original recipe, I like to garnish mine with a dollop of sour cream.).

2022 12 02 21 08
2022 12 02 21 08

Collecting the idiocy from the United States

However, we also cannot deny that the protests in some areas, such as Shanghai, have deviated from their purpose, showing very classic and traditional color revolution routines. In Hong Kong, in Ukraine, wherever there is turmoil and disaster, similar patterns of behavior are constantly being played out. NGOs, CIA, and the media used social incidents as an entry point, hired gunmen or instigators at the protest site to quickly intensify the situation, and even resorted to direct murder to promote confrontation.

For example, during the protests on Middle Urumqi road, traditional Chinese characters, which are basically not used in mainland China, were written on the banners hanging; those who first started chanting political slogans had obvious Hong Kong or Taiwanese accents. It is almost impossible to obtain explosives or guns in mainland China, so by elevating a social issue to a political issue is a common tactic used by American conspiracy agencies to incite color revolutions. As a native of Sichuan, I have witnessed similar tactics in the suicide incident of student in Chengdu 49 Middle School. Protesters from southern China, armed with symbols (flowers, like carnations), chanted slogans in unison. Their behavior constitutes all the necessary elements in a political upheaval.

The simultaneous protests in many places in China are essentially a farce of young and fearless students being manipulated and instigated by malicious foreign political forces. It took advantage of the public's dissatisfaction with the current epidemic prevention policy, induced student groups who did not have a deep understanding of national security to take to the streets to protest, and then tried to detonate social incidents into political incidents through extreme means at the scene. Another attempt at a color revolution.

However, there is nothing to worry about.

During major policy adjustments, instability or errors in implementation are quite normal. If you believed US propaganda that this was the standard for China's imminent collapse, then I'm sorry - as we've apologized to you many times - that but was your pipe dream.

You know, it’s Friday and I am suffering from America=crazy fatigue. I really have no desire to post articles in regards to Ukraine and the latest insanity out of the United States. I will put some stuff that is a fallout from the failed color revolution that the NED tried to launch in China, but other than that, I just want to chill and smunch.

This is intended to be a fun article, with all kinds of cool stuff that kinds of reminds me of my boyhood in various ways, interspersed  with some NED color revolution stuff. LOL.

Have fun.

The Modern Handy Book for Boys, by Jack Bechdolt

This is a book that I had as a boy and that somehow got lost over the years. I treasured this book of inventions and dreams…

2022 12 02 16 46
2022 12 02 16 46

book detail
book detail

2022 12 02 16 47
2022 12 02 16 47

2022 12 02 16 48x
2022 12 02 16 48x

2022 12 02 16 48xx
2022 12 02 16 48xx

2022 12 02 16 48
2022 12 02 16 48

China – Protest Instigators And Zero-Covid Policies

Within the last two days the New York Times produced four anti-China opinion pieces:

All four predict doom for China and president Xi’s leadership. In typical color-revolution fashion the sudden onslaught of these pieces follows recent reports of minor protests in some Chinese cities related to zero-Covid measures.

But the biggest recent protest was actually a labor conflict at a factory where the Taiwanese contract manufacturer Foxconn is producing iPhones:

A violent workers’ revolt at the world’s largest iPhone factory this week in central China is further scrambling Apple’s strained supply and highlighting how the country’s stringent zero-Covid policy is hurting global technology firms.

The troubles started last month when workers left the factory campus in Zhengzhou, the capital of the central province of Henan, due to Covid fears. Short on staff, bonuses were offered to workers to return.

But protests broke out this week when the newly hired staff said management had reneged on their promises. The workers, who clashed with security officers wearing hazmat suits, were eventually offered cash to quit and leave.

Within a day that labor conflict was largely resolved.

Other protests were mostly small symbolic events carefully designed to get some media attention in the ‘West’.

 

2022 12 02 10 16
2022 12 02 10 16

biggerFor a huge country like China the total number of protests was laughable small:

Nathan Ruser @Nrg8000 - 23:17 UTC · Nov 30, 2022

For our China Protest Tracker map, we tracked reports of 7 protests that took place across China on November 29th. Totaling 51 protests since November 25th, across 24 cities. See the third edition of our map.

There are signs of foreign meddling:

Angelo Giuliano 🇮🇹 🇨🇭/ living in 🇨🇳 @Angelo4justice3 - 3:08 AM · Nov 30, 2022

Telegram Channel / protests China
They have open channel with Western journalists, here is the list.
Here a list of organisers, all based outside China.
Sydney Daddy one of them, YouTuber based in Australia.
So much for "organic" movement.

Covid protest YES, foreign meddling NO
Image

To me this looks as if some ‘western’ color-revolution instigators are trying to hitch a ride with reasonable protests against some zero-Covid measures. They are likely to fail.

Exactly three years ago Wuhan reported the first case of an unknown type of pneumonia. China had since then adopted a whatever-it-takes stand against the spread of Covid.

While the zero-Covid measures at times seem harsh they are also a necessity.

The Chinese medical system is still underdeveloped and can not handle large outbreaks in multiple big cities. Not all Chinese elderly are vaccinated. A free running epidemic would cost several million lives and would leave tens of millions hampered with long-Covid conditions.

That is unsatisfying for the young who are unlikely to die of Covid-19 but have to live with the zero-Covid restrictions.

But China is a Confucian society. People in China are traditionally valuing their elderly. China’s constitution makes care for the elderly in ones family an obligation for every Chinese citizen:

Article 49 Marriage, families, mothers and children shall be protected by the state.

Both husband and wife shall have the obligation to practice family planning. Parents shall have the obligation to raise and educate their minor children; adult children shall have the obligation to support and assist their parents. Infringement of the freedom of marriage is prohibited; mistreatment of senior citizens, women and children is prohibited.

China can therefore not open up and let the pandemic run its course. Its government would likely see more protests than it does now should it suddenly decide to fully open up and to let the elderly die.

But China can, as Peter Lee predicted, modify its current policies.

chinahand @chinahand - 12:54 UTC · Nov 30, 2022

My prediction that CCP crabwalking to a new covid policies (w/ collateral political and public health implications) holding up rather well. Subscription required!

Less Than Zero...Covid - Peter Lee's China Threat Report on Patreon.

Two weeks ago the Chinese National Health Commission had already announced 20 new guidelines.

What it can further do to avoid more demonstrations and unrest is to apply sensible zero-Covid measures in a less restricting way.

Some local governments have already reacted to some of the protests:

Chinese cities including Beijing, Guangzhou and Chengdu, where the virus is rife, have continued to optimize their anti-epidemic policies in recent days, with Guangzhou resuming businesses, allowing dine-in services in low-risk areas; and shopping malls gradually opening in Beijing starting Thursday. 

Some cities have also started to allow close contacts to have home quarantine under certain conditions and exempt some groups from routine nucleic tests.

Chinese Vice Premier Sun Chunlan underlined again on Thursday the importance of continuously optimizing China's response to COVID-19, following similar remarks she made on Wednesday saying the country is facing a new situation and new tasks in epidemic prevention and control as the pathogenicity of the Omicron virus weakens.

Epidemiologists said such optimized measures aims to strike a better balance between COVID-19 control and ensuring people's normal lives. 

Allowing home quarantine for some risk groups also relieves pressure of already strained hospital resources.

China wants to hold out as “the pathogenicity of the Omicron virus weakens.”

The Omicron variant seems to be more transmissible but less lethal than the original version of SARS-CoV-2 or the delta variant. But we do not know if it will further develop in that direction.

New variants are found on a nearly daily basis.

If China can hold out for another years until an even milder variant becomes prominent it probably can avoid a huge number of deaths.

Some economist predict that China will open up around the mid of next year:

China is expected to fully lift its Covid restrictions in the third quarter of 2023, leading to a dramatic economic rebound, said Hu Yifan, regional chief investment officer and chief China economist at UBS Global Wealth Management.

The estimate echoes a forecast by Bloomberg economists, who said they expect a full reopening by mid-2023. A survey by Bloomberg News earlier this month showed that most economists see reopening starting in the second quarter of 2023 after China’s annual top political meetings.

The Chinese government has given no public indication on the timing of an exit from the current “zero-Covid” pandemic control policy, although some municipal governments recently eased controls.

The Times writer who hope for some revolution in China will likely be disappointed.

China’s economy is doing reasonably well. The people are mostly content with reasonable health measure and everything else is negotiable.

‘Western’ op-ed writers like to paint picture of China as a dictatorship suppressing its people. But that is not what China is.

Posted by b on December 1, 2022 at 17:11 UTC | Permalink

Elves in Iceland

Iceland has a rich history of Nordic myth and folklore that have shaped the national identity, along with its otherworldly landscape of lava fields and glaciers. It provides the perfect backdrop for little supernatural creatures to live and roam, and that’s exactly what people in the region have encountered for centuries.

More than half of Iceland’s population ardently believe in the elves (or hidden people) living in the volcanic rocks found in the countryside. Some Islanders claim to see and converse with families of hidden people. Others just acknowledge their existence. It’s believed that they occupy an invisible world within our own, so not everyone is able to see them. It isn’t only elves that populate the area, but many believe that the trolls and dwarves have been scared off by human activity.

The locals have even defended known elf homes and special places from proposed construction sites. Building projects, including a highway in Reykjavik, have been put on hold or moved so as not to disturb the elf community residing there. Advocates have been lobbying for the elves for years, saying that disrupting their homes could result in mayhem since they are territorial creatures. Machines breaking down and accidents befalling those who disturb them are reported as signs that the elves have been agitated.

Confederate Gold in Wilkes County, Georgia

The most famous Civil War treasure and one of Georgia’s greatest mysteries are that of hundreds of thousands of dollars of the Confederate treasury that went missing right at the very end of the Civil War.

Confederate President Jefferson Davis was attending church on Sunday, April 2, 1865, when he learned that Lee’s defensive line at Petersburg, Virginia had been broken and the fall of the Confederate capitol at Richmond was imminent. Davis quickly advised his cabinet that Richmond was to be evacuated and that they would take the Confederate treasury with them. The men and the treasury were scheduled to depart on two trains on the only line still open between Richmond and Danville, Virginia.

That evening the first train with the cabinet members departed Richmond. Shortly after midnight the second train, which carried the hard currency reserves of the Confederate States of America, left. It was under the command of Navy Captain William H. Parker who had gathered all the remaining available personnel to provide a military guard.

Amongst the official records of the Confederacy were numerous crates and barrels which contained gold and silver coins, bullion, silver bricks, and a substantial amount of fine jewelry donated by women across the South. In addition, there was more than $450,000 in gold from Richmond bank reserves, taken to keep it from falling into the hands of the Union.

Although the news was bleak, it was the hope of all on board that the struggle of the South could be continued.

When the tracks ended at Danville, Davis and his staff began to travel south on horseback. Captain Parker ordered the treasure moved to wagons which would transport it to the old U.S. Mint at Charlotte, North Carolina. However, when Parker received word that the U.S. Cavalry was already in the immediate area, he zigzagged across the South CarolinaGeorgia state line several times to evade capture.

In the meantime, Richmond lay in ashes as occupying Federal troops took control and over the next several weeks, General Robert E. Lee would surrender and President Abraham Lincoln would be assassinated.

Captain Parker was to camp outside Washington, Georgia, where he was to meet with Jefferson Davis and receive further instructions. Upon their meeting, the Richmond bank reserves were placed in a bank vault in Washington, Georgia. Most of the Confederate assets had been dispersed to pay soldiers and travel expenses along the way. If there was any remaining, its outcome remains unknown.

Only days later, the Richmond reserves, amounting to some $450,000 were in the hands of occupying Northern troops who set out to take the money to a railhead in South Carolina. Along the way, the Union soldiers camped near Chennault Crossroads and it was here that on May 24, 1865, bushwhackers attacked the wagons and some $250,000 was lost. The bandits were stragglers from both the Federal and Confederate armies who had heard of the treasure being transported.

Bank officials eventually recovered some $111,000 of the stolen money. Union General Edward A. Wild led a search of the area for more gold and earned notoriety for the arrest and torture of the Chennault family, who Wild believed were hiding gold.

When Jefferson Davis was finally captured by members of the Fourth Michigan Cavalry near Irwinville in south Georgia on May 10, 1865, he had only a few dollars in his possession. The fabled riches of the vast “Confederate Treasure” were not to be found.

Though it would seem that the robbers would have made off with their stolen caches, tales of Confederate gold buried in Wilkes County have persisted since the end of the war.

Through the years, rumor has it that many gold coins have been found along the dirt roads near the Chennault Plantation, located at the crossroads of GA 44 and GA 79.

By Kathy Weiser-Alexander, updated March 2020.

When the Heater Came Down

I remember the humid day Father said, “I think it’s warm enough. We can take down the heater.” No sooner were the words said than Mother and I ran for the stack of newspapers we had been saving for the occasion. We covered everything in the room. A kitchen chair was set in front of the flue into which the stovepipe fitted. Father got an empty coal bucket and the soot scraper, and then climbed onto the chair.

Carefully he removed the first joint of the long stovepipe. He handed it to Mother, who ran to the backyard with it and shook the soot into the ash pit. Joint after joint came down. Mother and I took turns running them to the backyard, trying hard not to spill any soot along the way.

When the final joint was down, Father took the scraper and pulled soot from the black tunnel into the coal bucket again and again until no more soot came out. Then he took the bucket of soot to the ash pit as well.

When he returned, he climbed back onto the chair and placed the flue cover over the yawning hole. How pretty it looked, with the purple pansies on it — and how big the room looked after the heater had been lugged out to the shed, where it would spend the summer.

Then it was Mother’s turn. “Roll up the carpet. Take it out and hang it on the clothesline. Then get the rug beater and start using it.”

That big old beater was heavy and awkward. Beating the rug with it was difficult, but it did make the dirt and dust give up their hold.

Curtains had to come down to be washed and pinned to the curtain stretchers. All around the four sides, the wet curtains had to be pinned to the stretchers and left to dry — preferably in the sun.

Walls and woodwork had to be washed. Windows were washed with vinegar and rubbed with paper until they sparkled. The floor was mopped. The furniture was polished. Papers were laid on the floor three deep before the beaten rug was brought back in.

The curtains were hung in place, starched stiff and Fels-Naptha clean. Then the furniture was returned to its accustomed place; the pictures were hung; and the taboret, with its marble top, was placed close to the door.

Father and I dropped onto the porch swing. Mother stood in the middle of the clean room, smoothing her apron as she sized up the day’s work. No words were uttered, but how plainly her expression said, “This is my home, and it is good.”

The Sunken Kingdom of Cantre’r Gwaelod

There are so many legends and mythological tales linked with Wales, especially the coastline around Cardigan Bay. One such story has a lot of parallels to the legendary Atlantis. Cardigan Bay is home to some outstanding coastal trails overlooking the bay, with many people saying that they can see glimmers of buildings underneath the water.

This has led to the story of the Sunken Kingdom of Cantre’r Gwaelod—a city that was once thriving had been lost to the waves due to the carelessness of a lock keeper called Seithenyn. As the story goes, he got too drunk one night and failed in this duty, leading to the whole kingdom being flooded and hit by a huge storm. People still claim you can see the buildings and hear the bells ringing out to this day.

Chicken Agrodolce (an Italian Sweet and Sour Chicken)

“This is such a unique Italian chicken dish. Chicken breasts are briefly sauteed, then simmered in a sauce of honey, balsamic vinegar, wine, orange and lemon juice. Toss in a few toasted pignoli, and you’ve got a quick and delicious dinner with risotto or cappellini.”

2022 12 02 16 11
2022 12 02 16 11

Ingredients

Directions

  • In a large plastic bag, combine flour and chicken breasts, toss to coat.
  • In a large skillet, saute floured chicken breasts in olive oil with the whole garlic, bay leaves and allspice berries until chicken is browned.
  • Remove chicken to a plate.
  • To the skillet, add honey, vinegar and wine; cook to reduce slightly, about 10 minutes on medium-high heat.
  • Return chicken to skillet, add orange and lemon juices, salt and pepper, and cook about another 20 minutes or until chicken is done and sauce is reduced slightly.
  • Remove garlic, bay leaves and allspice berries from sauce.
  • Add pignoli and heat through, another 5 minutes or so.
  • Delicious with angel hair pasta or a nice risotto.

2022 12 02 16 12
2022 12 02 16 12

The Vanishing Car

On 30 December 2019, emergency services were called to Gray Whale Cove State Beach in California. An anonymous witness claimed he had seen a Lexus SUV driving over a cliff overlooking the ocean.

Officials immediately called in reinforcements, including the U.S. Coast Guard, and a six-hour search for bodies and the remnants of the vehicle ensued. The search was halted after water conditions became dangerous and daylight faded. The only evidence they found of a car leaping over the cliff was dashboard camera footage, some debris, and tire marks on the sand surrounding the edge of the cliff.

Suspicion arose that the video may have been faked, considering there was no car in the water or evidence of any human remains. However, on 16 January 2020, another witness came forward corroborating the story and saying that they too saw the car flying over the edge of the cliff.

Some car parts have washed up on nearby beaches, which police are sorting through, but to date, there have been no bodies found nor easily identifiable parts of a Lexus SUV. There is also yet to be a missing person or missing vehicle report filed that might be linked to the incident.

Same Old Ornaments

She complained then, but now she cherishes those family heirlooms.
.

When I was growing up in the 1940s and ’50s in Iron River, Mich., I remember complaining to my parents about having “the same old ornaments” every Christmas. Why didn’t we get new stuff? But my family was pretty conservative when it came to spending money, given that my parents were from the era of the Great Depression. So as long as something worked, it was not replaced.

M16624 SameOld 300
M16624 SameOld 300

In those days our Christmas tree was usually a spruce. It was always a live one, and it just wasn’t right to put it up any sooner than the day before Christmas Eve. I’d wait excitedly all day for my dad to come home from work at the iron mine and bring in the tree, all frosty and cold, with snow still on the branches and smelling all piney.

We’d set up the tree in the corner and let it warm up a bit before putting on the strings of lights, adding the ornaments, and finally, the tinsel, which we called “icicles.” Last thing to be added was the angel on the top. As the years progressed, she began to look more like a bag lady than an angel, and I couldn’t understand why we didn’t get a new one. I was well into my teens before she got so dilapidated that we replaced her with a star.

The decorations were always the “same old ornaments.” Many of them had been passed down to us from my grandmother, but as each one was carefully unwrapped from tissue paper, the excitement of Christmas grew as I recognized them from Christmases past.

I was about 5 years old when enough of the old ornaments had broken that my mother decided to order some new ones from the Sears catalog. This was just after the war years, and the beautiful, colorful ornaments I was used to seeing on the tree couldn’t be found. I was told they had come from Germany and were not available anymore because of the war. The only thing we could order were plain copper-colored ornaments, probably made in the USA. They weren’t as pretty as the old ones, but as the years passed, those copper ornaments were a reminder of the war years and how they affected a lot of things in our lives.

The next time I remember getting new ornaments was what I think of as “the year of the plastic birds.” I was probably 12 by then, and I got to choose the ornaments from the Sears Christmas catalog. I chose plastic birds. They came in red, yellow, blue and green, and we had to separate their little legs to set them on the branches. Over the years most of the little legs snapped off, but I still have a green one that I put on my tree every year. That “new” bird ornament is now about 70 years old, and I treasure it. I hold my breath each year as I attach it to the branches of my fake spruce tree, hoping its legs don’t break.

The strangest ornament of all was an Easter egg that adorned my grandmother’s tree each year. It was handpainted with flowers and leaves, and hung by a ribbon from the Christmas tree. An Easter egg on a Christmas tree didn’t make much sense, but it was very special to my grandmother because a neighbor girl who went to college had given it to her as a gift, and in those days, a girl who went to college was very impressive and important. So the ornament was a treasured keepsake.

Today I’m thankful that my parents were reluctant to replace the old with new because I still put the few heirloom ornaments that survived on my tree. They bring back those happy childhood memories of putting up the tree the evening before Christmas Eve and decorating with those “same old ornaments.”

The green plastic bird and the Easter egg still decorate my tree, and in years to come, unless the legs break off the bird, they will become part of my children’s, grandchildren’s and great-grandchildren’s holiday decorations. And no doubt, if the great-grands still value those “same old ornaments,” they will be scratching their heads and wondering what an Easter egg is doing on their Christmas tree.

Can you imagine if China sent a cruiser between Cuba and Miami? Maybe they should and claim its doing navy exercises.

Wait wait wait.

Haven’t big brained westerners been saying for DECADES that all protest and dissent is completely not allowed in China? And that you with your free media are ALWAYS 20 million percent correct?

Yet here you are saying there’s protests in China?

The Handy Boys Book | Vintage Boys Hobby Annual

2022 12 02 16 5sg3
2022 12 02 16 5sg3

2022 12 02 16 5e2
2022 12 02 16 5e2

2022 12 02 16 s53
2022 12 02 16 s53

2022 12 02 16 53
2022 12 02 16 53

What Happened to Owain Glyndwr?

If you’ve been in Wales for any length of time or know any Welsh people, you’ll have heard all about Owain Glyndwr, the last native Prince of Wales. A national hero throughout Wales, he suffered a defeat to the English, led by Henry IV in 1400. However, no one knows what happened to the prince himself.

After his defeat, he fled and went on the run. The rest of the Glyndwr family were captured, but Owain’s men were loyal and kept him hidden for years, with the last sighting being around 1412. The mystery is that no one knows where he ended up, where his remains are, or how he died. It’s a curious ending to a huge figure in Welsh history that has baffled historians and stoked debates throughout the UK for generations.

Chinese people will have more fun – it’s already a joke now.

I don’t think the spy organizations will work overtime at Christmas. They have already achieved one of their goals – wasted the financial budget of this year. They haven’t finished anything this year before that “protests”. This time is the only chance for them to show their usefulness, but they wasted it.

More likely, they continue to use the media to intimidate European investors not to go to China and force them to go to the US, thus to repair the poor industrial chain in the US. That is to say, even if they continue to report how dangerous and unstable China is abroad, these poor spy shows are unlikely to happen any more in 2022.

One by one, the telegram groups organizing “protests” have already been closed. Those which didn’t are busy asking for their back pay and complaining with each other. What a shame.

How to Select the Perfect Wine

The jokes of color revolution in Sichuan:

Draw people along the street like peddlers to carry out color revolution – “$70 for holding a sign for a whole night!”

main qimg 2d9cb71517ddbf47ddd25da980b1d42d pjlq
main qimg 2d9cb71517ddbf47ddd25da980b1d42d pjlq

Mandarin mixed with English is scolded by Sichuan dialect.

main qimg 223f593923cedde15a95a6877d67f7d9 lq
main qimg 223f593923cedde15a95a6877d67f7d9 lq

Netizens urged spies to learn Sichuan dialect, otherwise that would be disrespectful.

main qimg aa1fed718b1a61ddbbddec1eb82f3237 pjlq
main qimg aa1fed718b1a61ddbbddec1eb82f3237 pjlq

The Mandarin with Sichuan accent conflicts with the Mandarin with Hong Kong accent, when you learned one, it’s hard to learn another. Hong Kong spies who went to the scene tried to shout slogans several times but failed.

Sichuan people sing patriotic songs to them, but the spies can’t sing.

main qimg 2d8d9a7783bc593dd064b7cbaff8cedc pjlq
main qimg 2d8d9a7783bc593dd064b7cbaff8cedc pjlq

Passionate interaction between Sichuan people and spy show:

main qimg 54702721a494c899b577f431d7882d33 pjlq
main qimg 54702721a494c899b577f431d7882d33 pjlq

main qimg 45329735a3f3ab26a50276a0bd27ad6d pjlq
main qimg 45329735a3f3ab26a50276a0bd27ad6d pjlq

Don’t bring white candles when you go to Sichuan next time, Sichuan people teach spies how to mourn the dead: “We can’t stand you. It’s too unprofessional not to do research in advance. It looks like you are experiencing power failure”, “you should play majong for three days to mourn”.

main qimg c3c73ddc02f1d5edf3b561a52113e96f pjlq
main qimg c3c73ddc02f1d5edf3b561a52113e96f pjlq

2022 12 02 15 42
2022 12 02 15 42

The white man who spent money on color revolution was caught by the Sichuan people. It seems that he should have been beaten by average people.

He may not be a world hero but he surely exposed the hypocrisy of the west and shared with the world that the US and the American people are not free at all. In fact the NSA snoops in on every single sole in America and the world. It spies on everyone including their best friends and allies.

Edward Snowden is a brave and honourable American who helped Americans see the truth from lies. He should be adored by good, honest and honourable Americans and not demonised by them. Americans must want real freedom and willing to sacrifice for it, it means something to them.

Golden Memories With Ken Tate

Some people have cynically defined nostalgia as a type of self-delusion. After all, they say, what exactly was good about the Good Old Days? Times were tougher, money scarcer and luxuries fewer.

I remember a book published in the early 1970s titled The Good Old Days — They Were Terrible! The author claimed the Good Old Days were good only for a privileged few and were “unrelentingly hard for most.” I would wholeheartedly agree with the last half of that assertion, but I would take umbrage with the first half.

 

It seems to me that how we deal with adversity writes the story of whether our days were good or bad. The Good Old Days certainly were not easy. Ask anyone who lived through times of economic uncertainty and war. Oh — wait a minute! That could be talking about today. You don’t need memories of living through the Great Depression and two world wars, of reading by kerosene lantern or of taking the well-worn path to the little house out back in order to remember the Good Old Days.

You merely need the heart of a child who can have more fun turning a box into a playhouse than playing with anything the box might contain. Even children who grew up in the 1970s remember those days of wide-eyed wonder.

Last summer was my first time in a primarily non-Jewish environment as an Orthodox Jewish teenager.

It was a small summer camp, and the girls around me all arrived in regular summer clad, albeit clothes I’d grown up dismissing as sinful and immodest.

In the community I’m in, every girl is adherent to tzniut, which is the Hebrew word for modesty. Orthodox Judaism insinuates a strict dress code that determined an incredible amount about me and how I live. Our elbows and knees were like border disputes.

But these were the kind of girls I’d been always constantly warned about in school, the ones who supposedly had no respect for themselves. The kind of girls I should supposedly never be like.

But as they should be, they were respected all the same. No funny stares, comments or judgemental glares from counselors—or anyone.

What they wore didn’t determine their worth. The girls I became friendly with were some of the nicest people I’d ever met. Their bare knees were irrelevant.

I soon realized it was actually tzniut that had sexualized me all along, and all who encouraged it. The constant lecturing, pestering and obsession with our skin was what was damaging in reality. Covering my body so intensely from all men just meant that my body was inherently something to be sexualized. It meant that I can only be seen a human being away from sexual consumption if I hid behind a piece of cloth. That my body was for that one purpose only.

main qimg 59dd9947a731960c1c11cfd4102a6c7b lq
main qimg 59dd9947a731960c1c11cfd4102a6c7b lq

Even worse, it meant the worst things for those around me. It meant that men aren’t capable of appreciating my personality or intelligence without sexualizing my knees and elbows. And I realized how crazy it seemed.

All of it led to a spiral of realization. A mere hour of thinking led to it all unraveling. So much that I thought I knew and believed exploded before my eyes.

I began to realize that so many of the morals I’d been brought up with…. were in fact wrong.

And at that point, I’d only just begun to understand.

The Haunting of Plas Teg

More often than not, haunted buildings are a result of a romance gone wrong. Located on A541 in North Wales lies the gorgeous but dark Jacobean mansion, Plas Teg, a property with a seriously spooky history.

The legend goes that Dorothy, the daughter of Sir John Trevor I, fell in love with a farmer’s son. Obviously, her father did not approve, so Dorothy planned to elope with her beloved. In order to start their new life, she buried some jewels in the ground outside Plas Teg that she’d dig up to help them begin their marriage.

Unfortunately, things did not go to plan. Under cover of darkness, Dorothy wandered over to the spot where she buried her jewels but accidentally fell down a well to her death. Until today, visitors still claim to see the ghost of a young girl in a white dress wandering the grounds, trying to find her jewels and her beloved. See if you can spot her or the jewels on your next visit.

Ragu Bolognese

“this is one of our favorite meat sauces although it is a bit of work. this works well with campanelle or penne to catch the sauce”

2022 12 02 16 08
2022 12 02 16 08

Ingredients

Directions

  • in a large heavy pot heat oil and butter.
  • add onions, celery, carrots, garlic and cook until vegetables are translucent.
  • add the meats and stir, cooking until no longer pink 10-15 minutes.
  • drain excess fat from pan and return to heat.
  • add milk and cook until almost dry, about 10 minutes.
  • add tomatoes and herbs and simmer about 15 minutes.
  • add wine and broth, bring to a boil.
  • lower to simmer, and cook partially covered for 2 1/2 hrs, stirring every 30 minutes.
  • season with salt and pepper to taste.

2022 12 02 16 09
2022 12 02 16 09

The amazing efficiency in what happened to the protestors in Shanghai, Beijing and Guangzhou by the Chinese Government

If you were glued to your monitors for the last couple of days, then you would be fire-hosed with all sorts of narratives that China is under a revolution, and protests are erupting out all over China.

Like this…

2022 12 01 19 37
2022 12 01 19 37

Nope. Didn’t happen.

What did happen, was a half-assed, piss-poor attempt at a NED color revolution inside of China with the belief that it’s a win-win; either China collapses or it lifts it’s zero tolerance policy for COVID. Both of which is what the USA wants.

Nope. Didn’t happen either.

So what actually did happen? Well, let’s chat about that here…

If mocking them would cause them to be ashamed to death, then yes.

The video I saw was that a girl in Shanghai accused the police of pointing guns at them(with unskilled Mandarin), but the police stood by laughing and didn’t even take out the baton. – Every Chinese knows that police will hardly use or even bring a gun.

But they did win our mocking. In Beijing, their slogan was changed to “We want to do nucleic acid testing” and “We want to scan health codes”. In Chengdu, spies shouted “We want freedom” in the accent of outsiders, but local people mocked “You want your motherf**ker freedom” nearby. In Shanghai, their leaflets even wrote wrong characters. In Guangzhou, it is even more ridiculous, because the spy employment cost in Beijing is $140 per person, and in Guangzhou, it becomes a poor $27. People have laughed at them for this all night in Chinese network.

Last night's (protesters) were all paid. Beijing 1000 Yuan, Chengdu 500 Yuan, Wuhan 300 Yuan, Guangzhou 200 Yuan, I haven't seen prices elsewhere. The whole network is laughing at the cheap price of Guangzhou, from last night till now.

It's not worth spending 1000 yuan in Beijing! When I saw it in the middle of the night, I laughed out of sleepy. "I want to do nucleic acid testing, I want to scan health codes.".

What meme is this? I waited until midnight last night and didn't see it.

It should not be seen now (deleted). In short, a group of people shouted slogans, and then they were misled by a dude. Everyone shouted, "I want to do nucleic acid testing, I want to scan the health code.".

Can you see how happy people are?

main qimg 4f8dae56a51167b8a4f221f7ccb11982 lq
main qimg 4f8dae56a51167b8a4f221f7ccb11982 lq

Thank you for this wonderful show and bringing money to China, CIA, and see you next time.

We start with this reminder of what America is like…

This story is why Utah passed a state law allowing parents to do “free range parenting” so that parents couldn’t be arrested for allowing their kids to do things we used to do as kids, like walking on your block alone.

The Secret of the Shanghai Protest

Entirely Western Sponsored

A BBC Reporter was filming the entire thing.

The Cops didnt even bother with the locals but identified the troublemaker immediately and boy did they give her the treatment.

main qimg dcb3a4310d6bc0ca2d29d74c58a058bb lq
main qimg dcb3a4310d6bc0ca2d29d74c58a058bb lq

They handcuffed her and forcibly yanked her off to a cell where they crushed her expensive iphone and gave her a local 140 Yuan phone saying “Oops”

They discovered her Laptop and asked her to open her emails.

She protested and said no.

They told her of she didn’t cooperate, she would automatically get 5 years for Non Cooperation in a National Security Event. And she would get it. They knew it, and she knew it too.

So, she backed down

And China found emails, tons of mails asking her to cover more protests and even talk to select “student leaders” by name. Lists of contacts. And all the rest.

By next week – these “student leaders” would no longer have passports and every keystroke they type for the next 20 years would be monitored. Not to mention zero social scoring, and inability to do just about anything requiring a QR code. (Which is exactly EVERYTHING.)

Notice how they zoomed in straight to the source of the trouble!!!.

They didn’t even touch the other gullible protestors.

BRUTAL EFFICIENCY!!!!!

2022 12 01 14 49
2022 12 01 14 49

2022 12 01 14 51
2022 12 01 14 51

It’s good news (IC-Chip sanctions)

It’s GOOD news!

To explain why, here is an excerpt…

… remembered a news story about an airplane that crashed in the Andes a few years back on a Black Friday. The Uruguayan rugby players who had the bad luck to survive found themselves in the middle of the magnificent mountain range surrounded by snow, buffeted by inclement weather, with no food, no heat, no warm clothing, no electricity, no radio, no idea of location, no medicine, no survival skills, and no hope. As if that was not enough, they were promptly buried alive by an avalanche.

… On the eleventh day after the crash, the crackling transistor radio on a dying battery delivered the dreaded news that the authorities had called off the search. Everyone had given up. Fortunately, one survivor did not give up. A dying boy, Gustavo, said, “GOOD news! They’ve called off the search.” Someone shouted angrily, “Why the hell is that good news?” Gustavo would soon die from the ordeal, but he saved us all by his answer, “Because it means that we’re going to get out of here on our own.”

Good news, America is sanctioning China. It means that China will be doing it on their own, and it will be another nail on the Imperial coffin.

Watch what the Chinese scientists have to say HERE

Everyone in China knows!

main qimg fafd957d78bd3773a594050dbf34d541 lq
main qimg fafd957d78bd3773a594050dbf34d541 lq

Neapolitan Meat Sauce – Ragu Napoletano Alla Anna Galasso

“From: Ciao Italia Pronto by Mary Ann Esposito ———-

“A typical Neapolitan ragu is a meat sauce made with beef or pork or a combination of both that is cooked slowly with tomatoes. This was the sauce that simmered for hours on the back burner in a large pot on Sunday morning while the family went to mass.

Upon returning home, the smell of it permeated the house, and we could hardly wait to have that plate of macaroni mixed with a sauce that was so flavorful and sweet tasting that we wiped our plates clean with a slice of bread to mop up an left behind driblets.

This is a great do-ahead sauce.

It can be made 4-5 days ahead, and it can also be frozen for months.

This is my grandmother Anna Galasso’s recipe, the one she carried with her in her head all the way from Avellino, Italy to her new home in America”.

Saving Time, use a food processor to mince and chop the vegetables.

To save time, make this sauce on the weekend, and freeze some for future use.

Use a food processor to mince and chop the vegetables.

NOTE: The sauce (with the meat) can be frozen in batches for future use for lasagne, pasta dishes, with vegetables such as green beans, zucchini, and eggplant, and over pizza.———-

For those of you that don’t know Maryanne Esposito, let me introduce you to a marvelous cook, who cooks only “Authentic” Italian food, and it is incredible! I had the priviledge of meeting Maryanne at a woman’s luncheon many years ago, and she has her own cooking show on Channel 11 in NH. She and her husband, who is a local doctor, live in the next town from where I used to live and work.”

2022 12 01 11 16
2022 12 01 11 16

Ingredients

Directions

  • Dry the round steak with paper towels, and rub it on both sides with salt and pepper.
  • Sprinkle the meat with the parsley and cheese.
  • Roll the meat up like a jellyroll, and tie it in several places with kitchen string.
  • Salt and pepper the spare ribs. Set the meats aside.
  • Heat the olive oil over medium heat in a large heavy duty pot. Over medium heat, brown the round steak and spare ribs in the oil on all sides. This will take about 5 minutes.
  • Stir in the onion, celery and carrot and continue cooking until the vegetables begin to soften. Stir in the garlic and basil. Cook 1 minute.
  • Combine the tomatoes and wine in a bowl. Slowly pour the mixture over the meat.
  • Stir in the tablespoon of salt, a grinding of pepper, and the sugar.
  • Cover the pot, bring the sauce to a boil, then lower the heat to simmer, and cook the sauce until the meat is fork tender, about 1 1/2 hours.
  • Remove the meat to a dish. Cover the dish, and refrigerate the meat to make it easier to cut when cold.
  • When ready to use, transfer the round steak to a cutting board, and cut the strings from the round steak with a kitchen scissors.
  • Cut into neat slices about 1/4-1/2″ thick.
  • Add to the sauce. Cut the meat off the bones of the spareribs and add the pieces to the sauce.
  • Use the sauce for pasta dishes both boiled and baked.

2022 12 01 11 18
2022 12 01 11 18

With or without Dynamic zero-COVID Policy, the U.S. will use various excuses to create color revolutions.

Also the West has predicted wrongly.

As far as I know, it is not the CPC that supports the Dynamic zero-COVID Policy, but the grassroots in China, which is the mainstream public opinion in China.

Do you expect the CPC to go against the mainstream public opinion in China?

At least 80% of the people around me are supporters of the Dynamic zero-COVID Policy, and young people who use VPNs do not make up the majority of China, and these people who earn tips to protest are even less representative of mainstream Chinese public opinion.

Once the color revolution ploy is exposed, it will only increase the Chinese public’s resentment of those who started it.

At the same time, I am saddened by the fact that the U.S. government is wasting the tax dollars of American citizens on this meaningless color revolution.

My notes has this as a worthy enough video to include in a post, but I seriously don’t remember ever including it in an article. Enjoy the Rory Gallagher tune.

Rory would be proud or should I say is proud...keeping his legacy alive. A million miles away in the heavens looking down on these guys...

A pretty good analysis on what is going on.

.

.

.

.

.

  • 美国 11,713 (American)
  • 英国 1579 (English – American proxy)
  • 日本 1703 (Japanese – American proxy)
From HERE

Let’s compare the American deaths in Ukraine to the ten-year long war in Vietnam.

  • Americans killed = 15,058 deaths.

From HERE

Damn! They sure are having fun up there on stage!

This is ONE HELL of a show, folks!

I once taught English in China. It was in a small city called Shandan — more of a town by Chinese standards, with only some 200,000 people. It is located in Gansu province, bordering Inner Mongolia.

The school, Shandan Bailie had been set up by a fellow Kiwi, Rewi Alley — a staunch supporter of the early Chinese Communist movement. He smuggled guns for Mao under the noses of the KMT. More importantly, he lead students on a 1000 mile march from the coast, as they were threatened by the encroaching Japanese. They founded a school in Shandan, in an old monastery.

main qimg 8bf0078461791d07ad314568e9cc35b2 lq
main qimg 8bf0078461791d07ad314568e9cc35b2 lq

It was a very interesting school, mixing half a days academic work with half a days practical work. The boys learned automotive engineering, refrigeration electronics, among other things. Real men’s work. The girls studied secretarial skills, teaching, I wasn’t sure what else. The teachers of the school had total control over the the students, who feared and respected them.

I was told that if they were punished at school, the punishment meted out by their father would be many times worse.

They weren’t raising big babies, as Western schools do.

The girl in the picture had to look after her kid brother for three months at a time, while her parents worked in a town hundreds of miles away. She was sixteen, her brother was eleven. She was the most dedicated student in the class, and was eager to tell me about her life in broken English. I forget her name now.

She would have at least three to four hours of homework every night – they all did.

She had to do all the washing, all the cleaning, all the cooking for her and her younger brother.

She and the rest of the students would have to run 1.2km every morning around a track, the temperature would reach 104 degrees Fahrenheit in the summer, minus 4 in the winter. I was there as winter set in, the coal fired heating made it like something out of a Dickens novel, a caustic, eye watering smell you couldn’t escape.

Girls like her looked forward to making about $100 US a week maximum when they graduated, living in the same town they were born in, marrying a local boy, and taking care of their parents. They would become teachers, nurses or secretaries. The dream for most people in the city was to own one of the many vacant two or three bedroom apartments that were flying up at a mad pace all around us, apartments that cost at least $60,000 US.

She was serious girl, but why wouldn’t she be? She didn’t have time to sit around like a lazy clown, wondering if someone would text her back, as big Western babies do.

I was impressed by the discipline of the youth, the small children jogging to school in formation, one of them with a whistle, leading the others. Everything was hierarchical, and the students seemed to take it without complaint.

I have to laugh at the arrogance of Big Western babies, speaking haughtily about China. Big Western babies couldn’t find their way out of a paper bag — there would be too much of a fight to decide who would exit first.

The children were tough. There was a school holiday when most students returned home, to eat moon cakes or some such. Some parents couldn’t or would afford the ten dollar bus ticket ride home, so their children remained at school. I celebrated with them. There was an impromptu talent show organized by a couple of the teachers, and when the boys refused to do their part, they were punished. Lifted by the arms and legs, their backs were thumped down upon the desks, to much laughter from the girls. I was shocked. However, after I had done my item with gusto, and they still refused to do theirs, I demanded they were punished and laughed hard when they were.

I was too soft. I had to individuate a test. I thought I was going insane. I thought I could hear voices, but every time I looked up, I couldn’t see any mouths moving, just a few sly grins. I eventually caught on and told the head dean. He gave me a knowing smile, as if to say “kids will be kids”. He was a bear of a man, who usually spoke softly, and the kids ate out of his hand, for his rage was terrifying, ferocious.

During another class, when I had let the boisterous students get out of control, a girl, who I assume was the class leader barked out an order, and they all fell silent. There were rules here.

Authority wasn’t an abomination.

Each tiny dorm room had at least four students living in it, sleeping in bunk beds — an intolerable prospect for students from my own home country.

Although their lives were much much harder than that of Western babies, the children were ferocious, cheerful. A little boy flew a kite made from a shopping bag with zeal. At night, the many, many basketball courts were filled with young men, their families and classmates watching on. I didn’t see drunks and whores everywhere as one does when in the cities of big Western babies.

I would walk around at night, trucks with big LCD screens on them would drive by, promoting the apartments, including weddings in New Zealand.

I purchased a mountain bike. It was a new sport to the city, and the owner of the shop took many photos of me, to authenticate his wares no doubt.

The people seemed mostly concerned with a better life, to obtain the things big Western babies take for granted.

What I saw in China was a people that seemed by and large united, by and large ready to take orders. I look around and the deep divisions and rebelliousness in my own decadent country — race, politics, class,.vaccine status, and I hate to think of a worsening virus, spill over from War in Eastern Europe, a crumbling supply chain.

At this point two weeks of no power and no internet

I think that’s all it would take

and there would be no country left to come back to when the lights came back on. Whether or not Long Pork will be on the menu during this frenzied fourtnight, one thing is for certain:

you can’t eat democracy,

Yup. This is what is going on in China. No big deal.

What is the status of the China Protests today?

Now the country has returned to normal, yesterday a busy night of processing was completed.

The first batch of Nanjing Media College students in Shanghai who were incited individually wrote a letter of repentance and were detained for 24 hours and released.

The Fifth Column’s Facebook group is full of frustration.

They also tried to get the locals to protest, but they found that no locals paid any attention to them.

There were a few scattered schools this morning, 1 student came out and held up a white paper but was quickly taken away from the school.

It’s over; America’s informant resources are depleted and it’s hard to create the next protest.

main qimg b6d3ee8759ff75569cec5b2eb995de56 pjlq
main qimg b6d3ee8759ff75569cec5b2eb995de56 pjlq

main qimg 675aaea497d312169ca17e394e7d8202 pjlq
main qimg 675aaea497d312169ca17e394e7d8202 pjlq

main qimg 75d9703afe52c2bb24332b7dcf25f57c pjlq
main qimg 75d9703afe52c2bb24332b7dcf25f57c pjlq

Ravioli (Dough and Choice of 4 Fillings)

“Posted in reply to a message board request. This is the family recipe for homemade ravioli. I have included 4 filling variations along with the ravioli dough recipe. Please note that this dough was made by hand and not with a pasta machine. Feel free to use the fillings with your own favorite pasta dough recipe (hand or machine). Prep time includes 1 hour drying time.”

2022 12 01 11 22
2022 12 01 11 22

Ingredients

 

 

 

 

  • Sausage Filling

  • 34 lb loose sweet Italian sausage link (or casings removed from links)
  • 2 eggs, slightly beaten
  • 2 tablespoons freshly grated romano cheese (If you prefer a less sharp cheese, freshly grated Parmesan cheese may be substituted for the Romano)

Directions

  • MAKING THE FILLING: Ricotta Filling#1: In a large bowl, blend all filling ingredients together until smooth, set aside filling until needed.
  • Ricotta Filling#2: In a large bowl, blend all filling ingredients together until smooth, set aside filling until needed.
  • Beef and Spinach Filling: Brown beef and veal in butter with the whole clove of garlic.
  • Remove garlic and let meat mixture cool.
  • Mix spinach, parsley, eggs, cheese and nutmeg into cooled meat until well blended, set aside filling until needed.
  • Sausage Filling: Brown sausage in frying pan, drain fat and allow sausage to cool.
  • When sausage has cooled, mix in eggs and cheese, set aside filling until needed.
  • MAKING THE RAVIOLI DOUGH: Sift flour and salt together.
  • Place flour mixture on a board, making a well in the center of the flour.
  • Drop eggs into the flour well, using your hand or a fork, break the yolks and beat eggs slightly.
  • Combine the eggs and flour together, gradually adding enough warm water to make a stiff dough.
  • Knead dough well, until smooth; cover the dough and let it rest for 15 minutes.
  • Cut dough in half and roll each half of the dough out on a floured board, into a very thin sheet (about 1/16 to 1/8 inch thick).
  • FILLING THE RAVIOLI: Drop about 1 to 1 1/2 teaspoonfuls of filling about 1 1/2 inches apart all along the dough.
  • When the sheet of dough is fully dotted with dabs of filling mixture, cover filling with other sheet of dough.
  • Using your fingers, gently press dough between each dab of filling to seal it.
  • Cut ravioli into squares with a (zig-zag edged) pastry cutter, or very sharp knife.
  • DRYING THE RAVIOLI: Allow ravioli to dry for one hour before cooking.
  • COOKING THE RAVIOLI: Drop ravioli into 6 to 8 quarts of boiling, salted water and cook for about 10 to 15 minutes, or until dough is tender.
  • Remove cooked ravioli from pot carefully with a skimmer or a large slotted spoon, and drain well.
  • Place drained ravioli on a serving platter in layers, alternating layers with your favorite sauce and a sprinkling of grated cheese between each ravioli layer.
  • Serve hot.

2022 12 01 11 26
2022 12 01 11 26

2022 12 01 11 27w
2022 12 01 11 27w

The world today is surreal. It sucks, but let’s enjoy the ride.

However, we also cannot deny that the protests in some areas, such as Shanghai, have deviated from their purpose, showing very classic and traditional color revolution routines. In Hong Kong, in Ukraine, wherever there is turmoil and disaster, similar patterns of behavior are constantly being played out. NGOs, CIA, and the media used social incidents as an entry point, hired gunmen or instigators at the protest site to quickly intensify the situation, and even resorted to direct murder to promote confrontation.

For example, during the protests on Middle Urumqi road, traditional Chinese characters, which are basically not used in mainland China, were written on the banners hanging; those who first started chanting political slogans had obvious Hong Kong or Taiwanese accents. It is almost impossible to obtain explosives or guns in mainland China, so by elevating a social issue to a political issue is a common tactic used by American conspiracy agencies to incite color revolutions. As a native of Sichuan, I have witnessed similar tactics in the suicide incident of student in Chengdu 49 Middle School. Protesters from southern China, armed with symbols (flowers, like carnations), chanted slogans in unison. Their behavior constitutes all the necessary elements in a political upheaval.

The simultaneous protests in many places in China are essentially a farce of young and fearless students being manipulated and instigated by malicious foreign political forces. It took advantage of the public's dissatisfaction with the current epidemic prevention policy, induced student groups who did not have a deep understanding of national security to take to the streets to protest, and then tried to detonate social incidents into political incidents through extreme means at the scene. Another attempt at a color revolution.

However, there is nothing to worry about.

During major policy adjustments, instability or errors in implementation are quite normal. If you believed US propaganda that this was the standard for China's imminent collapse, then I'm sorry - as we've apologized to you many times - that but was your pipe dream.

Well, apparently not only is China collapsing, but it has already collapsed! Who would have thunk it?

2022 11 29 09 34
2022 11 29 09 34

Well, that’s what you might think if the ONLY news you read is from the United States.

2022 11 29 14 51
2022 11 29 14 51

I ask you all, does this look familiar to you? Does this maybe jog your memories, eh?

2022 11 29 14 57
2022 11 29 14 57

Yeah.

It’s called “projection”. You place what you know about yourself upon your enemies. Then you hype up the “weaknesses” of your enemies relentlessly.

2022 11 29 14 59
2022 11 29 14 59

Hey! It’s entering “Loony Town”. Now. Here’s Hal Turner…

2022 11 29 15 03
2022 11 29 15 03

LOL.

If China actually fired on protestors it would be all over everywhere; CNN, BBC and all the rest.

Keep in mind that Chinese police do not carry guns. Don’t you know. Those that do, well, they are lethal marksmen. If six shots were fired, then six people would be dead. Where are they?

I am very skeptical…

Let’s take and place bets.

I’ll bet you that Xi Peng is still running China, and Putin is still running Russia this time next Christmas. Any takers?

together
together

I’m going to do something new and unique in this post. I have a full movie. But it is in eight (x8)  small 15 minute portions. This is from you-tube, and it lends itself nicely to this experiment of mine for today.

I hope you enjoy it.

It’s a weird and wacky comedy from the 1980’s titled “Water”. It’s got a lot of Monty Python based jokes and sketches, major actors, and takes place on a tiny, backwater island in the Caribbean sea. It’s a fit for this strange period of time that we find ourselves in.

First up…

This is a forgotten comedy classic.

Those of you from the Caribbean islands would really appreciate this wonderful movie. It shows the strange world of a small island living.

This entire movie is presented in this post in 15 minute blocks. Here’s the first one.

https://youtu.be/Gmu80-AXrRs

A Christmas movie suggestion

2022 11 29 16fwe 20
2022 11 29 16fwe 20

CANCELLED! Nuclear Arms Treaty Talks Between U.S. and Russia

Meetings of the bilateral advisory commissions on the Strategic Offensive Arms Treaty between Russia and the US, scheduled for November 29 trough December 6 in Cairo, have been cancelled.

No word yet on who cancelled the talks, or why.

Just formal acknowledgement the talks will not take place.

Another Christmas movie suggestion

2022 11 29 16 20
2022 11 29 16 20

Gambas Pil-Pil — Chili Shrimp (Spain)

This recipe was found in the 2002 cookbook, Mediterranean Street Food.

2022 11 29 15 24
2022 11 29 15 24

Ingredients

Directions

  • In a large skillet, combine oil, garlic & crushed red pepper.
  • When oil is hot & starts to sizzle around the garlic, add the shrimp & fry for 1 minute on each side.
  • Remove pan from the heat & squeeze lemon juice over shrimp & sprinkle with salt, to taste.
  • Serve very hot!

China extends tariff exemptions on some US goods in ‘reciprocal’ move

Published: Nov 28, 2022 07:39 PM Updated: Nov 28, 2022 07:35 PM
.

China will extend tariff exemptions for six months on some US goods subject to countermeasures against US Section 301 measures, according to an official announcement on Monday, in what analysts call a standard and reciprocal move after the US also announced similar exemptions.

Days after the US announced a tariff exemption extension on some Chinese goods, the Customs Tariff Commission of the State Council announced an extension of tariff exemptions on the ninth batch of US goods from December 1, 2022 to May 31, 2023, read a statement on the website of the Ministry of Finance (MOF).

According to a product list published by the MOF, the tariff exemptions are being extended on timber, agricultural products, lubricating oil, machine tools, forging machines, laser products and healthcare products.

On Thursday, the office of the US Trade Representative (USTR) announced tariff exemption extensions for an additional three months for 81 Chinese healthcare products, including blood pressure monitors and X-ray tables, in order to continue to fight the COVID-19 pandemic, according to a statement by the USTR.

China and the US are waiving tariffs on some products that are urgently needed from the other side, Hu Qimu, deputy secretary general of the digital-real economies integration Forum 50, told the Global Times on Monday.

“The trade attitude and policies of the US toward China are unstable and change often. I believe that as long as the US offers to stop imposing additional tariffs on China, China will in return stop imposing additional tariffs on the US. But the US always goes back and forth,” said Hu.

What China shows is its reciprocal countermeasures against the US, Hu noted. “If you sanction me, I will definitely sanction you back. If the US relaxes its policies, China will do the same.”

Since September 2019, China has extended several exemptions for US goods subject to retaliatory tariffs, following extensions by the US of tariff exemptions.

The US-initiated additional tariffs on more than $350 billion worth of Chinese goods went into effect in July 2018 as part of former US president Donald Trump’s tariff war against China.

But China-US trade increased by 8.3 percent on a yearly basis in 2020 and expanded by 28.7 percent in 2021. In the first 10 months of 2022, trade between China and the US rose 5.1 percent year-on-year to $639.83 billion.

Meanwhile, US companies have not stopped investment in China even after the US launched a trade war. Cargill announced increased investment in China. ExxonMobil began construction of a major petrochemical project in Huizhou, South China’s Guangdong Province, which is the first major petrochemical project wholly owned by a US company in China.

The trade figures and investment decisions show that economic and trade ties between the world’s two biggest economies are impossible to halt, analysts said, noting that the next step is to find a specific path to solve problems based on the understanding that decoupling cannot be achieved.

China-US economic and trade relations are mutually beneficial in nature. Starting a trade war or a technology war, building walls and barriers, or pushing for decoupling and severing supply chains run counter to the principles of the market economy and undermine international trade rules, Zhao Lijian, a spokesperson of the Chinese Foreign Ministry, said last week.

The USTR also said in the Thursday statement that it “may continue to consider further extensions and/or additional modifications as appropriate.”

.

43a9a3b6 a804 4f2f 9ede 059aa993ff89
43a9a3b6 a804 4f2f 9ede 059aa993ff89

https://youtu.be/oy6OcJ9bZn0

Another Christmas movie suggestion

2022 11 29 1fqw6 19
2022 11 29 1fqw6 19

Look at this protest leader…

main qimg 07c1c91593b7be181c53f0f8caefb3bf lq
main qimg 07c1c91593b7be181c53f0f8caefb3bf lq

It says “ Pay attention to the conductor/commander when in the protest area.”

We know that the protest leaders are not Chinese.

Another Christmas movie suggestion

2022 11 29 16 1w9
2022 11 29 16 1w9

Water is a 1985 British comedy film directed by Dick Clement and starring Michael Caine.

It was scripted by Clement and Ian La Frenais.

The plot spoofs elements of the comedies Carlton-Browne of the F.O. (1958) and Passport to Pimlico (1948) and the then-recent invasions of the Falkland Islands and Grenada.

Caine plays Baxter Thwaites, a Governor who has ‘gone native’ (similar to his role in The Honorary Consul), and Billy Connolly as local biracial activist Delgado, supported by the last performance of Leonard Rossiter, as Sir Malcolm Leveridge, and one of the last performances of Fulton Mackay.

The Singing Rebel’s Band consists of Eric Clapton, George Harrison, Ray Cooper, Jon Lord, Mike Moran, Chris Stainton and Ringo Starr, with backing singers Jenny Bogle and Anastasia Rodriguez. It spoofs The Concert for Bangladesh organised by Harrison in 1971.

The story is set in the fictional Caribbean island and British colony of Cascara. Widely ignored by the British Government, media, and general public, local Governor Baxter Thwaites is having an easy life in his small and peaceful colony. 

That peace is disturbed when an abandoned oil rig starts delivering water - at the standard of the finest table water brands (and laxative companies, as it contains a substance that makes you "shit like clockwork"). 

Different parties, including Downing Street, the Cascara Liberation Front, the White House, French bottled water producers, and Cuban guerrillas take interest in the future of the island and threaten to destroy the cosy way of life enjoyed by the island's inhabitants.

https://youtu.be/Fev6U7XOROY

Another Christmas movie suggestion

2022 11 29 16 1r9
2022 11 29 16 1r9

How organized is the NED in the protests inside of China?

Check out this…

main qimg 4c95cf3cc18de34bf554b5aa4627c324 pjlq
main qimg 4c95cf3cc18de34bf554b5aa4627c324 pjlq

If you mean the “protests” in various cities recently hyped up by the western media, I can tell you that in Beijing, people participating in the protests can get $140, in Chengdu it is $70, in Wuhan it is $40, and in Guangzhou it is poor $27.

We have laughed at Guangzhou for this all day.

Look, the "Voice of Democracy and Freedom" of the US has a price, and that price is $27.

In Beijing, people changed the slogan of the parade to “We need to do nucleic acid testing” and “We need to scan health codes”. In Chengdu, the accent of outsiders shouted “we want freedom”, while the locals laughed “you want your motherf**ker freedom”. In Shanghai, the leaflet even wrote traditional characters, and the writer could not write one of these characters.

Do you know what the people who really marched in Xinjiang did? They said they did not oppose the government, but only to express their condolences. At the end of the parade, they sang the Chinese national anthem, and three times of it.

Can you imagine that happening in the US or UK or any other country?

So if you’re daydreaming about China collapsing, you can stop now.

EXCLUSIVE: Official Documents prove Anthony Fauci & Bill Gates are responsible for developing the COVID Virus in a Biolab

The US government funded Peter Daszak’s ECO Health Alliance to the tune of $61,491,183 to make new coronaviruses that are infectious to humans.

It also directly or indirectly funds the Wuhan Institute of Virology to the tune of $7.4 million dollars to make new coronaviruses that are infectious to humans.

Meanwhile, the National Institute of Allergy and Infectious Diseases funded Ralph Baric to the tune of $46,958,414 to make new coronaviruses that are infectious to humans.

This totals more than $114 million in the period from 2000 to 2020 to make new coronaviruses which are infectious to humans.

There is no question that SARS-CoV 2 (causing Covid-19) is man-made. Here’s the evidence…

From HERE.

Yes.

I could cite some dry statistics and display some graphs but plenty of other people have done that. I am going to give the perspective of a 52-year-old who has seen a great deal of change, some of it for the better, most of it for the worse. I am going to share what has led to my misanthropic nihilism and negativity concerning the current “state of affairs.”

  1. When I was growing up I literally never heard of mass shootings. This does not mean they did not happen. It means they were very rare. Now, they are so commonplace as to be discussed with barely a nod. “Ten people dead; sixteen injured. Pass the potatoes, please.” We must get to the root of this epedemic, and there are multiple causes. A solution is not easy. The non-glorification of violence would be a good start. Unfortunately, Americans are not only desensitized to the horror of violence and death; we are entertained by it. We have cultivated a culture of violence appreciation. I am further alarmed that so many people completely dismiss this phenomena because it hasn’t happened to them or someone they know. We have dismissed and accepted this with a shrug.
  2. Republicans: Beginning in the middle part of the 20th century, GOP conservatives went so far to the right that they no longer resembled their former somewhat progressive selves.They became increasingly less liberal and more racist. Dixiecrats saw this and were incensed. Going forward, more and more conservatives and racists joined the Republican Party. Ideologies flipped. Republicans became the party who swung to a racist extreme, enshrined guns to a bizarre extent, and demanded their religion be legislated into law. In addition, their every effort has been to take from the poor and give to the wealthy. This became abundantly clear during the absolutely ridiculous “trickle-down-economics” era of Ronald Reagan. The rich can never be rich enough. Medicare, Medicaid, and Social security are all in danger. The gulf between the poor and the rich widens every day. The time grows nearer when the 95% will not be able to put roofs over their heads, even as the very rich, who should be paying more, lounge on their sun-drenched pool decks contemplating their wealth. I do not advocate “taking their money away,” as so many people suggest. I advocate a fairer playing field where the poor and the wealthy pay a more equal percentage in taxes rather than allowing the wealthy unfair advantages that have them paying less. And, big news flash here; we need to tax everyone fairly across the board and bring down our overall spending to decrease the deficit, rather than cut social security, and Medicare. Why are the poor the first people we think of when we consider cutting costs? Even the legendary investing guru Warren Buffet once said that his secretary’s tax rate is higher than his own, and Buffet is a multi-billionaire. We are an oligarchy, folks. Republicans have now become the number 1 problem, as they have enabled and backed Donald Trump and begun destroying voting rights in the wake of his lost bid for reelection. Republicans have become a much bigger threat than Democrats ever since they refused to allow Dems to seat Supreme Court justices and proceeded to stack that and other federal courts with super-majorities. The Supreme Court has now become nothing more than a partisan tool. Many progressive rulings will be overturned in time. This is a terrible development.
  3. Democrats: ( I am just left of center myself) have swung so far out into fantasy land that we have to be careful of everything we say and do. Literally everything is offensive. We have taken equal treatment and turned it into some kind of weird Frankenstein’s monster. Everyone deserves equal rights but political correctness has run amok. I am on the liberal side, but we need to tone down the anger. SJWs care about the welfare of all but are often so angry they can’t even be engaged in a polite debate without wanting to call in a firing squad. Wokeness, cancel culture, and censoring free speech needs to stop. Fight bigotry and hate where it exists, but don’t see it in every corner, jumping to identifying it as such until you know for sure what it is. Treat everyone equally. Use common sense and learn to identify some extreme left ideas from fringe groups as the bizarre notions they are. Up to 100 different genders? Seriously? I am also very unhappy with my party’s new tendency to try to destroy individuals by digging up comments they made 35 years ago. All of us have said something risque’ or controversial at some point. Doing this is plain ridiculous. Even with all this said, at least the Democrats mostly shed their past racism (even as it was embraced by many conservatives) and have been the leaders in advancing minority rights for decades. They have made mistakes, but I fault them far less in current times than Republicans.
  4. Politicians are bought and paid for. They should be forced to wear the names of their contributors on their suits, like those ads that are printed on the sides of racing cars. I saw this suggestion on Facebook and it is so true. How can politicians properly serve the people when they are beholden to the wealthy benefactors and corporations who donate money to them? Capitalism isn’t necessarily bad but it must be overseen and regulated. Unchecked, it produces tremendous wealth inequality and political corruption, as noted above. We need strict limits on political donations and a restructuring of how they are made, perhaps with a government supplied stipend distributed equally.
  5. Religion still rules the masses, much more so than other highly developed countries of the world, allowing bigotry and anti-science to flourish on into the 21st century. Politicians (especially conservatives) pander to this because it is one way to ensure continued support. Give your voters a couple of the things they want most and they will overlook the crooked bigger things (like tax cuts favoring the super rich.) I am not against religion: I am against having it in politics. Separation of church and state has always been a nifty idea in America but has never been a real thing. That is sad.
  6. Housing costs are out of control. One must mortgage away many decades of one’s life to buy a house that is too large and too expensive. It’s ridiculous. Small, modest homes could be purchased for much less money, but that would meet with the dissatisfaction of city ordinances and neighbors. It’s a big, fancy house in many cases or nothing. The alternative is sky-high rent on an apartment. Gone are the days when renting was cheaper than owning. Now, even modest apartments are so expensive they leave little money left for food. No one seems to advocate rent control anymore because greed is master. A livable wage is not high on the agenda either. Meanwhile, more and more people are pushed out onto the streets and homelessness increases. We find it easy to put the blame on the victims. Yes, many homeless have made mistakes but not all of the onus is on them. We have a system that is, by its very nature, generating more and more of this problem.
  7. A college education has gone completely into the stratosphere with its exorbitant cost. It’s unbelievable. A text book can cost several hundred dollars and full-time tuition for a single semester well into the thousands. Small non-traditional for-profit “colleges” didn’t help the situation any either. Though some are at least somewhat legit, many are not, and nearly all are far too expensive, thereby producing many graduates with overpriced degrees that are not likely to be valued at what they cost. And what about the large percentage of dropouts who aren’t likely to benefit at all from what they have spent? In the 1980’s college was still affordable. I signed promisory notes and managed to pay the 4 or 5 hundred dollar costs by the time the term ended. I could not afford to go to college today. It would not be possible. People are exiting universities (not necessarily graduating) many thousands of dollars in debt. Even upon graduating, most students have depressingly low chances of landing jobs in their chosen fields. Competition is greater than ever. Young people are depressed. They are sarcastic and prematurely cynical; and they have every right to be. This generation is yet another that promises to perform more poorly, save less money, have less opportunity, and be more dysfunctional than their parents and grandparents; yet, the bulk of our politicians do not appear to care. A country that wants to offer hope to all people, grant a good standard of living, and preserve this standard for the future, understands the value of turning out well-educated citizens. Yet, we make it ridiculously expensive to become educated. Why are we doing this? We must take greed out of higher education. Should profit be the top priority? * I would also add that we need to stop housing students in luxury apartments while they attend college. Living in an efficiency or a dormitory is good for you. It builds character to live simply and humbly while going through school.*
  8. Infrastructure has begun to suffer. Our city roads aren’t built to withstand the burgeoning population. Roads most everywhere are in terrible shape, and bridges are a nightmare, old and deteriorated as they are, and in need of expensive care. I am amazed, after having traveled a fair amount, that many of our states have some of the worst roads in the developed world. The power grid as well is old and crumbling in many areas. This is embarrassing and we can do better. At least in 2022 we have a president willing to put a trillion or two into tackling infrastructure. Sounds expensive, but it’s grossly overdue. * as of April 2022, money has finally been allocated for this. Perhaps that will be one step in the right direction.*
  9. My newest edit in this piece is to mention the problem we have with illegal drugs in this country (and I do not refer to pot, which I consider less dangerous than alcohol). The illegal use of powerful, lab-created drugs has reached wide-scale pervasiveness both in cities and rural communities. It is a huge problem that ruins lives, costs a great deal of money, creates crime, violence, and too often results in death. Elderly folk lose their life savings (and sometimes their lives) to some charismatic stranger who cons them for drug money. Many addicted people will stop at nothing to get their fix. Drugs become these people’s lives. Only the lucky few benefit from some sort of intervention/rehab, while the majority do not. On top of this, it has now become harder for people who desperately need pain medications to be prescribed them because of the violence and crime that people are willing to commit in order to obtain, sell, and use them. One of our mistakes (in less violent cases) has been to over-incarcerate. With the exception of violent and murderous offenders, this hasn’t helped much, if any. Drug-users also enter the work force and cause constant problems. I have had the displeasure of working with them. In some industries this is dangerous. One thing we need to do is stop romanticizing drugs and to stop treating those of us who recognize the problem as being uncool nerds. Many of the other items on this list, such as cost of living and the political divide, cause depression and hopelessness, and these things make drugs more appealing. Reduce the pain caused by many of our other problems and you do, to some extent, reduce the desire to take drugs. We must examine the pharmaceutical industry as well and look into its marketing practices to determine the extent to which it has contributed to the problem.
  10. U.S. companies relocate to other corners of the world in order to pay their employees very little and government encourages this. Good employment opportunities domestically are shrinking and I am not talking about the many low pay service jobs. Many people who would have formerly held these higher paying skilled jobs are forced into the only careers available; retail, restaurants, and other service jobs where the pay does not meet one’s needs. While unions were desperately needed at one time, their demands grew out of control, demanding ever-higher pay and benefits even in sectors that were no longer profitable. They are partly to blame. We also need officer salary caps, especially on publicly traded companies. More profits need to be handed down to shareholders and lower tier employees rather than having officers make 100 million or more salaries. To the fat-cats of corporate America, this is a big grown-up game of Monopoly where they horde as much wealth as possible while everyone else suffers. I am not against capitalism, but (like any system) it has to be monitored and governed; otherwise, it becomes capitalism out of control, and that is what we have now. The operative word is GREED.
  11. Our health care is still unaffordable. The Health Care Act is a start but is flawed, largely due to the concessions that had to be made to pass it. Greed is absolutely rampant in this industry in which a simple pill can cost hundreds of dollars. The very sick must worry about medical bankruptcy while trying to battle their illnesses. Some of the most broadly advertised physician-prescribed medications are the most expensive ones still under patent. When was the last time you saw a commercial for an older unpatented inexpensive medication? Any minor move made on a patient’s behalf in the hospital is ridiculous in its cost. Greed is master above all else. Now, it is quite likely that Republicans will remove protections for people with pre-existing conditions as well as other features of the health care act. Additionally, a little-known consequence of the health care act is that it forces people who might otherwise retire early to remain in the work force into their late 60s in order to receive health care. Medicare and Medicaid will not kick in yet (in most cases) if you retire at 58 or 60. This is one item that tells me that the government is worried about the lack of enough workers in the next few decades.
  12. By the 2030s or early 2040s things will be gravely worse, with an ageing population and fewer people paying into social security than drawing from it. Thanks to government’s redistribution to the wealthy, the problem is exacerbated. We will initially lose a chunk of those payments and will eventually lose this and other programs completely. This initiates our entry into third-world status.
  13. I think what disturbs me most is the complete unwillingness of our two major parties to work together for the good of the nation. And yes, (due to individuals like the hateful obstructionist Mitch McConnell), I fault the conservative party more for this than the other, although fault lies on both sides. A few decades ago, we had some caring politicians who went to the table with honest intentions of compromising and reaching a solution, even when they strongly disagreed. Even with this disagreement, we often respected eachother. Now, we have a complete unwillingness to cooperate, even going so far as to refuse to allow the opposing party to put judges on the supreme court. This hateful divide hurts everyone. The parties have opposing views, but they should not be enemies. Their goal should be to unite in promoting as good a life as possible for all citizens. Additionally, we have tactics and tricks like gerrymandering and voter-suppression as well as the grossly outdated electoral college that suppress the will of the actual majority of people.

Even with our greater technology, I-Phones, computers, medical advances, and other luxuries, these problems lessen us every day, and not enough people care to do a damn thing about it. Obsessive greed is one of the things we most need to tackle, from big corporations to medicine and higher education. Money is important but we have allowed that obsession to get in the way of the higher interest of caring for all our citizens. Making all their needs more affordable and obtainable is a good start. I am thankful I do not have kids. I would grieve incessantly now to think of the world I have brought them into. I only hope that younger people are observing this disaster and that they will take the reigns and make some needed changes. It may be too late.

* To the few folks (including a lady who was so rude I deleted her comment) who think we should love the country as it is or “get out,” I say this. Such reactions are naive and juvenile. I love my country and I wish to see it become better. Civilizations do not progress through complacency. They advance when folks sound alarms and encourage change. That is why women and minority groups now have rights they would never have enjoyed had they just “loved it or got out.”

  • In response to people both in this thread and in their own answers who keep pointing out that the U.S. is a world leader, has a great military might, has abundant freedom and influence, etc.. this is my reply. I am largely talking about internal conflict here. I am discussing problems that are dividing us from within, and this includes the aforementioned two-party system of hatred and divide. There is more to being a success than having a great military or a high GNP or world influence. I am talking about the hate that has nearly taken us over, and of the increasing unaffordability of the cost of living. We are disintegrating. We still lead the world in many ways, perhaps, but even the rest of the world sees the problems we are experiencing that are collapsing us from within and are appalled. We lose the world’s respect more every day. Some of the horrendous politicians and presidential administrations of recent years (including Trump in particular) have greatly contributed to the problem. What one administration accomplishes, the other tears down with the next election. We can’t even be trusted to remain in a peace agreement or environmental agreement anymore. The two-party hate is palpable.
  • *Years later, I now look upon the blatant attempt by one narcissist and his legion of worshipers AND legislative enablers to overthrow our government to install a backward dictatorship and I am more pessimistic than before. Our representative democracy is in dire trouble and the unpleasant marriage of government and religion threatens to roll back decades of progress. What happened to us?

Another Christmas movie suggestion

2022 11 29 16 19
2022 11 29 16 19

https://youtu.be/_mOZUp4A6oI

Another Christmas movie suggestion

2022 11 29 16 1eqavs8
2022 11 29 16 1eqavs8

2022 11 29 14 14
2022 11 29 14 14

https://youtu.be/0Mx2pM0JhTE

Another Christmas movie suggestion

2022 11 29 16 1s8
2022 11 29 16 1s8

Manaquis Bil-Za’tar — Thyme Bread (Lebanon — Middle East)

This recipe combo was found more or less intact in the 2002 cookbook, Mediterranean Street Food. Preparation time does not include time needed for dough to rise.

2022 11 29 15 27
2022 11 29 15 27

Ingredients

Directions

  • FOR THE DOUGH: In a small container stir together yeast & 1/4 cup warm water, then set asde for 5-10 minutes.
  • In a large mixing bowl, whisk together flour & salt, then make a well in the center & pour in the oil.
  • Work in the oil with your fingertips until completely absorbed, then add the yeast water & knead with your hands for several minutes.
  • Gradually add another 1/4 cup warm water & knead until dough is smooth & elastic, then form into a ball, cover with a damp cloth & leave in a warm place for 1 hour, or until doubled in size.

 

  • FOR THE TOPPING: In a skillet over medium heat, combine thyme, sumac & sesame seeds, stirring continuously until the aroma rises & the mixture is slightly toasted. Salt to taste & set aside to cool slightly.
  • In a small bowl, mix together all the topping ingredients.
  • Divide the dough into 4 equal portions & roll each into a ball.
  • Dip the first ball of dough in flour on all sides, then shake off the excess before rolling it into a thin disk about 1/8-inch thick.
  • Make a few dimples across the flat dough, pressing hard with the tips of your fingers (done to stop oil in the topping from running out during cooking), then spread a quarter of the topping over the disk of dough.
  • Place a nonstick skillet over medium heat & cook the dough in the skillet, topping side up, for 3-5 minutes or until bottom is crisp & lightly golden.
  • Repeat the procedure to make the rest of the breads.
  • Serve hot or warm, either plain or with some yogurt or . . .

Another Christmas movie suggestion

2022 11 29 16 18
2022 11 29 16 18

https://youtu.be/5mdSsQvSRxQ

Shawarma Djaj — Chicken Shawarma (Lebanon — Middle East)

This recipe comes from the 2002 cookbook, Mediterranean Street Food. Preparation time does not include the 3 hours needed for the chicken breasts to marinate.

2022 11 29 15 31
2022 11 29 15 31

Ingredients

Directions

  • In a mixing bowl, combine chicken breasts with 1/2 cup of onion, lemon juice, olive oil & spices, then add the thyme before adding the salt to taste.
  • Stir well & then let marinate, covered, in the refrigerator for about 3 hours, stirring occasionally.
  • Preheat oven to 350 degrees F, then place chicken breasts in a roasting pan & bake for 25-30 minutes or until done.
  • Remove from the oven & shred the chicken into slivers.
  • Open oval pitas at the seam to create a large pocket, then spread a tablespoon of garlic sauce on the bottom half before filling each pits with equal amounts of sandwich ingredients (i.e. chicken, tomato, onion, gherkins, mint & parsley).
  • Wrap the bottom of the ‘sandwiches’ with a napkin & serve immediately.

I think you are a fake!

I think you think we in QUORA are fools.

I think you are a white Caucasian Anglo Saxon who thinks your system is the best in the world.

I think you don’t know after stealing from the rest of the world for a century yet today you are highly indebted yet thinks others must be like you!

I think you just like to tell everyone that China is authoritarian to make your broken system good.

I think you don’t know how to think.

Good try but 97% Chinese thinks their system is far superior.

They think you don’t know how to think.

100 Million Dead Birds Are Just The Beginning, Because This Pestilence Is Far From Over…

.

Did you know that an extremely vicious virus that is currently sweeping across the globe has already killed more than 100 million birds?  If you haven’t heard about this yet, don’t feel bad, because most people in the general population don’t know about this either.  Like so many of the other nightmares that we are facing right now, the mainstream media continues to downplay the bird flu crisis and continues to insist that authorities have everything under control.  But of course the truth is that they don’t have this outbreak under control.  Flocks are being steadily wiped out all over the planet, and prices for turkey, chicken and eggs just keep going higher and higher.  If the bird flu spreads even faster in 2023 than it did in 2022, it won’t be too long before we have some pretty serious shortages on our hands.

Over the long Thanksgiving weekend, it was being reported that the official death toll in the U.S. has now reached 50.54 million.  That makes this “the worst animal-health disaster in U.S. history”

More than 50.54 million U.S. birds have died in what is the worst Avian flu outbreak in U.S. history, according to data from the Department of Agriculture, Reuters reports. It also marks the worst animal-health disaster in U.S. history.

But it is perfectly “normal” for tens of millions of our turkeys and chickens to be wiped out, right?

Unfortunately, this pestilence is not just limited to the United States.  In fact, the UK and the European Union “are also suffering their worst avian-flu crises”

Losses of poultry flocks sent prices for eggs and turkey meat to record highs, worsening economic pain for consumers facing red-hot inflation and making Thursday’s Thanksgiving celebrations more expensive in the United States. Europe and Britain are also suffering their worst avian-flu crises, and some British supermarkets rationed customers’ egg purchases after the outbreak disrupted supplies.

We have never seen anything like this before.

According to CNBC, the number of dead birds in the EU alone has reached “nearly 50 million”…

Governments across Europe have culled bird populations to limit the spread of avian flu. Almost six million birds have been killed in the Netherlands since October 2021, while Spain, Bulgaria, Denmark and France have also been badly affected.

Nearly 50 million birds have been killed in Europe this year as countries try to contain the disease, according to the EU’s Food Safety Agency.

Actually, that figure is a bit old, but I was not able to find an updated one.

But if you take that number and add 50 million from the United States, that gives us a grand total of approximately 100 million dead birds, and that doesn’t even account for the remainder of the globe.

For example, nearly 3 million birds have already been wiped out in Japan, and at this point the bird flu is beginning to spread like wildfire in that country…

Cases of the highly pathogenic avian influenza, or bird flu, are spreading at an unusual pace in Japan, with 18 outbreaks confirmed this season through Saturday at poultry farms in 12 of the country’s 47 prefectures.

But that number is expected to rise further, in line with global trends.

This has truly become a worldwide crisis.

Past outbreaks have either greatly subsided or completely gone away during the hot summer months, but that did not happen this year.

In 2022, the virus “continued to fester” during the warmer months, and now authorities are concerned that things could get much worse during the cold months that are in front of us

“The virus has mostly impacted turkey and egg operations, sending prices to all-time highs and contributing to soaring food inflation. While the spread slowed during the warmer months, it continued to fester and now risks further spread as cooling temperatures prompt more birds to migrate,” Bloomberg said.

Of course this comes at a really bad time, because if you follow my work on a regular basis you already know that global food production is being hit hard from countless directions right now.

The UN has already been warning us that 2023 will be a year of famine in many areas of the planet, and so it would be very helpful if the bird flu were to disappear.

Unfortunately, that is not likely to happen any time soon.

And actually we have been extremely fortunate so far.

If the bird flu were to mutate into a form that humans can catch and spread easily, we would rapidly be facing a global health scare of epic proportions.

Up to this point, it has been rare for humans to catch the bird flu, but when it does happen the death rate can be as high as 60 percent

But when the bird flu does infect people, it can be quite severe, with a mortality rate estimated to be at approximately 60 percent, according to the CDC.

Can you imagine how frightened people would be if such a virus started spreading among humans in our major population centers?

The panic would be far beyond anything that we have experienced over the past few years.

So this is a story that we will want to keep a close eye on.

For years, I warned my readers that we were moving into an era of great pestilences.

Sadly, the times that I warned about have now arrived.

Of course what we have been through so far is just the beginning.

The pestilences that we are currently dealing with will continue to spread, and more are coming.

Millions upon millions of people have died up to this point, and tens of millions more will eventually die.

A lot of you have been waiting for life to “return to normal”, but that isn’t going to happen.

Global events have begun to spiral out of control, and things are only going to get more intense as we roll into 2023.

Another Christmas movie suggestion

2022 11 29 16 17
2022 11 29 16 17

Merry Christmas

christmas tree ornament8
christmas tree ornament8

PLA Southern Theater Command troops warn away trespassing US warship near Nansha Islands

Published: Nov 29, 2022 11:09 AM
.

The Chinese People’s Liberation Army (PLA) Southern Theater Command on Tuesday morning discovered that the vessel USS Chancellorsville had trespassed into waters around the Nansha Islands in the South China Sea without China’s authorization, and followed and warned away the guided-missile cruiser.

USS Chancellorsville
USS Chancellorsville

The US military’s actions are a serious violation of China’s sovereignty and security, and irrefutable proof of its hegemony in navigation and militarization of the South China Sea, Tian Junli, a spokesperson from the PLA Southern Theater Command, said on Tuesday, noting that such behavior fully reveals that the US is the provocateur against peace in the region.

China holds indisputable sovereignty over the South China Sea islands and their nearby waters, and the PLA Southern Theater Command maintains a high state of readiness at all times to resolutely defend the country’s sovereignty, security and peace and stability in the South China Sea region.

In July, the destroyer USS Benfold sailed near the Nansha Islands in the South China Sea only a few days after it was driven away by the PLA from Chinese territorial waters off the Xisha Islands.

Consecutive provocations by the US expose not only its navigational hegemony, but also its anxiety in the face of the growing capabilities of the PLA, experts said.

https://youtu.be/oVBZR__BlPE

Merry Christmas…

christmas tree ornament12
christmas tree ornament12

It Is Beginning: 41 Percent Of All Small Business Owners Could Not Pay Rent In November

.

Many experts are now warning that we could see the housing market and the commercial real estate market simultaneously crash in 2023.  If that were to happen, it would put an extreme amount of stress on our financial system.  The only way we will avoid such a fate is if the Federal Reserve starts reducing interest rates.  Unfortunately, that isn’t going to happen.  In fact, officials at the Federal Reserve keep telling us that interest rates are going to keep going up.  This is literally a suicidal course of action, because higher rates are going to absolutely crush the economy.

If you doubt this, just consider what is already happening.

According to a new Alignable survey that was just released, 41 percent of all small business owners in the United States could not pay rent in November…

Due to high inflation, reduced consumer spending, higher rents and other economic pressures, U.S.-based small business owners’ rent problems just escalated to new heights nationally this month, based on Alignable’s November Rent Poll of 6,326 small business owners taken from 11/19/22 to 11/22/22.

Unfortunately, 41% of U.S.-based small business owners report that they could not pay their rent in full and on time in November, a new record for 2022. Making matters worse, this occurred during a quarter when more money should be coming in and rent delinquency rates should be decreasing. But so far this quarter, the opposite has been true.

In September, that same survey found that 30 percent of all small business owners could not pay rent.

Many were deeply alarmed by that figure, and then it jumped up to 37 percent in October.

Now we are at 41 percent, and if there is any time when small business owners should be able to pay rent it is during the holiday season.

When commercial real estate tenants cannot pay rent, it inevitably has a domino effect.

It appears that we will soon have millions of empty commercial spaces all over the nation, and many owners will soon be unable to make loan payments because sufficient rent money is not coming in.

If the Federal Reserve insists on raising rates even higher, I anticipate that we will eventually be facing a commercial real estate crash of unprecedented size and scope.

Meanwhile, the implosion of the housing market continues to pick up speed.

Existing home sales have now declined for nine months in a row, and the median price of a home in the U.S. has now fallen by about 7 percent.

Sadly, many experts are now warning that things will only get worse in the months ahead.  Here is one example

“In one line: Collapse in prices is coming,” wrote Kieran Clancy, senior U.S. economist at Pantheon Macroeconomics.

I told my readers that this would happen if the Federal Reserve aggressively hiked interest rates.

Of course home prices could soon fall a lot more.  In fact, Pantheon is projecting that they could ultimately fall by a total of 20 percent from the peak…

Pantheon estimates that existing home prices will keep falling, ultimately dropping by about 20% from their June peak of around $414,000.

If you are planning to sell a home, I would try to do it as quickly as possible before prices go way down.

Meanwhile, another troubled cryptocurrency firm has just filed for bankruptcy

Distressed crypto firm BlockFi has filed for Chapter 11 bankruptcy protection in the United States Bankruptcy Court for the District of New Jersey following the implosion of putative acquirer FTX.

So why is BlockFi in so much trouble?

Well, the truth is that there are a lot of reasons, but one of the biggest is the fact that they loaned 275 million dollars to FTX that will never be repaid…

In the filing, the company listed an outstanding $275 million loan to FTX US, the American arm of Sam Bankman-Fried’s now-bankrupt empire.

I warned my readers that FTX would not be the last domino to fall.

And now another one has tumbled over.

Needless to say, there will be many more, because FTX “has more than 1 million creditors”

In a matter of days, FTX went from a $32 billion valuation to bankruptcy as liquidity dried up, customers demanded withdrawals and rival exchange Binance ripped up its nonbinding agreement to buy the company. Gross negligence has since been exposed. Ray added that a “substantial portion” of assets held with FTX may be “missing or stolen.”

FTX has more than 1 million creditors, according to updated bankruptcy filings, hinting at the huge impact of its collapse on crypto traders and other counterparties with ties to Bankman-Fried’s empire.

FTX was just one giant Ponzi scheme, but of course the entire system is just one giant Ponzi scheme.

The entire thing is eventually coming down, and a lot of prominent voices are trying to sound the alarm about this.

For instance, author Robert Kiyosaki tweeted the following just a few days ago

Many of you know I do not invest in equities, bonds, ETS or MFs. Please DO NOT listen to what I’m going to say next: “I would get out of paper assets.” The world economy is not a “Market.” I believe economy is the biggest bubble in world history.

Of course he is quite correct.

We have been living in the largest bubble in all of human history.

And once it finally shatters into billions of pieces nobody is going to be able to put it back together again.

So get ready for a massive adjustment in your standard of living.

With very hard times looming, the Washington Post is encouraging their readers to reduce food costs by eating bugs…

The Washington Post advised Americans Sunday that instead of a traditional season dinner, which now is unaffordable for a quarter of families, they should instead look to eating bugs.

Yes really.

In an article headlined Salted ants. Ground crickets. Why you should try edible insects, the Post stated “Consumers can already find foods like salted ants on Amazon and cricket powder protein bars in Swiss grocery stores.”

I don’t know about you, but I don’t plan on ever eating bugs.

No matter how bad things get, I just couldn’t eat ants or crickets or beetles.

Unfortunately, most people are completely and utterly unprepared for the times that we will soon be facing.

A tremendous amount of economic chaos is on the way.

The Federal Reserve could help matters a great deal if they would just stop raising interest rates.

But that isn’t going to happen, and so it appears that 2023 will be a year of severe economic pain all over the nation.

Merry Christmas Early

I hope you all have your movies sorted out.

christmas tree ornament11
christmas tree ornament11

US Quietly Announces New Ukraine Command With 3-Star General

https://youtu.be/DoA8ViXedb4

China Keeps Aggressively Surrounding Itself With US Bases: Notes From The Edge Of The Narrative Matrix

Caitlin Johnstone

Nov 29

Listen to a reading of this article:

Facts:

  • There are Chinese people with real grievances against their government.
  • The US empire’s propaganda machine will spin current protests in China to advance imperial agendas.
  • Western intelligence agencies will become more and more involved in these protests the longer they go on.

It still amazes me how many people who fancy themselves anti-establishment critical thinkers will spend all day mindlessly regurgitating mainstream media lines about China.

I cannot emphasize enough how little respect I have for anyone who parrots US empire narratives about China and how completely dismissive I am of all their attempts to explain to me that it’s actually right and good to do this.

Literally all of our major problems are because of the people who rule over us; if you’re buying into the narrative that who we should really be mad at right now is a government on the other side of the planet with no power over us, you’re a fucking loser. You’re a bootlicking empire simp. You’re worthless, bleating human livestock.

Why does China keep aggressively surrounding itself with US military bases?

US Military Set to Return to Subic Bay, Philippines to Counter China
The US closed its military base in Subic Bay 30 years ago
by Dave DeCamp@DecampDave here

— Antiwar.com (@Antiwarcom) November 25, 2022

Everyone knows the US has invaded countries completely unprovoked very recently and will definitely do so again, but we still have to pretend that Putin is the worst thing since Hitler.

It’s disturbing how many people I encounter who claim Russia’s invasion of Ukraine is worse than America’s invasion of Iraq because Ukraine is a “democracy”. How fucked up do you have to be inside to believe human lives are worth less because of their nation’s political system?

Leaving aside the fact that a nation which bans political parties, shuts down opposition media, imprisons opposition leaders, and is vastly more accountable to Washington than to its own people is in no way a “democracy”, that’s just a profoundly disturbed way of looking at life. A mother holding the remains of a child whose body has been ripped apart by military explosives does not care whether her country is considered a “democracy” by the western governments who are invested in that country’s military outcomes.

 

Rightists correctly believe that liberals subscribe to an artificially constructed worldview designed by the powerful in the service of the powerful, but incorrectly believe that they themselves do not.

Common debates:

  • Which status quo party is best
  • Which side of the culture war is correct
  • How the western empire should act
  • What capitalism should look like

Uncommon debates:

  • Should status quo politics exist
  • Should the western empire exist
  • Should capitalism exist
  • Should class war replace culture war

And it is of course entirely by design that the former are common and the latter are uncommon. Keeping everyone debating how establishment power structures should exist, rather than if they should, ensures the survival of those power structures.

It’s actually a really big problem that the most visible “left” in the US is completely worthless on war and militarism. When Americans who are critical of those things look right and see people like Rand Paul and Tucker Carlson doing something then look left and see AOC and Bernie doing nothing, which side do you think they’ll choose?

And of course this is because the so-called progressive Democrats are not “left” in any meaningful way, but your average mainstream American doesn’t know that, and perception is reality. The US is the nation where antiwar sentiment is most important and the most urgently needed, and it’s been buried on the left. Americans are trained that Clintonites are “center-left” and AOC/Bernie are “far left”, and anyone further to the left than them on foreign policy is demonized by these progressives as a Russian agent. This creates the very understandable impression that the entire left is pro-war.

When you’ve got Ilhan Omar and AOC calling people who protest US proxy warfare at their rallies Russian operatives and antiwar leftists like Jill Stein branded as Kremlin agents, the message mainstream Americans come away with is that antiwar sentiment is only welcome on the right.

Again, I get this isn’t true and there’s lots of antiwar sentiment on the true left in the US, but nobody sees that left. It’s denied any media presence or political validity; mainstream Americans don’t know the difference between an anti-imperialist socialist and a Berner. This causes antiwar Americans to drift to the right; I’ve watched it happen in real time with some of my US followers. I do my best to make the case for the left, but I’m just one voice amid a surging deluge of messaging they’re getting that the real opposition is on the right.

Naming your war machinery after the Indigenous tribes your government genocided is the modern-day equivalent of wearing the skulls of your enemies on your war horse.

A lot of acceptance of the status quo worldview boils down to a failure of imagination. People literally can’t imagine the possibility that reality is as different as it is from what they’ve been told by their teachers, parents, pundits and politicians. It’s actually unfathomable to them, and that is because it’s so different. The world we’re trained to see by establishment perception managers is as different from the real world as any fictional world is.

The incremental normalization of police murderbots is probably nothing to worry about. HERE

— Caitlin Johnstone (@caitoz) November 26, 2022

The claim that capitalism is the best system for generating profits is basically correct; it’s hard to beat greed and starvation as a carrot and stick to get the gears of industry whirring. The issue here is that merely generating profits won’t solve most of the world’s problems, and in fact many of our problems come from the fact that capitalism is too effective at turning the gears of industry. Our biosphere is dying largely because capitalism values making lots of things but not un-making things; we’re choking our ecosystem to death because it’s profitable.

Capitalism has no real answers for problems like ecocide, inequality, exploitation and caring for the needful. Yes “let the markets decide” will generate lots of profits for those set up to harvest them, but profit-seeking cannot address those very serious problems. The “invisible hand of the market” gets treated as an actual deity that actually exists, with all the wisdom necessary to solve the world’s problems, but in reality the pursuit of money lacks any wisdom. It can’t solve our major problems, it can only make more stuff and generate more profit.

Find me a capitalist business plan for leaving a forest untouched. Find me a capitalist business plan for keeping someone free of illness, for ensuring that someone with nothing gets what they need, for giving resources to a struggling parent. You can’t. Capitalism can’t do this. These are the most important things in the world, and no possible iteration of capitalism has any solutions for any of them whatsoever, apart from “Well hopefully rich people will feel very charitable and fix those problems.” And how is that solution working out? It’s a joke.

The “Maybe the very rich will feel charitable and fix our problems for us” solution assumes that the very same people who are wired to do whatever it takes to claw their way to the top of the ladder will suddenly start caring deeply about everyone they stepped on to get there. Capitalism elevates sociopaths, because profit-seeking competition-based systems reward those who are willing to do whatever it takes to get ahead. That’s why we are ruled by sociopaths, and it’s why looking to “philanthropy” as a solution to our problems is a ridiculous joke.

When capitalism proponents tell socialists and communists “You don’t understand economics,” what they really mean is “You don’t understand that capitalism is the best system for generating profits.” But socialists and communists do understand this; it’s just that generating profits, in and of itself, is not sufficient.

If lack of wealth is your major problem, then capitalism can be a tool to address it; that’s what China is temporarily doing to keep up economically with the western forces who wish to enslave it. But such measures won’t solve ecocide, inequality, exploitation, and caring for the needful. For that other measures are needed.

If you want to make more of something (money, material goods), then capitalism can be a good way to do that. But if you need to make less of something (pollution, inequality, exploitation, sickness, homelessness, etc) it’s worthless, and other systems must be looked to.

You can say “But communist regimes are authoritarian blah blah” all you want, but that doesn’t change the fact that capitalism has zero answers for the most important problems facing our species. This still needs to be addressed, and moaning about Mao and Stalin isn’t an answer. Don’t like the iterations of socialism we’ve seen so far? Okay. Then find another answer, and remember we’ve already established that capitalism is not an answer; it cannot address the problems we’ve discussed here. So we need to find an actual answer that does actually work.

Dismantling capitalism, if we ever achieve it, will be the most difficult thing that humanity has ever accomplished. As hard as everyone becoming a buddha, and essentially not much different. But that doesn’t change the fact that it is existentially necessary for us to do so.

We’ll either move from competition-based systems to collaboration-based ones, eliminating all the obstacles necessary for us to do so, or we will go extinct. We are at our adapt-or-die juncture as a species.

Merry Christmas.

christmas tree ornament13
christmas tree ornament13

So why is anyone in the United States even thinking of global warfare with Russia, China and Iran simultaneously?

Yes. Why?

It all begins with this Bloomberg article…

2022 11 27 16 56
2022 11 27 16 56

The idea that it should or can shows absolutely and positively the insanity that grips the United States today.

Check out today’s Drudge Report…

2022 11 28 10 10
2022 11 28 10 10

Yes, there is a massive push to destabilize China. This is part of that effort. Protests, and massive “news” coverage in the West. It’s a well established NED technique.

But keep reality in mind…

2022 11 28 10 08
2022 11 28 10 08

The protest did not take place in Urumqi, Xinjiang, but thousands of miles away in Urumqi Road, Shanghai.

The crowd at the Shanghai protest came from the “Nanjing Media College” in Shanghai, a private, third-tier university where the students are largely clueless and the teachers are particularly pro-American. No matter what time of year it is, students and young people are still the best people to agitate. The location of the protest was Urumqi Middle Road, less than 500 meters from the U.S. Consulate in Shanghai.

main qimg 7a9042c404dfcc07876acdef12d33138 pjlq
main qimg 7a9042c404dfcc07876acdef12d33138 pjlq

The linking of the “Urumqi fire” to “Dynamic zero-COVID Policy” has anti-China forces operating behind it.

It is definitely a conspiracy.

Shanghai Urumqi Road Protest…

main qimg 4f10b5b70d2f680e406c8fe767246eff pjlq
main qimg 4f10b5b70d2f680e406c8fe767246eff pjlq

Confessions of “protesting” students on site. Saying that if anyone gets caught, they must run to their nations’ embassy. (Yes, That’s right. They are not Chinese citizens.) It also says that the Chinese officials will not be nice, and to be careful about posting on Wechat.

main qimg 25149fb62e5b3949237e2510059738c8 pjlq
main qimg 25149fb62e5b3949237e2510059738c8 pjlq

The text of the Shanghai Urumqi Road protest is in Traditional Chinese. Not in mainland Chinese.

The only people that use Traditional Chinese characters are those living in Taiwan and Hong Kong.

main qimg 85b6bfd771126f466f12d4ec836876f9 pjlq
main qimg 85b6bfd771126f466f12d4ec836876f9 pjlq

Chengdu, a group of people with Hong Kong dialect shouted “Long Live Freedom, Long Live Democracy.”

Then a man with a local Sichuan accent shouted.” I want your fucking freedom!”

That’s when “the jig was up”. Everyone was aware that these “students” were not locals, and were not mainland Chinese. They couldn’t even understand the local dialect where they supposedly lived.

main qimg 10575a7c975fcc723926c2c4f731d6d2 pjlq
main qimg 10575a7c975fcc723926c2c4f731d6d2 pjlq

Two women confronted police in Guangzhou’s Haizhu Square and tried to agitate, shouting in Mandarin to the crowd behind them, “Guangzhou people are standing up!”

main qimg f6a91cce36cf8b9fec524e3b8c51f19e pjlq
main qimg f6a91cce36cf8b9fec524e3b8c51f19e pjlq

A group of locals scolded the two women in Cantonese.

main qimg 3a5c3a5d45cb0ce9a8ea62bfa7af56bb pjlq
main qimg 3a5c3a5d45cb0ce9a8ea62bfa7af56bb pjlq

They didn’t even understand what was being said to them!

Faced with the Cantonese language abuse of the Guangzhou crowd, they acted unusually bewildered. How can local mainland Chinese not know Cantonese they wondered.

Even Hong Kong people know and can speak Cantonese. The only Chinese people who cannot are those from Taiwan, and a handful from the extreme Western Chinese regions. Cantonese is well spoken throughout China.

A member of the “Fifth Column” tweeted a question: Why do you tip 1000 in Beijing and Wuhan. 500 tip in Chengdu but only 300 tip in Guangzhou.

Referring, of course, to the “tips” of money from the CIA / NED to protest. These come in packages and sizes.

main qimg 42cb994a28e91e2a7faa60ee0cc825ec pjlq
main qimg 42cb994a28e91e2a7faa60ee0cc825ec pjlq

The people sent to Chengdu speak Hong Kong dialect;

People sent to Guangzhou, can’t understand Cantonese.

The CIA should have mobilized some locals to be more convincing.  They might as well have worn signs on their chests that say “I am CIA prevaricator”.

A1nYNISUL1500
A1nYNISUL1500

With or without Dynamic zero-COVID Policy, the U.S. will use various excuses to create color revolutions.

The Chinese National Security Agency is getting to work! Yeah. Another CIA agent arrested, and well… he won’t be alive too much longer.

main qimg 3ba5b1fa16f85f78767dc4277b1ba498 pjlq
main qimg 3ba5b1fa16f85f78767dc4277b1ba498 pjlq


Also the West has predicted wrongly.

As far as I know, it is not the CPC that supports the Dynamic zero-COVID Policy, but the grassroots in China, which is the mainstream public opinion in China.

Do you expect the CPC to go against the mainstream public opinion in China?

At least 80% of the people around me are supporters of the Dynamic zero-COVID Policy, and young people who use VPNs do not make up the majority of China, and these people who earn “tips” to protest are even less representative of mainstream Chinese public opinion.

China is “kicking butt”, and the United States is floundering.

2022 11 28 10 08 growth
2022 11 28 10 08 growth

Declan Hayes
November 27, 2022
.

Though the World Cup, like all similar tournaments, must return to their apolitical roots, the U.S. and its allies are the elephants in the room, the flies in the ointment, the mothers of all spoilsports.

MI5’s BBC news site recently led with the non-story that Chinese protesters are calling for President Xi to resign. How convenient, with the collapse of MI5’s Hong Kong protests, that these gulls are unwittingly acting as a fifth column for the Royal Navy and America’s Seventh Fleet, which stalk the Taiwan Strait! One wonders when the U.S. will arm these peaceful protesters, just as they have previously armed their confreres in Syria, Iran and Hong Kong.

The Chinese government have the two basic problems of multiplication and of division. They fear that a small, localized problem, if replicated across China, will quickly become unsurmountable and their problem of division makes them divide their national cake, such as it is, in 1.42 billion ways, whilst keeping their 1.42 billion citizens happy and hopeful for their future. Human resource management, in other words, on a Biblical scale.

China’s perennial problems of multiplication and division, spurred on by Perfidious Albion and her equally treacherous allies, are an unenviable headache China’s leaders must deal with. Witness the snows that blanketed all of China a few Chinese New Years ago, when more than 100,000 migrant workers stranded in Guangzhou Railway station vented their wrath at those hapless soldiers who drew the short straw and so had to explain to these angry hordes that the snow made thousands of km of rail tracks unpassable and that, as a consequence, all 100,000 of them, together with millions more of other stranded migrant workers, could not make their annual pilgrimage home to their rural villages.

Pity the freezing Chinese soldiers who had their own New Year ruined not only by the snow but by the understandable failure of the government to explain to hundreds of millions of Chinese citizens that they could not budge the billions upon billions of tonnes of snow that ruined their holiday. Now return to MI5’s BBC news site and ask why the Chinese authorities allow BBC to exploit these problems by picking and choosing who to interview in China, where the BBC clearly does not belong.

Pop over to Iran, whose citizens are still celebrating their team’s win over Wales and ask why the BBC does not highlight the hundreds of Iranian soldiers and citizens their peaceful protesters deliberately murdered.

Speaking of the World Cup, witness Carlos Queiroz, Iran’s manager, asking why the BBC ambush his players with politically loaded questions but never direct political questions at the managers and players of England or the United States, whose countries are bywords the world over for human rights’ abuses. And, given that Saudi Arabia and Qatar, which is hosting the tournament, are no slouches when it comes to abusing human rights, why are they not also targets for the BBC’s ire?

Although the German team did protest on behalf of their transgender colleagues, isn’t it odd these German jokers had nothing to say about whoever it was who blew up the Nordstream pipeline and thereby condemned thousands of elderly Germans to a cold winter and an early grave? Perhaps the German team are good only for vacuous virtue signalling and not much more. It certainly seems so.

Had the jokers of the German football team really wanted to protest, they could have ransacked Qatar’s Al Udeid air base, which houses tens of thousands of U.S., British and Australian marines and paratroopers. But that would have been haram. The sad reality is that not only Qatar but the entire Middle East is blanketed with American military bases and it is only those protests, which support Anglo American hegemony that are permitted.

Though the World Cup, like all similar tournaments, must return to their apolitical roots, the U.S. and its allies are the elephants in the room, the flies in the ointment, the mothers of all spoilsports. Irrespective of how NATO, the jokers of the German football team and their BBC apologists pink wash their own crimes, whilst eulogizing their Ukrainian Nazi death squads or their Qatari funded Syrian head hackers, the tide will soon turn when their fake protests run their course and become only targets of ridicule and derision. Though that process has already begun in Moldova, in the Netherlands and even in LGBTQ++ obsessed Germany itself, it will accelerate over the coming months as the destruction of Western Europe leads to its own explosive backlash.

I’d buy one.

daily picdump 5 9
daily picdump 5 9

I fucking hate being an American citizen and living in the U.S. I really do. I am ashamed I am a veteran of its armed forces, too. Being an American and living in this country is emotionally, intellectually, and physically exhausting because:

  • Guns. Fucking. Everywhere. And no one wants to do anything about it. Even as thousands of people are killed every year because of them, they want LESS restrictions on guns.
  • Voting is almost pointless at this point if you live in a conservative state. Trump is gaining steam to be a contender again in 2024, if you can believe that shit. In any other country in the world this would be impossible. Not America. In America, there is no rule of law and you can try to overthrow an election you lost and STILL get a chance to be president again, let alone be allowed to run or never be prosecuted.
  • A MILLION Americans dead from a global pandemic that obliterated the economy and devastated families, and Americans insist that listening to scientists and wearing masks and getting shots is for pussies. In fact, they SUE DOCTORS to force them to prescribe HORSE DRUGS to them.
  • The hostility towards science and affinity for faith is fucking unbearable. We have people — and there are a lot of people — who believe women should not be able to get an abortion, gay people should not be allowed to marry/adopt children, transgendered people should not be allowed to go to the bathroom, and climate change is just a conspiracy meant to kill American energy jobs…among other things. A sizeable portion of the U.S. (~40%) think God is in control and we should just submit to his will.
  • Poor people are treated like absolute shit, particularly minorities and immigrants. We treat people of lesser means deplorably here. It’s WAAAAAAAYYYYYYYY worse to be homeless and/or poor in the U.S. than it is in Europe, Asia, or Oceania. Americans were *CHEERING* when the Supreme Court said landlords could start tossing people left jobless out on their asses so they could bring in more profitable tenants. There’s zero safety net here, too. If you lose you’re job in America, your life is over.

I could go on.

Americans get more outraged that you won’t stand for the stupid flag than they do that police murder citizens for minor infractions or that the 99% are supporting the 1% of freeloaders at the top.

And the worst part is that it’s impossible to fix any of it, because the way the Constitution is written, all the states with no people control most of the government.

The Senate is split 50–50 when 50 of those Senators represent 35–40% of the population. To make any change to the Constitution you need 3/4 of states AND 2/3 of Congress, which is an impossible hurdle to clear in today’s environment.

They literally killed the EQUAL RIGHTS AMENDMENT because conservative states oppose it. They oppose EQUAL RIGHTS for fuck’s sake.

Yeah, America sucks. It’s a marginally better country than Russia or Brazil, but that’s a low bar to clear.

**UPDATE 10/31/2022**

Brazil had the common sense to eject their far-right leader. Bolsonaro is outta there now. It seems only America is fucking stupid enough to give the guys who attempted a coup power back…voluntarily…because of inflation…which is temporary.

What a shitshow of a country the U.S. is.

https://youtu.be/1s2NQMrtYNo

Beautiful Bagels – (using bread machine to make the dough)

“These are what I would describe as “best ever bagels”. They are crisp on the outside and soft and chewy on the inside. I’ve had this recipe for years – and was passed on to me by another bagel lover. They are especially nice eaten whilst they are still warm, spread with Philadelphia Cream Cheese, thin slices of smoked salmon, a good squeeze of lemon juice and some freshly ground black pepper!

2022 11 27 17 43
2022 11 27 17 43

Ingredients

Directions

  • Place all the above ingredients into bread machine, and select ‘dough’ cycle.
  • Stop the bread machine 40 minutes after the mixing starts (1 hour and 10 minutes from beginning of dough cycle).
  • Tip bagel dough out onto a lightly floured surface and gently knead by hand for about 1 minute.
  • Cut dough into 8 pieces.
  • Roll and’gently massage’ each piece into a snake.
  • Dampen and overlap the ends pressing firmly to form a ring.
  • Place each ring on an individual piece of baking paper already lightly sprayed with oil.
  • Cover all rings with a sheet of baking paper and allow to rest.
  • Meanwhile, bring a large pan of water to the boil.
  • Carefully lowering each bagel one by one (about 4 at a time) into the pan of boiling water, slide the paper from each bagel ring away.
  • Gently turn bagels allowing about 40 seconds on each side.
  • Lift each bagel out and drain on absorbent paper towels.
  • Place drained bagels on baking baking tray already lined with baking paper.
  • Brush each bagel with lightly beaten egg mixed with 1 tablespoon water and 1/2 teaspoon of salt and sprinkle with lots of poppy seeds or toasted sesame seeds.
  • Bake in hot oven (220’C, Gas mark 7) for about 15 minutes- or until a nice deep golden brown colour.
  • Remove bagels from oven and place on wire rack.

2022 11 27 17 45
2022 11 27 17 45

Very Cool

daily picdump 19 9
daily picdump 19 9

I Supplied the Coke, Guns and Cash for ‘Scarface’

From HERE

When it comes to movie sets at least, John Zemansky is a heck of a hookup. There is, in fact, almost nothing he can’t source — or manufacture. Case in point: He supplied Doc Brown with (faux) uranium. He bought the broom Mrs. Doubtfire famously rocked out on. And he provided Tony Montana with all manners of guns, cash and cocaine. Zemansky retired in 2007, but he still loves to talk about his time as a property master — whether it was helping bring Robert Zemeckis and the Back to the Future franchise into the Old West or securing a mountain of coke and weaponry for Brian DePalma and Al Pacino.

‘The plutonium Doc Brown used in the first Back to the Future picture? We just made that all up’

I grew up around the prop business. I didn’t really want to do it at first, but I decided to do it for a little while before figuring out what I really wanted to do. To my surprise, once I got into it, I really liked it.

I went to work in TV at first, but then I got lucky and got to work in features. I did 60-some pictures. My favorite projects were westerns, because I love antiques and I enjoy hunting for the real stuff. I did all the Back to the Future pictures, and the third one was my favorite because it was a western. I had to find Doc Brown’s gun, and then the effects guys added all the armatures on it.

A lot of prop guys like science fiction, but you can build anything. The plutonium Doc used in the first Back to the Future picture? We just made that all up. I doubt real plutonium looks anything like that, but how many people have seen plutonium anyway?

‘If Al Pacino didn’t feel like something was right, he wouldn’t move forward until he thought it was perfect’

Scarface was the second picture I did with Brian DePalma. DePalma was a very quiet guy — he was always thinking. Scarface was supposed to be a 61-day shoot, but it went to 120 days or something like that. We never seemed to be able to get started until 10 or 11 in the morning. I think some of that was Al Pacino.

If he didn’t feel like something was right, he wouldn’t move forward until he thought it was perfect. Also, he never worked past 6 p.m. — it was in his contract. The movie was running so far over that the studio wanted him to work later. But he found out that the studio executives were coming to the set to get him to work later, so he just didn’t show up to work that day.

‘The only gun on Scarface that wasn’t totally real was the one where Pacino says, ‘Say hello to my little friend’’

I have no idea how many guns I had to supply for Scarface. It was a lot. They were real guns, too. They’re props, but that doesn’t mean they’re not a real gun. It takes a real gun to fire a blank. The only thing that wasn’t totally real was the one where he says, “Say hello to my little friend.”

That was an M16 with an M203 grenade launcher under it. They used those in Vietnam, but you can’t really get your hands on one, so I had to get it manufactured.

Pacino must have fired a thousand rounds out of that gun, and it was hot. When Pacino got shot, he fell on the gun and he burned his hand very badly. They had to take him to the burn hospital to fix his hand, and we shut down for three to five days after that.

Also, for that final shootout, the scene was originally shot with pistols, shotguns and a few oddball rifles. We spent a week shooting it, but DePalma looked at it and hated it. He said, “Let’s get some machine guns in here!” So we shot the whole thing all over again with automatic weapons.

‘When you’ve got stacks of money, usually just the top bill is real, and the rest underneath is dummy money approved by the government’

Depending on the scene, we’d use all real money or just some real money. For something like a poker game, that’s all real cash because, at most, it’s probably only $5,000. When you’ve got stacks of money though, usually just the top bill is real and the rest underneath is dummy money approved by the government. Up close, it looks like dummy money, but from a distance, you can’t tell.

I did a picture where I needed $175,000 in $100 bills. That was Brewster’s Millions and that was the most I ever had to get. I can’t remember how much I needed for Scarface, but most of it was that dummy money because a lot of it was in stacks.

As for the cocaine, nothing fancy or real there — it was simply powdered baby milk that I bought at the market.

Russia Drops “FAB-500” Bombs in Ukraine Today

.

2022 11 28 11 13
2022 11 28 11 13

The Russian Federation has begun utilizing what they call their “FAB-500” munitions.   It is a 500 kilogram high explosive bomb enhanced with fuel to create a thermobaric shockwave. (“FAB” = Fuel Air Bomb)

No living thing (with lungs) can survive the shockwave, which can actually be SEEN, as shown in the video below.

 

2022 11 28 11 15
2022 11 28 11 15

Uncaring asshole landlord

63725038a09fb xg9pxncp2cy91 png 700
63725038a09fb xg9pxncp2cy91 png 700

I’m not American and I find that video heartbreaking! How American politicians are still walking this earth astounds me. They clearly don’t care about those who elected them.

Polish “Mercenaries” Open-Fire and KILL Ukraine Army Commanders Near Bakhmut

.

2022 11 28 11 16
2022 11 28 11 16

An altercation has taken place between Polish “Mercenaries” fighting FOR Ukraine, and Ukrainian Army officers commanding them.

The Polish Mercs and a grouping of about ten thousand Romanian “Mercenaries” also fighting for Ukraine, were allegedly ordered by Ukrainian Army officers to attack Russian positions around Bakhmut.

Fierce fighting has been going on there for weeks and Ukraine is losing.

The Polish “Mercs” told the Ukrainian Army Officers it would be suicide for them and the Romanians to go attack, everyone else who has done so has been slaughtered by the fortified Russian positions.

The Ukrainians then allegedly told the Polish either attack or WE will kill you for Mutiny, at which point the Polish drew their weapons and KILLED the Ukrainian commanders.

Details right now are very sketchy and people in-the-know are very unwilling to talk about this.  It’s all very hush-hush and likely to stay that way.

Address Be Like Left Testicle Dick No.7

6372522eda32c o5ycndsamcu91 png 700
6372522eda32c o5ycndsamcu91 png 700

  • Libya has been in crisis since the fall of gaddafi in 2011
  • The country has become a hotbed of islamist extremists.
  • Militias had been clashing throughout since the fall of gaddafi
  • Immigrants from sub saharan african countries have been subjected to modern slavery and therefore libya has become a hotbed for the mediterranean immigrant crisis.
  • The country had two governments competing for power from 2014.
  • Libya was once a prosperous nation under gaddafi and later own became a war torn nation

main qimg 9d4efe4be27d551ed68e146a2bed3169 lq
main qimg 9d4efe4be27d551ed68e146a2bed3169 lq

3 Idiotic Military Blunders That Lost Battles Before They Started

From HERE

War has changed greatly over the course of history, that much is obvious. From tactics to weapons to what exactly you’re allowed to do to the opposing forces without being considered a war criminal, those interested in seizing others’ lands in pursuit of liberation, loot, or the second masquerading as the former, follow a much different path than armies of old. I’m sure medieval armies would have loved to have a remote-operated, axe-wielding juggernaut drone that could charge the opposing castle’s champions like heavy-metal Pokemon instead of getting a mace to the face, but they never had such luck.

One constant through any generation of warfare, though, is something that doesn’t have to do with armies, their armaments, or whether or not poisoning is ok. It’s a limitation that ancient lords share precisely with modern generals: the human brain’s constant capacity to make an incredibly dumb decision. Pure brute strength can only ever carry you so far, and one ill-timed miscalculation can cost you infinitely more dearly than a number disadvantage or obsolete artillery. War is a game in which many lives are on the line, and unfortunately, it can be thrown just as easily as a round of League of Legends.

Here are 3 incredibly dumb, and massively devastating, military blunders in history.

The Battle Of Agincourt

For the first incredibly deadly oopsie, let’s look at the famous Battle of Agincourt from the Hundred Years’ War. To set the scene, we can imagine the general state of things before the battle was to begin. In this corner, we have a French army of 20,000 men, primed and ready to deliver a drubbing to the enemy. In the other corner, we have the 27-year-old King Henry V of England, with only 5,500 men, most of whom were currently suffering from dysentery. As you can imagine, the French army had pretty much already marked this as a W. With almost four times the men, and with far fewer of them having active, bloody diarrhea, they were almost celebrating in advance.

However, it was what stood between the armies that would make the biggest difference: a massive, recently plowed field, turned by rain into a sea of deep mud capable of drowning a pig. The English, understandably, didn’t want to fight in the mud, but Henry realized they might be able to goad the French into taking the disadvantageous ground with, basically, a large-scale, medieval version of shouting “HEY, I BET YOU GUYS CAN’T KICK OUR ASS!” His army threw up banners and marched only a small distance forward, but this taunt was enough to send the French Army, their minds filled with an Arnold Palmer of equal parts hubris and bloodlust, charging into a literal shitstorm. Their men and horses immediately got stuck in the mud and became a human shooting gallery for the English archers. By the time the battle ended, the French would surrender after losing nearly 6000 men. The casualty count for the English? Roughly 400. If only the French had played Darkest Dungeon.

The Charge Of The Light Brigade

Another human error resulting in massive human loss was the battle known as the Charge of The Light Brigade during the Crimean War in the year 1854. It’s a battle that even inspired a poem of the same name by Alfred Tennyson, dedicated to the heroism of those that would die in the battle. However, this heroism, and these deaths, were entirely and wholly unnecessary, caused by something that even a modern office worker is all too familiar with: miscommunication among management. Though one could also argue that hundreds of men dying because two big wigs couldn’t clearly communicate is itself a poetic treatise on war.
First, the loss that led to the situation that would go so deadly sour: the British and French, fighting the Russians, were forced back from the Causeway Heights, fleeing four fortifications filled with recently installed artillery. The Russian forces were repelled only a short distance later, but Lord Fitzroy Somerset Raglan decided he would really like all those guns they just left back. So he issued an order to try to take back those four fortifications to prevent the Russians from acquiring all that sweet, sweet, weaponry. Except that he didn’t say “Please go retake the four fortifications we just abandoned, to retrieve our guns.” He said instead, “advance rapidly to the front … and try to prevent the enemy carrying away the guns.”The guns he was talking about were the guns in the Causeway Heights. The cavalry he issued this order to, unfortunately, was not in sight of the Causeway Heights. What they were in sight of was a fully entrenched, absolute shit ton of Russian artillery, at the end of an open valley. For some reason, instead of maybe questioning the wisdom of an order that was basically “run down a hallway at a mounted gatling gun,” George Bingham and James Brudenell, the Earls of Lucan and Cardigan, respectively, shrugged their shoulders and basically went, “seems weird but ok.” They then charged their men into the valley, at which point they were shot at from THREE SEPARATE DIRECTIONS by Russian gunners. It was basically a horrific, deadly, real-life version of Tower Defense flash game. 670 men participated in the charge. 110 died, 160 were wounded, and 375 horses were killed. They did not win.

The Battle Of Karansebes

Though the two military blunders already covered might vary greatly in both time period and cause, they do share a single element that this final entry does not: an enemy. After all, in every fight, there is a winner and a loser (at least objectively), but it takes real talent to lose a battle in which no one else is actually participating. During the Austro-Turkish war, the Austrians managed to pull off this uniquely embarrassing feat in the “battle” of Karansebes. The tale starts, like many great mistakes, with a good quantity of alcohol.

Outside of Karansebes, a group of soldiers were getting good and soused off a supply of schnapps, now mostly known for making 18-year-olds puke at a house party. Another traveling group passing by asked to split the bottle, and were denied. Out of maybe a mixture of pride and a deep love for borrowed schnapps, this quickly turned into a fistfight. This fistfight between soldiers then resulted in a couple rogue discharged firearms.

Now, back in Karansebes, the rest of the army was on high, un-schnapps-filtered alert, and when they heard gunshots, they sounded the alarm, shouting “Turks! Turks!” believing themselves to be under attack. They shouted it loud enough for the drunken tusslers to overhear, who then, not knowing they were the false Turks in question, panicked themselves, and started charging back to camp to help protect it from… themselves.

At this point, we have two groups of Austrian soldiers. One, in Karansebes, fingers on triggers, looking for Turkish soldiers approaching from the horizon. The second, drunk group of Austrian soldiers were now quickly approaching from the horizon. You might be able to play the rest of this out in your mind. The soldier in the city fired on the group riding home. The group riding home assumed their camp had already been taken by the Turks, and fired back. And thus, that night began a battle between the Austrian army and the Austrian army that would result in thousands of casualties and included precisely zero enemies.

Even better, the real Turks did indeed arrive two days later, to find a greatly reduced, rag-tag army in the process of recovering from a battle against themselves. The city of Karansebes had rendered itself defenseless, and the Turks were then all too happy to capture it with minimal fuss. Teaching one of, perhaps, the most important military lessons of all time: don’t bogart the schnapps.

“Progress” Is Not Always A Good Thing

637252118ecc8 t7hfyfd1wlu91 png 700
637252118ecc8 t7hfyfd1wlu91 png 700

This man is a genius. We call it comedy only because it’s difficult to accept that he’s right.

The idea of “helicopter parents” had not been invented or even considered. Parents expected us to be doing things on our own without any supervision. Since both my parents and any statute of limitations are long passed, it’s safe to speak about the currently unspeakable!

We would normally leave the house without telling anyone where were going. One time we all left the house, and the parental units left the house thinking we were there. We came home and the front and back doors were wide open, just the screen doors closed. We never told them, they never asked.

There were several large ravines about 1/2 mile away with pretty steep sand hills and cliffs which we climbed or even rode our bicycles down. Usually no problem, but on occasion, the front wheel of the bike would dig in and we would sail over the handlebars into the sand further downhill. If you had a good tumble, the next person might try and do it better! Some of these hills were used by adventurers on motorcycles, we were pioneers of bicycle moto-cross.

We would spend summers and warm weekends in the ravines, exploring and playing in the small river that ran through it, looking for frogs, fish, snakes, lizards or other interesting creatures.

Road hockey games, two or three to the street. Some kids had nets, and most of the time we used boots or garbage cans, or other vertical items as goalposts. A tennis ball was the “puck” of choice and as you played, the wooden blade of the hockey stick would wear down from the full 3″ height to a sharp point that could have been lethal if used with malice. At some point, one enterprising company sold plastic blades that could be attached to the stub of a hockey stick to extend its life. At the time though, regular sticks were around $5 and the blades were about $2, so you didn’t see too many. The cod word “CAR” caused the game to be quickly moved off the road. Mike Meyers grew up in a different part of the city, and a bit later, but it was a universal signal for a quick time out. We tried to play on streets that had curbs, they were much easier than the streets that still had ditches beside the road.

Firecrackers were still legal, but you had to be at least 12 to get them, so we had the older kids buy them for us. May 24th, Victoria Day, was one of the loudest days of the year. Kids would stand around and throw the 2″ ones at each other, and you’d have to duck. The only time you were ever hurt was when someone would jam one down your shirt from behind. If he could run fast, he might do it again. Mostly they were “convinced” by the rest of the guys not to do it again.

We took the bus, by ourselves to areas around town. I took swimming lessons that required 2 buses to get to, and the only thing my mom said was “make sure you get a transfer!” By the time I was 12, we’d take the bus and the subway downtown. No big deal.

We all discovered rockets and explosives, and since my dad was a chemisty prof, he had a copy of the “Chemical Rubber Company Handbook of Chemistry and Physics, 1944” which contained so many things that would scare the willies out of Gen Xer parents!

Of course, the Estes rocket engines were great, simple and safe, but we wanted more! How about coloured flames? Check the book! Better propellent? Check the book!

2022 11 28 16 01
2022 11 28 16 01

I still have the book, and my dad even updated it to one that even had more current info! I used it in school for chemical compounds in photography. Needless to say, we lit up the nights at times. 😉

Speaking of photography, I began developing film in my early teens. Black and white chemistry is relatively safe, but the colour processes at the time included various acids and cyanide compounds, all availalble from Kodak at your local photography store. We were trusted with these poisons, and don’t forget, we usually were in the dark (actually and metaphically!) about the dangers.

We all survived. Nobody had a cell phone, nobody had to be home befpre dinner and if you were late, you’d end up eating cold leftovers.

I tried to bring my own kids up the same way, and mostly told them not to tell their Mom what we did. We’d go tobogganing on a hill with a 150′ drop, and a hill hat gave a jump at the bottom, and can remember my youngest, who was about 5 at the time flying off, spinning at least one 360 through the air and getting back up the hill for the next ride. We used to play “hockey” with a group of kids and the same young daughter, at 3 or so, had to have a stick and play. She learned to stop by crashing into someone or the boards, but would pick herself up and be giggling back into the fray. Two hours each Sunday and the kids couldn’t wait for the next week’s “game!”

Both daughters survived, one is 42 and the other 38, and those are the memories that I think they are proudest of.

What do I do when my husband gives me the ultimatum that it’s either him or my cat I’ve had for 12 years?

main qimg 873df006949465a33b164ae621c78b73 lq
main qimg 873df006949465a33b164ae621c78b73 lq

DEAR … CHOOSE THE CAT!

THIS IS THE MOST FREQUENT QUESTION FROM A FAMILY PSYCHOLOGIST-SEXOLOGIST.

FIRST HE WILL GET RID OF YOUR CAT, THEN HE OFFERS TO SEND YOUR MOM TO A NURSERY HOME.

WELL, AND THEN …….

HE WILL GET RID OF YOU!!!

MY ADVICE: DON’T AGREE!!!

LET IT ACCEPT YOU AS YOU ARE, OR LIVE ALONE …

When I was 14, I laugh cause it's funny. Now I'm 34, I laugh cause it's true.

Master of the keyboard

daily picdump 32 9
daily picdump 32 9

We adopted a young stray tuxedo cat from my cousin who found him under her porch in the middle of winter. She already had her quota of kitties (didn’t know there could be to many kitties?) so had to find him a new home.

He was very skiddish as he had to fight to survive. (We live in central Alberta and December is very cold) He was to be our oldest daughter’s companion and 10th birthday gift as her birthday is right in between Christmas and New years.

She wanted to name him ‘Venus’ after the planet because she was really into planets and space at that time.

I told her to think about rhyming words that would go with Venus because they would become nicknames, and I didn’t want to call the kitty ‘Penis’ by mistake. Lol.

So she settled on ‘Mars’, God of War and it 100% suited him.

He is such a little fighter. The first few weeks he would steal any thing remotely food-like and try to hide it. Cat food, dog food, bread, muffins you name it. (He would try to eat the bread right through the bread bag!!)

The weirdest thing he stole was a small bag of potting soil. He but a hole in the corner of the bag and dragged it across the house. We had white carpets at the time so that was fun to try to clean up.

But all in all Mars (Marsie is a nickname) fits right in with our little family. He is extremely close to our daughter and he sleeps with her every night.

He has been such a wonderful companion for her especially her going through growing up and the ups and downs of that. I’m so thankful he came into our lives when he did, he certainly is a huge blessing.

main qimg 1e654204c28b3e2331583e842d201c2f lq
main qimg 1e654204c28b3e2331583e842d201c2f lq

Natasha Wright
November 26, 2022
.

The Xi vs Biden meeting stood out a geopolitical mile for its vital importance or at least for its potential to make the world laugh at Biden’s faux pas.

At this point in time we are looking at the G20 Bali Summit behind us waving a half grim goodbye to it remembering the sorrowful sight at its very unofficial beginning. There obviously was both protocol and symbolism galore there. On one hand, Russian Minister of Foreign Affairs, Sergei Lavrov, set foot on Bali with the readily available red carpeted airplane steps to welcome him to the Summit, while on the other hand Bumbling Biden (who seem to have borrowed this adjective from his counterpart Bumbling Boris, who recently resigned from No 10) he descended down the bleak and bare airplane steps, though mercifully he did not trip over and fell.

The G20 Bali Summit 2022 was and still is in its wake ‘a battlefield’ between two global sides in an economical/financial and political war all in one: the Collective West and all the others. G20 countries were and are split in half and not much more than that should have been expected. The old world order doggedly refuses to leave the geopolitical arena whilst the new one is still in its fledgling stage. On one geopolitical front there were/are: the USA and its allies: EU, Germany, France, Italy, UK, Canada, Australia, Japan and South Korea in one word: The Collective West. On the other one there are Russia and all the other members which have not imposed sanctions on Russia: ten of them in total. Let us try and remember the times when G20 was established in 1999. Admittedly, one couldn’t have possibly imagined the shape and form this list is in today. In this other /second group are Argentina, Brazil, China, India, Indonesia, Mexico, Russia, Saudi Arabia, South Africa, Turkey in alphabetical order of this formally informal alliance in terms of their cultural, historical, geopolitical values to say the least which would be an understatement on my part. This second group of countries tend to be in the long and arduous process of distancing themselves in an ever-growing alienation and saying goodbye to the Collective West because what binds them together within G20 at this point is economy only but there is a gaping hemorrhaging hole in between all of them in terms of their cultures, values and views on a multitude of other issues which keeps them split apart. If one looks at the economic/ financial forecasts and the parity of purchasing power by 2030, China is bound to have a two times bigger economy than the USA. Believe it or not, the USA will be only the third in the world and not one other country from the Collective West will be in the first ten by then.

To get back to the Bali Summit, there were a number of bilateral meetings at the summit but the Xi vs Biden meeting stood out a geopolitical mile for its vital importance or at least for its potential to make the world laugh at Biden’s faux pas.

Admittedly, the USA insisted on the talks with Xi for what it’s worth. It lasted for three hours or so and it was held in the Chinese official residence on Bali. One thing everybody seems to agree about is that it was a good thing that the talks did happen rather than not. A number of reputed political portals confirmed that this meeting came with a long-awaited sigh of relief. It was a positive signal for the rest of the world whatever they may have talked about during the meeting because the two (warring) sides (at least surely USA was and is at ‘war’ with China while China sits peacefully contemplating and operating affairs in their own ‘ways of their world’ not falling prey to US provocations in the form of, for instance, Crazy Nancy’s fleeting visit to Taiwan recently). At least Xi and Biden were able to sit together and talk and still manage their differences and promote cooperation one way or the other, given the circumstances.

Biden surely couldn’t hold his horses so he opted to bash China because of a long list of alleged issues coming from the Washington DC and their ‘whataboutism cookbooks’ such as: alas, you know Hong Kong, Tibet, encroaching upon human rights, only too forceful activities towards Taiwan and then market and economy practices and so on and so forth. I mean that is what the US and the Collective West do and keep doing all the time snapping at others like ‘mad dogs of media disinformation war’. Engrossed in an anti-Russia, anti-China naming and shaming mode, Blundering Biden added that the USA would continue to compete with (against? more like it) China in global markets. China, on the other hand, only made a politely mild comment with their interpretation of the Bali meeting with Biden that it was a good thing that happened. China also added that whenever the USA sets off a series of unilateral provocations against China, their relations sink and sour most terribly. Beijing ‘s officials present in Bali also kindly reminded the USA that they should do away with the illusion that the USA ‘ is always right’. Even the biggest US allies seem to be taking a sharp U – turn giving them a wide political berth now

The countries now ready and willing to merely blindly follow and join the bandwagon USA in ‘restraining China’ are few and far between at this point. Oh well, the USA has had this obnoxiously arrogant tendency ‘to restrain’ other countries which they deem as ‘naughty’. That mindset comes across as extremely immature with brutal consequences upon a huge number of countries globally for decades now. The bad ‘old’ days when Washington DC was able to achieve that sort of thing with ease in their whimsical political cauldrons are long gone. A quick look away from Blundering Biden, Russian Minister of Foreign Affairs, Sergey Lavrov and his Chinese counterpart Wang Yi made sure they reiterate their stances that China and Russia are ready to create and promote a multipolar world.

At the same time, Saudi Arabia’s the next in royal transition to become king, Muhammad bin Salman did not meet with Biden at all but decided to give him a very politically well-deserved cold shoulder (bless ‘im:) but it is worth knowing that as early as next month he will offer a most warm welcome to Xi Jinping. The G20 Summit admittedly did not achieve what America has been craving for in their savage power hungry geopolitical appetites and hoping for desperately, which was the brutally harsh denunciation of Russia. The participants did condemn the war as such, because the ending of the summit had in its protocol a final resolution to wrap things up as per usual since the summit cannot be and should not be without one. The final document produced by the participants was to do with the war in Ukraine and without the undeserved adjective ‘Russian’ superglued to it unduly, which is how the Collective West puppets keep calling it in their childish histrionics.

In the final Declaration, there surely is a strong condemnation of the war as such and not of Russia as a country.

Reuters confirmed that the pressure from the Collective West did prevail for the duration of the whole summit but ultimately the Collective West managed to fall flat on their collective political noses. Arguably, the divide line right in the midst of their protruding political bellies of the G20 ‘being one rather awkward whole’ speaks volumes about the world we are living in.

One should well and truly not forget the brilliant Xi Jinping in his hapless close encounter with Justin Trudeau whom he met in passing in the G20 ‘alleyways’ and having the bravery to reproach the Canadian clown in his nothing but a fatherly way. One has to simply look in Xi’s eyes at that very moment and see his mature and almost fatherly smile and look in his kind eyes in addressing Trudeau about Trudeau leaking out their private conversation to the media and adding contrived and made-up details all along to suit his own political fancy. Trudeau being shameless Trudeau yet again. I myself still shudder with utmost disgust at Trudeau being the fascist Trudeau during the Truckers Protests in Canada this very winter and if one only casts a quick glance and listen to the utter nonsense in Trudeau’s arrogantly smirky response to Xi only to scuttle away an ostrich walk style, though he avoided burying his humiliated head in the sand while at it.

All in all, regrettably the Collective West may well remain the недоговороспоспобнные i.e. ‘incapable of making an agreement for quite a long while, which is what Sergey Lavrov famously called them using that Russian neologism adjective spot on and rightly so. Mercifully, the Collective West have failed miserably in trying to achieve things they were craving for in desperation all along.

One of the best cartoons as a kid. Those cartoons in the 1970s were classics and hard to beat with the ones airing now. I remember the Saturday morning cartoons were what kids woke up early for…. with only 12 channels on the TV.

https://youtu.be/1oDaHRbIDH8

People Getting Coffee vs. 30 People Enjoying Coffee

Think about it. Pause. Think.

6374de29629e7 downsides of modern development
6374de29629e7 downsides of modern development

One of the benefits…if you do enough time…is that you will not do anything stupid enough again to get yourself sent back to prison.

If you didn’t do much time the first time or two you’ll probably try something else and go back to prison.

I used to see those guys coming in and out, in and out. Again and again.

There are benefits to going to prison for a good jolt. But I guess it really just depends on the person.

I know some people who did just a little time and learned their lesson, and I know some who came back again and again and again and literally didn’t learn their lesson until they got struck out and received a life sentence.

Ukro-nazis preparing another batch of “Russian atrocities™”

main qimg 6f374ac27385b3c8a4f319a3731c04be lq
main qimg 6f374ac27385b3c8a4f319a3731c04be lq

Finian Cunningham
November 23, 2022
.

For Western media to harangue China over its zero-Covid policy and to try to blame China for the failure of Western capitalism is beyond farcical. It is degenerate.

When Chinese President Xi Jinping recently reiterated that China would be adhering to its strict public controls against the Covid-19 pandemic, there was discernible disappointment in the Western media that the Asian giant was not conforming to a “let it rip” approach.

China is maintaining a zero-Covid policy with new guidelines on how to ease restrictions in a dynamic way calibrated to local conditions.

Western capitalist governments have all but given up on public efforts to control the Covid-19 pandemic. The attitude of “living with Covid” is motivated by the unspoken priority to restore capitalist business as usual. The result is a continuing widespread infection rate among Western nations, and, with that, a proliferation of immune-resistant strains of the coronavirus that causes Covid-19. In Western states, a de facto laissez-faire policy amounts to the authorities seemingly being prepared to see their people not “living with Covid” but rather “dying with Covid”.

By contrast, China has maintained a zero-Covid public health policy using a combination of mass vaccination, society lockdowns, quarantines and mass testing and tracing. The upshot is that China’s death toll from the two-year pandemic is a tiny fraction (less than 0.5 per cent) of the numbers that have perished in the West. This is because China’s socialist government prioritizes protection of public health over commercial and short-term economic gains.

Therefore, it seems particularly grotesque that Western media are now cajoling China to abandon its zero-Covid policy, which they are distorting or are impugning as a “failure”.

This week saw an upsurge in Chinese infections from Covid-19 in the capital Beijing and other cities. There were three reported deaths from the disease. The China figures are still relatively low compared with infection and deaths in Western states. For example, in the United States, it is reported that deaths from Covid-related illnesses are about 1,000 per day, which is down from a high of 4,000 per day last winter.

Still, there is an unseemly tone of glee in Western media reports and comments that China’s zero-Covid strategy is allegedly failing to contain the disease. The Financial Times, the BBC and other media were peppered with accompanying chiding calls on the Chinese government to drop its “Communist controls” and to adopt a “living [dying] with Covid” approach.

Another tack being used to pressure China is to blame its anti-epidemic practices for the slowdown that is dogging Western economies.

A Reuters report this week attributed stock market losses in the United States and continuing problems of consumer price inflation on “worries” over China’s zero-Covid policy.

“All eyes are on China today and their Covid-zero policy. Traders are worried that China could expand their restrictions which could slow down growth and threaten higher inflation,” Reuters quoted John Doyle, vice president of dealing and trading at Monex USA, as saying.

This dubious viewpoint of blaming China for Western economic woes is based on the premise that Beijing’s pandemic control policies are stymying its own economic growth and reducing supply chains to Western nations which are then hit with higher inflation, stock market turmoil, job losses and general business downturn.

It is true that China’s zero-Covid policy has curtailed the country’s roaring economy from earlier years of double-digit growth. Nevertheless, the Chinese government believes the fundamentals of the economy are still sound for future recovery. In the meantime, however, the priority for the authorities is on protecting public health and preventing the sort of deadly epidemic outbreaks of Covid-19 that have occurred in the West.

One can understand that with a population of 1.4 billion – 20 per cent of the globe – and many people living in densely packed mega-cities, the Chinese authorities are right to be concerned about warding off a dangerous pandemic.

It is thus a matter of sovereign authority for China to choose whatever public health policies it deems necessary in order to protect its nation. The arrogance of the West is seen in its presumption to lecture China on how to handle Covid-19 and especially in advocating Beijing to adopt Western policies – policies that have been wanton in exacerbating the pandemic, deaths and illnesses. There is an echo here of the colonial Western arrogance seen during the 19th-century Opium Wars.

It is somewhat obscene that the Western media – as mouthpieces for their governments – are mounting a propaganda campaign to undermine China’s principled efforts to maximize the protection of public health and minimize deaths.

In the United States where over 1 million people have died from Covid-19 over the past two years – compared with China’s 5,200 deaths – the evident converse priority is to maximize private commercial profit by minimizing the protection of public health.

What is even more galling about Western remonstrations to China about abandoning its zero-Covid policy is that the economic failings in the United States and Europe are inherent, and have little to do with China.

The U.S. in particular is exporting its inherent economic problems to the rest of the world by endlessly printing dollars and artificially raising its exchange value against other currencies. This is having massive repercussions of soaring inflation for food and other commodities both globally and in the U.S.

Washington has also stoked huge problems with its unilateral actions of banning semiconductor exports to China and cutting off Russian energy supplies.

The systemic problems battering the U.S. and European economies should be branded “Made in America”. Yet the Western media are trying to blame China and, most recently in a particularly sickening spin, to try to label China’s anti-pandemic policies as somehow a negative practice causing problems for the West.

The callous lack of care shown by Western governments toward their people through the hands-off approach in dealing with the Covid pandemic – a let it rip, dying with Covid policy – is testimony to the vile nature of capitalism and its political administrators. These same sick and psychotic values are at the root of why capitalism is a failing economic system. The Western media play a big part in normalizing the horrendous death and disease that capitalist pathology engenders.

So, for Western media to harangue China over its zero-Covid policy and to try to blame China for the failure of Western capitalism is beyond farcical. It is degenerate.

Very sad

daily picdump 27 9
daily picdump 27 9

I purchased The Sunday NY Times.

I never read or bought The NY Times before that day. Today I’m an avid reader.

I am a firm believer in faith, Karma, destiny and a higher being. Just not the Church.

It was going on six months since losing my job at a CALDOR department Store (They went out of business). I became miserable and started a downward spiral into depression.

Here’s a pic for those too young to know.

main qimg f5224ffd0f7ee9946cb8377ae5508fce lq
main qimg f5224ffd0f7ee9946cb8377ae5508fce lq

The job paid $4.35 per hour in 1998. And it was my full time job. I was going to auto tech school at night hoping for a better career one day.

I started drinking heavy. I didn’t care. It was bad enough that I was already poor, on welfare and housing assistance. But when all that misery combined with alcohol, my situation made me feel even more hopeless. And I was ready to let go.

But life turned into a blessing on that fateful Sunday. I got up around 7:00AM to go buy more beer. It used to be illegal to sell beer before 12:00PM on Sundays in NY. But because I’m a regular from the neighborhood, I was allowed to tuck the bottle in my jacket.

main qimg cf01cbc8a9e99b310bd71268b393edd5 lq
main qimg cf01cbc8a9e99b310bd71268b393edd5 lq

(SOOOO EMBARRASSING!)

I started to walk out after my purchase when I decided to buy a NY Daily News. But it’s too early. No daily news, only The NY Times. So I grabbed one, handed over my $1.50 and walked out.

main qimg 79fb8cb132b686ea0a030c1b8b5c2b1a lq
main qimg 79fb8cb132b686ea0a030c1b8b5c2b1a lq

I immediately went to the help wanted ads when I got back in my apartment. And there it was. The biggest help wanted add on the page.

main qimg ce54cde6442d75974ea525bc34c3d546 lq
main qimg ce54cde6442d75974ea525bc34c3d546 lq

$12 – $18 per hour.

For one day only 9AM-12PM. on that following Monday.

Doors close promptly at 12.

I was twenty-four years old and never came close to earning $5 per hour.

I woke up early that Monday morning. And gave myself two hours to get there for a trip that takes about one hour. I put on my Sunday best, grabbed some résumés and started my trip to the JFK Hilton.

I arrived and found the conference room and it was barren. There were only about fifty people in the conference room. It didn’t feel like a job fair. I almost turned around because I thought I was in the wrong place.

We were called in the order that we signed in. I watched as some people left after the short interview and some sat back down. I was one of the people that was told to sit back down after my interview.

Round two came as fast as round one. This company has this job fair thing down to a science. I’m called back up to the table by Mrs. Alexander. I will never forget her. She hands me a drug test cup and says follow me. A drug test! at a job fair. Probably the best way to weed out the druggies.

After that, I fill out all my employment forms. And then she says. “let’s go over some things while we wait for your results. Because of your experience and interests I have decided to hire you as a motor pool mechanic. This is your rate of pay, you will be on 90 days probation with random drug testing, report to this man at this location this time next Monday. Now read and sign your code of ethics booklet.”

(And with the most beautiful motherly I understand what you have been going through smile) Mrs. Alexander says “Congratulations Gerry and fix your tie.”

I’M HIRED! The whole process took all of 40 minutes. I went from $4.35 per hour. To $16.52 in 40 minutes. I walked out of that Hilton with tears in my eyes.

It only gets better from there. I start work and immediately fall in love with the company, the work hours, the people, and of course the pay. Life got good and was only going to get better.

I get great advice from an older worker. One can go anywhere in this company. Just get your Qualies (Qualifications) for everything, go to class for everything, read and study everything and then get your ass out of the motor pool.

So I did just that. I went from repairing company vehicles at the motor pool, to lineman electrician, and now my present position as a locomotive engineer earning six figures. Six figures with a high school degree and a technical school certificate. And I can honestly say that I do not mind paying taxes at the end of every year.

Being poor and uneducated gave me serious depression. And That Sunday NY Times was destiny.

  • If the NY Daily News was available that Sunday I wouldn’t have bought The NY Times.
  • The job fair had few people because poor people won’t pay $1.50 for the Sunday NY Times when the NY Daily News is only $ 0.75.
  • And NY Times readers don’t usually look at the help wanted ads.

Something was definitely pulling the strings behind the scenes for me. I was going the opposite direction of where I am today.

American Cookie Cutter Suburb: Autocentric, Boring, Dystopian, Void Of Soul, Void Of Culture, Void Of Happiness

63725689069f6 d8nv0u32djk91 700
63725689069f6 d8nv0u32djk91 700

Very Serious Shortages Of Amoxicillin, Augmentin, Tamiflu, Albuterol And Tylenol Have Erupted All Over The United States

.

Hospitals are filling up all across America, and there are extremely alarming shortages of some of our most important medications.  Health authorities are warning that RSV, the flu and COVID are combining to create a “tripledemic”, and there are simply not enough medications to go around.  Personally, I am most concerned about RSV.  It is spreading like wildfire from coast to coast, and we are being told that very young children and the elderly are particularly vulnerable.  I wrote an entire article about the RSV outbreak earlier this month, and since that time things have gotten even worse.  Our medical system is being absolutely flooded with sick kids, and this has caused very serious shortages of amoxicillin, augmentin, tamiflu and albuterol…

America is facing a shortage of four key medications used for common illnesses in children as virus season comes back in full force.

Officials have declared a shortage of first-line antibiotics amoxicillin and Augmentin, which are used to treat bacterial infections. Tamiflu, the most common flu medication in the US, and albuterol, an inhaler for asthma and to open airways in the lungs, are also in short supply, according to the American Society of Health-System Pharmacists.

But we haven’t even gotten to the heart of flu season yet.

In fact, the beginning of winter is still about a month away.

So what will things look like by the time we get to the middle of January?

At this point, things are already so bad that we are also starting to see a very serious shortage of tylenol

A children’s Tylenol shortage currently affecting Canada has carried over into the United States, pharmacists in multiple American cities have warned.

The drug’s short supply, experts say, stems from a recent spike in pediatric sickness as seasonal bugs come back with a bang after being suppressed during COVID-related lockdowns.

This is nuts.

In all my years, I have never heard of a shortage of tylenol in the United States.

Unfortunately, we now have millions of people with compromised immune systems all over the country, and so RSV and the flu are hitting us extremely hard.

One doctor told CNN that “I’ve never seen anything like this”…

“In my 25 years of being a pediatrician, I’ve never seen anything like this,” pediatric infectious disease specialist Dr. Stacene Maroushek of Hennepin Healthcare in Minnesota told CNN. “I have seen families who just aren’t getting a break. They have one viral illness after another. And now there’s the secondary effect of ear infections and pneumonia that are prompting amoxicillin shortages.”

The reason for shortages is due to increased demand, especially with a surge in respiratory syncytial virus (RSV) and flu cases. The combination of RSV, flu and COVID circulating has been called a “tripledemic.”

This is going to be one long winter for our medical system.

As I mentioned earlier, hospital beds are rapidly filling up all over the nation

These surges have filled children’s hospitals across these states. The Children’s Hospital of Alabama, the state’s largest pediatric hospital located in Birmingham – 91 per cent of beds are filled, according to official figures.

Vanderbilt University Medical Center, which includes the largest children’s hospital in Tennessee, is at 98 per cent capacity as of Tuesday.

And as I discussed in my article about RSV earlier this month, there are some hospitals that have already filled up all of their beds.

Of course most children that get sick don’t end up in the hospital.

Most of them just stay home and are cared for by their parents until they recover.

In October, more Americans missed work to take care of sick children than ever before

More than 100,000 Americans missed work last month – an all time high – because of child-care problems, many of which come down to sick children and sick daytime caregivers.

Sadly, we will almost certainly set another new all-time record this month.

It sure would be nice if the federal government would step in and help to ensure that everyone has enough medications to give to their children during this medical emergency.

But instead of doing that, the Biden administration has decided to give another 4.5 billion dollars to Ukraine…

The United States, through the U.S. Agency for International Development (USAID) and in coordination with the U.S. Department of the Treasury and the Department of State, is providing an additional $4.5 billion in direct budgetary support to the Government of Ukraine. The funding, which will help alleviate the acute budget deficit caused by Putin’s brutal war of aggression, was made possible with generous bipartisan support from Congress. The Government of Ukraine will receive the funding in two tranches before the end of 2022.

In addition, U.S. Secretary of State Antony Blinken has just announced that the Ukrainians will be receiving another 400 million dollars in military aid…

Secretary of State Antony Blinken announced a new $400 million military aid package to Ukraine on Wednesday.

The package will include “additional arms, munitions, and air defense equipment from U.S. Department of Defense inventories,” Blinken said in a statement, which didn’t provide many specifics on the weapons heading to Ukraine. It is the 26th time the administration is using the presidential drawdown authority, which allows the United States to take from its stockpiles and provide those weapons to Ukraine.

Rather than giving so much money to the Ukrainians, why can’t we spend it on some antibiotics for our children?

It seems to me that our priorities are really messed up.

The RSV outbreak that we are witnessing right now is really serious.  If you have young children, you will want to closely monitor developments in your local area.

After a couple of really tough years, a lot of people had been hoping that we would experience a “return to normal” in 2022.

But as I keep warning my readers, we have now entered an era of great pestilences.

This year we have seen the bird flu kill tens of millions of our chickens and turkeys, a global monkeypox epidemic has spread all over the globe, and now RSV and the flu are ripping across the nation.

We really are living in unprecedented times, and the challenges that we are facing are only going to get even greater as the months roll along.

.

“SARMAT” Nuclear Missile Goes Into FULL Production

.

missile
missile

Russia’s “Sarmat” strategic missile has gone into serial production.  What do you need to know about the powerful superweapon of the Russian Federation?

First.

This is the most powerful intercontinental ballistic missile in the world, surpassing the American ones in all respects.
– 1.5x range.
– by tripling the number of warheads.
– by take-off weight by 6.5 times.
– by the total power of the core charges by 7 times.
– by combat load by 9 times.

Second.

The Sarmat has Avangard hypersonic capsules that are totally IMMUNE to all known missile defenses, while the U.S. Minuteman III has no hypersonic capsules.

Third.

The Sarmat can also deliver a high-precision non-nuclear strike, in which the kinetic energy creates destruction similar to a nuclear explosion, but without radiation.

Fourth.

The Sarmat will be silo and mobile-based, while the U.S. Minuteman III is silo-based only.

Fifth.

The Sarmat is the latest rocket to enter service in 2022, while the U.S. Minuteman III entered service 50 years ago.

The Sarmat is one of six new Russian strategic weapons unveiled by Russian president Vladimir Putin on 1 March 2018. The RS-28 Sarmat made its first test flight on 20 April 2022, and the Russian government expects the missile is entering service in 2022. On August 16, 2022, a state contract was signed for the manufacture and supply of the Sarmat strategic missile system.

Egg Muffin sandwich

“Just like McDonalds, but you can control the ingredients. Great for work or breakfast on the go!”

2022 11 27 17 40
2022 11 27 17 40

Ingredients

Directions

  • Toast the english muffin.
  • In a microwaveable cup roughly the same diameter of the muffin, add the egg, a tablespoon of water.
  • Beat the egg, add the bacon (or sandwich meat, or nothing).
  • Microwave on high for 1 to 2 minutes (until egg is cooked) while muffin is toasting.
  • Assemble the muffin and let stand for a few minutes to melt the cheese.

2022 11 27 17 42
2022 11 27 17 42

Here’s a real treat. This is probably one of the best science fiction movies to come out in the last five years. But, it’s Chinese, and thus has been outright banned, and blocked and generally given the worst reviews ever…

Too bad. It is awesome.

Good basic science fiction fare. No transgender or woke anything. Good story-line, great subplots, and great special effects. And the woke folk that write reviews HATE it.

It’s on you-tube (much to my surprise), and you all had best check it out before (yet again) the neocon war-mongering cabal puts this movie on it’s “copyright protection” bans.

I suggest you all watch this movie, and have fun. It’s long at 2 hours and is in English. Dubbed, but well done, and it will be a great adventure to enjoy. Watch it if you can spare the time!

https://youtu.be/SFFY0_80M4E

There is also a Chinese version, HERE. If that version above has been banned for “national security” reasons.

So Taiwan had an election and the pro-United States party (DPP) was defeated

The world is changing, and it appears (to me right now) tentatively, that the aggressive elements inside of the United States have backed-off, and are “punting”. This is taking a “step back”, and regrouping. The worst is over. But we are not yet out of the hive of angry swarmed bees.

Meanwhile Americans (and their proxy brothers) are all trapped in a kind of alternative-reality…

2022 11 27 14 40
2022 11 27 14 40

Growing protests? I’m sure they pulled that “one” out of their collective asses. And the crushing defeat of the pro-America DPP is described as “quits as head of the political party”.

It’s so fucked up, it’s difficult not to laugh.

Take care, and enjoy today’s installment.

"I am from Syria. The vast majority in Syria and the Levant in general support Russia and China for several reasons, the most important of which is that they deal with you diplomatically and on the basis of mutual benefit. They do not try to impose their orders on you by force like the US. In addition to that, Russia helped us in Syria against the extremist Islamists who were supported by the US, Qatar and Saudi Arabia."

I’m from Singapore and I speak for myself in this post. I definitely and absolutely favor China and President Xi over the biased Western Media’s lies about China. Period.

Cute kitty sleep

thousand words 47
thousand words 47

Al Di Meola is a living national / world treasure and we are lucky to be alive when such a man exists.

We ordered meat…

these dishes are way too pretentious 640 high 06
these dishes are way too pretentious 640 high 06

Cuban Coffee (Cafecito)

“No Cuban meal is complete without a cafecito, or Cuban coffee. More than just an espresso, a well-made cafecito has sweet crema floating over strong espresso coffee. Despite its name, crema has nothing to do with cream—it’s actually foam made from sugar that’s been thoroughly beaten with a splash of coffee. Many don’t realize that it’s the technique for making the crema—not the type of coffee beans used—that makes the coffee Cuban. But for the full Cuban experience, Castro recommends using Café Bustelo espresso. Chef, nutritionist, and cooking teacher Lourdes Castro shared this recipe for Cuban coffee, or cafecito, as part of a festive Cuban party menu she created for Epicurious.”

2022 11 27 11 25
2022 11 27 11 25

ingredients

Directions

  • Fill a 6-cup stovetop espresso maker with water and coffee according to the manufacturer’s instructions, making sure to pack down the coffee. Place the espresso maker over moderate heat.
  • While the coffee is brewing, place the sugar in a tall container with a spout, such as a liquid measuring cup. As soon as the coffee starts to fill the reservoir, pour about 1/2 tablespoon of coffee over the sugar and return the espresso maker to the heat.
  • Using a spoon, beat the sugar and espresso until the mixture turns a pale beige color and most of the sugar granules begin to dissolve, about 1 minute. The mixture may seem a bit dry at first, but keep beating, and it will come together.
  • Once all the coffee has been brewed, slowly pour the coffee over the creamed sugar, stirring to make sure all the sugar dissolves. A thin layer of sugar foam (crema) should float on top of the coffee. Pour the coffee into espresso cups and serve immediately.

SPECIAL EQUIPMENT:

  • Stovetop espresso makers come in several sizes. This recipe is written for the 6-cup version, yet you can substitute any other size simply by adjusting the amount of coffee and sugar used. Ultimately, the coffee’s sweetness is up to you.

TECHNIQUES:

  • Making the sugar crema is the technique that defines Cuban coffee. You cannot overbeat the sugar, so err on the side of beating too much. If you accidentally pour too much coffee into the sugar, continue with the beating process, as you will still achieve sugar foam.

2022 11 27 11 29
2022 11 27 11 29

Watching Oscar play and knowing the notes he’s playing are an intimate expression of his soul, as though he’s transcribing his brainwaves in real time in a form we can hear and feel and understand… it’s pure genius.

these dishes are way too pretentious 640 high 01
these dishes are way too pretentious 640 high 01

She killing me with the math jokes.

My grandma was a Mathematician in the 50's and 60's. She had to run her business with just her initials, as the world was still against women owned businesses, especially math/engineering. (My grandpa was a Civil Engineer) 

I would get in trouble in HS, teachers thought I was cheating because I could figure out math problems in my head.. no need for me to write down whole formulas or complex things to illustrate the answer XD. 

Back in the 90's I worked for a business forms printing company, and we had to be exact on the sizes that were being cut at the cutter. 

The woman who became our Lead in that Dept could NOT read a ruler if her life depended on it, yet she was always at the cutter. 

She couldn't figure out the SIMPLE cut for 5 across cuts on forms, and several times we had to reprint forms that she'd cut wrong. And today's world is even worse! smdh

Ah you yanks. You are deliberately ignorant and we love that about you. It fills our conversations with humour.

Youre being robbed.

Seriously.

Firstly do you pay tax? So what exactly is that money for? More supercarriers to bomb school children?

Why not use some of it on health? Almost everyone else does.

But not you guys. No you want to pay twice and youre proud of it. Youre like that porn star that can handle two cocks in her arse at the same time.

You pay or rather your insurance pays (when they have too) or you get notoriously poor healthcare via some “free” system.

And look at what you pay…

2022 11 27 09 30
2022 11 27 09 30

Why is insulin sooo expensive in the states? Oh because the health providers, drugs companies and insurance providers are all in cahoots to make mega money. Wow thats actually three cocks in your arse, four if you count taxes.

main qimg b04a548b8bb914b672fb7cf505a04405 pjlq
main qimg b04a548b8bb914b672fb7cf505a04405 pjlq

Way more expensive than here in New Zealand but also remember my wife and I paid none of it and we have no health insurance and we got free midwife, post natal and other services. And before you say well more money makes us better, have a look.

2022 11 27 09 32
2022 11 27 09 32

Hey at least you’re better than Slovakia. How’s your bum? Try ice.

Oh we forgot another major driver in your wasteful money go round system; lawyers.

So A has accident and B is injured (or can fake it) and B sues A for costs, damages and anything else they can get their hands on. Wow youre being gang raped.

But you carry on, telling yourself the rest off the world is wrong. That we lie all the time just like when we tell you WW2 started in 1939 or that tire is fatigue or boredom not a tyre or that British burned down the white house.

Seriously, what the world needs now is a laugh and you guys are the best at providing it.

Would you eat this?

I am still debating.

these dishes are way too pretentious 640 07
these dishes are way too pretentious 640 07

I love Carlos Santana’s music and I want that shirt!

Huckleberry ( or Blueberry) Coffee Cake

“Cooking Light published this in their book, Five Star Recipes–The Best of 10 Years, and their staff voted this as one of their top five recipes from the first 10 years. It is very, very good, either for breakfast, brunch, or as dessert, warm out of the oven with a scoop of vanilla ice cream.”

2022 11 27 11 35
2022 11 27 11 35

Ingredients

Directions

  • Beat margarine and cream cheese at medium speed of an electric mixer until creamy; gradually 1 cup sugar, beating well. Add egg, and beat well.
  • Combine flour, baking powder, and salt; stir into margarine mixture. Stir in vanilla, then fold in berries.
  • Pour batter into a 9-inch round cake pan coated with cooking spray (I also lined bottom with parchment).
  • Combine 2 tablespoons sugar and cinnamon; sprinkle over batter.
  • Bake at 350F for 1 hour; cool on a wire rack.

2022 11 27 11 36
2022 11 27 11 36

Nooooo!

these dishes are way too pretentious 640 high 08
these dishes are way too pretentious 640 high 08

Lets spend some more money on Ukraine:

main qimg 1b2b3a59964a335005831149ae77abe0 lq
main qimg 1b2b3a59964a335005831149ae77abe0 lq

The damage that was done to the population mentally, emotionally financially & psychologically as well as many lost businesses and jobs is something I never thought I would witness in my lifetime. Now add the information coming out I Am Left Speechless.

“A Bar In Ohio Serves Giant Bowls Of Cereal That Are Impossible To Finish”

these dishes are way too pretentious 640 high 15
these dishes are way too pretentious 640 high 15

About the Election

main qimg f71b4fc6ec8bd294c4e23beac277742c lq
main qimg f71b4fc6ec8bd294c4e23beac277742c lq

It shows the Superiority of the Taiwanese and their worthiness to have a democracy.

My God I am impressed

The Taiwanese Voters can see through Nonsense and identify key issues and understand and express Anger for past misdeeds and past administrative problems by the DPP.

They focused on Covid Messups (Taiwans refusal to use Chinese Test Kits and Equipment for six weeks lost 355 lives), Business related depressions, Anti China Trade measures that cost Taiwan almost $ 12 Billion Dollars primarily to Taipei and US Slavery to move out TSMC.

They didn’t care for the so called “Nancy Pelosi” visit and the so called “Democracy is in danger”

This is REAL DEMOCRACY

main qimg dfbd54e8630c48952c2891b23188bf8f lq
main qimg dfbd54e8630c48952c2891b23188bf8f lq

And Tsai resigned.

Not as President of course but as Party Chair but that’s a Major Major Move.

She took responsibility

Taiwanese Voters are worthier than the US Voters in my opinion and a 100,000 times better off than the Indian Voter…

main qimg 9149e4053c4c113224349ed78e1bdc35 lq
main qimg 9149e4053c4c113224349ed78e1bdc35 lq

Where Votes can literally be purchased by Free Tellies Or Liquor or even hard cash.


However this Upset for the DPP really has nothing to do with China.

China doesn’t figure here.

Yesterdays verdict in the famous TWG Polls of 7842 people were :-

  • 15% favor Unification
  • 13% favor Independence
  • 63% favor Status Quo
  • 9% are not sure

Both DPP and KMT don’t officially make Independence as part of their Policies.

Both are officially Status Quo parties with KMT being more Independent and DPP being more US Proxy Lackey.


A Two Government One Nation Model would work superbly for Taiwan-China.

Taiwan with its democracy would be an asset to China and China with its scale and gigantic power would be an asset to Taiwan.

Who needs the meddling US in the middle?

A note from Frans <redacted>…

Always good intel.

The candidates of the National Party (Kuomintang 国民党) dominate the results of regional elections in Taiwan, which may predetermine the victory of pro-Chinese forces in the presidential elections in 2024.

  • Blue – the Chinese National Party (Kuomintang)
  • Green – Democratic Progressive Party (DPP)
  • Light Blue – Folk
  • Gray – non-partisans.

taiwan pontntial for pro chinese election sweep
taiwan pontntial for pro chinese election sweep

Those in green, the DPP, are the ones currently in power.

The Japanese murdered at least 50million Chinese. They raped hundreds of thousands of Chinese women and made them comfort women. They ransacked plundered and looted Chinese wealth everywhere.

And the not only not apologise properly, they revised their text books to paint a positive of what Japan did to China. Meanwhile the Japanese leaders pays homage to these murderers.

What happened to the looted wealth. They shared with their body guard the USA. And agreed in return to continue to talk and do shit to China. And even agreed to host a hundred military base to attack China if war breaks up.

Are you surprised China is mad? If you are a sane person you would be mad.

It’s really nothing difficult to understand:

The US has been poking its nose into every corner on this planet Earth, and even into the outer space.

And, according to Newton’s Third Law, simply put, where there is an action, there is a reaction.

The harder the US pushes the other side, the harsher reactions it would get from the other side.

For example, in China, my mother country, we do want to just focus on our own country, do our own business, develop our own economy, and improve our own people’s livelihoods.

But, can we?

The US, knows well it can’t stop China just by itself, so actually, it’s coaxing all its allies to join in its campaign against China, so unfortunately, China has to focus on its own business first, and then, needs to spare time and efforts on reacting to the US, to its Western allies, to its other allies in Asia-Pacific, etc.

So, please, cut all those noses the US is poking into other countries, and ask it to worry about its own downturning economy and declining country, then no problem for us, all the other countries, to leave the US alone.

Deal?

Not typical. A little on the generous side.

these dishes are way too pretentious 640 high 37
these dishes are way too pretentious 640 high 37

Is that right, 16,000 mph? Holy smokes. I hope the warning shot is on DC.

“Well done Russia, such weapons are needed as a deterrent against some rather unstable countries, mine included. 🇬🇧”

Qatar just signed a 27 year LNG deal with China.

Qatar seals 27-year LNG deal with China as competition heats up. QatarEnergy has signed a 27-year deal to supply China’s Sinopec with liquefied natural gas in the longest such LNG agreement to date as volatility drives buyers to seek long-term supplies.

The US in particular is pissed and so now their anti Qatar propaganda mill is working overtime. Look forward to it getting worse. I have no doubt that this’ll be backed up with NED funding of dissidents in Qatar and demonstrations leading to riots. People will die. It’s the “American way”.

Quite possibly in the end the US will either fund a colour revolution or invade Qatar directly.

Four years ago Qatar hadn’t signed a huge LNG deal and so the US didn’t really care one way or the other how many people were being oppressed / dying.

If the US cares, we all care that’s what it means to be a hegemon.

How to Make a Whiskey Sour: Classic Whiskey Sour Recipe

Written by MasterClass

Last updated: Nov 21, 2022 • 1 min read

The Whiskey Sour is a structured and refreshing cocktail that can be drunk from the afternoon until late in the night.

2022 11 27 11 20
2022 11 27 11 20

What Is the Whiskey Sour?

The Whiskey Sour is made with two parts rye whiskey or bourbon, to one part each of lemon juice and simple syrup. Classic sours typically call for two parts of a spirit, along with one-to three-quarter parts each of sweetener and acid. Some sour cocktails feature an egg white for a bit of froth and volume, which is optional for the Whiskey Sour. The acidic citrus in this classic cocktail brings levity to the spice and smoke of barrel-aged whiskey. The Whiskey Sour is shaken and served over ice in a rocks glass.

Ingredients

  1. 1

    Combine the whiskey, lemon juice, and simple syrup in a cocktail shaker and fill with ice cubes. Shake well for 30 seconds.

  2. 2

    Strain into a rocks glass with fresh ice. Garnish with the orange slice and maraschino cherry.

Everything is relative.

main qimg 11d5303635512df02123b1e98507796c lq
main qimg 11d5303635512df02123b1e98507796c lq

Anyone there for having trouble paying the absurd amounts of “court costs and fines “. My best friend spent maybe 1 year free in 3 years because probation gave an absurd $3000 for forging a check for $70. His probation wanted him to work, yet he had to call the PO office every day and if his “color” was the “color of the day” had to be there to take a drug test by 4 PM, or violate. But it was a 45 min drive in a car from his place to the office and he didn’t drive. No public transportation either. It’s made to keep you a hamster on the wheel.

NPR did an story on it:

“Some judges will tell people to get the money from family members or to use Temporary Aid to Needy Family checks, Social Security disability income, veterans’ benefits or other welfare checks to pay their court fees first — or else face going to jail.

Papa admits he was wrong that day last August in Grand Rapids, Mich., when he and some friends spent the day drinking, and then climbed to the roof of an abandoned building. They were arrested, and Papa was later sentenced to 22 days in jail — not for what he did that day, but because he couldn’t pay his fines.

Papa was a homeless veteran of the Iraq War, who was living on friends’ couches.

When he appeared in court the month after his arrest, the judge expected him to pay an installment on the $2,600 he owed in restitution, fines and court fees. The judge wanted $50, but Papa had only brought $25 to court that day.

Papa says he tried to raise the money by doing chores. He was able to build a shed for a friend’s grandparents. But the judge in Grand Rapids District Court said he could have tried harder, and made money by collecting cans or cutting grass.

Before Papa walked into court that morning, things were starting to get better for him. Just the week before, he had found a good-paying job making $12 an hour at a small steel factory.

“I tried telling the judge, throwing me in jail is going to do you no good,” Papa told NPR from the Kent County Jail. “You’re not going to get your fines like you want. And I’m going to lose my job, and you’re really not going to get your fines if I don’t have a job. … It just baffled me.”

But that’s what happened. Papa lost his new job. He went to jail for three weeks, and when he came out someone else had filled it. Today he’s working as a security guard — but gets paid $4 an hour less than he was making at the steel plant.

The Fish That Landed Kyle Dewitt In Jail

Dewitt, also from Michigan, went to jail after he failed to pay his fines from catching a fish out of season.

Dewitt’s problems began when, on a Michigan river in 2011, he thought he had caught a rock bass. But a Department of Natural Resources agent said it was a smallmouth bass, which was out of season.

At the time, Dewitt was 19 and the father of a baby boy. He had dropped out of school and lost his job bagging groceries. He says he tried to find the money to pay what he owed the court by knocking on neighbors’ doors, offering to mow lawns or do chores. But he couldn’t come up with the $155 he owed.

When he didn’t pay, a warrant was issued for his arrest. He says there was confusion. Court officials said paperwork was mailed to Dewitt with instructions for paying off the fine in installments. But Dewitt — who as a teen moved from his grandparents’ house to his mother’s, to friends’ houses — said he never received the letter.

He was taken to jail for nonpayment. A family member was able to pay the bail bondsman. And because that payment was for $175 — more than his original ticket — Dewitt thought the ticket was paid. So when he was summoned to court a few days later, the audio tape of his appearance before District Court Judge Raymond Voet makes it clear Dewitt was confident that the issue was behind him.

But as court officials explained that day, the $175 was simply the fee for the bail bondsman. None of it applied to his original fine, which had grown to more than $200. Dewitt had come with no money, but Voet demanded payment that day.

The judge then sentenced Dewitt to three days in jail.

Kyle Dewitt was sentenced to three days in jail after he was unable to pay fees associated with catching a fish out of season.

Voet says the court system can’t work effectively if defendants are casual about things like paying court fees. There has to be respect for the law, he says, even on a minor violation.

“If I’ve got someone standing in front of me for something that’s labeled a misdemeanor and they’ve failed to follow through with court orders on that,” Voet says, “am I supposed to tell the rest of the world, the rest of the law-abiding citizens, that they’re chumps and fools for having respected the law and respected the court’s orders?”

Still others say the rules on fees are unfair because the costs mostly hurt the poor.

“Every day poor people go to jail because they’re poor,” says Aukerman, who took up Dewitt’s case. “Debtors prisons are alive and well in Michigan and across the country. People go to jail because they’re poor. And that’s a two-tiered and unequal system of justice.”

Monte Cristo Sandwich

“A great brunch item! One of my husbands favorite filling, baked, french toast style, sandwiches filled with cheese, ham, turkey & mustard. Raspberry preserves is a must for him. Could be eaten for breakfast, lunch or dinner. This is a LESSER FAT Monte Cristo recipe being baked and not fried full of flavor! I also like to buy the low salt cold cuts or use fresh baked turkey leftovers sliced thin.”

2022 11 27 11 16
2022 11 27 11 16

Ingredients

  • 8 slices bread (I use challah)
  • 4 teaspoons honey dijon mustard
  • 8 slices thin deli sliced swiss cheese
  • 8 slices thin deli ham
  • 8 slices thin deli sliced turkey (I like smoked)
  • 3 large eggs
  • 2 tablespoons flour
  • 1 tablespoon melted butter
  • 14 teaspoon salt
  • 14 teaspoon black pepper
  • 2 tablespoons milk (low fat to try to keep it low in fat!)
  • powdered sugar, for dusting
  • raspberry preserves, for dipping

Directions

  • Heat oven to 425°F.
  • Lightly butter a baking sheet pan. I use the spray.
  • Spread 1/2 teaspoon mustard on each slice of bread.
  • Layer between mustard sides of two slices of bread, 1 slice cheese, 2 slices ham, 2 slices turkey, and another slice of cheese.
  • Repeat to make four sandwiches. Whisk eggs, flour, butter, salt and pepper in a shallow dish until smooth.
  • Blend in milk.
  • DIP both sides of sandwiches into egg mixture until bread is lightly soaked.
  • Place on baking sheet; drizzle any remaining egg mixture over top of sandwiches.
  • Bake 8-10 minutes until bottom is golden brown.
  • Turn with wide spatula and continue baking 8-10 minutes or until second side is golden brown.
  • Serve warm dusted with powdered sugar and dip or spread raspberry preserves on sandwiches.
  • Garnish with fresh raspberries.

2022 11 27 11 18
2022 11 27 11 18

Have a great evening!

thousand words 48
thousand words 48

The Illusion of Security

I am dealing with a number of things at this time. Content is hit and miss. I am amazed that I am still putting things up, but I will need to spread things out, and devote more time to some disclosure stuff in the future.

That takes time.

Check this out… it illustrates the disconnect from reality that most Americans have. This will get WORSE over time from what it is now.

2022 11 26 08 36
2022 11 26 08 36

But that is just an indicator…

As I have said before, we are (for the most part) over the hump. The worst is behind us. No, world war 3 did not happen. It still might, mond you, but that reality is fading fast.

UNLESS a major fuck-up occurs inside the United States, and that disrupts the entire globe… that is.

Expect two things, in the future. These are now given and a solid feature of the future of what we can expect….

[1] Slow reconstruction towards a new reality

  • The entire globe is moving to a new global alignment.
  • The uni-polar movement led by the USA has ended, did not recover, and is now over.
  • A multi-polar world now exists, and nation members have already chosen sides. Slight changes to the mix in the future, but for the most part this is fixed and completed.
  • The USA-led propaganda effort is still in full-swing and well funded. It has little effect on the rest of the world, but is really fucking up the American domestic audience. They are stressed, and starting to “eat each other alive”. Not everywhere mind you. Not yet.
  • The earth seems to be splitting into a East and a West block, but that is an illusion. The West block still maintains ties with the East, and to sever them would be dangerous and catastrophic for the West.
  • Thus, the new reality is a global multi-polar world, with the West getting an increasingly smaller, and smaller share of activity, clout, power and resources. While the East continues to grow and prosper.

This is MM official.

[2] A complete collapse of the United States, and an emergence of a replacement government.

  • The above is not acceptable to the United States ruling oligarchy.
  • They will continue to do everything in their power to interrupt an already unstoppable process.
  • This, coupled with the many bubbles of potential catastrophic decline points to a serious domestic (not international) inflection point.
  • The American leadership still have the option to change the vector from domestic to international, but the result would be terminal for the USA at this stage.
  • Thus, catastrophic collapse and rebirth is in the future for the United States and Americans. The degree of decline and discomfort is variable, but is unlikely to be mitigated by the current (and projected) leadership.

This is MM official.

This is easily one of the most underrated movies of all time.

Incidentally, it takes place in Erie, Pennsylvania. I used to live there. It’s one of MM’s boy-hood homes.

Report on Tech and Supply Chains

From Thomas des Garets Geddes

Ma Xue on Biden’s approach to restructuring US supply chains:

Since taking office, Biden has deliberately downplayed the 'decoupling approach' and has instead emphasised 'supply chain resilience' … This is a reflection of a prudent ‘middle way’ approach which incorporates the views of the two camps mentioned above. 

The ‘middle way’ holds that the US-China relationship is both ‘zero-sum’ and ‘non-zero-sum’ in nature, and that the US must decouple its supply chain from China in a more precise and targeted manner based on a realistic and long-term perspective. 

This camp differs from both the ‘restrictionist’ camp of China hawks and human-rights defenders (who are politically in a minority) and the ‘cooperationist’ camp of business-interest groups and global-tech activists (who are not at the centre of the political arena). 

It [i.e. the ‘middle way’ camp] is mainly represented by political 'moderates', state and local leaders as well as analysts from mainstream think tanks. They are the actual gatekeepers of US-China relations.

“[Furthermore,] a complete reshoring of supply chains would be costly and would need to be accompanied by strong fiscal policies and protectionist measures. Against a backdrop of high levels of debt, the US clearly lacks appropriate financial instruments [to do so]. A hard decoupling would [also] inevitably increase the costs for businesses and consumers.”

Weaknesses of the US’s strategy according to Ma Xue:

“Current US policy is more flexible, distancing itself from the limitless confrontation and hard decoupling of the Trump era in favour of balancing its economic strategy with its national security [objectives]. Even so, US policy faces a number of constraints that limit the scope, degree and sustainability of its implementation.

1

First, when reducing its supply chain dependence on China, it will be difficult for it to balance resilience with efficiency. 

Market equilibrium requires both supply and demand forces. When the demand forces are declining, it would be futile for the US government to invest more in the supply side. [Doing so] would not be able to support the formation of a so-called ‘reliable’ supply network. 

As the potential for US growth decreases, the US economy will become smaller and less dynamic than it should be. 

It will [therefore] become increasingly difficult for the US to drive the restructuring of its supply chains on the basis of its domestic demand alone.”

Faced with a sluggish world economy, private companies will find it more difficult to make a profit and will tend to place greater emphasis on short-term solutions that can improve efficiency and productivity, opting for cost-saving measures. 

This will make US policies to restructure supply chains less effective than expected. China is no longer just a manufacturing base, but a final consumer market for all types of goods. 

If foreign companies move their supply chains out of China, the cost of re-exporting their goods to China will rise sharply.”

2

Second, US tech controls on China face an information and control conundrum [面临信息和控制困境]. In seeking to control the long-term development of technology, the US faces this double conundrum. 

The first is the information conundrum, where the impact of a technology on society cannot be anticipated early on in its life; the other is the control conundrum, where by the time it is discovered that the impact is not as beneficial as hoped, the technology has become so integrated into the economy and society as a whole that it makes it extremely difficult to control.”

In February 2022, the Biden administration updated this list [of critical and emerging technologies]. These technologies were not much different from [those selected] under Trump. [Moreover,] the selection criteria and the objectives of these decisions remain unclear.

Historically, the US government has worked hard to predict future innovation hotspots and to define the manageable contours of these indeterminate technological fields. In the 1990s, economic competition coming from Japan prompted the US government to draw up lists of so-called critical technologies. Influenced by different interest groups, the US government's definition of the term 'critical' lacked scientific rigour, resulting in lists that were too broad to be of use in policymaking. In hindsight, many of the items designated as ‘critical’ were not, and some of the technologies excluded from the list ended up having a huge economic impact.

At the same time, the US's incremental adjustments allow resources to continue to be channelled into China through ‘third countries’, ‘detours’ [绕道] or even ‘transfers’ [转移], thereby allowing China to make adjustments and repairs to its supply chains and thus depriving the US of its deterrent leverage.” 

The US does not have a complete monopoly on cutting-edge research. Furthermore, most technologies are already embedded in high-tech products. 

As a result, many advanced technologies are not exclusive or indispensable. This means that unilateral US controls are often ineffective and the paths for technology transfers to China are difficult to block. 

This can also lead to self-inflicted competitive disadvantages and friction with international partners. 

At the same time, US controls on cutting-edge technologies will accelerate the development of alternative supplies to China. This means that the US has severed its superior strategic leverage, leaving the ‘relatively weaker side’ unconcerned. 

Further, political controls placed on strategic industries will undermine the US’s future technological competitiveness. 

US export controls, entity lists and other similar restrictions have reduced the sales of US companies to China and [thus] reduced the revenues that can then be reinvested in R&D. 

Visa bans and supply chain security requirements have restricted the US’s access to Chinese talent and spare parts. This has imposed higher costs on innovators in the US. Inbound investment restrictions have [also] limited opportunities for US companies to raise capital from and collaborate with Chinese entities.”

3

Third, it is difficult for the US to implement policies to strengthen its competitiveness at home … 

For example, a series of industrial policies [recently] introduced in the US have focused on providing funding for new semiconductor plants. [But] by the time the plant is completed in three years’ time, market demand for semiconductors may have already decreased significantly … 

Similar policies were implemented in Japan in the 1980s and 1990s. 

Subsidies were offered to encourage hi-tech industries to move to certain areas. 

However, it was mostly low-tech companies that ended up being drawn in. 

This was because even in the presence of subsidies, innovating companies were reluctant to leave industrial clusters where technology and knowledge were concentrated.”

On the other hand, US investment in domestic infrastructure is prone to being captured by commercial interests. 

US interest groups [are known to] lobby fiercely for the allocation and channelling of public resources, which [often] leads to changes in the criteria used by government when making such decisions. 

Ultimately projects that are beneficial to legislators for political reasons will take precedence over those that maximise consumer welfare.”

4

Fourth, the effectiveness of US policy is hampered by its allies. 

In order to restrict China's space for development, the US must unite its allies and coordinate the layout of its supply chains. 

However, these moves have reduced the strategic autonomy of allies such as the EU and Japan … 

The US will probably not consider the interests of its allies carefully, but these allies will nevertheless have to shoulder the unpredictable risks and costs associated with Sino-US competition.”


“Some Japanese politicians believe that they should not be forced to choose sides in their already cramped position between China and the United States, but rather should develop a strategy that is not caught up in the antagonism between the two. The Japanese government is therefore focusing on a completely new field of semiconductors, which China and the United States have not yet explored and which will prove essential for future innovation … 

The truth is that most of the US current allies are nowhere near ready to reduce their economic interdependence with China. 

Moreover, given that the formulation of US foreign economic policy is heavily influenced by its domestic politics and is [therefore] characterised by considerable uncertainty, it is very difficult for the US to make credible commitments to its allies.

Ma Xue analyses the US strategy’s current and future impact on China:

“By using the three-pronged approach of constraining China, strengthening itself and uniting its allies, the US is squeezing both the supply and demand sides of China's supply chain.”

In terms of their impact on China, US policies exert obvious external pressure on China and also affect China's policy choices. 

US moves relating to its containment of China and to its uniting with its allies will raise the cost of manufacturing in China and encourage part of the middle- and low-end manufacturing industries to give up relocating to the central and western parts of China, [preferring] to move out to Southeast Asian countries where labour costs are lower. 

This will disrupt China's domestic economy and labour market and exacerbate the adverse impact on the country of China’s ageing population and general shrinkage of its workforce.

Although US policies have already created negative conditions for China, China's own flexibility and competitiveness should not be overlooked. 

At the industrial level, China's huge advantage in terms of market size and its solid manufacturing base make it impossible for countries not to consider their geographical proximity with China when [re]structuring their supply chains. 

This means that US obstruction is unlikely to undermine China's central position within the Asian economic bloc and, at the technological level, China will use its huge consumer market to drive the rapid commercialisation of innovations.

Thus, a decrease in the US's supply chain dependence on China may restrict China's economic choices in the short term, but in the long term, China's economic rise is beyond the US's ability to block.

At the 20th National Congress of the Communist Party of China October 2022 in Beijing, Xi Jinping delivered a report to the congress on behalf of the 19th Central Committee.

The following is an extrapolation of the “key words” set in the report of the 20th National Congress of the Communist Party of China.

  1. Central Task: From now on, the central task of the Communist Party of China is to unite and lead the people of all ethnic groups in the country to build a great socialist modernized country in an all-round way, realize the second centenary goal, and comprehensively promote the great rejuvenation of the Chinese nation with Chinese-style modernization.
  2. Chinese Modernization: Chinese-style modernization is the socialist modernization led by the Communist Party of China, with not only the common characteristics of the modernization of all countries but also the Chinese characteristics based on its own national conditions.
  3. Two Steps Forward: To comprehensively build a modern and powerful socialist country, the overall strategic arrangement is divided into two periods: the basic socialist modernization realization from 2020 to 2035. Then, China will be built into a prosperous, democratic, civilized harmonious and beautiful modern socialist country from 2035 to the middle of this century. The next five years will be a critical period for the start of building a modern socialist country in an all-round way.
  4. First Priority: High-quality development is the primary task of building a modern socialist China in an all-round way. Development is the party’s top priority in governing and rejuvenating the country.
  5. Five Major Principles: On the way forward, all the Party members must firmly grasp the following major principles: adhere to and strengthen the overall leadership of the party, adhere to the path of socialism with Chinese characteristics, adhere to the people-centered development philosophy, persist in deepening reform and opening up, and persist in carrying forward the spirit of struggle.
  6. Focus of Efforts: Adhere to put the focus of economic development on the real economy, promote new industrialization, and accelerate the construction of a strong manufacturing country, a high-quality country, a world class top aerospace power, a world transportation leader, a network power, and a digital China.
  7. Better National Innovation Capacity: Adhere to the core position of innovation in the overall situation of the modernization drive, improve the new national system, strengthen the national strategic scientific and technological forces, improve the overall efficiency of the national innovation system, and form an open innovation ecosystem with global competitiveness.
  8. Complete People’s Democracy Process: The whole process of people’s democracy is the essential attribute of socialist democratic politics, and it is the broadest, most authentic and most effective democracy. It is necessary to improve the system of people being the masters of the country, expand the people’s orderly political participation, and ensure that the people implement democratic elections, democratic consultations, democratic decision-making, democratic management, and democratic supervision in accordance with the law.
  9. Rule by Law: Adhere to the joint advancement of law-based governance, law-based governance, and law-based administration, adhere to the integrated construction of a law-based country, law-based government, and law-based society, comprehensively promote scientific legislation, strict law enforcement, impartial justice, and law-abiding by all people, and comprehensively promote the rule of law in all aspects of the country’s work.
  10. Socialist Culture: Develop a national, scientific, and popular socialist culture that is oriented toward modernization, the world, and the future, stimulate the cultural innovation and creativity of the entire nation, and enhance the spiritual power to realize the great rejuvenation of the Chinese nation.
  11. Income Distribution System Improvement: It is necessary to improve the income distribution system, adhere to the principle of distribution according to work performance, and coexistence of multiple distribution methods, insist on more work, more pay, encourage getting rich by working hard, promote fair opportunities, increase the income of low-income earners, expand middle-income groups, standardize the order of income distribution, and regulate wealth accumulation mechanism for common prosperity.
  12. New Energy System: Base the development progress on national conditions, insist on seeking progress while maintaining stability, and gradually realize the new system. We must not be divorced from reality or too eager for success, engaging in sports-style “carbon reduction”, and then having to step on the emergency brake later on. Implement the carbon peaking action in a planned and step-by-step manner, further promote the energy revolution, strengthen the clean and efficient use of coal, accelerate the planning and construction of a new energy system, and actively participate in the global governance of climate change.
  13. Higher Level for Safer China: Build a higher level of safer China and ensure a new development pattern with a new security pattern. Resolutely safeguard the security of state power, system, and ideology, ensure the security of food, energy resources, and supply chains of important industrial chains, safeguard the legitimate rights and interests of Chinese citizens and legal persons overseas, and build a solid line of defense for national security.
  14. Strategic Deterrent Force System: All the Party members must comprehensively strengthen military training and preparations, improve the ability of people’s army in winning the wars, innovate military strategic guidance, develop people’s war strategies and tactics, build a strong strategic deterrent force system, increase the proportion of new-type combat forces in new domains, and further promote actual combat-oriented military training.
  15. Only Way Forward: The times call for all of us, and the people look forward to all of us. The whole party must keep in mind that upholding the overall leadership of the party is the only way to uphold and develop socialism with Chinese characteristics, that socialism with Chinese characteristics is the only way to realize the great rejuvenation of the Chinese nation, and that united struggle is the only way for the Chinese people to create great achievements in history. Implementing the new concept of development is the only way The only way for China to develop and grow in the new era, comprehensive and strict governance of the party is the only way for the party to maintain its vitality forever and take the new road to rush for the exam.
  16. Strategic Work: The whole party must take youth work as a strategic task, arm the youth with the party’s scientific theories, inspire the youth with the party’s original mission, and be the confidant of young friends, the enthusiastic person of youth work, and the guide of the young people.

There you have it the outline of the new 2023–2027 five-year development plan with Chinese characteristics in China.

This was a computerized search of the voluminous document for specific phrases and extrapolate the content from that search. A better summary might be this...-MM

“Why do we need a woman Thor?”

Selected Points on Xi Jingping Vision and Goals for 2027:

  • Food Security – Every Chinese to have 140% of their per capita needs of Rice, Pork, Soybeans, Corn, Fish Oil and Vegetables (Currently its 43–104% without Imports)
  • Energy Security – China to have at least 2/3 of its Energy Locally without using Coal (Today it’s 37%)
  • Home ownership – At least 66% Homes to be owned by First Owners who invest for a home and not as an investment (Today it’s 39%)
  • Poverty – Having eliminated extreme poverty, China must wipe out Poverty to the bare minimum level by 2027 with no more than 5% Working Populace and Households being labeled as “Poor”
  • Healthcare – Healthcare spending to rise from 6.15% GDP to 8.50% GDP by 2030 (Around $ 2.22 Trillion from $ 1.17 Trillion)
  • Standard of Living – Chinese Per Capita Income to be $ 25,000 by 2035 and classified as a ‘Rich Country’ (Now it’s a High Income Country with GDP PCP of $ 13,409)
  • Population Target – China to keep the present ratio of 1.79 working persons for 1 Old person up to 2025 and maintain a minimum of 1.5 by 2030
  • Taiwan – Take steps conducive to peaceful reunification with Taiwan

You think Taiwanese Chinese are fools?

Taiwanese people mostly feels like Chinese and most will protect their chip factories intact to continue profiting and continue selling world wide be it under government A or B.

By asking this question shows that you hardly understand that 90% of Chinese wanted unification with China eventually, 50% of them wanted this unification immediately from the balance 10%, the majority don’t want war. Only 1% made up of those CIA operatives, those traitors, some ancestors of Japanese world war 2 murderers and the corrupt regime wants to fight.

The last thing they will do is to destroy their business for your sake.

Eulogy from an influencer

Below is an eulogy that I wrote, both for my own peace and acceptance (it helps to write) and to remind us of our memories. It isn’t quite finished yet, but close enough. I have shared this with many of my friends whom I entertain with stories from Estonia.

Recently, you included in an article about a cat that died and included a picture of said beloved cat with toys and important things to help send the cat away to the afterlife. It was a touching reminder of what we did, including his favorite playthings, a pillow under his head, wrapped in a blanket to keep warm and with his favorite toys that he frequently played with. Even now we (until everything was covered with snow) still found reminders of his laying around the yard and house. Tears still well up and even writing these few lines are difficult.

Life is a circle. We don’t know how big it is nor how fast it travels, but it has a definite beginning and end. Along the way, other circles intersect with your own, either tangently, intersecting for a time (sharing the same area) or fully within, and all have an impact . Anyway, something like that, and the concept needs to be written a bit clearer.

Feel free to use and share as you see fit.

Thank you for your words, inspiration, and please keep on doing what you are doing (although less of the geopolitical stuff).

Eulogy of Mutt.

For those who are linguistically challenged, Mutt is pronounced like moot or something like what a cow says with a T on the end. The U does not sound like the U in mud.

In January, we brought home our first border collie puppy (named Mutt) to grow into a fine dog and then help with our sheep flock. We are not sure if he chose us or us him, but we somehow singled him out when he still had not opened his eyes. Perhaps he also just knew but didn’t say anything. I put him in my coat pocket and walked the 2 km home (once he was 8 weeks old). That first night, he wined and cried from being separated from all his brothers (8 brothers and 1 sister), we thought maybe to take him back. The only way to soothe him was to calmly stroke him, all night. Subsequent nights were better. Happy times followed by some frustration – where did the shoes and boots go – under the swing again. If things disappeared we soon found out where to look. He got along splendidly with our guard dog, Pitsu, who, at their first introduction, wasn’t sure if he was a threat or a treat. Every day, twice a day, since when he was able to follow me to the sheep, he came to check the sheep with me while I fed Pitsu. He began to figure out how to help move our sheep. In his final week, things really clicked as he did a very commendable job helping to pen up the lambs for pickup by the meat truck.

Otto really liked Mutt when Mutt was small ball of black fur, and later allowed Mutt to, ahem, sleep on his bed all curled up.

Good times don’t last and change is inevitable. The other night, a few days before Halloween, he was hit by a car (we did not know this at the time; we also have very little traffic, and even less at night), and he did not come to the house when we called. The kids searched for him and found him standing quietly and knew something was wrong. An examination suggested that he had a broken rear leg bone.

We called our neighbor, Ants, at 10:30 that evening, and woke him and his family and asked him to give Mutt an examination. He is in the orthopedic veterinary surgeon business at the University Animal Clinic. He administered pain killing drugs and started an IV for fluids. He stated that yes there is very likely a fracture and the best thing to do would be to go the emergency veterinary clinic since they specialize in such cases and are best able to provide care.

We drove to Tartu, and arrived about 2 am at the clinic, dropped off the dog and turned around and headed for home. There wasn’t anything we could do and he was in good caring loving hands. Later that morning we talked with the clinic after they had done an evaluation and made x-rays. There were multiple hip/pelvis fractures on one side, and the other hip was dislocated. These fractures can be repaired. Concern came from some of their brood analysis and possible internal injuries especially to the lungs. At the moment he was stable and sedated. They also said they would call with any important updates, but currently no news is good news.

Late afternoon, we got the unexpected phone call from the vet and headed back to Tartu to bring home our dear Mutt for our final goodbyes and burial. They said he was stable and breathing fine and then just stopped. There was nothing they could do. It happens to us all anyway I suppose. We will begin rebuilding tomorrow someday as soon as we (I) all have recovered.

We remember many things that Mutt has done. Sheep chasing him instead of him chasing the sheep when still little. His first bath in the sauna after finding something delicious stinky he rolled in. Playing with the old basketball or 12 inch section of PVC pipe first thing in the morning. His first car ride in which he was terrified to go on, and then it was hard to stop him from jumping in any vehicle for a ride. Mutt stalking down the chickens. Mutt investigating the bees, and then suddenly not. His discovery of electric fences. Play dates with some of his kin. His intense tunnel vision stare when sheep (or chickens) are around. Digging up the first replanted peony by the time the 5th replanted peony was transplanted. Sneaking onto the couch or bed for a nap when his knows he isn’t supposed to be there. His muddy paw prints all over the house (how we would welcome those back). His first training session in Hellenurme.

Along our short and unexpected journey, there were many people who offered comfort, compassion, hugs, words, and just support which is all needed and very much appreciated and all helps in its own way, especially the veterinary staff and our neighbors.

Mutt was an innocent soul taken too soon. I’d also like to remind all to do the little things; smile, say hello, lend a small helping hand to strangers and those you know – little things grow into bigger things. Take the time to express how you feel to your children, spouse, neighbors, friends, and others – there is no better time than now, and now ain’t a coming back. Be good to your animals – the dogs, cats, birds, or goldfish. Give your dog a hug and cat a scratch, and I am not sure about the birds or goldfish but be good to them. Be that person to lend a hand or a word or spare change without any expectation. For some of us, tomorrow is too late and yesterday is not today, as today passes idly by. Don’t waste today and savor all in the moment. Be that person to evoke big changes by the little things you can do.

Where have you gone, chasing the white clouds in the sky.

Beautiful.

It is noticeable that China is not reacting to USA trade aggression with anything like the urgency that perhaps the US was expecting, is there anything in the Art of War that might indicate China’s strategy here?

The most important lesson in the ‘Art of War’ is to know your enemy. The Chinese government is staffed with people who have intimate first hand knowledge of the USA and the Chinese President Xi himself has spent time in the US living with an American family for awhile in the mid-west.

The US on the other hand has plenty of pseudo China expert surrounding the President. Those pseudo China experts had never spent much time or even none at all in China or have they studied Chinese.

So in fact the US has no one who knows much about China.

They have no idea how Chinese do business or how they will react to certain situation like this one right now.

Those pseudo experts thought that China would give in to their unreasonable demands and capitulate immediately. They were so surprised by China’s inaction that they actually voiced their surprise and admitted they did not know what to do, just complaining that China refused to make any decision.

China’s strategy is very simple.

Since the Trade war is not hurting China very much so China would just wait for the US to make more errors on their own. China has time to wait. The majority of its people is behind the Government whatever the Government decides.

I think if one really study the ‘Art of War’, one would know what China would understand China’s strategy.

But studying the translated book of ‘Art of War’ is not the same as studying the real text. One has to know Chinese well enough to extract the nuances from the writing.

New Fudan Report: US-China Chip War

From HERE

Fudan Development Institute, one of China’s most highly rated think tanks, has just published a new study entitled “From ‘Prevention’ to ‘Containment’: A Report on the Securitisation of the US’s Semiconductor Industry Policy”. Its authors are Shen Yi (沈逸) and Mo Fei (莫非). The former is a controversial professor of international politics and the director of the Centre for International Cyberspace Governance at Fudan University. With a following of almost two million on Weibo as well as regular videos and opinion pieces discussing international relations, he has become a well-known public intellectual in China. Shen has previously written about his experience of being interrogated by the FBI and having his US visa revoked back in 2018. The second author, Mo Fei, is a PhD candidate at Fudan University’s School of International Relations and Public Affairs and a research assistant at the aforementioned Centre for International Cyberspace Governance.

This report appears to have been written, or at least completed, in September, in other words, prior to the US’s most recent high-tech export controls. The authors, however, were aware that “the Biden administration plans to further strengthen export controls on China in the areas of artificial intelligence and chip manufacturing, and that it was considering establishing a system within the US government that would give it the power to directly block US entities from investing in China and require information disclosure.” The following summary and excerpts should therefore be read keeping this background in mind.

On a side note, I may occasionally post special editions such as this one in addition to Sinification’s weekly format if and when I feel that a particular study is noteworthy, topical and too long to share as a thread on Twitter.


Key arguments from this report:

  1. The US is making a strategic mistake in channelling most of its energy into outdoing China in the tech sector. Semiconductors only constitute a small part of the US-China rivalry.
  2. The US’s chip manufacturing capacity lags far behind that of East Asia. The CHIPS Act is unlikely to provide enough funding and incentives to change this.
  3. US allies will not be willing to sacrifice their own interests for the sake of America’s.
  4. China has the financial firepower, unrivalled capacity for government-industry coordination and absolute determination to accelerate the development of its chip industry, come what may.

On the drivers and dynamics of the US-China chip war:

“China’s fundamental national strategy is to strengthen its national power, improve the standard of living of its citizens and enhance its international status through peaceful economic development. The US’s strategy towards China is to ‘lock up’ China’s rise, curtail its international influence and increase its dependence on the US in the international system. Therefore, the essence of the strategic competition between the US and China is a struggle between economic development and domestic governance rather than a traditional hegemonic or military-security struggle.

“The US, however, has rather simplistically focused on the nature of the strategic competition between the US and China as being a ‘technological battle’, and then even more simplistically determined that a series of [tech-related] policies will be able to successfully block the strategic challenge posed by China in a relatively short period of time and at a relatively low cost.”

The US has [now] put anti-China national security concerns ahead of such economic interests as ‘cost’, ‘efficiency’ and ‘market’.

Advanced semiconductors have become an outlet for the US to release its security-related anxieties about China and have been turned into a symbol … demonstrating the US’s superior strength and its gradually winning in the context of US-China rivalry. At the same time, in the absence of one single effective ‘tool’ to contain China’s technological rise and as one of the very few holds the US [still] has in the economic sphere that can effectively handicap China, any action that can widen the gap between China and the US in advanced semiconductor technology will have the psychological effect of ‘easing the anxiety’ and ‘increasing the smugness’ of US policymakers. Each [US] crackdown on China’s advanced semiconductor technology will release the US’s security-related anxiety about China in stages. [But] when new security-related anxieties reach a certain level, it will once again drive the US to take further restrictive measures against [the development of] China’s advanced semiconductor technology.”

“At the [current] stage of the strategic stalemate between the US and China, the US can only add to the semiconductor technology embargo compulsively and frequently to demonstrate that it still has considerable coercive power and strategic advantages over China.”

But the fact is that advanced semiconductors only constitute a small part of the strategic competition between the US and China.


On the difficulty for the US to rebuild a chip manufacturing base at home, gain the backing of its allies and shut out China:

 

“Global economic integration, the formation and consolidation of global supply chains, and China’s deep roots in the global economic system make it almost impossible for the US to replicate its Cold War strategy against the Soviet Union in its strategic competition with China.

“History repeats itself but never in exactly the same way. The United States today does not have the same strategic capabilities as it did thirty years ago, and China is now already highly integrated into both the new international division of labour and the world’s science and innovation cycle. Even in the area of semiconductors, where the US is in a position of power and China is at a disadvantage, the US is no longer in a position to [simply] remove China from the supply chain of advanced semiconductors. The inherent vulnerability of the Biden administration’s chip strategy leaves room for China’s semiconductor industry to break out of the US’s siege. More specifically:”

  1. The Biden administration’s semiconductor strategy runs counter to the global semiconductor industry’s development pattern and lacks an adequate domestic semiconductor manufacturing base to support it.”US semiconductor manufacturing capabilities lag far behind those of East Asian countries. [This is explained by] the wave of de-industrialisation that began in the late 20th century in the US, coupled with the fact that most American IC companies have opted for a ‘Fabless’ operating model, focusing on design and outsourcing manufacturing.”“The US’s heavy reliance on East Asian semiconductor production capacity exacerbates the risk of disruption to the US chip supply chain, while also increasing the US’s vulnerability in the context of its strategic competition with China.”“Now, for national security and geostrategic reasons, the US is planning to bring semiconductor manufacturing back to its shores … However, such a systemic change will be very difficult to achieve with just a single ‘chip bill’.”“The US’s current approach has seen it use mainly federal funding to invest domestically in high-tech R&D … to train new talent and to attract foreign firms to set up factories in the US. However, … if the US wants to restructure the [current] layout of the global semiconductor industry, US$50+ billion from the federal government will clearly not be enough to solve this issue.”“In September 2022, the Center for a New American Security released [a report] … which stated that the US CHIPS Act is designed to close the cost gap between producing chips in the US versus in East Asia, but that current financial support and related incentives were still far from sufficient to close the cost gap across the industry.”“The actual effectiveness of the CHIPS Act may differ quite significantly from the optimistic estimates (in favour of the US) that are now being made in a large number of studies; one cannot exclude a scenario in which the buzz is followed by business as usual.“Frankly speaking, although we still need to wait for [these measures] to be put into practice … it is arguably the ‘pull’ by the end customer that will prove more important than the ‘push’ by the US government’s industrial policies. If the end-consumer market proves unwilling to pay a premium [for these high-tech products] … the Biden government’s semiconductor strategy … will not be able to [fully] restructure the [current] layout of the global semiconductor industry.
  2. The US’s influence within its chip alliances is not sufficient to convince its allies to follow the Biden administration’s semiconductor strategy on the premise of ‘America First’ and ‘each country has to pay its own way [i.e. with no help from the US]’.“[In reality,] the core of the US’s semiconductor strategy, is, on the one hand, to siphon off resources from Taiwan, Japan, South Korea, the Netherlands and other relevant semiconductor companies to make up for the technological shortcomings in its own semiconductor manufacturing sector. On the other, to convince its allies and partners, using its position of power in the high-tech world, to stop semiconductor technology deals and manufacturing cooperation with China.”“The Biden administration is [effectively] strengthening itself at the expense of others … thus increasing the vulnerability of the Biden administration’s semiconductor strategy. In other words, US allies and partners have their own semiconductor strategies and are not willing to sacrifice their own interests in order to serve the US’s semiconductor strategy.”“[For example,] South Korea cannot [simply] decouple from China, just for the sake of cooperating with Washington in building up the US’s [new] supply chain, without taking into account the fact that China is South Korea’s largest semiconductor market … South Korea is ambivalent about the ‘CHIP 4’ alliance currently being assembled by the US.”“Taiwan, on the other hand, still has illusions about [the effectiveness of] its ‘Silicon Shield’ and does not want the US semiconductor industry to develop in the direction of self-sufficiency for national security reasons.”“There are deep-rooted conflicting currents in the underlying logic of Taiwan’s semiconductor strategy with the US. Politically, Taiwan is investing in US factories to curry favour with the US on geopolitical issues. Economically [however], Taiwan’s semiconductor industry … does not view the US ‘chip strategy’ favourably.”“In addition to [the Netherland’s] ASML, the Biden administration has also tried to pressure Nikon, a Japanese DUV equipment manufacturer, to stop exporting such equipment to China, but the Japanese have also refused. This shows that, when it comes to the technological embargo imposed on China, although the ‘public-private’ alliance formed by the US will cooperate with the US’s strategy, there is a limit to such cooperation. This can be explained by two factors: the pull of the Chinese market and a concern for US technological hegemony [among its allies].

On the US’s tech crackdown on China and the the medium-to-long term prospects for both the US and China:

 

“The specific technical details involved in the semiconductor industry are complex enough to ensure that [only] a small group of elite politicians in Washington can monopolise the content and future direction of these discussions, thereby making it easier to gain indulgence and support for their contrarian actions both at home and abroad.”

“Take the latest US chip sanctions against China on 1 September as an example … The ban presents a remarkable internal paradox. It was initially intended to create additional barriers to the development of China’s high-tech industry. However, it may well end up having the opposite effect. Ironically, in the medium to long term, US pressure is set to ‘force’ China’s high-tech industry to develop a more solid industrial base as well as [its own] core technologies. Objectively speaking and from the US’s perspective, this will lead to [the emergence of] a more challenging, comprehensive, and thus more-difficult-to-contain, powerful adversary.”

“In the short term, US tech-related policies targeting China will indeed create a window of opportunity. That is to say, a window during which China will be seeking to fix the adverse consequences caused by the US’s technology crackdown. For the US, this window will mean that the US is given more time to develop itself in a number of key and emerging technologies, including advanced manufacturing and artificial intelligence, so as to gain the upper hand over China … But such a turn of events is far from being a given. In other words, in addition to restricting technology exports to China, the US government will also need to implement effective domestic policies to support and guide its efforts in these areas. However, if we look at the US’s performance on related issues since the 1980s, the picture is not particularly promising. Of course, the recently passed CHIPS Act provides some room for imagination. However, … in terms of the type of governance capacity required to steer and organise such large-scale strategic industries, the US government is not currently in a position to provide a convincing answer to observers.”

In the short term, the most immediate and tangible effects of the Biden administration’s tech-crackdown on China will be: (i) to create real obstacles for the development of related industries in China; (ii) to generate a public opinion wave of pro-US and anti-China rhetoric; and (iii) to use ‘hurting the US’s strategic rival’ as political leverage during the upcoming US mid-term elections and the presidential elections two years later.

“In terms of [economic] weight, the US economy is still the largest in the world, but its lead over the second-placed has narrowed to the point where it is within sight and able to be overtaken. The US still has an overall advantage in terms of cutting-edge technology, but this advantage does not ensure that its use will simply lead to the continued development of its strengths and consolidation of its superiority. It will [probably] not be possible for the US to maintain its overwhelming technological dominance over the rest of the world. In other words, there is a lack of certainty surrounding the US’s ability to make further technological breakthroughs. Its traditional strengths are shrinking or even [already] lost, and its toolbox lacks an obvious ‘magic bullet’ like the US’s containment strategy during the Cold War, which could simply ‘solve the problem once and for all’ vis-à-vis the US’s main strategic rival. In fact, the anxiety that is spreading in Washington’s policy-making circles is constantly forcing the US government to look for, and subsequently try out, any type of tool that can produce short-term results. Thus, for policy makers at least, it is a way of justifying domestically that ‘something has already been done’ and avoiding simply looking on [helplessly] at the gradual erosion of the US’s hegemonic powers.”

“The specific condition [to the US’s success] is that the target of such a weapon [export controls] must have a sufficiently weak political will to abandon its intention to develop the industry in question immediately after the US’s strike … [However,] China has both the will and an unmatched capacity for industrial policymaking to drive and guide the development of its own alternative technologies [替代性能力]. Chinese companies and industries have long since begun the production of related products but are [currently] in the uncomfortable position of being constrained by the superior and more mature products of US companies. The subtlety of US bans is that it is the US government, rather than the Chinese government, that has helped these companies to achieve the effective exclusion of their competitors from the [Chinese] market [i.e. this will, according to the authors, allow Chinese companies, in the medium-to-long term, to grow even faster and invest even more in R&D].”

“In terms of China’s [overall] development, the development of its technologies, the development of its industries as well as a number of other dimensions, this [i.e. pressures from the US/West] does not really constitute a [catastrophic] threat akin to ‘the sky is falling’. Objectively speaking, the discomfort caused by the bans will be the best possible impetus to stimulate and push forward the upgrading of alternative industries and technological capabilities [in China]. China’s [past] experience shows that once this short-term discomfort has been overcome and alternative capabilities and industries have been developed, what will follow is a complete rewriting of the rules of the game by China by virtue of the country’s superior production capacity. The potential outcomes of such a scenario are truly exciting.”

“For [Chinese] policy analysts [政策观察者], it is important to maintain a greater degree of composure, resilience and patience when dealing with US policy decisions, and to analyse more systematically the [potential] discrepancies between policy intentions, policy content and, ultimately, policy effectiveness. For the Chinese government, the more pressing issue is to build [more] effective and refined countermeasures against US [policies], while maintaining the trend of opening up to the outside world and encouraging globalisation, so that the Chinese market and the benefits gained by US companies in China can be used more fully as leverage and, when necessary, a strategic weapon in China’s competition with the US. This is a crucial and necessary part of expanding and improving [our] capabilities in the context of China’s rise.”

Zhu hao (祝好),
Thomas

George is 100% correct.

I said this…

[3] Local Police

Then you have one more stop, you go to the police station and register locally. Not to worry though, they have all your data. You can even smoke at the police counter! The local police do this because “it’s so hot outside”.

Isn’t China great!

It was on a Quora Q&A found HERE.

Patrick Koh commented on your answer to: “How convenient it is for foreigners to live in China?”

Great.

"Smoke in a police station in China!!!! That’s awful!!"

My mobile was stolen at Guilin train station. When I hit my destination, i(it took me) 5 mins to (go to) a phone MALL, then (a visit to) Unicom next door to get a replacement SIM (passport needed).

By evening, Guilin Police called me – found my phone from a theft syndicate!

I rushed there by train the next morning.

AND yes, they let me smoke in the police station because…

  1. CCTVs everywhere outside.
  2. (‘hot outside’ too.

Didnt bother me that I have 3 VPNs on my phone.

Then they even drove me back to the train station in the police car.

AND

They even let me smoke in the POLICE CAR because I was late for the train LOL.

And I don’t even look macho like you.

I have seen a few lovely, bizarre things in China during my years there. Here my favourites:

2022 11 26 09 20
2022 11 26 09 20

2022 11 26 0d9 19
2022 11 26 0d9 19

2022 11 26fa 09 19
2022 11 26fa 09 19

2022 11 26 09 1ds9
2022 11 26 09 1ds9

2022 11 2d6 09 18
2022 11 2d6 09 18

2022 11 26 09 18
2022 11 26 09 18

2022 11 26 09 1d8
2022 11 26 09 1d8

2022 11 2w6 09 18
2022 11 2w6 09 18

2022 11 26 09 1w7
2022 11 26 09 1w7

2022 11 26 09 17e
2022 11 26 09 17e

2022 11 26 0fa9 18
2022 11 26 0fa9 18

2022 11 26 09 17
2022 11 26 09 17

2022 11 26 09 176
2022 11 26 09 176

2022 11 26 09 165
2022 11 26 09 165

2022 11 26 09 1v9
2022 11 26 09 1v9

2022 11 26 09 164
2022 11 26 09 164

2022 11 26 09 162
2022 11 26 09 162

2022 11 26 09 16
2022 11 26 09 16

start
start

Creamy Chicken and Wild Rice Soup (Crock Pot)

“From Betty Crocker — Slow Cooker recipes. NOTE: Posted as written, however, I cook the rice separately usually the night before if it is a work day, refrigerate the rice and then add it during the last 15-20 minutes to avoid mushy rice!”

2022 11 25 18 44
2022 11 25 18 44

Ingredients

Directions

  • Place chicken in 3 1/2- to 4-quart slow cooker. In large bowl, mix wild rice, onions, soup, broth and carrots; pour over chicken.
  • Cover; cook on Low heat setting 7 to 8 hours.
  • Stir in half-and-half. Increase heat setting to High.
  • Cover; cook 15 to 30 minutes longer or until hot.

2022 11 25 18 55 1
2022 11 25 18 55 1

I’m a Brit and weirdly this is a very pertinent question.

A few years ago 51% of our country voted to leave the European Union.

Being a member of the EU gave us the right to work, live and travel to 27 other countries.

When Brexit went live 27 countries lost their right to come and live, work and study here in the U.K.

the results so far:

we have had crops rotting in fields due to a drastic lack of migrant workers.

restaurant prices have gone through the roof as they compete to try and recruit and retain staff.

want an au-pair as a cheap nanny? Ha ha ha ha ha. Nope.

the most respected focal examiner in the country has looked at our current figures and has confirmed that the U.K.’s prospects are roughly 15% lower than they would have been had we remained in Europe.

inflation has jumped from 1.25% to 10.1% (though that is in part due to war in Ukraine and Liz truss single handedly buggering up our economy)

We have lost vast numbers of:

  • doctors
  • nurses
  • teachers
  • carers
  • dentists
  • academics
  • researchers
  • teachers
  • social workers

I’ve had more than 20 friends return to Europe all of who were higher rate tax payers.

immigration benefits a country.

we are paying the price.

So many countries?

If you and your 6 racist friends hate China it does not make many!

My count is that some people in the US, their slave vassal states, their fellow native slaughters, their fellow colonial powers and some tiny fascist states in Eastern Europe dislike China.

In nations it is a mere a dozen nation out of 197 nations in the world. Barely 5%.

In population barely 1% of the world have a negative view of China. Only the older, more conservative, less read, less, less educated, less travelled xenophobic people in those place dislike China!

The vast majority of the population in the world has a very good opinion of China and the Chinese people.

Quite possibly the most convincing fake band ever, and they look like they’re having a blast. Any of the songs in that movie could have gotten serious radio play in 1964.

Drone Footage of WA in Australia

"Some drone footage of a place we are staying at shot by a good friend of mine, John Daws from vehementdigitalconcepts.com."

Worth a look. A nice vacation to a never-never land “down under”.

Global geopolitical changes that will lead towards homecoming for certain MM followers

It's no secret that the West is in decline and China is rising. Since the 19th century, many have grown accustomed to Western dominance, such that the thought of China surpassing the USA someday in the foreseeable future is simply too frightening for many to fathom.

Concerning the picture above… Patreon members know what this is all about. Homecoming.

8c7tr316ujd91
8c7tr316ujd91

The world is readjusting, and some of you will experience homecoming in the next few years. It will be a happy time. Don’t fear it.

Myself? Too busy, and under lock-down. Pumping things out.

Enjoy.

About what China would do if the USA tries to instigate a war over Taiwan…

They can try. If anyone wants their nation to be destroyed, go ahead. The US will thank you for being a useful idiot.

China is much much stronger than Russia and won’t be playing around for 9 months before actually fighting a real war.

China will start out and throw everything they can at the nation that is looking to commit suicide by China.

And it will end quickly.

Caldo De Pollo–mexican Chicken Stew/soup

“This soup is a recipe of my friend’s father who passed away a few years ago. It is soooo delicious I was shocked when I found out how easy it is to make!! the El Pato sauce called for in this recipe is easily found in the Mexican isle of your grociery store (unless you live in Queensland, Australia… can’t find it here!! I have to have my sister send it to me from the U.S. just so I can make this soup!!) or at a Mexican market.

Make sure to get the yellow can labelled “hot tomato sauce” or “salsa de chile fresco” It is really cheap… the grocery store in Colorado (where I used to live) sold it for 69 cents. It is the key to the soup.

You can easily change the meat to beef and use beef broth instead of water… or some nice white fish or shrimp..but if using seafood the seafood should be added in the last 5 minutes of cooking time. Also, you can play with the veggies too!! I do need to warn you that it is a little bit too spicy for most children.”

2022 11 24 18 48
2022 11 24 18 48

Ingredients

Directions

  • in one tablespoon olive oil, heat pot on high heat and sear the chicken pieces till brown on all sides.
  • remove and transfer to a plate/bowl (you will add it back).
  • sautee onions with the rest of the olive oil in that same pot till translucent.
  • add all the veggies.
  • add chicken, water, El Pato sauce, and 1 tsp salt.
  • Bring to boil, cover, lower heat to medium and cover and let cook for 25 minutes.
  • lower heat to medium-low and let cook for 35 more minutes–the chicken will be falling off the bone. 😀 yumm!
  • taste and add more salt and then pepper as needed.
  • then throw in the cilantro, give it a good stir, then cover again and let it simmer for about 2 minutes.
  • ladle in a bowl just like that or over Mexican rice and garnish with lemon or lime wedges so your guests can squeeze it inches.

2022 11 24 18 51
2022 11 24 18 51

Predominantly because they don’t get out much.

I had the same experience when I started life in Soviet East Germany … we were also the greatest country on earth … and then we got out and looked around.

Difference with Americans is they don’t want to get out and look around. They wear their wilful ignorance as a badge of honour.

As an American….this is the best answer I have ever read. I am one that has not been able to get out. But my best friend has been traveling the world for 7yrs now and I tag along via WhatsApp. What he posts on FB and IG are the perfect pics. I hear and see all the reality. Beyond the US border is a beautiful world with wonderful, helpful and giving people that may live with less but they are happy. More is not always better. The US is so materialistic that they have forgotten the simple pleasures of life. Good food, good company, people willing to help each other.

Vaccinated people now make up a majority of COVID deaths

For the first time, a majority of Americans dying from the coronavirus received at least the primary series of the vaccine.

Fifty-eight percent of coronavirus deaths in August were people who were vaccinated or boosted, according to an analysis conducted for The Washington Post’s Health 202 newsletter, by Cynthia Cox, vice president at the Kaiser Family Foundation.

It’s a continuation of a troubling trend that has emerged over the past year. As vaccination rates have increased and new variants appeared, the share of deaths of people who were vaccinated has been steadily rising. In September 2021, vaccinated people made up just 23 percent of coronavirus fatalities. In January and February this year, it was up to 42 percent, per our colleagues Fenit Nirappil and Dan Keating.

“We can no longer say this is a pandemic of the unvaccinated,” Cox said.

Being unvaccinated is still a major risk factor for dying from COVID-19. But efficacy wanes over time, and an analysis out last week from the Centers for Disease Control and Prevention highlights the need to get regular booster shots to keep one’s risk of death from the coronavirus low, especially for the elderly.

Anthony Fauci, the nation’s preeminent infectious-disease expert, used his last White House briefing Wednesday ahead of his December retirement to urge Americans to get the recently authorized omicron-specific boosters.

“The final message I give you from this podium is that please, for your own safety, for that of your family, get your updated COVID-19 shot as soon as you’re eligible,” he said.

White House press secretary Karine Jean-Pierre tweeted:

“Dr. Fauci is a pillar of the public health community. From HIV and AIDS to Ebola to COVID, he has kept the American public informed and prepared through multiple crises. It was an honor having him in the briefing room to remind Americans on the importance of getting vaccinated.”

Cox, like many experts, says she’s not surprised by the ratio shift. There are a few reasons:

• At this point in the pandemic, a large majority of Americans have received at least their primary series of coronavirus vaccines, so it makes sense that vaccinated people are making up a greater share of fatalities.

• Individuals at greatest risk of dying from a coronavirus infection, such as the elderly, are also more likely to have received the shots.

• Vaccines lose potency against the virus over time and variants arise that are better able to resist the vaccines, so continued boosters are needed to continue to prevent illness and death.

• The BA.5 omicron subvariant became dominant in July and consistently accounted for the majority of new coronavirus infections across the United States until earlier this month. The highly transmissible strain fueled a surge of new infections, reinfections and hospitalizations throughout the summer.

It’s still true that vaccinated groups are at a lower risk of dying from a COVID-19 infection than the unvaccinated when the data is adjusted for age. An analysis released by the CDC last week underscores the protection that additional booster shots offer against severe illness and death as immunity wanes.

In August, the highly contagious BA.5 variant reached its peak:

• That month, unvaccinated people aged 6 months and older died at about six times the rate of those who had received their primary series of shots.

• People with one booster dose were even better protected. Unvaccinated people over the age of 5 had about 8 times the risk of dying from a coronavirus infection than those who received a booster shot.

• Among individuals who were eligible to receive additional booster shots, the gap is even more striking. Unvaccinated people 50 and up had 12 times the risk of dying from COVID-19 than adults the same age with two or more booster doses.

David French, senior editor for the Dispatch, tweeted: “One of the saddest phenomena of the online right is the absolute fury at those of us who supported COVID vaccines and continue to support COVID vaccines. The death toll of vaccine refusal is simply staggering and heartbreaking.” He pointed out that 100 percent vaccination could have prevented 300,000 deaths between January 1, 2021 and April 30, 2022.

Americans’ uptake of the latest booster shots continues to be slow.

Around 35 million people have received the updated boosters that became available to people 12 and over in September and to children as young as 5 last month. That’s a little over 10 percent of the U.S. population, amid concern that cooler weather will bring a surge of COVID cases as people move indoors and respiratory infections spread.

Wednesday, the White House announced a six-week push ahead of the holidays aimed at increasing booster uptake among seniors, people who are racial minorities and those who live in rural areas, all of which have disproportionately suffered severe disease and death during the coronavirus pandemic, our colleagues Frances Stead Sellers and Ariana Eunjung Cha write.

Senior officials said the Biden administration would direct some of its remaining resources to fight the pandemic into a $475 million campaign to support community health centers and organizations working to get the elderly and people with disabilities boosted.

The administration’s push coincided with the release of a CDC study offering the first evidence that the bivalent boosters are better at preventing symptomatic infection against newly circulating variants than earlier doses.

“I feel very confident that if people continue to get vaccinated at good numbers, if people get boosted, we can absolutely have a very safe and healthy holiday season,” Ashish Jha, White House coronavirus czar, said Wednesday.

(HT REMARK: This news, broken by the Washington Post newspaper, says to ME, the so-called “vaccines” not only don’t work, they may actually be contributing to people dying.  No thanks.   I did not take _any_ of the COVID shots and will not take them.  I’m not taking anything that messes with my DNA.)

Pics From 2022 Beard & Mustache Championship That Showcases Next-Level Facial Hair

national beard mustache championship pics 2022 2 637b2c201c297 700
national beard mustache championship pics 2022 2 637b2c201c297 700

Welcome to the wonderland of facial hair of all shapes, styles, and sizes, from giant beards to Salvador Dali-worthy mustaches.

We’re talking about The National Beard and Moustache Championships organized by Beard Team USA® which took place in Casper on November 12 this month. This whimsical event celebrates facial hair, and joins beard aficionados together into one big bushy community who just loves having fun with a dash of competition!

Just like in previous years, Greg Anderson, a Las Vegas-based photographer, set out on a mission to capture the numerous men in their one-of-a-kind beards on camera. Below we selected some of the most interesting beards Anderson eternalized during the championships, so scroll down and upvote your favorite ones!

national beard mustache championship pics 2022 25 637b2c8c14895 700
national beard mustache championship pics 2022 25 637b2c8c14895 700

national beard mustache championship pics 2022 24 637b2c884a321 700
national beard mustache championship pics 2022 24 637b2c884a321 700

national beard mustache championship pics 2022 23 637b2c84bb1f1 700
national beard mustache championship pics 2022 23 637b2c84bb1f1 700

national beard mustache championship pics 2022 21 637b2c7db469e 700
national beard mustache championship pics 2022 21 637b2c7db469e 700

national beard mustache championship pics 2022 19 637b2c766eda9 700
national beard mustache championship pics 2022 19 637b2c766eda9 700

national beard mustache championship pics 2022 17 637b2c6fbb237 700
national beard mustache championship pics 2022 17 637b2c6fbb237 700

national beard mustache championship pics 2022 3 637b2c27110d7 700
national beard mustache championship pics 2022 3 637b2c27110d7 700

national beard mustache championship pics 2022 13 637b2c59b2b0a 700
national beard mustache championship pics 2022 13 637b2c59b2b0a 700

national beard mustache championship pics 2022 14 637b2c5f17efc 700
national beard mustache championship pics 2022 14 637b2c5f17efc 700

national beard mustache championship pics 2022 15 637b2c64e2e53 700
national beard mustache championship pics 2022 15 637b2c64e2e53 700

Living in France is great, by international comparison. I don’t think there are many countries where life is as enjoyable as in France.

I spent a few years there, and would do it again without any reservations.

It’s a safe, clean, affluent country with a wonderful climate, a good variety of landscapes, and a built environment that is stunning. Prices are on the higher side of the spectrum, but then, salaries are pretty much up to it. You will be doing about as well in France financially as you would be in Germany or the Netherlands.

Working conditions are good and civilised, with plenty of paid leave, and reasonable working hours. The tone at work is professional, and the general working experience is disciplined and qualified.

The people are refined and nice. Just be prepared to speak French really well, there is a very low tolerance for low standards of verbal communication. Speaking French well is key to succeeding in France.

The main cultural bits you’ll want to get right are these:

  • speak the language really well, like I mentioned already;
  • know your wines, and order them competently;
  • be a foodie, it really is a thing in France, and always has been;
  • dress well – better than you would elsewhere, the French are dressy, and great looking. Slobs need not apply;
  • at work, play it strictly by reporting order – it’s army style working.

Overall, one of the most recommendable places to live on this planet, in my opinion. I currently don’t live there, but that’s just because I’ve found a similarly nice place here in Sweden and Norway.

main qimg 1e5c62b8a8849a1cde1c50d29760f9c1 lq
main qimg 1e5c62b8a8849a1cde1c50d29760f9c1 lq

main qimg 56a9e4302204bfd1121bf4312bde48c1 lq
main qimg 56a9e4302204bfd1121bf4312bde48c1 lq

main qimg 88951dc6ed70a1841355894b838c23b5 lq
main qimg 88951dc6ed70a1841355894b838c23b5 lq

2022 11 25 06 40
2022 11 25 06 40

2022 11 25 06 41
2022 11 25 06 41

2022 11 25 06 42
2022 11 25 06 42

What Prof. Weidenfeld said is this:

"After that comes a new situation. I can tell you that in my twelve years as "America coordinator" I have become acquainted with three different sets of behavior the American government displays. 

When you're of the same opinion that they are, you are best friends, you hug, you become afraid for your ribs because the hugs are so intense. 

When we disagree on secondary issues, the American government will say: How can you do this to us? Where is your gratitude, looking at history? We have established and safeguarded freedom and security for the German people and all that. 

When we disagree on a serious issue, then intelligence material will be slapped on the table that incriminates Germany and they will say: Either you go along, or else! 

So these are the sets of behavior. And the Americans have a very clear picture of what their interests are. We [Germany] are more diffuse when it comes to how we define our interests. 

But the Americans have a very clear set of interests and they act accordingly. That is the reality of life."

Best Albondigas Soup

“This albondigas soup recipe was given to me from my mother-in-law. It’s definitely one my favorite soups. Honestly, it’s better than most restaurants that I’ve tried.”

2022 11 24 18 35
2022 11 24 18 35

Ingredients

Directions

  • Make the meatballs first: Combine everything and mix thoroughly.
  • Form meatballs and roll between your palms (Otherwise, meatballs will fall apart in the soup).
  • You should make around 20 to 24 meatballs, set aside.
  • Combine chicken broth, onion, celery, tomatoes and their liquid, cumin, oregano and cilantro leaves in a large pot.
  • Bring to boil, and reduce heat and simmer for 10 minutes.
  • Drop meatballs in the soup (Make sure the soup is slightly boiling, the meatballs need to be cooked quickly).
  • Return to simmer and cook another 10 minutes.
  • Add zucchini and cook 10 minutes.
  • Season with salt and pepper, to taste.
  • You could top the soup with cooked rice, or just by itself.

2022 11 24 18 37
2022 11 24 18 37

2022 11 24 18 39
2022 11 24 18 39

2022 11 24 18 38
2022 11 24 18 38

US-style democracy and govt structure do not work. Too many cooks spoil the broth. 

I took up golf in the late 90s. I wanted to get a good set of golf clubs. I was surprised to find out there were no made-in-USA clubs ! Their EPA had shut down all the small foundries, with no recourse! So the companies had to outsource the casting overseas. 

I agree with the EPA's work to improve the environment. 

But their govt structure is so fractured that one agency can shut down an industry without giving the companies enough help to adapt and retain the work in the US. 

Until the US govt can get together to work as a whole, the manufacturing scene will not improve. It is a thousand times easier to outsource than to set up a factory in the USA.

CHINA REJECTS THE US!

You won’t believe this! China has just rejected America on its request to join the Chinese space station.

main qimg d8d5c5c40353c4499ce9277474792957 lq
main qimg d8d5c5c40353c4499ce9277474792957 lq

Earlier, the US followed an offensive space policy and made the worst mistake: it kept China away from the space program.

Even the US allowed various Western countries to cooperate on the space exploration program, but China was pushed away.

Perhaps, the US thought China could never have the technological advancements to build its own space station.

Well, now China has built its international space station called Tiangong. Instead of one space station, now, we can see two space stations roaming around the world.

One belongs to all countries, including the US, while the other is solely China.

main qimg e225c0dc78e55cdd4ca7054289920032 pjlq
main qimg e225c0dc78e55cdd4ca7054289920032 pjlq

Strange things in normal daily life…

2022 11 25 15 33
2022 11 25 15 33

In the past, China suffered from “brain drain” where intellectuals travel from a developing country to a developed country. But with China’s rise and the Sinophobia in the U.S, China is now experiencing a “reverse brain drain” where intellectuals travel from a developed country to a developing country.

The graduates may make more money in the West, but the cost of living is higher in the West than in China. The cost of living in China is (generally) cheaper than in the West. Food is cheaper, rent is cheaper, gas and electricity aren’t as expensive as they are in the West. Transportation costs are lower, the bus fare and train fare are affordable in China, and you can even rent bikes as an affordable mode of transportation.

I've worked on China related issues for over 25 years both in and out of the country including working with community Chinese culture/language schools, and Chinese graduate students coming to the US to help them better adapt to our changing social climate and deal with hostilities. 

I also have family in China. 

I'm hesitant to recommend to any Chinese student pursuit of university study in America unless they know “exactly what they want”, have solid faculty support, very strong ego strength, excellent coping skills, and if they study in the STEM fields a viable exit plan. 

The climate within many of the leading universities has changed significantly moving away from excellence, critical thinking, exploration and rigor to “towing the government line”, subjectivism, suspecting the motives of Chinese professors, students, Chinese associations and Chinese language and cultural programs on campus. 

There is one particular Chinese college association (to be unnamed) that has been targeted as hosting spies and persons who steal intellectual property. 

I'm sure some Chinese grad students who might read this know who this organization is. 

And we have seen the accusations against most of the “Confucius Institutions” many have had to be closed due to xenophobia, spreading communism, spying, you name it, everything they can think of. 

This has also affected many universities who have extension programs in China. 

Being Asian in America has always been a “hit or miss” since the times of the 2 US ACTS in the 1800's excluding first Chinese woman from immigrating and then later ALL Chinese as part of the “Chinese Exclusion Act” which are rarely covered in our public school or university systems. 

CHINESE ARE THE ONLY ETHNIC GROUP IN AMERICAN HISTORY EXCLUDED FROM ENTERING AMERICA. 

An undercurrent of tacit discrimination is prevalent in most parts of the US and in many “traditional” workplaces Asians are treated differently and almost exclusively lose out on leadership positions. 

The US hostilities toward China is spilling over in hate and violence to Asian Americans across the country. 

The Biden Administration, US Congress, those neocons, neoliberals have China lined up like a deer in the headlights. 

Neocons have stated that the solution to China is “…if China didn’t exist in the first place!” 

They cannot comprehend that a political system other than American Democracy can be successful. 

They have no off ramp which is why they have been talking “nukes” against Russia almost incessantly and have brought us to the edge of WWIII. 

The lies that come out of their mouths exemplify their complete ignorance of China, it’s culture and its people. 

There's a certain purity to their racism and outright audacity of superiority over Asian's. 

Please note this is just not White Americans, it is with Americans of ALL other ethnic backgrounds. 

Lifelong anti-China indoctrination, propaganda hits all of us. 

We like to say that ‘Asians are the “equal-opportunity” group to discriminate against and project all the worlds evils on’! 

During a period of time in 2020 when COVID was out of control, with all the Trump, Republican vial hate, Asians were the largest ethnic group buying firearms and ammunition for several months. 

This trend has not stopped and it's common to see Asian families with more than one gun at home. 

Folk talk about their guns openly on their WeChat community groups. 

And sadly this past year I've had to get a concealed carry gun permit to feel more comfortable when my Chinese wife and Chinese daughters are out in public. 

It’s important to build your “family of friends” and stay on top of world events covered more truthfully in alternate media, and become “learned” on how our Congress and law-making system works, and track their legislation. Educate others the best you can. 

Asian Americans will have to step up, and speak out at some point.

Strange things in normal daily life…

2022 11 25 15 34e
2022 11 25 15 34e

China’s acquisition of a $4.8 billion bankrupt Piraeus port : Chinese footprints everywhere:

main qimg 38d131dc314257e4c215680a76efb79e pjlq
main qimg 38d131dc314257e4c215680a76efb79e pjlq

Within no time, China converted it into the fourth-biggest port in Europe, allowing it to pull in more revenues. The biggest reason China bought this port was to have more trade with Europe. It was finding a gate to Europe, and this port proved to be the one.

For over 35 years, China can manage the Piraeus Port and still have its shares, ensuring a hub in Greece that is no less than a treasure chest. Now, the West might think what a great opportunity they missed and how prudently China availed it.

Strange things in normal daily life…

2022 11 25 15 34r
2022 11 25 15 34r

This is about Canada. Maybe the United States soon…

Strange things in normal daily life…

2022 11 25 15 35
2022 11 25 15 35

Hey! This is the best video of today. You all MUST watch this.

2022 11 25 15 12
2022 11 25 15 12

2022 11 25 15 13
2022 11 25 15 13

Strange things in normal daily life…

2022 11 25 15 37
2022 11 25 15 37

Is called “American war propaganda”. Stop trying to believe that the world is fair. It isn’t.

Strange things in normal daily life…

2022 11 25 15 37ds
2022 11 25 15 37ds

Jeff Sachs has more courage than just about any other legitimate progressive I know. Somehow he still is getting mainstream media gigs, and when he does he brings the ruckus, calmly, unargumentatively, and without compromise. This guy is an inspiration.

Strange things in normal daily life…

2022 11 25 15 38
2022 11 25 15 38

Good and reasoned.

2022 11 25 15 16
2022 11 25 15 16

Hal Turner’s website was hacked

2022 11 25 15 44
2022 11 25 15 44

Strange things in normal daily life…

2022 11 25 15 38fds
2022 11 25 15 38fds

10 Things Every Cat Owner Should Know

1. Declawing your cats is like cutting off each of your fingers at the last knuckle

Some people think that declawing is a simple surgery that removes a cats nails, this is not true. Declawing involves amputation of the last bone of each toe, removing claws changes the way a cats foot meets the ground and can cause pain similar to wearing an uncomfortable pair of shoes. There can also be regrowth of improperly removed claws, nerve damage and bone spurs. Most cats will become biters because they no longer have their claws as a defense. Cats scratch to remove dead husks from their claws, mark territory and stretch muscles.

2. Trimming a cats whiskers can be psychologically traumatic for them as they are needed to properly gauge and make sense of their environment.

In short, cat’s have a sensory organ at the end of their whiskers called a proprioceptor. If you trim them, it can cause them to become disoriented and have trouble moving around.

3. When cats have their eyes half closed, we read their facial expression as judgmental, annoyed, or mean, when actually this is an expression of being relaxed and happy!

Cat facial expressions are a lot different from ours. Remember, they haven’t gone through nearly as much selective breeding as dogs to be perfect companions to humans, so it’s harder for us to relate to and interpret them. And all too often with cats, our assumptions are the opposite of reality.

Cats will only let their eyes rest in that half-closed position when they feel completely safe and trust the people they’re with. Of course it’s fine to make fun of how serious this can make them look, but just remember that expression is a good sign that your cat is very much enjoying themselves and likes being with you. This is also useful to remember when you’re getting to know a cat that seems a bit shy—you may be making more progress than realize!

4. Lilies are extremely toxic to cats. Eating just a small amount of a leaf, flower petal, pollen grains, or vase water can cause your cat to develop fatal kidney failure in less than 3 days.

Because these lilies are so dangerous for cats and there’s a high risk of death if they’re ingested, it’s best to not bring these plants into your home if you have a cat. Early signs of lily toxicity in cats include decreased activity level, drooling, vomiting, and loss of appetite.

These symptoms start 0 to 12 hours after ingestion. Signs of kidney damage start about 12 to 24 hours after ingestion and include increased urination and dehydration. Kidney failure occurs within 24 to 72 hours, leading to death if the cat isn’t treated. Early veterinary treatment greatly improves the cat’s prognosis. However, if treatment is delayed by 18 hours or more after ingestion, the cat will generally have irreversible kidney failure.

If you suspect that your cat has eaten any part of a lily or its pollen or has drunk water from a vase containing lilies, immediately call your veterinarian or a pet poison control center. Depending on the type of lily, it may be a medical emergency and prompt veterinary treatment is critical. Try to bring the lily plant with you to the veterinary clinic.

5. If you get a kitten, DO use your hands for play so you can teach restraint when they are too rough. Restraint is naturally learned in play with hands and littermates because the fun stops when a bite or scratch is too much. Adult cats who didn’t play this way are more likely to scratch or bite.

Obviously this only works if you shout loudly (“OWW!”) and stop playing if a bite or scratch is too hard.

I’ve only been scratched or bitten by cats that weren’t properly socialized in this way. Cats that have been socialized this way have always shown amazing skill at not scratching or biting. For example, I have a cat who goes nuts over a hair tie and I can hold it in my hand as the bats at it without fear because she perfectly and expertly keeps her claws in to avoid scratching my hand, even in the fervor of the chase. But once the hair tie is away from my hand, all claws are out.

Similarly, there was I time I had to quickly grab my cat in a way that hurt her, and she had a natural reaction to crane around and bite my hand in her pain, but it was just a gentile mouth touch, even in her distress. This goes for vet visits, holding cats down to take medicine, cleaning wounds, etc. Properly trained cats will have better restraint around bites or scratches, but cats that never learned restraint are more likely to.

6. If an indoor cat gets outside and lost, put their litter box outside. They can smell it from up to a mile away and find their way home.

7. Place your cat’s drinking water away from their food source. Instinctually, cats hunt away from their food source because evolution taught them prey can contaminate their water.

My 13 year old cats drink water all day long now that I’ve separated the two. After a few months of this, I got one of those fancy fountain style units too and they LOVE it.

8. If you have a cat suddenly start peeing outside their litter box, take it to the vet to get checked for a bladder infection

They stop peeing in the litter box because if it hurts to pee, they start associating the litter box with pain and go elsewhere.

9. Despite what is often portrayed in pop culture, most adult cats are actually lactose intolerant and giving them milk can lead to vomiting or diarrhea.

10. It is better for both human and cat if you adopt kittens in pairs

For you: It’s less work, the kittens will play with each other and socialize with each other as well as you. Plus kittens learn to play gently with a friend and are less likely to bite/scratch you.

For them: Cats are meant to be social and if you adopt a cat/kitten alone you are committing to be their WHOLE social life. When you’re at work, when you’re busy, when you just spent an hour with the cat and now have other things to do, your cat is left on its own with nobody to play or socialize with. If there is second one they can keep each other company and chase each other around the house. Having a second kitten/cat can drastically reduce their loneliness and dependence on you for any and all stimulation.

It can be hard for an adult cat to accept new animals so it is much better to adopt 2 kittens at the same time so neither has to feel threatened or territorial. Here is an article from someone much more qualified than me explaining how important this is for your animal’s wellbeing .

From HERE

As you can all see in the video, it’s not against local regulations to drive the Mini EV – or any micro electric car – in Shanghai.

It’s just that passenger EVs that 1) either sell for less than 100k RMB 2) or are less than 4.6 meters long may not be registered in Shanghai. They are therefore not treated as local vehicles, and are allowed to use the city’s major ring roads and elevated expressways only at night.

So why has Shanghai’s municipal government made such an odd decision?

Analysts in the country concur that the ban is fundamentally a part of the city’s effort to reduce motor traffic.

Major Chinese cities like Shanghai are not planned or built with motor vehicles in mind, because after all, private cars had been a rarity in the country until the 1990s.

As a natural result, roads in those cities are increasingly overwhelmed.

To prevent the congestion from worsening further, the mega-cities have taken a drastic step.

Starting around 2012, people living in Shanghai can’t just pick up a car from the dealership and have the administration register it – not without a license.

The licenses, which are released to the public rather sporadically, may be obtained through auctions held by the state*.

As of 2022, such a license costs over 100k RMB on average.

Then, in around 2015, came the tidal wave of electric vehicles. In order to promote the adoption of cars that emit less from the tailpipe and (more importantly) save fossil fuel, cities like Shanghai decided to exempt EVs and many plug-in hybrids from the restriction described above.

In other words, each EV carries with itself a “license of registration”.

While this new policy has really allowed China to jumpstart its electric car industry, it works at cross-purposes with the restriction it bypasses.

Manufacturers, like Wuling and Leapmotor, both of which Fully Charged has covered in its videos, are incentivized to make cheap, basic electric rides because almost all battery electric cars are eligible for multiple national subsidies, but also because simply too many city dwellers need cars but can’t afford a license.

The influx of micro EVs has put huge additional stress on Shanghai’s streets – note that no matter how small a car is, it still occupies considerable space on the driveway.

That, compounded by the fact that most notable Chinese manufacturers of micro EVs are not located in Shanghai (even though Wuling is) and thus don’t contribute to the city’s revenues, eventually prompted the municipal administration to refuse to register any EV unless it costs more than 100k RMB and is over 4.6 meters long.

Sorry, Smart 1. Here, it’s interesting to note that the Volkswagen ID.4 is manufactured and marketed separately by two of VW’s joint ventures with Chinese capital: the ID.4 X, which is made in Shanghai, is 461 cm long, while the ID.4 CROZZ, which is made elsewhere in China, is coincidentally 459 cm long. So, actually it’s quite understandable that Elliot didn’t really explain why he can’t fully enjoy the Wuling convertible in Shanghai, because the story is a really long one. *

In Beijing there’re no auctions; rather, a “lottery” system decides which residents of the city get the much-coveted license.

The longer a household stays in the lottery without winning it, the greater the odds become, but even so, calculations reveal that it takes at least 10 years for a Beijinger who signs up today to eventually win a license.

Courtney Browns “Cosmic voyage”

Passed on my an influencer. Please enjoy.

“Please locate attachment of Courtney Browns “Cosmic voyage”. The Mars chapters cover pretty much what the Mars remote viewing post covered. I read this around 1998 and found the basic concepts of soul, source, spiritual evolution resonated in parts. He covers quite a bit re Mars and its original inhabitants. I think you will find it interesting.”
Download the PDF HERE.

The key on understanding ourselves is retaining all of our memories

You know what? I remember my earliest days. I mean, literally, I remember entering the physical womb of my mother as a pre-birth infant. And I well remember the words that I repeated over and over… “this is going to be a real adventure life; don’t forget it”. LOL. Yeah. I actually did that.

I remember opening my eyes for the first time, and looking at my hands. I remember seeing my parents for the first time and thinking “so those are my parents”, and I remember the little butterflies (toy mechanism at the top of my bassinet.)

I remember Kindergarten, and I remember playing “store”, playing the triangle in the class “band” and trying to build a clay house. So many things that I remember. It’s our memories that helped to shape me as I am today.

It is mind-boggling to me that religions JUSTIFY the erasure of memories (as in reincarnation) as an important part of growth, when the absolute opposite it true.

I placed a you-tube video up today…

Five minutes after it went up, the ten-minute video had these metrics…

2022 11 23 17 34
2022 11 23 17 34

One must wonder how anyone could find the video in such a quick time and vote on it’s worthiness without viewing it completely? I know about the down-vote. There’s a jackass troll who down votes each and everyone of my videos within minute of it going up. But the two up-votes? That’s a mystery.

2022 11 23 17 34b
2022 11 23 17 34b

It’s just a simple and short video. But why is it partially blocked by You-tube? What did I do or say? It’s really just a simple little thing. All it is, is some simple views of China.

Let’s investigate…

2022 11 23 17 35c
2022 11 23 17 35c

Ok, so one of the songs used in the video is specified that it cannot be shown to people in certain regions.

What region do you suppose it is?

2022 11 23 17 36
2022 11 23 17 36

Oh. It’s because China must be blocked. Oh, it’s “Believe Music SMC”.

According to Wikipedia…

Believe (also known as Believe Music; previously known as Believe Digital) is a global digital music company headquartered in France.

So some french folk, owned the copyright on this Chinese song, and banned it from being used in China. What do you know. It must be a trend in the West. Like you-tube. Like Linkedin. Like Twitter.

Ah. China-bad. Eh?

But wait! There’s more…

Copyright controversy

Believe has been accused of copyright trolling, particularly on YouTube, where it has been alleged to engage in claiming copyright for works that are either copyright free or that they do not own the rights to.

The company was the subject of a New York federal lawsuit alleging that they were behind large scale, willful, copyright infringement.

Ah hah.

So now you know.

Always so damn polite for all those attackers to wait one by one to attack.

Birria

“BIRRIA This recipe is from Guadalajara in the state of Jalisco, Mexico, where the broth is served with everything, even sandwiches on the street. Jalisco prides itself on being the home of this spicy chile-based broth. Heat Scale = Medium Recipe By: Adapted from David Rosengarten’s (FoodTV) I have further adapted it having just having returned from Guadalajara and eaten alot of the real thing.”

2022 11 24 17 45
2022 11 24 17 45

Ingredients

Directions

  • PREPARE THE MEAT: Trim the fat from the meat; cut into 2 large pieces. Put meat into a large non-corrosive baking dish or pan.
  • PREPARE THE MARINADE/GLAZE: Heat a griddle or large cast-iron skillet over medium heat. Tear chiles into flat pieces and toast a few at a time, pressing them against the hot surface, until they crack and blister; flip them and press down again. Transfer chiles to a large bowl and cover with boiling water; weight down with a plate to keep them submerged, and soak at least 30 minutes. Meanwhile, roast the garlic in the same griddle or skillet, turning frequently, until soft inside and blackened outside, about 15 minutes. Cool and peel. Drain chiles, reserving 3/4 cup soaking liquid; put chiles into a blender with garlic, cloves, sesame seeds, vinegar, cumin, peppercorns or ground pepper, and salt. Process/blend until mixture is smooth; then strain through a medium-mesh sieve into a bowl. Remove 1/2 cup of mixture to a small bowl, and stir in the sugar; set aside to use for the final glazing.
  • MARINATE THE MEAT: Spread the rest of the chile paste thoroughly over the meat. Cover completely and refrigerate at least 18 hours.
  • COOK (SLOW-STEAM) THE MEAT: Preheat the oven to 325 degrees. Use a deep wide roasting pan that has a tight lid. Put a roasting rack into the bottom of the pan (it must sit at least 1 inch above the bottom of the pan—if not, prop it up with custard cups, tin cans, etc.) Measure in 4 cups of water. Then lay the marinated meat onto the rack and spread any marinade remaining in the marinating pan or dish. Cut a double thickness of heavy-duty foil to cover the roasting pan; place the pan lid over, making sure the pan is covered as tightly as possible. Bake 4 hours.
  • FINISHING THE BROTH: Remove the pan lid and foil; carefully remove the tender meat to a platter. Take out the rack; spoon the fat off the broth with a ladle. Measure out the broth into a 1-quart container; add water to equal 1 quart liquid if necessary, and pour into a saucepan. Puree the tomato in a blender and add it to the broth along with the oregano and cinnamon. Cover; simmer over medium-low heat 45 minutes. Season with salt.
  • GLAZE THE MEAT: Heat oven to 375 degrees. Remove meat from the bones, keeping pieces of meat as large as possible; discard bones, gristle and excess fat. Set meat on a baking sheet; brush lightly with reserved chile paste glaze, and bake 10 minutes to set the glaze.
  • TO SERVE: Keep tortillas warm in a towel-covered basket. Serve the broth in a tureen. Present the meat on a large platter, or slice meat across the grain to serve it in deep plates, awash in the broth. Combine onion and coriander in a small bowl to pass with the lime at the table.

2022 11 24 17 50
2022 11 24 17 50

Ukraine – Lights Out, No Water And Soon No Heat

Earlier today the Russian military shut down the Ukrainian electricity network.

Previous attacks had limited the distribution capacity to some 50% of demand. Controlled blackouts over several hours per day allowed to give some electricity for a few hours to most parts of the country. The attack today created a much larger problem. Not only were distribution networks attacked but also so the elements that connect Ukraine’s electricity production facilities to the distribution network. All four nuclear power stations of Ukraine with their 15 reactors are now in shutdown mode.

 

ukrelhit1
ukrelhit1

biggerKiev along with most other cities of Ukraine no longer has electricity.

Moldavia is likewise effected as it received some 20% of its electricity from Ukraine. When the Ukrainian network shut down the only local thermal power plant shut down too. It is likely that it can be switched on again but that can be a complicate process.

Limited electricity imports from the European system into Ukraine may still be possible but that electricity would only be available in Ukraine’s western cities.

Before today’s attack the Washington Post reported of the difficulties in repairing the network. As we ad explained before the Russian attacks are hitting the transformers that connect the national 330 kilovolt backbone network. These are hard to replace:

As the scope of damage to Ukraine’s energy systems has come into focus in recent days, Ukrainian and Western officials have begun sounding the alarm but are also realizing they have limited recourse. Ukraine’s Soviet-era power system cannot be fixed quickly or easily. In some of the worst-hit cities, there is little officials can do other than to urge residents to flee — raising the risk of economic collapse in Ukraine and a spillover refugee crisis in neighboring European countries. 
...
Ukrainian Prime Minister Denys Shmyhal said that about half of the country’s energy infrastructure was “out of order” following the bombardment. 
...
For weeks, Russian missiles have targeted key components of Ukraine’s electrical transmission system, knocking out vital transformers without which it is impossible to supply power to households, businesses, government offices, schools, hospitals and other critical facilities.

During a briefing for reporters on Tuesday, Volodymyr Kudrytskyi, the head of Ukrenergo, the state-run power grid operator, called the damage to the power system “colossal.” 
...
Russians, he said, were mainly targeting substations, nodes on the electrical grid where the current is redirected from power stations. The main components of these substations are autotransformers — “high-tech and high-cost equipment” that is difficult to replace. 
...
A list of “urgent needs” from DTEK, the country’s largest private energy company, circulating in Washington, lists dozens of transformers along with circuit breakers, bushings and transformer oil. 
...
But it is the autotransformers — the “heart” of the substations, in the words of Kudrytskyi — that are at the top of the Ukrainians’ list of needs and the key to keeping the country’s electrical grid functioning.

The Ukrainians have tried to buy up every autotransformer they can find, going as far as South Korea to purchase them, but they still need to place orders for more to be built.

“We try to collect everything around the world that they have now, and order more,” said Olena Zerkal, an adviser to Ukraine’s Energy Ministry.

Any attempts to repair the network are useless as long as Russia continues to attack it.

To stop these attacks requires a political solution. Ukraine will have to give up and find some agreement with Russia.

Russia also attacked some of the natural gas sources Ukraine has:

Russia last week broadened its targets. Oleksiy Chernyshov, chief executive of Ukraine’s state energy company Naftogaz, said in an interview that a “massive rocket attack” hit 10 gas production facilities in the Kharkiv and Poltava regions, including Shebelinka, one the largest production and drilling areas.

“Of course, we will do our best now to recover, but this will take time and resources and material,” Chernyshov said. “Time is of the essence,” he added. “Because winter is now.”

The targeting of the gas supply was a critical development, said Victoria Voytsitska, a former member of parliament now working with civil society groups on getting Ukraine the equipment it needs. If Moscow takes out the gas system, she said, cities and villages across the country could become “uninhabitable.”

The Russian gas provider Gazprom has announced that it will reduce the transport of gas through Ukraine to European customers as the Ukraine is stealing from it:

Gazprom says that it has noticed some of the gas intended for Moldova under a contract with the local gas firm is being diverted by Ukraine. If the imbalance in gas transit continues, Gazprom will start reducing gas flows via Ukraine on the morning of November 28, the Russian gas giant said today, as carried by Russian news agency TASS.

Without electricity there is no water flowing in the water distribution systems of the cities. Without water toilets can not be used. Public hygiene will suffer. The internet in Ukraine is also down.

 

ukrelhit2
ukrelhit2

biggerA country that is becoming ‘uninhabitable’ has little chance to wage and win a war. When there is no transport, no electricity, no heat and no communication everything becomes incredibly difficult.

The refugee stream all this will cause will increase pressure on Europe to push Ukraine into negotiating for peace with Russia. Tough conditions will be applied but there is no other way out of this mess.

Throughout the last weeks Ukrainian attacks on the frontline have been remarkably ineffective. There is no longer any coordination of larger formations. The units attacking now are mostly only company size or even smaller. A 12 minute video that showed drone footage of such an attack was published yesterday:

What's the media hiding? @narrative_hole - 11:20 UTC · Nov 23, 2022

I can’t believe I missed this one today, the editing is unbelievable.

A 12 minute clip of Ukrainians conducting what was sadly a suicide attack on Russian trenches

...  just to be pummeled by Su-25’s, infantry, heavy mortars, a tank, MLRS and finished with an Su-34 bombing run.
video

Sitting on top of an armored infantry vehicle some 20 Ukrainian soldiers drive up to a fortified area and enter the first empty row of trenches. From there they try to attack the second row of trenches that is held by a handful of Russian soldiers.

The Ukrainian troops seem to be fairly well equipped with helmets and armor vests. But they have no support.

The Russian infantry fights back. It is supported by well targeted mortar fire, artillery, tank and air attacks. The Russians have drones up in the air that can see the whole scene. The Ukrainian units have nothing but their rifles and a few hand grenades. After the attacking platoon is destroyed the Russian artillery attacks and destroys the industrial area from where they had been coming. The whole operation ends up as a complete disaster. All Ukrainian troops involved seem to be dead. The Russian side seems to have had no or only few casualties.

What's the media hiding? @narrative_hole - 1:04 AM · Nov 23, 2022

This battle took place some time ago, but it’s still incredible to watch now that they made the concise edit.

If we consider that such attacks happen by the dozens every week, the Russian MoD estimates of Ukrainian daily losses aren’t that farfetched.

There are several such attacks per day and only very few are successful.

From today’s clobber list:

In Donetsk direction, units of Russian army continued their intense operation. More than 60 Ukrainian servicemen and five armoured fighting vehicles have been eliminated.

In South Donetsk direction, artillery fire and decisive actions by Russian troops have repulsed an attack by the AFU with up to a company's tactical group forces towards Pavlovka.

In addition, as a result of a pre-emptive fire attack, enemy reserves advancing from Ugledar have been destroyed.

A sabotage and reconnaissance group of the AFU has been destroyed near Novodarovka (Zaporozhye region).

The enemy's losses amounted to more than 40 Ukrainian servicemen killed and wounded, three armoured vehicles, a MT-LB and four pick-up trucks.

In Kupyansk direction, an attempt to launch an attack by an AFU mechanised infantry company near Novosyolovskoye (Lugansk People's Republic) has been thwarted by artillery fire and heavy flamethrower systems.

As a result of Russian artillery fire more than 30 Ukrainian servicemen, two motor vehicles and one mortar have been destroyed.

In Krasniy Liman direction, an attempt to move the AFU company tactical group to attack the Chervonopopvka (Lugansk People's Republic) has been disrupted by pre-emptive fire.

Up to 20 Ukrainian servicemen, three mortar crews and two motor vehicles have been eliminated.

Operational-Tactical and Army Aviation, Missile Troops and Artillery have neutralised the AFU 128th Mountain Assault Brigade's command post deployed near Volnyansk (Zaporozhye region), as well as 72 artillery units at their firing positions, manpower and hardware in 144 areas. 
...

That are at least 150 dead Ukrainian soldiers just there.

I do not understand hwy the Ukrainian command is still ordering such senseless attacks. Militarily it should have long gone into defensive mode. It would save Ukrainian lives and would make it more costly for the Russians to attack.

The European parliament, which has no serious legislative function, voted today for a non-binding resolution that declared Russia to be a ‘state sponsor of terrorism’. Some Russian’s found that outrageous. A few hours later the Parliament was hit by a ‘sophisticated’ cyber attack:

The European Parliament website was affected by a hacking attack, officials said on Wednesday.

Parliament President Roberta Metsola said it was a "sophisticated attack" and that a pro-Kremlin group had claimed responsibility.

She noted that the attack followed the EU lawmakers' vote to name Russia a "state sponsor" of terrorism over its war in Ukraine.

"My response is: Slava Ukraini (Glory to Ukraine)," Metsola said.

That irrelevant Maltese conservative still has a lot to learn.

Posted by b on November 23, 2022 at 16:56 UTC | Permalink

No mincing of words when Colonel answers any question in clear and concise and factual answers .

https://youtu.be/dfgF4x7TCmM

Multimillion-Dollar Supercars Abandoned in dimly lit storage

0 32
0 32

Never thought you’d see a Bugatti or Pagani left to rust? Neither did we. But that’s exactly what this set of sobering images shows.

Jesus H. Christ.

thedizzyviper 313314296 3615823608645334 7977679489138998801 n
thedizzyviper 313314296 3615823608645334 7977679489138998801 n

thedizzyviper 312827850 1288828604989971 4091548648380136630 n
thedizzyviper 312827850 1288828604989971 4091548648380136630 n

thedizzyviper 314740243 598537895359512 6592377832870886838 n
thedizzyviper 314740243 598537895359512 6592377832870886838 n

thedizzyviper 315003032 595018682393664 4231265814715645671 n
thedizzyviper 315003032 595018682393664 4231265814715645671 n

thedizzyviper 315007013 699751727853370 8481030886846960199 n
thedizzyviper 315007013 699751727853370 8481030886846960199 n

thedizzyviper 315558564 654481402838037 4340918797958004212 n
thedizzyviper 315558564 654481402838037 4340918797958004212 n

thedizzyviper 315203077 447264060819180 525550722878664787 n
thedizzyviper 315203077 447264060819180 525550722878664787 n

thedizzyviper 315435294 658024329304629 7473937795307945370 n
thedizzyviper 315435294 658024329304629 7473937795307945370 n

thedizzyviper 315456106 1261758841286846 2787369909219933689 n
thedizzyviper 315456106 1261758841286846 2787369909219933689 n

thedizzyviper 314189675 821150379210883 7711465353901988068 n
thedizzyviper 314189675 821150379210883 7711465353901988068 n

thedizzyviper 314225464 1057547631604137 2300955384923122320 n
thedizzyviper 314225464 1057547631604137 2300955384923122320 n

thedizzyviper 314544000 494781079280633 4191719584000181698 n
thedizzyviper 314544000 494781079280633 4191719584000181698 n

thedizzyviper 312820936 184014567528346 869434671509665535 n
thedizzyviper 312820936 184014567528346 869434671509665535 n

thedizzyviper 314028525 457010876533547 7684892462156896713 n
thedizzyviper 314028525 457010876533547 7684892462156896713 n

thedizzyviper 313844583 1331041444388673 3534866226120568637 n
thedizzyviper 313844583 1331041444388673 3534866226120568637 n

This last image is HEARTBREAKING…

thedizzyviper 313844841 813689463186406 8984356703697367761 n
thedizzyviper 313844841 813689463186406 8984356703697367761 n

This scene is incredibly powerful. This is how humans should treat each other. Mutual respect without judgement. Not trying to gain advantage. We can all help each other if we just let down our egos and fears.

Mentorship and encouraging. A Father to a young lady in need of protection and guidance.

The beauty of this scene was the young girl was screaming inside for help not knowing the man in front of her, whom she barely knew, heard every.. single.. word..

Chief of Czech General Staff: “Prepare for major war with Russia”

.

KarelRzehka large
KarelRzehka large

The Chief of the Czech Republic General Staff (Army) is today publicly urging his country to “prepare for a large-scale war with Russia.”

General Karel Rzehka went on to tell his government and countrymen “If a direct military clash between the Russian Federation and NATO takes place in Europe, the Czech Republic will become a “participant” in this conflict from the first minute.”

Developing.

Well, we were aware of the imbalance at Twitter but it is gratifying to have our suspicions confirmed.

Very Serious Shortages Of Amoxicillin, Augmentin, Tamiflu, Albuterol And Tylenol Have Erupted All Over The United States

.

Hospitals are filling up all across America, and there are extremely alarming shortages of some of our most important medications.  Health authorities are warning that RSV, the flu and COVID are combining to create a “tripledemic”, and there are simply not enough medications to go around.  Personally, I am most concerned about RSV.

It is spreading like wildfire from coast to coast, and we are being told that very young children and the elderly are particularly vulnerable.

I wrote an entire article about the RSV outbreak earlier this month, and since that time things have gotten even worse.  Our medical system is being absolutely flooded with sick kids, and this has caused very serious shortages of Amoxicillin, Augmentin, Tamiflu and Albuterol…

America is facing a shortage of four key medications used for common illnesses in children as virus season comes back in full force.

Officials have declared a shortage of first-line antibiotics amoxicillin and Augmentin, which are used to treat bacterial infections. Tamiflu, the most common flu medication in the US, and albuterol, an inhaler for asthma and to open airways in the lungs, are also in short supply, according to the American Society of Health-System Pharmacists.

But we haven’t even gotten to the heart of flu season yet.

In fact, the beginning of winter is still about a month away.

So what will things look like by the time we get to the middle of January?

At this point, things are already so bad that we are also starting to see a very serious shortage of Tylenol

A children’s Tylenol shortage currently affecting Canada has carried over into the United States, pharmacists in multiple American cities have warned.

The drug’s short supply, experts say, stems from a recent spike in pediatric sickness as seasonal bugs come back with a bang after being suppressed during COVID-related lockdowns.

This is nuts.

In all my years, I have never heard of a shortage of Tylenol in the United States.

Unfortunately, we now have millions of people with compromised immune systems all over the country, and so RSV and the flu are hitting us extremely hard.

One doctor told CNN that “I’ve never seen anything like this”…

“In my 25 years of being a pediatrician, I’ve never seen anything like this,” pediatric infectious disease specialist Dr. Stacene Maroushek of Hennepin Healthcare in Minnesota told CNN. “I have seen families who just aren’t getting a break. They have one viral illness after another. And now there’s the secondary effect of ear infections and pneumonia that are prompting amoxicillin shortages.”

The reason for shortages is due to increased demand, especially with a surge in respiratory syncytial virus (RSV) and flu cases. The combination of RSV, flu and COVID circulating has been called a “tripledemic.”

This is going to be one long winter for our medical system.

As I mentioned earlier, hospital beds are rapidly filling up all over the nation

These surges have filled children’s hospitals across these states. The Children’s Hospital of Alabama, the state’s largest pediatric hospital located in Birmingham – 91 per cent of beds are filled, according to official figures.

Vanderbilt University Medical Center, which includes the largest children’s hospital in Tennessee, is at 98 per cent capacity as of Tuesday.

And as I discussed in my article about RSV earlier this month, there are some hospitals that have already filled up all of their beds.

Of course most children that get sick don’t end up in the hospital.

Most of them just stay home and are cared for by their parents until they recover.

In October, more Americans missed work to take care of sick children than ever before

More than 100,000 Americans missed work last month – an all time high – because of child-care problems, many of which come down to sick children and sick daytime caregivers.

Sadly, we will almost certainly set another new all-time record this month.

It sure would be nice if the federal government would step in and help to ensure that everyone has enough medications to give to their children during this medical emergency.

But instead of doing that, the Biden administration has decided to give another 4.5 billion dollars to Ukraine…

The United States, through the U.S. Agency for International Development (USAID) and in coordination with the U.S. Department of the Treasury and the Department of State, is providing an additional $4.5 billion in direct budgetary support to the Government of Ukraine. The funding, which will help alleviate the acute budget deficit caused by Putin’s brutal war of aggression, was made possible with generous bipartisan support from Congress. The Government of Ukraine will receive the funding in two tranches before the end of 2022.

In addition, U.S. Secretary of State Antony Blinken has just announced that the Ukrainians will be receiving another 400 million dollars in military aid…

Secretary of State Antony Blinken announced a new $400 million military aid package to Ukraine on Wednesday.

The package will include “additional arms, munitions, and air defense equipment from U.S. Department of Defense inventories,” Blinken said in a statement, which didn’t provide many specifics on the weapons heading to Ukraine. It is the 26th time the administration is using the presidential drawdown authority, which allows the United States to take from its stockpiles and provide those weapons to Ukraine.

Rather than giving so much money to the Ukrainians, why can’t we spend it on some antibiotics for our children?

It seems to me that our priorities are really messed up.

The RSV outbreak that we are witnessing right now is really serious.  If you have young children, you will want to closely monitor developments in your local area.

After a couple of really tough years, a lot of people had been hoping that we would experience a “return to normal” in 2022.

But as I keep warning my readers, we have now entered an era of great pestilences.

This year we have seen the bird flu kill tens of millions of our chickens and turkeys, a global monkeypox epidemic has spread all over the globe, and now RSV and the flu are ripping across the nation.

We really are living in unprecedented times, and the challenges that we are facing are only going to get even greater as the months roll along.

Music from the same time period as the movie “Dazed and Confused”. My era, back in the day.

Trillions Upon Trillions Of Dollars Of Wealth Is Being Wiped Out As The “Everything Collapse” Accelerates

.

Have you checked on the health of your investments lately?  If not, you may be surprised to find out where things currently stand.

As the “everything collapse” accelerates, trillions upon trillions of dollars of wealth is being wiped out.  Many that thought that they were financially set for the rest of their lives are now in panic mode as asset values rapidly crumble.  Just look at what happened to Sam Bankman-Fried.

He was worth 16 billion dollars coming into this month, and now the value of his assets has been “reduced to zero”.  In fact, when you factor in all the money that he owes to creditors, it is likely that his net worth is actually less than zero.

That is how fast it can happen.

Overall, the cryptocurrency industry “has lost more than $1.4 trillion in value this year”

The cryptocurrency market has lost more than $1.4 trillion in value this year as the industry has been plagued with problems from failed projects to a liquidity crunch, exacerbated by the fall of FTX, once one of the world’s largest exchanges.

FTX wasn’t the first domino to fall, and it certainly won’t be the last.

In fact, now we are being warned that Genesis “may need to file for bankruptcy”

Digital-asset brokerage Genesis is struggling to raise fresh cash for its lending unit, and it’s warning potential investors that it may need to file for bankruptcy if its efforts fail, according to people with knowledge of the matter.

Ouch.

If Genesis fails, that is going to hurt.

So why is Genesis in so much trouble?  Well, it turns out that Genesis had “$175 million locked in an FTX trading account”

Genesis has spent the past several days seeking at least $1 billion in fresh capital, said the people, who asked not to be identified because discussions are private. That included talks over a potential investment from crypto exchange Binance, they said, but funding so far has failed to materialize.

The rush for funding was precipitated by a liquidity crunch at the lender after the sudden collapse of FTX, one of the world’s largest crypto exchanges. Genesis halted redemptions shortly after revealing on Nov. 10 that it had $175 million locked in an FTX trading account.

Needless to say, that 175 million dollars is now gone and it isn’t coming back.

Meanwhile, Coinbase continues to spiral downhill as well.  According to Axios, the market cap for Coinbase has now fallen under 10 billion dollars…

The market capitalization of Coinbase just dropped below $10 billion. This time last year, it was more than $70 billion.

Of course it isn’t just the crypto industry that is in enormous trouble.

For years, Tesla’s stock price just kept going higher and higher.

But now Tesla’s market value is about 670 billion dollars lower than it was at this time last year…

Business Insider reports that Tesla’s market valuation has plummeted by nearly $700 billion from its peak a year ago. Insider notes that the $670 billion decline is equivalent to the market value of three Disneys, four Nikes, or six Starbucks.

Meanwhile, ordinary U.S. consumers are losing a tremendous amount of wealth as well.

According to the New York Post, U.S. homeowners “lost a staggering $1.3 trillion in home equity in the third quarter”…

US homeowners lost a staggering $1.3 trillion in home equity in the third quarter during a major slump in the housing sector, according to data published by mortgage analytics firm Black Knight on Tuesday.

Home equity – broadly defined as the value of a homeowner’s property minus what is still owed on their mortgage – has plummeted during a rapid market correction.

The equity losses in just three months were “by far the largest quarterly decline on record by dollar value and the largest since 2009 on a percentage basis,” according to Black Knight data & analytics president Ben Graboske.

We have never seen a quarter like that before.

Not even during the crisis of 2008 and 2009 did we see such a huge quarterly loss.

A new housing crash is here, and it has already gone global.  In fact, Spain has already developed a plan to offer “mortgage support relief” to over a million Spanish households…

Spain’s cabinet on Tuesday gave its approval to mortgage relief support for more than one million vulnerable households and help for middle-class families a day after the government and banks reached an agreement in principle.

The measures are subject to final negotiations with banking associations, Economy Minister Nadia Calvino said, adding that banks had a month to sign up ahead of their planned implementation next year.

Sadly, we will see much more government intervention all over the western world as this nightmare gets even worse in the months ahead.

The pace of layoffs is really starting to pick up, and there is a lot of fear out there right now.

Just about everyone can feel that very tough times are in front of us, and some experts are now issuing bold pronouncements that are quite chilling.

For example, Nouriel Roubini is warning that what we are facing is probably going to be “as bad as during the Global Financial Crisis”…

“History suggests it’s going to be near mission impossible to avoid a hard landing. You’re going to get not only inflation, not only a recession, but what I call the ‘Great Stagflationary Debt Crisis.’ So it’s much worse than the ’70s, and it’s probably as bad as during the Global Financial Crisis.”

Actually, if all we go through is a repeat of what we experienced in 2008 and 2009 we would be extremely fortunate.

Because the truth is that it isn’t just the economy that is failing.

As I have repeatedly warned my regular readers, what we are now witnessing is literally the collapse of everything.

Our entire society is slowly but surely coming apart at the seams all around us, but most people still expect that conditions will eventually return to “normal”.

Unfortunately, “normal” has left the building, and a tremendous amount of pain is ahead.

Cuernitos Menonitas (Mennonite “little Horns”)

“”For most Mexicans, the Mennonites are tall, light-skinned people, dressed in overalls, who produce their famous cheese… Every family has at least one cow that produces the milk, cream and butter for daily use, as well as chickens for meat and eggs. Pigs are primarily raised for home-cured hams, cold cuts and bacon. The homemade garlic beef sausage has become popular in the [Chihuahua] region, where people know that the Mennonite products are made from all local ingredients, using traditional methods.” (Recipe translated and adapted from recetasycomidas.com)”

2022 11 24 17 54
2022 11 24 17 54

Ingredients

  • 2 (8 ounce) cans crescent roll dough
  • 8 links mennonite salami (or substitute another hard salami)
  • 1 cup mennonite cheese, finely grated (or substitute monterrey jack)
  • 1 egg
  • 1 tablespoon water, ice-cold

Directions

  • Preheat the oven to 450F (250C).
  • Beat well egg and water.
  • Open and separate crescent rolls. Place a salami link on each one, and sprinkle with cheese. Roll up from the long side and curve to form a “little horn”.
  • Brush with beaten egg mixture and place on a greased or parchment paper lined cookie sheet.
  • Bake 12-15 minutes, or until golden.

2022 11 24 17 56
2022 11 24 17 56

You-tube. Comedy. Fun. Enjoy your Thanksgiving holiday.

https://youtu.be/s3dZAnr0Nhc

Sky Captain and the World of Tomorrow (full movie) with some geopolitics and food

Today’s theme is a movie. I hope you all enjoy this adventure done in 1930’s style.

Sky Captain was a risk, one that did not pay off but you have to admire its audacity.

It was all shot in digital with actors in front of a giant green screen. It pays homage to the black and white Flash Gordon type serials that used to be repeated on TV when I was a kid.

The film is in a steampunk style combining art deco, pulp fiction, film noir and serial film styles of the between the war years.

The story is straightforward, New York is being attacked by giant robots, famous scientists are disappearing and the protagonists race around the world in search of Dr Totenkopf played by Laurence Olivier via the use of archive footage.

Although the script and pacing could be better, the visuals are glorious and the actors are top notch getting in to the spirit of adventure. Angelina Jolie is the standout and sexy as the British Navy pilot with a spot on accent.

The story is pure saving-the-world pulp fantasy. Six eminent scientists have mysteriously disappeared.

Polly, who is covering the story, is summoned to Radio City Music Hall by Dr. Jennings (Trevor Baxter), a scientist who tells her he knows the cause of the disappearances and fears he is next.

In a magical moment of cultural transposition, she meets him in the loge during a screening of “The Wizard of Oz,” one of the film’s touchstones. (Much later in the movie the digitally resurrected image of the young Laurence Olivier appears as an oracular wizardlike technophantom.)

Before an air raid interrupts their meeting, Polly learns that the probable mastermind behind the disappearances is Dr. Totenkopf, the enigmatic leader of a group of pre-World War I scientists, who has faded into obscurity.

As the music hall empties in a panic, a fleet of giant robots approach the city and lands, tramping through Midtown Manhattan and crushing everything in their path.

Polly summons her ex-boyfriend Joe, a daredevil freelance aviator who operates a squadron from a private base not far from Manhattan.

In the initial skirmish, Joe, piloting a P-40 Warhawk, captures a robot.

The enemy retaliates by destroying his fortress.

The next skirmish is a furious aerial battle that zigzags thrillingly through the canyons of Manhattan as Joe’s plane gives chase to a fleet of sinister birdlike robots.

Polly, who was slipped an important clue, refuses to hand it over to Joe unless he makes her his partner, and he reluctantly agrees.

As they zoom around Manhattan, she becomes a pushy backseat driver, snapping directions and arguing with Joe about their troubled romantic history.

She accuses him of dumping her three years earlier.

He says she sabotaged his plane, and their flirtatious bickering continues for much of the movie.

Having determined that the robots are being dispatched from a secret location in Nepal, they fly to Asia, stopping along the way at a British airstrip suspended above the earth where Franky (Ms. Jolie), a regal British air force commander in an eye patch, lends her forces to the cause. Once Joe and Polly reach the Himalayas, where Totenkopf’s war machine is secreted inside a giant ice cave, they discover the dreadful meaning of “the world of tomorrow.”

I travel to the US once or twice a year. There are a few things that are always quite striking to me in the airports:

  • For obvious reasons, the first thing I tend to notice is immigration and customs. I mostly come in through Newark or San Francisco, which both tend to be unfriendly, inefficient and full of absurd bureaucracy. DC and San Diego are much better in terms of efficiency. Edit June 24: I take it all back! I just landed at Boston Logan, and my arrival was smooth, quick and highly automated. A big leap forward from the time I spent two hours in line for immigration at SFO last year! Edit July 1: I take back taking it back! I came in through LAX with my family on Saturday and it was an absolute nightmare. We spent nearly 90 minutes in different lines before finally getting to see a (very friendly) CBP officer.
  • I always feel uneasy from seeing all kinds of security guards (eg. Port Authority) carrying guns. (Please, before commenting on this, read https://www.quora.com/If-you-are-from-Norway-visiting-the-United-States-for-any-reason-what-is-the-first-thing-you-notice-Is-there-anything-in-particular-that-bothers-you/answer/Christian-Bull/comment/97627081)
  • The masses of people doing menial jobs. Labor is expensive in Norway, so we optimize and automate. I remember a friend I traveled with, shocked at seeing people whose job it was to move bags from one conveyor belt to another, blurting out “Where are we? The third world!?”

Then there’s the noise. The US is an incredibly noisy place. Trucks, buses and hotel room ventilation especially so. They’re simply a lot more noisy than European buses, trucks and hotel room HVAC. I’ve been in a lot of hotels with spectacularly noisy ventilation. It’s very clearly possible to move air without every single component generating the greatest possible amount of noise, but I guess that’s unamerican.

So in general the first impressions tend to be not that great (notable exception: San Diego).

Obviously, something keeps me and my family coming back, however. This summer we’re heading to the US again for our 5th family vacation in 12 years, and the kids are beyond excited. There’s just an incredible wealth of things to do, see and experience in what is – once you’re done with the airport – a very welcoming, free and safe country.

  • The widespread use of cash. I honestly can’t remember the last time I paid cash in Norway for anything. I couldn’t tell you how a Norwegian Krone bill of any denomination looks. We mostly use direct debit cards. Even drug addicts selling magazines on the street take Vipps, our Venmo equivalent.
  • …and speaking of cash. 1 cent coins! Really?
  • What you pay at the register isn’t what it says on the label. WTF? I’m supposed to add 6.23% tax in my head?
  • Tipping. Oh Lord! The unspoken, unwritten rules of tipping are just impossible to make sense of. Just figuring out what hotel employees I’m supposed to tip and how much is bewildering and has taken me years. So far I’ve figured out that I’m supposed to tip bell boys $1 per bag, $3 for the valet who brings my car (but nothing for the one who parks it!?) and housekeeping around $5 per day. For the rest of them I have no clue… Receptionists? I have no clue, but I did it once after receiving several packages to my room, and it felt super duper awkward. Not doing that again. Restaurants I’ve got figured out. The rest of the service industries – not so much. I suspect I deeply offended the people who took us on a dolphin safari in Hawaii by not tipping, but I’m really not sure. Tips welcome! Literally.

Oven Crisp Chicken Wings

This is a very tasty way to make wings. You can dip them in your favorite sauce when baked or they are yummy as-is. Recipe from CD Kitchen on the Internet.

2022 11 23 15 28
2022 11 23 15 28

Ingredients

Directions

  • Cut wings at joints.
  • Preheat oven to 425 degrees.
  • Be sure wings are thawed and dry them well with paper towels.
  • Combine flour, paprika, garlic salt, black pepper and cayenne pepper in a plastic bag.
  • Shake to mix ingredients and add wings.
  • Line a large baking sheet with Release foil and melt the butter on it. ( Makes for easy clean up.).
  • Add wings to pan and turn to coat.
  • Bake for 30 minutes.
  • Turn wings over and bake for 15 more minutes or until crispy and done.

I have written extensively on this subject, focusing for a moment on what is China doing and what is the US doing which are in opposite directions. If you go the wrong direction for long enough then you are in real trouble, which America is in right now.

CHINA’S RISE

Forget about all the state capitalism vs corporate capitalism, yes this is an underlying difference but results are results. Either you are in the game or you are not and the USA is not in the game, China is.

It is all about one thing, investment. You do not invest you get nothing. Here is Pudong in 1982 when I first arrived in China. NOTHING NADA ZERO development.

main qimg 21c1045a93086fa3cf294ee3f36f7dab lq
main qimg 21c1045a93086fa3cf294ee3f36f7dab lq

Then there is Pudong today, same photo but completely developed.

AMERICA’S FALL

Here is Detroit where I grew up in 1950:

main qimg 769bba236b706352c16d15a2f8bb38bb lq
main qimg 769bba236b706352c16d15a2f8bb38bb lq

Ostensibly the ‘richest’ city in the world the year I was born, 1950.

Here is Detroit a few years ago:

main qimg d7b418ee7474da593a887889b8974c9c lq
main qimg d7b418ee7474da593a887889b8974c9c lq

I chose a nice image, just the after effects of destruction.

It is all about investment. The USA does not invest in anything other than military. Nothing, nada. The Trump administration even litigated against universities who were trying to enroll more blacks and minorities in favor of Chinese and whites, but really Chinese as they were the highest test scorers so they get in and nothing for black, latinos or other US citizens. Incarceration rates and numbers at 2.3 million, drugs, lack of opportunity, do you get it? America is still going in the wrong direction and now we have the QAnon types running the Republican party so you can forget about them to help. Up to the Democrats who are ok but they are no world beaters when it comes to investment as they are govt types and don’t get the private sector.

And Trump? Mr Glitz was the exact opposite of investment, but if you do not invest you die and he invested nothing.

The USA will die and be hollowed out and China will dominate the world completely if the USA and Europe and even Australia, but especially the USA, does not clean up its act and invest.

Invest in their education, in vocational training, in tax incentives to invest to get companies back to Detroit and everywhere else.

I could go on, but this nonsense about China’s gain is the US loss is only because the USA put all of their money and effort and investment INTO CHINA AND NOT INTO THE USA FOR THE PAST 35 YEARS!

As Everett Dirksen, a great US Senator once said when asked about the budget, ‘a billion here a billion there, and before you know it, you are talking about real money’. How about a trillion here a trillion there and before you know it, you are broke like the USA is about to be!

I could easily make a case that every US company was headed up by traitors, strong word I get it, but I want to make a point. If all you want to do is make profits in the next quarter, you and your country and your family and your future WILL LOSE.

China is also investing internally not just FDI any longer.

Good on them, now can the rest of the world get off their bums and stop thinking that selling each other cups of coffee and beers is good enough? And that all of the fake hedge funds and other financial ‘products’ are garbage and are not benefiting Americans rather a small elite that now does their business in China as they make more money there.

Hope that puts a different focus on this debate and gets people to back off on China and to focus instead on their own countries and their own people and solve their own problems.

There is plenty of time to discuss this all with China but clean up your acts first.

Roasted Asparagus with Mushrooms

Simple understated elegance for your table.

2022 11 23 15 33
2022 11 23 15 33

Ingredients

Directions

  • Preheat oven to 425*.
  • In a non-stick baking baking sheet with sides, toss the asparagus and mushrooms with the oil and season with salt and pepper.
  • Spread the vegetables in a single layer.
  • Roast for 10 minutes or until the vegetables are tender and browned, turning once or twice.
  • Place vegetables on a serving platter.
  • Sprinkle vegetables with vinegar and toss gently to combine.
  • Season with additional salt and pepper as desired.
  • Serve warm or at room temperature.
  • Makes 4 servings.

2022 11 23 15 34
2022 11 23 15 34

Blanche Monnier Spent 25 Years Locked In An Attic, Just Because She Loved The Wrong Man

Blanche Monnier 1901
Blanche Monnier 1901

The disturbing picture above is not a still shot from a horror movie, but rather is a hospital-room photo of Blanche Monnier, a French girl who was kept captive for 25 years in a padlocked, shuttered room where she was forced to live amidst pests, rats, human excrement, and filth.  Her discovery occurred on May 23, 1901 after the Paris Attorney General received an anonymous letter indicating a woman was being held captive in a home located on “21 rue de la Visitation” street in a wealthy neighborhood of Poiters, France.

The anonymous letter read in part:

“Monsieur Attorney General: I have the honor to inform you of an exceptionally serious occurrence. I speak of a spinster who is locked up in Madame Monnier’s house, half starved, and living on a putrid litter for the past twenty-five years – in a word, in her own filth.”

The Attorney General notified local police and asked them to investigate but nobody expected anything to come of it.   According to police who knew the area well, the only two people living at the address mentioned in the letter were Madame Louise Monnier and her middle-aged son Marcel.

Both Monniers had lived exemplary lives, Marcel was  a law school graduate and a former sub-prefect.   Madame Monnier’s husband, Emile, had been the head of the local arts faculty prior to his death in 1879 while Madame Monnier herself belonged to the illustrious Poitier family (the city was named for them).  She had even received an award from the Committee of Good Works for her philanthropic deeds.

Some of the older police officers were able to recall one other strange detail however.   Madame Monnier had a beautiful daughter named Blanche who had apparently vanished without a trace twenty-five years earlier.

Amazingly enough, the disappearance of a young socialite had somehow taken place without any police investigation or alarm being raised by her own family.  Despite the odd nature of the disappearance, nobody  had any idea of what would follow or the heartbreaking story that had remained hidden for decades.

When the police arrived, they proceeded to search the house and quickly found an upstairs room which had been padlocked shut.  Breaking the door open, they were horrified to find Blanche Monnier, naked, emaciated, and  with her head buried under the covers.  According to an account by one of the officers:

We immediately gave the order to open the casement window.  This was done with great difficulty, for the old dark-colored curtains fell down in a heavy shower of dust.  To open the shutters, it was necessary to remove them from their right hinges.  As soon as light entered the room, we noticed, in the back, lying on a bed, her head and body covered by a repulsively filthy blanket, a woman identified as Mademoiselle Blanche Monnier.  

The unfortunate woman was lying completely naked on a rotten straw mattress.  All around her was formed a sort of crust made from excrement, fragments of meat, vegetables, fish, and rotten bread.  We also saw oyster shells and bugs running across Mademoiselle Monnier’s bed.  The air was so unbreathable, the odor given off by the room was so rank, that it was impossible for us to stay any longer to proceed with our investigation.

Terrified at the sight of strangers, Blanche continued to hide her head under a blanket.  She was quickly wrapped in a blanket and taken to a hospital in Paris for observation.

Weighing a mere 55 pounds at the time of her discovery,  Blanche seemed incapable of any kind of coherent speech and was visibly frightened at being exposed to sunlight.  As they would later discover, she hadn’t seen the sun in nearly 25 years.   Police examining the miserable cell where she had been kept found the word “Liberte” (Liberty) scrawled across the walls.

They also determined that Blanche hadn’t worn clothing for the previous twenty years and her only friends were the rats that scrambled to eat the crumbs scattered on the floor of her room. Even as police were sending her off to hospital, Blanche’s elderly mother simply sat in the living room, apparently stunned at what was happening.

After police finished searching the house, they then proceeded to question Madame Monnier and her son.  While Marcel continued to bluster and insisted that his sister was  “foul, angry, overly excited, and full of rage”,  the doctors examining her at the hospital simply saw a frail and almost mute middle-aged woman who seemed excited at being given a bath and given new clothes.

It was only after both Monniers were arrested that police interrogators managed to unravel the entire horrific story.

Twenty-five years earlier, Blanche had been a vivacious and attractive 25-year-old socialite facing pressure from her mother to find a suitable husband.  Among her many suitors happened to be an older attorney who lived nearby with whom Blanche fell in love.

Blanche Monnier
Blanche Monnier

After becoming intimate, it was her announcement to her family that she wanted to marry this attorney that the trouble began.   Her mother was adamantly opposed to the match.

Not only was the attorney much older than Blanche was but he had little money of his own.  For this reason, Madame Monnier insisted that Blanche find someone more suitable.

When Blanche threatened to elope, her family took extreme action.

They locked Blanche up in an upstairs bedroom and insisted that she would only be released if she agreed to never see her intended again. Though Madame Monnier and the rest of her family likely thought Blanche would give in, she remained adamant.

As the years passed, Blanche stayed in her prison with no sunlight and only being fed scraps from her mother’s meals.  Even after her lover died in 1885, the imprisonment continued while her family told everyone that she had disappeared.

But it wasn’t just the Monniers who were part of the conspiracy to keep Blanche imprisoned. Various servants would later testify that they had often heard Blanche’s pleas to be released but didn’t say anything, whether due to loyalty to their employers, belief that Blanche was insane, or fear of being arrested as accessories to her imprisonment.

To this day, nobody knows who wrote the note that eventually secured Blanche’s release.

Whether it was a servant or someone who had heard about her secondhand is anybody’s guess.

Blanche’s mother, Madame Monnier Demarconnay, was arrested the next day and imprisoned at around six o’clock in the evening.  Despite the precautions of the police, a surging crowd gathered at the prison with shouts of hatred and revenge.

Madame Monnier Demarconnay was immediately placed in the infirmary (she suffered from heart disease) where she unexpectedly died 15 days later.  It was said that her last words were spoken to the doctors who entered the room just moments before she died.

They recalled that she cried out, “Ah, my poor Blanche!”

Her brother, Marcel, stood trial alone, accused of being his mother’s accomplice.

The trial opened on October 7, 1901.  Four days later, Marcel was found guilty and sentenced to a mere 15 months in prison.

The judgment on October 11 raised applause in the courtroom and outside on the Palace Square, the crowd showed their approval, screaming and shouting hostile threats at the convicted man.  \

Marcel immediately appealed the verdict and in a judgment announced on November 20, 1901, the court of appeal found that he had exercised no violence on the woman and hence, he was acquitted and released from jail.

Although Blanche Monnier did put on some weight over time, she never regained her sanity. She died in a Blois psychiatric hospital in 1913, 12 years after she was discovered captive in her room.

I can’t speak for *all foreigners* who have been to China, but as for myself…

I started to praise China when I realized that most of what I’d been told about this country were ugly lies.

When I realized this truth, my sense of justice was outraged and I began to see the Chinese people, government, and nation as a whole, not as I’d been told, but as they really are.

Now let me give you some context…

I came to China in 2012, and at that time I believed the mainstream narrative that “Chinese people are okay, but it’s the CCP that is evil”…

And of course, I often spouted the “Chairman Mao 9 trillion dead!”, “Xinjiang!!”, “Free Tibet!!” stories as well.

But then I learned the truth.

I learned of the Imperialist invasions we Westerners somewhat euphemistically refer to as the “Opium Wars

Which basically went down like this…

British Power 1: “oh damn, we want Chinese goods, but have nothing they’re interested in! Short of outright invasion, how do we get their goods?”

David Sassoon: “Why don’t we just get them addicted to opium and soon they’ll be begging us to take their goods! Mwahaahhaha!”

British Power 1: “The royal family gives their full support!”

And then when opium addiction got out of control, the Chinese emperor arrested the smugglers and formally banned opium…

The British invaded, devastated the country and “freed Hong Kong™”

And I learned of the two brutal invasions by Japan and especially the absolutely horrible crimes committed in Nanking and by Unit 731 .

And I started to see China not as the “evil creeping enemy” we are led to believe her to be…

But a traumatized and bullied child who finally had a growth spurt and became too big for the bully to have any power over her anymore.

And so the bully moves onto other smaller targets, but still talks smack behind China’s back and tells the “cool” kids lies about her to stop them from playing with her.

My change in attitude reminds me of a poem, described by deceased rapper Tupac Shakur, who said:

“If a rose managed to grow through the concrete, you wouldn’t judge the damaged petals…
You’d respect its tenacity; you’d celebrate its will to reach the sun!”

I now see China as the rose that grew from the concrete.

Notes (aka Red Pills) for the (still) Brainwashed:

  • in Syria.
  • The West still lies about Uighur “concentration camps” in Xinjiang.
  • The West still lies about Tibet and is strangely silent about the CIA Tibetan program
  • which originally paid the Dalai Lama $180,000 a year to support covert action on China’s border.
  • The West still lies about the Tiananmen Square massacre and is also “strangely silent” about Wikileaks cables proving that no “massacre”
  • took place there.
  • The West still lies about the real situation in Hong Kong and Beijing’s real (rather normal) intentions for the original extradition law.
  • The West still lies about China’s handling of COVID-19 (which as a non-Chinese living in China, I think was VERY good) in order to cover for America’s fumbling, whilst also conveniently heaping international hatred toward China.

With these facts in mind, how can we believe anything we see on mainstream English news?

Did Chairman Kim really shoot anti-aircraft rockets into a dissenter’s body?

Likely not.

Did Putin really pay Taliban for any American deaths in Afghanistan?

Likely not.

I refuse to believe atrocity propaganda

anymore.

This is why I praise China.

Despite 100 years of brutalization, bullying and smearing by the West, I literally never see the same atrocity propaganda, lies and smearing in Chinese news when referring to the West.

Sure, there is criticism, but smears and demonization? Nope.

Confucius once wrote that “The rule of virtue can be compared to the Pole Star which commands the homage of the multitude of stars without leaving its place.”

Ponder that one for a while…and then look at the Chinese flag and tell me what you see.

2022 11 23 14 48
2022 11 23 14 48

Conclusion: No nation is perfect, especially China, but I praise them because they are humble, hardworking and family-oriented.

I support the government because unlike Western nations, they do not allow capital interests to rise above government authority – and this makes the ideological/cultural/political subversion that has happened elsewhere – very difficult.

And is also the real reason why the West is so anti-CCP.

AP Fires Reporter Who Claimed “Russian Missiles” Hit Poland

.

The Associated Press has FIRED Investigative reporter James LaPorta over his botched story that “Russian Missiles” hit Poland.

That story, widely repeated across the internet and on TV, almost triggered World War 3.   It was taken offline the following day and replaced with an editor’s note admitting the single source was wrong and that “subsequent reporting showed that the missiles were Russian-made and most likely fired by Ukraine in defense against a Russian attack.”

The piece, which was originally co-bylined with John Leicester (who is still working at the AP), attributed the information to a single “senior U.S. intelligence official,” despite the AP’s rule that it “routinely seeks and requires more than one source when sourcing is anonymous.”

An AP spokesperson did not comment on LaPorta’s ouster but instead wrote: 

“The rigorous editorial standards and practices of The Associated Press are critical to AP’s mission as an independent news organization. To ensure our reporting is accurate, fair and fact-based, we abide by and enforce these standards, including around the use of anonymous sources.”

LaPorta, a former Daily Beast contributor, declined to comment.

The CGI tends to be a little too much for the older folk, but it’s a fun movie.

 

K-A-R-L-S Disco Weener Beaner Haven

Salsa y Ketchup, tell me and I’ll fetch up

When I was a young boy, the house that I lived in had a small brick basement. The curious thing about this basement was this little brick water moat along the walls. Of course, growing up, I thought that this is the way basements were designed. Not realized how unusual it was. Now as an older man, I realize that the brick walls would occasionally seep water, and that water would collect in the “moat” and drain away.

What seems common and everyday to us, could also be a very strange and unusual event, thing, place or behavior to another.

Keep in mind that just because you see things one way, does not mean that your neighbors will agree with you.

Photo album of a 1937 Japanese air strike on Shanghai handed over to Chinese consulate – SHINE News

A good man.

fbc4c089 455b 4844 b1eb f942d222c74b 0
fbc4c089 455b 4844 b1eb f942d222c74b 0

From HERE

Evan Kail, an American pawnshop owner, gave the Chinese Consulate General in Chicago an old collection of photos from World War II yesterday.

The collections contain more than 30 rare color prints documenting Japanese atrocities in Shanghai.

Kail sparked widespread curiosity in September after suggesting on TikTok that the photographs might be from the Nanjing Massacre.

Later, he claimed that the photographs were most likely taken in Shanghai, not Nanjing.

He announced on social media that he had handed over the book to a staff member from the consulate office.

“I want to thank China and all the people who stood by me throughout this process. It has been a life changing experience,” Kail wrote.

e727625e 5551 486c 95cf cf8bc36c211f 0
e727625e 5551 486c 95cf cf8bc36c211f 0

In a letter, Zhao Jian, consul general in Chicago, expressed his heartfelt gratitude for the album.

“History serves as a mirror for the people today and your donation certainly helps inspire everyone with a kind heart to safeguard peace,” Zhao wrote.

According to Zou Dehuai, a Chinese history blogger, the photos were from a Japanese air raid on Shanghai’s crowded Nanjing Road in 1937.

On the afternoon of August 23, 1937, an aerial bomb exploded on the third-floor southeast balcony of Sincere & Co Ltd, which was located on Shanghai’s densely populated Nanjing Road.

The windows of the nearby Wing On Co Ltd and stores along Nanjing Road and Laohe Road (now Liuhe Road) were all shattered. The air raid killed 215 people and injured over 570 others.

dd6377c2 7c4c 47bb 8fce b81176265e0d 0
dd6377c2 7c4c 47bb 8fce b81176265e0d 0

Best scene from the movie right there folks…

The movie is “Straight to Hell”, it’s a kind of cult flick. One of the greatest movie songs of all times. It gets stuck in your head….for years at a time.

Of ALL the countries of the world, China is the greatest threat to the International Order as led by the United States and Western countries because it has proven that its one party meritocratic system:

  • had enabled the fastest growing economy in the history of men;
  • it had uplifted its population from poverty in just several decades;
  • it has become the largest manufacturing and industrial country with over fifty percent of the world’s output.

AND it has managed to avoid the political instability caused by two party systems such as the United States and United Kingdom where leaders harnessed hatred to win power and caused great harm to their country.

That is, China is a threat to the current western led International order because it has demonstrated that it is more effective a creating a better future for its people.

main qimg e0aa48247566a2a1a6a4931485e3427b pjlq
main qimg e0aa48247566a2a1a6a4931485e3427b pjlq

BUT its biggest threat is that thru the Belt and Road initiative, China is creating a better future for all mankind, a prosperous interconnected and interdependent world contrasting this with the International order which had inflict war and chaos and exploit those countries.

Izmir Koftesi

This Turkish dish comes from the Mediterranean town of Izmir, which is a popular tourist destination for Turks and foreigners, alike. It’s basically a dish of baked meatballs with potatoes in a flavorful tomato sauce. However, the real magic of this dish lies in its seasonings, which include fruity Aleppo chili flakes and nutty ground cumin.

delicious
delicious

This Turkish classic of meatballs and potatoes in tomato sauce is perfect anytime as a simple dinner or as an addition to an iftar table (the meal Muslims eat when they break their fast during the month of Ramadan). There are different ways to make the dish: some people form the meatballs into small football shapes; others prefer patties. Some sear the meatballs before baking; others put them in the oven raw. I prefer forming patties because they look better when arranged with the potatoes and are easier to sear. And I like searing them because it locks in the juices, but you can do whatever you prefer.

Ingredients

1 pound ground beef

2/3 cup fresh flat-leaf parsley, finely chopped, plus more for garnish

2 tablespoons plain breadcrumbs

1/2 teaspoon Aleppo pepper flakes

1/2 teaspoon ground cumin

1 large egg

1 onion, grated

3 cloves garlic plus 2 cloves garlic, minced separately

2 1/2 teaspoons dried oregano

Kosher salt and freshly ground black pepper

Neutral-flavored oil, such as canola or vegetable, for searing

2 russet potatoes, peeled

1 tablespoon olive oil

2 tablespoons tomato paste

1 large green bell pepper, cut crosswise into 1/2-inch thick slices

1 large tomato, cut into 6 or 8 wedges

Directions

  1. Place the ground beef, parsley, breadcrumbs, Aleppo pepper flakes, cumin, egg, onions, 3 of the minced garlic cloves, 1 1/2 teaspoons of the oregano, 1 teaspoon salt and 1/8 teaspoon pepper in a large bowl and combine well using your hands. The mixture will be wet and a bit sticky. Form into 20 to 24 meatballs about 1/2-inch-thick in the shape of your choosing (see Headnote).
  2. Heat 2 tablespoons neutral-flavored oil in a large nonstick skillet over medium heat. Working in batches and adding more oil as necessary, sear the meatballs until nicely browned on two sides, 2 to 3 minutes per side. Transfer to a platter.
  3. Preheat the oven to 350 degrees F.
  4. Slice the potatoes crosswise 1/2 inch thick into a total of 20 to 24 slices. Arrange the seared meatballs and potatoes in an alternating pattern in a 9-by-9-inch baking dish. Set aside.
  5. Heat the olive oil in a small saucepan over medium-low heat. Add the tomato paste and cook, stirring occasionally, until it starts to darken and release its color into the oil, 3 to 5 minutes. Add the remaining 1 teaspoon oregano, 2 minced garlic cloves, 1/2 teaspoon salt and 1/8 teaspoon pepper and give the mixture a nice stir. Add 1 1/2 cups water and bring to a simmer over medium-low heat. Simmer for about 5 minutes, then taste and add more salt, if needed.
  6. Pour the sauce gently over the meatballs and potatoes. Top with the bell peppers and tomatoes. Cover with parchment paper and bake until the potatoes are tender and the meatballs are cooked through, 40 to 50 minutes.
  7. Garnish with parsley before serving.

I’m Indian, speak (Mandarin), read and write Chinese and have lived in Singapore for 8 years, Mainland China for 7, HK for 3 and Taiwan for 2 years and am married to a Taiwanese lady. I am currently back in Shanghai for my 4th stint of living on the mainland and here’s what I think.

Taiwan: Inexpensive, clean, friendly. However, economic opportunities are limited and when I worked there I was at about 1/3 of what I used to earn in China, so it might be a good place to retire rich but not for your working years. Also not an international place, not even in Taipei City.

HK: Very international. Great mix of urban and outdoors opportunities – hiking, beaches, hang-gliding etc. However, it is expensive, not very friendly and while some sectors are healthy and have a lot of opportunities (finance, mostly) the rest are all very small now that HK is no longer the place where China companies are run from.

Singapore: Has changed a lot in the last couple of decades. It’s now become very international, expensive and highbrow, so it’s lost a lot of the simple charm and pleasures of some years ago. People (local Singaporeans, I mean) can be really charming and friendly , the variety and authenticity of food is amazing and it could be a nice place to live in long term if you’ve got a good job and live within your means, but I also know a lot of people who live beyond their means and that’s a surprisingly real danger in this city. Also, the weather sucks.

Mainland China: Lots of opportunities, things can be as expensive or as cheap as you want, big cities are clean (ish) and efficient and people are very very friendly if you speak even a little Mandarin. Also, contrary to popular belief, there is a great degree of personal freedom, it’s relatively easy to set up a business here and it’s extremely safe. I wouldn’t retire here but in my active working years, I keep coming back to China because it’s just amazing. Shanghai, in particular is very international and while it’s more expensive than most other cities in China you can still live here cheaply if you need to – I had to do that for about 2 years when I was in a startup and managed to live quite well on very little money, something that would not have worked in Singapore or HK.

So from my perspective, in the active years, it would be China, Singapore, HK and Taiwan in that order – possibly for retirement it would be Taiwan first and none of the other options – my next retirement option to Taiwan would be Malaysia.

UK orders sale of microchip factory by China’s Nexperia, citing national security

Chinese company set up, built, staffed and trained a UK company. Just as they were starting operations, the UK seized it. Forcing it to be sold at low price, to UK nationals. I wonder what would happen if China did the same things to all those multi-national companies inside of China?
17 November 2022, 06:48

LONDON (Reuters) -The British government on Wednesday ordered Chinese-owned technology company Nexperia to sell at least 86% of Britain's biggest microchip factory, Newport Wafer Fab, following a national security assessment.

The review of Nexperia's 2021 purchase of Newport Wafer Fab, now known as Nexperia Newport Limited, was announced earlier this year after legislation came into force in January allowing the government to scrutinise and potentially block acquisitions and investments in sensitive sectors.

It can be applied retrospectively to deals completed since November 2020.

"We welcome foreign trade and investment that supports growth and jobs. But where we identify a risk to national security we will act decisively," Business Minister Grant Shapps said on Twitter... Read more :

From HERE

Grow up.

USA has lost the scientific and technological edge at least since 2010 or perhaps even earlier. Go to China. Their trains traveled 600 KM per hour and they have 120’000KM high speed railway line most build within 10 years. The US took 10 years to build less than 500KM from San Francisco to Los Angeles and it is still not ready yet!

The Solar and Wind energy usage is bigger than the rest of the world combined, the US especially the Republican Party is still denying climate crisis! Chinese use 60% of worlds EVs and produce 90% of world’s EVs including most of US Tesla using Chinese made batteries.

The US is struggling to installing 5G throughout the US and it barely reached your suburbs, China has completely installed up the the most rural areas and has been using 5G for a few years. Now China has started 6G and has send up several 6G satellites to facilitate driverless vehicles.

China has taken the lead in quantum computing, Artificial Intelligence’s technology, Biotechnology, and most key fields. For example when the US wants to decouple from China in the Semiconductor Chips field what they meant is that the US who only possess some technology and some raw materials and some know how.

Most of the technology, raw materials and know how’s are now with other companies, other nations and non U.S. entities. The US can only bribe and coerce other nations to stop selling to China. And highly likely will not work. The logic is simple. If you forced a company or a nation to forego 40% of their market and influence negatively the rest of the market. Those companies will not choose bankruptcy and the US cannot compensate then a hundreds on billions for years on end.

US has some technologies, some are key and strategic. So has many countries and companies other than the US. At best US is one of the countries that has technologies. So get real.

If you are an American, for your sake ask all officials and CIA operative to not travel to Asia and waste tax payers money.

Your tricks are up. Asian sees a con a long way away. Nothing can fool Asian neighbours anymore. We see the US as the source of the problem. And certainly not the solution at all.

We want you as far away as possible. Don’t get me wrong if you are an innocent tourist or a businessman with good intentions, you are welcome.

Asians people spot trouble maker and shit stirrer a mile away. We don’t welcome you. And if you do come we will miked you dry and go home penniless. Sure we will fool you with out unique Asian politeness. But we know our neighbours and neighbourhood better than you because we lived together thousands of years.

Not just Duterte. But every Asian leaders don’t want you, don’t need you, we like to stay as far away from you as humanly possible.

Color revolution in Malaysia

Excellent inside look at how the United States corrupts elections around the world, with visuals. The USA are the masters of controlling "democracies" to achieve whatever outcome they desire.
.
.

It’s s so freaky you can’t say her name.

The first outcome of Xi’s meeting with President Biden is a new way of looking at the US-China relationship.

main qimg 9d4f52939c78a73641ec100f7554c7e1 pjlq
main qimg 9d4f52939c78a73641ec100f7554c7e1 pjlq

Western media presents the US-China relationship as a competition between two countries, but President Xi

presented a different perspective to President Biden: how the relationship looks to the other 193 countries: “Current China-U.S. relations don’t meet the fundamental interests of our two countries and their people, nor the expectations of the international community”.

“A statesman should think about and know where to lead his country. He should also think about and know how to get along with other countries and the wider world,” said Xi, and suggested they jointly “chart the right course for bilateral ties”.

The meeting was the first face-to-face meeting between China and the US since 2019, the first face-to-face meeting between the two leaders since President Joe Biden’s election, and Xi laid out three areas of common interest:

  • preventing conflict and confrontation, leading to peaceful coexistence;
  • benefiting from each other’s development; and
  • promoting post-COVID global recovery, tackling climate change and coordinating to resolve regional problems.

Significantly, the three-and-a-half-hour meeting, which the White House requested, was held at the Chinese delegation’s residence in Bali, not at the G20 venue. Biden said the US does not seek a New Cold War; does not support “Taiwan independence”; does not support “two Chinas” or “one China, one Taiwan”; does not seek “decoupling” from China; and does not want to contain China.

The two men agreed on the importance of establishing the guiding principles of China-US relations, conducted constructive discussions on this issue and tasked their working teams to reach agreement. US Secretary of State, Antony Blinken, will follow up during a visit to Beijing, and China suggested they work on together on six major items:

  1. The world in the 21st century must avoid repeating the mistakes of the Cold War. The two countries should take a correct view of each other’s internal and external policies and strategic intentions and establish a tone of dialogue rather than confrontation and win-win rather than zero-sum President Biden introduced the midterm elections in the United States,.
  2. Setting clear a direction that prevents China-US relations from spiraling out of control. President Xi said that two major countries such as China and the US cannot do without some great principled consensus, “With principles, there is direction, with direction, to properly handle differences and expand cooperation. It is based on this consideration that China and the US should adhere to mutual respect, peaceful coexistence and win-win cooperation. President Biden has repeatedly reiterated such important statements as “four not one intention.”
  3. China says the US should translate President Biden’s positive remarks into concrete policies and actions, stop containing and suppressing China, stop interfering in China’s internal affairs, stop undermining China’s sovereign security and development interests, work with China to build the “four beams and eight pillars” conducive to the sound and steady development of China-US relations, and jointly consolidate the “solid foundation” for stable and lasting China-US relations.
  4. Taiwan is part of China, and the Taiwan issue is China’s internal affairs. During their meeting, President Xi briefed President Biden on Taiwan’s history of colonization and aggression by outside powers over the past few hundred years, stressing that China opposes “Taiwan independence” and maintains territorial integrity, which means protecting China’s ancestral land. Anyone who wants to split Taiwan from China runs counter to China’s national greatness. The Chinese people will certainly share the same enemy and will never consent. President Biden said the US side adheres to the one-China policy, does not support “Taiwan independence,” does not support “two Chinas” or “one China, one Taiwan” and does not seek to use the Taiwan issue as a weapon.
  5. Because China and the US are permanent members of the UN Security Council, the international community hopes they will jointly address global challenges. President Xi Jinping said that China-US cooperation is good for both countries and the world. There are differences and differences between China and the United States, and differences should not become an obstacle to the development of China-US relations. Differences should be Cooperation requires a good atmosphere and stable relations. It must not be done unilaterally. Facts have repeatedly shown that the entire China-US relationship cannot be defined simply by competition, and cooperation is always the best choice for both countries. China-US cooperation benefits all parties and opens up a win-win future for China and the world.
  6. On democratic human rights, President Xi observed that freedom, democracy and human rights are the common pursuit of mankind and the consistent pursuit of the CPC. The United States has American-style democracy and China has Chinese-style democracy, both of which are in line with their respective national conditions The entire process of people’s democracy in China is based on China’s national conditions and historical culture and reflects the will of the people Specific differences between the two sides can be explored, provided they are exchanged on an equal footing.

I’m an American who has been to China many times.

Freedom is somewhat relative. An old joke goes something like an American saying “You’re not free to criticize your government or country” and a Chinese responds “At least I’m free to support them!”

For the most part you can pretty much do whatever you want, there’s no random checks or harassment by the cops. Chinese cops are very friendly and hospitable, far more than Americans but that’s party because Chinese police aren’t hated or attacked nearly as much. Kids are taught from an early age to respect public servants like cops, firefighters, soldiers etc. It’s a very different culture, more like America 50 years ago.

There’s a few things that are banned such as pornography. You actually can criticize the government as long as you’re not a public figure like a radio personality, celebrity, journalist etc. Making jokes about the CPC is not unusual, they’re something like a meme to them. Guns aren’t universally banned as most people think, but very tightly regulated and require a license. Roughly the same level of private gun ownership as the UK. It’s a very safe society thanks to harsh penalties for breaking the law (you can get the death penalty for selling drugs, or even for political corruption, bribery, etc).

Journalism is basically supervised by the government, but they can generally publish whatever they want as long as it’s not politically critical. The media is very honest, because they don’t want to get caught in lies and undermine their legitimacy. They’d rather just omit a story than to lie about it. Compare to the US where most journalists lie routinely, on both sides, getting caught publishing fake news all the time.

Elections are a non issue that most people don’t bother with. You actually can vote, but it’s like voting for a local representative. That local rep votes for a higher rep, and so on. Nobody directly elects anyone of importance. Most people don’t even care so they don’t bother to vote.

Religion isn’t a problem if you belong to a legitimate established religion, not some crazy cult or scam like Scientology. Unfortunately the government doesn’t care about your holidays, nor do most employers, so it’s normal to work on Christmas. Churches accommodate this by holding services at night. With Catholics there’s no underground church anymore due to the agreement with the Pope a few years back. Church services are what you would experience everywhere else, same content, same Bible, and in major cities they’re in multiple languages, sometimes officiated by foreign missionaries, priests, etc.

Kids can drink in restaurants, it’s no big deal for a teen to have a beer or two.

Soldiers are confined to their bases, they live an extremely spartan lifestyle where enlisted men live together in communal barracks even if they’re married with children. Officers live in upstairs apartments. Discipline is harsh and getting arrested for anything is a dishonorable discharge, which carries with it far more limitations than here, like being banned from public transportation.

Altogether unless you like rioting and burning down buildings, committing crime, or watching porn, you probably wouldn’t notice much difference regarding freedom. Feel free to ask me any more specific questions, but don’t bother arguing with me or posting dubious news articles, you’ve never been there and I have so you don’t have a clue what you’re talking about.

The Matrix (1999)

Of course it’s not a myth, silly! Uighurs are real! They aren’t like Santa Clause and unicorns! It’s just that they aren’t being exterminated in mass murder camps. China isn’t running their own version of Nazi Germany. Xi Jinping is not Hitler.

You see, the US is trying to fight a Cold War with China but China isn’t interested. Xi Jinping and those under him are very wise. Brilliant actually, because the US is throwing everything they can at China on multiple fronts. But China remains unmoved, resolute, strong in the face of pressure.

The US may start wars everywhere else but China is telling the US to get their troublemaking backsides off of their lawn and to go home.

The US harasses China in the SCS about Taiwan. The US harasses China’s financial district in Hong Kong. The US surrounds China in military bases from all sides. The US harasses China about Tibet.

Coincidentally Xinjiang separates China and the US theatre for war in the Middle East. Oooops! Didn’t know that, did you?

The US has admitted there is no genocide (state department). The US has admitted that they lie and are proud of it (Pompeo). The US admits that they run disinformation counterintelligence programs (Mockingbird, COINTELPRO etc). And the US has a terrible track record of starting wars and killing civilians whenever they want to “help spread democracy” (every war and intervention since WWII, literally). The US also thinks it runs the world and that China is about to surpass them as a superpower. Well they don’t run the world, but China is about to surpass them, and anything that will derail China’s success is fair game to the US government.

It’s no myth that Xinjiang and Uighurs exist. It’s a myth of lies every time you turn on Western media.

A China Insider comments on an e-mail thread

He’s well known, well liked, and well informed. But I cannot divulge his name. Many of you have read some of his writings on MM here.

Definitely the anti-mRNA movement has spread. With good reasons: People with a Pfizer or Moderna vaccine are dying faster than people with an inactivated, traditional vaccine.

Are you saying that the people resisting the devastating mRNA vaccines are the cause that more people are refusing the (traditional, inactivated) polio and measles vaccines ?

I didn’t knew that. Do you have some reports showing figures, proving that it are the mRNA refusers are the main cause of the increasing number of polio and measles vaccine refusers ?

China doesn’t want to approve and release a new mRNA vaccine without proper animal testing and the required Phase 1, 2 and 3 testing on humans. Such a procedure, if properly conducted, is taking almost two years.

One can’t say that, because China is testing a mRNA vaccine, developed in China, that the Pfizer and Moderna vaccines are safe.

Here, in my own neighbourhood, there are people

  • 1. who’re fully vaccinated, boostered et all with Pfizer vaccines. And are satisfied with it, in spite of the side effects.

  • 2. who’re fully vaccinated, boostered et all with Pfizer vaccines. And nevertheless died from Covid.

  • 3. who’re fully vaccinated, boostered et all with Pfizer vaccines. And shrugging say that they had no other option because they’re in the medial business or in the army, … Or any other excuse to satisfy their consciousness.

  • 4. who’re fully vaccinated, boostered et all with Pfizer vaccines. And deeply regret it, feel shame about it.

  • 5. who’re fully vaccinated, two shots. And refused the boosters.

  • 6. who got their first shot. And from then on got their ’re full consciousness back, refused any further Pfizer shots.

  • 7. who went to Hungary or Serbia to get a Sinovac or Sputnik vaccine

  • 8. who participated in testing of new, experimental Chinese vaccine in test phase 2 or 3

  • 9. who got no vaccine at all because there are no other than mRNA vaccines in this country

  • 10. who refuse all kind of vaccines out of religious reasons.

The mirror aspect of this scene follows the reverse Alice in Wonderland motif of the movie where, instead of going through the looking glass and traveling to a fantasy world, the looking glass travels into Neo’s body and wakes him up from the dream world into the real world.

The Killing Fields of Samoa

What happened in Samoa in 2019 and why is it so important now

Talk is cheap.

Do it. since you admit Taiwan is part of China which means say Hawaii is part of the USA stop selling arms to Taiwan without China’s consent. China did not send its leader of congress flying into Hawaii?

He certainly don’t have a joint meeting and public announcement that condemns the US policy! China don’t arm Hawaii, it certainly don’t send its warships to Hawaii unannounced and unwelcome!

So saying one thing and behaving another like a rogue state cannot be good for America’s image. Back off. Taiwan is China’s business just as Hawaii is the US business. Just back off.

Your are damn right Biden is trying to improve relation just as China wants to. Offending your biggest market which happened to be bigger that the next 5 nations and growing a Spain a year is suicidal for the US.

Start repairing your image around the world beginning with China that the US knows bulling nations don’t work in 2022. Start accepting you are a pillar not the sole superpower anymore that knows you can’t arbitrarily sanctions nations you don’t like. These days it harm you more than it harms them.

This meeting is pursued by the US, China is happy to help make a better world. I am happy they met and the handshake and genuine smile from both man is a happy moment for the world. China is not your enemy. You have been your own enemy.

Biden, control you neocons, your military industrial complex and NRA before they destroy you and America. Act like a leader. Sack Blinken and O’Sullivan they destroy the spirit of humanity. Build bridges with China not walls. China knows wall don’t work. It has relics to remind them.

Start talking and start being a man.

Recently UK seized Venezuelan Gold Reserves:

How can people live? How can you not getting angry? Why do such crimes committed across the world continue on a daily basis, year on year?
Still remember, during the Covid pandemic, the crusaders seized each other shipment of medical supplies transit through each other countries? 

And the recent behavior across virtually all Western countries in seizing everything Russians? 

Yet, I wake up everyday surrounded by the crusader propaganda machine. Listening to all kind of HR craps, fake news, and self advertised universal Western values... Knowing the reverse is the truth... It is hard not to drive people resentment. 

I also collected the behavior of the crusader soldiers war crimes in Iraq and Afghanistan by each and every crusader nations, these crimes includes chopping off people heads, use as ball to kick and have fun, and chopping of people fingers as trophy to keep track of how many they killed... Yet, those with war such crimes scandal won election.

How not to link such behavior with the culture of cruelty? 

How not to call such civilization barbaric and needed culture reform and re-education? 

Cheers 

Chua

When I first came to America from China at the age of 6, I entered first grade. I didn’t understand why kids were getting their lunch food and not finishing it. I saw children bring their left over food to the large trash cans and scraping it off their trays – from half-eaten pizzas to untouched burgers. I watched them pour their milk into a white bucket. In China, this was a huge no-no in school. We had to finish everything. The first kid who finished his lunch got the privilege of helping the teacher clean up the classroom and set up the nap time area.

One day, my first grade American teacher announced that we would be having a pot luck and everyone was encouraged to bring something from their country. I got super excited and told my parents. They were debating whether to make pot stickers (Chinese dumplings) or Lo mein noodles. I loved dumplings!!! My mom stayed up after her long day of work and kneaded the dough, chopped up the bok choy and minced ginger and pork and folded them into dumplings. She put them in the fridge and woke up early in the morning to steam them and fry them so they would taste extra fresh when I brought them to school. They smelled great and looked golden brown after they were cooked.

main qimg c80db0a2d200cee246c800dd03a65a5a lq
main qimg c80db0a2d200cee246c800dd03a65a5a lq

(They looked exactly like these, photo from genius kitchen.)

I was so excited to share these with my class and teachers. It was placed in the corner of the table next to all the other delicious food. Many kids grabbed the cookies and juices and were hesitant to try the dumplings. One or two kids bravely took a piece and knew what they were because they had it with their parents at a Chinese restaurant. At the end of the party, it was cleanup. My teacher walked up to me and asked and pointed to the tray of dumplings, “would you like to take these home?” I meekly replied, “No…” it was very impolite to take your gift home. I thought she was going to share it with other teachers, but immediately after I said that, she poured the whole tray of dumplings into the trash and continued cleaning. I was baffled; what just happened???

This was the biggest culture shock I have experienced and still continue to see frequently in my American friends. Please eat all your food or take it to go or share it or just get enough so you don’t have to throw it away. Thank you.

Court Orders CDC to Release Data Showing 18 Million Vaccine Injuries in America

More than 18 million people were injured so badly by their first COVID shot from Pfizer or Moderna that they had to go to the hospital. That’s according to the CDC’s own internal data, which a court just ordered the federal agency to release to a watchdog group.

The CDC started a vaccine monitoring program back at the very beginning of the COVID shot rollout in December of 2020. You might remember it; the program was called V-safe. People were asked to install the V-safe app on their smartphones and then self-report if they have any negative effects from the experimental mRNA shots, which were released to the public under an Emergency Use Authorization from the FDA.

A lot of people were eager to help, because world governments had scared many folks very badly over the virus. Many thought that the COVID shots were a medical miracle in late 2020. So, more than 10 million people downloaded V-safe on their smartphones, and then proceeded to get vaccinated.

That’s a huge sample size for a medical study. With 10 million people participating in the V-safe self-reporting system, it gives an extremely accurate statistical model to use when studying the 230 million Americans who have had at least one COVID shot.

The CDC tracked data in the V-safe program for the first 18 months of the vaccine’s public availability, up through July of this year. But then, strangely, the CDC never published any data from V-safe. We couldn’t see it. We just had to trust the CDC, which had been caught lying repeatedly.

The CDC’s main webpage about the mRNA COVID shots still says, to this very day, “COVID-19 vaccines are safe, effective and free.” That’s the very first sentence on the website. Safe and effective! That’s been the CDC’s position for the entire time. The vaccines are safe, and they cannot hurt you.

If that’s true, then why wouldn’t the CDC release the data until a court ordered it to do so following a lawsuit by the Informed Consent Action Network (ICAN)? The data speaks for itself.

Of the 10 million people who participated in V-safe – again, a massive sample size – 3.3 million reported Adverse Health Impacts (AHIs) immediately after their first vaccination. That’s 33% or one-in-three. Of those 3.3 million people, 1.2 million reported that they were unable to perform daily activities for a time after vaccination. 1.3 million reported getting so sick from the shots that they had to miss school or work. And about 800,000 reported being hospitalized by their COVID vaccination.

That last figure is the most worrisome. 800,000 hospitalizations out of 10 million people? That’s an 8% hospitalization rate. It means that as many as 18 million of the 230 million people who received at least one shot may have been hospitalized with an adverse reaction.

A study published in June of 2021 by the National Institutes of Health found that the hospitalization rate from COVID-19 for the total population was 2.1%. If you are under the age of 40, the NIH study claims hospitalization rate from COVID-19 is just 0.4%.

For the shots, the hospitalization rate has been 8%.

This means that:

YOU ARE 4 TIMES AS LIKELY TO BE HOSPITALIZED BY THE VACCINES THAN BY COVID ITSELF, NO MATTER WHAT AGE GROUP YOU ARE IN.

YOU ARE 20 TIMES AS LIKELY TO BE HOSPITALIZED BY THE SHOTS IF YOU ARE UNDER AGE 40 THAN BY COVID ITSELF.

You can read the NIH-published study HERE.

ICAN has set up a website where you can finally view the CDC’s V-safe data online. The data was released on October 3, 2022, under a court order. You can see the data for yourself HERE.

The CDC seems to many people to have been lying to the American people about the vaccines all this time.

(HT Remark: There need to be legal consequences for this. Public trials and long jail sentences are necessary for anyone at the CDC who participated in this cover-up.)

Is there anything positive the crusaders could contribute to the world?

According to the joint chiefs of staff of the South Korean armed forces, four South Korean F-35A jets and four US F-16 fighters flew in a coordinated assault formation over the sea to the east of the Korean Peninsula.

The Joint Chief also announced a mock drill for attacking North Korea’s ground-launch facilities with GBU-12 airborne laser-guided bombs to show that they were prepared “to strike the adversary with precision.” The bombing mock drill was reportedly conducted at a firing range in Gangwon Province.

The intercontinental ballistic missile fired by North Korea reportedly traveled about a thousand kilometers before landing in the sea over 200 kilometers away from Japan. However, the Japanese Defense Minister stated that the intercontinental ballistic missile had a range sufficient to strike the entire mainland of the United States.

From HERE

Have there been any recent notable strikes or labor protests in China’s manufacturing sector?

Thousands of Protests!!!!

Surprised?

Why exactly should you be?

I mean this is China – they manufacture on a Scale that is unimaginable to most of the world. Obviously a Nation that manufactures for the entire world would have far more Labor Protests and disputes than the rest of the world combined.

So why dont we hear of too many Protests?

I mean sure – One Protest and the West will cover it like its the next 1917 Revolution – but generally – the number of Protests we hear about are probably around 1% of the actual protests that happen in China.

The Reason is – The Dispute Resolution Mechanisms

main qimg cfda2721be75c005ef1230db1b6ab475 lq
main qimg cfda2721be75c005ef1230db1b6ab475 lq

Collective Bargaining is one of the best Dispute Resolution Mechanisms

Every Worker agrees that a Minimum Number is required to officially Protest during working hours

The General Number ranges from 1/3 to 3/4 of the Workers

Some Industries demand at least 4/5 Workers to register a Protest to initiate Collective Bargaining

The Main Protest in China isnt about Wages or Money. Its about the number of hours of work.

So a Single Meeting is enough to ensure that a Protest is finished successfully

Blacklisting

main qimg ac4f820774fa0d5f07ba9687e633377d lq
main qimg ac4f820774fa0d5f07ba9687e633377d lq

Blacklisting is famous and China has a single unified Database of Workers in most Cities.

A Blacklisted Worker can never be member of any Association and cannot be part of any Collective Bargaining.

Workers who protest too much – Western Style will get Blacklisted and that means being at least 50% worse off than your fellow workers.

main qimg 851a373d4256b2b18ca522f2f52d80bc pjlq
main qimg 851a373d4256b2b18ca522f2f52d80bc pjlq

Profit Linked Wage and Incentives

China is the only Country that officially has a system where Taxes are linked to Economy and where Profits must legally be split among the Workforce vide Wage and Incentives.

This way if the Owner suffers, the Employees will put up with it but if the Owners makes big profits then so will the Employees

The PLWI was already followed by many Companies in the Higher End of the Scale (Huawei for instance) but now its become the Law since 2017.

As a result – Most Workers in China dont protest on Wages or Conditions, unlike most other Countries.

Their Protests are about the more mundane things that can range from Working Hours to Alternate Sundays Off to Canteen doesnt serve Pork or The Bus is too slow for us

Thus Most Protests can be easily handled in a single meeting


STRIKES – NO!!!!

Strikes are Illegal and anyone who strikes will suffer the full force of the law.

Protests are legal if permission is availed and if the public is not disturbed

However any Protest that extends beyond Permitted Time or Space will lead to dire consequences

Ukrainian Soldiers Shown Slaughtering Surrendering Russian Soldiers, Allegedly Identified

.

2022 11 22 06 14
2022 11 22 06 14

The world was shocked when video emerged showing what appeared to be Ukrainian soldiers slaughtering Russian soldiers, who had surrendered, were disarmed, and laying face down on the ground.  Now, Social Media postings claim they have identified the soldiers who fired the deadly shots!

Killing surrendering troops is a War Crime.

The violent and graphic video was reported earlier, HERE

As seen in the posting above, the men are now being “hunted” for what they did to the Russians.

Quite a few things:

  1. Our bread, and in general, our food, never have sugar added. I mean, if I got bread, and it tastes sugary, I never buy that again. Bread is not supposed to be sugary.
  2. We eat a lot less processed food.
  3. Our cities are walkable. I, for example, live in Madrid, and don’t even have a car. I’m at 15 min. walk from my work. I go walking to buy groceries and generally everything.
  4. This is a personal pet peeve of mine: what happens with the gluten-free mania you have there? I mean, if you are celiac (which is an objective thing that have its own test), then I can understand… but the rest? Gluten is protein, and one of the most complete proteins that you’ll find in the vegetal world… and you remove it from the bread??? Are you fucking crazy, people? I generally like breads with as much gluten as you can find; they are generally more airy, the crust is tastier, and is a lot more healthy. There is nothing like a Hogaza Gallega.

main qimg cbc3ae18c26b84d83df2297320ea977a lq
main qimg cbc3ae18c26b84d83df2297320ea977a lq

And this have more protein (around 15%) than many processed meals you eat.

5. Fats. If you don’t gorge yourself in sugars, you have space to eat healthy fats. Olive oil, the main one, but also fats that go with meat and fish. Likewise, if you don’t gorge on sugars and added starches of processed food, you can eat more starches. I eat french fries most days; of course, not the frozen stuff: directly cut from the potato, and fried in good olive oil. Good fats are important to be healthy, help raise testosterone and quite a few other things; if you avoid the hydrogenated shit of processed food, you have space for them in your calorie budget.

6. Sugary drinks. We have those, but we don’t have the same culture that you have. We drink it sometimes, but generally the zero version. They are also crap, but at least not sugary shit; and we don’t drink them that frequently.

Let me tell you a true story. Last year my wife and I flew from New Zealand to Britain for a holiday. It’s about 24 hours flying so there is a 2 hour stop half way at Los Angeles to refuel. It doesn’t take 2 hours to refuel but unlike sane countries where you can wait in a transit area the USA makes you go through immigration even though you are going straight back into the same seat on the same aircraft.

No problem, I have an APEC card so I can bypass the queues. Nope, unfortunately I was holding a transit card so my wife and I were pulled from the very short APEC queue as the busy body official said if I was in transit I was not in the USA to do business and therefore could not use my APEC card.

So we join the first queue for biometric scanning. Half an hour later we have a ticket and go join the new queue. I’m a known traveler so apparently I can join a short queue but my wife has never been to the USA so has to join the normal queue. The normal queue which is massive. After an hour we are only about one third done. I was expecting another 2 hours wait at the current progress rate. Before my APEC card, I had needed up to 3 hours to clear entry into the USA and missed at least two connecting flights that way. So half an hour before due departure the flight crew came looking for us. We waved our transit cards and were pulled from the queue. We were taken to the APEC line to clear so we would not delay the departure on to London. The same queue I was thrown out of 90 minutes earlier. I hate LAX, it is the worst run airport on the planet.

I have traveled to Ukraine and I regularly travel to China both of which are super pleasant experiences relative to entering the USA. Coming home is still the best. I can arrive on an international flight at Auckland airport and from the time the wheels touch the runway to the time I exit the terminal can often be less than 30 minutes and the one customs officer I have to talk to will have a smile on their face and do their job in about 20 seconds.

If the USA wants tourists, they need to first start treating their visitors as human beings, not terrorists.

The first thing to emphasize is that it is not that China has never donated masks to the United States. On April 21, 2020, Chinese Ambassador to the United States Cui Tiankai mentioned in an interview with Bloomberg: “At present, China has provided 1.4 billion masks to the United States. This is equivalent to each Chinese contributing 1 mask, and each American people can get 5 masks.

main qimg d5e5c0fafc4838c10060abb8e1e76097 lq
main qimg d5e5c0fafc4838c10060abb8e1e76097 lq

China’s donation of masks to the United States does not mean that China is courting the United States or to please the United States, but because China is a responsible country, not limited to political disputes, and can stand on a humanitarian level in the face of the epidemic, put aside conflicts and help each other.

But what have American politicians done to China during the epidemic? Trump has repeatedly called the novel coronavirus the “Chinese virus” in an attempt to stigmatize China, continue to intensify racial conflicts and racial discrimination, and incite hatred. They also continue to maliciously slander China, claiming to have found “the core evidence that China leaked the virus”, which is outrageous. They also watched the fire from the other side, and did not provide medical assistance to China.

main qimg d3ca1073203b5d1227e70ad07b6d6467 lq
main qimg d3ca1073203b5d1227e70ad07b6d6467 lq

In the face of these bottomless behaviors, there is no need for China to express any goodwill to the United States, but why is China still willing to put aside conflicts and donate materials to fight the epidemic together? That is because the Chinese people pay attention to tolerance, unlike those despicable American politicians, and choose to put the greater good first in the face of the epidemic, which is a common disaster to mankind. It can be seen that China has always pursued the realization of the common interests of mankind, and has always regarded the world as a community with a shared future. This is enough to admire.

main qimg 523693450730fcc2c0bfe0f79ad8b8ae lq
main qimg 523693450730fcc2c0bfe0f79ad8b8ae lq

Why was China willing to generously donate materials to Japan, South Korea and Iran?

That’s because these countries helped China in times of crisis, put aside their conflicts and disputes and cheered for China.

“The mountains and rivers are different, the wind and the moon are in the same sky” written on the materials donated by Japan to China touched the hearts of many people; all walks of life in South Korea have donated nearly 200 million yuan for China’s fight against the epidemic; and Iran, which was sanctioned by the United States, still donated millions of masks to China.

main qimg 61f80ba4a54c46e0cb8261d7f72bdf33 lq
main qimg 61f80ba4a54c46e0cb8261d7f72bdf33 lq

China will never forget these friendships. Thousands of years ago, there was a saying in China, “Put me with peach, and repay it with Qiong Yao “. China will definitely repay the help from all over the world.

main qimg 1c471cb83772ef20d6d1dbce7a1dc550 lq
main qimg 1c471cb83772ef20d6d1dbce7a1dc550 lq

Therefore , before asking this kind of question , it is best to think about whether what the United States has done is really worthy of China’s goodwill? At the same time, think carefully about whether the United States itself is worthy of the self-proclaimed “world leader” , and has it really taken on the responsibility of a big country to help those in distress? Of course , I also call for distinguishing those despicable American politicians from the friendship between the Chinese and American people. I think this friendship will allow us to remain compassion in the face of difficulties and malicious incitement .

Russia is sending record amounts of crude oil to Asian countries.

Exports to China, India, Turkey, and unspecified locations rose to 2.39 million barrels a day, according to Bloomberg. Russia is racing to export crude ahead of the EU ban on Russian oil, which could slash Russia’s oil revenue.
Obviously, the world can live without the crusaders, whereas, the crusaders without the power to bully and loot, they will be down the drain and become nothing.

Russia is scrambling to export more crude before European Union sanctions take effect, and the nation is currently shipping record volumes of oil to Asia.

Russian crude flows to China, India, and Turkey – as well as crude shipments that haven’t been labeled with a final destination – rose to 2.39 million barrels a day in the month leading up to November 11, Bloomberg reported on Monday. Meanwhile, in the last week, Russian oil exports fell to a three-week low, with the nation exporting just 2.9 million barrels a day.

That’s a sign Russia is ramping up crude sales to its allies ahead of December 5, the date where the EU ban on Russian oil will fully kick in and the bloc is expected to give support for the plan to cap Russian oil prices.

If successful, the measures are expected to majorly crimp Russia’s export revenue, and some effects are already being seen. Russia’s revenue from crude exports just hit its lowest point since the invasion of Ukraine. In particular, crude exports to Europe fell to a five-week low of 700,000 barrels a day in the month leading up to November 11, and oil shipments to Europe were down 11% compared to the previous four-week period, according to Bloomberg data.

Russia has threatened to simply sell more oil to Asia if western nations impose a price cap, but Russian ports are already running into insurance and shipping issues with sanctions, and the upcoming deadline has exporters racing to hand off crude to customers in Asia.

At this point, any ships loaded with Russian oil in the Baltics won’t make it to China or India in time before sanctions kick in, which could leave oil shipments uninsured mid-voyage. Russian ports in the Pacific are also unlikely to make it a trip to India without getting spoiled by sanctions, as the voyage takes several weeks.

China and India have been big buyers of Russian crude since the start of the war, and at one point, accounted for 50% of Moscow’s crude sales. Blocking those sales via sanctions could put Russia’s crude revenue in freefall, doing serious damage to its economy.

Europe could also get hurt by sanctions, as the continent faces an energy crisis and skyrocketing oil prices once Russian supplies are slashed from the spot market. Goldman Sachs commodities chief Jeff Currie warned that Europe would see another spike in oil prices this winter, with Brent crude hitting a $115 a barrel. Energy Aspects’ Livia Gallarati proposed a similar price range, as supplies are set to tighten and demand isn’t showing signs of slowing down.

From HERE

FDA Now Says Telling People NOT TO TAKE Ivermectin for COVID-19 Was Just a Recommendation

.

The U.S. Food and Drug Administration (FDA) telling people to “stop” taking ivermectin for COVID-19 was informal and just a recommendation, government lawyers argued during a recent hearing.

“The cited statements were not directives. They were not mandatory. They were recommendations. They said what parties should do. They said, for example, why you should not take ivermectin to treat COVID-19. They did not say you may not do it, you must not do it. They did not say it’s prohibited or it’s unlawful. They also did not say that doctors may not prescribe ivermectin,” Isaac Belfer, one of the lawyers, told the court during the Nov. 1 hearing in federal court in Texas.

“They use informal language, that is true,” he also said, adding that, “it’s conversational but not mandatory.”

The hearing was held in a case brought by three doctors who say the FDA illegally interfered with their ability to prescribe medicine to their patients when it issued statements on ivermectin, an anti-parasitic that has shown positive results in some trials against COVID-19.

Ivermectin is approved by the FDA but not for COVID-19. Drugs are commonly used for non-approved purposes in the United States; the practice is known as off-label treatment.

The FDA created a webpage in 2021 titled “Why You Should Not Use Ivermectin to Treat or Prevent COVID-19” and later posted a link to the page on Twitter while writing: “You are not a horse. You are not a cow. Seriously, y’all. Stop it.”

A second post stated: “Hold your horses, y’all. Ivermectin may be trending, but it still isn’t authorized or approved to treat COVID-19.”

In a separate page, the FDA said:

“Q: Should I take ivermectin to prevent or treat COVID-19?

A: No.”

Those actions interfered with the doctors’ practice of medicine, violating the laws including the Federal Food, Drug, and Cosmetic Act, the lawsuit alleges.

It asked the court to rule the actions unlawful and bar the FDA from directing or opining as to whether ivermectin should be used to treat COVID-19.

Jared Kelson, an attorney representing the plaintiffs, told the court during the hearing that that informal claim “doesn’t explain the language they actually used: ‘Stop it. Stop it with the ivermectin.’”

The FDA’s actions “clearly convey that this is not an acceptable way to treat these patients,” he argued.

Plaintiffs in the case include Dr. Paul Marik, who began utilizing ivermectin in his COVID-19 treatment protocol in 2020 while he was chief of pulmonary and critical care medicine at Eastern Virginia Medical School and director of the intensive care unit at Sentara Norfolk General Hospital.

After the FDA’s statements, Marik was told to remove the protocol from the school’s servers while Sentara issued a memorandum to hospitals telling them to stop using ivermectin against COVID-19, with a citation to the FDA.

Marik was forced to resign from his positions because he couldn’t prescribe ivermectin due to the FDA’s statements, the suit alleges.

The government has moved to dismiss the complaint, asserting plaintiffs lack standing because the injuries cannot be traced back to the FDA.

More From the Hearing

During the recent hearing, which was on the motion to dismiss, the government said the FDA could not be blamed for the injuries.

“Plaintiffs have also not shown that any of their claimed injuries are fairly traceable to defendants’ statements because their injuries were caused by independent third-party conduct that was not a predictable response to those statements,” Belfer, the government lawyer, said.

Belfer noted that the FDA’s pages say people can use ivermectin if their health care provider prescribes it, argued the statements “did not bind the public or FDA, did not interpret any substantive rules, and did not set agency policy,” and said the FDA’s position could change in the future if new data become available.

“They also do not have legal consequences for anyone but simply provide nonbinding recommendations to consumers,” he said.

Kelson disagreed.

“If the government is going to label ivermectin a horse medicine or a horse dewormer and promulgate the idea that it is only for animals, then the natural correlation is that doctors who prescribe it are horse doctors or quack doctors, which has played out,” he said. “That is enough of a harm to get into court,” or have the motion to dismiss rejected, he said.

Ivermectin is used on animals in addition to humans. The FDA used a picture of a horse in its Twitter posts and on one of its pages.

“The government engaged in a singularly effective campaign here to malign a common drug that has been used for a very long time and has been dispensed in billions of doses. It’s one of the most famously safe drugs in the history of human medicine. And when people did exactly what the FDA said to ‘Stop it. Stop it with the ivermectin,’ I don’t understand how that would not be traceable back to the FDA,” Kelson said.

U.S. District Judge Jeffrey Brown, a Trump appointee overseeing the case, said that he was most concerned about the social media statements because they did not include any qualifiers.

Belfer argued the statements were aimed at consumers and that the Twitter posts linked to one of the pages, which does include the qualifier.

“So it was predictable that if you include the link to the article, people will click on the link and will see the full article, which includes that disclaimer that if your doctor writes you a prescription, you should fill it exactly as prescribed,” he said.

“The plaintiffs, by their own admission, have continued to prescribe ivermectin. So they always had the authority. It may be that patients were not able to fill prescriptions, but the doctors themselves always had the authority,” he added later.

Brown said he appreciated the briefing from the parties and that he would rule “as quickly as we can for ya’ll.” As of Nov. 19, he has not issued a ruling.

Ken Griffin warns U.S. faces ‘immediate Great Depression’ if China seizes Taiwan’s semiconductor industry

The Citadel CEO worries U.S. restrictions on selling advanced computer chips to China could make invading Taiwan more tempting to Beijing.
Note: like Chinese space station, Beidou, moon landing, the coming Chinese made chips will beat any existing crusader technologies. 

American Neocon magazine “Fortune” HERE

Caldo Gallego

Pan Gallego is a traditional Spanish crusty bread originating from Galicia. It is characterized by a soft and springy crumb with many air pockets and a hard crust, depending on the variety. In artisan bakeries, it’s made with wheat flour or trigo gallego (Galician wheat), a sourdough starter, salt, and large amount of water.

The bread needs long rising times – at least 3 hours – and baking times. There are four types of loaves – the bolo or hogaza, a misshapen round loaf with small cracks on the upper part and often with a topknot; the rosca, an irregular and flat ring of bread; the bola or torta, which is round and flat; and the barra, a baguette-type loaf.

The bread is aromatic with an intense wheaty flavor and slightly acidic notes. For the best experience, try it with a cheese called La Tetilla.

9ac494c8ac4e43b0ade8066e65131279
9ac494c8ac4e43b0ade8066e65131279

Ingredients

1 tablespoon Spanish olive oil

1 smoked ham hock, skin scored

1 yellow onion, diced

1 green bell pepper, diced

3 cloves garlic, minced

1 bay leaf

3 teaspoons salt, or to taste, divided

Freshly ground black pepper

1 teaspoon sweet Spanish paprika

1 1/2 cups dried white beans, such as navy, rinsed and soaked in cold water overnight

1 pound potatoes, peeled and large-diced

1 pound turnip tops, rinsed well and coarsely chopped (substitute spring or savoy cabbage if you cannot find turnip greens)

1/2 pound Spanish chorizo, thinly sliced crosswise

Directions

  1. In a large soup pot or Dutch oven, heat the olive oil and add the ham hock, onions, and pepper and cook, stirring occasionally, until slits of ham hock have begun to open and vegetables are soft, about 8 minutes. Add the garlic, bay leaf, 1 teaspoon of the salt, pepper, to taste, and paprika and cook for 1 minute longer. Add 9 cups of water, bring to a boil, reduce heat to a simmer and cook for 1 hour. Drain the white beans and add them to the pot. Return to a simmer and continue to cook at a simmer until beans are just beginning to get tender, about 1 hour longer. Remove the ham hock and, when cool enough to handle, remove the meat from the hock and shred into bite size pieces. (Discard skin and bones.) Return the meat to the pot along with the remaining salt (or to taste), potatoes, turnip greens, and chorizo and continue to cook until beans have broken down slightly, potatoes and greens are very tender, about 1 hour longer. Remove the bay leaf and serve hot.
  2. Note: you may have to add a bit more water if the soup gets too thick during the lengthy cooking time.

COVER-UP OF VACCINE DATA BEGINS; EUROPEAN DATA PURGED FROM VAERS SYSTEM

.

The Vaccine Adverse Event Recording System (VAERS) has been a well-spring of information about the ugly reality of COVID-19 “Vaccines.”  This past week, the data in VAERS was “purged” of European information, at the request of “European Regulators.”

The deliberate removal of European information caused the following data changes:

The “Cancer Signal,” was halved

The “Myocarditis, Dose 3 Response Signal” was lost, AND;

994 “Spontaneous Abortions/Still-Births” were dropped.

A cover-up of Vaccine-related injury data is now in full progress.

The report below, by Jessica Rose, provides the details . . .

BY: Jessica Rose

As most of you know, me and a bunch of other people are monitoring VAERS data very closely week-by-week. This week (11.18.22), the first thing I noticed was that the Foreign data set was less than a fraction of the size it was last week (11.11.22): down from 283.51 MB to 96.81 MB. There is a disclaimer under the VAERS data that states the following, so this is not mistake.

2022 11 22 06 18
2022 11 22 06 18

I decided to process the data as I always do on Fridays.

The first thing I do when I analyze the VAERS data (after downloading) is compile the Domestic data set. Then I compile the Foreign data set. The I merge them along with the 2021 COVID-19 data. Then I count the numbers of VAERS IDs in both and do some basic descriptive statistics – like how many males versus females, age demographics, dose data and more. Then I start my grouped queries like death counts and severe adverse event counts, etc..

In the case of myocarditis, I always run the code over the Domestic, the Foreign and the combined data sets independently.

The first thing I noticed is that the myocarditis dose 3 response signal is gone. There is a 49% decrease in the number of myocarditis reports.

2022 11 22 06 19
2022 11 22 06 19

The second thing I noticed was that 944 spontaneous abortions are missing.

2022 11 22 06 20
2022 11 22 06 20

The third thing I noticed was that the cancer reports, although they maintain a similar age distribution as the previous weeks, as shown in Figure 4, there is a 46% decrease in reports.

2022 11 22 06 21
2022 11 22 06 21

There’s so much more to cover, but I will update as time goes on.

See OpenVAERS for more.

—— End Jessica Rose Report.

 

This move to scrub VAERS Data comes the exact same week that the US Food and Drug Administration (FDA) claimed in court that their telling people NOT TO USE Ivermectin to treat COVID-19, was merely a Recommendation . . . with no force to it.   (STORY HERE)

Clearly, governments are trying to cover-up and erase the factual data and the actual conduct they engaged in surrounding COVID-19.

Twenty-five years ago I moved to Canada on a fiancé Visa. I have been very happy here. Canada is DIFFERENT in history, landscape, laws and constitution, politics, and their sense of place in the international scene. I spent the first 3 years listening and learning, being very sweet and respectful and above all generous and friendly. I let them see that I was actually OK and this made many good friends for me. I read as many books as I could about this wonderful nation and I paid attention to the local and national news. I was an IMMIGRANT and not so much an American anymore.

First you must determine if you will be allowed to live in Canada. The country has strict immigration laws which cannot be skirted. Not everyone is allowed to cross the border and set up a long-term living situation.

Culture: For the most part Canadians are quieter, less bossy, and far less outspoken that Americans. Americans seem more childlike in that they are louder, friendlier and more demanding. Sorry, but this is true. So tone it down. Be polite. Say please and thank you and show great respect for everyone. There are many cultures here and they love to celebrate themselves and their old-country traditions too. Join the fun!

It is silly and rude to compare the two countries. There are some things in which the U.S. surpasses all others in accomplishment. But that does not make it a “better” country. It is also rude to criticize Canada’s political system, health care system, highways, restaurants, holidays (Victoria Day?) traditional food (depending on which region you will be living in), availability of luxury items, or anything that is Canadian. Canadians can complain, but new immigrants should hold their tongues.

The health care system is not perfect, but it IS wonderful, in that NO one must ever worry about their ability to pay for medical care, from the most minor to the most major events. I had open-heart surgery and the cost to me, ambulance, medi-flight, 2 weeks in the cardiac unit to stabilize, then the surgery and the week of recovery cost us ….ZERO. The only expense my husband and I had was to fly home from the major city in another province to our sweet home in the wilderness of northern British Columbia. IF you are seriously ill you will be given the best care immediately. IF you can wait (as for a knee replacement) then you will be put on a list and when the orthopedic surgeons are finished treating emergency cases and all the folks ahead of you on “the list,” it will be YOUR turn to receive world class care. Canada is rightfully proud of its history of health care reform, and yet they really love to gripe about it.

Comparing the two countries is just wrong. It’s “apples and oranges.” Their trade agreements, climate, resource development and production are quite different from the systems in the U.S. For example: food is more expensive here. But you learn to make holistic adjustments to accommodate this. Drugs are cheaper because the government has control over the prices that can be charged.

Society: Canada isn’t so much a “melting pot” as it is a stew, with “foreign” cultures generally receiving the respect they deserve. Do to a constitutional agreement with Quebec, Catholic schools are also public schools and supported by the state. Get used to it. There is racism in Canada, and it seems to all on the aboriginal (native) people here who are referred to as First Nations People. They are the Inuit, Indians, Metis (mixed Indian and white). They don’t have the violent history that native people in the U.S. have had, but they suffer as second class citizens and low expectations. Canada recognizes this problem and doesn’t have any good answers to eradicate the racism because, as it is for every other nation, this is an endemic problem, deep and dug in.

There’s a fair amount of male chauvinism too. Women face the same barriers as they do in the U.S. And Canada has its share of right-wing extremists, white nationalists, kooks and cult leaders. And I was really surprised to discover that not just a few Canadians thought that Donald Trump was a savior, and they wanted him to come lead Canada in the “right direction.”

But since I have lived here, I’ve come to see that Canadian Conservatives are more liberal than our liberals are. They are proud of their county. They want to be GOOD people, socially responsible and kind. They seem more religiously tolerant as well, in that I have yet to meet a Canadian who thought they could tell ME how to worship.

Canadians are VERY proud of their land. It is majestic, wide, wild, beautiful and magnificent.

If you learn to sing their anthem, they will really like you for it.

Apple Souls

I have released one of my Patreon videos for public consumption. If you haven’t watched this one yet, I would recommend that you do.

Plunder and death delivered by USA proxy Ukraine Nazis

We start today’s review of the current American-led global cluster fuck with this bit of sad news.

This is very sad.

UKRAINE TROOPS MASSACRE SURRENDERING, DIS-ARMED, RUSSIANS LAYING FACE-DOWN ON GROUND

.

Ukraine War Crime large
Ukraine War Crime large

Ukrainian Nazi troops have committed a war crime of slaughtering surrendering Russian Troops.  The Russians were dis-armed, laying face-down on the ground, when Ukrainian Nazis opened fire upon them, killing them all.  Video of the slaughter appears below . . .

According to sources on the scene, soldiers from the Ukrainian 80th Separate Airborne Assault Brigade, committed the murders.  According to eye witnesses, the names and surnames of the killers are known and the information has been passed to the Russian Army.

The Russian Ministry of Foreign Affairs has issued a statement: “The Russian Federation demands, from International Organizations, to condemn the crime of Ukraine, with the Execution of Russian prisoners of war, and conduct an investigation.”

Here now is actual video of the Russian soldiers SURRENDERING.  CAUTION: GRAPHIC VIDEO SHOWING ACTUAL WAR CRIME DEATHS!   Viewers will see the Russians are dis-armed, and laying face-down on the ground, when the Ukrainians open fire and kill them all:

Bear in mind that the Ukraine Army is the one being backed by the United States and by the European Union.   That Ukrainian Army is carrying out cold-blooded murder, in the name of, and with the direct help of, the people backing them.

Is THIS what YOU thought your government was backing?   It is!

Well…

Speaking of what the United States is doing right now. Let’s look at Coronavirus; the US bio-weapon that no one dare talk about…

BBC Television: “Segment of HIV” Used to Create COVID-19 Vaccines !

.

2022 11 19 06 38
2022 11 19 06 38

The manufacturer’s of COVID-19 “Vaccines” now admit on TV that a “tiny segment of HIV” was used in the making of COVID-19 “vaccines.”  As most people know, HIV is the virus that causes AIDS.   Below is video proof with the direct admission, from BBC television.

As more and more people around the world got snookered into taking the COVID “Vaccine” we all got to see how more and more people started dying from strange ailments.  Young people started dying from Myocarditis and Pericarditis.  Middle aged people starting dropping dead from blood clots in the brain, in the lungs, or in their hearts.  Other people started dropping dead from “unknown causes” to such a large extent, they made-up a phony name to describe it: Sudden Adult Death Syndrome (SADS).

Now, we finally have an idea as to why:  Manufacturers of the COVID-19 “vaccine” used segments of the HIV virus in the COVID “vaccine.”   For a lot of the people who got the COVID vax, it now appears to many that they actually gave you a tiny version of . . . AIDS.

This is what has been getting said since the very beginning. Indian scientists tried to publish a paper that stated they found HIV-like gain of function in Cov19 and were quickly silenced.  Turns out, the whole time, that has been the truth.

They knew.  They silenced anyone who found out.  They kept it as secret as they could until they jabbed as many as they could. The depravity of these people knows no limit!

  • All the politicians and “public health” officials, pushed this on you.  They pushed it on TV, on radio, in newspapers.
  • How about all the news media, both local and national?  They pushed this on you too.
  • How about the big-name Hollywood stars all telling their fans to “Get the Vax?”  They too, pushed this on you.
  • How about the Corporate Executives and Boards of Directors, who coerced you into taking this crap, by threatening to fire you from you job if you refused?  They forced this crap on you.
  • And now, a whole slew of people have died, and more are dying every day.

They killed you.

I wonder how many people are going to decide to return the favor?

Speaking of the United States “cluster fuck”, how about all the woke leftists with eyes full of glee over a new world order ruled by transsexual unicorns prancing in beautiful gleaming cities of gold…

Cheesy Mostaccioli

This recipe is from an old Pampered Chef cookbook. Simple and something my kids will eat. Freezes well.

2022 11 19 09 51
2022 11 19 09 51

This is AWESOME!!!! It's also SO easy to make! I used extra lean ground sirloin to cut down a bit of the fat in the meat and I added 2 small cans of sliced mushrooms. Other than that, I made the recipe exactly as directed and it turned out FANTASTIC!! I tripled up the recipe and served it for a party and ended up giving the recipe to 5 or 6 people! Hubby and kids also love it!! It's a definite keeper

Ingredients

Directions

  • Prepare the pasta according to the package directions; rinse and drain.
  • In a non-stick skillet, cook and stir the beef over medium-high heat until meat is browned; drain.
  • Add in spaghetti sauce, soup, pepper, and Italian seasoning; stir to combine.
  • In a large bowl, mix together the pasta, sauce, and 2 cups cheese.
  • Transfer mixture to a greased 4-quart baking dish.
  • Sprinkle remaining 1 cup cheese over the top.
  • Bake at 350° for 40 minutes or until heated through.
  • Note: OAMC instructions–To freeze: Complete recipe through step 6. Seal with foil or place in a zip top bag. Freeze.
  • To serve: Thaw in fridge overnight. Bake as directed.

Layoffs? You Want To Talk About Layoffs? Here Are 10 Major Announcements Which Have Happened Within The Past 10 Days

.

Have you noticed that the pace of layoff announcements has gotten rather fast and furious lately?  Many of the biggest companies in the entire country are now conducting mass firings, and this is reminding many analysts of what we witnessed back in 2008.  During the “Great Recession”, millions of Americans ultimately lost their jobs.  Will we see a similar thing happen this time around?  Let’s hope not, but right now the signs are not encouraging.  The following are 10 major layoff announcements which have all happened within the past 10 days…

#1 Gannett (the owner of USA TODAY)

Gannett, the owner of USA TODAY and local news operations in 45 states, announced Thursday another round of job cuts in the company’s news division after a third-quarter loss and an earlier series of cost-cutting measures.

The company, which plans to cut 6% of its estimated 3,440 staff in the news division, will notify affected employees on Dec. 1 and 2.

#2 Roku

Roku is the latest technology and media player to slash jobs, revealing in a securities filing Thursday that it plans to reduce its workforce by about 5 percent, or about 200 jobs.

#3 CNN

CNN CEO Chris Licht had to speak to employees during a tense company town hall on Tuesday as the network faces layoffs by early December after he was tasked with finding ways to cut costs.

Licht, 51, addressed questions surrounding the cuts after he previously indicated during the summer that CNN would not face any need to fire staff.

#4 Cisco

Cisco Systems Inc.’s stock rose in extended trading Wednesday after the networking-technology company delivered better-than-expected numbers on the top and bottom line, and offered encouraging guidance.

Still, Cisco Chief Financial Officer Scott Herren announced a “limited business restructuring,” to be shared with employees on Thursday, that will right-size its real-estate portfolio and impact about 5% of its 80,000 workers worldwide — or 4,000 people.

#5 GE Appliances

Louisville-based employer GE Appliances is planning to lay off 5% of its salaried workforce in coming weeks, the company confirmed to The Courier Journal.

The cost of keeping the company running has risen for several reasons, according to GE Appliances spokesperson Julie Wood, which has caused the business to look into money-saving measures.

#6 Asana

The layoffs in the tech sector just keep piling up.

On Tuesday, project management software provider Asana announced “the difficult decision” to cut its workforce by 9% as part of a broader corporate restructuring program.

#7 Outside Media

Lifestyle media company Outside Media, which houses titles including Backpacker, Ski and Climbing, laid off 12% of its workforce Tuesday, according to a memo sent by founder and CEO Robin Thurston.

#8 Lyft

The layoffs that had been announced last week were confirmed Thursday at local tech company Lyft, raising questions about what the loss of jobs will mean for the wider Bay Area economy.

Ride-hailing app company Lyft confirmed the layoffs to KPIX. Lyft is eliminating 227 jobs.

#9 Twitter

After laying off 50 percent of the company’s employees, Elon Musk has turned his attention to Twitter’s contract workers. According to separate reports from Platformer’s Casey Newton and Axios, the social media platform began reducing its contingent staff on Saturday afternoon. After a period of uncertainty about the scale of the job cuts, Newton put the number at approximately 4,400 affected individuals.

#10 Amazon

Amazon workers were left in chaos after they learned they were the first of the expected 10,000 to be laid off in a 15-minute meeting with executives.

News of the coming layoffs broke on Monday, with employees getting a calendar invitation to the quick, scripted meeting with executives on Tuesday, specifically members of the Alexa voice assistant division.

In that list I didn’t even mention the layoffs at Facebook which could end up being the biggest of them all.

Of course this is just the tip of the iceberg.  If the Federal Reserve continues to raise interest rates, what we will see in 2023 and beyond is likely to be far worse.

The U.S. economy is already slowing down dramatically, but the Fed seems determined to hike interest rates even higher.

They are committing economic malpractice right in front of our eyes, and what they are doing is going to severely hurt countless numbers of our fellow citizens.

Berlin Goes to Beijing: The Real Deal

From HERE

The Scholz caravan went to Beijing to lay down the preparatory steps for working out a peace deal with Russia, with China as privileged messenger.

With his inimitable flair for economic analysis steeped in historical depth, Professor Michael Hudson’s latest essay, originally written for a German audience, presents a stunning parallel between the Crusades and the current “rules-based international order” imposed by the Hegemon.

Professor Hudson details how the Papacy in Rome managed to lock up unipolar control over secular realms (rings a bell?) when the game was all about Papal precedence over kings, above all the German Holy Roman Emperors. As we know, half in jest, the Empire was not exactly Holy, nor German (perhaps a little Roman), and not even an Empire.

A clause in the Papal Dictates provided the Pope with the authority to excommunicate whomever was “not at peace with the Roman Church.” Hudson sharply notes how US sanctions are the modern equivalent of excommunication.

Arguably there are Top Two dates in the whole process.

The first one would be the Third Ecumenical Council of 435: this is when only Rome (italics mine) was attributed universal authority (italics mine). Alexandria and Antioch, for instance, were limited to regional authority within the Roman Empire.

The other top date is 1054 – when Rome and Constantinople split for good. That is, the Roman Catholic Church split from Orthodoxy, which leads us to Russia, and Moscow as The Third Rome – and the centuries-old animosity of “the West” against Russia.

A State of Martial Law

Professor Hudson then delves on the trip by “Liver Sausage” Chancellor Scholz’s delegation to China this week to “demand that it dismantle its public sector and stops subsidizing its economy, or else Germany and Europe will impose sanctions on trade with China.”

Well, in fact this happens to be just childish wishful thinking, expressed by the German Council on Foreign Relations in a piece published on the Financial Times (the Japanese-owned platform in the City of London). The Council, as correctly described by Hudson, is “the neoliberal ‘libertarian’ arm of NATO demanding German de-industrialization and dependency” on the US.

So the FT, predictably, is printing NATO wet dreams.

Context is essential. German Federal President Frank-Walter Steinmeier, in a keynote speech at Bellevue Castle, has all but admitted that Berlin is broke: “An era of headwinds is beginning for Germany – difficult, difficult years are coming for us. Germany is in the deepest crisis since reunification.”

Yet schizophrenia, once again, reigns supreme, as Steinmeier, after a ridiculous stunt in Kiev – complete with posing as a unwitting actor huddled in a bunker – announced an extra handout: two more MARS multiple rocket launchers and four Panzerhaubitze 2000 howitzers to be delivered to the Ukrainians.

So even if the “world” economy – actually the EU – is so fragilized that member-states cannot help Kiev anymore without harming their own populations, and the EU is on the verge of a catastrophic energy crisis, fighting for “our values” in Country 404 trumps it all.

The Big Picture context is also key. Andrea Zhok, Professor of Ethical Philosophy at the University of Milan, has taken Giorgio Agamben’s “State of Exception” concept to new heights.

Zhok proposes that the zombified collective West is now completely subjugated to a “State of Martial Law” – where a Forever War ethos is the ultimate priority for rarified global elites.

Every other variable – from trans-humanism to depopulation and even cancel culture – is subordinated to the State of Martial Law, and is basically inessential. The only thing that matters is exercising absolute, raw control.

Berlin – Moscow – Beijing

Solid German business sources completely contradict the “message” delivered by the German Council on Foreign Relations on the trip to China.

According to these sources, the Scholz caravan went to Beijing to essentially lay down the preparatory steps for working out a peace deal with Russia, with China as privileged messenger.

This is – literally – as explosive, geopolitically and geoeconomically, as it gets. As I pointed out in one of my previous columns, Berlin and Moscow were keeping a secret communication back channel – via business interlocutors – right to the minute the usual suspects, in desperation, decided to blow up the Nord Streams.

Cue to the now notorious SMS from Liz Truss’s iPhone to Little Tony Blinken, one minute after the explosions: “It’s done.”

There’s more: the Scholz caravan may be trying to start a long and convoluted process of eventually replacing the US with China as a key ally. One should never forget that the top BRI trade/connectivity terminal in the EU is Germany (the Ruhr valley).

According to one of the sources, “if this effort is successful, then Germany, China and Russia can ally themselves together and drive the US out of Europe.”

Another source provided the cherry on the cake:

“Olaf Scholz is being accompanied on this trip by German industrialists who actually control Germany and are not going to sit back watching themselves being destroyed.”

Moscow knows very well what the imperial aim is when it comes to the EU reduced to the role of totally dominated – and deindustrialized – vassal, exercising zero sovereignty. The back channels after all are not lying in tatters on the bottom of the Baltic Sea. Additionally, China has not provided any hint that its massive trade with Germany and the EU is about to vanish.

Scholz himself, one day before his caravan hit Beijing, stressed to Chinese media that Germany has no intention of decoupling from China, and there’s nothing to justify “the calls by some to isolate China.”

In parallel, Xi Jinping and the new Politburo are very much aware of the Kremlin position, reiterated again and again: we always remain open for negotiations, as long as Washington finally decides to talk about the end of unlimited NATO expansion drenched in Russophobia.

So to negotiate means the Empire signing on the dotted line of the document it has received from Moscow on December 1st, 2021, focused on “indivisibility of security”. Otherwise there’s nothing to negotiate.

And when we have Pentagon lobbyist Lloyd “Raytheon” Austin advising the Ukrainians on the record to advance on Kherson, it’s even more crystal clear there’s nothing to negotiate.

So could this all be the foundation stone of the Berlin-Moscow-Beijing trans-Eurasia geopolitical/geoeconomic corridor? That will mean Bye Bye Empire. Once again: it ain’t over till the fat lady goes Gotterdammerung.

The End Of The Retail Industry As We Know It Today

Stores With Boarded Up Windows Unsplash 768x512 1
American Stores With Boarded Up Windows

Will this be the last “somewhat normal” holiday season for U.S. retailers?  Normally, the holiday season is the most profitable time of the year for our retailers, but it has become clear that holiday spending is going to be way down in 2022.

Meanwhile, there are a couple of long-term trends that won’t be going away any time soon that are squeezing the life out of the industry from both sides.  If those long-term trends cannot be reversed, we will soon see a lot more decaying buildings with boarded up windows where thriving retail establishments once existed.  The health of the retail industry is critical to the health of the overall U.S. economy, and right now the outlook for the future of the industry is not good.

Earlier today, I came across a brand new survey that discovered that more than a third of all Americans “plan to spend less on holiday shopping in 2022”

As inflation forces consumers to spend more on gas and groceries, about 1 in 3 Americans (34%) plan to spend less on holiday shopping in 2022 than they did last year, according to a new Clever Real Estate poll of 1,000 Americans.

Retailers have taken notice, hosting holiday sales earlier than normal and ratcheting up discounts to move merchandise. Stores want to draw attention to deals now before Americans decide to cut back further on nonessential spending — especially when 58% of the country already reports feeling worried about finances.

This is yet another sign that we are heading into a very serious economic slowdown, and it is extremely troubling news for our major retailers.

In fact, Target is publicly admitting that it has been forced to “lower its expectations” as we head into the holiday season…

Target’s profit fell by around 50% in its fiscal third quarter as it cleared through unwanted inventory and sales slowed heading into the holidays, prompting the company to lower its expectations for retailers’ most important time of year.

If all the industry was facing was a tough year or two, most retailers could survive that.

Unfortunately, there are a couple of long-term trends that are currently plaguing the industry that aren’t going to go away for the foreseeable future.

First of all, we are witnessing a tsunami of retail theft that just keeps getting worse year after year.

If you can believe it, Target just admitted that theft from their stores will “reduce our gross margin by more than $600 million for the full year”

The discount retailer told reporters on a call to discuss its third quarter earnings results that inventory shrinkage — or the disappearance of merchandise — has reduced its gross profit margin by $400 million so far in 2022 compared to 2021.

“At Target, year-to-date, incremental shortage has already reduced our gross margin by more than $400 million vs. last year,” Target CFO Michael Fiddelke said on the earnings call, “and we expect it will reduce our gross margin by more than $600 million for the full year.”

We aren’t just talking about somebody stealing a few candy bars.

600 million dollars is an enormous amount of money.

And a spokesperson for Target is attributing the bulk of the losses to “organized retail crime”

A Target spokesperson told Yahoo Finance via email after the call the shrinkage was mostly specifically attributed to “organized retail crime.”

At this point, organized retail crime has become a multi-billion dollar industry in the United States.

Highly sophisticated gangs of thieves are robbing our retailers blind all over the country, and things are particularly bad in states such as California where penalties for shoplifting are extremely soft…

This isn’t just a problem at big-box retailers, either. The California Retailers Association has decried the rampant theft, which is hurting Golden State businesses small and large. Theft has gotten so bad in some parts of San Francisco that it is beyond belief.

“I’m new to San Francisco,” Times journalist Thomas Fuller told a grocery store clerk shortly after moving to the city. “Is it optional to pay for things here?” 

It sounds like an absurd thing to ask, but Fuller explains that he was genuinely forced to wonder what was going on after he witnessed people walk into Walgreens and Safeway, grab stuff, and walk out.

Shoplifting is a crime against all of us, because more of our favorite stores are being forced to shut down with each passing day.

Unfortunately, there is not an easy solution to this crisis.  The moral foundation of our society is steadily rotting away all around us, and that means that even more young people are likely to pick organized retail crime as a “career choice” in the years ahead.

Meanwhile, the stunning decline of the middle class is also a trend that is really hurting our retailers.

As I detailed in a previous article, half of all workers in the United States made less than $3,133 a month last year.

Needless to say, you can’t live a middle class lifestyle in America today on just $3,133 a month.

More people are falling out of the middle class each month, and one recent survey found that close to two-thirds of all Americans are now living paycheck to paycheck

According to a LendingClub survey, the number of Americans living paycheck to paycheck is nearing record levels.

As of September, 63% of Americans were living paycheck to paycheck. That’s just one percentage point below the record high of 64% last spring. To put it into perspective, the number of people feeling this kind of strain a year ago was around 57%.

Once upon a time, most Americans had at least some discretionary income to spend, and life was good for our major retailers.

But now all of that has changed.

On top of everything else, a major economic slowdown is staring us in the face, and prominent companies all over the nation are now laying off workers.

Sadly, it appears that list will soon include Disney

The company that once defined family entertainment is going from media giant to epic failure, suffering over $1.4 billion in streaming losses and a stock drop of around 39% for the year. And, it would appear that these financial declines are inevitably leading to employee layoffs.

Disney has put a freeze on hiring, it is limited employee travel and is also reviewing workers for efficiency with plans to introduce cuts as a means to make the company “more nimble.”

We live in such troubled times, and things are only going to get more difficult in 2023 and beyond.

So I would encourage you to enjoy your favorite retail stores while you still can.

Because as conditions continue to get even harsher for our retailers, many of them will soon be forced to close their doors for the last time.

Did you just wake up, Mr. Rip Van Winkle?

The US has been doing tons to try and “contain” China…

  • spread propaganda rhetoric and disinformation in an attempt to turn public opinion against China
  • interfere in Chinese commerce by banning Huawei and SMIC
  • interfere in Chinese politics using the CIA in Hong Kong and Xinjiang
  • abduct Meng Wanzhou on trumped-up charges, repeating the same thing that happened to Alstom executive, Frederic Pierucci, in 2013
  • apply trade sanctions and tariffs
  • intimidate China with several supercarrier battle groups operating off China’s coast

Unfortunately for the US, none of these tactics are working. So what is America’s next step? All-out war?

TWITTER Locks-Out all Employees; Suspends Access Cards until at least Monday

The radical leftists that infest Twitter as “employees” were locked-out of all company buildings around 7:30 PM last night, and will remain locked-out until Monday.  Concerns about employee sabotage over job cuts apparently was the motivating factor in taking this lock-out step.

Ah, it seems that it’s time for “clean up” of the sludge that inhabits the leadership and functional positions in the West…

Speaking about clean-up.

So a war-crime is committed by Ukrainian Nazi’s against Russia. American statements are all “Russia is evil. Every justification is acceptable.” so what does Russia do?

I’ll vote for China.

I have lived in China for a few years, and never drove, but took taxis and cars that had drivers, not only because it is not so easy for a foreigner to get permission to drive in China, but also because the dynamics of driving in China are very hard to get your head around if you aren’t Chinese.

The main thing to understand is the general, Chinese approach to negotiation. If you have been to China, or met Chinese people, you will have observed that it is different from the way of us westerners.

Let’s say the situation is one where two strangers walk towards each other on a sidewalk:

  • in a western country, one of the two pedestrians would be the first to slightly change course, so as to permit both to pass by each other with ease;
  • in China, it works like this: one pedestrian slightly changes course, which will cause the other pedestrian to also change to the same side; since this aggravates the situation, the second pedestrian will then quickly make a maneuver that accommodates the first pedestrian’s space requirements.

So you get a lot of situations, also in traffic, that feel like the other driver is actually going for you, when in fact, they are doing it to acknowledge you, followed by an overly pronounced swerve to give you space.

As weird as that sounds, it has to do with politeness, and being courteous enough to give people options.

For me, as a westerner, it just looks downright confrontational at first and suicidal directly thereafter, but there you go. Reflexes can’t easily be re-trained.

And then, you get a whole lot of other things that just don’t work, mentally, for guys like me:

  • double lane hogging; if you aren’t certain where to go, try to occupy as many lanes at the same time as you can so as to have as many options as you may need; you will see cars driving on the markings, solidly;
  • high intensity crawling; every now and then, in the middle of a twelve lane, Shanghai freeway, there is that Porsche Cayenne, lugging along at 3 km/h, with a driver clenching the wheel in a posture of absolute fixation on something nobody else can see.
  • stopping for no reason; many Chinese drivers drive as if they were walking through a supermarket. “Oh, look, almond milk! Shall we stop and get some?” And they will just stop, anywhere, without recognisable reason. I would probably rear-end a car a day.

Even sitting in a taxi as a passenger who participates visually is an exercise in keeping your stomach from churning, because there simply never is any steady flow of traffic. The dynamics of driving in China seem erratic and slow beyond comprehension.

The only place in China I ever enjoyed driving in was this one:

main qimg 168dd26d272b28671f84383721950b76 lq
main qimg 168dd26d272b28671f84383721950b76 lq

U.S. Consumers Are Doing EXACTLY What They Did Just Prior To The Crash Of 2008

.

We never seem to learn from our mistakes.  Just before the financial markets crashed and the economy plunged into a horrifying recession in 2008, U.S. consumers went on a debt binge of epic proportions.

Mortgage debt, auto loan debt and credit card debt all skyrocketed, and so when the economy finally crashed all of a sudden there were millions of Americans drowning in bills that they were unable to pay.

Well, now it is happening again.

According to the Federal Reserve Bank of New York, during the third quarter of 2022 household debt increased at the fastest pace that we have seen since the first quarter of 2008

Households added $351 billion in overall debt last quarter, taking the total to $16.5 trillion, according to data released by the Federal Reserve Bank of New York on Tuesday. That’s an increase of 8.3% from a year earlier, the most since a 9.1% jump in the first quarter of 2008. The debt figures aren’t adjusted for inflation.

This is a recipe for disaster.

As I have been warning my readers for years, you want to have as little debt as possible when economic conditions get really bad.

Unfortunately, even though everyone can see that economic activity is slowing down all around us, consumers are piling on debt at a stunning pace.

In particular, mortgage debt and credit card debt both really soared during the third quarter

Most of the latest increase came in mortgage debt, by far the biggest liability on household balance sheets. It rose by $282 billion in the third quarter, and by $1 trillion from a year earlier, to $11.7 trillion. Mortgage and home-equity debt combined are up by $2 trillion since the pandemic began.

Credit-card debt also increased by the most in 20 years, with balances rising by 15% from a year earlier. The surge comes as the average interest-rates on card borrowing has climbed above 19%, the highest in data going back to the mid-1980s, according to Bankrate.

I really feel bad for those that purchased homes at or near the peak of the market.

So many Americans have overextended themselves to get the homes of their dreams, and as prices plummet in the months ahead millions of them will soon be underwater on their mortgages just like we saw in 2008 and 2009.

Even more troubling is the fact that Americans are racking up such huge credit card balances.

The New York Fed is telling us that there are now 555 million open credit card accounts in this country.

But only 329 million people live here.

That is madness.

Meanwhile, large companies all over the nation are starting to lay off workers.

In fact, we just learned that Amazon will be laying off approximately 10,000 employees

Amazon reportedly plans to lay off 10,000 corporate and technology employees as soon as this week.

The cuts would affect the company’s devices organization, retail division and human resources, people familiar with the matter told the New York Times.

This will be the largest round of layoffs in Amazon’s history, and Jeff Bezos is now giving out advice on how to best deal with the coming economic downturn…

The business leader offered his starkest advice yet on a faltering economy in an exclusive sit-down interview with CNN’s Chloe Melas on Saturday at Bezos’ Washington, DC, home.

Bezos urged people to put off expenditures for big-ticket items such as new cars, televisions and appliances, noting that delaying big purchases is the surest way to keep some “dry powder” in the event of a prolonged economic downturn. Meanwhile, small businesses may want to avoid making large capital expenditures or acquisitions during this uncertain time, Bezos added.

He also told CNN that we should “hope for the best, but prepare for the worst”.

Wow.

How many times have I said the same thing to my readers?

When Jeff Bezos starts sounding just like The Economic Collapse Blog, that is definitely a sign that it is late in the game.

Other big tech companies have been conducting mass layoffs as well, and that list includes Facebook and Twitter

Last week Meta, which owns Facebook, Instagram and WhatsApp, revealed that it will cut 13 per cent of its workforce, while Elon Musk axed half of Twitter’s employees following his successful takeover of the social media site.

The announcements are the latest in a slew of job cuts across Silicon Valley, as experts warn the tech industry is facing a ‘triple whammy’ of a slowing economy, inflation and an end to pandemic-driven growth.

If the Federal Reserve does not start reducing interest rates, we are going to see a tsunami of layoffs in 2023.

And if the Federal Reserve continues to raise interest rates, it is likely that we could eventually see millions of Americans lose their jobs.

Aggressively hiking rates at the beginning of a major economic slowdown is suicidal.

But the Federal Reserve is doing it anyway.

On the consumer level, piling up debt just as economic conditions are starting to really deteriorate is a really foolish thing for Americans to be doing.

Unfortunately, we just witnessed the greatest consumer debt binge since 2008 during the third quarter.

As I stated at the beginning of this article, we never seem to learn from our mistakes.

The times that we are moving into are going to be incredibly challenging, but reducing the amount of debt that you are carrying will make things a bit easier.

Sadly, most people out there aren’t going to take that advice.

Instead, most people are going to continue partying even as the system falls apart all around them.

In 2008 and 2009, countless Americans that had been living comfortable middle class lifestyles ended up losing almost everything.

You don’t want to be one of those victims this time around.

We are going to see so much financial pain in 2023, but much of it could have been avoided if people would have made much different decisions ahead of time.

There was a real hero in Hong Kong’s not-so-distant past.

Meet Joanna Tse Yuen-Man.

main qimg ed34b774dc29dc141910b7778a4391bc pjlq
main qimg ed34b774dc29dc141910b7778a4391bc pjlq

Born on 31st March 1968, Tse grew up in a 200 square foot apartment in Kwai Chung, part of a working-class family of “everyday Hong Kongers” — an everyday person who stood out because of her determination to become a doctor to help people, and because of the tragedy that marked her life, losing her husband to leukemia in 2002, just two years after they married.

But then, in March 2003, the SARS epidemic hit Hong Kong. It was devastating. Of the estimated 8,273 cases worldwide, 1,755 (21%) were identified in the city. Hong Kong also saw one of the highest mortality rates, accounting for 299 (40%) of 775 total deaths. Not only was it deadly, no one at the time understood the disease fully. The medical community had no idea what they were dealing with.

As patients stricken with SARS began flooding the city’s hospitals, doctors divided their teams into ‘clean’ and ‘dirty’ teams, where dirty teams were responsible for treating SARS patients in the wards. Tse volunteered to join the dirty team. With her specialist training in respiratory medicine, she felt she should be treating these cases.

After resuscitating a SARS patient who later died from the disease, Tse began to experience symptoms of her own, including high fever. At first, she was optimistic, even assuring her family that she would celebrate her birthday with them after she recovered. But looking at her own lung scans, it was clear she was getting worse.

Joanna Tse died from SARS on 13th May 2003, the first of several medical professionals in Hong Kong to die from the disease.

main qimg 4465ecf82284935d2ff6f98d33e12f14 lq
main qimg 4465ecf82284935d2ff6f98d33e12f14 lq

Funeral of Dr Joanna Tse Yuen-man in 2003, from South China Morning Post

Joanna Tse is an example of an ordinary, unprivileged person who sought to save others despite the potential cost to herself. As So Wing Yee, a doctor at Prince of Wales Hospital and a friend and classmate of Tse, said:

“She was quietly determined in what she wanted to do. Some people loudly declared they wanted to be heroes. She wasn’t like that.”

What made Dr Tse and other rescuers like her heroic was that they risked their lives and were willing to suffer for it. Joanna Tse knew the dangers of contact with SARS patients, yet she volunteered to work with them anyways without seeking glory or recognition.

Every day and in many walks of life, people go beyond the call of duty. But to go beyond the call of duty in an act that clearly endangers one’s life is an act of heroism.

Joanna Tse and others like her are real Hong Kong heroes.

main qimg 784c5d001698d95b8803a344188055f3 lq
main qimg 784c5d001698d95b8803a344188055f3 lq

A statue of Joanna Tse in the Tai Chi Gardens at Hong Kong Park

Chicken Ring

This recipe is from an old Pampered Chef cookbook. Simple and something my kids will eat. Freezes well.

chicken ring from pampered chef
chicken ring from pampered chef

Ingredients

Directions

  • Preheat oven to 375 degrees.
  • Cook chicken in pressure cooker. Once it starts to giggle slowly turn heat on low and allow to giggle for 20 minutes.
  • While chicken is cooking, chop broccoli, onion, and parsley and combine in bowl.
  • Cook bacon and allow to cool, then crumble and add to veggie mixture.
  • Add cheese, mayo, mustard, and lemon juice to bowl and mix well.
  • Once chicken is done, shred and stir into your veggie mix. Set aside.
  • On a cookie sheet or large stone pan, unroll crescent rolls and mash together seams (join the 2 packets at the ends).
  • Spoon mixture down the center third of the pastry, cut horizontal strips on both sides and braid them over the mixture.
  • Separate egg, only keeping the white and brush over the top of braid.
  • Bake for 30 to 35 minutes or until golden brown.

2022 11 19 09 57
2022 11 19 09 57

Ukraine – Switching The Lights Off

2022 11 19 06 48
2022 11 19 06 48

The careful destruction of energy systems in Ukraine continues.

From today’s clobber list as provided by the Defense Ministry of Russia:

On 17 November, the Armed Forces of the Russian Federation launched a concentrated strike, using high-precision long-range air-, sea- and ground-based weapons, at the facilities of military control, defence industry, as well as related fuel and energy infrastructure of Ukraine.

The goals of the strike have been reached.

All the missiles have accurately stricken the assigned facilities.

I have no idea if the last line is true but it does not matter much.

The targeting of 330 kilovolt transformers in various switching stations has cut some 50% of the distribution capability of Ukraine’s electricity network. These transformers weigh up to 200 tons. There are no replacements. You do not buy them at the next corner but will have to order them with years of lead time. As far as I can tell Russia is currently the only producer of transformers of that type.

Isn’t it a war crime to destroy the infrastructure that supplies civilians?

It depends. If the infrastructure is used exclusively for civilian purpose the destruction is illegal. But the electricity and transport infrastructure in Ukraine is used for civilian AND military purposes. In a recent Politico piece Ukrainian officials are even confirming that:

Ukraine tells allies it may not be able to recover from more Russian attacks on energy systems:

An unreliable energy sector could have deadly consequences, Ukrainian officials say. In recent conversations, they’ve added that it could halt food production and transport operations — critical services needed to support military operations.

The clobber list also includes this curious item:

The strike has resulted in the neutralisation of the production capacities for nuclear weaponry.

I wonder where and what that has been:

One depot of artillery armament, delivered by western countries and prepared for being sent to troops, has been destroyed.

The redeployment of the reserve forces of the Armed Forces of Ukraine (AFU), and the delivery of foreign armament to operations areas have been frustrated.

The last sentence describes the real purpose of the attacks on the energy systems.

The lack of energy is degrading the railway network that brings weapons from the west to the eastern front. It makes redeployment of units from one front section to another very difficult and time consuming. It will give the Russian forces the advantage when they change the Schwerpunkt of their attacks from one corner of the frontline to another.

Another effect of the strikes on the electricity systems and the blackouts in the big cities that follow them is a renewed stream of refugees that will want reach western Europe. It will over time change the public opinion and the political priorities of those countries. If they fail to end the war they will have to carry the burden.

Posted by b at 14:37 UTC

.

Instant Pot Bolognese Sauce

Just add pasta for a quick comforting dish.

2022 11 19 10 00
2022 11 19 10 00

Ingredients

Directions

  • Preheat Instant Pot to saute setting. Add olive oil and butter and swirl to coat. Add pancetta, pork, and beef. Season generously with salt and pepper. Cook, stirring occasionally, until liquid evaporates from meat and begins to brown, about 10 minutes. Add onion, carrots, celery, garlic, tomato paste, fennel seeds, red pepper flakes, and thyme sprigs. Season with salt and pepper and cook until softened, about 5 minutes.
  • Add red wine and cook until reduced by three-fourths, about 6 minutes. Add chopped tomatoes, stir to combine, and season to taste with salt and pepper before topping with lid. Set pressure to high and cook 35 minutes before releasing pressure naturally for 10 minutes. Release pressure automatically. Open lid and return Instant Pot to saute setting. Add heavy cream and stir to combine. Cook until slightly reduced, stirring frequently, about 15 minutes more. Season to taste with salt and pepper and use as desired.

2022 11 19 10 03
2022 11 19 10 03

We Are Being Warned That Meat Prices Could Go Up Another 40 Or 50 Percent

.

If you have been to the grocery store lately, you have probably noticed that meat prices have gone up dramatically.  Unfortunately, we are being warned that things could get much worse in 2023.  All over the northern hemisphere, cattle ranchers are dramatically reducing the size of their herds due to relentless drought conditions.  Meanwhile, the bird flu has already resulted in the deaths of nearly 100 million chickens and turkeys in the United States and Europe.  On top of everything else, progressive politicians throughout the western world continue to be absolutely determined to get us all to start eating less meat.

So prices are likely to continue to go up for the foreseeable future, and supplies are starting to get really tight.

In fact, a meat industry insider in Germany is actually claiming that several months from now “we will have nothing on the shelves”

The German meat industry has warned of impending supply bottlenecks, especially concerning pork, and a board member is putting at least some of the blame on Germany’s current left-wing government, which is well-known for its attacks on meat and efforts to transition to a plant-based food supply.

“In four, five, six months, we will have nothing on the shelves,” predicts Hubert Kelliger, head of group sales at the large butcher Westfleisch and also a member of the board of the Meat Industry Association (VDF), according to Die Welt.

And Kelliger is also warning that whatever is on the shelves in 2023 is likely to cost “20, 30, or 40 percent” more than it does today…

“Whether that will be 20, 30, or 40 percent cannot be quantified today — but it will increase significantly again,” said Kelliger. Such an increase would already be on the back of already substantial increases. Germany has experienced an overall 40 percent increase in food prices this year, including a 73 percent increase in potatoes. Further price jumps ahead could be disastrous for German consumers.

Needless to say, we have been seeing similar price increases here in the United States.

The fact that so many U.S. ranchers have been slaughtering cattle due to the drought has helped to stabilize prices somewhat in the short-term, but as the size of the national cattle herd continues to decline the long-term outlook is not good at all.

In fact, some beef producers in Oklahoma are actually claiming that the price of ground beef could eventually go up to 50 dollars a pound

Thanks to the unending economic symptoms of the pandemic and 2022’s inflation double-punch, average beef prices are currently about twice what they were in 2019. Add in the deepening widespread drought, a shortage of hay and feed, skyrocketing prices, transport costs, and various other metrics, some Southwest Oklahoma beef producers suggest cheap ground beef could eventually top $50 per pound.

Even worse, while beef is the topic because Oklahoma is a beef-producing state, the same trend is happening to other raised proteins at the moment too. The answer won’t be “We’ll just switch to chicken.” Those prices are steadily climbing too, and let’s not get depressed together thinking about bacon and pork loin.

Ground beef certainly won’t be that expensive next week or next month.

But someday it will happen.

If you love ground beef, what will you do then?

Thankfully, the elite have already been working on a solution for you.

In fact, just this week the FDA announced that one form of “lab-grown meat” is now ready for public consumption…

The U.S. Food and Drug Administration (FDA) for the first time cleared a meat product grown from animal cells for human consumption, the agency announced on Wednesday.

UPSIDE Foods, a company that makes cell-cultured chicken by harvesting cells from live animals and using the cells to grow meat in stainless-steel tanks, will be able to bring its products to market once it has been inspected by the U.S. Department of Agriculture (USDA), said a release from the FDA.

Doesn’t that sound yummy?

And as I have covered previously, other companies are working on developing something called “beetle burgers”.

This is the future that the elite have planned for us, but the truth is that they aren’t going to be able to rescue us from the extreme global food shortages that are coming.

The UN is telling us that eight billion people live on the planet now.

As global food supplies get tighter and tighter, we aren’t going to be able to feed them all, and food prices will rise to levels that most people could not even imagine right now.

So Cambodia has done a deal with China to refurbish the Ream naval base. In response the US sanctioned the two top Cambodian guys there.

Consequently Cambodia banned all US visits.

The upshot is that because of their [1] lack of care /concern and respect in the first place and [2] their belligerent tone once things got away from them, the US has been kicked out of Cambodia and China is flat out building a navy presence there.

Which is pretty significant when you look at a map.

I just have to wonder how the US let Cambodia get away from them so easily. Having a quick read of the US government website they spent most of their time calling Cambodia out for human rights stuff and generally being a dick to the Cambodians.

Now it’s blown up in their face and PLAN is in the Gulf of Thailand and also with an emerging BRI deal to put a channel across Thailand to get access to the Bay of Bengal.

Go America…

Interesting speculative question. I don’t believe so. Unlike USA, China is not obsessed with global power. It has not exhibited any global ambition in over 4,000 years as the world’s oldest continuous civilization state.

China has always been a regional actor and an inward-looking nation. Even when it becomes the dominant power later this century, it won’t be by design. China is simply growing organically, thanks to an enormous population and excellent governance.

In other words, China will become the world’s largest economic and technological powerhouse by virtue of natural development. All nations wish to do this. It has nothing to do with imperialism.

And should China also have the world’s largest military, it will be for defence. Defending its territory. Defending its trade routes. Defending its economic interests situated with trading partners. China will NOT serve as the world police.

China will NOT export its political system by overthrowing foreign regimes and installing alternative governments.

China will NOT interfere in the domestic matters of other countries. Unless invited by the UN for humanitarian action (China has a significant peacekeeping force with the UN).

If China slips from first place, it won’t be a big deal. China doesn’t care. Its priority is always the welfare of its people.

Head’s up

2022 11 19 10 43
2022 11 19 10 43

FOURTH TURNING WINTER OF DEATH

From HERE

“Reflect on what happens when a terrible winter blizzard strikes. You hear the weather warning but probably fail to act on it. 

The sky darkens. 

Then the storm hits with full fury, and the air is a howling whiteness. 

One by one, your links to the machine age break down. Electricity flickers out, cutting off the TV. Batteries fade, cutting off the radio. Phones go dead. Roads become impossible, and cars get stuck. Food supplies dwindle. 

Day to day vestiges of modern civilization – bank machines, mutual funds, mass retailers, computers, satellites, airplanes, governments – all recede into irrelevance. 

“Picture yourself and your loved ones in the midst of a howling blizzard that lasts several years... 

Think about what you would need, who could help you, and why your fate might matter to anybody other than yourself. That is how to plan for a saecular winter. 

Don’t think you can escape the Fourth Turning. 

History warns that a Crisis will reshape the basic social and economic environment that you now take for granted.” 

– Strauss & Howe The Fourth Turning

It was less than a year ago on December 16, 2021 when our dementia patient in chief was instructed by his handlers to lie, obfuscate and demonize critical thinking Americans who refused to become victims of the Big Pharma, Fauci promoted, untested, unsafe, ineffective gene therapy by declaring “we are looking at a winter of severe illness and death for the unvaccinated — for themselves, their families and the hospitals they’ll soon overwhelm”.

Biden’s vaccine mandates were overturned in the courts.

The unvaccinated did not die from Covid.

Very few people died from Covid. Some really old and infirm people on death’s doorstep died with Covid. Some very unhealthy obese people died with Covid. But even 95% of the old and unhealthy survived Covid.

2022 11 19 10 17
2022 11 19 10 17

Virtually no one under 70 years old died from Covid. Biden was lying. Fauci was lying. Walensky was lying. Gates was lying. Pfizer CEO Albert Bourla was lying. Their paid-off medical industry was lying. Their highly compensated corporate legacy media talking heads were lying. Captured politicians were lying. The entire Covid scheme was nothing more than weaponizing the annual flu through fear propaganda, a billion-dollar advertising campaign, and enacting totalitarian measures on the world as part of the Great Reset Build Back Better New World Order plot orchestrated by our globalist oligarch overlords.

Every Fourth Turning has its own dynamics, chaotic current, political nuances, general confusion, antagonists, and event driven upheaval. We are currently in the 14th year of this Crisis and Biden’s predicted winter of death for the unvaccinated didn’t happen and death and illness is striking down the vaxxed in far greater numbers than the unvaxxed.

The perpetrators of the pandemic exercise to see how far people of the developed world could be pushed, abused, and turned into subservient vassals of the state are now demanding amnesty for themselves and their co-conspirators, as the consequences of their murderous escapades become impossible to cover-up and conceal.

Real data from the real world, not from their skewed manipulated models, is piling up, and despite the media’s tireless efforts to ignore, censor, and misinform, the truth is seeping out through the diligent digging of those who were right from the very outset of this scamdemic.

The complete and utter failure of these so-called vaccines has been evident from the very outset, as infections, hospitalizations, and deaths increased after the vaccines were rolled out as the savior of all mankind. The vaccines performed so atrociously the CDC had to change the definition of vaccine in order to obscure what a failure they proved to be. The narrative police can obfuscate and attempt to revise history, but the lies are all documented and available for anyone to see and hear.

Fauci said masks don’t work. Fauci said the vaccines would keep you from getting Covid, transmitting Covid, being hospitalized from Covid, and dying from Covid. Walensky, Birx, Biden, Trump, and a slew of other “medical experts”, Hollywood stars, famous athletes, journalists, and Sesame Street characters all mouthed the same “safe and effective” tripe non-stop about a vaccine that is provably not safe and not effective. The few brave medical professionals who dared question the narrative and provide alternative treatments (ivermectin, hydroxychloroquine) were censored, scorned, ridiculed, banned, de-licensed, de-platformed, and had their careers destroyed.

2022 11 19 10 20
2022 11 19 10 20

The initial anecdotal evidence about the vaccines being useless in protecting anyone from the flu is now backed up by hundreds of real scientific studies (not fake studies bought by Big Pharma) showing boosters having negative efficacy as these multiple shots degrade people’s immune systems, just as doctors like Korry, Malone, McCullough, Vanden Bosche, Cole, Zelenko, and many others warned would happen.

Antibody-dependent Enhancement (ADE) is now happening in millions of those who have gotten vaxxed.

Young people dying suddenly from heart attacks, myocarditis now becoming common in young men, athletes collapsing, cancer being activated by the spike protein, and the all-cause mortality skyrocketing across the world can no longer be denied.

Last week Service Corp Intl., the largest funeral home operator in the world, reported earnings far higher than they expected because non-Covid deaths were much higher than expected.

The CEO said they expected 1% growth over the 3rd quarter of 2019 and ended up with 15% unexpected growth.

Lincoln National, one of the largest life insurance companies in the country, reported terrible financial results as life insurance payouts on those between 18 and 64 years old soared 163%.

Both companies said these were virtually all non-Covid deaths.

2022 11 19 10 21
2022 11 19 10 21

As these horrific trends continue, the true impact of these Big Pharma multi-billion-dollar profit potions of death will become unequivocally apparent.

Biden will get his winter of death, but it won’t be the unvaxxed dying.

With 250 million Americans and another 5 billion people around the world having their immune systems weakened by the Big Pharma gene altering money makers, the coming winter months will be problematic for millions of the duped.

Expect hospitals to be overwhelmed and the media to remain silent about the true cause.

As more children start dying suddenly, retribution against the murderers Fauci, Bourla, Walensky and a passel of pernicious pandemic protagonists will be on the minds of those who have lost children, spouses, and other loved ones.

Anyone expecting the domestic or international environment to improve in the near future are foolish and living in a state of denial.

Fourth Turnings have ebbs and flows, but the intensity never diminishes until distinct winners and losers are patently unmistakable.

Think Yorktown, Appomattox, and Hiroshima. All three previous American Fourth Turnings ended after all-out war, bloody conflict, and death on a grand scale. There is absolutely nothing going on the world today indicating we are not headed for a similar bloody outcome of death on an epic magnitude.

Biden’s handlers have him conducting a proxy war in the Ukraine against Russia, blowing up their pipelines, bridges, and war ships. Funneling $80 billion, with more on the way, to Zelensky and the most corrupt country on the planet is an act of war.

At the same time, Biden’s amateur hour advisors continue to instigate China by actively supporting Taiwan independence. Alienating countries constituting half the population of earth is a recipe for global conflict.

UKRAINE THE UNITED STATES ARE NOW FIGHTING A PROXY WAR WITH RUSSIA 1
UKRAINE THE UNITED STATES ARE NOW FIGHTING A PROXY WAR WITH RUSSIA 1

The blowing up of the Nordstream pipeline by the U.S. and their U.K. co-conspirators has sentenced Germany to a winter of deprivation and desperation.

German citizens will die this winter due to the actions of an American Empire thrashing about in its final death throes of debt, deception, degradation, and denial.

This man made global catastrophe of supply chain calamity, raging inflation, energy crisis, and food shortages has been purposefully initiated by malevolent men who treat mankind like pawns in their game of global chess.

The global reset is real.

Schwab, Gates, Soros, Obama, Biden, Clinton, and their cohort of Davos billionaires, Wall Street shysters, and satanic legions will stop at nothing to implement their agenda of turning the world into a techno-gulag where they control the population through social credit systems, human microchips, 24/7 surveillance, and central bank digital currencies.

The peasants will own nothing and eat bugs, told they are happy under threat of the truncheon, while their overlords fly private jets, eat, and live like kings, owning everything and gleeful at their ability to control the world through psychological and technological manipulation of the willfully ignorant masses.

Domestically, the U.S. is contending with raging inflation, particularly in food, energy, shelter, and medical care – just the things needed by the middle class and poor to live their lives.

The reported inflation of 7% to 8% is a lie and everyone knows it, even if they are unaware of the Fed/BLS bullshit “adjustments” which purposely reduce the true level of inflation by 5% to 8%.

The manipulation of the data is to hide the truth from the masses.

Inflation is actually higher than it was in the early 1980’s when Volker needed to jack the Fed Funds rate to 18% to tame the raging inflation.

Tough guy Powell, described by the feckless mainstream media as the new Volker, currently has the Fed Funds rate at 3.75%.

Hysterically, the corrupt politicians and Wall Street cabal are demanding a halt to these “devastating” interest rate increases. And their demands will be met in 2023.

2022 11 19 10 25
2022 11 19 10 25

Our empire of debt ($31.2 trillion and rising by $6 billion per day) can’t handle much higher rates. Interest on the national debt was $399 billion in FY22, up from under $300 billion in FY16, and is now on track to exceed $700 billion in FY24. Powell and the Fed are now trapped by their own reckless kowtowing to their Wall Street owners and spineless political hack swamp rats in D.C.

They unleashed this inflationary wave across the globe purposely, while Biden and his handlers have exacerbated it with their green new deal and sanctions on Russian fuel, food, and fertilizer, leading to a looming global disaster on our doorstep. When people in 3rd world countries can’t afford food, they storm presidential palaces and hang their leaders. Revolutions have already begun across the world.

The middle and lower classes in the U.S. have already depleted their savings and maxed out their credit cards. They now need to choose whether they can eat, pay the utility bill, or pay for their prescription. Many have lived above their means, with McMansions “bought” with a $600,000 mortgage, $70,000 vehicles leased or financed over 7 years, and luxurious vacations on one of their 10 credit cards.

2022 11 19 10 27
2022 11 19 10 27

The poor didn’t benefit from the good times, but they are bearing the major burden of the food and energy inflation.

The chickens have now come home to roost, and the wave of foreclosures, auto repossessions and credit card defaults will begin in earnest this winter as corporations across the land begin laying off millions.

The downward spiral has begun and can’t be reversed.

The mid-term elections, which will go on until the democrat party of chaos is able to manufacture enough votes to retain the House, is being used as another psy-op to demoralize the masses, produce more civil strife and chaos, while ensuring the continued destruction of our society by the left-wing radicals relentlessly inflicting their lawless, immoral, communistic agenda upon a nation too cowardly, indoctrinated, and apathetic to fight back.

Throughout history the president’s party ALWAYS loses a significant number of seats in the House and Senate during midterm elections.

The Bushes, Clinton, Obama, and Trump all lost a significant number of seats after their 1st two years in office.

We have a dementia patient in chief with an approval rating below 40%, the worst inflation in 40 years, 75% of the country saying the country is on the wrong track, and politicians, generals, and media talking heads blustering about nuclear war, but we are being told by our overlords Biden’s party supposedly has picked up a seat in the Senate and has broken even in the House.

It’s so laughable only a liberal arts graduate or MSNBC bimbo talking head would believe it.

Anyone who argues these results were legitimately achieved are either brainless twits or part of the election stealing scheme.

The polls, which always skew positively for democrats, showed republicans leading in all the major swing state races.

Overall, the republicans received 7% more national votes than they did in 2020, but somehow managed to lose every contested Senate seat and squander most of the contested House seats in swing states.

They are trying to convince me Americans voted for inflation, recession, war, CRT in our schools, gender fluidity, mutilating children, pedophilia, open borders, masking and vaxxing children, lockdowns, mandates, dead guys, and brain damaged commies. That is not what happened.

They just used the exact same methods in the key races in the key states they used in 2020 to steal the elections. Why change if it works?

In the State of Florida, which implemented rational voting procedures and limited mail-in ballots, Ron DeSantis, who won in 2018 by 32,000 votes over a black, criminal, drug addict, deviant, won re-election by 1.5 million votes last week.

After two years of torturing our children, encouraging murder, rape, robbery, and destroying our economy, the Democrats should have experienced massive losses in the mid-terms.

In my home state of Pennsylvania, the polls showed a clearly brain damaged stroke victim, John Fetterman, losing by 2% to TV doctor Oz after a disastrous debate performance that proved beyond a doubt the guy should be in a medical facility and not in the Senate.

He cannot string ten words together in a coherent sentence.

In addition to his brain damage, he ran on freeing more criminals onto the killing field streets of Philly, eliminating fracking and coal jobs, and aborting babies up until the time of birth. Somehow this freak ended up winning by 4%, according to those who control the vote count.

2022 11 19 10 31
2022 11 19 10 31

The mail-in ballot scam used in 2020 due to the “Covid emergency” was kept in place, even though there is no emergency, because that is how Democrats can guarantee victory forever.

Over 1.2 million mail-in ballots were cast, with almost 70% from democrats and 20% from republicans.

It’s a two-pronged strategy.

In the corrupt urban ghettos of Philly and Pittsburgh, the mail-in votes are “harvested” by Soros paid “activists” who fill out the ballots on behalf of the busy crackheads and fentanyl fiends and do mass dumps of ballots into conveniently located lockboxes.

Who knows how many vagrants, illegals, and ne’er-do-wells are paid and transported to voting sites in Philly by the democrat machine, in addition to the dead voters casting ballots.

In the suburbs you have the left-wing soccer moms and cat ladies filling out the mail-in ballots for their basement dwelling prodigy too busy gaming and watching porn to actually vote.

Fetterman won this mail-in vote by over 500,000 and won the overall election by 234,000. This same formula was duplicated in Georgia, Arizona, New York, Nevada, California, and other Democrat run states.

This is why they are desperate to keep Kari Lake from winning in Arizona, as she will dismantle their cheating operation.

Those in control of this entire rigged system, including republicans like McConnell and Graham, don’t want anything to change, as they enrich themselves and their globalist benefactors no matter who is elected/selected.

This country and the world have become nothing more than a skimming operation, based on a Ponzi scheme, with the military as enforcers, media as propagandists, and alphabet agencies as the secret police eliminating dissenters.

All voting “irregularities” will be met with silence by the captured legacy media and all who question the results will be declared crazy conspiracy theorists by the totalitarians committing the atrocities. The truth doesn’t matter, but silence about the truth does.

quote great is truth but still greater from a practical point of view is silence about truth aldous huxley 14 0 082
quote great is truth but still greater from a practical point of view is silence about truth aldous huxley 14 0 082

The globalists calling the shots want you demoralized, indebted, fearful, freezing, starving, and angry at each other, as they methodically implement their Great Reset agenda without fear of retribution or even comprehension of what their diabolical scheme entails.

The chaos, uncertainty, anger, deviant behavior, and military conflict all dovetail nicely into the waning years of this Fourth Turning.

Domestic conflict which has for the most part played out in the media and political arena thus far, threatens to turn hot, as government agencies and media stoke the flames of discontent, resentment, and rage bubbling just below the surface of our civil society.

There is only so far good men can be pushed before they respond with an unanticipated level of violence against their antagonists. Once this response is triggered a grim determination will engulf the land, as a fight to the finish mentality will have been initiated.

Men who didn’t ask for this fight will be ready to die for their cause.

The debt, civic decay, and global disorder which catalyzed this Fourth Turning in 2008 have only grown larger and more untenable in 2022. The initial volcanic eruption of the Fed/Wall Street induced global financial crisis continues to flow along the predicted channels of distress.

The economic distress is palpable, as raging inflation is destroying the standard of living for most Americans and working people across the globe. Both government and consumer debts are mounting as interest rates are rising. Financial markets have become extremely volatile, with a downward bias.

The 2023 recession will trigger much higher unemployment and crashing stock, real estate, and bond markets.

This economic tsunami will exacerbate the already intense levels of social distress, as unemployed, broke, and angry Americans turn their wrath on the illegal hordes crossing our border and the politicians encouraging this invasion.

Biden’s incessant demagoguery against white MAGA supporters will ultimately achieve the pushback he is instigating, and it won’t be pretty. This absurd woke agenda of glorifying deviancy and abnormality will fall by the wayside as people will be forced to worry about survival as opposed to this trivial nonsense.

The political distress we’ve been experiencing since the 2020 election shows no signs of subsiding. Our governmental institutions have lost all credibility and appearance of serving justice.

The FBI and CIA have become the surveillance state arms of the Democrat party, conducting a coup against a sitting president, and falsely imprisoning protestors, journalists, and dissenters from the approved government narrative.

The stealing of the last two elections has created animosity on a grand scale between opposing political factions on the local, state, and federal level.

Biden has now twice used a bait and switch maneuver of overstepping his authority and the Constitution.

He and his handlers knew a national vaccine mandate was un-Constitutional, but declared it so, and intimidated employers across the country to force a medical procedure on their employees as a requirement of employment.

Many were fired and many more have been injured or killed due to this false mandate. The Courts were always going to overturn this executive order, and the tyrants knew it, but did it anyway.

The latest bait and switch came to fruition on the day after the election. What a coincidence. Biden declared he was writing off $500 billion of student loan debt by transferring the burden from gender studies college graduates to plumbers, landscapers, electricians, truck drivers and other hard-working Americans.

Pelosi is on tape saying Biden didn’t have the authority, but he did it anyway in a blatant illegal manner to curry the votes of the dumb indoctrinated youth.

And it worked. So now that the courts have ruled it un-Constitutional, the dumb remain in debt.

The purposefully instigated feud between Trump and DeSantis is designed to spur further discord in the political arena.

This is being cheered on by the left-wing media as they try to destroy republican chances to win the presidency in 2024.

The real distress in politics is having a bumbling, senile, child sniffing moron as president, cackling clown as vice president, and a cadre of low IQ seditious boobs in positions of power, all on the puppet strings of Obama, Soros, and their traitorous cabal.

If there has ever been a more recklessly irresponsible and incompetent group of dullards in control of a flailing empire, I would love to know about them.

If any group of imbeciles were capable of accidently triggering World War III through sheer hubris, lack of strategic foresight, inability to comprehend the consequences of their actions and sheer madness, it is those currently steering the U.S. Titanic into a global war iceberg of Russia and China.

They seem determined to have the American Empire go out with a bang rather than a whimper.

With all the nuclear posturing, supplying weapons to countries provoking Russia and China, ongoing NATO proxy war with Russia, and the Middle East powder keg poised to explode if Iran, Israel, Saudi Arabia, Syria, or Turkey make the wrong move, the threat of a global conflagration has not been higher since World War II.

One misstep by someone could create a cascade of events which could end the world as we know it.

We are all stuck in this Fourth Turning and there is no escape, except through death.

Our society will be reshaped, the death of the existing social order and rebirth of a new social order might be better or worse depending upon the decisions we make as individuals and as a nation.

The outcome is unknown and future uncertain.

All roads appear to be leading to a real winter of death in 2023.

Most of the squalls which will coalesce into a perfect storm of death and destruction over the next few years have been set in motion and nothing any of us can do on an individual basis can reverse the course of these events.

Putting our faith in political figureheads as saviors who will fight our battles is a forlorn hope.

Voting has not and will not change the course we are on, which was charted by decisions and choices made since the start of this century.

Individual and community efforts at preparation for the coming storm and what follows is all we can do at this point.

As Strauss & Howe cogently point out, history offers no guarantees and things could go horribly wrong in a hurry.

Do not be fooled by the narratives being spun by those controlling the media messaging.

The stock market going up, GDP being reported as positive, BLS telling you inflation has dropped all the way to 7.7%, Ukraine is winning, vaccines are safe, and our elections are fair and honest, is nothing more than Bernaysian propaganda designed to control and manipulate you.

There are bad people doing bad things to the people of this world and only good people can defeat them.

2022 11 19 10 36
2022 11 19 10 36

You need to find people you can trust and make plans to help each other through the coming winter of death.

Being a loner during a Fourth Turning is not ideal.

If you can’t raise your own food, befriend a farmer.

Make sure you are sufficiently armed and do not trust anyone from the government or beholden to the government for their living.

Become physically and mentally prepared for what is coming.

Reduce your taxable footprint and help starve the machine.

Keep everything in perspective and decide what is really important.

Biden, Gates, Soros, and Schwab are nothing more than human flesh. They will die and meet their maker, just as we all will. Act as if the decisions and deeds you take in the next few years will determine how your children and their children will view your legacy.

Will you make them proud or ashamed?

The choice is yours.

There is a foreboding chill in the air as the storm clouds gather.

“History offers no guarantees. Obviously, things could go horribly wrong – the possibilities ranging from a nuclear exchange to incurable plagues, from terrorist anarchy to high-tech dictatorship. 

We should not assume that Providence will always exempt our nation from the irreversible tragedies that have overtaken so many others: not just temporary hardship, but debasement and total ruin. 

Losing in the next Fourth Turning could mean something incomparably worse. It could mean a lasting defeat from which our national innocence – perhaps even our nation – might never recover.” 

– Strauss & Howe – The Fourth Turning

“The risk of catastrophe will be very high. The nation could erupt into insurrection or civil violence, crack up geographically, or succumb to authoritarian rule. If there is a war, it is likely to be one of maximum risk and effort – in other words, a total war. 

Every Fourth Turning has registered an upward ratchet in the technology of destruction, and in mankind’s willingness to use it.” 

– Strauss & Howe – The Fourth Turning

 

Will the United States be successful in destroying China, and ballads such as Neil Young’s “Cortez the Killer” be written about China in the future? (Includes the results of the Biden-Xi talks)

That’s the American plan. Let’s be real. Yes it is.

In 2020 China spent 700 billion ( 5% of 14 trillion GDP) for infrastructure development compared to that of the US 100 billion (0.5% of 20 trillion GDP). China has a cost advantage over the US by 10:1. As a result, to catch up with China, the US has to spend 7 trillion to equal what had been done by China. Case in point, it takes 5 million to build one mile of high-speed railway vs 200 million for the not-so-fast high-speed train per mile in California. What is worst is the time to completion in the US where not a single project has been completed on time within the budget with specified quality without corruption. With this kind of track record, no wonder the US can’t afford too many new infrastructures except patching up and go such as century-old New York subways and the ageless T system in Boston.

Relatable.

Washington’s real interests in Ukraine must be understood not as a war of values but rather as a cruise-missile launched at China, not Russia.

Spot the problem here: First, the EU has lost Russia as a partner, yet the EU insists to maintain trade with China. Two, China, though, must bend to our EU ‘rules’ on how it configures its economy. Thirdly, China too, must accept to be ‘castigated’ by the likes of Olaf Scholtz and Charles Michel for ‘not having put an end to Russia’s illegal war in Ukraine’. Fourth, we, the EU, anyway do not intend to depend on you. And fifth, clean up your human rights abuses!

Wow! Well, the initial reaction might be a spell back at the Academy on the art of diplomatic discourse, as being one idea. Nonetheless, the sheer number of non-sequiturs to this stance is startling. Firstly, the rest of the world is not greatly interested in EU leaders’ woke thought-code (the Chinese simply cancelled EU Chief Michel’s proposed speech to a gathering in Beijing). Europe has lost Russia; It will likely lose China. And probably, it will find itself excluded from the colossus, free-trade area unfolding in Eurasia – as the blocs differentiate into separate trading spheres.

Where does this leave that bruited EU ambition to be a global player? … Perhaps the EU’s thought-code culture might be the problem to its ambitions.

You (the EU) have not thought this through: You are now a dependent appendage of the U.S. economy – a prop to maintaining America’s exalted spot in the global system – at a time when its predatory economic model of money-printing at zero interest has been holed by an iceberg (known as accelerating inflation). American industry needs a captive market in a world that is fast seceding into two separate spheres. You have ‘elected’ to fill that role.

Containing China is America’s explicit goal. And that means blocking the European continent from moving closer to Asia to form the world’s biggest free trade zone. Washington had to stop that (i.e. sabotage Nord Stream) in order to preserve Europe as a captive market, and what remains of dollar ‘privilege’.

As an American dependency, Europe is perceived as having conceded not only economic, but political agency too. Simply put, the EU has lost its cheap-energy business model with the ‘I stand with Ukraine’ woke thought and speech codes, and now finds that it is impotent politically. Why would ‘others’ deal with the courtiers, when they can go directly to the ‘Command’ in Washington?

Furthermore, the culture block the EU adopts prevents it from bringing the Ukraine war to a political end. Rather, what it does is bake-in escalation.

Here is the problem: You bought into liberal America’s notion of a coercive process of induced government dysfunctionality – that is to stay, the state of mass psychosis that any weaponised dysfunctional state of society can produce. And it’s been a success (on its own narrow terms).

The bigger message is that ‘induced dysfunctionality’ marching in lockstep, and using culture block tactics to suppress any dissenting opinions, can and does produce a society that can be ruled over (made compliant through unpleasantness and applied pain) – without having to govern (i.e. make things actually work).

And induced compliance has proved its use for implementing all sorts of other ideological schemes that the public would otherwise never accept.

Weaponised dysfunctionality was trialled during the recent pandemic. The public was persuaded to accept systemic degradation of the economy. Western leaders regularly have expressed a pleasant surprise at the degree of public compliance achieved during the lockdowns. Of course, it was only made possible by ‘woke mobs’ on social platforms impugning the motives of anyone questioning ‘the Science’, the scale of emergency, or the long-lasting toxic effects on the real economy. Cultural roadblock was imposed.

The same process is evident today: The EU is in (another) ‘emergency’ because it made a strategic misjudgement over its Russia sanctions. The political class thought the effects of EU sanctions on Russia offered a ‘slam dunk’ outcome: Russia would fold in weeks, and all would return to how it was before. Energy would flow freely to the EU again; things would go back to ‘normal’.

Instead, Europe faces economic melt-down from astronomic fuel costs.

Yet, some leaders in Europe – zealots for the Green Transition – quietly embrace this sanctions ‘failure’ and the resulting economic mayhem caused by spiking energy prices – weaponising it as a strategic asset to accelerate Green Transition. European authorities actively encourage this pathological approach, believing that the pain incurred will force compliance on their societies to embrace de-industrialisation, accept carbon footprint monitoring and the Green Transition; and too, to bear prospective monumental Transition costs.

Yellen explicitly celebrated the financial pain (dysfunctionality) precisely as serving to accelerate ‘The Transition’ (like it or not) – even were that to push the citizen out of employment, and to the cusp of society.

Here then, is the problem: Some in the EU political class may hope for an intensification of the war on Russia, seeing in it all sorts of benefits – in extending centralised control over member-states and facilitating new means of printing money (mutualised debt instruments) ostensibly to fund Ukraine.

Sure – but there are fears for societal breakdown in Europe too. The problem? The EU cannot bring Ukraine to a deal.

The point is that the EU has framed the Ukraine conflict in absolute victim-vein terms, in line with woke cultural tropes: A revanchist Russian leader, dreaming of former empire, illegally, and without provocation has invaded and seized territory from its neighbour, whilst committing heinous war crimes in so doing. The perpetrator must face a humiliating defeat – otherwise, if he gets an inch, he will take a mile. And the global order will be ‘toast’.

The ‘online mob’ has been steered, through ‘influencers’, to insist that U.S. Realist Camp’s support for a negotiated settlement is tantamount to taking Russia’s side: rushing to denounce all voices – from Bill Burns’ (then U.S. ambassador and now CIA chief) celebrated 2008 telegram ‘Niet means Niet’ warning that any NATO takeover of Ukraine means war; to Prof Mearsheimer, Kissinger, or Elon Musk – as dangerous ‘Putin apologists’. Musk now faces a security probe.

The logic is stark: This shrinks the Overton window to only those advocating the total defeat of Russia and an end to Putin’s ‘regime’ – even if it risks WWIII. It is the ‘slash and burn’ stance, favoured by the U.S. and allied EU neo-cons.

So, we have Washington saying it has no interests, per se, in Ukraine – beyond supporting Kiev in recovering its territory. The Biden Administration says it is guided by the wishes of the Ukrainian people.

Do you still not see the problem to which this logic takes us? It is a Potemkin Village position. All façade and nothing ‘behind’ or around it. The conflict in Ukraine is not itself ‘a unique thing’, but a ‘thing’ of two leaves. At one level, Ukraine is a ‘state’ among surrounding states; and at another level, it is itself an actor. A ‘player in events’ – an owner indeed, of a certain history.

What the Potemkin ‘approach’ does is to artificially free-up some sort of abstract ‘clearing in the wood’ amidst the density of trees, in which the visible thing – Ukraine – is to be positioned, and set before the western spectator public, stripped naked of surrounding context; stripped of history and of the fact of itself being a conscient player in an extended drama.

The Realists have been culture blocked. Their motives impugned.

The title to this play – ‘America has no fundamental interests in Ukraine, and is but an innocent, called up upon the stage by an act of brutal villainy’ – is an obvious fraud. As is the corollary that the EU must therefore support the ‘war’ as Ukraine is victim.

Plainly said, the U.S. is pursuing a bi-partisan geopolitical strategy to quash China’s meteoric rise and preserve America’s dominant role in the world order. Can there be any doubt about that? No, none. For two decades U.S. foreign policy has centred around its ‘pivot to Asia’.

Washington’s real interests in Ukraine thus must be understood not as a war of values – as the EU has it – but rather as a cruise-missile launched at China, not Russia. In gist, the ‘high road’ to collapsing Beijing is perceived in DC to pass through a weakened Moscow. The NATO response to Ukraine is intended as ‘a letter’ to China, concerning Taiwan. And the comprehensive sanctions on Russia are a missive to the rest of the world to not trifle with America’s absolute primacy.

But if this latter context is absolutely ‘off the table’, through culture block and the only agenda item being the sham Potemkin Village construct, then what is there to talk about?

The matter then must inexorably be settled by events – not talk. Who has the potential for escalatory dominance? Russia has many – and various – options. Ukraine has only one. Pushing more troops at the contact line and suffering heavy losses. What does the West have: WWIII?

Can you see now why your peace efforts have come to naught? Actually, President Xi explained the situation courteously, yet pointedly, to Chancellor Scholtz during the latter’s day trip to Beijing: Having lectured Scholz on the evanescent quality of Trust in any political relationship (a quality that Xi said should be nurtured), he emphasised the need for Europe to avoid an ideological approach to relations.

Rough Translation: You (Scholz) have destroyed your relationship with Russia; you have pursued a bloc-orientated ideological policy, and this has been to your disadvantage. Do not think you can do the same with China.

(Or with the rest of the world, Xi might well have added).

.

Back to 2017 in my freshman year, I shared a dorm room with 5 other students, including an Uyghur student from Xinjiang Minority Autonomous Region.

The first night we entered university, he told us that he was a Muslim and he hoped us could respect his religious beliefs. Among the five of us, one was Zhuang ethnic minority, and four Han Chinese. I thought it was nothing big deal.

Later on we got to know each other, he was from Xinjiang, so at first he spoke Uyghur language, until he attended elementary school had he started learning Mandarin(His Mandarin is pretty good btw).

He was two years older than us. He told us it was because ethnic minority students like him needed to attend 2-year pre-college courses in order to have a better grounding on Mandarin, English and Math.

I am not gonna lie, there is not big difference between us, except he needs to go to Islamic cafeteria to have meals( Every university and college in China has muslim cafeteria to meet some students’ need). We attended classes together, did our assignments and presentations together, and PUBG together, sometimes we went out and ate at Islamic restaurants, everything was fine.

We started our college as an undecided major so after the freshman year we were split up, but we still live in the same building, so sometimes we still hang out together, have meals in the Islamic cafeteria, and last year I bought him an AirPods as gift.

There are 56 minority ethnicities in China, the customs vary vastly, we just seek common points while reserving difference, that’s all.


main qimg a836eb3b54d1bfda19eca4f0d67e23dd lq
main qimg a836eb3b54d1bfda19eca4f0d67e23dd lq

My Uyghur friend, he’s a college student, a man from Xinjiang Minority Autonomous Region, a Chinese citizen from 1/56 of the ethnic minorities. If he does not collude with foreign power, trying to take Xinjiang apart from China, if he like people in the pic below , love his country, the land where he born and raised, and protect the land, why shouldn’t I support him? I dare to say ALL Chinese will support him!

main qimg a823350b02e9324cf7795b3c8e760701 lq
main qimg a823350b02e9324cf7795b3c8e760701 lq

He’s really good.

Gravy-Smothered Salisbury Steak

“Still looking for that perfect Salisbury Steak.”

2022 11 16 09 24
2022 11 16 09 24

Ingredients

Directions

  • In a bowl, whisk the egg and milk.
  • Add bread crumbs, 1 tablespoon gravy mix and onion.
  • Crumble beef over mixture and mix well.
  • Shape into two patties, about 3/4 inches thick.
  • Broil 3-4 inches from the heat for 6-7 minutes on each side or until meat is no longer pink and a meat thermometer reads 160°.
  • Place the remaining gravy mix in a small saucepan; stir in the water and mustard. Bring to a boil; cook and stir until thickened. Serve over patties.

A ship without a rudder is a…

Mackenna’s Gold 1969 – Gregory Peck, Omar Sharif, Camilla Sparv, Julie Newmar ( 720 X 1280 )

And now for a special treat. This is a 1960’s era Western. I remember watching it in our small-town movie theater, and it was just great. I remember the short opening nude scene, and the galloping horses in the valley of the gold. I well remember the cliff house and the crusty cowboys.

If you have two spare hours, this movie with teleport you all to another time and place.

https://youtu.be/GHDy9ECGcfw

More stories of cats, petty trivial stories, geopolitics, stuff about UFO’s, Davy Knowles, ohhh and great delicious food

Another day, and more bullshit.  I really, honestly don’t know why I still bother to check out the American headliners. It’s all bullshit fantasy that has no bearing on reality. Absolutely no bearing on anything.

It’s frustrating, but heck, what are you going to do? You just turn it off.

Here’s what I woke up to this morning…

Thanks for the request.

U.S, tech companies are unfortunately being squeezed by our own government. The Chinese market is existential to these companies. . . . and its the U.S. government banning them from this market.

Biden is continuing trump’s sanctions of China’s tech companies – that latest salvo being the ban on U.S. tech companies from selling to China the latest version chips. But for what end?

Bottomline, on a head-to-head confrontation, the U.S. just can’t win because ultimately, you need to be able to sell what you produce. And this has to be through China because they are the market.

And by banning U.S. tech companies from the Chinese market now is allowing Chinese startups to take over the vacuum left open and effectively replace the U.S. tech companies not just in the Chinese but the global marketplace over the long term.

A McBagette…

Found in McDonald’s in France. “It’s basically two hamburger patties and all the classic toppings you’d expect on a baguette. What a world.”

fascinating isnt it 640 high 39
fascinating isnt it 640 high 39

In high school, I was a nobody. Very few friends and a bit of a weirdo due to my unusual level of maturity.

I wasn’t asked out by a single boy the entire four years. Not one date.

Despite all this, years later, I decided to go to a reunion. I figured things were different now. I’d grown into an attractive, confident woman, and I had a successful career as a DJ on the most popular radio station in our metropolitan city. Plus, I figured all my former classmates would have matured enough to look beyond superficialities anyway. I thought it would be a nice evening of adult interaction and nostalgia, perhaps a chance to make new friends.

I was so wrong. Ten minutes in, I realised that most of them were in arrested development.

It occurred to me that I could’ve walked in there wearing a tiara and holding an Oscar award, and every single one of them would’ve been more interested in themselves. It’s how they were in HS, and they’d not evolved.

The only thing the reunion did was remind me why I hated my high school so much in the first place. School is just a bunch of people thrown together in the same building. That doesn’t necessarily make them your people.

Going to your reunion to prove something to anyone is a futile exercise. Haters will always hate, and the self-centred never change. Let your success be your own private, unsullied joy.

And, also, well done you!

Mass escape: Americans are asking to move to Russia

From HERE

More than once I heard about the disappointments of people who left Russia. Faced with the "free and democratic" realities of the Western world, they suddenly find out that there is no smell of democracy, freedom and respect for human rights there. And now Orthodox families from the USA and Canada are saying the same thing: they faced persecution for their religious beliefs and upholding their right to live a conservative way of life - without reverence for sexual perversions, without corrupting children under the guise of sex education. These people are concerned about the future that awaits their children in their historic homeland. They really want to get Russian citizenship, because they understand that in our country traditional family values ​​are under the protection of the state.
According to RT, the editorial office received an appeal from several families from the United States and Canada who profess Orthodoxy (a total of 75 people). They ask for help and assistance in obtaining Russian citizenship, because they want to live in a country where same-sex marriages are not welcome, where they will not become "parent No. 1" and "parent No. 2", and will not be persecuted for religious beliefs. Finally, where children at school will not be taught about "diversity", suggesting that perversion is a variant of the norm.

The letter was written by Orthodox priest Joseph (Joseph) Gleason, an American who several years ago moved with his family to Russia. Father lives in the Yaroslavl region. He, among other things, tells the Americans the truth about our country and helps with paperwork for those who wish to move to us.

Behind the curtain of political correctness

Here is what Fr Joseph tells about the reasons why Americans want to move to Russia.

Behind the screen of political correctness and tolerance, the United States is pursuing a policy aimed at destroying the fundamental foundations of any society: the right to freedom of speech, political, religious beliefs, and most importantly, traditional family values.

In Russia, in his opinion, the interests of all the peoples inhabiting it are equally taken into account and respected - with their religious beliefs and traditional customs. This is where our country differs from the United States.

We are all Orthodox Christians, and the aggressively implanted ideologies of LGBT, atheism and moral relativism are alien to us,

the priest writes.

Dictatorship of a corrupt minority

In one of his interviews, Father Joseph admitted that one of the main reasons for his departure from the United States was the aggressive promotion of non-traditional relationships and same-sex marriages. Yes, most Protestant churches condemn the sin of Sodom in the same way that Orthodoxy condemns it, but because of its "militant and intolerant" planting, it cannot be ruled out that many Protestant denominations in the US will soon begin to bless such "marriages." For father Joseph, all this is unacceptable; nor can he accept lessons in "sexual tolerance" in schools, dressing up boys as girls (and vice versa) in summer camps.

As the priest said, US citizens who do not want to indulge the "wants" of perverts are subjected to quite real repressions. A pastry chef who refused to bake a blue wedding cake was fined $135,000 for being politically incorrect. A family making a living by filming was threatened with jail for refusing to film a "marriage" of a same-sex couple. If you rent out venues for events and refuse to do so to perverts who intend to formalize the relationship, you will be fined.

And these are not stories: these are all real stories. America is no longer the promised land that Protestant pilgrims and Russian dissidents dreamed of. Now the jokers say that the USA has turned into the USSR – Russia and America have changed places. Russia has become freer than forty years ago, and the United States is intolerant,

Father Joseph says.

And if this trend in the "stronghold of freedom" continues (and, apparently, it will), then it will simply not be safe for anyone who professes traditional values ​​to live in America. That is why many Orthodox families seek to settle in Russia.

The situation in the US is so critical that people were ready to move to Russia in the spring of 2021,

Father Joseph is sure.

However, they, according to the priest, faced a very serious problem ...

Why not make an exception?

Since March 18 last year, due to the covid pandemic, the entry of foreigners and stateless persons into our country has been restricted. Also, during the time of infectious danger, invitations to enter Russia are not issued and are not issued. There is only one exception: our compatriots living abroad - their resettlement to their homeland takes place according to the relevant state program. However, as we understand, citizens of the United States and Canada, even very pretty ones, are not covered by this program.

I am so turning into a big fan of this Davy Knowles fella…

Simply Oven Baked Pork Chops and Rice

“This is DH’s recipe. It is simple comfort food and is very versatile. You can use chicken quarters in place of chops or chicken broth in place of water. Feel free to experiment with different herbs. We never make this the same way twice. A side note: I would recommend using a bone in, fattier cut of pork and not loin chops in this recipe.”

2022 11 12 18 45
2022 11 12 18 45

Ingredients

  • 1 (10 3/4 ounce) can cream of mushroom soup
  • 1 12 cups water
  • 1 cup long grain rice (uncooked)
  • 14 onion, sliced
  • 14 teaspoon pepper
  • 3 -4 pork chops
  • salt and pepper (to taste)

Directions

  • Stir together soup, water, rice and 1/4 teaspoons pepper in a 3 quart casserole.
  • Add onions.
  • Salt and pepper chops and place on top of rice.
  • Cover and bake at 350* for 1 1/4 hours or until meat is done and liquid is absorbed.
  • Remove from oven and let rest 5 minutes.
The flavor is 5 star! I used chicken broth instead of water and also sprinkled a little seasoning salt and pepper on the pork chops. Then prior to putting them in the oven, I seared them and added chopped onions and garlic to the skillet. 

To kick up the flavor a notch, I mixed in 1/2 tspn of thyme to the broth and rice mixture. 

Because I seared the pork the oven time needed to be less or else it would have dried out. 

So to ensure my rice cooked through, I heated the broth to boiling before mixing it in. Overall a very tasty meal that I will definitely do again. Thank you for sharing.

You-Tube deletes a “Redacted” video

Oh yeah. The one showing Zelensky in front of a green screen. Now GONE! Sheech!

2022 11 13 08 56
2022 11 13 08 56

 

China’s rise poses a threat to Western hegemony. The West, especially America, cannot let that happen.

So the West is trying to prevent or retard China’s rise by employing a variety of tactics:

  1. demonize China with propaganda lies and accusations
  2. interfere with Chinese commerce (banning Huawei, TikTok, WeChat, SMIC)
  3. interfere with Chinese politics (CIA and NED affiliates in Hong Kong)
  4. abduct Chinese nationals on trumped-up charges (Meng Wanzhou)
  5. apply trade sanctions and tariffs

The end goal is for the West to preserve its global dominance.

As Long As You Approach Her, It’s A Success

So many guys judge success as “did I have sex with her” or “did I get her phone number” or “did I get her laughing”, etc. However, I judge success differently. It is a very binary way of measuring success, and it is also incredibly powerful. I judge success like this: “Did I approach her or not?”

And really, that’s the only measure of success you should have when it comes to women. When you see an attractive woman that you want to meet, did you or did you not approach her?

Because as soon as you approach her, your chances of getting her name, getting her phone #, getting a date with her, and getting sex with her essentially went from zero to non-zero. It might be a 5% chance of getting her phone #, but that’s better than nothing.

As soon as you view success this way, you start to easily gain momentum when approaching women. Let’s say you see an incredibly attractive girl sitting down. She’s a literal 10/10, with a pretty face, an incredibly fit body, and legs for days. Do you go up and approach her? If you do, it’s a success. Everything else doesn’t matter.

Did you literally shit your pants from fear as soon as you went up to her and introduced yourself? Still a success.

  • Did you stutter because you were so nervous? Still a success
  • Were you sweating from nervousness? Still a success
  • Did you forget to ask for her name? Still a success.
  • Did she give you a fake phone number? Still a success
  • Did she ghost you and stop responding to your texts? Still a success

Because at the end of the day, that’s all that matters. Did you or did you not approach?

In this life, you’re going to have the chance to find an amazing girl one day, your own personal 10/10. You’re going to have the chance to talk to her and get her laughing and smiling, and she asks what your name is. You’re going to get the chance to get her phone number and go out on a date with her. You’re going to have the chance for her to be your girlfriend, and she tells you how amazing you are and she can’t imagine a life without you.

But that’s only going to happen if you actually approach her.

The Great Red Spot on Jupiter is shrinking

fascinating isnt it 640 35
fascinating isnt it 640 35

This is Tigger and I kid you not he is 22. He was at the vets this week and yes he has dementia. He sleeps all day and wakes up just to eat. Yesterday I had hand washed some dishes and left them to dry on the counter. I walked back into the kitchen and he was laying on top of all of it. Cutlery, plates and bowls.

main qimg 23ad0e3bbf2a6d71de4f1d550c47161c lq
main qimg 23ad0e3bbf2a6d71de4f1d550c47161c lq

Another day he was just sitting on the middle of the kitchen island checking things out from a new perch. Something he had never done before.

A month ago I was watching TV and smelled urine. He had just peed in the basket that held all of the cat toys.

I asked the Vet how long he had and he said “Five years ago I would have given him a year”.

Happy 23rd Birthday Tigger

main qimg 6f65369a3139ccd35d3713b0c42650d6 lq
main qimg 6f65369a3139ccd35d3713b0c42650d6 lq

You’re going to love this!

Several years ago we had an older neighbor (in her late 60’s) who didn’t approve of my marriage (Interracial couple). She was civil until she started getting dementia, which her children apologized for often.

First she started calling the police any time I fired up the grill, telling them I had an open fire. They would show up, see I was barbecuing and leave.

One night at work (Walmart) around 11pm, an officer I knew came in and tells me he just left my house, that my neighbor had called in a noise complaint. I told him that no one was home, as my wife and I were both at work. He told me that he knew that, as he knew my wife also and had been at her work (Hospital) on a combative family member complaint when the noise complaint had come in. so he checked it out and heard nothing.

While we were talking, he got another call, at my house, for another noise complaint, from the same neighbor.

About forty minutes later he came back in and told me he looked and listened and found nothing out of the ordinary. Which at this point had me scratching my head. He got another call and left. I went home at lunch (3a – 4a) and looked around my house and found nothing that could have caused her to call the police about noise.

Around 630a that morning he comes back in smiling. He tells me that he’d gotten called back to my place again, for a third time. So he met her at the door and asked her if he could come in and listen for what she might be hearing. She agreed, he walked around and listened and found that an alarm clock radio had gone off and was playing music.

She had literally heard music playing in her spare bedroom, and called the police on my wife and I three times. Over music playing in her own home! While both of us were at work.

(While I would certainly have done the same, to clarify, I didn’t have this experience but am passing it along.):

So I’m in line at the bank and I hear the teller that’s helping the elderly man next to me say, $60,000 is a lot of money sir, why do you need it? The elderly man says, “I can’t tell you that but I need that money”. A red flag went up in my mind. As I finished my transaction I stepped over to the elderly gentleman and said, ” Sir, I ‘m sorry to interrupt your personal business but may I talk to you for a moment.” I walked him away from the window and said to him, I don’t mean to pry in your personal business and I’m not going to tell anybody anything so don’t be afraid. But who’s on the other end of your phone telling you that you need to send them $60,000? Is there somebody telling you that they have a family member who is hurt and needs medical attention? Or is it the IRS telling you you owe back taxes? Did they tell you NOT to tell anyone?

Immediately he says , “No, it’s the Social Security office and the Glendale Police Department is involved. I said, “no sir you need to stop this right now.” This is a scam and you are NOT taking $60,000 out of the bank today.

I walked him back to the teller as they were sliding a cashier’s check for $60,000 through the window saying, here you go sir. I looked at it ,and gasped because it was $60,000.

Then, I slid the cashier’s check back through the window and said miss please cancel this man’s transaction and put this money back in his account immediately. As they were doing the transaction to put the money back in his account the man tapped me on my shoulder. I looked at him and he pointed his flip phone at me and said they’re on the phone right now. I grabbed the phone from him and said hello. A woman with an Indian accent send hello. I said, ARE YOU TRYING TO STEAL $60,000 FROM MY DAD YOU PIECE OF SHIT!!!! Needless to say she hung up. I put my arm around the old man and escorted him out to his vehicle. I told him if anyone ever calls you and tells you that you owe money for any reason it’s a scam.

I called my phone from his phone so I could call him later when I got home to check on him. After I put my phone number in his phone I told him if he ever had any questions just to call me.

The elderly man about 85 years old said thank you very much son. It felt pretty good to save him from losing his life savings.

The reason I’m posting this is because not only do I want all you younger folks to inform your grandparents and parents of these scams but I also think that Bank tellers should be more aware of theses red flags. Perhaps, they should be trained to look for these signs. It was so obvious to me what was going on. Those young bank tellers gave up that man’s life savings in a matter of 3 minutes. The man told me he had an addressed envelope with a stamp on it in his car ready to drop in the mailbox. So please discuss this with your parents and grandparents and make sure they understand that these people exist and they will steal your money. You can also put your name on their account with a warning that says contact me before any large withdrawals. Please be aware of these people they are scumbags and it’s no joke. They’re slimy and slick and old folks are very easily fooled by them.

Be aware!! These old folks worked very hard for their money and don’t deserve to have it stolen from them.

Wear your helmet

The guy wearing this survived (wear your helmet on your motorcycle, kids).

fascinating isnt it 640 high 09
fascinating isnt it 640 high 09

Self hating

This sounds like one of my cousins. David, and his friend John Lee. They were UK born but self hating Chinese. Both of them work as MLM scammers online these days. But then again John got the shit kicked out of him for scamming a group of people recently.

But this is poetic

in 2017, Chinese exchange student Yang Shuping (from Kunming, a service oriented city with little industry in the middle of the jungle in Yunnan) made a speech comparing the ‘fresh air of freedom’ in the US to the ‘dirty polluted air’ in China.

main qimg 04185941b874a39a823c6842d8687d55 lq
main qimg 04185941b874a39a823c6842d8687d55 lq

She posted on Weibo that US air is the air of freedom, she hates China, and “ch*nks I’m getting a greencard, don’t be jealous.” People in China congratulated her on her ‘achievement’, told her to f* off and never come back to China again.

“空气香甜女”杨舒平:被美国抛弃,被中企拉黑,如今怎样了?,杨舒平,美国,马里兰大学,耶鲁大学,留学
她为什么这么有恃无恐?因为她后来在马里兰大学读完了硕士,又找了外国男友,所以,她飘了,觉得以她的高学历,找个高薪工作轻而易举,再顺理成章地拿到绿卡,就一劳永逸的永远留在美国了。所以,得罪全中国又怎样?

。。。

果然,半年后,杨舒平又原形毕露,在网络上发表:“美国空气真的好甜美,好民主好自由!真不想回到中国!中国的雾霾得戴50层口罩!” 不久后,她干脆本色出演,彻底撕破脸,以美国人自居,在网络上以恶毒的字眼怒骂中国人:让中国人滚,不要嫉妒她要拿到美国绿卡了。 国人倒是大度起来,纷纷祝福她早日拿到美国绿卡,不要再踏足中国的土地了。

But where is she now

转眼两年过去了,杨舒平硕士毕业了,她手握着马大的毕业证,自信满满在美国寻找工作。

她以为美国的名企都会向她抛来橄榄枝,但是所有投出去的简历都石沉大海。偶尔遇上几个回复,但是稍微背调,了解了她的“事迹”后,便会找各种理由拒绝她。

...

据说这份工作还是需要和中国人打交道,但她在工作中又与白人同事发生争执,正好赶上疫情,经济萧条,就被公司裁员了。

接下来,美国签证到期,被拒续签,她投诉无果,遭驱逐出境。

She graduated with a masters in theater, tried to get a job, was rejected from every one except a small business that dealt with Chinese people.

Looks like the boyfriend didn’t stick around either.

She then got into an argument with coworkers, plus pandemic, was laid off, and because she was laid off, her visa conditions were no longer met and she got deported.

She then went to South Korea, but then when her new company went down due to the pandemic, Korea deported her too.

本以为换个地方可以重新开始,没想到,疫情也席卷了韩国。韩国企业更不会把工作机会留给像她这样有“劣迹”的外国人。

She went back to China, and found herself blacklisted by every company she applied for.

Some allowed her to interview just to humiliate her by asking “how come you didn’t stay at the land of sweet freedom air?”

万般无奈下,杨舒平灰溜溜地逃回了国内。国内企业更是将她早已拉入黑名单,没有一家公司愿意聘用她。甚至有的企业,在面试时,直接问她:为什么不留在空气香甜的美国,为什么要回来?

And that’s why

main qimg a05cc437f5ed6b8f2391f6e40d641a5c lq
main qimg a05cc437f5ed6b8f2391f6e40d641a5c lq

Is foolish…

The only way you can ward off a bully is by making sure that he will get his lungs ripped off and his head bashed to a million pieces if he tries any hanky panky.

we all know that

  • Iraq,
  • Syria,
  • Libya,
  • Afghanistan,

any many many more are living examples of American bullying.

In fact I would advice Iran to focus on developing small nuclear bombs the size of a suitcase. and provide logistics to highly motivated individuals from Syria, Libya, Afghanista, and Iraq the way to reach New york, London, Paris and other NATO nations that have destroyed their lands.

After the nuclear attack the rich and powerful will run for their lives they will scatter and establish new locations.

these are the people that need to be targeted after their shield of invincibility is broken.

let me give you an example

take nancy pelosi – look into her campaign and see who are her greatest contributors – who have paid for her campaign money… these are the actual enablers of American policy … these people need to be marked and they need to be hunted down … because it is not the politician but the sponsors of such politicans – the financers – the enablers who actually create the policy of the USA … these are the people that need to be hunted down – their families and their friends. and their relatives.

the problems are created by the politicians but the people on whose behalf these politicans create the problems are the main culprits – hence we need to hunt down these people and their families. it is their money that enables the politicans to create the problems

so remember first [1] the nuclear attack and create chaos and then [2] the hunting down of these individuals …

it is not just the governments – but the rich individuals from these countries that need to take initiative to enable these activities because once you hunt down these enables your business competition that uses unfair means (the military of USA) to give you unfair competition is no more… and your business and development is further enabled. you have literally killed your competitor … the same way that your competitor uses his government to undermine you and your business.

Met a stranger at a wedding that looked just like him and was wearing the same thing.

main qimg ab05d877fadcb0a1eff57ef3634125f9 lq
main qimg ab05d877fadcb0a1eff57ef3634125f9 lq

China displays powerful space monitoring radar for first time at Airshow China

Cao Siqi Liu Xuanzun Published: Nov 10, 2022 09:59 PM

2022 11 13 15 57
2022 11 13 15 57

China’s leading radar developer exhibited a giant space monitoring radar, which is believed to be the “most powerful radar,” at this year’s Airshow China. It’s about 10 meters high, and it attracted wide attention not only from military enthusiasts but also from foreign visitors.

Standing out in the pavilion and recognizable for its huge and spectacular array of antennas, the SLC-18 space surveillance active phased array radar, developed by the No.14 Research Institute of CETC (China Electronics Technology Group Corp), was exhibited for the first time.

With the development of low-Earth orbit (LEO) satellite technology, satellites mainly used for information collection and battlefield reconnaissance have gradually become core equipment for modern, powerful countries to obtain remote information.

These satellites are usually characterized by strong capability, small size and flexible orbits, and they can realize intensive, 360-degree and all-factor reconnaissance of hot spots.

The Global Times learned from the No.14 Research Institute that the radar can search, track, calculate, catalog and forecast space targets such as LEO satellites, and obtain multi-target tracking and measurement data. It is mainly used for space target monitoring.

Sun Lei, deputy general manager of CETE Guorui Group, told the Global Times that in order to guard against the prying eyes of other countries’ satellites, movements of sensitive equipment or deployments on the ground of many countries must often maneuver intentionally to avoid the transit time of satellites, or take countermeasures to interfere with satellite signals.

All of this is based on knowing when a satellite is in transit, but for most developing countries, it is difficult and expensive to develop the technology to monitor space targets. In the past, they bought in-orbit satellite data from developed countries, which is expensive and often outdated, making it difficult to obtain first-hand information, Sun said.

According to Sun, the SLC-18 radar, a P-band solid-state active phased array radar, is mainly used for space target monitoring. It can search for and capture LEO satellites and other space targets, and obtain multi-target tracking and measurement data.

In recent years, the US has promoted constellations of LEO reconnaissance satellites. They are no longer a few expensive satellites, but often consist of hundreds of small satellites. For example, the NDSA “Defense Space Architecture Layered Orbit Satellite Constellation” proposed by the Pentagon includes a large number of satellites running in different orbits. The US military plans to launch as many as 100 satellites in the next five years.

To cope with new challenges posed by constant launches of LEO satellites, the space monitoring system must have the ability to quickly monitor and identify objects, which brings new technical challenges.

“The SLC-18 radar can detect satellites from afar, and identify and catalog satellites to form a radar database, so as to guide other equipment to respond accordingly. It can also send satellite data to the command center to help make decisions,” Sun told the Global Times.

The SLC-18 has wide-area detection capability, which can quickly capture a satellite’s orbit and input the orbital data into the database. Through comparative analysis, it can quickly determine whether it is a new type of satellite and what its main use is, according to the orbit characteristics.

The radar has such outstanding advantages as all-weather, all-time, multi-target, large power and large search areas, which can detect LEO targets in a wide range of airspace and cover a large number of LEO satellite targets, he noted.

The Global Times learned from the institute that the SLC-18 radar can complete the all-round monitoring of satellite targets, and it has the ability to survey space targets. It also has a high measurement accuracy.

Based on the tracking information of the radar, a satellite overhead transit forecast can be provided through the orbit determination, and offer enough time for on-the-ground decision-making to take countermeasures.

Sun said that the radar is domestically made from manufacturing to chip technology and at the same time, it adopts the modular design, which means the radar can further improve its ability based on the need to increase the module, indicating that China’s radar development has reached a new level.

“In the past, only a strong military power that had technical strength and economic and industrial foundations could conduct space target surveillance. For developing countries, it was difficult to have such capability.

“The SLC-18 radar uses relatively cost-effective ground-based space target surveillance, and it can serve countries along the Belt and Road Initiative, and provide situational awareness against LEO satellites,” Sun said.

Voting ability test

“1920s NY State Regents literacy test for voting. Passing grade required 6 of 7 questions correct. Designed at 4th grade level.”

fascinating isnt it 640 04
fascinating isnt it 640 04

A photographer took a photo of a bird holding a shark with fish in its mouth

main qimg e120beda78f46b7276ada8e1cae08777 lq
main qimg e120beda78f46b7276ada8e1cae08777 lq

The Butter Boat

For decades, locals living near Ireland’s Streedagh Beach visited the remains of a shipwreck. Lodged in the sand, nobody knew where it came from or the name it sailed under, and it eventually became known as the “Butter Boat.” In 2020, researchers decided to crack the mystery. What they pieced together was a remarkably detailed and tragic tale.

After testing the ship and sleuthing through historical records, they discovered the ship’s real name was the Greyhound. It was a trading ship that frequented the coastline between Ireland and Britain. In 1770, the vessel sailed from Yorkshire’s Whitby Port and ran into a storm at Broadhaven Bay.

The crew successfully abandoned the ship, but after a head count, they realized that a cabin boy was still trapped on the ship, which was anchored near some cliffs. The crew and local volunteers returned to the Greyhound, but the storm dragged the ship and many of the rescuers out to sea, killing 20 people in the process.[From HERE]

This guy called Ken found a mini plastic version of himself at Homegoods

main qimg dd3797e1c337abf98c164b11d1636445 lq
main qimg dd3797e1c337abf98c164b11d1636445 lq

CH-6 unmanned aerial vehicle (UAV)

2022 11 13 16 03
2022 11 13 16 03

I’ve lived in China about 8 years across 25 years in 6 cities in 1 village. What it is like to be a foreigner living in China has certainly changed over time and is a lot different in Beijing than in a village in Shandong Province. Even in a city like Beijing, there is a big difference between living in an expat community verses living in and among the Chinese.

There are also lots of aspects of how I feel living in China, such as how people treat me, what the living conditions are like and so on. I will highlight just a few key points:

1) As a foreigner, I am usually treated as an honored guest. This is less true than it used to be years ago, but still, people tend to go out of their way to make sure I have a good seat, something to drink, and so on. Once, it meant that I won a rigged lottery drawing (or at least I am pretty sure they rigged it so the only foreigner in the room won.) On a visit to the Great Hall of the People, we got to bypass the lines and wander around the main hall freely while the people had to wait in a long line, and then were cordoned off behind velvet ropes. Still, there are days when it would be nice to just blend in, but that is unlikely in China.

2) Sometimes people heap all of their frustration with the U.S. on me. One guy launches on a tirade about the U.S. involvement in the Korean War every time he gets a little drunk. On a couple of occasions, a person spit disgustedly in my direction and wagged an accusing finger at me for no obvious reason other than that I was foreign. Once a group of us had some bricks thrown at us. These kinds of things are very rare, but there are people out there who don’t like foreigners.

3) I feel like a rock star. Decades ago, a friend and I sat down on a bench at a beach, and people started to gather standing and squatting in front of the bench just looking at us. By the time we left, there with 50 people there. We once went to the Beijing zoo. People starting looking at us instead of the animals. Everywhere we went, people would call out ‘lao wai’ or ‘foreigner’ or shout ‘hello’. There is a lot less of that now, but especially in smaller towns, it still happens. I recently visited Japan and a girl shouted out ‘hello’ at me. Yep, turned out she was Chinese.

At tourist places, it is still pretty common to have people come up and ask to take a picture with me. It’s not that I’m famous, just that I’m white. Somewhere in China, a child is growing up with a picture in an album of me, random white guy, holding him on Tiananmen Square.

I”ve been on TV. I’ve been in the paper. There was a documentary about me. Friends have been plastered all over town in ad campaigns or become TV and movie stars. Sometimes I feel like a dancing monkey, but it’s been fun, too.

4) I feel a bit misunderstood and a bit used. It is also less true than it used to be, but people tend to see foreigners as rich and as a means to get abroad. As students, we weren’t so rich, but compared to the people around us, we still really were pretty well-off. Students used to come and ask if we could put up $10,000 as some sort of security they needed (or thought they needed) to apply for a visa to go to the U.S. This wasn’t all bad. We could saunter into any fancy hotel in worn jeans and tattered Tshirts and use the restroom and hang out in the lobby like we belonged there. Nowadays, lots of Chinese drive cars I could never afford, so people see us as less wealthy in comparison.

Until the mid-1990s. Foreigners generally had to pay more for train tickets and entrance tickets. That always made us feel bad, though with our student ID we could sometimes get the Chinese price. We also had a different currency that we received when exchanging dollars that we were supposed to use. Every day, every transaction, we were reminded that we were outsiders and expected to pay more. Fortunately, these things have changed. It is still possible, however, to show up at a hotel and have them say foreigners aren’t allowed to stay.

5) I feel fascinated. In part because of the above and impart because China is changing so fast, I also find life really interesting here. I get to meet interesting people all the time, and just the pace of change and uncertainty about how things will unfold makes living in China really really interesting.

6) I feel like it is hard to breathe and a little scared of the food. The air is often pretty bad in the cities, and there are lots of horror stories about unclean or poisonous food. This has gotten worse, but the air in the cities was really bad 25 years ago, too.

7) I feel annoyed. Again, it used to be worse, but China has been a developing country during some of my time here. Buying train tickets, getting on a bus all used to be pitched battles with unruly crowds all trying to get to the front at once. Things don’t always work well, bureaucrats can be obstinate. Taxi drivers can be wonderful or they can be deceitful cheats, depending on the time and place. Even as things become much easier to manage, I find that I am less tolerant when I do encounter things that don’t work well.

8) Sometimes I feel amused. Maybe this is insulting, but I hope not. I think Americans can be amusing when seen by outsiders, too. In part, how we survived the rather intense challenges of navigating day to day life humor was one way we dealt with it. What can you do when you are in Walmart and a child is taking a leak on the floor in front of you? Laugh it off! Also, I continue to enjoy the English translations and translations we see around the country. It’s fun. So above the urinal, there might be a sign, “Peeing into the pool, you are the best!” I am the best, indeed. Ha.

People of other races have different issues in China, and I am sure it is harder than for a white guy. For Asians, there can be a lot less tolerance when they don’t speak Chinese well or don’t follow the rules. Chinese really never expect me to understand social rules, and I get a pass for doing things wrong, or even offensive. What do I know? I’m a barbarian after all. Of course people who look more Chinese, they have the possibility of blending in.

For black people, they also stand out and get lots of attention, but tend to face more negative attitudes than white people. It can be tough.

Having lived in China over this span of time, though, I am very aware of how much things are improving, and over all, how very quickly things in China change.

Baked Pork Chops in Onion-Sour Cream Gravy

“I make this recipe on cold nights…the gravy is SOOOO good!! I serve it with whipped potatoes and I practically have my family licking the plate.”

2022 11 12 18 49
2022 11 12 18 49

Ingredients

Directions

  • Preheat oven to 350 degrees.
  • Brown chops and move to a 9×13 baking dish.
  • Leave 1 tablespoon drippings in pan. Add to pan the flour and onion soup mix. Blend in water. Pour over chops in baking dish.
  • Cover with foil and bake for 30 minutes.
  • Uncover and continue to bake for another 30 minutes.
  • Remove pan from oven.
  • Remove chops from pan to serving dish and then add in the sour cream to the liquid in the pan to make gravy.
  • Serve immediately.
  • Serve with potatoes or noodles.
Great base recipe! After browning chops, I added a little butter to the skillet and browned half lb. sliced portobello mushrooms; then lightly sauteed about a half cup sliced celery to smother the chops. I retained all of the pan drippings and deglazed the pan with about a third cup white wine, then proceeded with the flour and the rest of the recipe as written. Freshly ground black pepper stirred in at the end of the sauce cycle. Phenomenal!

Most people can’t afford the property around the area they work in, or they have to settle for something much smaller than what they really need.

You’re not talking about a difference of 5–10k either, the difference in property value between the area want and a little further away could be up to, and even a lot more than, 100k. Even if your commute is over an hour away, you might just have to consider it for a difference that large. How many trips to work would it take to make up that difference?

Young couples and families don’t always have the opportunity to be so discerning. Getting property in the area they really want would be unrealistic, their mortgage payments would equate to too high a percentage of their income leaving them little room for freedoms and emergencies, or compromising on the features they need to bring the price down.

And you also have to consider the aftermarket costs, especially when buying an established house. There are always more expenses.

You’re not really saving in the long term if the mortgage payments are financially crushing. And if you can’t afford to make repairs or keep up with maintenance because your mortgage payments are too demanding, you also risk lowering the potential future value of your property.

But buying in an outer suburb or even in the surrounding country brings the price down a lot, and you’ll have the opportunity to buy a lot more for a lot less, even if you’re building.

This is the issue we faced when we first looked into buying as well. Had we bought our land in the area we both worked, even if we went smaller, we just couldn’t realistically live with a mortgage that high, virtually all of our income would have gone onto the repayments. So we built a house that was a good half-hour away, our repayments were less than what we paid in rent in our desired areas and we were able to build a house that was big enough for our needs.

And ultimately, it was a good choice, because only a couple of years later, a car accident put us both out of the workforce. Had we aimed higher, we would have lost our home as well, but taking a smaller risk meant we were able to hold onto it and actually pay out the mortgage.

But you need to also consider that a house isn’t just somewhere you go to sleep, you’re going to spend a huge amount of your life there, you need to be happy with your purchase. It needs to do what you need it to do, have the room for you and/or your family to grow in whatever capacity you need to. Settling on something just because it’s close to your job could lead to huge issues down the road and you could unintentionally put yourself in a really tight spot and be trapped in a house that doesn’t have the space you need, and no longer have the means to change.

.

Take a look at reality today while gulping down all kinds of coffee

The United States leadership, the nation and it’s “news” are all functionally delusional, living inside strange inaccurate echo-chambers, and have no concept of how they appear to the rest of the world.

For instance…

Here’s what the American media is saying…

“China is easing quarantine rules”.

2022 11 12 12 39
2022 11 12 12 39

No convinced that this is the American “news” narrative…

2022 11 12 12 41
2022 11 12 12 41

And what China actually says…

2022 11 12 12 42
2022 11 12 12 42

Not yet convinced?

2022 11 12 12 43
2022 11 12 12 43

But why?

Why is China sticking to the zero-COVID policy?

The ONLY thing that has changed is that the 7+3 plan has changed to a 5+3 plan, and people on incoming planes do not “bounce back” if someone on the plane has COVID.

Chinese mainland records 1,504 new confirmed COVID-19 cases

Updated 11:34, 12-Nov-2022
The Chinese mainland recorded 1,504 confirmed COVID-19 cases on Friday, with 1,452 attributed to local transmissions and 52 from overseas, data from the National Health Commission showed on Saturday.

Girl Picking Poppies

Daniel Ridgway Knight

Knight picking poppies
Knight picking poppies

How about a happy thought?

As you probably know — or maybe I have the great pleasure of being the first one to tell you — “Meta,” which is what FaceBook calls itself now because “FaceBook” has the brand approval rating of NAMBLA or The Lincoln Project, just laid off 11,000 of its least useful employees, which, considering that these are “workers” paid to play ping-pong and drink lattes all day in an industry devoted to goldbricking, check-hammering and clock-watching, is really saying something.

The awesomeness of the family station wagon…

07 1
07 1

This stupid question is asked by someone who has no understanding of world affairs.

The US cannot beat China in war. The US will not dare engage China in war and risk nuclear destruction.

The Pentagon conducted numerous war game simulations involving China in the South China Sea, and in each and every one of them, China won. Waging war in China’s backyard is a non-starter.

China has the second largest and most powerful military in the world. Waging war with China is extremely foolish.

Thai Iced Coffee

“This is a recipe request. But, as I love iced coffee and drink it right through from May to September, I thought I’d post for others like me. Sounds delicious.”

thai iced coffee
Thai iced coffee

Ingredients

Directions

  • Add the cardamom to the ground coffee, and brew the coffee; when the coffee is brewed, add the sugar and almond flavoring, mix well and then let the coffee cool to lukewarm.
  • Fill four 12 ounce highball glasses half-way to the rim with crushed ice and then fill two-thirds full with coffee; into each glass, stir about 1 tablespoon of heavy cream.
  • To achieve a layered effect, hold a spoon on top of the coffee and pour the cream slowly into the spoon so that the cream floats on top of the glass over the coffee.

Nothing. China will never relinquish Taiwan.

Taiwan’s status is deliberately ambiguous from the US perspective. Taiwan is not recognized by the UN.

Thus, China regards Taiwan as a domestic matter, and if anybody else interferes, it will be war.

War with China is a very bad idea because…say it with me…China is a major nuclear power.

Unless you fancy living in a Mad Max-style post-apocalyptic world, you will stay the fuck out of China’s business.

Pepe Escobar
November 4, 2022

The Scholz caravan went to Beijing to lay down the preparatory steps for working out a peace deal with Russia, with China as privileged messenger.

With his inimitable flair for economic analysis steeped in historical depth, Professor Michael Hudson’s latest essay, originally written for a German audience, presents a stunning parallel between the Crusades and the current “rules-based international order” imposed by the Hegemon.

Professor Hudson details how the Papacy in Rome managed to lock up unipolar control over secular realms (rings a bell?) when the game was all about Papal precedence over kings, above all the German Holy Roman Emperors. As we know, half in jest, the Empire was not exactly Holy, nor German (perhaps a little Roman), and not even an Empire.

A clause in the Papal Dictates provided the Pope with the authority to excommunicate whomever was “not at peace with the Roman Church.” Hudson sharply notes how US sanctions are the modern equivalent of excommunication.

Arguably there are Top Two dates in the whole process.

The first one would be the Third Ecumenical Council of 435: this is when only Rome (italics mine) was attributed universal authority (italics mine). Alexandria and Antioch, for instance, were limited to regional authority within the Roman Empire.

The other top date is 1054 – when Rome and Constantinople split for good. That is, the Roman Catholic Church split from Orthodoxy, which leads us to Russia, and Moscow as The Third Rome – and the centuries-old animosity of “the West” against Russia.

A State of Martial Law

Professor Hudson then delves on the trip by “Liver Sausage” Chancellor Scholz’s delegation to China this week to “demand that it dismantle its public sector and stops subsidizing its economy, or else Germany and Europe will impose sanctions on trade with China.”

Well, in fact this happens to be just childish wishful thinking, expressed by the German Council on Foreign Relations in a piece published on the Financial Times (the Japanese-owned platform in the City of London). The Council, as correctly described by Hudson, is “the neoliberal ‘libertarian’ arm of NATO demanding German de-industrialization and dependency” on the US.

So the FT, predictably, is printing NATO wet dreams.

Context is essential. German Federal President Frank-Walter Steinmeier, in a keynote speech at Bellevue Castle, has all but admitted that Berlin is broke: “An era of headwinds is beginning for Germany – difficult, difficult years are coming for us. Germany is in the deepest crisis since reunification.”

Yet schizophrenia, once again, reigns supreme, as Steinmeier, after a ridiculous stunt in Kiev – complete with posing as a unwitting actor huddled in a bunker – announced an extra handout: two more MARS multiple rocket launchers and four Panzerhaubitze 2000 howitzers to be delivered to the Ukrainians.

So even if the “world” economy – actually the EU – is so fragilized that member-states cannot help Kiev anymore without harming their own populations, and the EU is on the verge of a catastrophic energy crisis, fighting for “our values” in Country 404 trumps it all.

The Big Picture context is also key. Andrea Zhok, Professor of Ethical Philosophy at the University of Milan, has taken Giorgio Agamben’s “State of Exception” concept to new heights.

Zhok proposes that the zombified collective West is now completely subjugated to a “State of Martial Law” – where a Forever War ethos is the ultimate priority for rarified global elites.

Every other variable – from trans-humanism to depopulation and even cancel culture – is subordinated to the State of Martial Law, and is basically inessential. The only thing that matters is exercising absolute, raw control.

Berlin – Moscow – Beijing

Solid German business sources completely contradict the “message” delivered by the German Council on Foreign Relations on the trip to China.

According to these sources, the Scholz caravan went to Beijing to essentially lay down the preparatory steps for working out a peace deal with Russia, with China as privileged messenger.

This is – literally – as explosive, geopolitically and geoeconomically, as it gets. As I pointed out in one of my previous columns, Berlin and Moscow were keeping a secret communication back channel – via business interlocutors – right to the minute the usual suspects, in desperation, decided to blow up the Nord Streams.

Cue to the now notorious SMS from Liz Truss’s iPhone to Little Tony Blinken, one minute after the explosions: “It’s done.”

There’s more: the Scholz caravan may be trying to start a long and convoluted process of eventually replacing the US with China as a key ally. One should never forget that the top BRI trade/connectivity terminal in the EU is Germany (the Ruhr valley).

According to one of the sources, “if this effort is successful, then Germany, China and Russia can ally themselves together and drive the US out of Europe.”

Another source provided the cherry on the cake: “Olaf Scholz is being accompanied on this trip by German industrialists who actually control Germany and are not going to sit back watching themselves being destroyed.”

Moscow knows very well what the imperial aim is when it comes to the EU reduced to the role of totally dominated – and deindustrialized – vassal, exercising zero sovereignty. The back channels after all are not lying in tatters on the bottom of the Baltic Sea. Additionally, China has not provided any hint that its massive trade with Germany and the EU is about to vanish.

Scholz himself, one day before his caravan hit Beijing, stressed to Chinese media that Germany has no intention of decoupling from China, and there’s nothing to justify “the calls by some to isolate China.”

In parallel, Xi Jinping and the new Politburo are very much aware of the Kremlin position, reiterated again and again: we always remain open for negotiations, as long as Washington finally decides to talk about the end of unlimited NATO expansion drenched in Russophobia.

So to negotiate means the Empire signing on the dotted line of the document it has received from Moscow on December 1st, 2021, focused on “indivisibility of security”. Otherwise there’s nothing to negotiate.

And when we have Pentagon lobbyist Lloyd “Raytheon” Austin advising the Ukrainians on the record to advance on Kherson, it’s even more crystal clear there’s nothing to negotiate.

So could this all be the foundation stone of the Berlin-Moscow-Beijing trans-Eurasia geopolitical/geoeconomic corridor? That will mean Bye Bye Empire. Once again: it ain’t over till the fat lady goes Gotterdammerung.

03 1
03 1

Or not.

Jesus H Christ! Look at the drop on this chart!

2022 11 12 12 06
2022 11 12 12 06

Ok. Please check out this video. Remember they are talking about the Western mRNA injections. NOT the “dead host” vaccinations used in China.

Black Magic Coffee, Sugar Free-Fat Free

“This is my own creation. I made it for a regular coffee mug but for a “Grande” simply double everything and use a tall latte mug. If you want to go all out for guests or your sweetie leave room at the top of the mug for a wallop (forget the dollop!) of whipped cream and sprinkle it with shaved bittersweet chocolate and finely crushed hazelnuts. Time for making this is after the coffee is brewed.”

2022 11 12 11 34
2022 11 12 11 34

Ingredients

Directions

  • Pour fresh coffee into mug.
  • Add the extracts and Splenda.
  • Stir and enjoy!

14 arrested over LA smash-and-grab thefts, but all released as leaders call for end to COVID no-bail policy

Zero.

There are zero reasons for Eastern countries to become more Westernized. Western does not equal civilized. The fact Ukraine and Russia are at war is proof of that. No country should resort to bloodshed to solve problems in 2022 but here we are. The fact the US has been to war for most of its existence is ample proof that the West is not the better path.

The Eastern worldview is not somehow lesser than the West. The Eastern hemisphere is just fine as they are and they do not need any interference from CIA Inc disguised as NGO’s. Stay home, save tax payer dollars and leave the Eastern world the heck alone.

It has been confirmed that the virus came from a US lab. That’s the reason why more than a million Americans died from it because the virus feel most at home in the US. And about the US vaccine, let’s just say that covid is still killing many Americans every day.

Red Eye

“Very strong coffee for those days you just do not want to get up.”

2022 11 12 11 47
2022 11 12 11 47

Ingredients

  • 1 ounce espresso
  • 1 cup hot coffee

Directions

  • Combine in a glass.

Court: Biden Student Loan Forgiveness UNCONSTITUTIONAL

People who were glad that illegitimate President Joe Biden put forth Student Loan Forgiveness got a big dose of reality Thursday, when a US District Court Judge struck down the plan as “Unconstitutional.”   Student Loan debt cannot be forgiven by a US President.

United States District Judge Mark Pittman, a Trump appointee, scolded Joe Biden in a blistering opinion.

Joe Biden unilaterally announced a massive forgiveness of student loans in August.

Biden canceled up to $10,000 in student debt for borrowers who earn $125,000 a year or less and up to $20,000 for recipients of Pell Grants.

The student loan bailout plan did not pass through Congress as Joe Biden falsely claimed – and Judge Pittman BLASTED Biden for ruling with a ‘pen and phone.’

“In this country, we are not ruled by an all-powerful executive with a pen and a phone. Instead, we are ruled by a Constitution that provides for three distinct and independent branches of government…The Court is not blind to the current political division in our country. But it is fundamental to the survival of our Republic that the separation of powers as outlined in our Constitution be preserved. And having interpreted the HEROES Act, the Court holds that it does not provide ‘clear congressional authorization’ for the Program proposed by the Secretary,” Judge Pittman wrote.

“Whether the Program constitutes good public policy is not the role of this Court to determine. Still, no one can plausibly deny that it is either one of the largest delegations of legislative power to the executive branch, or one of the largest exercises of legislative power without congressional authority in the history of the United States,” United States District Judge Mark Pittman wrote.

Elaine Parker, President of Job Creators Network Foundation, which brought the lawsuit, reacted to the ruling on Thursday.

“The court has correctly ruled in favor of our motion and deemed the Biden student loan program illegal. The judge criticized the Biden Administration program, calling it ‘one of the largest exercises of legislative power without congressional authority in the history of the United States.’ This ruling protects the rule of law which requires all Americans to have their voices heard by their federal government,” Parker said.

“This attempted illegal student loan bailout would have done nothing to address the root cause of unaffordable tuition: greedy and bloated colleges that raise tuition far more than inflation year after year while sitting on $700 billion in endowments. We hope that the court’s decision today will lay the groundwork for real solutions to the student loan crisis.”

If you want to have any relationship with your daughter whatsoever, you cease your regulation of her job money and you give her debit card back. Also, make sure to put an apology in there, too.

What you did was an absolutely asshole move. Not just an asshole move, but one that can have severe repercussions going forward. Your daughter has her own body with her own needs and desires, which she is trying to satisfy in a way that is safe and private. Would you prefer she meets those needs with some man who would be all too happy to oblige?

Using the aid, she can’t contract an STD, she can’t get pregnant and she can’t have her heart broken. What you did is make ALL THE ABOVE the alternative that she might be opting towards.

Stop being an asshole, stop denying the bodily autonomy of others and do your best to mend this fence. Because as things stand, she is gone as soon as she hits eighteen.

.

Here’s a personal anecdote.

In the early 2000s, I was living in Hong Kong and traveling a lot in China for work. Candidly, I didn’t really enjoy traveling there. Credit cards weren’t widely accepted. Cash (usually via grubby renminbi notes, many of them counterfeit) was king. Trains were slow. Airports were congested (and pretty crap).

Then in 2009, I went to live in Europe for 3 years, returning to Hong Kong in 2012.

My first trip into China after coming back to Asia was disorienting. In the 3 years I was away, an extensive high-speed rail network had somehow been constructed. My rmb notes were no longer welcome at restaurants or street stalls; most people now used mobile payment platforms such as AliPay and WeChat Pay.

Candidly, I was stunned how much had changed in three years. And that goes a ways to explaining why people still think China is poor: because not so long ago it was poor.

Combine that with the fact that relatively few Westerners actually set foot in China and know anything about the country beyond what their media outlets tell them, and it’s not surprising that plenty of misconceptions about China exist. A mainland Chinese friend was sharing with me how even in Hong Kong, which reverted to Chinese sovereignty 25 years ago, some 2.5 million HKers have not visited the Mainland within the last 5 years. And yes, many of them have an outdated impression of what the country is like.

Because as I found out, that’s a really long time in China.

Evergrande has survived 13 1/2 months

Evergrande has paid back 36% of all Depositors in Mainland China with money and 26% of all depositors with property shares – in FULL

Evergrande has completed and delivered 1710 homes out of the 13000 homes yet to be delivered in November 2021 while it has surrendered another 3300 homes to the Governments of Xian, Harbin and Hubei. That’s roughly 37.8% commitments closed in a single year.

Evergrande s CEO has coughed up almost $ 1.3 Billion of his own money and properties in Mainland China and Hongkong.

When did you last see a company pull something like this in a Democracy?

Enron? BUST

Lehmann Bros? BUST

Kingfisher? BUST

Barings? BUST

The reason has already been highlighted by Kevin Wong except he uses “Command Economy” instead of “Mixed Economy”

In laymans terms – THE LAND BELONGS TO CHINA!!!

If say an Indian builder like Raheja failed to deliver flats, he would go to jail and the land would remain empty for 20 years courts decide.

In China it will take the Chinese Government maybe a month to start building flats with someone else or even their own Construction Companies used in City Development

Meanwhile the other leases will be taken away and handed to the bank who will sell the leases to someone else and get their money back.

Or the Government will buy back it’s leases with all that delicious export moolah that China rakes in.

Its a closed system.


Will Evergrande Collapse?

Oh sure.

Evergrande stands today to finish it’s debts and ensure the Public in China don’t suffer the consequences.

In US, you have a Chapter 11 and you can retire to Florida and saddle your investors and depositors with debts saying “Hey!!! That’s Capitalism”

In China, you have ECONOMIC OFFENCES and you and your family pay a very big price including loss and dishonor of several generations

Eventually Evergrande will Collapse and the Government will watch it’s collapse like an Oncologist watches a cancerous tumour being surgically removed.

Maybe by Dec – April 2023 or slightly later


Is the problem over with Real Estate, once Evergrande is finished?

Nopes

Xi Jingping feels that Real Estate is too badly speculated and has crashed all demand due to torrential prices.

Yet Real Estate Instruments are also a prime source of Income investment for Chinese People and if Real Estate Crashes then so does the Income from these instruments.

You see the situation?


So what is China doing?

On one hand, the low demand is perfect for reducing prices and speculation. A Flat once costing 5.6 Million Yuan now costs 3.1 Million Yuan with a 40 year payment model instead of 25.

Many Big Developers have been told to accept fixed instead of speculative profits

Slowly Xi hopes people will buy homes again. The key target this time are the 91 Million Families that dont own any homes instead of the ones who own multiple homes and keep buying homes for investments.

And the Investors?

Ah!!! Sadly they will slowly take out whatever money they can because those 15% rates will simply be impossible now.

I predict by 2027, Investors would lose roughly 747 Billion Yuan ($ 111 Billion) which would be bad but something the CPC has just accepted will happen.

Better to break off the Band Aid instead of keeping appearances and losing 7 Trillion in 10 years time.


How will this end?

Socialism in the Real Estate Sector

Not full Socialism but no more Capitalism for sure.

By 2027, Homes will be available for Fixed rather than Speculative prices.

The Real Estate Sector contribution to GDP will fall from 26% in 2012 to 6.1% in 2027.

More and More Chinese will own homes and the bloating would have ended long back.

The Wealthy 5% will cry but the Median Income Group would cheer.

Yet what can the Wealthy do? The CPC belongs to Xi Jingping and his loyalists for the next 30 years at least.

It would be a Great End for the People of China with Minimal Repurcussions


Would it lead to the Collapse of the Chinese Economy?

No. Of course not.

Not a chance.

A Probable $ 100–120 Billion Public Hit would not even Dent the Chinese Economy

Even if the problem is 10 times worse (And that’s impossible). That’s $ 1.2 Trillion which would cause a stagnancy of (-) 1% on Chinas Growth for 10 years which would bring down aggregate growth from 4.13% to 3.13%

That’s still a whopping $ 566 Billion a year added to the economy

Still more than USA


So why did the West make so much fuss about Evergrande?

Simple

They hoped Xi Jingping would somehow lose support within the CPC if enough speculative damage could be done with Evergrande

They hoped somehow Li Keiqang or someone like him could take charge

Now they will rake up Evergrande again until January, hoping that at least XJP will not become the President of China at the People’s Congress Meeting.

Not a chance of them succeeding!!!

Mexican Coffee (Café Mexicano)

“Posted for the Zaar World Tour 2006-Mexico. This drink is so yummy and definitely warms you up on a cold day.”

2022 11 12 11 44
2022 11 12 11 44

Ingredients

Directions

  • Place Kahlúa, brandy, chocolate syrup and cinnamon in a coffee cup or mug. Fill with hot coffee. Stir to blend. Top with sweetened whipped cream.

I was meeting with the CEO of a large oil company to gain insight on business. I won’t disclose his name but I can tell you he had a net worth upwards of 8 figures. I was excited to meet him and drove over 4 hours to Atlanta to catch him before his flight left.

He was very kind, but you could tell that he was stern when he needed to be. Instead of picking a five star restaurant, he chose a small burger joint.

We talked about innovation, marketing, management, accounting, investing, and a few other things.

We got to the end of our lunch and I asked, “is there any one thing that’s contributed to the success of your career?”

Being the CEO of a large oil company, I expected him to say something like:

“Work hard”

“Don’t take crap from anyone”

“Profit comes first”

But instead, he said:

.

“Tithe.”

“Tithe?” I asked, a bit surprised.

“Yes, never forget to tithe.”

“Like give to the church?”

“Well, yes that’s a form of tithing. But a great way is to give to those less fortunate than you.”

I left our meeting a little confused. I was in the process of starting a business and didn’t have much to spare. I thought, “I barely have enough to get by, how am I supposed to give?”

Right at that moment I drove passed a homeless man.

And then it hit me.

No matter where you’re at in life, there’s always someone doing worse than you.

I immediately drove to Target and purchased supplies to make care packages. I was literally making sandwiches in my car. I put them in bags along with clothes and other items.

I then went around Atlanta handing out these bags to homeless people.

They were just the essentials. Nothing fancy. But from the looks on their faces, you would’ve thought I had just handed them a gold bar.

I can’t explain the feeling you get from giving to those less fortunate, but it’s wonderful.

It’s something that’s always stuck with me.

And if you dig deep enough, you’ll find that every super successful person participates in some form of tithing, whether it be money or simply time.

The reason is not only because you get to help people, but also because it puts you in a state of abundance.

I promise you that for every bit you give, you will get tenfold back.

“Never forget to tithe.”

main qimg 381909675403bb766b623ed0eef9d780 lq
main qimg 381909675403bb766b623ed0eef9d780 lq

Some major changes in the world right now and we must deal with it

I made a you-tube video on this subject today. It’s worth a watch if you haven’t already seen it.

Anyways, please have a good day, and here’s today’s postings…

Let me relate a few of my experiences:

This weekend, I was driving to a place about 175 km away, on an official holiday weekend with my kids. Hubby’s out of the country, so it was just the 3 of us. I had about SriLankan rupees 2000 with me, of which I used 300 for the highway toll.

About an hour away from my destination, I had a small accident. Stopped the car, and the lorry, with whom I had collided, stopped too. Called the insurance guys, who warned me it would take them about 45 minutes to reach there, as it was quite far from the nearest town. So the lorry driver told me he had no insurance, and since I agreed it was my fault, he requested me to pay him about 2000/- so that he could fix his damages. But still, he waited with me for an hour till the insurance issues were sorted out. At the same time, a shopkeeper there advised me to park the car at the side of the road, keep my parking lights on, go and wait inside the car till the insurance man came. He also helped in giving directions.

The lorry driver waited till I finished, and I had Rs.1600/- with me in change. He took that and said never mind, I will manage. I requested him to come to the nearest ATM so that I could give him more cash, but he refused.

So I reached my destination, and the next day, me and another friend / colleague suddenly decided to go to a famous temple nearby. Now remember, I had no cash with me, and she also didn’t have her handbag with her, as it was a sudden decision.

Going up to the temple, my daughter was told to wrap a shawl around her, as she was in sleeveless t-shirt. We didn’t have money to rent one even. So one of the sellers gave one for free, and requested us to return it. Similarly, when we came back to the car park, I had, 30/- in coins, while the ticket was for 50/-. The lady again said its okay, and refused the money.

While walking up, being large, I was panting a bit, and the hawkers supported me by encouraging and showing me the best path to walk.

This weekend, I was faced with so much kindness from the Sri Lankan people, that I am truly glad to be living here. I’m sure this is there in the rest of the world too, but I faced it continuously here.

That’s what its like to be in Sri Lanka.

Come to Hong Kong, the border is open and there’s no quarantine.

You will see this flag everywhere.

main qimg cfe1cc40906c2a3c96c34e52e00799bd lq
main qimg cfe1cc40906c2a3c96c34e52e00799bd lq

Along with this one

main qimg 8d09f5a34e3c198e3ff160e39eafd4bf lq
main qimg 8d09f5a34e3c198e3ff160e39eafd4bf lq

Does either of those flags look like the US flag?

He hasn’t broken any Chinese laws so why should we seize stuff? Or are you saying that US sovereignty extends into our borders?

Simply Sour Cream Chicken Enchiladas

“Easy to assemble, deliciously creamy and a great choice for once-a-month cooking. And there is NO canned cream soup in my enchiladas — Hope you give them a try!”

2022 11 11 15 09
2022 11 11 15 09

Ingredients

Directions

  • In a frying pan, cook chicken and onion together in oil over medium-high heat until chicken is just done.
  • Divide cooked chicken evenly between 8 tortillas; add 1 1/2 tablespoons cheese to each tortilla.
  • Roll enchiladas and place seam-side down in a 9×13″ baking dish that has been lightly sprayed with no-stick cooking spray.
  • Melt butter in a medium saucepan; stir in flour to make a roux, stir and cook until bubbly, and gradually whisk in chicken broth then bring to boiling, stirring frequently.
  • Remove from heat; stir in sour cream and green chilies.
  • Pour sauce evenly over enchiladas.
  • Top with remaining 3/4 cup cheese (a baking dish may be double-wrapped and frozen at this point) and bake at 400° F for 20 minutes until cheese is melted and sauce near edges of baking dish is bubbly.

OMG! This is a MUST SEE. What the HELL?

Jesus H. Christ!

Andrea Kowch – Soiree (2019)

wj7px5glhqt91
wj7px5glhqt91

I’ve seen life in China and I’ve seen life in America. Life in China is a lot safer, a lot fairer, and a lot more peaceful. That pretty well makes the decision for me.

When I was in China, I felt very, very safe. I could walk out in the dead of night on empty city streets (in Beijing and Shanghai) and have absolutely no fear of being mugged or shot. Not so in America when I was in Seattle, San Francisco, Boston, and New York City.

Rampant gun violence and daily mass shootings are a genuine problem in America.

In China, health care is very affordable. Fees are very low. Not so in America where millions of Americans go bankrupt over medical bills because they can’t afford the insurance premiums.

In China, there is no homelessness. But I’ve seen homeless people in San Francisco and New York. And I understand there are many homeless people in every major city across the country.

China hasn’t fought a single war since 1979. But American soldiers have fought and died in many wars around the world in the same time period…Afghanistan, Bosnia, El Salvador, Iran, Iraq, Kuwait, Lebanon, Libya, Nicaragua, Pakistan, Panama, Somalia, Sudan, Syria, Yemen, Yugoslavia, etc.

I think I’ve made my point.

Woman Describes What Life Is Like When You Are Ugly

First, you have to go back in time to when you’re a child. From a young age, you start hearing comments about your appearance. Random family members will say things like, “You may not be pretty, but you have brains. Being smart is so much more important.” Or, “Don’t worry, you’ll grow into you looks some day.”

Even starting preschool, you start getting mean comments. Kids call you names and point out any flaw you have. Kids don’t want to play with on the playground, and will tell you it’s because you’re too ugly. You get older and get used to mean comments and exclusion. But it gets even worse when you reach jr. high. The boys start making jokes about how gross you are, say things like, “I wouldn’t fuck her with a paper bag over hear head.” They laugh and make comments while other students join in. They pretend to ask you out, while laughing, and then run to their friends joking about how disgusting you are.

Mean girls make fun of your clothes, shoes and anything you do or wear. When the teacher assigns them to be partners with you on a group project, they act visibly disgusted, and beg the teacher for a new partner. The teacher then laughs and says, “In the real world you have to work with all types of people you don’t like, so get used to it.” Deep down you’re dying cuz all you do is act polite and normal, yet you’re hated and there’s nothing you can do about it.

Of course you make some friends, but they feel awkward and uncomfortable when they see you being bullied. They like you and feel bad, but there’s nothing they can do about it. It’s humiliating, because you don’t want your friends to pity you. You come home from school, sad and depressed.

You know the way you’re treated is because of physical features you can’t change. Your mom tries to comfort you by saying, “You’re beautiful the inside”. Your dad makes light hearted jokes to cheer you up, “Fuck those vapid mean girls, one day you’ll grow up to be rich , and you’ll be laughing at them.” Deep down you know this isn’t true, but you try and focus on other things.

You get older. Start high school and begin puberty. You see all the girls you are in school with look more beautiful than ever. Your body and face does not look like theirs at all. You see them in the locker room and they all look normal. Your saggy uneven boobs, and boxy body fail in comparison to them. You ask yourself why this happening. You ask god, why your body isn’t pretty like other girls! Why your boobs and body are misshapen! You didn’t do anything! You just grew wrong.

Every time you look in the mirror you want to die. Everyday you see girls better looking than you, and feel intense envy! You start to feel lonely. You see other girls getting boyfriends and going to dances. You realize no guy would ever want to date you, and those pretty prom dresses wouldn’t even look good on someone as oddly shaped as you.

Boys are still mean to you, or completely ignore your existence. You try and talk to a guy you have things in common with, but he acts uncomfortable and tries to leave the conversation immediately. You then see that same guy laughing and flirting with another girl, five minutes later.

Valentine’s Day is sin fuel. You see all the girls getting flowers, candies, and cards. You get nothing. You can only imagine what your life would have been like if you were genetically normal.

You want a boyfriend, but guys won’t even treat you like a human being. They either see you as a joke, or they don’t see you at all. You walk home from school, and you hear grown men yelling obscenities to you from their car windows. “Kill yourself fatty”, or “Damn that’s an ugly bitch”. This happens every time you go for a walk.

You graduate high school with very little social experience, a few friends that you hang out with occasionally. But they have other friends and party’s to go to, so they’re busy a lot. They don’t invite you, because they assume you won’t enjoy it. You don’t know why they think that, because you’ve never been to a party, so even you don’t know if you’d like it or not. You understand that it’s probably because your ugly, and you’d probably be excluded from conversations anyway.

You get a job and realize work and high school are weirdly similar. No one openly bullies you, but people treat you rudely and ignore you. The Beckys, Stacys, and Chads are treated better and given more respect. You are invisible and only a few people talk to you and are nice. You’re used to it though. You try and talk to your single male co-workers to see if maybe one of them may possibly be interested. Sometimes you forget you’re ugly for a moment, and think you have a shot. Nope, he’s not interested, and is a little insulted you even tried to flirt with him. He’s obsessed with a Stacy, that is in a happy relationship and barley knows he exists. Oh well you focus on your career.

You try internet dating. Tinder is a toxic waste dump, but you’re desperate. You get very few matches. When you match with someone, you text, “Hi, nice to meet you”, and then they unmatch instantly. Eventually you switch to bumble because you hear it’s less sleazy. You get a few matches to text back. Only one word answers. No effort. You don’t get asked out on dates, only Netflix and chill type deals. Also you have to drive to their place, and don’t forget to eat before you come, there won’t be food. You go. Remember no man has ever even had a conversation with you before.

You jump at any opportunity to get to know someone. You want a connection. You want to feel like a Prom Queen on Valentine’s Day. But you quickly realize that’s not going to happen. The men you meet are not nice. They don’t want to get to know you. They don’t care about your hopes, dreams, or career ambitions. They don’t even seem to like you. You try to get to know them, but every conversation turns sexual. You dodge, but then they get annoyed. They say oddly mean things like, “You’re not really my type”, or “I was hoping you’d look better than your photos”. They tell you, “you should leave before my roommate gets home”. You’re crushed because all you ever wanted was love and acceptance. You know that because you’re ugly, you’ll never find a good man that will love you, and treat you well. You’re lonely and it never gets better. The end!

Well, they got their ass well and thoroughly kicked and handed back to them on a silver platter. It’s bloody embarrassing. So they’re trying to change the narrative with not-so-subtle spin.

Pizza Hut unchanged

“The Pizza Hut in my hometown looks unchanged from the 1980s when I first came here.”

hey thats awesome 3
hey thats awesome 3

To be frank almost all American made electronics are manufactured in China or South Korea or Taiwan or Singapore barring some really high end ones.

The Ipods you used once to listen to music, they were made in Suzhou in China.

Likewise Iphones, Processors, Memory, Toys, Televisions, Consumer Electronics and Drones – regardless of who the designer is and the brand owner is, the odds that some or all of it was manufactured in China is close to 95%

Since both US and Chinese brands are manufactured in China, the difference in quality being due to Country of brand ownership is ridiculous.

The difference was initially in the Design

US Designs were more Aesthetic, Less Bulky and had a more efficient heat transfer mechanism

Plus US were masters at Branding

Thus US Products dominated the Top Markets and the High Bracket Consumers Globally and in China

Chinese Designs were less Aesthetic, bulkier and had a less efficient heat transfer mechanism

Yet they had the most attractive consumer attribute -Price!!

Plus China had no clue about branding

Thus Chinese Products dominated the Low End and Medium Bracket Consumers Globally and in China.

Slowly this began to narrow down very sharply in favor of China

China rapidly improved it’s designs and it’s bulkiness and it’s quality and today can be compared with on par with many US Products

Yet US could not improve their Prices and their Branding could not beat the Price to Quality advantage that China offered.

So China began to rapidly claiming market shares in the Higher Consumer Markets that were once the forte for Western Products and maybe Japan.

Now the West has to resort to underhand tactics to stay competitive against Chinese products.

Plus Chinese Products are slowly beating American Products hollow in the Domestic Markets and Asian Markets

Only Seven Global Brands -Intel, Qualcomm, Android, Microsoft, Apple, Tesla and Volkswagen are selling more than their Chinese Rivals today.

Sony, Samsung, Toyota, Panasonic, Electrolux and more than 60 Brands have all been overtaken by Chinese Products domestically and in many cases even within Asia.


So No

Chinese Products and American Products for the same segment today have very similar quality. US products have a mild edge on Quality, Chinese Products have a larger edge on Price.

Product quality depends on the Segment rather than Nationality of the Brand.

High Value Consumer Segments will be better quality than Low Value Consumer Segments

What Are The Downsides of Living In Japan?

Lots of mindless, pointless paperwork for everything. If you want a new phone contract, for example, come back in two weeks because the phone company had to contact the manager of the branch, who has to contact the district manager, who has to contact the regional manager, who has to contact the president of the company to approve it, and all of them have to hanko (sign via a special stamp) the documents approving you. (This may be slightly exaggerated, but only slightly.)

Houses are generally built on top of each other. Most places you can literally reach out your window and touch the next house… assuming you can find a house and not just a tiny apartment.

Houses are also not an investment here.

They’re more like cars–once you drive them off the lot, they depreciate in value immediately.

No one wants a “used” house, here.

After 30 years they just tear them down and build new ones. (Or they just keep living in dumps for years and years because of money/legal reasons.)

Land is what is valuable, but they have loads of rules about how much you can own, what you can build on it, and so forth. If you want a modest house with a big yard for your dog, don’t come to Japan. (Case in point, I live in a cute, tiny old run down Japanese house by myself.

All of my American friends, upon seeing photos, say, “It’s so cute and small!” All of my Japanese co-workers say, “Don’t you feel lonely by yourself in that big house?”)

No napkins or paper towels anywhere. Also, no trash cans. You have to carry your trash with you until you find either a convenience store or your house to throw it away. (Also they take recycling to an extreme here. Which I totally approve of. But making sure you’re properly washing and sorting your garbage and disposing of it on the right days can be an ordeal.)

Because I am not Japanese, I will always be a foreigner. Even if I live in Japan for 80 years and learn perfect fluent Japanese and have a Japanese spouse and Japanese kids, I will always be assumed to be an ignorant foreigner and treated differently as such. Obviously people I see every day would eventually know better, but it’s frustrating to say “konnichiwa” and have a stranger go “WOW YOU SPEAK SUCH GOOD JAPANESE” based on that single word. Or questions like, “Can you use chopsticks?” or “Can you eat sushi?” etc can get tiresome.

Not the end of the world, but worth mentioning.

The price of fruit is really high, usually. I suck it up and deal, but it is a downside, especially because I really like fruit.

EVERYTHING is “seasonal.” (Not EVERYTHING but almost everything.) If it’s not the season for corn, you pretty much can’t find corn in the supermarket. If it’s not the season for strawberries, forget it. If it’s not the season for grapes you can’t find them.

Some of it makes sense, some of it has taken a lot of getting used to. Japan LOVES it’s seasons, and really celebrates them with a changing menu. I’ve learned to embrace and look forward to the changes, but it can be frustrating.

Cars are expensive, parking cars is expensive, and toll ways/freeways are even more expensive. This isn’t an aspect I deal with much because I don’t have a car, but it is part of the reason why I don’t. Too expensive!

Gay rights are lagging behind the rest of the world, if that bothers you. The country isn’t very religious (and the dominant religion is not Christianity) so there isn’t bigotry for gays in the way that there is in America, but same-sex marriage isn’t recognized here, and it’s extremely rare to see openly gay people out and about. Partially due to Japanese intensely private home lives, but also due to a general attitude about gay people kind of just not existing. (Which is obviously false.) It’s getting better, but it’s still got a long way to go.

Censored porn. With the internet it’s not really a big deal, but it is still nevertheless mind-boggling.

Racism is real here. Not so much against blacks (though there is some of that), but against other asians. All Japanese seem to hate Chinese and Koreans. There’s good reasons for it too, considering the wars and the hoards of rude tourists from those countries… but it’s a blanket statement that tends to bother me. “I hate rude Chinese tourists” is very different than “I hate Chinese people”, you know?

Most of my elementary school aged students hate Korean and Chinese people–for no reason other than that their parents do.

Which leads me to the fact that individuality and critical thinking is not valued well here. Japan works well the way it does because of the “group think.” The reason people don’t steal your wallet is because they wouldn’t want someone to steal THEIR wallet. It’s a polite world where no one wants to stand out.

They have a saying that the “nail that sticks out gets hammered down” and so forth. Conformity is key. Don’t express your opinions, don’t stand out, don’t draw attention to yourself.

This is great when you’re on a super crowded train and everyone is quiet and polite and it’s almost peaceful despite the crowds. It’s not so great when you’re trying to encourage an eight-year-old to think for themselves, to express their opinions.

Even getting them to say what their favorite fruit is sometimes can be difficult. By the time they’re in junior high school, it’s impossible. They don’t want to ‘think’… they want to know the right answer so they can regurgitate it to me.

The education system here is all kinds of broken… but that’s true of America as well. They’re broken in completely different ways, but they are still both quite broken. The Japanese education system is maddening. Too much focus on tests and memorizing answers.

– JustVan

Strange death

878577
878577

I have lived in China for 20 years, and I have never been to a restaurant serving dog meat, nor have I been invited to eat dog meat.

I believe that there are westerners who search out these places, then publicize them to get attention for themselves, and to make Americans think that this is something Chinese do on a regular basis.

It would be like a Chinese saying that white Americans shoot African-Americans for sport. The US has racial issues, but that would be an untrue claim.

Confessions Of An Asexual Woman

To be honest, it feels completely normal. I can’t imagine being any other way, therefore I can’t say definitively how it is so different from not being asexual. Not to say that I haven’t perceived some differences while growing up.

While in school, most notably middle school, when relationships were becoming more and more of interest with my peers, I always wondered what the point was. Because I felt no internal stirrings of being physically interested in anyone I misguidedly perceived that everyone else was feeling nothing, which lead me to wonder “Why”. It was hard for me to think of an end game.

Eventually, I realized that they were feeling sexual attraction which made sense to me, but oddly enough I never actually wondered why I wasn’t feeling it as well. My first question was answered and my quandaries kind of stopped at that point. I started reading more about sexuality and books with relationships, alright I admit it, with romances in them, and piece by piece I felt more and more clued into what I couldn’t directly experience. For the most part I supplemented my understanding of sexuality and relationships with various forms of media, and yeah that includes porn. Really who hasn’t seen it?

When I found out about someone having a crush on me I felt absolutely nothing. Most of the time I wondered why they felt I needed to know that bit of information since I couldn’t conceive anything resulting from that piece of knowledge. Whenever there was situation where it was an opportunity to think about myself in a relationship or in a situation where I was a participant in intimate activities, my mind just came up blank. Conceiving of other people in those situations, no problem. That is easy to imagine but myself, I couldn’t think of anything even if I tried.

So, slowly and surely I began to wonder why I hadn’t felt anything yet, or even imagined anything like that for myself. I eventually confided in a gay friend pondering that I might be gay since I couldn’t feel anything for guys, despite the fact that I also felt nothing for girls. But at that point, I like many people mistook thinking that being gay is at least an absence of feeling attraction for the opposite gender. But telling that friend was a big mistake since I believe he immediately told people that I was gay. Not that it changed anything, my high school was extremely tolerant. Our homecoming king was openly gay for god’s sake. So after that I stopped wondering if I was gay or not since I did realize that I also felt nothing for females.

Then I entered into the mindset that it will happen when it happens. Maybe from some of my mom’s trashy romance novels (Note the two adjectives: trashy and romance. I am not saying that all romance novels are trashy), I got the idea that my sexuality will one day be unlocked by some guy that comes along, or girl (I was still open to finding out that I was gay all along). That people only feel their sexuality as a response to other people rather than it being internally fueled. It kind of went against what I had learned but it was the best idea I could apply myself since otherwise I had no answers about myself. It was a stand in answer so that I didn’t have to many questions about myself.

Eventually I met a guy who was classically attractive, was interested in me and I found a bit interesting. Mostly, I was curious about dating. I couldn’t pick for myself so I let someone pick me. I was kind of shocked with the intensity this guy courted me. Never to the point that I felt in danger but just that I was surprised at the first hand experience of such intense attraction, or really any attraction to me. Eventually he asked if I was a virgin, and then when he found out that I was one he asked why. And still I couldn’t really give an answer. I didn’t have religious reasons, and after high school I had realized that I never had a crush either. I knew I wasn’t a prude. I just never felt like that towards anyone. Eventually I broke it off because I couldn’t really understand his quickly escalating feelings nor reciprocate them.

Eventually I got into a relationship, my longest with a guy who I actually liked, he wasn’t what I found aesthetically pleasing but he was intellectually stimulating. At first I just assumed that he was like me not as inclined to physical contact. When I was with him I never thought about kissing or any other intimate contact, which is why after three months of dating he just kissed me right after seeing a movie, I was surprised. But I didn’t feel anything really. And then again I questioned why I wasn’t feeling anything. I would try to give myself goals, to do things that I thought where just done in relationships. Like “Next time we go to the movies, lets make out.” But in terms of physical activities such as that in the moment I would never be able to think of it. It was like as soon as a person comes into the room it was nearly impossible for me to even think about sex.

But just because I had a hard time just thinking about sex or physical contact at certain moments, didn’t mean that someone else wasn’t thinking of it. Eventually started inquiring about more contact, eventually asked me point blank if I was a lesbian since I turned down all of his advances. Then I got into the mindset that I just needed to start doing it and then I would like, despite the fact that I knew sexuality didn’t work that way. But in the reality of no answer, I just defaulted on the same tired old explanations of female sexuality, which are flat out wrong. I started lying that “sure yeah” I liked this or that, just to get him to move on to the next thing or to just get it over with. But each time my hope that it would get better, was diminishing every time I did anything with him.

Activities with him became a bit stressful. I would be careful try to not use any sexual jokes, innuendos or wear anything that would remind him that he liked to touch my body. And I never knew when he would request contact. Some times it would be a great time with him, making fun of bad movies, cracking jokes at the expense of old co-workers, playing card games, and talking about our days. I would always breath a sigh of relief when he didn’t request contact on those days. But when he did request contact I froze and would request time to mentally prepare which was me sitting in the bathroom alone.

But then he started to become more morose and moody. He didn’t want to communicate with me what was wrong, and I was honestly so clueless about the fact that lack of sexual contact was really that distressing to most people. Also it was the obvious fact that his girlfriend clearly didn’t find him sexually attractive and visibly was distressed at the idea of sexual contact with him. He felt unloved and unwanted, he knew that I cared for him but still he couldn’t change the fact that my lack of reaction to him deeply effected him. He did try. He always asked me what I would like or want. That he was open to ideas for us to try, but at those times I always drew a blank.

I honestly felt like there was something wrong with me. I looked online for some type of female arousal medication, something that would make me want sex or any type of contact, but I didn’t find much. Compromise in this relationship didn’t feel like much of a compromise. I didn’t want to have sex or any touching, while he did.

Long story short, I was watching a show on the LGBT channel LOGO called 1 Girl 5 Gays (1G5G) and the host asked the question, “Do you think asexuality is real?” and I don’t know why I perked up at that question since how the question was answered it didn’t even explain what it was. So I looked it up, and felt so much relief that I could actually put a name to what I was feeling.

And long long story short. You know what happened we eventually broke up since building feelings of resentment and bitterness were starting to ruin genuine good memories I spent with person. We are still friends. I still use his Netflix and he can use my HBO GO account. That type of thing. We still talk, but now it is such a relief that I no longer have to be ever anxious to perform things I honestly could not keep doing any longer.

And long long long story short. Something happened that I never thought would. I actually met face to face another asexual, and not putting names to anything, but now having a close friendship with someone without the worry of having to deal with sexual attraction or sexual needs is so incredibly freeing. In this relationship I haven’t felt so relaxed before, I was beginning to think that it was somewhat impossible for me.

So now I hang out with a person in which I just feel totally normal. Other people looking into our relationship probably think it is a “normal” relationship that includes sex, we are well aware of this and we laugh it off. For the first time I am really optimistic about relationships.

So yeah, being asexual, it feels normal but you are aware that others are humming to a tune you can’t quite hear but you can hear everyone else humming. And people are expecting you to dance to this tune, and dance partners are frustrated that you can’t find the beat and/or that you don’t feel the need to dance. If you don’t know you are asexual, relationships can be difficult, confusing, and a bit painful. But once you know your orientation, things get much easier when you have to communicate what you can and can’t do in a relationship. The big thing is knowing yourself and not letting anyone else dictate to you what you are and what you really want and need.

Chloe Shani Malveaux

Two cats

70830328 760814454369362 982652686401513663 n
70830328 760814454369362 982652686401513663 n

Anyone who cannot see the hypocrisy is either blind, deaf or dumb.

The US has been at war 250 out of 275 years of its existence, China has not been in a conflict for 43 years straight. During which time the US fought 20 nations but the joke is China is aggressive but US is not? What a joke. But the bigger joke is some westerners actually buys that lie!

The US and NATO screamed democracy and freedom of choice and when Sadam Hussein and Colonel Gadaffi chose not to trade in Dollar, they are murdered! Democracy is be submissive and subservient to us or we will carpet bomb you. If you are too strong or has Nukes we will sanction you so that your people die without medication or starve to death. Is this democracy? It seems very authoritarian to the world.

The US and UK steal and snagged hundreds of Islands by murdering the ruler and claimed China and Russia has ambition to occupy another land. In fact the US is stolen from the native Americans of doing genocide and murdering 25–50 million of them yet fictitiously call Chinas genocide even though the Uyghurs population grew by more than double.

The British is the most despicable colonialist racist people on earth yet is calls China a threat? Threat from what? Threats on not being able to slaughter natives at will? It’s really a joke and a sickening hypocrite. The Queen wears stolen jewel from India and South Africa at her funeral telling the world we are the thief so what can you do about it!

And they are the 5 eyes or shall I say 5 Anglo white eyes to watch who abused human rights. The slave torturer, the native slaughterers, the nation that murders 50 million since its birth a mere 275 years ago guard human right. The thought of this makes the world want to puke!

Two teenagers killed their Spanish teacher over bad grade

A pair of teenagers in Fairfield, Iowa are going on trial for murder — after they allegedly beat their Spanish teacher to death over a bad grade.

314365211 1544179322679228 3598193454860851719 n
314365211 1544179322679228 3598193454860851719 n

Prosecutors say Jeremy Goodale and Willard Miller ambushed 66-year-old Nohema Graber while she was taking her daily walk in the woods in November of last year, beat her over the head with a baseball bat, then hid her body under a tarp and a wheelbarrow.

Goodale and Miller have both been charged with first-degree murder and conspiracy to commit murder and will be tried as adults.⁠

In Iowa, the penalty for a first-degree murder conviction is life in prison. Iowa supreme court rulings require juveniles convicted of even the most serious crimes to be given a chance for parole.

Kekoa

When my wife and I decided to adopt Kekoa from the shelter, we first had to pick up the necessary supplies, get his room ready, and grab a quick lunch.

In between munches, we debated the different names to give him that matched his personality and his upbringing.

After much deliberation, we found that ‘Kekoa’ matched him best, which is Hawaiian for‘Brave and Courageous’.

Before he was brought to the shelter, he lived a hard life on the streets. He had wounds on top of wounds, and at one point, his tail had been broken in multiple places. Poor kitty!

Because of his rough life, we felt that Kekoa matched him to a “T”.

As best as the shelter vets, and our personal vet could determine, he was 7+ years old. Not much to go on, but it’s enough for us.

He’s now celebrating his ninth month with us, and his personality (and vocabulary) are enormous.

In the household hierarchy, he’s at the top of the Foodchain, followed by Her Royal Highness, the Princess Oreo, then my wife. Me, I’m the bottom feeder, LOL. 😹

His other attributes are that he’s VERY affectionate, playful, intelligent….almost to the point of being problem solving. He’s also extremely energetic and athletic.

He routinely jumps from the kitchen push-through counter to the living room coffee table, a distance of over seven feet, with ease. His landing may not be the most graceful, but it’s always extraordinary to see him do it all the time.

main qimg a2e4f04adee371b013d97fe4e0658db5 lq
main qimg a2e4f04adee371b013d97fe4e0658db5 lq

“Who will benefit the most if the USA/China go to war?”

Seriously! What the hell is wrong with so many of you and this whole stupid “war” thing with China the US? Don’t you have anything better to do?

There will be absolutely no benefit to any country if the two countries were to (although highly unlikely) go to war with each other!

The financial losses would be catastrophic, not to mention the loss of human life too!

Get a grip of reality please, and stop asking such antagonistic as well as ill thought out questions!

15 American People Who Don’t Have A College Degree And Make Over $100k Reveal What They Do For A Living

1. I’m a welder, moved my way around and now in aviation and have been for a while now. I cleared $100k back in October, probably going to end the year around $120k at 26. What I do is weld main component parts for jet engines. Combustors, bearing housings, vane blades, so on.

No degree, but I did go to vocational high school. This isn’t the route you’d have to take either. People can learn it on the side, as welding is more of a “show us you can” type of work. My field requires multiple tests, both written and physical(which may require some schooling on welding basics) then weld various metal together, X-ray, cut apart, so on.

I’m very fortunate to be in a laid back environment, I have an area all to myself where I’m rarely bothered. Nothing I lift is strenuous, most of my work takes place in a chair. Love it though, wouldn’t want to do much else. Also to note, my job is union and I strongly suggest union to blue collar people. You’re not harassed by management, have a higher entity protecting your rights to do the job you do, and ONLY do that job and not someone else’s. And, unions pay way more, clearly.

2. My brother-in-law’s 3 sons are all journeyman electricians (dad is a master electrician). 2 of them are high school dropouts. 2 of them make over $100,000. The youngest makes about $85,000, but is gaining on his brothers.

3. Nuclear plant operations. You can get into an Senior Reactor Operator licensing class with certain experience or education. A huge number of the SROs are are ex-Navy nukes.

The Navy requires a HS diploma. Job pays usually starting of over 100K.

4. Bartender in a high end resort town. I work my ass off during the seasons but off season is easy.

5. Husband is a union tower crane operator. He dropped out of high school, got his GED at 25, joined the union at 29 and at 34 makes almost $200k a year. It’s a good gig as long as you don’t mind heights.

Best way to get into cranes is to call your local IUOE. Go through the apprenticeship program and work your way through your certifications. Build relationships with your peers and maintain your work ethic. You won’t see the out of work list, you’ll be requested for jobs.

6. I work in IT for a major telecom. No degree but several certs. A nice easy 9-5.

7. My wife made $290,000 last year, and is estimated to make $350K this year.

She owns a business that does like $1.5 million in sales of surgical device equipment to hospitals. She sells stuff like shoulder and hip replacements, the plates and screws used to fix broken wrists, nerve grafts, and even these crazy magnetic nail things that allow people with different length legs to have length added, or for people with dwarfism to add up to 4” of total height.

She does not have a college degree, but she joined the army and did a 1-yr program to become a radiologic tech. She did that job in the operating room during surgeries for a couple years, and meeting other sales reps is how she came into her job. She was such a high-seller that her first boss was afraid she would quit, and then his business would fail… so he offered her 50% ownership of the company. Since then she has started up a 2nd business selling other, non-competing products, so that she gets 100% of the profits, versus half.

8. I’m a freight conductor for a class one railroad in the United States. $108,000 a year. Never stepped foot in a college before, I’m 22 years old.

9. I work as a “B” Pressure welder in Canada. I have my own rig (Truck with welding equipment in it) and I average $125 / Hour.

Depending on the work available and how much time you’re willing to put in, you can make anywhere from 100k-400k. Last 3 years I’ve made 250k working 9 months of the year and taking 3 months off.

10. I’m a recruiter. No college degree or certifications. I had 5ish years of retail/food experience, ending that run with a “General Manager” title before getting into recruitment.

Pretty much anyone can get into a recruiting job if you apply to work at staffing agencies (Randstad, Adecco, Robert Half, Kelly Services, etc). Agency recruiting is a grind, but you can make a ton of money with the commissions – and the base salary without commissions is normally plenty to live on. And if you pay your dues with a staffing agency for a few years, you can transition into a corporate in-house recruiting job after that. My first year in staffing, my base was $40k and I made $70k total that year including commission. My second year, my base was $42k and I made $90k including commissions.

Then I moved into a corporate recruiting job with a well-known tech company. The base was much higher, but I took a bit of a pay cut overall in exchange for better benefits and work-life balance. My first year there, I was at $70k base and around $85k total including bonuses. I just completed 3 years there, going into my 4th year now – currently at $80k base and $100k including bonuses. I have a raise going effective in January to $90k base and $115k including bonuses.

If you go into recruitment, go with either healthcare (nursing or physicians, not allied) or tech recruiting. That’s where the money is. You won’t make much recruiting for more blue collar industries.

11. Own a private jet catering company, you’d be surprised what the richest 1% of people are willing to spend on stupid things…

12. Train Conductor. Pay pretty much fluctuates depending on how often I wanna work or not. All on the job paid training, with overtime. Pretty easy job all things aside, just have to live with being on call and being gone from home 2 days at a time.

13. Air traffic controller. Made over $160k last year, but that was with a lot of forced overtime.

Most of my co-workers are veterans who got into ATC in the military. Many people–whether they have military experience or not– don’t make it through training. My facility is sitting at abysmal success rate of about 20% right now.

14. Union plumber in NYC. Around 140k a year if you work year round steady benefits included.

Got the job by waiting outside the Local 1 training facility for 3 days until they gave out the 1000 applications. Happens once every 2 years and they accept on average 200 of the applications. Pretty lucky.

15. I’m a journeyman power lineman, and my base is over $100k. With tons of over time, (most of it double time) some guys pull in over $200k. 1

878573
878573

There was a boy named Sid in my class in Secondary (High) school. He was an odd boy and a bit of a loner. He was the guy who’d always ‘kill the joke’ in class i.e. when when everyone in class starts making funny comments about someone or something, he was the one that would make an awkward, completely unrelated comment that killed and buried the laughter.

He was one of the few boys to have a full moustache at the age of 12 and the one day he shaved it off he looked like he came from another planet and was the laughing stock of the week.

I used to feel sorry for him but sometimes he’d say the darndest things that were so bizarre and unfunny that I couldn’t help but shake my head and sigh. Even some of the teachers would groan at some of the unfunny attention seeking statements that Sid would make.

Biology teacher: So that’s why female dogs produce multiple babies whereas female humans usually produce one.

Sid: So why didn’t God give female dogs multiple vaginas to make it easy on them.

These kind of statements, along with a collective sigh from the class would be the routine for years to come.

This was until suddenly I witnessed Sid make an unfunny joke and all of a sudden most of the kids in class started laughing.

Then I noticed the teachers started behaving favorably towards him; feigning laughter at his silliness and giving him special attention and help in class

Then I noticed two of the most desired girls in school were fighting over him, both of them vociferously declaring to be his true girlfriend.

Nobody made fun of him and even the worst bullies and class clowns made sure not to mess with him.

I wondered if the world had gone mad.

I discussed it with my friends and they explained it to me.

‘Didn’t you hear? Sid’s rich.’

As it turned out Sid’s father was a prominent lawyer in the country. Nobody realized this until he visited the school for a parent’s day. Having connections to a rich and powerful lawyer was something our dilapidated and poorly funded school coveted and as such Sid was now treated like royalty.

For his 16th birthday he was given a new car and he proudly drove it into the compound with his two girlfriends inside; the only boy in the school’s history to have that luxury.

However he was still as corny and awkward as ever but no one seemed to pay that any mind.

So the harsh truth I learned as a teenager was:

Money and power can make any donkey look like a stallion.

Pickle

I’ve loved all my cats over the years but my soul mate was Pickle who died 4 years ago.

When I bought his brother Ted, I felt sorry for Pickle because the seller said he wasn’t very attractive and no one wanted him.

On a whim I decided right there and then that I would buy him as well. I have never to this day had such an openly affectionate cat who wanted to be with me 24/7, cuddle me, and sleep on my pillow.

He would purr as loudly as a car engine and yes, he was little on the cuddly side. (He stole a pork chop from one of my neighbour’s bbqs one summer!).

I can honestly say that the day I had to put him to sleep was absolutely devastating.

His brother died shortly afterwards, and I think that was partly due to missing Pickle so much as they’d been inseparable.

I’m not religious but I think I’m quite spiritual so now when I see stars I think of Ted and when I feel the wind I think of Pickle.

I like to think of them together again, at one with nature, waiting patiently for me. What a wonderful reunion it will be.

main qimg e5d8d8c8559c0ce18ebb659c517c334c lq
main qimg e5d8d8c8559c0ce18ebb659c517c334c lq

Found in a secret room

878569
878569

Hilachas (Guatemalan Shredded Beef in Tomato-Tomatillo Sauce)

“Found online; posting for ZWT 7-Central America (Guatemala). Recipe states: Pair it with a side of rice and fresh corn tortillas for a full meal.”

2022 11 10 17 33
2022 11 10 17 33

Ingredients

  • 2 lbs beef flank steak or 2 lbs skirt steaks, cubed
  • 5 cups water
  • 2 -3 tablespoons oil
  • 1 onion, chopped
  • 1 cup tomatoes, chopped
  • 1 cup tomatillo, chopped
  • 2 -3 guajillo chilies, warmed over a flame, deseeded and chopped
  • salt and pepper, to taste
  • 1 lb potato, peeled and chopped
  • 2 -3 carrots, peeled and chopped
  • 12 cup breadcrumbs
  • 1 bunch cilantro, chopped

Directions

  • Place the beef, water and a big pinch of salt in a large saucepan and bring to a boil over medium heat. Reduce heat to low, cover and simmer for 1 to 1 1/2 hours, or until the beef is very tender.
  • Remove the beef to a bowl, reserving the broth, and set aside to cool
  • When cool enough to handle, shred the beef with your fingers and set aside.
  • While the beef is simmering, place the onion, tomatoes, tomatillos and chiles in a food processor or blender and puree, adding a little water if necessary.
  • Heat the oil in a large pot over medium flame. Add the onion-tomato puree and simmer until the puree is cooked down and darkens somewhat in color, about 10 minutes. Do not burn.
  • Add the shredded beef and about 3 cups of the broth to the onion-tomato puree and season with salt and pepper. Simmer for about 15 minutes.
  • Stir in the potatoes, onions and a little more broth or water if necessary Simmer until the potatoes and carrots are cooked through, about 15-20 minutes.
  • Stir in the breadcrumbs to thicken the sauce.
  • Then stir in the chopped cilantro, adjust seasoning and serve hot with corn tortillas or rice.
  • *Variations.
  • Use 1 or 2 shredded fresh corn tortillas to thicken the sauce instead of the breadcrumbs if you like. If the sauce has thickened enough while simmering, neither thickener may be necessary.
  • Add 2 teaspoon achiote seasoning to the onion-tomato puree for added flavor. Bricks of achiote seasoning can be found at many Latin markets.
  • The potatoes and carrots can be eliminated if you like.
  • Canned tomatoes and tomatillos work just fine in this recipe.
  • Two cups of chopped tomatoes can be used if you would like to eliminate the tomatillos.
  • If you can’t find guajillo chiles, use anchos or pasillas. Or substitute with 1 tablespoon of paprika and 1/4 teaspoon of cayenne pepper.

878572
878572

Frederic William Burton – The Meeting on the Turret Stairs (1864)

The Meeting on the Turret Stairs (or Hellelil and Hildebrand, the Meeting on the Turret Stairs) is an 1864 watercolor painting by Frederic William Burton. The painting is in the National Gallery of Ireland. The subject of the painting is the love story of Hellelil, who fell in love with her personal guard Hildebrand.
.

meeting
meeting

Ignoramuses who accuse China of debt–trap diplomacy and insist Sri Lanka is a concrete example obviously fell asleep during the news last week. Sri Lanka failed to pay interest it owed to holders of overseas Sri Lankan government bonds. The country has fallen into default for the first time since independence in 1948. And it’s worse than that: it’s a full–blown economic emergency. 40% inflation, a plunging currency, rolling blackouts, and only some millions of dollars in reserves, not even enough to buy food and fuel supplies for the import–dependent island.

Sri Lanka needed money and it went to China asking for more loans. If China were ever interested in debt traps, this was a golden, once–in–a–lifetime opportunity for one. Sri Lanka is very dangerously broke and its negotiating position is zero. China could have named any price. It could have demanded and taken any national asset it wanted and laid conditions that would infringe on the island’s sovereignty, all for the cost of a few billion dollars which is nothing to the rising economic superpower.

China said no. For 3 reasons. #1, it didn’t like that Sri Lanka fell into default despite all of its assistance and policy guidance for the island to help it prevent that scenario. #2, China doesn’t like to lend money to countries that haven’t repaid, or clearly won’t be able to repay, previous Chinese loans.

So Sri Lanka will not receive any new Chinese loans. China is not taking advantage of this opportunity to increase its economic leverage over Sri Lanka. What “debt–trapper” would ever do that? The whole idea of a debt trap is to lend a country money that you know it can never repay, then use its debt to you as an excuse to take it over.

So is China a debt–trapper? OBVIOUSLY no. The hands–off Chinese response to Sri Lanka’s economic crisis is further proof of a point I made in one of my 400–something posts: China wants partner countries to be financially stable. It is in China’s business interest for partner countries to be financially stable.

(Reason #3 why China refused is geopolitical. It wanted to give India a gesture of goodwill by allowing India an opportunity to help Sri Lanka instead — thereby intentionally decreasing Chinese influence and enabling India to increase its influence in its own backyard.)


But you know what country EXCELS at debt–trap diplomacy? What country basically invented it and taught it to the US? Britain.

Educated citizens of the most indebted country in the European Union probably know this well. Any Greek who knows 2 atoms about his modern history knows that Greece began its independent existence in 1821 severely burdened with enormous loans it had taken from British banks to fund its war of independence against the Ottomans. Britain refused to ever abolish or reduce this debt. The result of this debt was tremendous British interference in sovereign and domestic Greek affairs. More importantly, this debt to Britain escalated into debt to other great powers, and it’s something Greece has never been able to get out of, to this day.

As one historian writes,

The 1824–1825 [British] loans should be considered as illegitimate and illegal because the terms in the contracts were unfair were unfair and the manipulations by the [British] bankers clearly deceptive. The 1833 [British] loan clearly falls into the category of odious debt…

The refusal of the creditors and the great powers to abolish or reduce the debt has produced long-term effects that maintain Greece in submission and prohibit real economic development.

The people of Greece have remained in the thrall of the odious debt that she was born with.

Put simply, this means that for the past 200 years Greece has had no choice but to be an Anglo pawn (especially in foreign policy) because the Brits did to Greece what France did to Haiti (long–term debt servitude from the birth of statehood) in the name of freeing her from the Ottomans.

In 1969 exiled Greek nationalist Constantine Tsoucalas wrote:

The Greek people have long been powerless to take their destiny into their own hands…

As a pawn of Western diplomacy when the Ottoman Empire was breaking up,

as a naval base essential for the control of the Dardanelles,

as a bastion of the ‘free world’ in its attempt to stop the expansion of Communism,

or as one of the very few secure bases for the strategic control of the ever-unstable Middle East,

Greece has always had to pay the price for the international interest she has provoked.


My modern Greek history is pretty good but I’m more qualified than any Greek to write about British debt–trap diplomacy, because I’m Egyptian.

The grotesque, brutal and humiliating nightmare that was the British occupation of my country from 1882 to 1919, and to a great extent still until 1956, all began out of money that Egypt borrowed from Britain.

Isma’il Pasha ruled Egypt from 1863 to 1879. He was an Anglophile and French–educated reformer who was extremely keen on developing Egypt to make her the equal of any Western European country. He built factories, bridges, railways, telegraph lines, harbour works, schools (primary, secondary, technical and vocational), theatres, the Egyptian National Library, the Khedivial Opera House… He hired 100,000 European expats and created a new quarter in the capital to accommodate them. He remodelled big parts of Cairo and Alexandria on Paris. He sent Egyptian students to Europe and the US on government scholarships, and even tried to rival European exploration by sending Egyptian explorers deep into the little–known Nile Basin. He partnered with a French company to build the Suez Canal, and borrowed to pay for most of its cost. During his rule, Egypt had the densest railroad network (the most kilometers of rail per habitable square km of land) in the world.

But he borrowed much too much money to pay for these modernization projects, plain and simple. Mostly from British banks. Egypt ended up owing £100 million and it couldn’t pay this back. Its yearly government revenue was no more than £8 million.

So what did the British do?

First, they demanded extraterritoriality — i.e. that Brits who committed crimes in Egypt be subject not to Egyptian law, but to British law and British courts. The Chinese understand extraterritoriality very well!

Then they demanded majority ownership of the Suez Canal.

Then they demanded that Isma’il Pasha reduce his monarchical powers, appoint a pro–British puppet as his prime minister (Nubar Pasha) and an actual British official as his finance minister (Charles Rivers Wilson). The puppet government imposed harsh austerity measures on the Egyptian populace in order to get Britain’s money back. (The British did not abolish these measures until 1940.)

At this point the people of Egypt began to see that their country was being taken over, and they staged a courageous popular revolt headed by the patriotic peasant army officer Ahmed ’Urabi. Isma’il Pasha tried to save the situation by meeting some of the demands of the protesters, i.e. by reversing some of the concessions he had made to the British. He even invited ’Urabi to become his new prime minister, dismissing the British puppet Nubar Pasha.

This was anathema to the British. In response they threatened and terrified Isma’il Pasha and demanded that he step down, leave Egypt, and give the throne to his 27–year–old son Tewfik, because Tewfik was young and inexperienced and easier for the British to control.

Under the weak leadership of Tewfik, Egypt was squeezed by the British more and more.

Finally — seeing that their puppet Tewfik was hated and seeing that the Egyptian nationalist revolt was continuing unabated and threatened to expel them from Egypt and dislodge it from debt slavery and colonial encroachment — the nefarious Brits simply brought a fleet and 50,000 soldiers to Alexandría and seized control of the entire country. Ironically, they made use of Isma’il Pasha’s very own railways and telegraph lines to facilitate their invasion. Nubar Pasha was reappointed prime minister. Ahmed ’Urabi was exiled to British–ruled Sri Lanka. We were lucky that he wasn’t publicly hanged, like many other Egyptian patriots were in the black year of 1882 and in the coming decades.

All of these events took just around 10 years to happen.

Egypt became a British colony until 1919 and a British puppet state until 1956.

All because of British loans.

THAT is debt–trap diplomacy EXPERTLY DONE! The Chinese know nothing about this and quite frankly are total amateurs. The BRITISH innovated debt–trap diplomacy and perfected it to a science and still practice it. (On the sly of course.)

They have also incorporated the advice of Goebbels into their foreign policy by constantly accusing China of doing what they have always done — debt–trap diplomacy — while they still do it, to sow extra confusion.

Be careful

878563
878563

Chai Spice Streusel-Topped Blueberry Muffin Bread

Switch out your usual coffee cake recipe for a tasty new treat! Cardamom, cinnamon and ginger put a chai-inspired twist on this streusel-topped blueberry muffin bread. Perfect for a holiday breakfast or brunch, this yummy loaf offers a great blend of warming flavors to complement the cooler weather.

8c0d4096 2d99 4cde b1c7 41f12f17c380
8c0d4096 2d99 4cde b1c7 41f12f17c380

2022 11 10 17 08
2022 11 10 17 08

2022 11 10 17 09
2022 11 10 17 09

2022 11 10 17 09t
2022 11 10 17 09t

2022 11 10 17 10
2022 11 10 17 10

2022 11 10 17 10dg
2022 11 10 17 10dg

2022 11 10 17 11
2022 11 10 17 11

These Are Some The Questions That Google Asks Potential Employees During The Interview Process

 

Tech companies are notorious for testing applicants’ thinking skills with difficult interview questions. Although interview puzzles are less common these days–with Google demphasizing or doing away them–if you’re going for a job in Silicon Valley or just want to check out some interview brainteasers, here are examples of challenging interview questions that have made smart people feel very dumb.

How many golf balls can fit in a school bus?

Job: Product Manager

How much should you charge to wash all the windows in Seattle?

Job: Product Manager

In a country in which people only want boys…

…every family continues to have children until they have a boy. If they have a girl, they have another child. If they have a boy, they stop. What is the proportion of boys to girls in the country?

Job: Product Manager

How many piano tuners are there in the entire world?

Job: Product Manager

Why are manhole covers round?

Job: Software Engineer

Design an evacuation plan for San Francisco

Job: Product Manager

How many times a day does a clock’s hands overlap?

Job: Product Manager

Explain the significance of “dead beef”

Job: Software Engineer

A man pushed his car to a hotel and lost his fortune. What happened?

Job: Software Engineer

You need to check that your friend, Bob, has your correct phone number…

…, but you cannot ask him directly. You must write the question on a card which and give it to Eve who will take the card to Bob and return the answer to you. What must you write on the card, besides the question, to ensure Bob can encode the message so that Eve cannot read your phone number?

Job: Software Engineer

You’re the captain of a pirate ship…

…and your crew gets to vote on how the gold is divided up. If fewer than half of the pirates agree with you, you die. How do you recommend apportioning the gold in such a way that you get a good share of the booty, but still survive?

Job: Engineering Manager

You have eight balls all of the same size…

…7 of them weigh the same, and one of them weighs slightly more. How can you find the ball that is heavier by using a balance and only two weighings?

Job: Product Manager

You are given 2 eggs…

…You have access to a 100-story building. Eggs can be very hard or very fragile means it may break if dropped from the first floor or may not even break if dropped from 100th floor. Both eggs are identical. You need to figure out the highest floor of a 100-story building an egg can be dropped without breaking. The question is how many drops you need to make. You are allowed to break 2 eggs in the process.

Job: Product Manager

Explain a database in three sentences to your eight-year-old nephew.

Job: Product Manager

You are shrunk to the height of a nickel…

… and your mass is proportionally reduced so as to maintain your original density. You are then thrown into an empty glass blender. The blades will start moving in 60 seconds. What do you do?

Job: Product Manager

Kobayashi Kiyochika 清親 – The Advance to Weihaiwei (1895)

xcp16olcpcr91
xcp16olcpcr91

Roasted Garlic Mashed Potatoes – the Best You’ve Ever Had

WOWZER!!! That is what I have to say. These potatoes were just awesome. They turned out very nice. I did not peel my potatoes, however that did not change the fact of how good they were. I really enjoyed these, the garlic was such a nice treat since I love garlic. I did have to add a bit of milk to get them to a nice consistency.

2022 11 11 15 11
2022 11 11 15 11

Ingredients

Directions

  • Separate head of garlic into individual cloves.
  • Toss in olive oil and wrap tightly in small piece of aluminum foil.
  • Bake in 350°F (180°C) oven for 45 minutes.
  • When the garlic has cooled to the touch you should be able to squeeze it out of the ‘paper’ shell of the individual cloves.
  • Mash the roasted garlic with a fork, or force through a fine strainer.
  • If you use a ricer as recommended below, simply rice the garlic along with the potatoes.
  • Peel and boil potatoes in salted water until tender.
  • Force the cooked potatoes through a ricer (recommended) or mash by your usual method.
  • Put the riced potatoes, garlic, sour cream, butter, and salt and white pepper in a mixer bowl, and whip at medium speed until smooth.
  • Add cream or milk to adjust consistency.

878565
878565

Mom Defends Her Son, Who Beat Up His Bully, in Viral Post: ‘Problem solved’

A Missouri mother’s unapologetic Facebook post defending her son’s decision to fight back against a bully has gone viral.

Allison Davis posted a selfie picture of her son, Drew, who was suspended from school for “beating up the kid that has been tormenting and bullying him since middle school.”

But rather than being “upset” over her son’s behavior, Davis said she was far from it.

“Not even a little bit,” she said.

“I know as a parent I’m suppose[d] to be upset with him for resorting to violence or getting suspended, but I’m not,” she wrote.

Davis said that her son had suffered bullying from the unidentified student for years and, despite repeated attempts to get the school to do something about it, nothing happened.

“When this kid has constantly threatened to beat Drew up along with several of his friends, the school did nothing,” Davis said. “When this kid followed Drew down the hall threatening him and making fun of him AND it was all captured on video, the school did nothing.”

The mother said the student’s bullying only got worse over time, including sending her son threats on social media, voicemails and text messages. Still, she said, the school did nothing.

“In middle school, Drew was afraid to walk down the halls because a swarm of this kid and his minions would make fun of and threaten Drew,” she said. “He quit talking to the adults about it because they never disciplined the bully and it just made the situation worse.”

Davis said she sent the school a “lengthy email” earlier this year and “begged” the school to intervene. Their solution, she said, was to have the two sign a “no contact” contract.

So when Drew ultimately took matters into his own hands, Davis said, he was left with no alternative. “Drew decided that he would quit relying on the school and the adults who are suppose[d] to protect him and HE would do something,” she wrote. “Three punches and his bully screamed like a baby, his minion friends shut up, and this morning the bully wouldn’t even look at him.”

“Problem solved,” she added.

Davis’ viral post has amassed more than 235,000 likes and more than 138,000 re-shares on Facebook. Many commenters applauded the post and Drew’s decision to stand up for himself.

“Well done. A human being will only take so much,” one Facebook user commented.

“Sometimes you just got to put your foot on someone’s back side,” another said. “That’s the only way to fix these kind of people. Refuse to be a victim.”

Andrew Wyeth – Marriage (1993)

jaaojlren3w91
jaaojlren3w91

hey thats awesome 17
hey thats awesome 17

A Man’s Attractiveness Is Inversely Proportional To How Needy He Is

The less needy he is, the more attractive he will be to women on average. The needier he is, the less attractive he will be to women on average.

Neediness is when a man places a higher priority on others’ perceptions of him than his perception of himself. A needy man’s actions and words will therefore be primarily motivated by impressing and winning approval from others. Non-neediness is when a man places a higher priority on his own perception of himself than the perceptions of others. A non-needy man’s actions and words will therefore be primarily motivated by embodying his own values and desires.

Neediness, therefore, infiltrates all behaviors because it is what inspires and instigates all behaviors. A lack of neediness also infiltrates all behaviors for the same reason. Because it underlies all of your actions and words, to be non-needy is to be more attractive, in every way. It defines and resonates in everything you say and do, the way you stand, the way you smile, the jokes you tell, the people you associate with, the car you drive, the wine you drink, the jacket you wear.

When people say vague things like, “I like the way he carries himself,” or “you just need to believe in yourself,” or, “he just has ‘it’, whatever ‘it’ is,” they are referring to a man’s lack of neediness. It exudes from him in everything he does. Paradoxically, a man’s lack of need for attention and admiration is itself a magnet for attention and admiration. A man’s comfort and acceptance of the possibility that some people will not like him makes people like him even more. A man’s respect for differing opinions makes those around him want to agree with him more.

A needy man is constantly investing in the perceptions others have in him. He is being extra nice and friendly when he doesn’t want to be because he believes he must do this to be liked and loved. He is buying a fancy watch and season tickets to the local sports team so that he will be admired and loved. He is coming up with fake compliments or pretending to be a bad ass because he thinks it will get him attention and love.

A non-needy man may still do these behaviors — he may still buy the season tickets or make the jokes. But his intentions are different. Whereas a needy man says and does these things for approval, the non-needy man does them simply for the pleasure of doing. The needy man tries to control what others think and feel more than what he thinks and feels himself. The non-needy man is more concerned with controlling his own thoughts and feelings rather than the thoughts and feelings of others. A needy man will be more invested in the woman he is with than in himself – he will be more concerned about her opinion, about him, about the weather, about everything other than what he actually thinks and feels. A non-needy man will be more invested in himself than the woman he’s with.

― Mark Manson

A giant  colossal boob

“A giant walk-in female breast to raise awareness about breast cancer in our local supermarket, from the Swiss Cancer League.”

hey thats awesome 1
hey thats awesome 1

Not Apologizing When You Succeed Or Complaining When You Fail

“The greatest form of maturity is at harvest time. This is when we must learn how to reap without complaint if the amounts are small and how to reap without apology if the amounts are big.” — Jim Rohn

Don’t apologize when you succeed.

Don’t complain or blame when you fail.

Completely own and take responsibility for what you’ve attracted into your life. Said Dr. Stephen R. Covey, “We control our actions, but the consequences that flow from those actions are controlled by principles.”

You cannot change your situation until you own that you’ve contributed to your situation.

When you take responsibility for what is happening in your life, you’re no longer the victim of circumstances. You no longer have to be a reactive object being acted upon by your environment. Instead, you can proactively act as an agent who impacts and changes your circumstances.

Don’t Complain For Failure

Lessons are repeated until they are learned.

When you fail, don’t complain. There’s nothing good that will come out of it. When you complain or blame, you immediately shut yourself off to learning. You halt your own progress and will inevitably repeat the same blunder in the future.

Failure is feedback. Failure is what neuroscientists call “prediction error,” which is essential to learning.

You made a mistake. So learn from it. Be happy about it. You just stepped outside your small realm of understanding and now you have the opportunity to expand your worldview.

If you allow this learning to sink-in, you’ll be empowered to create better outcomes in the future.

In the book, The Fifth Discipline, Peter Senge said:

“It is tempting to think that just because one understands certain principles one has “learned” about the discipline. This is the familiar trap of confusing intellectual understanding with learning. Learning always involves new understandings and new behaviors, ‘thinking’ and ‘doing.’”

If your behavior isn’t changing, then you’re not learning. True learning means you can produce a desired outcome. If you can’t consistently produce the outcome you want, then you haven’t learned.

According to Brain-scan studies, if you do not address a problem in 0.25 seconds after a mistake is made, you probably won’t do anything about it. You’ll brush-off the mistake and continue forward in the same manner you’ve been going. You won’t be learning from your experience, and thus you’ll continue moving into your future by recreating your past.

If instead, you would simply stop, address what just happened, and continue forward from a higher plane, you could then produce better outcomes in the future. You don’t have to live in your past.

This can only happen when you truly own when you’ve made a mistake. Rather than complaining for failures — or blaming the bad weather or something else — you learn from what is happening and adapt.

Check out the rest of the article HERE

The day after the election

Delicious looking sandwich. yeah. it’s made out of left-over Pernil roast. The recipe lies ahead. Simile, get the ingredients and then smunch!

Ok, I’ve said my piece on my you-tube videos. Let’s just go through today’s establishment porn…

Germany and China

main qimg 20c2027f7b961d7a65f54eda403f9746 lq
main qimg 20c2027f7b961d7a65f54eda403f9746 lq

From MoA

Dr Yan Ning is a Chinese Structural Biologist working at Princeton University who will return back to head Shenzhens Medical Academy of Research and Translation.

She is a member of the American Academy of Arts and Sciences and the National Academy of Sciences

Why is she returning to China

To spearhead innovation and research

In the US, she is just one more structural biologist but in China – she is leading her Nation to a new horizon.

The National Pride is evident

Yan Ning belongs to the new generation of Chinese who are not keen on resident status in US or Citizenship but prefer to ultimately go back to China and give their best for Chinese Research.

Since 2021 – 1400 Doctors and Researchers have migrated from US, UK, EU to China, all of whom are Citizens of these Countries who are prepared to give up these Citizenships Or take up Chinese Citizenship like the Ski Gold Medalist Gu Ailing.

Discovery

At San Casciano dei Bagni in Tuscany a team of archaeologists led by Jacopo Tabolli from Università per Stranieri di Siena found, at the bottom of a thermal pool, not one but 24 almost complete bronze statues dated between the 2nd c. BCE and the 1st c. CE.

main qimg 905fea7d237745f273110d0ffb833943 lq
main qimg 905fea7d237745f273110d0ffb833943 lq

Pernil (Puerto Rican Pork Shoulder)

“This is a delicious way to cook pork. I got this recipe from my boyfriend who is from Puerto Rico. This also makes excellent, moist meat for Cuban sandwiches.”

2022 11 10 11 12
2022 11 10 11 12

Ingredients

Directions

  • Wash the pork shoulder.
  • With a sharp knife, make 1 inch deep cuts into the pork.
  • With mortar and pestle crush garlic, oregano and black pepper together. Add olive oil, vinegar and salt. Mix well.
  • Spoon some of the garlic mixture inside the small cuts around the pork, and spread remaining mixture all over the pork.
  • Place pork in an aluminum turkey pan (skin side up); cover with aluminum foil tightly. Refrigerate at least 8 hours. (I have marinated this for as long as two days.).
  • Bake in pre-heated 325 degree Fahrenheit oven for about 5 to 6 hours.
  • Remove aluminum foil and bake at 375 degrees Fahrenheit for another hour or until skin is crisp. Closely watch this so you don’t burn the skin (chicharrone).
  • Pork should be well-done and tender.

American Midterm (Elections) Roundup

The midterm election did not come with the red wave some had expected. The reasons for that are manifold.

That the Trump brand has lost some of its shine is one. Trump has always been bad at picking people. In the case of this election he supported some particular bad candidates. They obviously did not perform as he had hoped for.

Biden is not liked much. But he is – in general – also not disliked much. He has managed to bribe the voters first through the large spending programs he had moved through Congress and then through the huge releases from the strategic petroleum reserve. The prices fell at the pump and that typically counts for a lot.

Abortion seems to have played a role and Democrats said they would do something about that. But the Supreme Court judgment pushed the issue back to the states. I doubt that the Democrats will do anything about that. They have no incentive and no means to change that ruling.

So the Democrats may keep the Senate though barely. The Republicans will likely take the House but also with only a thin majority. That means that U.S. policies, internally but especially on foreign issues, will not change one bit.

As the saying goes: ‘If elections would change anything they would not be allowed.’

 

Posted by b at 15:31 UTC | Comments (68)

.

Actually, the situation around the globe is getting worse and worse. But China is, maybe to your surprise, one of the oases in the glooming “desertification” of world economy and stability.

For example, the “oasis” China is hosting the fifth China International Import Expo (CIIE), where a total of 284 Fortune 500 companies and industry leaders are participating the enterprise exhibition.

Also participants from 145 countries, regions and international organizations are here to explore or expand their market in China.

You know what? Even ASML of the Netherlands, one of the most key players of chip-manufacturing, a sector the US is currently inserting all possible efforts trying to suffocate China’s advancement in high-end chips, is exhibiting their products here at CIIE in Shanghai.

main qimg a22319a288fb22e82b4907cfcc050e36 lq
main qimg a22319a288fb22e82b4907cfcc050e36 lq

(Exhibition booth of ASML at the 5th CIIE, Shanghai, China, Nov. 5, 2022. /CFP)

So, China is far from a need to distract its people’s attention on domestic issues and divert them onto other countries or other peoples.

And, even if China “attacks” Taiwan, it is still its domestic issue, as the 181 countries who have established formal diplomatic ties with China have all acknowledged the One-China Principle, and at the core of the Principle, Taiwan is but a part of China. Also, the UN Resolution 2578, Restoration of the lawful rights of the People’s Republic of China in the United Nations.

has legitimized PRC as the sole representative of China in UN and in today’s world under the UN framework.

BTW, we have an old saying here in China, “以小人之心,度君子之腹”,literally translated as “To gauge the heart of a gentleman with one’s own mean measure,” meaning “Judging unfairly a noble person with one’s own despicable mind.”

By suggesting China would divert its people’s attention by launching attacks onto other parties, regions, countries or peoples, is nothing but a projection of the Western mindset, especially that of the US.

It is their usual practice to shift the blames onto others instead of focusing on solving their own domestic problems. It is even somehow worshipped as a panacea to cure all their social ailments — but of course, they can’t really cure any, only leave the ailments to their successors, who would repeat the circle one again, and the cycle will go on and on, forever. The only thing is, the real problems, never got settled.

We Chinese prefer to focus on our internal issues, we would deal with them one by one, no matter how the outside noises interrupt us.

This is How Mentally-ill New Hampshire is . .

NewHampshireBeautyContestWinner MALE
NewHampshireBeautyContestWinner MALE

If yesterday’s election did not demonstrate to you that a majority of Americans are, collectively, mentally ill (voting the Democrats who wrecked the country back into office) – then this should remove all doubt.

A biological male won “Miss Greater Derry” which is a beauty pageant in New Hampshire under the “Miss America” organization.

Please enjoy your daily dose of crazy 🤪🤪 with this article from the FaLunatic Gang.

It explains that Master Li Hongzhi, despite suffering horrifically from China’s persecution of his message, continues to fearlessly and selflessly insist that he is a living god with supernatural powers sent down to protect humans from evil aliens, and especially to save sick humans from the evil of doctors and Western medicine.

By refusing to stop spreading the divine truth despite bloodthirsty oppression from China, Li Hongzhi can only be compared to Socrates and Jesus.

Indeed, the fact that Li Hongzhi has found believers, protection, and enrichment in the United States while being despised in his homeland of China is further evidence of this sacred continuity — because Socrates was famous all over the Mediterranean, but got executed by his own Athenian government, and Jesus was beloved by all foreigners but got betrayed by his Jewish brethren and executed by his Roman governor.

After the killing of Socrates, Athenians and all Greeks would flock to his teachings; and after the killing of Jesus, most Jews would become Christians and the Roman Empire would declare Christianity its official religion.

So one day, many years from now, the China that once tormented Master Li Hongzhi will discover that he was actually the wisest man of all time, and will itself become a FaLunatic nation.

Article HERE

main qimg 9d53f9c2f9be8acd11cb69c133651680 lq
main qimg 9d53f9c2f9be8acd11cb69c133651680 lq

Putin: “Dominion of the world is what the West has staked in this game . . .”

Russia President Vladimir Putin said this morning “Dominion of the world is what the so-called West has decided to stake in this game.   But this game is a dangerous, dirty, and deadly one. It has no regard whatsoever for the interests of other countries. Whoever sows the wind, will reap the whirlwind.”

The remarks came in the context of the Ukraine situation, wherein Russia is undertaking a Special Military Operation to de-Nazify and de-militarize Ukraine, so as to assure Russia’s security.

Ukraine wanted to join NATO and place American missiles on Ukraine territory.  Those missiles would have about a five minute flight time to Moscow, and about a seven to ten minute flight time to Russia’s nuclear missile silos.  The Russians said this was a “red line” for their country, they could not sit-by and allow this first-strike capability to develop.

After having tried numerous Diplomatic efforts to avert a fight, Russia was forced to enter Ukraine militarily, to assure its own security.

Now, almost all NATO nations are supplying Ukraine with weapons and money to kill Russian soldiers.  The West, through NATO, is in a proxy war against Russia, using Ukrainians to pull the trigger on all sorts of west-supplied weapons.

Russia sees this as actual war upon it, by the west.

For its part, Ukraine has repeatedly and publicly said it will not negotiate a peace with Russia.

The US pressured Ukrainian President Zelenski to ease that stance.

Yesterday, Russia’s Foreign Ministry publicly stated “Russia is not and will not negotiate Ukraine with the United States.”

Hal Turner Analysis

The west, therefore, has sown the wind.

Putin reminded us that we will reap the whirlwind.

When we do, we will have brought it upon ourselves.

China’s military approach is defensive to make sure that she can decimate the invader.

The US military approach is to invade, steal and kill as many civilians as possible.

main qimg f39544e7fccdf5db84a23b1e0ddc5cf1 lq
main qimg f39544e7fccdf5db84a23b1e0ddc5cf1 lq

main qimg f625171b4bfb7396907c9c0ae2445117 lq
main qimg f625171b4bfb7396907c9c0ae2445117 lq

There is no “situation” in China with the Uyghurs. They’re happy. Their culture is thriving. They’re safe from terrorist violence. They’re growing more prosperous. Everything’s good.

Exit Polls . . . 70+% of Americans Unhappy or Angry with Gov’t

.

ExitPoll 1 large
ExitPoll 1 large

Americans are so thoroughly disgusted with what government has done for the past year to 18 months, even tonight’s Exit Polls show the level of anger!

Hal goes non further, but it’s same “boiler plate” bla bla bla…

.

Why would China do that?

The US just handed China the semi-conductor industry. There is no need to retaliate.

The largest market in the world for chips is in China. China consumes 70% of all chips manufactured worldwide. By banning machines and chips to China, the US government just lock out most of the competitors for Chinese chip companies.

China is 1 generation behind in x86. Now that Intel may or may not be able to sell chips in China, then all those PCs will be using Chinese made chips. China has caught up in GPUs, so the US banned TSMC from making them for Biren. But Biren can have them made by SMIC and thus take a big chunk of the market.

Chinese chip and machine makers have had to compete with US companies who have bigger brand names so their sales were lackluster. That’s all gone now. Now they are the only brands in the Chinese market. Now Chinese chips companies and chip machine companies have the revenues to do R&D, catch up and then surpass the US.

Foxconn just bought 46 lithography machines from SMEE because they don’t trust the US not to order ASML to stop service and parts.

This is a huge gift to China. So there is no need to retaliate.

EDIT: I’m sure that China will retaliate. You can’t let the US believe that the US can do anything it wants without retaliation. China does not want to encourage the US to act like a bully so retaliation is a must. But this is actually a gift to China.

Another American soldier, Dane Partridge, died fighting for Nazis in Ukraine

.

AnotherAmericanDeadInUkraine large
AnotherAmericanDeadInUkraine large

Wearing a “Kek” patch on his military uniform, American Dane Partridge got himself killed fighting to defend Nazis in Ukraine.

Why an American would fight on the side of Nazi’s is a mystery, and why a guy wearing a red-pill Kek patch, would be so unaware of what the Ukraine government actually stands for (Naziism) that he would go get himself killed trying to defend that, is also a mystery.

Red-pilled Kek folks generally exhibit a much higher level of political awareness . . . unless Partridge just liked the frog patch and didn’t realize what it means?

Either way, he’s reported as dead.  Another American dies to support actual Nazis overseas. Poor, deluded child.

We own over a hundred rental units.

The most heart-breaking thing I had seen was, while cleaning out an apartment abandoned by some up-and-coming meth heads, a starving kitten racing in and looking confused that her house was now empty.

They simply tossed her outside and left. She was literally starving. Now, I’m widely reputed as being a hardass, but this starving kitten melted my heart.

main qimg 8da9e5d80 lq
main qimg 8da9e5d80 lq

She found a new forever home and has been with us for over three years.

xa41b33573c47a1d34de57cc73 lq
xa41b33573c47a1d34de57cc73 lq

She’s not starving anymore.

I haven’t looked back at this for some time. Thanks for all of the upvotes. As for Miss Adeena, she is currently laying on the floor next to my chair, patting my foot, reminding me of the bacon strip I put in the cupboard for her after breakfast this morning.

She is happy and healthy, and the undisputed feline queen of the manse.

The “Q” People are made Fools of . . . Again

Oh you poor deluded souls.  The “Q” crowd.  Duped yet again. The mid-terms have come and gone, the Democrats defrauded the nation again, and the whole “Q”  crew is still waiting for some white hats to save them.    Wake up for Christ’s sake.

Still believing Mike Lindell about Trump being restored?  Is he still saying “two weeks?”

Still believing that complete, utter, political fraud, Juan O’Savin?

How about that Live Action Role Playing (LARP) fraud “Q-Anon?”

How many more YEARS will you keep your head up your ass even paying attention to these psycho-babble losers?

The military isn’t secretly arresting anyone because the Posse Comitatus Act forbids the military from engaging in Domestic law enforcement.

Trump never secretly invoked the Insurrection Act.

Nobody is being secretly shuttled to GITMO.

There are no secret trials, secret convictions.  There are no executions.

It is all lies.   ALL OF IT.

Now, maybe you’re just too trusting – read Gullible – or maybe the reality that the country has been stolen through rampant, brazen, election fraud, in now TWO elections,  is just too much for you to bear.   Maybe you need some fantasy to get you through because the alternative, having to fight a bloody and violent fight to restore the Republic, is just to scary for you.

Whatever it is, please just knock it off.

Q in all its iterations, is a complete fraud.  Always has been.  You got duped.

Now shake it off and move on.

Two hours of interesting stuff. If you have time…

A friend found a kitten abandoned on the side of the road at about 2 weeks old. I adopted him and bottle-fed him.

He is about 8 weeks old now and is eating solid food with a supplemental bottle in the evening.

He is doing great and is healthy. A 7 week old abandoned kitten can survive without his kitty mom if he has a human to protect and feed him and take care of his needs.

My lil’ dude is spunky and independent but needs a warm safe place to live, love, and food. If you can provide that, the kitten can thrive.

main qimg 0001528d96080ba65b75a5ff0114858b lq
main qimg 0001528d96080ba65b75a5ff0114858b lq

Salted Butterscotch Pudding Pretzel Cookies

Sweet and salty are coming together like never before with our salted butterscotch pudding pretzel cookies. They’re the perfect blend of both flavors, making it the perfect treat to serve up to almost anyone, any time. Go on, make amazing happen with our butterscotch pretzel cookies.

e7802dd7 abd4 4834 a4fc 220cfd4b4a95
e7802dd7 abd4 4834 a4fc 220cfd4b4a95

2022 11 10 11 08
2022 11 10 11 08

2022 11 10 11 0dg8
2022 11 10 11 0dg8

.

The world spins while the United States sucks on it’s pacifier and goes goo-goo gaa-gaa

You know guys, I constantly talk about all the new construction that is going on in China, but you all just don’t quite get it. I could show you pictures of new buildings, and new bridges and all the rest. You all have no idea.

Here’s a picture that I took of my dash map in my car today. Look at all those construction icons. China is building everywhere!

2022 11 06 18 21
2022 11 06 18 21

Of course, you will never really get the actual feel for what is going on unless you come here. And it’s really hard to get any information out to the West. It’s just one anti-China narrative after the other…

The western democracy fears the truth. It’s best to simply censor the truth.

main qimg 1919f989259562f4d3dfebeeee96ea3a lq
main qimg 1919f989259562f4d3dfebeeee96ea3a lq

Anyways, I’ve long questioned why some so-called China “experts” who (supposedly) live inside of China say some of the oddest things… such as…

  • There hasn’t been any changes in China since Coronavirus.
  • Wearing face masks isn’t all that popular. China is just like the United States in that regard.
  • “I rarely use public transportation.”
  • I never go to the malls or the parks, or any public spaces.

It’s like saying that you live in the United States but don’t eat cheeseburgers or pizza, and you don’t drive a car. Well, sure it’s possible

But, it’s very unlikely.

So, I approached one of these “experts” who claimed to live in China since 2014, and  has been saying oddball things about China. A decent enough mix. Some very nice things, and some very bad things. He claimed to live in Shenzhen. So I told him that I would meet him at Mix-C and buy him a coffee at Starbucks.

Dead Silence.

Crickets.

Yeah. I thought so.

Further investigation listed him as living in Hong Kong (as a professor / teacher) during the Trump-inspired “pro-Democracy” protests, and since then returned back to the UK.

If something feels wrong, it’s because it probably is.

Let’s continue in today’s installment.

When I was ten years old, I went on vacation with my grandparents. Now, a couple things you have to understand about my grandpa is that:

1. He was a trucker for 30 years
2. He grew up very poor.

Somehow, this manifested into him being all about gas station food and also insisting on eating every last bite of food he purchased for himself or anybody else. You waste nothing—ever.

Anyway.

We pull up to some random gas station in the middle of nowhere. I’m starving to death and see that on their menu they have BLTs, but not just any BLT, but THE MONSTER BLT. Being a stupid kid, I didn’t even read the description. I like bacon. So why not?

So I said, “Hey Gramps, will you buy me this BLT?”

In his rugged accent he says “I buy it, you eat it.”

I swear to God he sounds just like Clint Eastwood.

So I think well yeah I’m going to eat it. Why wouldn’t I eat it?

So a few minutes later, the gas station lady pushes something that looks like this across the counter toward me:

main qimg 5cv88a5bcfbabf501 lq
main qimg 5cv88a5bcfbabf501 lq

My palms got sweaty. But I was up for the challenge. I actually believed my 60 lb. self could handle a sandwich of this magnitude.

About a quarter of the way into it, I was trying to think of ways to get rid of the thing. Maybe there was a dog somewhere? Maybe I could knock it in the floor. Surely my grandpa wouldn’t make me eat a BLT off a gas station floor…would he? Across the table from me, my grandpa sipped his coffee, eyeing my every movement. I had no choice but to eat on.

Finally, I swallowed the last morsel. And, to my amazement I didn’t barf.

I looked at my grandpa expecting some sort of congratulations.

He smirked and asked, “Got room for dessert?”

Today, my grandpa has Alzheimer’s, but this is one story he can recall on command.

image 43
image 43

https://youtu.be/jLKNCkavhJ8

This Tsunami Of Tech Layoffs Could Soon Be The Largest We Have Ever Seen

AH. But I thought that the "CHIPS Act" will reverse this trend? - MM

This is starting to look like 2008 all over again.  For years, the tech industry was the strongest part of the U.S. economy by a wide margin.  The largest tech firms were raking in billions upon billions of dollars in revenue and their stock prices soared to unprecedented levels.  But now the tech industry has suddenly fallen on difficult times.  Many large tech companies are laying off huge numbers of workers, and we are being warned that even more layoffs are ahead.  If the most prosperous sector of our economy is experiencing this much trouble already, what is the outlook for the rest of the economy as we head into 2023?

As I write this article, the layoffs that Elon Musk is conducting at Twitter are making headlines all over the planet.  It is being reported that approximately half of all Twitter workers could lose their jobs, and the widespread layoffs are apparently happening “in departments across the company”

Twitter on Friday laid off employees in departments across the company, in a severe round of cost cutting that could potentially upend how one of the world’s most influential platforms operates one week after it was acquired by billionaire Elon Musk.

Numerous Twitter employees began posting on the platform Thursday night and Friday morning that they had already been locked out of their company email accounts ahead of the planned layoff notification. Some also shared blue hearts and salute emojis indicating they were out at the company.

Needless to say, a lot of these former employees do not plan to go quietly.

In fact, some of them have already slapped Twitter with a federal lawsuit

Twitter has been sued by multiple staff members over an alleged violation of federal law, with workers claiming they were not given enough notice regarding planned layoffs.

Employees who had worked at Twitter’s offices in San Francisco, California, and Cambridge, Massachusetts filed a class-action lawsuit in the U.S. District Court, Northern District of California (San Francisco) on Thursday.

Sadly, Twitter is not alone.

Lots of other large tech companies are conducting mass layoffs, and in each case the current economic climate is being blamed.

For example, Lyft has announced that it will be laying off 13 percent of its workforce…

Lyft Inc. said it is cutting 13% of staff, or nearly 700 jobs, the latest technology company to say it needed to reduce costs ahead of choppy economic conditions.

Confirming an earlier report by The Wall Street Journal, Lyft co-founders John Zimmer and Logan Green announced the cuts to staff Thursday. “There are several challenges playing out across the economy. We’re facing a probable recession sometime in the next year and ride-share insurance costs are going up,” they wrote in the memo viewed by the Journal.

And it is being reported that Chime will be letting 12 percent of their workers go…

Chime is one of the latest private tech firms to announce layoffs amid a worsening economic outlook and a recent wave of cuts from both public and private companies.

A company spokesperson told CNBC that the so-called challenger bank – a fintech firm that exclusively offers banking services through websites and smartphone apps – is cutting 12% of its 1,300-person workforce, adding that while they are eliminating approximately 160 employees, they are still hiring for select positions and “remain very well capitalized.”

Not to be outdone, 18 percent of Opendoor’s workforce is about to get the axe…

Opendoor Technologies Inc. is laying off about 550 employees after higher mortgage rates cratered US housing demand.

The layoffs will reduce Opendoor’s headcount by about 18%, according to a company blog post. The cuts come after an abrupt shift in prices forced the company to sell homes for less than it paid for them.

In other cases, we are seeing companies that seemed to be doing really well let workers go.  As I discussed yesterday, Stripe has decided to “let go of 14% of its staff”

Silicon Valley payments giant Stripe announced that it has let go of 14% of its staff. Citing global economic challenges including inflation, higher interest rates and “sparse startup funding,” cofounder and CEO Patrick Collison said in an email to employees that Stripe needs to cut costs.

I guess Stripe isn’t doing quite as well as we all thought.

Meanwhile, we have also just learned that Dapper Labs will be reducing the size of their workforce by 22 percent

One of the biggest names in the non-fungible token (NFT) industry is dramatically reducing headcount as the crypto bear market continues to take a toll on Web3 companies.

Dapper Labs, which created the NFT marketplace NBA Top Shot, is laying off 22% of its staff, citing the “macroeconomic environment.”

But the economy is doing just fine, right?

Isn’t that what the federal government keeps telling us?

Well, if the economy is in such good shape, why does the tech industry keep laying off so many workers?

Even before this latest round of layoff announcements, the tech industry had already laid off over 52,000 workers so far this year…

After a banner year for tech, layoffs are here. In fact, as of late October, more than 52,000 workers in the U.S. tech sector have been laid off in mass job cuts so far in 2022, according to a Crunchbase News tally.

Tech companies as big as Netflix have slashed jobs this year, with some citing the effects of the COVID-19 pandemic and others pointing to overhiring during periods of rapid growth. Robinhood, Glossier and Better are just a few of the tech companies that have notably trimmed their headcount in 2022.

Of course it isn’t just the tech industry that is letting people go.

According to Reuters, Morgan Stanley is gearing up for “a fresh round of layoffs”…

Wall Street major Morgan Stanley is expected to start a fresh round of layoffs globally in the coming weeks, three people with knowledge of the plan said, as dealmaking business takes a hit due to rising inflation and an economic downturn.

So please don’t listen to any politician that tries to tell you that everything is going to be okay.

Everything is definitely not okay.  According to Challenger, Gray & Christmas, the number of layoff announcements in the United States is far higher than it was last year at this time…

The job placement agency Challenger, Gray & Christmas released a report on Nov. 3, which revealed that American-based firms announced 33,843 job cuts last month, up from 29,989 in September.

This is higher than the same month last year, when 22,822 employees were laid off.

Hopefully your job is safe, because I believe that we will eventually see millions of Americans lose their jobs during this new economic downturn.

We are truly moving into unprecedented territory, but unfortunately most Americans simply do not understand what is ahead.

A lot of people seem to think that we will have some sort of a mild recession and then things will get back to normal.

I wish that was true.

Unfortunately, a day of reckoning is now upon us, and countless numbers of our fellow Americans are about to have their lives completely turned upside down.

image 40
image 40

Cheboksary is the capital of Chuvashia Republic, a port on the Volga, longest river in Europe if you count Russia as Europe at your own peril.

Population last counted not correctly of course in 2010 was more or less 453,721. What transpired in terms of fertility and death rates in the last 12 years is anyone’s guesstimate. My guess, which is as good as yours – nothing positive.

Ethnic composition is mainly Chuvash, Turkic ethnic group. If you look for bride I advise Chuvashi and hurry up while their boyfriends are in Ukraine.

She cook good. Family is important. When I was child most of my street friends were Turkic – Chuvashi and Tartar because first there were many and second, their character dignified, not servile.

Your bride’s ancestor is Genghis Khan, the spiritual founder of Russian Empire, from the gene pool of intrepid warriors of Golden Horde with a tinge of Slavic blood via rape, who in 15th century were ultimately subdued by Vasily the Blind, grand prince of Moscovy, a pathetic imitator of Tatar-Mongol civilisation. The blind are still leading the blind if you know what I mean.

The region recently came into limelight when Chuvashi mobilised conscripts rioted in a training camp in Ulyanovsk (a city down the river where V.I. Lenin was born and educated at his father’s gymnasium – which means ‘school’ in Russian).

The head of the republic Oleg Nikolaev stole their one-time payments they were entitled to for getting off the couch. Brave Chuvashi warriors fight for money, not glory of Rusky Mir (Russian world).

Until 2020, the head of the republic was called “president” but Putin is terrified Turkic regions – Tatarstan, Bashkortostan and Chuvashia – wanna secede so took away title ‘president’ from them.

There is only one president and his name is Vladimir. That’s the beef Putin has for Zelensky, whose name is too Vladimir and he too president.

main qimg f6a038a8c6559a0a0d52ff56b8068411 pjlq
main qimg f6a038a8c6559a0a0d52ff56b8068411 pjlq

Another claim to fame of Chuvashia is a new VIP lounge in the Cheboksary International Airport, an important transportation hub in the region where a third of the population in Russia resides.

I checked the schedule: five low-cost flights to Moscow, two low-cost flights to St. Petersburg, twice a week to Sochi and twice a week to Surgut.

Airport is Named in Honor of Cosmonaut A.G.Nikolaev who was forced to marry first woman in space Valentina Tereshkova (currently, a senator) because she couldn’t stop sleeping with first man in space Yuri Gagarin who was the boss of A.G.Nikolaev at the time and for whose womanising escapades he (Gagarin) was finally assassinated.

main qimg 57b5547e50a0c249c78f37efac00175f lq
main qimg 57b5547e50a0c249c78f37efac00175f lq

A new high-comfort lounge has been recently opened in Cheboksary ̶In̶t̶e̶r̶national Airport to wait comfortably for a flight in an administration building opposite the western terminal building. A nice Chuvashi woman awaits you in the reception. The price is 2,500 rubles.

main qimg ca2fabb684fa3a832a38184ae2f3145f lq
main qimg ca2fabb684fa3a832a38184ae2f3145f lq

A VIP passenger can sit in a soft vintage armchair.

main qimg 3668133b764730e3c7f4f33bc755bb7d lq
main qimg 3668133b764730e3c7f4f33bc755bb7d lq

And have a cup of instant coffee with sweets.

main qimg f8f6819ddf5ddb3b0f0bde34195808e5 lq
main qimg f8f6819ddf5ddb3b0f0bde34195808e5 lq

Umbrella rack has been thought through.

Brutalsky or Not?

This author’s appraisal: brutalsky


I encourage you to subscribe to Truth About Russia space and my account to receive notifs as answers get restricted due to ongoing troll attacks which goal to suppress any information that paints Putin’s war against Ukraine in bad light. You can also support Brutalsky on patreon/mishafirer from $1 where I publish articles and photo guided tours in Moscow and elsewhere in Motherland.

Spicy Thai Peanut Chicken Curry

“This is a spicy dish, but the heat can be adjusted by reducing the curry and sambal oelek. It is quick and easy and it is so good. Time to cook rice is not included in prep time or cook time. Also…I often use lite coconut milk, it reduces the fat content and is still wonderful, just a little less rich.”

2022 11 06 09 04
2022 11 06 09 04

Ingredients

Directions

  • In a medium saute pan saute peanut oil, red curry and sambal over med. heat for a few minutes.
  • Add the chicken and cook through.
  • Add coconut milk, fish sauce, brown sugar and peanut butter.
  • Simmer about 10 minutes.
  • Serve over steamed Jasmine rice.
  • Top with fresh lime juice and crushed peanuts.
  • Tip: If you are worried about the heat, just add half of the curry and sambal in the first step. Try it after everything has been added and if it’s not spicy enough you can then add more curry. If then you want it more spicy you can add more sambal, the sambal is more spicy than the curry.

Boudicca: The Celtic Queen Who Unleashed Fury on the Romans

We British are used to women commanders in war; I am descended from mighty men! But I am not fighting for my kingdom and wealth now. I am fighting as an ordinary person for my lost freedom, my bruised body, and my outraged daughters.... Consider how many of you are fighting — and why! Then you will win this battle, or perish. That is what I, a woman, plan to do!— let the men live in slavery if they will.

These are the words of Queen Boudicca, according to ancient historian Tacitus, as she summoned her people to unleash war upon the invading Romans in Britain.  Boudicca, sometimes written Boadicea, was queen of the Iceni tribe, a Celtic clan which united a number of British tribes in revolt against the occupying forces of the Roman Empire in 60-61 AD.

While she famously succeeded in defeating the Romans in three great battles, their victories would not last. The Romans rallied and eventually crushed the revolts, executing thousands of Iceni and taking the rest as slaves. Boudicca’s name has been remembered through history as the courageous warrior queen who fought for freedom from oppression, for herself, and all the Celtic tribes of Britain.

Boudicca’s Early Years

Little is known about Boudicca’s upbringing because the only information about her comes from Roman sources, in particular from Tacitus (56 – 117 AD), a senator and historian of the Roman Empire, and Cassius Dio (155 – 235 AD), a Roman consul and noted historian. However, it is believed that she was born into an elite family in the ancient town of Camulodunum (now Colchester) in around 30 AD, and may have been named after the Celtic goddess of victory, Boudiga.

As an adolescent, Boudicca would have been sent away to another aristocratic family to be trained in the history and customs of the tribe, as well as learning how to fight in battle. Ancient Celtic women served as both warriors and rulers, and girls could be trained to fight with swords and other weapons, just as the boys were.

Celtic women were distinct in the ancient world for the liberty and rights they enjoyed and the position they held in society. Compared to their counterparts in Greek, Roman, and other ancient societies, they were allowed much more freedom of activity and protection under the law.

Life Under Roman Rule

In 43 AD, before the time that Boudicca reached adulthood, the Romans invaded Britain, and most of the Celtic tribes were forced to submit. However, the Romans allowed two Celtic kings to retain some of their traditional power as it was normal Roman practice to allow kingdoms their independence for the lifetime of their current king, who would then agree to leave his kingdom to Rome in his will.

One of these kings was Prasutagus, whom Boudicca went on to marry at the age of 18. Their wedding was celebrated for a day and a night and during this time they also gave offerings to the Celtic gods. Together they had two daughters, called Isolda and Siora.

However, it was not a time of harmony for Boudicca and Prasutagus. The Roman occupation brought increased settlement, military presence and attempts to suppress Celtic religious culture. There were major economic changes, including heavy taxes and money lending.

In 60 AD life changed dramatically for Boudicca, with the death of her husband. As Prasutagus had ruled as a nominally independent, but forced ‘ally’ of Rome, he left his kingdom jointly to his wife and daughters and to the Roman emperor. However, Roman law only allowed inheritance through the male line, so when Prasutagus died, his attempts to preserve his line were ignored and his kingdom was annexed as if it had been conquered.

“Kingdom and household alike were plundered like prizes of war.... The Chieftains of the Iceni were deprived of their family estates as if the whole country had been handed over to the Romans. The king's own relatives were treated like slaves.” — Tacitus 

Boudicca Seeks Vengeance

To humiliate the former rulers, the Romans confiscated Prasutagus’s land and property, took the nobles as slaves, publicly flogged Boudicca, and raped their two daughters. This would prove to be the catalyst which would see Boudicca demanding revenge against the brutal invaders of her lands. Tacitus records the words spoken by Boudicca as she vowed to avenge the actions of the Roman invaders :

“Nothing is safe from Roman pride and arrogance. They will deface the sacred and will deflower our virgins. Win the battle or perish, that is what I, a woman, will do.” 

And so Boudicca began her campaign to summon the Britons to fight against the Romans , proving that ‘hell hath no fury like a woman scorned’.

On The Verge Of The Worst Homelessness Crisis In The Entire History Of The United States

.

If you live in a major urban area, you may have noticed that “tent cities” are starting to pop up all around you.  The worst inflation crisis in decades has combined with the worst drug crisis that we have ever seen to create an unprecedented homelessness crisis.  Every night, even more Americans join the rapidly growing “unsanctioned encampments” that are taking up more and more real estate in our largest cities.  If things are this bad now, how many of our fellow citizens will be sleeping in the streets when economic conditions are much worse a year or two from today?  We are supposed to be the greatest nation on the planet, but with each passing day even more tent cities are established.  Our system is failing, and the widespread economic suffering that we are witnessing right now is truly difficult to comprehend.

Just look at what is happening in Sacramento.  It is the capital of the California, and tent cities are being established all over the place.

In fact, it is being reported that the number of homeless people in Sacramento has risen by nearly 70 percent just since 2019…

During the pandemic, the unhoused population has soared all over California, but the increase in Sacramento has been particularly stunning.

The region has seen an almost 70% rise in homelessness since 2019, now counting more unhoused people than San Francisco.

Needless to say, absurd housing prices are one of the main reasons why so many people are being forced out into the cold streets…

The primary force behind the dramatic rise, according to the 2022 point-in-time count, is the high cost of housing. The median home price in the county has surpassed $500,000 and the median monthly rent is $2,774, up more than 5% from last year. Some studios downtown rent for $2,000 a month, said Crystal Sanchez, the president of the Sacramento Homeless Union, while thousands of people sleep outside.

Most middle class families can barely afford to pay $2,000 or more for housing each month.

And those that are poor don’t stand a chance.

Conditions are very similar up in Portland, Oregon.  Housing prices have soared to insane levels, and homelessness is spreading like wildfire.

At this point, Mayor Ted Wheeler says that there are “nearly 800 unsanctioned encampments” spread throughout the city…

“Nearly 800 unsanctioned encampments spread out over the 146 square miles of the City of Portland,” Wheeler said. “Something needs to change.”

But many Portlanders have lost faith in city leaders.

In a recent poll conducted by The Oregonian, nearly 75 percent of Portland voters said the city is “on the wrong track.”

At this stage, it has pretty much gotten to a point where you simply cannot avoid the tent cities.

Things are so bad right now that there is a possibility that the state of Oregon could actually elect a Republican governor in November…

The race for the governorship remains a tossup, with a new poll showing a narrow lead for the Republican candidate in a state that hasn’t elected a Republican governor since 1982.

Republican Christine Drazan leads Democrat Tina Kotek in the race, 44 to 41 per cent, according to a new Nelson Research poll, KOIN reported.

That gives Drazan a 2-point lead in the RealClearPolitics polling average, having narrowly led in every poll this fall.

I thought that we would never see another Republican governor in that state.

It looks like I might have been wrong about that.

Washington D.C. doesn’t have as many “unsanctioned encampments” as Portland does, but the New York Post is reporting that the number of tent cities in the capital of our nation has now grown to 120

In the past two years, homeless encampments have exploded in Washington D.C., as both the city and federal governments lifted enforcement measures during the COVID-19 pandemic — and made it a no-brainer for itinerants to lay down roots by providing for their every need.

A tour by The Post of the district’s major tourist areas this week found at least 35 vagrants in residence at a National Park Service site two blocks from the White House; more than 20 in the green spaces surrounding the State Department complex; and five across the street from the infamous Watergate Hotel.

And these sites accounted for less than 5 percent of the estimated 120 tent cities in Washington D.C.

Over a decade ago, I worked in the heart of Washington.

And during my entire time there, I didn’t see a single homeless person living in a tent.

But now tent cities are all over the place.

Of course a rise in crime often comes along with an explosion in homelessness.

In New York City, the rape of a female jogger by a homeless man with 25 prior arrests is making a lot of headlines this week

Police said the victim was out by Pier 45, near West and Christopher Streets in the West Village, at around 5:30am, when the man, Carl Phanor, 29, grabbed her from behind and choked her until she passed out, the New York Post reports.

Phanor, who has 25 priors and who is wanted for at least two other sex crimes, then removed her clothes, raped her, and then fled the scene on a Citi Bike with her headphones, cell phone and wallet.

The shaken victim was spotted by a fellow jogger, and first responders took her to a nearby hospital.

Sadly, the homeless population just continues to rise in New York City with each passing day.

In fact, the problem has gotten so severe that the National Guard has now been called in to deal with the crisis…

New York City has called in the National Guard to deal with the rising migrant crisis that is overwhelming homeless shelters and facilities as staff are unable to cope with the surge.

National Guard reservists are being deployed to help with day-to-day operations at many shelters, including managing the capacity, distributing food and helping out with staff shortages.

There are over 62,000 people currently living in New York City’s homeless shelters – close to 13,000 of which are migrants, according to the Department of Homeless Services.

But this is just the beginning.

Over the next few years, I expect millions of Americans to lose their jobs.

And the truth is that it is already starting to happen.  Just today, we learned that Stripe will be laying off approximately 14 percent of their entire workforce

Online payments giant Stripe is laying off roughly 14% of its staff, CEO Patrick Collison wrote in a memo to staff Thursday.

In the memo, Collison said the cuts were necessary amid rising inflation, fears of a looming recession, higher interest rates, energy shocks, tighter investment budgets and sparser startup funding. Taken together, these factors signal “that 2022 represents the beginning of a different economic climate,” he said.

Yes, as I detail in my brand new book, a “different economic climate” is definitely ahead of us.

As economic conditions deteriorate, the number of tent cities will grow much larger.

So the cold, hard reality of the matter is that our homelessness crisis is only going to intensify from this point forward.

I know that may be difficult news to hear, but the truth is that these are difficult times.

This can only weaken the US and make its long-term position worse. China has the market and demand and as such, these chip restrictions can only force China to accelerate their tech independence. They are in a much better position to do so than we are in America and have been preparing for this years ago.

For example, we’ve been tracking China’s move towards 7nm and believed they were going to get there by 2025 or later. I was shocked to see that they had already hit 7nm.

China’s top chip maker said to reach tech level on par with global giants  SMIC took two years to achieve the leap from 14-nm to 7-nm, faster than TSMC and Samsung, TechInsights said.

I knew they could do it, and I believe western governments believed they could do it; but not this quickly.

When you look at their track record, forcing them to advance only helps them move even faster (see China’s space station) while we remain relatively stagnant.

If you are American and aren’t scared we’re going to lose this race, I don’t know what to tell you. I personally want a strong, competent America that is co-developing at pace with China. I want that because I have a personal stake in it. My family’s stakes are all in America and all I see is a future where that all crumbles away.

If we’re moving at pace with China, we’re also far less likely to start a war with them.

I don’t think anyone is really happy that this is happening, not even the Chinese. It puts them in a difficult position, but at least they have planned and are prepared for it. We’re making moves that seem to have no value for anyone, not even us.

Famous Last Words: “We Will Stay The Course Until The Job Is Done”

.

The last time interest rates were this high, the U.S. economy plunged into “the Great Recession” and millions of Americans lost their jobs.  But the Federal Reserve is not going to stop here.  In fact, Fed Chair Jerome Powell is telling us that more rate increases are on the way and that officials at the Fed are determined to “stay the course until the job is done”.  If “the job” is to destroy the U.S. economy, they have already made a tremendous amount of progress toward that goal.  The housing market is completely and utterly imploding, and economic activity is steadily slowing down all around us.  But even though Powell can see the damage that is happening, he insists that the Fed will keep raising rates until the official rate of inflation returns to 2 percent.

But this isn’t the early 1980s.

Today, there are a whole host of factors that are working in unison to push prices up.

So the Fed can try to hammer demand as much as it wants, but getting inflation back under control is not going to be as easy as it was during the Volcker era.

And dramatically hiking interest rates at the beginning of a major economic downturn is literally suicidal.  Many of us have been pleading with the Fed to stop raising rates so rapidly, but we witnessed yet another 75 basis point rate hike on Wednesday

The Federal Reserve on Wednesday approved a fourth consecutive three-quarter point interest rate increase and signaled a potential change in how it will approach monetary policy to bring down inflation.

In a well-telegraphed move that markets had been expecting for weeks, the central bank raised its short-term borrowing rate by 0.75 percentage point to a target range of 3.75%-4%, the highest level since January 2008.

According to Fox Business, it was a unanimous vote, and this “marks the sixth consecutive rate increase this year”…

The three-quarter percentage point hikes in June, July, September and November underscore just how serious Fed officials are about tackling the inflation crisis after a string of alarming economic reports. Policymakers voted unanimously to approve the latest super-sized hike.

The widely expected move puts the key benchmark federal funds rate at a range of 3.75% to 4%, the highest since before the 2008 financial crisis, from near-zero in March. It marks the sixth consecutive rate increase this year and puts interest rates in firmly restrictive territory.

What we are watching is economic malpractice.

I don’t know how else to describe it.

Sadly, the Fed is telling us that “ongoing increases” will be needed until the overall rate of inflation is brought back down to 2 percent…

“The Committee anticipates that ongoing increases in the target range will be appropriate in order to attain a stance of monetary policy that is sufficiently restrictive to return inflation to 2 percent over time,” the Fed said in a new sentence added to its post-meeting statement.

So more rate hikes are on the way.

The good news, if you want to call it that, is that Powell told the media that future rate increases may be smaller in size

“I’ve said at the last two press conferences that at some point it will become appropriate to slow the pace of increases,” Chairman Jerome Powell told reporters during a press conference in Washington. “So that time is coming. And it may come as soon as the next meeting, or the one after that. No decision has been made.”

But of course rates are now clearly high enough to crash the economy.

The housing market is already coming apart at the seams, and we just keep getting data point after data point telling us that the economy is really slowing down.

There is no way in the world that the Fed should be raising rates, but Powell insists that the Fed “will stay the course until the job is done”

‘My colleagues and I are strongly committed to bring inflation down to our 2 percent goal… We will stay the course until the job is done.’

I truly believe that those words will come back to haunt him.

Look, I can understand why the Fed is so spooked about inflation.  We haven’t seen anything like this in decades, and one recent survey discovered that 50 percent of Americans are “feeling their health suffer as a result of the cost-of-living crisis”…

Research at Toluna, a consumer insights firm, found that 50 percent of those surveyed were feeling their health suffer as a result of the cost-of-living crisis, with inflation currently running above 8 percent according to the consumer price index.

Among them, 37 percent said they were feeling more stressed, 21 percent said they were eating less healthily, 16 percent said they were smoking more, and 13 percent were boozing more often.

And we have just learned that approximately 29 million U.S. households “have been unable to pay their energy bills this past year” due to rapidly rising energy costs…

Some 29 million households have been unable to pay their energy bills this past year, according to a survey that says the cold winter weather and rising utility costs will only worsen the crisis.

Data from the US Census Bureau show that many more American families — 43 million households — have cut back spending on groceries, medicine and doctors’ visits, so they could settle an energy bill.

So I definitely agree that inflation is a major problem.

But killing the economy is not the answer.

If you doubt the severity of the crisis that we are heading into, just take a look at what is happening at Wells Fargo right now

Mortgage volumes at Wells Fargo slowed further in recent weeks, leaving some workers idle and sparking concerns the lender will need to cut more employees as the U.S. housing slump deepens.

The bank had about 18,000 loans in its retail origination pipeline in the early weeks of the fourth quarter, according to people with knowledge of the company’s figures. That is down as much as 90% from a year earlier, when the Covid pandemic-fueled housing boom was in full swing, said the people, who declined to be identified speaking about internal matters.

If Wells Fargo is already facing a 90 percent decline, what will happen as the Fed hikes rates even higher?

Sadly, the “housing recession” that we are now experiencing could quickly become a “housing depression”.

In my brand new book entitled “End Times”, I explain that the U.S. economy has been going through a housing market crash every 14 years.

There was a crash in 1980, there was a crash in 1994, there was a crash in 2008, and now a new crash has begun in 2022.

But if the Federal Reserve was not being so foolish, we could have likely avoided a severe crash this time around.

Unfortunately, our leaders never seem to learn from history, and the months ahead promise to be exceedingly painful.

Ouch! Germany is facing the reality.

I have actually written a book on this topic. Managing horse manure was the subject of great debate at the end of the 19th Century; in fact, it was called The Great Manure Crisis.

In 1898 there was the first urban convention of civic engineers and architects, held in NYC. It brought people from all over the world. The topics were to be the value of open spaces and the value of architecture in great cities but the meeting never moved beyond the manure crisis. The meeting ended with not much accomplished.

In the late 1800s there were over 300,000 horses in NYC alone, generating 2 million pounds of manure and 60,000 gallons of horse urine every single day. Every. Single Day. Times Square was originally called “Longacre” and it was a massive field owned by John Astor where he sold horses; not soon after the meeting, Astor sold it to the New York Times and it became Times Square. (He later died on the Titanic.) There were even entire city blocks where manure was piled nearly 100 feet high because the city could not deal with it. When it rained, the cities filled with a disgusting mud of manure and filth. Urchins were paid by pedestrians to make a path before them as they walked. In some streets, the manure came up beyond the ankles; it was one reason there were steps up to the front doors of homes and the “mud room” was the place where people removed their boots before entering the house to not track in filth. The NY Times reported that 3 billion flies were bred in the filth every day.

In earlier times, manure was sold by the city for a profit to farmers who carried it to their farms in dung carts; most of Brooklyn was still open field in the mid 1800s. Then it was barged up north on the rivers to the farms. But as the city grew, the amount of manure increased beyond management and by 10AM it was no longer good for manure because so much filth was mixed with the manure that it was unusable as fertilizer. To make matters worse, the sanitation department was controlled by the Tamanny Organization, the biggest organized crime ring in America, and used for “no show” patronage jobs. Streets could be cleaned by extortion only. Richer city dwellers had to pay off the sanitation department to clean the streets, twice a week. And the cacophony of horses clopping was maddening. The rich paid to have their streets strewn with straw to cut down on the noise. The situation became so bad that the city paved some streets in wood, cut in wide blocks and laid with the grain up, to cut down on the noise – but the wood absorbed horse urine and stunk even more, and it rotted away within five years while sett blocks would last virtually forever. However, set blocks were uneven and people and horses would easily trip. A lame horse would be shot and left to rot for up to three months because there were no machines to haul away such heavy carcasses.

main qimg 47d60141d27dd080bc8a964acb9b2457 lq 1
main qimg 47d60141d27dd080bc8a964acb9b2457 lq 1

The situation was so bad, that in conjunction with the gas plants and the giant phosphate plants and the oil refinery and slaughterhouses and glue factories that NYC published a “Stench Map” that showed where the prevailing winds would blow the stink. It was untenable.

main qimg 716cf9b307d773578890d2da5dcdc4f9 lq
main qimg 716cf9b307d773578890d2da5dcdc4f9 lq

Teddy Roosevelt, before he became Commissoner of Police, hired to clean up the corruption in the police department, which had even fought a deadly civil war between competing departments, was first offered the job of cleaning the streets. He turned it down. “No one can clean up that mess,” he declared.

Even though it was illegal, the city pushed the manure into the harbor or onto barges and had it dumped at sea where it washed up on the beaches of Long Island, making the residents irate. The situation was so bad that bars of manure formed in the river that capsized ships and halted navigation requiring constant dredging. It was an absolute disaster.

The problem was solved in stages but it was actually the electric subway car and the invention of automobiles that saved the city, and cities worldwide, though in Europe, earnest efforts at sanitation had gotten the problem in hand long before the US. The automobile was in its infancy in 1898 with only a handful of electric, steam and gas cars but by 1908, half of all the horses in NYC had been replaced by cars. In fact, the impact on the horse market was so intense that people were frantically selling their horses for any price to the glue factories just to get rid of them. The last horse-drawn fire engine was retired in NYC in 1922 in a great ceremony where the last engine was called on a ceremonial fire call from a box, and they raced from the station for the last time, chased by their Dalmations, to a non-existent fire where the horses were relieved of their jobs, but preserved to live out their lives in a pasture as a reward for their service.

The automobile and a man named Colonel Waring saved NYC. Waring was given absolute dictatorial control over the clean up of the city and fired the Tammany no-shows and had his men dress in white uniforms as they shoveled the manure away, day and night. He even made it a patriotic duty to clean the streets and put in waste baskets and organized trash removal. He was so hailed by the city for his success that a ticker tape parade was held in his honor. But ultimately, it was the automobile that saved the city.

George E. Waring Jr. – Wikipedia
George E. Waring Jr. in 1883 Born ( 1833-07-04 ) July 4, 1833 Died October 29, 1898 (1898-10-29) (aged 65) Monuments Woodland Cemetery, Stamford Connecticut [Cenotaph memorial] Occupation Sanitary engineer , civic reformer Years active 1865–1898 Known for Advocate of sewer systems that keep domestic sewage separate from storm runoff George E. Waring Jr. (July 4, 1833 [1] – October 29, 1898) was an American sanitary engineer and civic reformer. He was an early American designer and advocate of sewer systems that keep domestic sewage separate from storm runoff. Early life [ edit ] Waring was born in Pound Ridge, New York , the son of George E. Waring Sr., a wealthy stove manufacturer. Trained in agricultural chemistry , he began to lecture on agricultural science [ where? ] . In 1855, he took charge of Horace Greeley ‘s farm at Chappaqua, New York . [2] Draining Central Park [ edit ] In 1857, Waring was appointed agricultural and drainage engineer for the construction of New York City ‘s Central Park . [2] This effort was considered to be the largest drainage project of its time. Prior to this time, much of the area of the proposed park was a wetland . He designed and supervised construction of the drainage system that created the scenic lakes and ponds of the park. [3] An enthusiastic equestrian, he and his horse “Vixen” would often use the park’s construction as jumping obstacles. [4] Civil War Service [ edit ] At the beginning of the American Civil War , Waring resigned from the Central Park project to accept a military commission as major. He departed New York in the early summer, and drilled for a month in Washington DC, occasionally meeting President Lincoln as he reviewed the troops. Waring departed Washington DC on July 4, 1862, and fought at Battle of Blackburn’s Ford . [4] He then joined John C. Frémont and headed to St. Louis, where he commanded the Fremont Hussars. His beloved mare Vixen died on campaign in November 1862, near Jefferson City . Waring acquired a new charger, Ruby, a chestnut described as “a picture of the most abject misery; his hind legs drawn under him; the immense muscles of his hips lying flabby, like a cart-horse’s; his head hanging to the level of his knees, and his under-lip drooping; his eyes half shut, and his long ears falling out sidewise like a sleepy mule’s.” [4] Despite appearances, Ruby was an uncommonly good jumper. He raised six companies of cavalry for the Union side in the State of Missouri . These units were eventually consolidated as the 4th Missouri Cavalry under Waring, who was promoted to the rank of Colonel in January 1862. He commanded this regiment throughout the war, principally in the Southwest . [2] Ogden Farm [ edit ] During the 18th century, Newport, Rhode Island ‘s wealthy merchants developed country agricultural estates in the outlying towns. Following the Civil War, with a romanticizing of rural, country and farm life by Andrew Jackson Downing and others, estate farms for the Newpor

Waring went on to die of Yellow Fever in Cuba but he had saved the city from manure.

TL:DR

For those who don’t like to read, skip this part.

It was much, much worse than I wrote about. In the late 19th Century NYC was a huge open air sewer. Houses on hills dumped their sewage onto the roofs of houses below and only rain moved it off. It was horrible. We still see the impact today – Standard Oil dumped so much oil into the soil that you can still pump it out of the ground today and oil sludge collapses subway tunnels.

The invention of actual indoor plumbing had a huge impact on the city, as well as other factors. The slaughter houses dumped the blood from their killings right into the streets and it traveled in rivulets for three blocks before it ran into the river. And tenements backed right up to the slaughterhouses. The cows were fed in the dark in breweries on brewery waste that led to the “Swill Milk Scandal” because the milk was so awful that plaster had to be added to make it look “white”.

NYC grew far faster than the supporting infrastructure. NYC was the last city to let pigs run wild in the streets where they bred fast on the filth and were impossible to control. Police were still finding live pigs living in the city and in people’s apartments until the early 1900s. Steer were run through the streets in massive cattle drives with cowboys on horses with pistols until the 1930s, from the train yards to the slaughter houses. Traffic had to be stopped to let them run. It was a mess, a real mess. Part of the High Line that still exists today was made to get the cattle off the streets and directly into the slaughterhouses.

Getting clean water was a challenge until the Croton Aqueduct in 1840 and even then, there was no piping it into the homes. It had to be carried by bucket or wagon. Chase Manhattan Bank got its start as a water carrier for the rich. The rich put 600 gallon cisterns on their roof, filled by rain or bucket brigade and had to be skimmed to get the dead squirrels, rats and birds out of the water that fell in and drowned. The cuffs of men’s pants and the hem’s of women’s skirts were always ringed by shit. Women carried parasols to keep off the piss that was thrown from the windows from the commode pans. People shit and pissed in the alleys. There was even pamphlet with etiquette on how to address people you knew and met in the alley pissing or shitting. Women didn’t wear panties until 1920 and just squatted in their dressed and pissed in the alleys. You can still see architectural elements, such as cones of concrete, built into the corners of buildings to discourage people from pissing there. Buildings were made with aprons to direct piss onto the pisser to discourage them from pissing there. There were still 100,000 public outhouses in NYC in 1940, all of which had to be mucked out weekly by “night soil men” who reported dead animals and people dumped into the sewage cisterns below them. People even put the dead out in the trash when they couldn’t afford to bury them. Everything smelled, it was god-awful and made worse by the steam locomotives that belched smoke and ash all over everything in sight. It was a horrible way to live.

Another man who helped clean up the city was William Phelps Eno, who became the world’s first “traffic engineer”. He was the man who invented the center line on roads, made traffic always ride on the right hand side of the road, invented one way streets and many other traffic inventions. He also was responsible for getting horses off the streets, having a law passed that horses couldn’t be parked on the street after dark but had to be liveried. Horses in the city died of neglect, bad water and overwork, having a life span of just 3 years while in the country they lived 25 years. One of the main reasons the ASPCA was founded was because of the absolute horrible conditions for horses in NYC.

Because they’re incredibly hard to make and it wasn’t possible. The bicycle is clearly the greatest invention. No other invention has enhanced the capabilities of a human being the way the bike has. It’s the most efficient for of movement on earth. That’s why there’s a billion of them today.

main qimg fabddc4490407037d5c2e8bb262b62e5 lq
main qimg fabddc4490407037d5c2e8bb262b62e5 lq

Here’s a chart showing the efficiency, nothing comes close. Now, you’re basically asking why they weren’t invented earlier.

main qimg 8c1481c5379957cb3e962fdd04df33be lq
main qimg 8c1481c5379957cb3e962fdd04df33be lq

This is an early modern wheel. It weighs more than a modern bike. You’re really not going to be able to move two of them with the power of a person. But that’s not all, have you ever seen a bike chain up close? They require very accurate machining as do the gears and sprockets. These were really hard to make. Infact the early “bicycles” were called velocipedes and looked like this.

main qimg 0ab322ae68018646545bc5098cdade93 lq
main qimg 0ab322ae68018646545bc5098cdade93 lq

This was invented in the early 1800s and it was quite popular but it wasn’t super efficient. Originally they didn’t have pedals at all and you’d just sit on it and run kind of like Fred flint stone in his car. To get more mechanical advantage they started making the front wheel with the pedals bigger and bigger making the commonly known penny farthing style bike appraoching the turn of the last century you’re probably familiar with. The chain driven bike that you’re familiar with finally became available in the 1890s after it was invented but they weren’t cheap this was high tech stuff. Each piece of the. Chain needs to be perfect and to exact tolerance which simply wasn’t possible before. People had tried shaft drives before this but it never really worked too well and it’s been the chain drive ever since. Part of the reason you think that the bike should have been invented earlier is a testimony to its brilliance. It just looks so easy to do and so intuitive you wonder why it wasn’t done earlier but that simplicity is really just perfect design that people rarely look deep enough to notice.

The Japanese have been producing wood for 700 years without cutting down trees. In the 16th century the extraordinary Daisugi technique was born in Japan. The Daisugi, in fact, predict that these trees are planted for future generations and not cut down but pruned like giant bonsai; applying this technique to Cedars, so the wood can be obtained uniformly, straight and without di, pretty much perfect for construction. An art pruning that allows the tree to grow and sprout using its own wood, without ever cutting it down.

Amazing technique.

main qimg eb7575208f600f1514bc28782d7d024b lq
main qimg eb7575208f600f1514bc28782d7d024b lq

From the old grouch…

Love this guy... -MM

I got into a heated argument with her last Summer  when she was wanting me to load up the riding lawnmower she finally allowed me to get.

Come to find out the only reason she relented in the first place after I had been wanting one for five fucking years was so that I could drag the thing on a seventy mile round trip to go mow her Dad’s giant lawn. I told her these fucking ramps are dangerous, right after one of them tried kicking sideways on me, right in front of her.

I sent her this so she just maybe will get a fucking clue that I’m not talking shit when I say they are dangerous.

I drilled holes in the end of mine and matching holes in the tailgate. Then I drop a bolt into the holes so the damn ramps can’t spit back out like that. I also ALWAYS back up into the bed of the truck.

You gotta know that little ride fucked that old man up.

Lucky for him, the neighbor behind was watching, along with whoever was filming it, so they could drag him out from under the damn thing and call an Ambulance.

Axe-Wounded Visby Warrior Brought to Life Over 600 Years Later

From HERE

Visby warrior
Visby warrior

A medieval warrior’s skull, recovered from a mass grave outside Visby, a city on the Swedish island of Gotland, has been brought to life by a digital artist. Killed in the 1361 AD Battle of Visby, in which 2,500 Danish warriors, mostly heavily-armed mercenaries, massacred 1,800 peasant farmers wielding farming tools, the warriors brutally broken face reveals the horrors of what is regarded as one of the most violent battles in European history.

Scientists took the 3D scans of the skull revealing that his eye and cheek bones had been smashed with a pole weapon just before his mouth and nose was split open with an axe. Using these 3D scans, and genetic statistical data, a digital artist has now brought this warrior back from the dead by recreating his heavily-wounded head and face.

excavation 27
excavation 27

The first excavation of the mass graves from the Battle of Visby in 1361, led by Oscar Wilhelm Wennersten in 1905. (Julius Jääskeläinen / CC BY 2.0 )

Darkness Unfolding On the Fields of Gotland

On 22 July, 1361 AD, almost 2,000 residents of the Swedish town of Visby on the island of Gotland were slaughtered by 2,500 invading Danish troops. King Valdemar Atterdag of Denmark had just conquered the neighboring territories of Skåne and Öland, and he was greedy to subjugate Gotland.

A Gutnish yeomen army of peasant farmers and their families came head to head on July 27 with the Danish warriors outside Visby’s town walls, and around 1,800 peasant farmers wielding farming tools were quickly slaughtered. Historians often equate this event with the 1356 AD Battle of Poitiers, when a similar number of French were brutally killed by an Anglo-Gascon force commanded by Edward, the Black Prince, during the Hundred Years’ War .

reconstruction 25
reconstruction 25

The digital facial reconstruction of the Visby warrior really brings the Visby massacre to life. ( Cicero Moraes )

A Third of the Visby Defenders Were Young and Elderly

Since 1905, five mass graves have been identified outside Visby’s medieval town walls. Most recently, archaeological excavations at one of these mass graves revealed the horrors of the slaughter when it was determined that “at least a third of the 1,800 poorly armed militia of farmers were children and elderly.”

Now, Brazilian digital artist Cicero Moraes has brought one of the Visby defenders back to life. Moraes built his reconstruction using a three-dimensional model of the skull which was given to him by the Swedish History Museum in Stockholm . His creation was published in the 3D computer graphics journal OrtogOnLineMag.

Charting Ancient War Wounds

It is thought that shattered bones above the warrior’s left eye and on his left cheek bone were most probably inflicted by a heavy pole weapon. Furthermore, the man’s mouth and nose were smashed by an axe. Once these bone wounds had been charted by digital scans, Moraes set “soft tissue thickness markers” across the entire skull. These pins determined the position of the warrior’s muscles and skin.

While the warrior’s skull forms the frame of the digital recreation, the sizes of his mouth, nose and eyes are approximations based on the averages given by statistical data. Moraes said that once the man’s face was defined, “approximations generated the most scientifically accurate image” in shades of gray, with eyes closed and without hair.

facial 1
facial 1

The facial reconstruction of the Visby warrior unearthed within a mass grave outside on the Swedish island of Gotland. ( Cicero Moraes )

The Townsfolk Gave Everything to Avoid Getting Axed

Attempting to stop the massacre, after the main Battle of Visby the militia of farmers and their families surrendered to the Danish warriors. To save their city from being sacked the Gotlanders they handed over a large amount of their wealth to the invading King Valdemar. However, Valdemar appointed sheriffs and one year later added King of Gotland to his list of titles.

According to John Keegan’s 1976 book The Face of Battle, about 2,000 of the bodies of these city defenders were “unusually, buried in their armor.” The author concluded that “hot weather and the great number of dead (2,000) inspired the Danish to strip them before decomposition began.” The site of the excavation, according to Keegan, has yielded “one of the most fearsome revelations of a medieval battle known to archaeologists.” Moraes’ facial reconstruction of an axe-wounded warrior brings this event to life.

By Ashley Cowie

Thai Spicy Basil Beef

“My version of Thai Beef Basil I usually get from take-out. If you can find Thai Basil and Thai Chilies that would be great. Otherwise, I’ve used regular basil and serrano or jalapeno chilies instead. I freeze the beef for 10 minutes to make it easier to thinly slice.”

2022 11 06 09 02
2022 11 06 09 02

Ingredients

Directions

  • Heat wok with oil, add garlic and chiles.
  • Add beef and stir fry for 1 minute.
  • Add onions and basil, stir for 1 minute.
  • Add remaining sauce: oyster sauce, fish sauce, sugar, and white pepper.
  • Stir fry until cooked, garnish with cilantro.
  • Server immediately with steamed rice.

What. The. Fuck?

The Twitter Massacre

I am amusing myself with watching the panic some people express over Elon Musk’s cleanup of Twitter.

Yesterday 3,700 of its 7,500 workers were fired. That is not good, but the company was losing money and making money is at the core of the capitalist game.

Of interest is what functions were eliminated. The Guardian provides this list:

From news reports and terminated employees’ announcements, here’s what we know so far about the teams that have been hit by the layoffs of thousands of Twitter employees:

  • The human rights team has been laid off, according to a now former employee, Shannon Raj Singh, who said the team worked to protect those at risk in global conflicts, including in Ukraine, Afghanistan and Ethiopia.
  • The ML (machine learning) Ethics, Transparency and Accountability team is gone, according to a tweet of a laid-off manager.
  • The “internet technology team”, which helps keep the site running, has been cut to “a skeleton crew”, two sources told the Times.
  • An accessibly experience engineering team has been cut, according to a laid-off engineering manager.
  • The curation team, responsible for the Moments feature on Twitter, has also been cut, former employees reported.
  • Twitter’s communications department is almost entirely gone, according to the Verge.
  • Other areas that have been heavily impacted, the Verge reported, include product trust and safety, policy, research and social good.

What were these teams actually doing?

The human rights team leader gave some hints:

Shannon Raj Singh @ShannonRSingh - 17:58 UTC · Nov 4, 2022

Yesterday was my last day at Twitter: the entire Human Rights team has been cut from the company. 

I am enormously proud of the work we did to implement the UN Guiding Principles on Business & Human Rights, to protect those at-risk in global conflicts & crises including Ethiopia, Afghanistan, and Ukraine, and to defend the needs of those particularly at risk of human rights abuse by virtue of their social media presence, such as journalists & human rights defenders.

The human rights team was the ‘regime change’ force on Twitter. It intervened in conflicts where the U.S. preferred a certain side.

Jerri ☮️ @JerusWorld - 20:42 UTC · Nov 4, 2022
Replying to @ShannonRSingh

So you are the one that was censoring pro-Ethiopian and Eritrean voices in order to help the rebel group from Tigray. May Karma pay you back for thousands of lives perished in US/West proxy war.

Shannon Raj Singh had previously meddled in Afghan and other countries’ cultures:

Shannon Raj Singh is a Legal Counsel for SAHR, advising a Kabul-based team on sexual violence litigation in Afghanistan, which aims to end the invasive and discriminatory practice of female virginity testing.

She is an international criminal law attorney focused on victim-centered responses to mass atrocities. Currently based in The Hague, she has experience working with the Special Tribunal for Lebanon, the International Criminal Tribunal for Rwanda, and a number of human rights NGOs in sub-Saharan Africa. She has also practiced as a litigator in the United States, appearing in both state and federal courts and assisting with overseas corruption investigations under the Foreign Corrupt Practices Act.

The machine learning ethics, transparency and accountability team was also fired. Machine learning, also glorified as ‘artificial intelligence’, is essentially an (often lousy) pattern recognition system. It can be trained with categorized data and, after that, can categorized other data it gets presented. All one needs to know about its ethics, transparency and accountability is is the old IT wisdom ‘garbage in garbage out’. If one trains the system with faulty categorized data it will fail to correctly categorize data. It does not need an extra team to learn that.

I do not know what the ‘Internet technology team’ was doing but the function obviously still exists. It was merely downsized.

The accessibly experience engineering team included at least five members. There task was to modify the Twitter app so it could be used better by people with disabilities. I find it weird that Twitter had a whole team for that. To teach designers to use colors that can be differentiated by color blind people takes about 90 minutes max. All other accessibility issues I can think of (fontsize, button size etc.) are an issue for the device and operation system, not for an application like Twitter that runs on top of those. Besides that, how big is the market of people with disabilities for a company like Twitter?

The ‘curation team’ ran the Twitter moments feature. It allows for blog posts about specific tweets. The feature never took off. I know of no one who has ever used it.

Many journalists are hostile to Musk’s takeover of their favorite hang out. The communications department was there to talk to the press. Why bother?

And the other functions? Product trust and safety, policy, research and social good? What were they actually doing? How has the ‘social good’ team helped the company to be successful?

The Washington Post laments that Twitter fired some people who were doing ‘election information’:

The mass layoffs Friday gutted teams devoted to combating election misinformation, adding context to misleading tweets and communicating with journalists, public officials and campaign staff.

The layoffs included a number of people who were scheduled to be on call this weekend and early next week to monitor for signs of foreign disinformation, spam and other problematic content around the election, one former employee told The Washington Post.

‘Foreign meddling’ is certainly an issue in U.S. elections as foreign money funneled through lobbyists can influence the votes. But ‘foreign meddling’ on social media is simply a myth promoted by Democrats as part of their great ‘Russiagate’ fake.

Twitter’s downfall into a ‘regime change’ outlet came in 2009 when it moved a maintenance window to help U.S. ‘regime change’ efforts in Iran:

The Obama administration says it has tried to avoid words or deeds that could be portrayed as American meddling in Iran’s presidential election and its tumultuous aftermath.

Yet on Monday afternoon, a 27-year-old State Department official, Jared Cohen, e-mailed the social-networking site Twitter with an unusual request: delay scheduled maintenance of its global network, which would have cut off service while Iranians were using Twitter to swap information and inform the outside world about the mushrooming protests around Tehran.

The request, made to a Twitter co-founder, Jack Dorsey, is yet another new-media milestone: the recognition by the United States government that an Internet blogging service that did not exist four years ago has the potential to change history in an ancient Islamic country. 

...

Twitter complied with the request, saying in a blog post on Monday that it put off the upgrade until late Tuesday afternoon — 1:30 a.m. Wednesday in Tehran — because its partners recognized “the role Twitter is currently playing as an important communication tool in Iran.”

That was an expensive mistake. Shortly thereafter Twitter lost access to the Iranian market.

Back to ‘regime change’ assistant Shannon Raj Singh:

chinahand @chinahand - 18:55 UTC · Nov 4, 2022 
Quoting @ShannonRSingh

Somebody should publish the pre Elon org chart. Judging by this twitter walked talked and quacked like an NGO which made it subject to banning in half the world

Twitter had become a ‘woke’ company that was mostly in the hands of the Democratic Party. By being ‘woke’ and by supporting ‘regime change’ efforts Twitter killed its own access to at least half of its potential market.

It Musk manages to make it a more neutral service, nationally and internationally, while keeping its original function alive, I am all for it.

Unfortunately that is unlikely to happen.

Posted by b on November 5, 2022 at 17:05 UTC | Permalink

See that Smile of Xi Jinging!!!!

That Smile is the ENORMOUS FACE China gained when Olaf Scholz was forced to Visit China

The Face that Xi Jinging lost when Nancy Pelosi visited Taiwan.

main qimg ce55faeb51cb6f1587fe9254921c68df lq
main qimg ce55faeb51cb6f1587fe9254921c68df lq

The Fact is Germanys Business Community have told Olaf Scholz rather literally that the German Industry was being ripped apart – piece by piece in this Insane Sanctions War

The Business Community – that is responsible for all those shiny euros that Scholz keeps sending to Ukraine – told Scholz in no uncertain terms to ensure Germany didnt follow the Lunatic Von Der Leyen and end up decoupling with both Russia and China.

The Fact that Scholz travels right after Costco got a 25% Stake in Hamburg Port and right before the Mid Terms in US – sends a very strong signal that Scholz is finally growing a backbone

Might be too late for Olaf and i predict he wont last 3 more months or 6 at the most before they topple him with a tamer version of US Lackey (Maybe Habeck!!!)


What did Scholz Mean?

Simple.

He means – Business as Usual

He means – Taiwan will not become the source of any Business dispute. That Taiwan is Chinese and One China Policy rocks.

He means – Xi jingping should negotiate a Ceasefire in Ukraine by “talking with Putin”

Of course most of these Statements are for Public Consumption – to satisfy the Business Community that China and its money is there and to satisfy the Green Nutcases that Scholz is still toeing the EU line about not being fully dependent on China.

Everything else is wishful thinking – especially the Ukraine Part. Putin wont listen to Xi and Xi wont advise Putin.


Yet there may be another Reason for Scholzs Visit

The Bank of China holds almost $ 256.2 Billion of Euro Debt

China has been making a lot of noise about Alternate Currencies for sometime now

Dumping 257 Billion Dollars of Euro Debt at such a time – with the main Debt underwritten by German Banks (146 Billion) – could lead to Catastrophic Collapse of Germany and Europe.

So Olaf may have gone to request Xi Jingping not to hastily make decisions

Maybe this was behind the 25% Stake at Hamburg Port for a Chinese Company


And of course the Diesel and Petrol and LNG Sales

Germany wants continued supplies and maybe Scholz negotiated the same with Xi Jingping

They Won’t Be Able To Deny The Cold, Hard Reality Of What Is Happening To The U.S. Economy Much Longer

.

They are trying really hard to convince all of us that everything is just fine.  But close to one-fifth of the U.S. population is skipping meals because food prices are too high.  And nearly 40 percent of our small businesses couldn’t pay rent in October.  Our leaders are trying to put a positive spin on things, but the truth is that we are witnessing a tremendous amount of economic suffering all over the United States right now.  The core consumer price index just surged to “the highest level since 1982”, and this is putting an enormous amount of financial stress on American families and businesses.

This week, I was stunned to learn that a survey that was just released found that 37 percent of all small businesses in the United States could not pay rent last month…

The survey of 4,789 randomly selected small business owners saw more than half of respondents say their rent is at least 10 percent higher than six months ago.

If you go back seven months, the majority said their rents had increased by at least 20 percent.

Moreover, the study found that roughly 37 percent of small businesses – almost half of all Americans working in the private sector – were left unable to pay rent in October.

Prior to getting this news, if someone had asked me to guess the percentage of small businesses that are currently unable to pay rent, I would have responded with a figure that was far lower.

So often, things turn out to be even worse than I thought they were.

If those small businesses continue to be unable to pay rent, they will eventually be forced to shut down.

So what will our communities look like if millions of small businesses suddenly close up shop on a permanent basis?

Meanwhile, a different survey has discovered that 18 percent of Americans are now skipping meals because food prices have become so crazy…

Over the last 12 months, nearly two in five American households (40%) received food or goods from a food bank (22% for Millennials), and the same amount (17%) stopped buying healthier foods (organic or high-priced healthy foods).

Nearly one in five Americans (18%) say they skipped meals or didn’t buy groceries due to high inflation (including 28% of Gen Z and 23% of millennials).

Skipping meals can be a positive thing, because fasting is actually really good for your health.

But most of these Americans are not skipping meals for the health benefits.

In addition, the same survey found that many Americans are not taking medications or seeing their doctors because prices have gone up so much

Many have cancelled or postponed plans in the past 12 months to see a specialist (14%), take a prescribed medication (10%) or get an annual physical (11%) due to high inflation.

If things are this bad already, what will those numbers look like next year at this time when economic conditions are significantly worse?

The American people are going to become increasingly frustrated as our standard of living continues to plunge.

All of us have to eat, and so many of the products that so many of us buy on a regular basis have gone up dramatically in price

A year ago, a bag of potato chips at the grocery store cost an average of $5.05. These days, that bag costs $6.05. A dozen eggs that could have been picked up for $1.83 now average $2.90. A two-liter bottle of soda that cost $1.78 will now set you back $2.17.

Sadly, this is just the beginning.

Even though the Federal Reserve has declared war on inflation, food prices are going to continue to rise for a variety of reasons.

And as the cost of living keeps becoming more oppressive, more American families are going to struggle to make it from month to month.

Even now, nearly two-thirds of the entire country is currently living paycheck to paycheck

As rising prices continue to outpace wage gains, families are finding less cushion in their monthly budget.

As of September, 63% of Americans were living paycheck to paycheck, according to a recent LendingClub report — near the 64% historic high hit in March. A year ago, the number of adults who felt strained was closer to 57%.

“Consumers are not able to keep up with the pace that inflation is increasing,” said Anuj Nayar, LendingClub’s financial health officer.

The worse things get, the more we will see people clamoring for the federal government to help them.

In fact, one recent survey actually discovered that 63 percent of all U.S. voters are in favor of “inflation stimulus payments”

A recent poll found that almost two-thirds of Americans are proponents of the federal government sending out inflation stimulus payments.

About 63% of eligible U.S. voters expressed some degree of support for federal inflation relief checks being distributed, the Newsweek poll conducted by Redfield & Wilton Strategies showed. Of those who agreed the federal government should do so, 42% indicated they “strongly agree” while 21% said “agree,” according to the poll.

Sadly, most voters don’t seem to understand that sending out more stimulus checks would create even more inflation.

There is always a cost when the government gives out “free money”.

If our politicians would have exercised discipline over the past several years, we would not be in the mess that we are in today.

But now years of very bad decisions are catching up with us in a major way, and economic conditions are rapidly deteriorating.

At this point, the vast majority of the U.S. population can see this.  According to one recent Gallup survey, a whopping two-thirds of all Americans believe that economic conditions in this nation are getting worse.

So many people are talking about the possibility of a recession in 2023.

If all we have is a recession next year, we would be extremely fortunate.

Because right now the economy is starting to crack and crumble all around us, and the outlook for the months ahead is exceedingly bleak indeed.

Eerie. Just like today.

A homework from the UK. Imagine if it was a Chinese school doing this for the US or UK. Twitter China experts would have a field day and many writers on Quora would write “Xi is distracting people from internal problems.” This is what brainwashing looks like. Global Times is a joke compared to this.

main qimg fdd3eac86b5234ad65951b700eaed5d7 lq
main qimg fdd3eac86b5234ad65951b700eaed5d7 lq

My dad raised my sister and I after our mother passed away in an accident when I was 6 and she was 8. Dad never spoke very much unless you asked him a question. He did not date he just went to work and came home and took care of us. I know my dad loved us and he always smiled but getting him to talk was like pulling teeth.

In HS I was a linebacker at our little HS and dad never missed a game. My sister played the flute in school and he went to all her concerts. All the other kids had outgoing parents and my dad was 5:10 140 pounds of quiet average and I used to really envy the laughter and camraderie the other guys had with their dads and mine was just smiling and so silent.

On weekends he went fishing and took us with him if we wanted to go. We were always welcome and though I know he loved us he never had anything to say. Trying to talk to dad was just a lot of 1–2 word answers and then “glad we had this talk son.”

After college I moved away to Nashville about 4 hours from dad and then after a number of years he was in his 70s and got sick and passed away. At the funeral there were few people in attendance just some guys from his work, my sister and I and our families and an Army General in full uniform who formerly saluted my father and then sat in the back and said some prayers and got up to leave.

At the door I introduced myself and asked him if he knew my dad? He said that he had been a Captain in France and your dad was my specialty sergeant and he stopped a German advance in its tracks and destroyed 2 gun emplacements and was awarded the Congressional Medal of Honor. We lost 8 men that day but 25 of us survived that day because your dad was the bravest SOB I ever saw or knew.

Needless to say I was in shock. In a shoebox at home buried in a closet was the medal of honor and the duplicate they give you for ceremonies, the rosette, the citation signed by Truman in 1945, a silver star and the croix de guerre with palm from France.

God I wish I could have heard all this from Dad. Does he know how proud I am of him?

Me crying for my Obi as he enjoys the sun for the last time.

main qimg 5a2a93a11f00cc110d9a254141070579 lq
main qimg 5a2a93a11f00cc110d9a254141070579 lq

Maria holding Obi for the last time too.

main qimg 9c9f13140186248b4069ead27641474a lq
main qimg 9c9f13140186248b4069ead27641474a lq

Obi with his grave goods. Buried next to his friends. Skink and Sophie to the left and Gris to the right. They are on the North side of our backyard garden path. Obi is sleeping on my red plaid flannel shirt.

main qimg 109a002a80fb3d680e26847a62d168cd lq
main qimg 109a002a80fb3d680e26847a62d168cd lq

He has well used cat toys with him. Fresh catnip from the garden. Flowers and a monarch butterfly wing by his ear. I found that wing while picking the marigolds. Some money for cat treats. A bowl that I threw on the wheel filled with his favorite cat food. Also a can of special cat food. There is a Nixie tube from my hobby included. All the cats got to sniff him and say goodby. Obi was a very special laidback quiet cat, he will be missed terribly.

https://youtu.be/6R7uRnhSIsk

.

Babymetal is the answer for these unique times that we live in

Did you know that today 3 million Americans have already come to Russia for permanent residence?

-Irina

Things are settling down. I hope you all are doing well. Life is truly interesting. No rain during the typhoon, but oh lordy is it raining now.

Big outbreak in Guangzhou. Thank you (sarcasm) to the Taiwan and American owners that released all their workers into China to infect everyone.

I am introducing everyone here, in this post to BABYMETAL. The music is heavy metal, and it’s a kind of a stage show / art form. There are elements in the audience where this would resonate. And I strongly urge everyone to watch the live performance, at least the first one.

I admit that it’s an acquired taste, but jeeze! If you were a military mech-a-godzilla space marine in a previous life…

…well…

… I’m certain that you would appreciate this posting(s).

Check this all out.

But first…

Funny

adamtots 32687553 172784010072270 3104498379837669376 n
adamtots 32687553 172784010072270 3104498379837669376 n

Netherlands lifts some sanctions imposed on Russia

After Italy, now Netherlands… Who’s next? Same trend toward china: after Germany visit to China , many more to follow… The decoupling policy as a crusader collective action already collapsing.

Article HERE

.

Most non-Chinese don’t know shit about China. They’ve never been to China. They get all their China information from Western mainstream media which is as fucked up as it gets. To put it in other words: It’s simply ignorance.

.

Funny

adamtots 32080015 402748333468307 3613413813564473344 n
adamtots 32080015 402748333468307 3613413813564473344 n

The first time I saw a vid of them I was like "WTF?" 

Now I'm hooked. 

The weird combination of Loli-goth cuteness, pop-dance choreography and bonafide heavy metal music makes a weird logic all its own. 

It's like getting the shit kicked out of you by a leather-clad Hello Kitty.

Welcome to Japanese-style death metal.

It’s really…

…really…

…REALLY different.

I hope you watched the entire video. It’s not that long. Do you have any thoughts?

10′ Tall WALL put around Federal Reserve Bank in Washington on SUNDAY!

.

2022 11 07 11 40
2022 11 07 11 40

As shown in the photo above, a ten foot tall wall was erected around the Federal Reserve Bank in Washington, DC today (Sunday).

What do YOU suppose is the knowledge they already have, that makes them feel they will need this type of protection from the General Public?

When I received this photo, I stopped what I was doing, went to the bank Automatic Teller Machine (ATM) and withdrew the maximum amount of cash I am able to get on any given day.

I strongly recommend YOU have cash too.  Right now.  Sunday night.  Then more after midnight when the day resets and you can get more out of an ATM.

Whatever they know, it won’t be long until everyone else knows, and the Bankers want to be protected from the general public.

This doesn’t seem good at all.

Babymetal

From Wikipedia…

(Japanese: ベビーメタル, Hepburn: Bebīmetaru) (stylized in all caps) is a Japanese kawaii metal band consisting of Suzuka Nakamoto as “Su-metal” and Moa Kikuchi as “Moametal”.

The band is produced by Kobametal from the Amuse talent agency.

Their vocals are backed by heavy metal instrumentation, performed by a group of session musicians known as the “Kami Band” at performances.

The band was formed in 2010, with the original lineup of Su-metal (vocal and dance), Moametal (scream and dance), and Yui Mizuno as “Yuimetal” (scream and dance), with the concept of creating a fusion of the heavy metal and Japanese idol genres.

Originally a sub-unit of the Japanese idol group Sakura Gakuin, Babymetal became an independent act in 2013, following Nakamoto’s departure from Sakura Gakuin.

Babymetal has also embarked on several tours, with a majority of their tour dates taking place outside of Asia.

  • The group released their third album Metal Galaxy in October 2019.

On October 19, 2018, Babymetal announced that Yui Mizuno had decided to leave the band due to poor health, following her absence from live performances since December 2017.

Since her departure, the band has performed with backup dancers at live performances. In 2019, the band introduced three backup dancers called “Avengers” who performed in rotation at each live show to form a trio with Moametal and Su-metal.

Funny

adamtots 32027255 247650362462957 9154062925533544448 n
adamtots 32027255 247650362462957 9154062925533544448 n

But according to the American “news”, China is going to get rid of their COVID policy…

Seriously. That is what the American mainstream media has been saying…

2022 11 07 11 50
2022 11 07 11 50

But what does China say. Here is from China…

2022 11 07 11 31
2022 11 07 11 31

Huh?

How could the American mainstream media be so wrong?

Truckers Reporting NO DIESEL FUEL – Parts of North Carolina, Oklahoma, Tennessee

.

2022 11 07 11 41
2022 11 07 11 41

American truck drivers are sounding the alarm that Diesel fuel is running out.

It was on October 14 that the US Energy Infrastructure Agency (EIA) publicly stated the nation was down to 25 days of Diesel Fuel reserves. That would put the run-out date, Tuesday, November 8.

Yet Diesel fuel continues to be refined throughout the country, so while “reserves” may have been down to 25 days, there was still ongoing production.

Fuel Distributors in Tennessee announced they had begun LIMITING purchases of diesel fuel in that state, too.

Like all other nations, the United States runs on diesel fuel.  All tractor trailers, trains, and cargo ships require diesel fuel to operate.   If that fuel runs out, then there is no way to transport cargo . . . anywhere.

Supermarkets have only three (3) days supply of food on store shelves.   In large metropolitan areas, those supermarkets must get truck deliveries EVERY DAY to keep shelves stocked.  If diesel runs out, re-stocking cannot take place.

No one is saying WHERE the nation’s supply of Diesel fuel is going.

The US economy is so huge, it uses 148 MILLION gallons of diesel fuel each and every day.  Without that fuel, the economy grinds to a halt.

What that would mean for average Americans is simple: Go to your refrigerator, open it and look at what’s in there.   Then go to your pantry, open it and look what’s in there.  The food you see . . .  would be all you’ve got.  Because there won’t be any more shipments to bring anything else.

While Diesel fuel remains in production, clearly something is very wrong and large areas within the US are now openly running out of fuel.

Get food while you still can.  TODAY.

Funny

adamtots 31788446 182843332287816 5506656803758276608 n
adamtots 31788446 182843332287816 5506656803758276608 n

Spanish Thick Hot Chocolate

“Hot chocolate first came to Spain by means of religious orders at the beginning of the Conquest. Spanish chocolate(Chocolate a la espanola) is thick and served throughout Spain. Adapted from cooking.com.”

2022 11 07 17 19
2022 11 07 17 19

Ingredients

  • 10 -12 ounces semisweet chocolate, grated (or use semisweet chocolate chips)
  • 2 14 cups milk
  • 12 – 1 teaspoon cornstarch, dissolved in a little cold water
  • 12 teaspoon instant coffee (optional)

Directions

  • Heat the grated chocolate and the milk in a saucepan.
  • Mix in the instant coffee if using.
  • Stir to completely mix and make sure the chocolate is melted.
  • When it comes to a boil, add the dissolved cornstarch.
  • Bring back to a boil 3 times, whisking vigorously and removing from the heat each time it starts to bubble to prevent the mixture from boiling over.
  • Ladle into cups from a suitable height to make it nice and frothy.
  • Serve immediately and enjoy!

BEIJING: Many people think they know a lot about China but that’s not true. Some people claim to be experts on China and get invited to interviews with the Western media and yet it’s possible they never visited the country.

Many outsiders only hold a superficial understanding or false perspective of China. They do not dive deeper except to review anti-China media reports, books and TV news reports.

I have a friend from Texas, who insists that he’s one of the foremost experts on China and believes all conspiracy theories about the country are facts. He never visited China and his idea of embracing Chinese culture is to go to an “all you can eat Chinese buffet” every Tuesday at a restaurant near his home.

When I had brought my wife and son, both born in China, to visit Texas in 2015, he invited us to this Chinese restaurant. Although the food was delicious, my wife pointed out that Chinese restaurants in the US do not serve the same cuisines as in her homeland.

And when driving my family to the hotel, he described a China that my wife and I had never experienced. According to his so-called expertise, he said all Chinese wear hazmat suits because the air is so polluted nobody can breathe there. He explained how all Chinese eat bats, cats, snakes, insects and dogs every day.

My wife was laughing because she thought he was joking. I reminded him that I lived in the country and had not observed such silly behavior. But he just kept chattering away citing anti-China media reports about the country.

Later that evening my wife asked me if he was being serious and I informed her that most Americans think similar to him. She was shocked, but the next day we met my friend again and he introduced us to his other friends and they too said strange comments about China.

This is not a rare incident. When I visited New York City in December 2019, I met a very successful investment banker on Wall Street and a graduate from Yale University. He believed the Chinese were cannibals and performed horrible science experiments on other human beings. He would speak about such allegations with all sincerity and I tried to point out that I had not witnessed such actions, but he refused to change his mind.

As we can see, many people are ignorant of China but don’t realize it. They believe in nonsensical stories about the country and can’t separate the facts from fiction. This is why I love to be a ‘bridge builder’ by explaining China to the West and to explain the West to the Chinese.

I have lived and worked in Beijing for over 11 years, so I’m familiar with the country. I would advise non-Chinese people, who never visited China, to stop the self-proclamations they are China experts.

We can learn more about how China has become a stronger and more prosperous nation from Xinhua. The link is here:

http://www.xinhuanet.com/english/2021-04/06/c_139860414.htm

As reported by Xinhua:

“The Chinese nation has a long history, diligent and intelligent people and splendid civilization. Over the history of thousands of years, eliminating poverty has been the persistent goal of the Chinese people, who suffered hardships and difficulties frequently. From the middle of the 19th century, foreign aggression and the decadence of the imperial dynasty reduced China to a semi-colonial, semi-feudal society, and hundreds of millions of its people were plunged into poverty or even extreme poverty. But the Chinese people have fought with fortitude to realize their dream – achieving economic prosperity, national rejuvenation, and a happy and better life.”

We all should try to learn more about China.

Airbus (company) almost failed. The story of Airbus is one of the most fascinating of all. When the company introduced their first aircraft, the Airbus A300, Boeing (company) brushed them off as another government product which had failure written all over it. And for the first few years, it was turning out be true. Lufthansa and Air France were the only major airlines that were using the aircraft in day to day operations. And this was also more of an obligation, because the government of France and Germany were the two main countries involved with Airbus.

Even before the Airbus A300 gained operational status, Airbus knew they had to somehow break into the American market. And they knew it was going to be a tough task to convince airlines in United States to go European, which were buying aircraft from purely US owned companies such as Boeing and McDonnell Douglas. In 1973, in a brave move, Airbus took the A300 to the United States in a six week tour of the Americas. Not only did they carry pilots and engineers with them, but they also filled the aircraft with some of the best wines from Europe. While the tour was effective in showing the aircraft to potential customers in the States it did not turn out well. From 1975 to 1977, the A300 received zero orders and Airbus factory in Toulouse was filling up with white tails.

Then something very interesting happened.

This is Frank Borman. Former U.S. Air Force Colonel, fighter pilot, test pilot and ex-astronaut. He commanded Apollo 8, the first manned spaceship to leave Earth’s gravity and orbit the moon.

main qimg fc2ff4fb5e792aaf4f7c41545cf23310 lq
main qimg fc2ff4fb5e792aaf4f7c41545cf23310 lq

Frank Borman is as much a hero to Airbus as he is a hero to the people of the United States.

After retiring from NASA, Borman became the President of Eastern Airlines. In 1977, Eastern Airlines was losing money and they needed something to be done to update their fleet without losing money.

Fun fact. I went to college with his son. -MM

Airbus saw the opportunity and made one of the most interesting business deals of all time: they agreed to give Eastern, four A300s free of charge for a period of six months in an aircraft evaluation agreement. If Eastern finds the aircraft good enough, they could buy them, or else after the said period is over. they can hand them back to Airbus. Eastern Airlines, took the deal.

Even before the six month deadline, Eastern found the capabilities of the aircraft and the potential it had. In the April of 1978 , they made a $778 million dollar deal with Airbus, to buy 23 A300s with a lease extension of four previous A300s they had. This was soon followed by Pan Am and the rest is history.

If there had been no Eastern Airlines deal, there is a pretty good chance Airbus and its A300 will be for the history books. Today, Airbus is a leader in the aviation market and it is doing so on the same level as and some times on a higher level than Boeing. This is something many large aircraft manufacturers failed achieve over the years.

Well done montage. Brilliant, actually.

There are early signs of a split in the Biden administration in China policy.

The US State Department and National Security are strongly for going after China, even after Russia’s recent wins in Ukraine. The China hawks are led by Secretary of State Anthony Blinken and National Security advisor Jake Sullivan. Both have pushing for stronger support for Taiwan against China.

They are also former Clinton advisors.

The Federal Reserve and Defense Department are pushing for more accommodation with China. The Federal Reserve realizes that it will have to raise US interest rates more in the near future, and the Defense Department believes that war with China may result in a US loss, so it is urging caution in confronting China.

The Federal Reserve is now pushing for removing the US tariffs on Chinese imports because of high inflation in the US. Recently, China’s RMB has become popular among international investors.

Funny

adamtots 36085604 190191554960151 9162000484658053120 n
adamtots 36085604 190191554960151 9162000484658053120 n

Chipwar articles collected by MoA

A tenant that “blows up.”

She passed your income screening, background, and credit check. Sufficient employment verified, comes up with the deposit and first month’s rent. All good, starts on February.

Somewhere between then and six months later, you find this:

main qimg 0cef1891322e29c3f148ef025ae26d93 lq
main qimg 0cef1891322e29c3f148ef025ae26d93 lq

You’ve lost $150,000 in damage, maybe a year’s rent, plus legal costs. And for reasons I still don’t really understand, you will likely never get a cent of any of it back.The perp — your former tenant — will face no legal consequences, never spend a day in jail nor pay one dime in restitution as would happen in a normal theft of, say, a car worth a fraction of the damage done. Other than mental illness, there’s usually no rational explanation for it either. Someone just threw a rage fit and your house was in the way. There will be no justice.

MM readers will know my story. You will well understand that he is in the cornfield and his life is certainly interesting. I'll leave it at that. -MM

Funny

adamtots 35554638 225080691429068 2292101415968964608 n
adamtots 35554638 225080691429068 2292101415968964608 n

The rapid fire, in time with the drums, edit during the band intro just blew me away, the work that went into that really paid off, Amazing!

Great edition! The perfect timing and the visuals just hype me up so much, I feel my energy levels are through the roof. 

I dedicate this to Domain Inmates.

A fine kitty

It was very cold outside and a Sunday, so I fed her and asked if she wanted to stay. Then I opened the door to the covered breakfast room and put water and food in there and made an appt with the vet the next day.

The vet told me she looked like a stray even if she did not act like one.

He checked her for a chip and put some drops in her eyes then told me she was about 3 months old and looked like she needed a new home (he knew me because he doctored all 4 of my other pets, and said this with a smile).

We checked for communicable diseases and he treated her for fleas, then gave her her first round of shots, and sent her home with me, pet passport in hand. She has been the best unexpected guest our house has ever had.

Meet Rosa Blue.

2022 11 07 20 47
2022 11 07 20 47

Classic Lasagna

“I make this a lot and serve with Italian garlic bread and salad. It always gets a rave review, especially if the mozzarella strings with every bite. This recipe comes from my mother. I think she got it off the back of a “Mueller’s Lasagna box.”

2022 11 07 17 23
2022 11 07 17 23

Ingredients

Directions

  • In large heavy pan lightly brown beef and onion in oil.
  • Add tomatoes, paste, water, parsley, salt, sugar, garlic, pepper, and oregano; simmer uncovered, stirring occasionally about 30 minutes.
  • Meanwhile cook lasagne as directed; drain.
  • In 13x9x2″ baking pan, spread about 1 cup sauce.
  • Then alternate layers of lasagne, sauce, ricotta, mozzarella and parmesean cheese, ending with sauce, mozzarella and parmesean.
  • Bake at 350 for 40 to 50 minutes until lightly browned and bubbling.
  • Allow to stand for 15 minutes; cut in squares to serve.
  • Makes 8 servings.
  • I make this a lot and serve with Italian garlic bread and salad.
  • It always gets a rave review, especially if the mozzarella strings with every bite.

I did something once that was so simple, yet so rewarding. I saw this little old black man reaching for one stick of butter and without thinking I grabbed his hand and said “You don’t want that.” “Oh honey, yes I do.”

I said, “No, look the package of 4 sticks is on sale and it is cheaper than one stick.” “Honey, my wife just died and this is the first time I have been in a grocery store. Could you teach me how to shop like that?”

So I said “Sure, first we look at the store ad and see what is on sale. So maybe you wanted chicken thighs but chicken breasts are on sale, so we change the menu a bit.”

Also told him about clipping coupons and comparing brand names to generic.

The the sales bin where things were marked down because of dents and stuff. I walked the whole store with him and then said good bye and went to do my shopping and he yelled as he left, “God bless you Honey.”

That was 40 years ago and just remembering it brought tears to my eyes. You always hear “for the children” but they forget there are old people whose kids died first.

Putin signs law to mobilise Russian citizens convicted of serious crimes

During the spring autumn warring era around 2500 years ago, when a smaller Yue country invaded by Wu country, the emperor of Yue visited the prison to recruit criminals on death roll, telling them if they form a team of soldiers to commit mass suicide in front of the enemies, the state will honour their death and their families will be looking after by the state.

So, when Wu soldiers arrived, 300 of their criminal soldiers moving forward in a formation shouting slogan pledging to defence their country with deaths, and begin to use their own sword to cut their own throat in front of the enemy.

Then, all the Yue soldiers suddenly appear from all direction shouting kill the enemies, the morale of the entire wu soldiers collapsed and begin to ran away. The king of Wu nation who personally lead the invasion was badly injured while escaping and died, Wu nation invasion was defeated.

During the Korean war , the PLA often attack the enemy at night, the front line soldiers do the shooting, and the 2nd line soldiers will move in once any on the front line is down. The rest will use any metals they possessed to generate sound to unnerved the crusaders. This is how the crusaders form the impression of a "people mountain, people seas" of armies surrounding them.

1840 opium war is just a once in a live time victory by the crusaders, such day will not repeat itself after the CCP in charge of China.

The article is HERE

Geopolitics is a Complicated Affair

After the Nordstream Attack – Scholz and Macron seem to have developed some spine against Joe Biden

While they are still too scared of making peace with Putin – they are openly defying Biden as far as China is concerned.

Scholz literally braved all opposition against Blinken and his own minister Habeck and went to China against all odds. He went with many companies for a lot of business discussions.

He actually told Xi Jingping to call on Putin to have a Ceasefire on Ukraine.

Can you imagine the loss of Face to USA for a European Leader to acknowledge a Chinese Leader as an Alternate for World Peace?????

Macron had actually suggested both leaders fly together

And at the end of the day – Russia didnt lose much

Russia simply sells Gas to China who in turn sells LNG to Germany and Europe at a higher price making profit for everyone.

Germany on the other hand is VERY ANGRY at USA for Nordstream

So while Nordstream did have sustained damage – the Fallout seems to have worked alright for Russia and China – in the fact that Macron is openly agitating against US charging $1320 for the same Gas that France was paying $ 300 under Russia and Scholz sold a 25% stake of Hamburg Port to a Chinese Company.

So why would Putin make things worse by again Unifying the Western leaders?

Better that they be Divided and if the cost of this Division is Nordstream i would say its worth it

There are some good answers here, but by far and away the most dangerous things people do when they come here is rent a car and go driving. Driving in Australia is not like Japan, or the UK or Europe or even the USA. Every year tourists die on our roads and the same goes for NZ.

Most deaths of tourists are due to natural causes, about 75% and are mostly due to heart disease. Mosts tourists are elderly and retired, so this can probably be expected. The other 25% are mostly road deaths (14%), then drownings (5%). (and an odd murder or two)

So here are some road tips for Australia.

  1. Be on the lookout for kangaroos and if you see one slow down. If one jumps in front of your car hit the brakes as hard as you can, and then run over it if you have to, don’t swerve. That is how people die. With the kangaroos it is good to avoid driving at dawn or dusk which many tourists would not know.
  2. When changing from a sealed road onto a gravel road SLOW DOWN. four Japanese tourists were killed here recently when the road changed to gravel. They were doing in excess of 130 km/h and their car rolled over and over for 200 metres.
  3. On a gravel road imagine that the road is wet and slippery. Or imagine that there are eggs taped to your pedals. Don’t make any sudden moves or steering changes. It is a very delicate thing. Feel the movement of the car with your bum. Stay on the main track and avoid the edges. Edit:- as per comment below “Worth mentioning a safe speed for gravel roads? For the NZ ones, in a 2WD car, I’d set that at 60km/h. Big tendency to fishtail at 70+, even on a straight road.”
  4. White line fever takes tourist lives every year. Our roads are shit and unmarked and in many cases unpaved. People become mesmerised and just drive off the road. Especially people from urban places like Japan and China. And because they come with family the car is usually full of people when they do it.
  5. Our dirt roads are very dangerous because many are made of ball bearings.

These are actually Bauxite nodules and they are slippery as they look. Add water to this and the resulting mess is something we refer to as “snot”

5. When driving in the outback be sure to have at least 20 litres of water, 20 litres of fuel, 2 spare tyres and some canned food. AT LEAST. Every year tourists die in the outback of thirst.

6. If you break down in the outback STAY WITH YOUR VEHICLE. Every year tourists die because they tried to “walk out” in 40 degree heat. Cars are easy to spot from the air, humans not so much.

7. OTOH if you’re out in the middle of nowhere and it starts raining, pack up your camp and drive out to the nearest major road. Or be prepared to stay put for a week or two.

8. Travelling in remote areas is made very much safer if you carry a SPOT or an EPIRB or a satellite phone that can be rented from camping stores. It all makes you a lot easier to find when you go missing.

9. Thanks to Matt Hu. The edges of country roads contain soft shoulders and gravel. If you get two wheels off the bitumen DO NOT PULL BACK HARD. If you do your car may very well flip 180° and you kill your wife against a tree, or you can flip the car and hit the tree with your roof, which will kill you both. Cars don’t have airbags on the roof. If you get off the bitumen, stay there, slow down, and once you have regained control ease back onto the roadway. Most country road deaths are single vehicle accidents. This is a BIG one.

10. Thanks to David Bowker. If you are on a narrow road and a road train approaches pull off the road as far as you can and stop. Ditto any large vehicle. You don’t have any “rights” against a 160 ton, 55metre long centipede doing 100kph that is throwing up a kilometre of bulldust behind it. If you get stuck behind one stay back and be patient. When it is safe to pass he will pull over a bit and signal you to pass. If you stay too close you will lose a windscreen for sure.

11. Adam Bryce has reminded me that when driving in Australia you must wear your seat belt, and you must not use a mobile phone whilst driving for any reason at all. These two infractions will cost you a hefty fine.

12. Duncan Cairncross notes that when you drive in Australia you are not following anyone. And there are no buildings. So you have no way to judge your speed. People end up driving faster than the road, or their ability can handle. So watch your speed.

Driving in Australia is amazing fun and camping in Australia is truly the essence of freedom. There are very few restrictions except be self sufficient, clean up after yourself, and don’t set the place on fire. But it requires effort, stamina, planning and experience. Much is said on Quora about Australia’s dangerous animals but the most dangerous animal is your own ignorance.

We love tourists here because we love this place and want to share it with you. Just be a bit careful OK?

Beyond the Lines: Shelley’s “Ozymandias”

By Adam Sedia

Percy Bysshe Shelley’s “Ozymandias” is one of his shortest works, but also one of his best known, anthologized to the point of ubiquity. But it deserves every bit of the reputation it has gained. Short, yet powerful and descriptive, it illustrates the sonnet at its best. And it is one of the few works, classical or modernist, that addresses a subject from that lodestone of the Western imagination, Ancient Egypt.

The sonnet, like any other, should be read only in its entirety before analysis:

Ozymandias

I met a traveller from an antique land
Who said:—Two vast and trunkless legs of stone
Stand in the desert. Near them on the sand,
Half sunk, a shatter’d visage lies, whose frown
And wrinkled lip and sneer of cold command
Tell that its sculptor well those passions read
Which yet survive, stamp’d on these lifeless things,
The hand that mock’d them and the heart that fed.
And on the pedestal these words appear:
“My name is Ozymandias, king of kings:
Look on my works, ye mighty, and despair!”
Nothing beside remains: round the decay
Of that colossal wreck, boundless and bare,
The lone and level sands stretch far away.

The historical context behind the poem is indispensable for a proper analysis. “Ozymandias” figures as an Egyptian king in the chronicles of the first-century B.C. Greek historian Diodorus of Sicily. It is a Graecized corruption of the Egyptian Usermaatre-setepenre, the throne name of Ramesses II, who reigned as pharaoh for 67 years (1279-1213 BC), and was by far Ancient Egypt’s greatest builder of stone monuments. The four colossi build into the Nubian cliffs at the temple of Abu Simbel are perhaps the most famous depictions of him – and they convey the grandiose scale of a monument such as Shelley describes in his poem.

Shelley published the poem in 1818 – three years after the fall of Napoleon. Europe was still reeling from the twenty years of war he had inflicted on the continent and his single-handed reshaping of nations that had not changed since the Renaissance. But Napoleon also raised Ancient Egypt to new prominence in the European imagination. His invasion of Egypt in 1798 brought a separate army of French scholars to study its antiquities. From 1809 to 1818, they published the “Description de l’Égypte,” a twenty-three-volume catalogue of the land and its ancient ruins. Another scholar in Napoleon’s train, Jean-François Champollion, successfully deciphered Egyptian hieroglyphs in 1815. When Shelley wrote his poem, Ramesses II and his works were just being discovered as something more than the Ozymandias in the garbled account of Diodorus.

The conventional interpretation of the poem is probably as well-known as the poem itself: Shelley criticizes authoritarian government; despite all his grandiose claims, the tyrant Ozymandias, much like Napoleon, is gone and forgotten, his stone colossi smashed and abandoned in the lonely desert. This reading is certainly valid. Shelley was a famous critic of authoritarianism, and his mockery of the grandiose designs of absolute monarchs cannot escape the reader. Though valid, however, this interpretation remains merely one facet of a much more subtle and interesting analysis of the poem.

Shelley’s portrayal of the desolation is masterful. He renders the entire scene as a secondhand account from a traveler – neither the reader nor he has actually seen the scene described – highlighting the remoteness of the ruin. The poem’s final three lines achieve a descriptive pan-out effect, moving from the inscription, to the ruined monument, to the empty desert stretching beyond vision, implicitly shrinking the grand monument to insignificance.

Yet despite the ruin and desolation of the monument, the fact remains: Ozymandias’s name and image are known and on the lips of the narrator, and the traveler is able to read and convey his grandiose proclamation three thousand years later on another continent. The tyranny of Ozymandias, then, was not entirely futile if his command could achieve this immortality of sorts. If the poem only illustrates the futility of a tyrant’s grandiose designs, it fails, for the very existence of the monument belies that point. Shelley was too skilled to produce a poem with such a shortcoming. The inadequacy must lie with the analysis.

The key to this conundrum lies in the second quatrain, lines 5 through 8. Ozymandias’s portrait, his “frown,” “wrinkled lip,” and “sneer of cold command . . . yet survive, stamp’d” on the stone. They are given life by the sculptor, who “well those passions read” and “mock’d them.” The great ruler, Ozymandias, is known only to the narrator because of the sculptor’s work, and only the sculptor’s portrayal conveys anything about the man behind the name.

Indeed, the narrator presumes the sculptor “mock’d” his master, rather than faithfully executing a portrait because the “cold command” in the portrayal seems too perfectly consistent with the grandiosity of the monument. The features are almost a caricature. And the narrator can “[t]ell that its sculptor well those passions read” because he, too, knows the sort of “heart” that commands for self-aggrandizement. The sculptor saw in Ozymandias what the narrator (and contemporary readers) saw in Napoleon.

“Ozymandias,” then, is about much more than the futility of tyranny. It is, first of all, about the power of art. Ozymandias’s immortality depends solely on the artist carving his portrait, and the decisions the artist makes in execution determines how the world sees the subject, and therefore controls his fate. The nameless artist, then, is truly more powerful than the monarch whose name is carved in stone.

But “Ozymandias,” too, is about the shared humanity that art conveys between individuals across time and space. The narrator presumes to declare his understanding of the thoughts of a nameless, long-dead artist because he has seen the same tyranny portrayed in his own time. He presumes the artist disdained and mocked that tyranny because he sees in the work a trueness to life that could only come from a critical eye. Truth opposes propaganda, and is found more often in mockery and caricature than in official portraits.

How much meaning is crammed into those fourteen lines! A master like Shelley unlocks the full potential of the sonnet, showing the form’s power and versatility in its full glory. “Ozymandias” remains one of the best-crafted sonnets, as much for its vivid description as for the breadth and depth of its meaning.

I first started going to Vegas when it was mob run.

It was safe, clean, and inexpensive. Places would hand out rolls of nickels to get you to come in and play.

Free drinks as long as you were playing.

$1.99 cent steak and eggs at 4am cannot be beat.

Free shows, coupon books, free slot tournaments,

We used to go twice per year.

Slowly but surely all of these wonderful things went away.

Now the Vegas strip is dirty, filled with meth heads, people blowing smoke from blunts in in your face, the place smells of stale urine, people try to force the dirty hooker catalogs in your hands. It doesn’t feel safe and it isn’t fun to walk the gauntlet getting accosted by groups of people in dirty badly designed costumes trying to get you to take a picture with them for money.

The drinks are about $18 each, You can sometimes still get free drinks while gambling but otherwise there are few freebies. They illegally charge a $45 per night resort fee on nearly every room. The authorities in Carson city get kickbacks so they don’t enforce the law.

Bring back the old mob,

The new corporate and state run mob isn’t any good.

2022 11 07 20 41
2022 11 07 20 41

My GOD! The energy here is stunning! So blown away by the energy between them and the crowd it like a damn pre-workout.

There’s so much energy in this song they should play it for people in comas. Then again they might wake up only being able to say Pa PA YA!

Funny

adamtots 28428166 491837947877728 1162194248804597760 n
adamtots 28428166 491837947877728 1162194248804597760 n

A tail of two kitties…

Bonjo is an old man with the heart and soul of a kitten

main qimg d01a04c3e7180604a0cfa2b3d3c0c2e9 lq
main qimg d01a04c3e7180604a0cfa2b3d3c0c2e9 lq

Sano was found on the street as a crying kitten. I had no idea what to do but I took him home and learned a lot about cats in the years after. I also found out that I was a cat person!

main qimg 3921c3a1c7322b0598aec20e0bb43801 lq
main qimg 3921c3a1c7322b0598aec20e0bb43801 lq

Bonjo found his way onto my porch one night years later and shortly found his way into my heart. They have such wonderful, weird personalities. They are a bit like Laurel and Hardy!

Clean and clear.

So this happened in Montana. I’m on my way to go to my interview this morning when I get pulled over by a police officer.

I am native American and my friend that was with me is black. Just saying.

Both brake lights decided to go out this time.

As he walked to the car and I was pulling out my stuff, he quickly said,

“Don’t worry about pulling anything out. I just want you to know that your brake lights are out.”

So I’m immediately upset because I just got them replaced like last month.

So I explained to him how Firestone wants to charge me $600 just to run a test on the wiring of the car.

He looked at me like 😨 and told me to pop the trunk.

He checked the lights in the trunk and tapped them, but they didn’t come on.

So he told me to pop the hood to check the relay box then asked me to get out to check the other one.

Then worked on the wiring under the dash.

He could’ve easily given me a ticket, but Officer Jenkins stepped out of the officer role, and into the mechanic role, and human role to make sure I was straight.

By the way, HE FIXED THEM. Not everyone is racist or a bad cop.

main qimg d364930bbb968bf4c5dc1f1082765ec3 lq
main qimg d364930bbb968bf4c5dc1f1082765ec3 lq

A classic performance. I love the expressions on the faces of the audience.

A typhoon in Zhuhai and a frowning God viewing America with great distaste…

What a busy weeks and a crazy last couple of days. Remember (boys and girls) that if you conduct affirmation campaigns, and you are close to approaching your objectives, there’s a lot of changes that you must end up experiencing. Some are just disquieting and uncomfortable nuisances, while others are adaptations to changing environs, and still others are big “headaches” that you must resolve, but once you pass through the torrent of change, all is good.

Same with me. Changes are coming hot and heavy on my side. All is good, just disquieting.

As all with some of you all.

From DM (I don’t think he’ll mind sharing his story with you all)…

Thought you might be interested to know, I am just finishing up my affirmation dwell period. 

I took your advice and put in some food affirmations as a tell for when things started happening. 

One of the tells was ice cream....but not just any ice cream; we had this award winning ice creamery in town that had been there since before me and SD were even born. 

They just brought out this new flavour - vanilla cookie butter, which was basically like a yellow custard vanilla with caramel biscotti smeered through it - oh my fucking god MM, best ice cream you'll ever taste.....then about a month later they closed down for good. 

[Now, as LUCK would have it] We have this other ice creamery closer to us that had the same flavour, but i was getting annoyed at it never being in stock whenever we'd go there. 

So, obviously i put in my affirmations to be able to have it whenever I want. 

Then i go there one day and they have that particular flavour so i grab a scoop. 

I notice that they now have a fridge in the corner with tubs full of the award winning ice creamerie's icecream flavours (i knew they were going to be supplying to various companies around town, so wasn't a huge shock, but still, this was the first i saw it in their particular shop). 

Turns out they were being supplied by the award winning company this whole time, and i can order buckets of it through these guys whenever i want. 

That's not the best part. 

I just found out today that the biscotti stuff is now being sold at our local supermarket as a spread for breads, so now i have the option of smeering it through whatever icecream i want. 

I also was teaching the affirmation stuff to my kids, and my eldest son kept saying he wanted gold broughtto him....well, 2 days later, i shit you not, kids were randomly bring him dollars at the park. 

We go to the shop, and another kid says "I've got a spare dollar left over from my lollies and was just wondering if your kid wanted it to buy some of his own" (our dollars are gold coloured).

Yeah. It works, I’m telling you all.

I’ve had a couple of busy days, and MM was down, sluggish and just about impossible for a spell. Software upgrades and just terrible implementation issues. Been hit by numerous trolls who managed to cause me some personal grief, and get some Rufus videos on You-tube banned.

Sigh.

I did some volunteering at my daughter’s kindergarten, and I am so proud to be doing so. It’s our highest state of being to give our time, money, labors for others so that the society benefits. Ah, MM the Kindergarten cop!

Here I am with my sign telling everyone on a scooter not to ram the young ones. LOL.

2022 11 05 16 35
2022 11 05 16 35

By the way, one of the things that I really appreciate as a parent, is the “parent stalls” in both the men’s restroom and the women’s restroom. These are different that the “baby changing station” or the “disabled bathroom” that often sits outside in the hallway.

This is what those stalls look like…

2022 11 05 16 44
2022 11 05 16 44

Let’s continue with the standard daily fare…

interesting retro pictures 01
interesting retro pictures 01

NASA is pushing its human moon landing back to 2025, and its top official worries China will beat the US there

Loudspeakers: “Leave the city ** BY ** November 7”

Something is hugely wrong and it appears something wicked is truly in the works.  Cars with loudspeakers are driving all through Kherson, warning citizens they must evacuate the city “BY November 7.”

 

 

 

Evacuation of the city has been ongoing for over a week.  The main administration building took down its Russian flag as seen in the image below:

 

City workers have been going door-to-door telling citizens “the Ukrainian army is coming and you must get out immediately.”

Russian soldiers have either left – or been ordered to leave- their checkpoint posts in Chornobaivka, Stepanivka, Bilozerka and Korabelnyi district south of the Kosheva river. Map below shows the now-empty checkpoint locations:

2022 11 05 19 28
2022 11 05 19 28

 

There is already widespread and rampant public speculation – even in media – that this may be an attempt by Russia to create a 15 – 30km “no man’s land” to lure the Ukrainians into a trap.  The map below shows the region being emptied:

FgvndqQakAEdryl
FgvndqQakAEdryl

 

Other people are speculating — and I emphasize this is MERE SPECULATION – that Russia is going to lure the Ukrainians into this area, then vaporize it with Tactical nukes and tell NATO, if you enter Ukraine, your countries will get this too.”  These same speculations claim that Russia is planning to prove it’s point once and for all: that it WILL use nuclear weapons to defend “Russian Territory.”  Again, this is SPECULATION.

Whatever is actually taking place, the now established FACT that cars with loudspeakers are driving around Kherson telling citizens they must be OUT . . . BY NOVEMBER 7, plays right into the elections here in the USA.

U.S. MID-TERM ELECTIONS – Nov. 8

Many people have speculated the Democrats will do something to prevent their shellacking in the mid-term election on Tuesday, November 8.   If Russia nukes Kherson on November 7, there could very well be an actual nuclear exchange THAT DAY.

The Democrats would then use a nuclear war as an excuse to remain in power, even though there is no aspect of the US Constitution – or statute law- allowing such a thing.  The terms of office for members of Congress, EXPIRE.   Once the date in January arrives, they are all simply no longer elected office holders.  Their term ends.  Nothing they do, by “emergency” or “Presidential Order” can change the fact that the first week in January, their term is over and they are no longer elected officials.   Unless they try to force the issue through the barrels of guns.

Then, too, there’s the very fair question of why November 7?   Why would Russia play into a US election by picking that particular date?

It cannot be a coincidence.  There are no coincidences.

For quite awhile, some folks considered to be on “the fringe” have argued this is all theater.  COVID, the Ukraine war, all of it.   They argue it is all planned years in advance by the powers-that-be, as either bread-and-circuses for the masses, or a true effort to smash the world through catastrophe and fear into a “new world order.”

When you also factor-in that Russia went the full “COVID-19” with a vax and lockdowns, it seems now entirely possible that the very small group of people who have told us for years that all the big events on earth are “scripted” might be right.   If a nuke exchange takes place on Nov. 7, then it __is__ all scripted.

Whoever is right, all these things seem to be telling us all, that something wicked this way comes.

If true, we have until Monday, November 7.

Remember, Congress cannot extend its term of office under **ANY** Circumstance.   After the first week in January, they are no longer elected officials and they no longer have duly constituted power.  After the first week in January, if they remain in power without an election, it will be actual Tyranny.

interesting retro pictures 08
interesting retro pictures 08

This homemade meatball recipe is a Betty classic, and for great reason! For generations, home cooks have relied on this hearty meatball recipe to show some skills the kitchen. All it takes to achieve this meaty main dish is eight basic ingredients. Got them? Great! Now, if you have 15 minutes to spare and a foil-lined 13×9 pan, perfectly browned and tender meatballs could be the answer to tonight’s dinner jam. Yes, delicious doesn’t take long! In the meantime, prepare spaghetti or rice, veggies or salad, because this recipe goes with anything!

meatballs
meatballs

Ingredients

  • 1 lb lean (at least 80%) ground beef
  • 1/2 cup Progresso™ Italian-style bread crumbs
  • 1/4 cup milk
  • 1/2 teaspoon salt
  • 1/2 teaspoon Worcestershire sauce
  • 1/4 teaspoon pepper
  • 1 small onion, finely chopped (1/4 cup)
  • 1 egg

2022 11 05 09 04
2022 11 05 09 04

2022 11 05 09 05
2022 11 05 09 05

interesting retro pictures 99
interesting retro pictures 99

Giant Hedge Fund Warns Clients of “Global Societal Collapse”

Eh. I'm thinking that this is something unique to the West, and not to the globe. -MM
.

In a letter sent to investors by Hege Fund ELLIOT MANAGEMENT, the Florida-headquartered firm told clients that they believe the global economy is in an “extremely challenging” situation which could lead to hyperinflation and “global societal collapse.”

The firm, led by billionaire Paul Singer and Jonathan Pollock, told its clients that “investors should not assume they have ‘seen everything’” just because they have been through the peaks and troughs of the 1987 crash, the dot-com boom, and the 2008 global financial crisis, or previous bear and bull markets.

They added that the “extraordinary” period of cheap money is coming to an end and has “made possible a set of outcomes that would be at or beyond the boundaries of the entire post-WWII period.”

The letter said the world is “on the path to hyperinflation”, which could lead to “global societal collapse and civil or international strife.”

They estimated that markets have not fallen enough yet and equity markets could drop more than 50% would be “normal,” adding that they couldn’t predict when that would happen. The S&P 500 SPX, -1.06% has dropped 19% from its peak at the beginning of the year.

Elliott executives warned clients that the idea that “‘we will not panic because we have seen this before’ does not comport with the current facts.”

They blamed central bank policymakers for the current global economic situation, saying they had been “dishonest” about the reason for high inflation. They said lawmakers had shirked responsibility by blaming it on supply chain disruption caused by the pandemic instead of loose monetary policy imposed two years ago during the COVID-19 peak.

The London Financial Times reported that the hedge fund is posting 6.4% returns so far this year and has only lost money during two years of its 45-year history.

interesting retro pictures 16
interesting retro pictures 16

One of the many “smoking guns”

As per the Schiller Institute:

“The Russians have asserted that the UK was directly involved “supervising” the recently repelled drone attack on the Black Sea fleet at Sevastopol, and have demanded an explanation for the “It is done” text message that seems to have been carelessly sent by the already-former British Prime Minister Liz Truss, to U.S secretary of State Tony Blinken moments after the Nord Stream pipelines were blown up. What is next?”

A Salute to Comrade Biden for handing over the world biggest chip market exclusively to Chinese chip companies.

The global semiconductor market is going through a tough time. The slowdown in demand and geopolitical challenges have contributed to a significant dent in the market’s value. Shares of leading semiconductor companies, including Samsung, continue to pressure downward.

The export restrictions announced by the Biden administration last week have further complicated matters. These measures cut off China from select chips made anywhere in the world with US technology and significantly limited American technology’s export to Chinese semiconductor companies.
From HERE

interesting retro pictures 23
interesting retro pictures 23

From a close-up crocodile to a crab fishing in a cave and a lizard navigating plastic waste, here is a look at a winning image in the Mangrove Photography Awards, run by the Mangrove Action Project.

I think that it is awesome.

1 6
1 6

Great scene.

Up until the 1970s, you could enter business establishments without shoe and socks

interesting retro pictures 27
interesting retro pictures 27

MILITARY BLOOD SUPPLIES TAINTED WITH H.I.V. AND HEPATITIS “B” & “C”

The blood supply of the military has been found to be severely TAINTED with Human Immuno-Virus (HIV) – the virus which causes AIDS —  as well as tainted with Hepatitis “B” and “C.”   The discovery was made when Ukraine asked NATO for 62,000 liters of emergency blood supply.   NATO provided the tainted blood!

The Ukraine medical authorities tested the TWO BATCHES of blood supplied by NATO and found the following:

BATCH ONE

6.3% with HIV,

7.4% with #hepatitis B, and;

3.2% with hepatitis C.

 

BATCH TWO

5.9% with HIV,

6.8% hepatitis B, and;

3.1% hepatitis C

It is not yet known from which NATO countries the tainted blood came, or if it came from several (or all) countries.

It is also not known why military blood supplies were not tested for these diseases when Donors gave the blood.

It is widely known that any person who received blood tainted with any of these viruses, contracts the virus themselves.

HIV causes AIDS and even though the condition can be treated with vast and dangerous anti-viral drugs, person infected are never “cured.”

Those serving in the Military or those of you with family members or friends in the military, may want to alert them to reconsider their continued service given the reality that emergency blood supplies for the military are now known to be tainted with the virus that causes AIDS and other viruses which cause Hepatitis.

I am a business man. I am not sure what do you do? For me it is as simple as ABC.

All corporations big or small is set up to profit. Profit to a corporation is no different from blood to body. Without blood your body dies. Without profit corporation go bankrupt and ceased to exist.

To profit you need minimise cost, maximise sales and as such profit. Companies go to China for 3 reasons.

One to produce at a minimum cost and maximum efficiency, no where on planet earth can you do it except China. Workers are intelligent and hardworking, plant and infrastructure is first class and these corporation makes stuffs at a fraction of the cost to do it anywhere on earth.

Secondly, China is a humongous market. It’s Middle class is at least twice that of the US and growing rapidly. The demand in China alone is at least 40% of the worlds market. It is equivalent to the next 5 biggest market put together. Who is willing to sacrificed this market. None except perhaps a demented or extremely lousy businessman.

Worst, China is in close proximity to Asia which together represent close to 65% of world market. Producing in China allows access and competitiveness to this market. I dare say without this market many of these companies will ceased to exist.

Thirdly today’s manufacturing is the galvanisation of a thousand parts in a supply chain management made of of many companies from different nationalities and nations. 99% of them are based in China for the same reasons. Not being in China means huge disruption and very high logistics cost.

So imagine a US branded vehicles needs 900 parts made in China sent to a US plant and after it is assembled, it needs to be shipped back to Asia to sell. The vehicle will not sell. It will cost 50% more than its competitor.

So I dare say US businessman would rather sell of its corporation away than to adhered to the US nonsense to ship home and bankrupt itself. Biden can be a nut but your corporations owners are not. They will sell off their stake or they will change nationality if it comes to that.

Politics and business is like oil and water, it can never mix. There lies the politics of America. Case in point Apple. It must get a million request to move back to The US. It won’t. It can’t. It it does Apple will bankrupt in 5 years.

interesting retro pictures 39
interesting retro pictures 39

Giant Hedge Fund Warns Clients of “Global Societal Collapse”

In a letter sent to investors by Hege Fund ELLIOT MANAGEMENT, the Florida-headquartered firm told clients that they believe the global economy is in an “extremely challenging” situation which could lead to hyperinflation and “global societal collapse.”

The firm, led by billionaire Paul Singer and Jonathan Pollock, told its clients that “investors should not assume they have ‘seen everything’” just because they have been through the peaks and troughs of the 1987 crash, the dot-com boom, and the 2008 global financial crisis, or previous bear and bull markets.

They added that the “extraordinary” period of cheap money is coming to an end and has “made possible a set of outcomes that would be at or beyond the boundaries of the entire post-WWII period.”

The letter said the world is “on the path to hyperinflation”, which could lead to “global societal collapse and civil or international strife.”

They estimated that markets have not fallen enough yet and equity markets could drop more than 50% would be “normal,” adding that they couldn’t predict when that would happen. The S&P 500 SPX, -1.06% has dropped 19% from its peak at the beginning of the year.

Elliott executives warned clients that the idea that “‘we will not panic because we have seen this before’ does not comport with the current facts.”

They blamed central bank policymakers for the current global economic situation, saying they had been “dishonest” about the reason for high inflation. They said lawmakers had shirked responsibility by blaming it on supply chain disruption caused by the pandemic instead of loose monetary policy imposed two years ago during the COVID-19 peak.

The London Financial Times reported that the hedge fund is posting 6.4% returns so far this year and has only lost money during two years of its 45-year history.

interesting retro pictures 48
interesting retro pictures 48

Basically, yes. Frankly, its chicken-shit, Tonya Harding-style tactics for containing China are not working…

  • using propaganda lies and false accusations to turn public opinion against China — the hope is that nations will “decouple” from China
  • banning Chinese companies like Huawei and SMIC, as well as Chinese products like TikTok and WeChat — the hope is that China’s progress will be interrupted
  • fomenting political unrest in Hong Kong and Xinjiang using the CIA and NED affiliates — the hope is that there will be an internal uprising to weaken or overthrow China’s government
  • abducting Huawei executive Meng Wanzhou in the same manner as Alstom executive Frederic Pierucci in 2013 — the hope is that China and Huawei will be distracted
  • operating two supercarrier battle groups just off the coast of China — the hope is that China will feel intimidated and worried

Unfortunately for the US, most countries continue to trade and do business with China.

Huawei still has the lion’s share of the international 5G market.

China is developing its own semiconductor industry and should catch up to America in a few years.

Hong Kong and Xinjiang are stable now. No more thuggery in Hong Kong, and no more terrorism in Xinjiang. Up yours, America.

I expect Meng Wanzhou to be released before the end of the year. The US never had a credible case against her.

China isn’t in the least bit intimidated by the US navy.

Instead of wasting time trying to contain China, the US should focus on solving its domestic problems and restoring its economic competitiveness.

interesting retro pictures 55
interesting retro pictures 55

Why will there be no winner in the US-China technology war disguised as the US-China trade war?

According to the Americans, there seems to be no winner from the US-China technology war disguised as the US-China trade war because some Americans are still happy the GDP of the US remains the world top just a little bit more than that of China.

However, according to the Chinese, China has been transformed from a backward country with the core high technology controlled by the foreigners to the international leader in 219 scientific and technological achievements. People can continue counting on more and more up-and-coming hundreds of the latest scientific and technological achievements in China.

China is leading the way in building 5G network infrastructure, but the places where the technology really takes off are factories, coal mines, shipyards and warehouses. Industrial sites aim to use 5G technology to help automate labor-intensive or dangerous industrial processes, with the hope of boosting productivity. These sites include 5G coal mines equipped with remotely operated drilling machinery, “smart factories” that automate production and quality control, and ports that process and count freight containers with the help of connected cameras. China’s 5G deployment is generally at the world’s leading level. According to data from China’s Ministry of Industry and Information Technology, as of the end of last year, there were more than 1.4 million 5G base stations in the country, accounting for 60% of the total number of base stations in the world.

The Chinese have managed to develop China into a world class leader in many technologies including 5G, AI, quantum computer and communications systems and industries producing solar panels, nuclear power plants, remote sensing satellites, …, etc.

China’s development is involved in almost all top high technology industries. The Chinese will shape the country into a big country with a perfect image and want China to be able to stand on the top of the world in every aspect. China’s development in just a few decades has made China so powerful that even some core technology industries are no longer subject to the restrictions of the other advanced countries because the field of China’s independent research and development has become more abundant and can fully support the development of related industries in China. Through the development of less than 100 years, China has transformed itself into a brand-new appearance. That has shocked the whole world and raised eyebrows.

On the surface, the semiconductor chip industry is a technology generation gap, but it is essentially a talent-intensive industry. China has a large enough market, talented people and capital. Then, the rest only takes a little time for the Chinese to make it the Chinese ways. China has accelerated its technological independence. Within 15 years or less, China will have everything.

In summary, it is becoming more and more clear whoever the winner is in a few more years.

interesting retro pictures 58
interesting retro pictures 58

“Return” of supply and marketing cooperatives? Media Focus: Why do many places recover and rebuild? What will it do?

供销社“回归”?媒体聚焦:多地为何恢复重建?将起何作用?

From HERE

My personal imagination of the rebuilding of supply and market cooperative as follows:

This is to improve the standard of living of villages across China, create employment, control cost of living, creating an inner economy to absorb the coming impact of another US created GFC.

In war time, such coorperative can turn into food and basic necessities distribution chains to ensure the entire population is properly feed.

China is rebuilding a real economy outside the Internet. Such massive cooperatives network will have the power to buy anything in bulk both domestically and internationally, effectively controlling inflation, ensure affordability, absorbing excess domestic production cause by the crusader's decoupling, and to ration foods and other basic supplies if the crusaders initiated a shipment blockage, or initiated a preemptive war.

Please note that 2 years ago, one of China minister (cannot remember who) openly claimed that China has enough food to feed the entire population for 2 years without food production or imports.

Xi is a great thinker and strategist , I believe that he will reunify Taiwan in his 3rd term and create a new and fairer world order outside the crusader bullying, and than he will retire in 5 year time.

He will be a world leader that many will cry when he leave the planet 🌏.

-Chua

 

What does the US-Taiwan 21st Century Trade Initiative say?

In June 2022, the DPP authorities in Taiwan made a high-profile announcement that they would launch the "Taiwan-US 21st Century Trade Initiative" with the United States. It would significantly enhance Taiwan's international status. However, has the dream of the DPP, Taiwan's ruling party, flying to the top branches as a phoenix, come true ?

What is behind the Unites States' conspiracy, trying so hard to push through this initiative? What exactly is the US-Taiwan "21st Century Trade Initiative"? To put it simply, it can be summed up in two words: consolation ceremony and lip service.

After the US officially announced the list of countries under the IPEF (Indo-Pacific Economic Framework), the DPP, which has always claimed to be the number one loyal follower of the USA, was dumbfounded. They were not on the list of IPEF participants! Amazement all around, but there was nothing they could do. They had to hide their disappointment with a smile. Embarrassed, the baby was displeased and bitter. But the baby he didn't cry, he didn't show his anger. 

Then, looking at the "21st Century Trade Initiative", this time, it looked like a candy given by a father to coax a child. The United States clearly did not want to bring Taiwan into the IPEF (Indo-Pacific Economic Framework) business, but at the same time the US didn't want to lose its "Taiwan card" in its strategy to contain China.

Needless to say, the consolation gift after the IPEF turmoil has indeed fooled Taiwan. Taiwan took this piece of sweet candy and regarded it as a steppingstone to independence. It can be said that it is hype and propaganda. The American trick achieves the greatest effect at the least cost. It is absolutely amazing.

It is not unreasonable to say that the "21st Century Trade Initiative" is just lip service. On the one hand, as stated before, the significance of this initiative is now that it is a cardinal for boasting and showing off, the perfect way for making a big fuss and the basis for self-hypnosis. At the end, this agreement that was eagerly signed after whitewashing the USA, will obviously be written in little Cài Yīngwén's (Tsai Ing-wen) performance book. On the other hand, the euphemistically called "initiative" is actually a lower-end existence than the IPEF "framework". 

At best, it is just a platform for dialogue between the two sides. The form is greater than the actual effect. Real outcomes will be impossible. As far as the United States is concerned, the economic significance of Taiwan's existence is only the semiconductor industry. When Taiwan is hollowed out, the United States will die. To put it bluntly, the United States is like a scumbag. It is all the time baking cakes for Taiwan, and Taiwan has to accept all the bills. 

Actually, the United States is very clear in its goals: talking about economic and trade actually means controlling Taiwan. If Taiwan performs well, the USA will talk, but if it does not perform well, the USA will drop Taiwan.

Henry Kissinger once said" "To be an enemy of the US is dangerous, but to be a friend is fatal" Taiwan has to squeeze its head and rush forward. The "21st Century Trade Initiative" is a profitable, smooth road for the United States; I hope Taiwan will not turn it into its own road to destruction.

_____________________________________________

David Wang

interesting retro pictures 65
interesting retro pictures 65

“Here are the ingredients & the instructions to make the perfect burger! Although it may not be the healthiest, use medium ground beef for moistness & flavour. The leaner varieties produce burgers that are drier with a denser, tighter texture. Don’t use previously frozen meat as juices are lost during defrosting. If making ahead, cover with plastic wrap & refrigerate for no more than a day. Enjoy!”

2022 11 05 16 19
2022 11 05 16 19

Ingredients

Directions

  • Lightly oil grill& heat BBQ to medium.
  • Whisk egg in a bowl& add next 6 ingredients.
  • Add any of the “stir-ins” that appeal to you.
  • Crumble in beef& using your hands or a fork, gently mix together.
  • Handle the meat as little as possible – the more you work it, the tougher it gets.
  • Gently shape (don’t firmly press) mixture into burgers about ¾ inch thick.
  • Using your thumb, make a shallow depression in the centre of each burger to prevent puffing up during cooking.
  • Place burgers on the grill, close lid& BBQ until NO LONGER PINK INSIDE, turning once, about 6 – 8 minutes per side.
  • An instant read thermometer should read 160F.
  • Don’t abuse your burgers by pressing with a spatula, pricking with a fork or turning frequently as precious juices will be lost!
  • Tuck into a warm crusty bun& add your favourite toppings.

The claw

interesting retro pictures 68
interesting retro pictures 68

Breaking! News from friends in Shenzhen shows that China fighting an all out attack on its people. This is war.

From HERE.

Hello, everybody. I’ve got some really interesting news from Shenzhen. Of course, you probably know or maybe you don’t that I teach Chinese students online. My wife and I taught in international schools in China for nine years. Then one year, we had our own tutoring school called Professor Brown. No surprises there. So, I still teach these students because we went belly up in 2008 with the subprime rape of the middle class and poor people. Thus, I have to keep teaching and working to supplement our limited retirement income, because of all that happening.

Anyway, I talked to Chinese friends there along with my Chinese students. I just learned that Shenzhen, which is right north of Hong Kong, there is a river running between Hong Kong and Shenzhen. I’ve walked across the bridge many times. I’ve taken the bus across many times, the metro many times, the train many times. So, it’s very close to Hong Kong. And I found out that Shenzhen is really in super zero-covid mode. Everybody in Shenzhen that I know of is having to take a daily NAT test. NAT stands for nucleic acid test. It’s not as invasive as the ones they like to plunge up our sinuses here in Europe. They just swab the inside of your mouth.

 

However, Shenzhen is one of the most advanced technological cities in the world. It is the home of Huawei, ZTE, BYD, which is the world’s biggest electric car maker. Fourteen million people. And the government is asking, requiring students, workers, everybody to do a daily NAT test. My Chinese friends tell me they usually do it before going to work, or for the students during lunch, during lunch hour. And it’s not the nurse, it’s not the nurse at the school, or the nurse at the businesses that are doing all this. It is actually teams of government medical specialists every day going in to, likely thousands of businesses, schools, etc., in Shenzhen, testing for Covid. They also report to me that depending on what they’re finding, you have to show a 24-hour, negative NAT test to get into different places, restaurants, etc.

This is really draconian, yet I know why. The reason is that Baba Beijing would not be doing this to 14 million people just to be nasty. I know that Epoch Times and a lot of the many, many mainstream media Big Lie Propaganda Machine platforms that are Sinophobic, China hating, communist hating, socialist hating, want to believe that the government is doing the zero COVID policy to punish and control the people. Although Shenzhen’s is obviously one of the most extreme that I’ve heard of for right now, it is not because they’re tyrannical, that they are dictatorial, authoritarian and want to control everybody.

Can you imagine how much this is costing the Chinese government to do this? How many billions of dollars or euros or yuan, if you want. This is costing them –  how this is affecting productivity and also profitability in one of the world’s most important high-tech centers anywhere. We lived there for three years. It’s just an amazing city and they are doing this, not because they hate their people or because they are tyrannical and dictatorial and control freaks. They are doing this because they know that the Covid in China that is being spread around is a Western bioweapon.

I want you to read, I will give you the articles. I will post the articles on my web page, on China Rising Radio Sinoland. I did an entire exposé about how Harvard, all during the 1990s illegally and unethically harvested hundreds of thousands, maybe up to 2 million lung, throat and nasal DNA samples from Han Chinese for ten years. They did this. The Chinese, unfortunately, back then trusted them.

Of course, later the bioweapon source came out, which was patented by Pfizer months before it was released in southern China: SARS. Therefore, the Chinese know all this. They know these are bioweapons. And very, very likely we know that in 2019 Covid was released in Wuhan at the World Military games, by the by the U.S. team that went there, because they were awful (athletes). I even wrote a whole article about that. Just search World Military Games or soy sauce soldiers on my website to learn all about how the 312 soldiers that went over there were not athletes. They were bioweapons specialists.

We know that the variant released in Wuhan was the most virulent. All the other variants that were in the rest of the world were less deadly. There were five variants. There was the one in Wuhan, which was really, really dangerous. Then there was one in Iran, which was also very dangerous, killed lots of people. They targeted the leadership and a lot of the leaders and politicians were killed. The third, the third most virulent, was the one that was released in Europe, not as virulent as the others. And it just so happened that it all broke out around all the US/NATO military bases in Northern Italy. What a coincidence. And then the two that were that were released in the United States were highly infectious. But not deadly at all.

That being the case, the Chinese government, of course, can’t tell their people this publicly, because the that the people would demand retribution against the United States, and China does not want to go to war. That would be the only other option. Thus, they have to play the game that this is the latest, variant of Omicron, whatever. I am sure that these nucleic acid tests, they are able to go in, as they see these variants being released, that they can immediately, do the genomic profile on each of these variants that are coming in, and they can adjust to the nucleic acid tests immediately to test for those.

You’re asking well how can the United States get all this stuff in, or the United States’ Western vassals, get all these viruses into the into China? It’s very easy because they all have embassies and consulates and they have diplomatic pouches. And according to international diplomatic law, the host country can never request to look inside a diplomatic pouch. A diplomatic pouch is considered to be the property of that country. Therefore, countries can, if they want, embassies can bring in viruses, guns, drugs, money, whatever they want. And the host country cannot touch it. Of course, the United States has, I think, for four: Wuhan, Xi’an, Guangzhou, Shanghai, at least four and maybe a fifth, up in Shenyang, on the Korean border. Four if not five consulates that also have diplomatic pouch privileges. These test tubes full of bugs can be easily put in heavy Styrofoam boxes, protected. And then somebody at the embassy or the consulate who is qualified to handle this stuff can go out and drop it in a market or drop it in the subway or whatever.

I can promise you this is proof, the fact that what’s going on in Shenzhen is proof that Baba Beijing knows that it is being attacked with Covid bioweapons by the West. I can guarantee you, vassal Germany, France, Holland, Spain, Portugal, England, if they were asked by the United States to do this, they would have no choice but to do so.

It’s very interesting. I can now understand why my students are so stressed out. I even gave one of my students a 13-minute Buddhist meditation on compassion, which is helping him. They’re obviously feeling the strain. It cannot be fun doing this. I mean, we basically did it here in Europe for several months, too. But we didn’t have the daily nasal test. But, if we wanted to go into into a restaurant, we had to go get our sinuses jabbed with the swab, because my wife and I refuse to get the RNA genetic treatment.

Anyway, this is very, very interesting news. I think it tells us a lot about what’s going on. So, the next time someone talks about Chinese Covid versus American Covid, they’re not the same thing. They’re not the same variant. And the Chinese are reacting as such. For them, Covid being spread in their country is an act of war. Thank you.

interesting retro pictures 77
interesting retro pictures 77

NASA’s head warned that China may try to claim the Moon – two space scholars explain why that’s unlikely to happen

.

NASA Administrator Bill Nelson recently expressed concerns over China’s aims in space, and in particular, that China would, in some way, claim ownership over the Moon and stop other countries from exploring it. In an interview with a German newspaper, Nelson cautioned, “We must be very concerned that China is landing on the Moon and saying: ‘It’s ours now and you stay out.‘” China immediately denounced the claims as a “lie”.

This spat between the administrator of NASA and Chinese government officials comes at a time when both nations are actively working on missions to the Moon – and China has not been shy about its lunar aspirations.

In 2019, China became the first country to land a spacecraft on the far side of the Moon. That same year, China and Russia announced joint plans to reach the South Pole of the Moon by 2026. And some Chinese officials and government documents have expressed intentions to build a permanent, crewed International Lunar Research Station by 2027.

There is big difference between China – or any state for that matter – setting up a lunar base and actually “taking over” the Moon. As two scholars who study space security and China’s space program, we believe that neither China nor any other nation is likely to take over the Moon in the near future. It is not only illegal, it is also technologically daunting – the costs of such an endeavor would be extremely high, while the potential payoffs would be uncertain.

China is limited by international space law

Legally, China cannot take over the Moon because it is against current international space law. The Outer Space Treaty, adopted in 1967 and signed by 134 countries, including China, explicitly states that “Outer space, including the moon and other celestial bodies, is not subject to national appropriation by claim of sovereignty, by means of use or occupation, or by any other means” (Article II). Legal scholars have debated the exact meaning of “appropriation”, but under a literal interpretation, the treaty indicates that no country can take possession of the Moon and declare it an extension of its national aspirations and prerogatives. If China tried to do this, it would risk international condemnation and a potential international retaliatory response.

While no country can claim ownership of the Moon, Article I of the Outer Space Treaty allows any state to explore and use outer space and celestial bodies. China will not be the only visitor to the South Pole of the Moon in the near future. The U.S.-led Artemis Accords is a group of 20 countries that has plans to return humans to the Moon by 2025, which will include the establishment of a research station on the lunar surface and a supporting space station in orbit called the Gateway with a planned launch in November 2024.

Even if no country can legally claim sovereignty over the Moon, it is possible that China, or any other country, would attempt to gradually establish de facto control over strategically important areas through a strategy known as “salami slicing.” This practice involves taking small, incremental steps to achieve a big change: Individually, those steps do not warrant a strong response, but their cumulative effect adds up to significant developments and increased control. China has recently been using this strategy in the South and East China seas. Still, such a strategy takes time and can be addressed.

Does China have the resources and capabilities?

China is investing heavily in space. In 2021, it led in number of orbital launches with a total of 55 compared to the U.S.’s 51. China is also in the top three in spacecraft deployment for 2021. China’s state-owned StarNet space company is planning a megaconstellation of 12,992 satellites, and the country has nearly finished building the Tiangong space station.

Going to the Moon is expensive; “taking over” the Moon would be much more so. China’s space budget – an estimated US$13 billion in 2020 – is only around half that of NASA’s. Both the U.S. and China increased their space budgets in 2020, the U.S. by 5.6% and China by 17.1% compared to the previous year. But even with the increased spending, China does not seem to be investing the money needed to carry out the expensive, daring and uncertain mission of “taking over” the Moon.

If China assumes control over some part of the moon, it would be a risky, expensive and extremely provocative action. China would risk further tarnishing its international image by breaking international law, and it may invite retaliation. All this for uncertain payoffs that remain to be determined.

Controlling the Moon is difficult

With a surface area of nearly 14.6 million square miles (39 million square kilometers) – or almost five times the area of Australia – any control of the Moon would be temporary and localized.

More plausibly, China could attempt to secure control of specific lunar areas that are strategically valuable, such as lunar craters with higher concentrations of water ice. Ice on the Moon is important because it will provide water to humans that wouldn’t need to be shipped from Earth. Ice can also serve as a vital source of oxygen and hydrogen, which could be used as rocket fuel. In short, water ice is essential for ensuring the long-term sustainability and survivability of any mission to the Moon or beyond.

Securing and enforcing control of strategic lunar areas would require substantial financial investments and long-term efforts. And no country could do this without everyone noticing.

Germany’s position in America’s New World Order

Ironically, or sadly, the Papacy's power stemmed directly from widespread fear of hell—eternal damnation.

My point is to emphasize the analogy with today’s U.S. sanctions against all countries not following its own diplomatic demands. Trade sanctions are a form of excommunication. They reverse the 1648 Treaty of Westphalia’s principle that made each country and its rulers independent from foreign meddling. President Biden characterizes U.S. interference as ensuring his new antithesis between “democracy” and “autocracy.” By democracy he means a client oligarchy under U.S. control, creating financial wealth by reducing living standards for labor, as opposed to mixed public/private economies aiming at promoting living standards and social solidarity.

Germany has become an economic satellite of America’s New Cold War with Russia, China and the rest of Eurasia. Germany and other NATO countries have been told to impose trade and investment sanctions upon themselves that will outlast today’s proxy war in Ukraine. U.S. President Biden and his State Department spokesmen have explained that Ukraine is just the opening arena in a much broader dynamic that is splitting the world into two opposing sets of economic alliances. This global fracture promises to be a ten- or twenty-year struggle to determine whether the world economy will be a unipolar U.S.-centered dollarized economy, or a multipolar, multi-currency world centered on the Eurasian heartland with mixed public/private economies.

President Biden has characterized this split as being between democracies and autocracies. The terminology is typical Orwellian double-speak. By “democracies” he means the U.S. and allied Western financial oligarchies. Their aim is to shift economic planning out of the hands of elected governments to Wall Street and other financial centers under U.S. control. U.S. diplomats use the International Monetary Fund and World Bank to demand privatization of the world’s infrastructure and dependency on U.S. technology, oil and food exports.

By “autocracy,” Biden means countries resisting this financialization and privatization takeover. In practice, U.S. rhettoric means promoting its own economic growth and living standards, keeping finance and banking as public utilities. What basically is at issue is whether economies will be planned by banking centers to create financial wealth – by privatizing basic infrastructure, public utilities and social services such as health care into monopolies – or by raising living standards and prosperity by keeping banking and money creation, public health, education, transportation and communications in public hands.

The country suffering the most “collateral damage” in this global fracture is Germany. As Europe’s most advanced industrial economy, German steel, chemicals, machinery, automotives and other consumer goods are the most highly dependent on imports of Russian gas, oil and metals from aluminum to titanium and palladium. Yet despite two Nord Stream pipelines built to provide Germany with low-priced energy, Germany has been told to cut itself off from Russian gas and de-industrialize. This means the end of its economic preeminence. The key to GDP growth in Germany, as in other countries, is energy consumption per worker.

These anti-Russian sanctions make today’s New Cold War inherently anti-German. U.S. Secretary of State Anthony Blinken has said that Germany should replace low-priced Russian pipeline gas with high-priced U.S. LNG gas. To import this gas, Germany will have to spend over $5 billion quickly to build port capacity to handle LNG tankers. The effect will be to make German industry uncompetitive. Bankruptcies will spread, employment will decline, and Germany’s pro-NATO leaders will impose a chronic depression and falling living standards.

Most political theory assumes that nations will act in their own self-interest. Otherwise they are satellite countries, not in control of their own fate. Germany is subordinating its industry and living standards to the dictates of U.S. diplomacy and the self-interest of America’s oil and gas sector. It is doing this voluntarily – not because of military force but out of an ideological belief that the world economy should be run by U.S. Cold War planners.

Sometimes it is easier to understand today’s dynamics by stepping away from one’s own immediate situation to look at historical examples of the kind of political diplomacy that one sees splitting today’s world. The closest parallel that I can find is medieval Europe’s fight by the Roman papacy against German kings – the Holy Roman Emperors – in the 13th century. That conflict split Europe along lines much like those of today. A series of popes excommunicated Frederick II and other German kings and mobilized allies to fight against Germany and its control of southern Italy and Sicily.

Western antagonism against the East was incited by the Crusades (1095-1291), just as today’s Cold War is a crusade against economies threatening U.S. dominance of the world. The medieval war against Germany was over who should control Christian Europe: the papacy, with the popes becoming worldly emperors, or secular rulers of individual kingdoms by claiming the power to morally legitimize and accept them.

Medieval Europe’s analogue to America’s New Cold War against China and Russia was the Great Schism in 1054. Demanding unipolar control over Christendom, Leo IX excommunicated the Orthodox Church centered in Constantinople and the entire Christian population that belonged to it. A single bishopric, Rome, cut itself off from the entire Christian world of the time, including the ancient Patriarchates of Alexandria, Antioch, Constantinople and Jerusalem.

This break-away created a political problem for Roman diplomacy: How to hold all the Western European kingdoms under its control and claim the right for financial subsidy from them. That aim required subordinating secular kings to papal religious authority. In 1074, Gregory VII, Hildebrand, announced 27 Papal Dictates outlining the administrative strategy for Rome to lock in its power over Europe.

These papal demands are strikingly parallel to today’s U.S. diplomacy. In both cases military and worldly interests require a sublimation in the form of an ideological crusading spirit to cement the sense of solidarity that any system of imperial domination requires. The logic is timeless and universal.

The Papal Dictates were radical in two major ways. First of all, they elevated the bishop of Rome above all other bishoprics, creating the modern papacy. Clause 3 ruled that the pope alone had the power of investiture to appoint bishops or to depose or reinstate them. Reinforcing this, Clause 25 gave the right of appointing (or deposing) bishops to the pope, not to local rulers. And Clause 12 gave the pope the right to depose emperors, following Clause 9, obliging “all princes to kiss the feet of the Pope alone” in order to be deemed legitimate rulers.

Likewise today, U.S. diplomats claim the right to name who should be recognized as a nation’s head of state. In 1953 they overthrew Iran’s elected leader and replaced him with the Shah’s military dictatorship. That principle gives U.S. diplomats the right to sponsor “color revolutions” for regime-change, such as their sponsorship of Latin American military dictatorships creating client oligarchies to serve U.S. corporate and financial interests. The 2014 coup in Ukraine is just the latest exercise of this U.S. right to appoint and depose leaders.

More recently, U.S. diplomats have appointed Juan Guaidó as Venezuela’s head of state instead of its elected president, and turned over that country’s gold reserves to him. President Biden has insisted that Russia must remove Putin and put a more pro-U.S. leader in his place. This “right” to select heads of state has been a constant in U.S. policy spanning its long history of political meddling in European political affairs since World War II.

The second radical feature of the Papal Dictates was their exclusion of all ideology and policy that diverged from papal authority. Clause 2 stated that only the Pope could be called “Universal.” Any disagreement was, by definition, heretical. Clause 17 stated that no chapter or book could be considered canonical without papal authority.

A similar demand as is being made by today’s U.S.-sponsored ideology of financialized and privatized “free markets,” meaning deregulation of government power to shape economies in interests other than those of U.S.-centered financial and corporate elites.

The demand for universality in today’s New Cold War is cloaked in the language of “democracy.” But the definition of democracy in today’s New Cold War is simply “pro-U.S.,” and specifically neoliberal privatization as the U.S.-sponsored new economic religion. This ethic is deemed to be “science,” as in the quasi-Nobel Memorial Prize in the Economic Sciences. That is the modern euphemism for neoliberal Chicago-School junk economics, IMF austerity programs and tax favoritism for the wealthy.

The Papal Dictates spelt out a strategy for locking in unipolar control over secular realms. They asserted papal precedence over worldly kings, above all over Germany’s Holy Roman Emperors. Clause 26 gave popes authority to excommunicate whomever was “not at peace with the Roman Church.” That principle implied the concluding Claus 27, enabling the pope to “absolve subjects from their fealty to wicked men.” This encouraged the medieval version of “color revolutions” to bring about regime change.

What united countries in this solidarity was an antagonism to societies not subject to centralized papal control – the Moslem Infidels who held Jerusalem, and also the French Cathars and anyone else deemed to be a heretic. Above all there was hostility toward regions strong enough to resist papal demands for financial tribute.

Today’s counterpart to such ideological power to excommunicate heretics resisting demands for obedience and tribute would be the World Trade Organization, World Bank and IMF dictating economic practices and setting “conditionalities” for all member governments to follow, on pain of U.S. sanctions – the modern version of excommunication of countries not accepting U.S. suzerainty. Clause 19 of the Dictates ruled that the pope could be judged by no one – just as today, the United States refuses to subject its actions to rulings by the World Court. Likewise today, U.S. dictates via NATO and other arms (such as the IMF and World Bank) are expected to be followed by U.S. satellites without question. As Margaret Thatcher said of her neoliberal privatization that destroyed Britain’s public sector, There Is No Alternative (TINA).

My point is to emphasize the analogy with today’s U.S. sanctions against all countries not following its own diplomatic demands. Trade sanctions are a form of excommunication. They reverse the 1648 Treaty of Westphalia’s principle that made each country and its rulers independent from foreign meddling. President Biden characterizes U.S. interference as ensuring his new antithesis between “democracy” and “autocracy.” By democracy he means a client oligarchy under U.S. control, creating financial wealth by reducing living standards for labor, as opposed to mixed public/private economies aiming at promoting living standards and social solidarity.

As I have mentioned, by excommunicating the Orthodox Church centered in Constantinople and its Christian population, the Great Schism created the fateful religious dividing line that has split “the West” from the East for the past millennium. That split was so important that Vladimir Putin cited it as part of his September 30, 2022 speech describing today’s break away from the U.S. and NATO centered Western economies.

The 12th and 13th centuries saw Norman conquerors of England, France and other countries, along with German kings, protest repeatedly, be excommunicated repeatedly, yet ultimately succumb to papal demands. It took until the 16th century for Martin Luther, Zwingli and Henry VIII finally to create a Protestant alternative to Rome, making Western Christianity multi-polar.

The demand for universality in today’s New Cold War is cloaked in the language of “democracy.” But the definition of democracy in today’s New Cold War is simply “pro-U.S.,” and specifically neoliberal privatization as the U.S.-sponsored new economic religion. This ethic is deemed to be “science,” as in the quasi-Nobel Memorial Prize in the Economic Sciences. That is the modern euphemism for neoliberal Chicago-School junk economics, IMF austerity programs and tax favoritism for the wealthy.

Why did it take so long? The answer is that the Crusades provided an organizing ideological gravity. That was the medieval analogy to today’s New Cold War between East and West. The Crusades created a spiritual focus of “moral reform” by mobilizing hatred against “the other” – the Moslem East, and increasingly Jews and European Christian dissenters from Roman control. That was the medieval analogy to today’s neoliberal “free market” doctrines of America’s financial oligarchy and its hostility to China, Russia and other nations not following that ideology. In today’s New Cold War, the West’s neoliberal ideology is mobilizing fear and hatred of “the other,” demonizing nations that follow an independent path as “autocratic regimes.” Outright racism is fostered toward entire peoples, as evident in the Russophobia and Cancel Culture currently sweeping the West.

Just as Western Christianity’s multi-polar transition required the 16th century’s Protestant alternative, the Eurasian heartland’s break from the bank-centered NATO West must be consolidated by an alternative ideology regarding how to organize mixed public/private economies and their financial infrastructure.

Medieval churches in the West were drained of their alms and endowments to contribute Peter’s Pence and other subsidy to the papacy for the wars it was fighting against rulers who resisted papal demands. England played the role of major victim that Germany plays today. Enormous English taxes levied ostensibly to finance the Crusades were diverted to fight Frederick II, Conrad and Manfred in Sicily. That diversion was financed by papal bankers from northern Italy (Lombards and Cahorsins), and became royal debts passed down throughout the economy. England’s barons waged a civil war against Henry II in the 1260s, ending his complicity in sacrificing the economy to papal demands.

What ended the papacy’s power over other countries was the ending of its war against the East. When the Crusaders lost Acre, the capital of Jerusalem in 1291, the papacy lost its control over Christendom. There was no more “evil” to fight, and the “good” had lost its center of gravity and coherence. In 1307, France’s Philip IV (“the Fair”) seized the Church’s great military banking order’s wealth, that of the Templars in the Paris Temple. Other rulers also nationalized the Templars, and monetary systems were taken out of the hands of the Church. Without a common enemy defined and mobilized by Rome, the papacy lost its unipolar ideological power over Western Europe.

The modern equivalent to the rejection of the Templars and papal finance would be for countries to withdraw from America’s New Cold War. They would reject the dollar standard and the U.S. banking and financial system. that is happening as more and more countries see Russia and China not as adversaries but as presenting great opportunities for mutual economic advantage.

The broken promise of mutual gain between Germany and Russia

The dissolution of the Soviet Union in 1991 promised an end to the Cold War. The Warsaw Pact was disbanded, Germany was reunified, and American diplomats promised an end to NATO, because a Soviet military threat no longer existed. Russian leaders indulged in the hope that, as President Putin expressed it, a new pan-European economy would be created from Lisbon to Vladivostok. Germany in particular was expected to take the lead in investing in Russia and restructuring its industry along more efficient lines. Russia would pay for this technology transfer by supplying gas and oil, along with nickel, aluminum, titanium and palladium.

There was no anticipation that NATO would be expanded to threaten a New Cold War, much less that it would back Ukraine, recognized as the most corrupt kleptocracy in Europe, into being led by extremist parties identifying themselves by German Nazi insignia.

How do we explain why the seemingly logical potential of mutual gain between Western Europe and the former Soviet economies turned into a sponsorship of oligarchic kleptocracies? The Nord Stream pipeline’s destruction capsulizes the dynamics in a nutshell. For almost a decade a constant U.S. demand has been for Germany to reject its reliance on Russian energy. These demands were opposed by Gerhardt Schroeder, Angela Merkel and German business leaders. They pointed to the obvious economic logic of mutual trade of German manufactures for Russian raw materials.

The U.S. problem was how to stop Germany from approving the Nord Stream 2 pipeline. Victoria Nuland, President Biden and other U.S. diplomats demonstrated that the way to do that was to incite a hatred of Russia. The New Cold War was framed as a new Crusade. That was how George W. Bush had described America’s attack on Iraq to seize its oil wells. The U.S.-sponsored 2014 coup created a puppet Ukrainian regime that has spent eight years bombing the Russian-speaking Eastern provinces. NATO thus incited a Russian military response. The incitement was successful, and the desired Russian response was duly labeled an unprovoked (sic) atrocity. Its protection of civilians was depicted in the NATO-sponsored media as being so offensive as to deserve the trade and investment sanctions that have been imposed since February. That is what a Crusade means.

The result is that the world is splitting in two camps: the U.S.-centered NATO, and the emerging Eurasian coalition. One byproduct of this dynamic has been to leave Germany unable to pursue the economic policy of mutually advantageous trade and investment relations with Russia (and perhaps also China). German Chancellor Olaf Sholz is going to China this week to demand that it dismantle its public sector and stop subsidizing its economy, or else Germany and Europe will impose sanctions on trade with China. There is no way that China could meet this ridiculous demand, any more than the United States or any other industrial economy would stop subsidizing their own computer-chip and other key sectors.[1] The German Council on Foreign Relations is a neoliberal “libertarian” arm of NATO demanding German de-industrialization and dependency on the United States for its trade, excluding China, Russia and their allies. This promises to be the final nail in Germany’s economic coffin.

Another byproduct of America’s New Cold War has been to end any international plan to stem global warming. A keystone of U.S. economic diplomacy is for its oil companies and those of its NATO allies to control the world’s oil and gas supply – that is, to reduce dependence on carbon-based fuels. That is what the NATO war in Iraq, Libya, Syria, Afghanistan and Ukraine was about. It is not as abstract as “Democracies vs. Autocracies.” It is about the U.S. ability to harm other countries by disrupting their access to energy and other basic needs.

Without the New Cold War’s “good vs. evil” narrative, U.S. sanctions will lose their raison d’etre in this U.S. attack on environmental protection, and on mutual trade between Western Europe and Russia and China. That is the context for today’s fight in Ukraine, which is to be merely the first step in the anticipated 20 year fight by the US to prevent the world from becoming multipolar. This process, will lock Germany and Europe into dependence on the U.S. supplies of LNG.

The trick is to try and convince Germany that it is dependent on the United States for its military security. What Germany really needs protection from is the U.S. war against China and Russia that is marginalizing and “Ukrainianizing” Europe.

There have been no calls by Western governments for a negotiated end to this war, because no war has been declared in Ukraine. The United States does not declare war anywhere, because that would require a Congressional declaration under the U.S. Constitution. So U.S. and NATO armies bomb, organize color revolutions, meddle in domestic politics (rendering the 1648 Westphalia agreements obsolete), and impose the sanctions that are tearing Germany and its European neighbors apart—[without official acknowledgement of such acts].

How can negotiations “end” a war that either has no declaration of war, or is a long-term strategy of total unipolar world domination?

The answer is that no ending can come until an alternative to the present U.S.-centered set of international institutions is replaced. That requires the creation of new institutions reflecting an alternative to the neoliberal bank-centered view that economies should be privatized with central planning by financial centers. Rosa Luxemburg characterized the choice as being between socialism and barbarism. I have sketched out the political dynamics of an alternative in my recent book, The Destiny of Civilization.

Marlin Brando on the boat…

interesting retro pictures 78
interesting retro pictures 78

President Xi Jinping Meets with German Chancellor Olaf Scholz

From HERE

On the morning of 4 November, President Xi Jinping met with German Chancellor Olaf Scholz on his official visit to China at the Great Hall of the People.

President Xi noted that Chancellor Scholz is the first European leader to visit China after the 20th National Congress of the Communist Party of China (CPC), and that it is also his first visit to China as the Federal Chancellor. The visit will further enhance the mutual understanding and trust between the two sides and deepen practical cooperation in various fields. It gives the two sides an opportunity to plan for the growth of bilateral ties going forward.

President Xi stressed that China-Germany relations could not have reached today’s level without the extraordinary vision and political courage of generations of Chinese and German leaders. This year marks the 50th anniversary of China-Germany diplomatic relations. The five-decade journey shows that as long as the two sides follow the principles of respecting each other, seeking common ground while reserving differences, maintaining exchanges and mutual learning, and pursuing mutually beneficial cooperation, bilateral ties will keep going in the right direction on the whole and making steady progress. Noting the complex and fluid international landscape, President Xi underscored the need for China and Germany, two major countries with great influence, to work together in times of change and instability and contribute more to world peace and development. China will work with Germany for a future-oriented all-round strategic partnership and for new progress in China-Germany and China-Europe relations.

W020221104662956083502
W020221104662956083502

President Xi briefed Chancellor Scholz on the 20th CPC National Congress, with a particular focus on the essence of Chinese modernization. President Xi pointed out that modernization is an aspiration and goal shared by people in all countries. That said, countries should choose their paths to modernization in light of their own national realities. Chinese modernization has elements that are common to the modernization processes of all countries, but it is more characterized by features unique to the Chinese context. This is so because of China’s unique national conditions, because of China’s social system and governance philosophy, and because of understanding gained through decades of endeavors toward modernization. China has been upholding and promoting world peace through its own development. In this process, the development of China and that of other countries have become more interconnected and mutually reinforcing. China will stay committed to advancing high-standard opening up, keep to the right direction in economic globalization, promote an open world economy, and expand converging interests with other countries.

President Xi stressed that political trust is easy to destroy but difficult to rebuild and that it should be nurtured and protected by both sides. He cited a quote which former German Chancellor Helmut Schmidt used and which he also appreciated, that political leaders should have the serenity to accept the things they cannot change, the courage to change the things they can change, and the wisdom to distinguish between the two.

It is important that China and Germany respect each other, accommodate each other’s core interests, adhere to dialogue and consultation, and jointly resist disturbance from bloc confrontation and attempts to see everything through the prism of ideology.

Both sides should keep to the overall direction of bilateral ties from a strategic height, pursue the biggest common ground in a constructive manner, and advance practical cooperation with an open mind to create conditions for long-term and steady growth of bilateral ties.

There should be no self-imposed restrictions or unrealistic expectations. Noting the high degree of stability and consistency in China’s policy toward Germany, he called on Germany to follow a positive China policy for the mutual benefit of both countries.

President Xi pointed out that over the past five decades, bilateral practical cooperation has kept deepening, with two-way trade growing by almost 1,000 times, serving economic and social development in both countries. The two sides should make the pie of common interests still bigger. While exploring more cooperation potential in traditional areas, efforts should be made to energize cooperation in emerging fields such as new energy, artificial intelligence and digitalization.

China will work with Germany and Europe to further deepen aviation cooperation, conduct exchanges and cooperation on COVID-19, increase interactions and mutual learning on green development and ecological conservation, and encourage more people-to-people exchanges.

He called on Germany to join China in resisting protectionism so that the fruitful bilateral cooperation can deliver more benefits to the two peoples. [Another reference to the USA. -MM]

 

President Xi pointed out that China-Europe relations bear on global stability and prosperity in Eurasia and should be maintained and developed with efforts from both sides. China always regards Europe as a comprehensive strategic partner. It supports the strategic autonomy of the European Union and wishes Europe stability and prosperity.

China maintains that its relations with Europe are not targeted at, dependent on, or subjected to a third party. [USA, don’t you know. -MM]

The more complex and difficult the situation becomes, the more important it is for China and Europe to uphold mutual respect, mutual benefit, dialogue and cooperation.

China will work with Germany and with Europe to step up coordination and cooperation in international affairs and jointly look for solutions for such global issues as climate change, biodiversity and food security.

Chancellor Scholz expressed his pleasure to visit China in a year that marks the 50th anniversary of Germany-China diplomatic relations and have an in-depth discussion with President Xi on Germany-China relations and on important issues of mutual interest. He thanked President Xi for the update on China’s development outlook. He observed that in a complex and grave international landscape, Europe also faces unprecedented challenges. China is playing an important role on many global issues like climate change, biodiversity, COVID response and food crisis. Germany wants to maintain communication and coordination with China to better safeguard regional and global peace and security.

China is an important trading partner for Germany and for Europe as a whole. Germany firmly supports trade liberalization, supports economic globalization, and opposes decoupling. Germany stands ready for closer trade and economic cooperation with China, and supports more mutual investment between Chinese and German businesses.

On issues where the two countries’ positions diverge, Germany is willing to exchange views with China to increase mutual understanding and trust, and stabilize, cement and grow bilateral ties.

A multi-polar world is needed in which the role and influence of emerging countries can be taken seriously. Germany opposes bloc confrontation for which politicians should be held responsible. Germany will play its role in furthering Europe-China relations.

The two leaders also exchanged views on the Ukraine crisis. President Xi reaffirmed China’s support for Germany and Europe to play an important role in facilitating peace talks and to build a balanced, effective and sustainable security architecture in Europe. Under the current circumstances, the international community should: support all efforts conducive to the peaceful settlement of the Ukraine crisis and call on relevant parties to remain rational and exercise restraint, start direct engagement as quickly as possible, and create conditions for the resumption of talks; oppose the threat or use of nuclear weapons, advocate that nuclear weapons cannot be used and that nuclear wars must not be fought, and prevent a nuclear crisis in Eurasia; work together to keep global industrial and supply chains stable and forestall disruption to international cooperation in energy, food, finance and other areas and consequent damage to global economic recovery, especially the economic and fiscal stability of developing countries; and make joint efforts to tide civilians in areas affected by the crisis through the winter, and improve the humanitarian situation to avoid a humanitarian crisis on a bigger scale.

After the meeting, President Xi held a banquet for Chancellor Scholz at the Golden Hall of the Great Hall of the People.

Wang Yi and He Lifeng were present at the events.

Ready for a tumble

interesting retro pictures 89
interesting retro pictures 89

Biden tells rally ‘we’re going to free Iran’

.
President Joe Biden was "expressing solidarity" with protesters when he said at a campaign event late Thursday that "we're going to free Iran," a White House spokesman clarified on Friday.

The comment sparked an indignant response from the government in Tehran and went beyond the president's previous statements, which had been carefully worded so as not to suggest direct US involvement in the protest movement.

Biden told the California crowd: "Don't worry, we're going to free Iran, they're going to free themselves pretty soon".

Mickey’s surprise

247086424 232203335489142 6135939571314395626 n 63570af2c756b 880
247086424 232203335489142 6135939571314395626 n 63570af2c756b 880

What the hell is the use? Perhaps I should just allow everyone to cook in their stew and die a long, slow painful death.

Yeah. The United States and the West are so full of shit right now that it’s just not even worth my time (and my sanity) to deal with it.

I think it’s better to let dying dogs…die.

I am finding my Rufus posts to be in violation of you-tube policy. It’s very disheartening to have my thoughts on helping people, smiling, happiness and support to be banned by You-Tube; a United States social media company.

x
x

The videos that are posted within my videos are freely common on Douxing; a Chinese social media platform. This single point should tell you the total and true state of “freedom” in the United States today, compared to China.

As luck would have it, a great coincidence occurred… Just today.

x
x

So, I no longer need to upload videos to You-tube and pass their censorship guidelines. I can just upload the videos directly to patreon.

Guess what I am doing?

x
x

The FREE LINK to this video is now LIVE!

What’s going on? The bottom line.

Whenever I place a video link on Quora answer, some jackass complains to You-tube and they delete it without specific justification. Instead, they use their "boiler plate" litany of excuses that often make absolutely no fucking sense.

I am 43 and have lived in

NORTH AMERICA (both US and Canada), SOUTH AMERICA (Colombia), EAST ASIA (South Korea, Japan), NORTH AFRICA (Morocco), EUROPE (Germany, Belgium, Switzerland, Hungary, Spain)

My dad traveled a lot so I grew up in a fairly international atmosphere, then I got a job that took me all over the world. My lifestyle has led me to have a rather international way of seeing things, to get to the point I don’t really feel that American and when around Americans I feel out of place.

Here is a list of things I dislike.

  1. CONFORMISM: A lot of people in the US lack intellectual curiosity, America is a nation of consumers, not of thinkers…. A lot of Americans think life resumes to working to pay bills, saving for retirement, having kids, and running the rat race destination nowhere!
  2. EXPENSIVE EDUCATION AND HEALTHCARE: I had a cavity that was killing me in the US. I waited a week to go back to Colombia (I lived in Colombia at the time) so that I could see a Colombian dentist. The Colombian dentist did just a SUPERB JOB much better than any dentist I have ever used in the US, and it costed me 40 bucks to get my tooth fixed. In America it would have been around 800 dollars or perhaps thousands.
  3. TAXING AMERICANS ABROAD: There are only TWO countries around the world that tax their citizens on worldwide earned income. The US and Djibouti in Africa. Djibouti does not enforce it unlike the US. (Ironically the US claims Djibouti is a dictatorship that infringes on human freedom)
  4. CHARACTERLESS AMERICAN CITIES: Too many suburbs, too much sprawl, everywhere you look you notice the landscape is dotted with cookie cutter suburbs, crappy generic malls, massive billboards advertising crap, and gigantic parking lots. American cities lack excitement. (There are only three cities in the US…. NY, San Francisco, and New Orleans. The rest is Cleveland!)
  5. RACE: Living abroad you realize that hate is universal, and while you might find racists and bigots here and there around the world, in America “Race” is a business! Race is such a monumental thing in America that it is almost illogical. In other countries race is a thing you think about once or twice in the span of a few years and for a few seconds, but in America race permeates and defines literally EVERY ASPECT OF THIS COUNTRY.
  6. TOO HOMOGENEOUS – COOKIE CUTTER: This is linked to the characterless, corporate like – Home owners association loving, ambiance of the country. From coast to coast everything is corporate and looks exactly the same. Restaurants are the same, coffee shops are the same, clothing stores are the same, foods are the same, people dress the same, architecture looks the same. All that sameness has an effect on the otherwise diverse demographics, who overtime become mind numbingly homogeneous.
  7. FOOD IS CRAPPY, GENERIC IN TASTE AND HEALTHY OPTIONS EXPENSIVE: How is it possible that in Colombia or Spain or Morocco or Vietnam I can buy some amazing fresh organic produce anywhere for a couple of bucks… but in America I have to go to whole foods and spend a good amount of money in healthy vegetables so that I can be sure they are free of GMO’s? Not only that, in other countries, food varies based on the restaurant. In America food tastes alike all over.
  8. YOU NEED TO DRIVE EVERYWHERE: Not sure why intelligent urban growth is not an option here. A nation this rich could afford to build efficient public transportation no? I was reading that a high speed train between Boston – NYC – Philly – Baltimore – DC would generate billions in revenue, cause co2 decline in the region, and decongest roads in the north East. The issue is corporate lobbies in DC won’t let it happen.
  9. TOO MUCH POVERTY: Not only monetary but intellectual. Ignorance of the world, prejudices, stereotypes of other cultures are ok and the norm. Americans have no damn clue of how the world works and live in an alternate planet called the American bubble! On this planet, all people do is work and work and work, many with crappy wages, shitty jobs, tons of people struggle and the government is absent or hardly cares. All the while Americans convince themselves or firmly and naively believe they are LUCKY because they live in the greatest nation on earth.
  10. PEOPLE WALKING AROUND IN PAJAMAS, OR SOCKS AND SANDALS: Make at least a fucking effort to look like you took a damn shower! Do you really need to go out in pajamas or socks and sandals like a fucking nasty troglodyte?
  11. EVERYTHING THAT IS WRONG WITH THE WORLD TODAY EITHER CAME FROM AMERICA OR IS EXARCERVATED BY AMERICAN MEDIA: Political correctness, Feminazism, Racialism, Identity politics, toxic divisions, extreme conservatism, extreme liberalism, cancel culture, shitty flag waving patriotism.
  12. THE INTELLIGENT DO NOT CALL THE SHOTS, THE SHOTS ARE CALLED BY THE DUMB, LOUD, OBNOXIOUS, GROSS ON SOCIAL MEDIA! The louder and dumber you behave on Twitter, the more followers you get, hence the more power to change things your way you get because spineless politicians will jump on your boat in order to get votes.
  13. LACK OF GLOBAL UNDERSTANDING, IGNORANCE AND BACKWARDNESS ACCEPTED AS NORMAL: Americans self congratulate on things like having a woman vice president, or having a mixed race president and think it is groundbreaking. Ironically half the planet has already had females in charge for decades, and all sorts of people with all sorts of skin colors leading! Argentina already had transgender women on tv back in the 70s! In the US people think it is so forward because we finally have Ru Paul Drag race.
  14. GUNS GUNS GUNS AND THE IDIOTS THAT LOVE THEM: I tried teaching in CO but I gave up after realizing what mess our education system is. During a conversation with a fellow teacher she showed me her loaded gun. She proudly stated… “This is my girl, she keeps me safe!”. I do not know how safe I would feel to have my child go to school with an armed teacher, one day she goes crazy and there goes my child and his classmates! And this is blue state Colorado! Imagine the red ones! TOO MANY GUNS…. which takes me to the next point.
  15. TOXIC MACHO CULTURE COMPARED TO OTHER RICH WESTERN NATIONS AND EVEN DEVELOPING COUNTRIES: From the college “bro” that thinks wearing pink is gay; To men desperate to belong to pointless stupid fraternities where they can hang out and get wasted with other “dudes”; To punks that think their assault rifles make them manly; To resentful pricks that want to shoot everyone because women won’t pay attention to them; To dickheads running the show that think it is their rightful place as old straight males to dictate whatever everyone else should do! This country breeds entitlement! It is overflooding with a bunch of pseudo-alpha male, “John Wayne” wannabes; absolutely convinced they are exemplary and wise beyond words because they are men!

— Notice the contributions of straight men in this country in the last decade : Mass shootings; right wing groups like the Proud Boys, the Alt Right and their douchebag hairstyles— This level of entitlement in straight men is surprisingly rare in many places abroad (Unless you are in the Middle East.) Granted that is changing quickly but for a so called developed nation, it is terribly backwater!

Chicken Tikka Tomato Masala

x
x

Ingredients

Directions

  • Mix ingredients for the first marinade. Add chicken pieces and marinate for 25-30 minutes. Dry-roast coriander seeds in frying pan over a medium-high heat for 2 minutes. Add cumin seeds and dry-roast for 30 seconds. Grind these spices in blender, or mortar & pestle, then mix them in non-metallic bowl with other ingredients for second marinade. Salt to taste, and add chicken pieces. Marinate in the refrigerator for at least 6 hours or overnight.
  • Thread the marinated chicken pieces onto metal or pre-soaked wooden skewers. Pre-heat a grill, chargrill or grill pan to hot. Grill the skewered chicken, turning a few times, so that the chicken gets cooked evenly from all sides. Remove the chicken from the grill. Take the pieces off the skewers and place on a plate.
  • For tomato masala heat ghee, or oil in frying pan. When the ghee is ready, turn the heat to medium-high and fry coriander seeds and cinnamon stick for 1 minute. Add bay leaves, cloves, green cardamoms and black peppercorns, and fry for 1 more minute. Now add halfed tomatoes and stir for 2-3 minutes. Add 125 ml of hot water, bring to the boil, reduce the heat to medium, and cover with a lid. After 20 minutes remove skin from the tomatoes and continue to simmer until the tomatoes have completely disintegrated. Now remove the cinnamon stick and bay leaves from tomato masala and blend it with a hand-held blender and pass it through a sieve to obtain smooth puree. Set this masala aside.
  • For the gravy heat the ghee in frying pan. When the ghee is hot, add the onions and reduce the heat to medium. Fry for 10-15 minutes, or until onions are tender and golden.
  • In the meantime, put almonds and desiccated coconut in blender and grind to powder. Now grind this almond-coconut powder with double cream and a little water to make a fine paste.
  • When the onions are golden add garlic, ginger, and green chillies, and fry for 1 minute. Then add turmeric, paprika and cumin and saute for 30 seconds. Put in the tomato masala, almond-coconut paste, tomato paste, honey and 125 ml of hot water. Cook for 10 minutes, or until sauce starts to thicken. Remove the curry sauce from the heat, and blend it again with the hand-held blender until smooth. Return to a clean pan, reheat again and add cheddar cheese. When the cheese is melted, slowly whisk in the cream. Add dry fenugreek leaves, garam masala and salt to taste. Put in the chicken pieces and stir for 3-4 minutes over medium heat.
  • To finish the dish, stir in the chopped coriander leaves. Serve with naan bread or pilau rice.

Good question! It has a relatively simple answer. Within a day or two, you realize there is little chance of getting meaningful employment, most often far from your area of expertise, think manual labor. You’ll be hard pressed to land a job where you or what you represent scares people!

Before I even got out I knew I wasn’t going into anything even close to my preferred field. In fact, I thought it through a hundred times and the answer was always the same. Hourly labor. I was like 59 and I immediately started calling manual labor jobs. A fairly specific type. Hard work, awful shift, virtually unlimited overtime with a decent starting rate.

On about my 4–5th call I was interviewed and offered a job as a traffic barricade setter/driver. 5 pm to 5am with extra work on days off when we closed freeways, which was all the time.

The first year I almost died, literally and figuratively. Night Shift is awful. It takes everything to make the switch. Days without sleep, falling asleep driving home in the early mornings, working in active traffic lanes when the bars close, my age and even though I thought I was in shape for almost 60, it’s brutal work. I didn’t really have a life outside the job but that was part of the plan, make money, don’t violate. For me it worked. I made $90k in 2008, not a bad 1st year for an ex-con.

If you want to work, physical work, you’ll get hired pretty quick. If you’re picky, lazy, have a victim mentality, feel like ‘they owe me’ or ‘I ain’t doin’ that’, be prepared for a long slog to a job. And when you finally land it, you’ll probably hate it!

China’s Ghost Cities

This is from Godfree Roberts and from his wonderful newsletter. I strongly urge all readers to subscribe.

I’ve been chasing reports of deserted towns and have yet to find one. Over and over, I would read articles in the international press claiming that China is building towns that are never inhabited–only to find something very different upon arrival. 

Ordos, the most famous ‘ghost city,’ took ten years to populate but now has a thriving downtown and rising home prices. 

Xiangluowan, Lanzhou, Zhengzhou, Zhujiang, and Zhengdong, former ‘ghost cities,’ currently host the biggest urban migration in history. Newer cities–backwaters a decade ago–are complete and awaiting occupants while others, like Xinyang New District, are finishing construction. Wade Shepard.

When the vast, now empty city, below, opens it will be the first conceived, designed, emulated, modeled, constructed and wired entirely in this century.

Xiong’an New District, bigger than Greater London, on a greenfield site sixty miles south of Beijing, will connect the world’s most prosperous city¹ to its impoverished hinterland while re-housing industries unneeded in a national capital. Tax collection and patent inspection will move there, as will think tanks and high tech companies like AliBaba, that do not need to be in the capital.

SOEs have 100 subsidiaries and branches in the area in sectors like infrastructure construction, frontier information technologies, advanced biological technologies, modern service, energy, and new materials. 3,000 enterprises have registered in Xiong’an, 80% of which are scientific and technological enterprises from Beijing. To accompany them, Beijing’s top universities, hospitals, and schools are building branches in Xiong’an.

Ultimately, however, the New District’s success or failure depends on millions of highly educated youngsters who are picky about lifestyle. That’s why..

  • 70% of Xiong’an’s forty square miles will be wetlands and forest,
  • its eco-city model² features low-energy construction materials, automated light mass transport and green urban pockets.
  • transportation, water, and electricity infrastructure mostly underground.
  • all commutes, including downtown Beijing, are under 55 minutes.
  • 4 high-speed commuter lines connect 3 new airports³ with the national high-speed rail network. Travel time to all airports is the same⁴.
  • the New Area is designed with and for 5G, IoT, AI, big data cloud computing, smart sensors, smart lighting, and integrated personal recognition.
  • The entire infrastructure designed for remote-controlled, self-driving vehicles and automated traffic management.

Boom Time?

Justin Lin Yifu, the world’s leading developmental economist, says Chinese GDP can grow 8% pa through 2050, since China’s urban-rural ratio and per capita GDP have just reached Japan’s 1970 levels, when that country’s economic takeoff began.

Xiong’an was designed to repay its capital cost within 30 years by boosting residents’ productivity and, thus tax contribution. If it is on track by, say, 2030, expect Xiong’An 2.0. By 2050, hundreds of millions will live in cities like it.

1

Beijing is home to the most billionaires and the most high-end shops in the world. Porsche dealerships cover acres of expensive land..

2

The new development benefits from lessons learned since 2008, when Singapore and China agreed to build Tianjin Eco-city and use 26 KPIs – like air quality, water quality, noise pollution, wetland and shoreline protection, urban greenspace, water consumption – to measure the city’s ecological, economic, and social development. The project is ongoing.

3

Beijing Daxing, Tianjin, and Shijiazhuang.

4

The trainsets for each airport line are designed to operate at different speeds.

U.S. and South Korea EXTEND Military Exercises that Caused North to Fire Missiles!

The United States and South Korea have extended their large-scale, military, air exercise known as “Vigilant Storm.”

In response, North Korea said that SK/US will realize that they made an irreversible, immense mistake.

Dongping has a say

Interesting story…

...I do not know how to answer all your questions. I am teaching at semester at sea this semester, and I do not have good internet access. But I can tell you from my limited life experience that socialism is good for the working class, not for the elite in the short run. It will be good for everybody in the long run, even though people do not see it for their limited vision. 

Socialism will eventually emancipate everybody from the oppression and exploitation of the capitalism and the state. I wrote a book called the Unknown Cultural Revolution. 

You should check it out. When I first proposed to write my dissertation on that topic, my American advisor told me that if I did not change the topic, he would not serve as my advisor any more, and he warned me that would not be able to graduate and get a degree, and I would not be able to get a job in the U.S. 

I told him that I could find another professor to advise me. I told him that I did not need to graduate and get a degree. I also told him that I did not need to get a job. I am Chinese and can always go back to China. I left his office and talked to another professor. 

The other professor asked me if I was sure that my adviser did not want to advise me any more. I said that I just left his office. The other professor picked up the phone to confirm. But my advisor said that I got him wrong, and he wanted me, and asked the professor to send me back to him. I went back to his office. He told me that I was on my own now. Do not blame him for not warn me ahead of time. I told him that I did not expect him to help me, and I just need him to serve as my nominal advisor.

I worked on my own and did all the research on my own. When I showed my draft to him, he said that I should apply for the Spencer Foundation Grant, which was the most prestigious grant for graduate students in the U.S. I did, and got it.

It turned out that I was the only one to get the Spencer Foundation Grant that year at Brandeis, the first to graduate and the first to get a tenured track job among my cohorts at Brandeis that year. My professor actually told me that my success helped him and we became best friends for some reason. He told me that he argued in the department that his student got the spence foundation grant, his student was the first to graduate and get a tenured track position.

Because the spencer foundation advertized my dissertation, I got severa offers from publishers. I was able to publish the hard copy of the book in 2000 with Garland Publishing, and published the paper back of the book in 2008. I tell you this to show how difficult it was to do research on the positive side of the Cultural Revolution in the West.

In China, the Cultural Revolution was a tobo for many years. Only negative staff can be published about Mao Era. The great leap famine nonsense and intellectual suffering nonsense flooded the Chinese book markets. My students in the U.S. could not understand, because they did not understand that we lived in class societies. Even in socialist China, there are people who hated socialism and Communist party so strongly, they wished that the Americans or Jiang Jieshi came back to kill the communists for them.

During WWII, many Chinese military generals collaborated with the Japanese to kill the Chinese Communists, because they could not tolerate a society when there would be no elite any more. The total number of collaborators with the Japanese was unknown. Some people estimated at five or six million.

If there was war between China and the U.S. today, my feeling is that the number of collaborators would be even bigger.

I mentioned that Deng Xiaoping carried out a campaign of ten years to purge anybody who was loyal to Mao and the gang of four. Before him, Hua Guofeng did three years, arresting hundred and thousands of Mao loyalists in China. Deng Xiaoping's original plan was to execute Mao's wife. But because most other leaders disagreed with him he relented in the end, but still murdered her in 1992, when Mao heat emerged in Chinese society.

Deng Xiaoping got the one child policy from the U.S. and imposed it on the Chinese people brutally which literally torne the Chinese society apart. The Chinese people's hatred against the government officials reached the peak. I could not imagine if there was a time period in the whole Chinese history that the Chinese people would hate their leaders more than Deng era. I have done rural research for more than thirty years. The Chinese people's anger against Deng Xiaoping and his policies for ruining their livelihood has no bounds. But all these can never be published in China.
But I am sure that Xi Jinping and the top leaders in China knew this. 
That was one reason that they were slowly adjusting their policies in China.

In 2017, at an international socialist congress in Beijing, I was grouped with a scholar from Central Party School who was sharing his rural research result, which was devastatingly bleak. I asked him if they had reported the result to the central committee. He told me that they know all too well. He argued that if there were foreign invasion in China, the Chinese Government could not count on the local party branches to function properly.

In 2018, I got a grant to take four students to do research in rural China. I saw dramatic change in the rural areas. New school buildings, new clinics, new paved roads, provincial officials were stationed in the village, working part time with village leaders to solve rural problems. Farmers' attitude toward the central government improved dramatically. In the four villages we visited in Shandong, every household got one thousand yuan to build a more environmental friendly eco bathroom. I was told that that program alone caused Sixty Billion Yuan in Shandong Province alone.
I do not buy all these books published during the last four decades in China for one reason. There was a coup in China after Mao's death. The Deng Xiaoping government wanted to make friends with the West. They need to tarnish Mao era in order to justify their dramatic change. It is just that simple. Their effort came back to haunt themselves and the Chinese Communist Party. Xi Jinping is trying to amend that now.

During Mao era, Chinese elite were required to work with farmers and workers on a regular basis. They were required to live among the people, and their children had to go to school with the common people. It was called share the hardship and share the pleasure.

Production team leaders had to work every day with farmers, no exception. Meeting could only be held at night.

Village leaders had to work no less than three hundred days a year.
Commune leaders no less than 250 days a year in the fields with farmers.
County leaders no less than 200 days a year. That was a national guideline.

I was a manager of a village factory for five years. I worked everyday with my workers, and harder than my workers, but got the same pay. I can tell you that our production increased each year.

Before I was the manager, I was working with four young girls to assemble fish boat gears. The first month we did 7 sets. The second month we increased to 14 sets, and third month we did 21 sets, by the fourth month, we did 28 sets. From then on, we were able to assemble on average one set a day. The profit was one thousand per set at the time. My group produced more than 100,000 yuan profit for the factory that year. That was one of the reasons that I was chosen to be manager of the factory at the age of 18. I was looking for better way to increase production all the time, even during my sleep. I dreamed about finding a solution for one problem at night, and found it in my dream. The next day, I tested and found it to be very effective.

When leaders worked very hard with workers, and workers had no reason not to work hard.

Chairman Mao once said that a worker by nature wants to work. If a worker refuses to work, there must be something wrong with the management.

In the U.S. I am often hired on the side by some enterprises to interpret for Chinese engineers who came to the U.S. to teach American workers how to use Chinese equipment. One of the Chinese engineers told me that he was shocked by the inefficiency of American working place. He said that one Chinese worker would be as good as four American workers.

I noticed as well that when the bosses were around, workers pretend to work. But once the bosses left, they would go out to smoke, or go to bathroom. I explained to the Chinese engineer that American workers saw no reason to work hard for the capitalists who pocket most of the profits.
Yang Heping, Bill Hinton's nephew, who grew up in China, and went to the U.S. to work and for his ph.d after Deng Xiaoping returned to power. He eventually returned to China in the 2000s, and now teaches in Beijing. He made several public speeches about his working experience during the Mao era and in the U.S. You might find it interesting to watch them. But they are in Chinese.

I am teaching at a small private college in the U.S. The last three presidents the college hired were all disasters. These people came to the job for the money but had no leadership skills. They were paid five to six times more than professors, and they made a mess and were asked to leave after five or six years with a fat separation check. Some of my students and parents suggested that I should be the present. I am too old to take on something like that. But if I were to serve as the president, I would not take more than a professor's pay, and I would continue to teach one or two classes a year. I would lead by example, and dedication to my community. But there is no such thing in the U.S. That was the philosophy I developed during Mao era. I think that socialism will only workers if the CPC returned to its Mao's spirit of sharing the hardship and pleasure with the people.


Dongping

TID: Any blast with a white flash, which is a nuclear weapons burning its ‘pit’ is assured to be a nuclear weapon

Previously, Ukrainian forces launched a major drone attack against the Russian city of Sevastopol and the Russian ships in the Black Sea, which prompted Moscow to suspend the grain deal.

Last week, Russian Defense Minister Sergei Shoigu warned France, Britain, the US, and Turkey that the Kiev regime is preparing a provocation with a “dirty bomb”, as Ukraine intends to escalate the conflict into a nuclear crisis and pin the blame on Russia.

A dirty bomb contains radioactive isotopes and an explosive load that disperses radioactive material across large areas.

Outcome of New normal: live with COVID:

In January 2022, Brookings Metro published a report that assessed the impact of long Covid on the labor market. Data on the condition’s prevalence was limited, so the report used various studies to make a conservative estimate: 1.6 million full-time equivalent workers could be out of work due to long Covid. With 10.6 million unfilled jobs at the time, long Covid potentially accounted for 15% of the labor shortage.

This June, the Census Bureau finally added four questions about long Covid to its Household Pulse Survey (HPS), giving researchers a better understanding of the condition’s prevalence. This report uses the new data to assess the labor market impact and economic burden of long Covid, and finds that:

  • Around 16 million working-age Americans (those aged 18 to 65) have long Covid today.
  • Of those, 2 to 4 million are out of work due to long Covid.
  • The annual cost of those lost wages alone is around $170 billion a year (and potentially as high as $230 billion).

These impacts stand to worsen over time if the U.S. does not take the necessary policy actions. With that in mind, the final section of this report identifies five critical interventions to mitigate both the economic costs and household financial impact of long Covid.

From HERE

Bankrupting the Enemy: The U.S. Financial Siege of Japan Before Pearl Harbor.

Other Title

  • US Financial Siege of Japan Before Pearl Harbor

Summary

  • Was the bombing of Pearl Harbor an unprovoked attack on the United States? According to a new book by Edward S. Miller, the Japanese motivation stemmed from U.S. plans to defeat Japan economically in the years before World War II. Miller discussed his book, “Bankrupting the Enemy: The U.S. Financial Siege of Japan Before Pearl Harbor” in a program sponsored by the Humanities and Social Sciences Division. In his book published by the Naval Institute Press, Miller contends that the United States forced Japan into international bankruptcy to deter its aggression. The Japanese government had a huge cache of dollars fraudulently hidden in New York. In July 1941, President Roosevelt froze the money to “bring Japan to its senses, not its knees,” Miller asserts. Roosevelt’s intentions were thwarted, however, by U.S. bureaucrats who were determined to deny Japan the dollars needed to buy oil and other resources for economic survival. Miller demonstrates that the deprivations facing the Japanese people as a result of the fund cutoff buttressed Japan’s choice of war at Pearl Harbor.

Found HERE

Here is something interesting:

2 statements from Sergey Glazyev, former minister of Foreign Economic Relations in Boris Yeltsin’s cabinet, today the Commissioner for Integration and Macroeconomics within the Eurasian Economic Commission, the executive body of the Eurasian Economic Union, and close confident of president Putin, published 5 days ago on his official Telegram channel:

–––––––––––––––––––––––––

  1. (on the 20th Congress of the Communist Party of China)

From HERE

The 20th CPC Congress and the plenum of the newly elected Central Committee that ended in Beijing are covered here mainly in terms of politics. It mainly emphasizes the importance of Xi Jinping’s re-election to key leadership positions, whose status as the core of the party was confirmed in the resolutions adopted. I would invite readers to consider the ideological significance of these events in comparison with the achievements of our country and the CPSU that led it, whose leadership role was enshrined in the USSR Constitution.

The 20th Congress was a watershed for the Communist Party of the Soviet Union: at it, Khrushchev, who succeeded Stalin as head of the party, unveiled a report that exposed the personality cult of his predecessor and discredited the party in many ways. Having read that report, Mao Tse Tung told his comrades that corrupt officials and traitors had seized power in the USSR, and that they would lead the country to ruin. In this, he proved to be a visionary – Brezhnev, who removed Khrushchev from power, succeeded in correcting the blunders of the vain Khrushchev, but the even more vain Gorbachev, promoted by Andropov, returned the country to the disastrous path of thoughtlessly copying Western institutions and discrediting his own achievements.

In China, it is not customary to abandon the heroic achievements of the past and to vilify their predecessors. The Chinese value their own experience, work on their own mistakes and learn from the mistakes of others. Unlike us, they have preserved both the socialist system and the leading role of the Communist Party, as well as state planning, while constantly improving their system of governance. Avoiding self-destructive decisions, cautiously reforming the economy and subordinating market relations to the goal of enhancing social welfare, they have achieved tremendous success.

The 20th CPC Congress marked the 100th anniversary of the CPC, which adopted the CPC Central Committee Resolution on the major achievements and historical experience of the party’s 100-year struggle. I encourage you to read it in the link below. It is important for us to draw the following conclusions from this experience.

Three decades after the self-dissolution of the CPSU, the collapse of the USSR and the MSS, the cause of socialism lives and wins. Not only in China, but also in Vietnam, the socialist oriented India and other Asian countries have achieved tremendous success during this time. These countries now constitute the core of the new world economic order and form the new fast-growing center of the world economy. Southeast Asia is already ahead of the U.S. and the EU in terms of output, and three times faster than the U.S. and the EU.

By refusing to continue socialist construction, we have climbed into the last wagon of the dead-end capitalist world, the collapse of which was postponed for 30 years thanks to the appropriation of wealth and resources of the former Soviet Union and the USSR countries placed under Western capitalist control. Having exhausted its potential, the American-centric financial and economic system is decaying and the global hybrid war unleashed by Washington is essentially its agony.

Finding itself after the collapse of the USSR on the periphery of the American-centric system of oligarchic capitalism, Russia has degraded in the scientific, technical, economic, spiritual and cultural fields, becoming a raw materials, financial and intellectual donor to the United States and the EU. Its demographic losses have been about 15 million unborn and prematurely dead citizens (relative to the reproductive behavior model of the late Soviet Union). The most developed union republic, Ukraine, has been turned into a Nazi concentration camp under the direction of Western intelligence services; the Baltic republics, absorbed by the EU, have lost their ability to develop and become depopulated.

The Chinese Communists, while preserving the Soviet political system, Marxist-Leninist teachings, and the socialist order, abandoned dogmatism and creatively approached market-based economic reforms. They were able to subordinate market mechanisms to the goals of improving public welfare and economic competitiveness, and learned to use entrepreneurial energy for the benefit of society.

––––––––––––––––––––––––––

  1. (on the Chinese socialist model of economic development and its significance for Russia)

From HERE

The socialist market economy created by them turned out to be qualitatively more effective than both the Soviet administrative and planning system and the developed capitalist countries. Preserving the socialist ideology and national economic goal-setting and strategic planning, the CCP follows an innovative way of development, constantly improving the system of market economy regulation, ensuring the highest possible level of investment and innovation activity by methods of state control.

Further development of the world economy for the foreseeable future until the end of this century will be determined by socialist-oriented countries. China, led by the Communist Party, and democratic India will compete for leadership in all significant for mankind spheres: industrial, scientific and technological, social, cultural, environmental. The efforts of the U.S. power and financial elite to retain leadership through a global hybrid war are futile and will bring nothing but multimillion-dollar casualties and disasters to the countries within its sphere of influence.

The stunning success of China, India and Indochina, which have maintained their socialist orientation, against the background of the agony of the core of the capitalist world in the form of a world hybrid war, puts us before a choice: return to the main road of modern socialism or perish on the periphery of the agonizing American-centric financial and economic system. Of course, we can speak only about the modern understanding of socialist construction – with the use of market mechanisms and entrepreneurial energy, relying on traditional moral values. The era of class struggle and the coercion of millions of people to work is a thing of the past. The modern socialist state is an integrator of various social groups on the basis of creative activity for the improvement of social welfare. The system of socialist government must harmonize the relationship between man, society and nature and create conditions for the creative self-realization of each individual in socially useful activities. The socialist state today must be not only just and demanding, but also humane, legal, democratic, intelligent – a state of development. Its principles are outlined in the program provisions of the World Russian People’s Council. Its organic ideology is Orthodox socialism, complemented by the values of other traditional confessions in Russia.

Our peripheral position is manifested today in our inability to mobilize the resources available in the country – they still drain away to U.S.-controlled jurisdictions. If this situation persists, every day of war strengthens us by taking the enemy’s capital, resources and minds out of our country, and weakens us. Three hundred thousand of our honest and best mobilized men are fighting, and as many more are fleeing to the enemy’s location. Millions of people are doing their best to help the front by giving their savings to the fighting soldiers, while the monetary authorities are conniving with the comprador oligarchy in taking capital out of the country. Without the restoration of socialist ideology, state planning, socially equitable distribution of national income, subordination of the banking system and monetary policy to the goals of increasing investment and mobilizing all available resources in the process of expanded reproduction, we cannot escape from the current futile peripheral and dependent situation. The time has come to correct the mistakes made thirty years ago. Repeating them today and continuing to follow the false path of the Washington Consensus amidst the stunning successes of the Chinese Communist Party is not only foolish, but treasonous.

Jeff has a great podcast

BlackRock and its Aladdin AI robot are buying up and financializing everything you own and do. Not a problem for China's 1.4 billion citizens. China Rising Radio Sinoland 221022

Australia has some really strange names of places

x
x

Italy freezes arms supplies to Ukraine

Rome is easing up on its arms supplies to Ukraine amid Kiev’s ongoing military conflict with Russia, Il Messaggero reported on Tuesday, citing sources within the Italian government that claim no new weapons packages are under consideration at the moment.

Although new Italian Prime Minister Giorgia Meloni has pledged to honor Kiev’s request for more military assistance and advanced air defense systems, the outlet says all arms shipments to Ukraine are currently on stand-by.

“Only and only because it is first necessary to coordinate with NATO and go into detail on Ukraine’s military requests. Only then will we understand what Kiev needs and what armaments we will be able to supply,” government officials reportedly told Il Messaggero.

NATO Secretary General Jens Stoltenberg is expected to visit Italy in the coming days to meet with Meloni and Italian Defense Minister Giulio Crosetto. Together, they are expected to discuss what weapons are essential to defend Kiev and work to solve three key issues before military shipments can resume.

The first one being Kiev’s request for the very expensive SAMP-T surface-to-air anti-aircraft missile systems. According to Italy’s Defense Ministry, the country only has a few of these systems at its disposal and if it were to deliver some of them to Kiev, it would risk weakening its own air defense capabilities. Il Messaggero notes that this issue could result in Rome sending other weapon systems to Kiev instead.

Italy has also yet to completely fulfill delivery of five arms packages already pledged to Ukraine. “We have to complete the job, before facing a new one,” sources told the outlet.

Finally, in order for any new weapons packages to be approved for Kiev, the minister of defense must discuss the issue with the Parliamentary Committee on Services, which is still not operational following the recent elections in Italy.

Despite this temporary delay in approving a sixth weapons package for Ukraine, Italy’s new government insists that military support for Kiev is “not in question.” Meloni, who recently became the country’s first female prime minister, told parliament last week that the only way to facilitate a peace deal between Russia and Ukraine is by helping Kiev defend itself militarily.

Russia, meanwhile, has repeatedly warned the West against pumping Ukraine with weapons, insisting that it would only prolong the conflict and lead to more bloodshed.

Scientists from US, UK, Japan… Flow to China.

2022: Scientists leave the UK as China overtakes US as most favoured destination | Science|Business

HERE

2022: The U.S. Is Losing Scientists to China. How to Stem the Flow. | Barron's

HERE

2020 : China snaps up Japanese scientists, sparking fears of technology outflow. Government must urgently improve domestic research environment to stem brain drain

HERE

Absolutely not. There are many reasons.

  • They have over 4x the population than we do.
  • To invade, we’d have to ship our military over there
  • They are a collectivist culture we are more individualistic. By that I mean that someone from the US is far more likely to say “screw this” than the chinese who historically are motivated by what is important to the greater whole.
  • They haven’t attacked us beyond spying, which nearly all countries do.
  • They are our largest trading partner. Companies here would go broke and we’d also lose a lot of consumer goods manufactured there.
  • They have nuclear weapons
  • We would have virtually no allies anymore due to such an unprovoked attack.
  • We have very little to gain and a lot to lose.

The bottom line is simple. We would lose and lose badly. It would come down to who is ready to fight the hardest. Since we’d be attacking their very land, they would.

Naan

“This naan is from the New Vegetarian Epicure. With just four ingredients and no yeast, it is simple to mix up, but it is so soft and flavorful I figured I’d add it to the many recipes already posted. Prep time does not include 1 hour of “resting.””

x
x

Ingredients

Directions

  • Mix together flour, baking powder and salt.
  • Stir in the yogurt till the dough is too stiff for a spoon, then knead it in the bowl till it holds together well, adding more flour if necessary.
  • Turn it out on a floured surface and continue kneading for about 5 minutes till the dough feels smooth and elastic.
  • Form the dough into a ball and put it in an oiled bowl, covered with a towel, to rest for an hour or longer.
  • Take the dough out and cut it into 10 equal pieces. Form each into a ball and press the balls flat into round discs.
  • Heat a large frying pan or griddle, either seasoned cast iron or a good non-stick finish.
  • Heat your oven to about 500 and have the broiler on (this is how the original recipe states it – I know with my oven it’s either 500 degree oven OR the broiler, but you get the idea.
  • Take 1 piece of dough at a time and roll it out on a floured surface till it is about 8-10 inches across and less than 1/4 inch thick.
  • Lay it on the hot griddle and cook it over a medium heat for 4-5 minutes (I don’t think mine took that long).
  • It will puff up in places or all over, and there will be some blackish-brown spots on the bottom.
  • Slide a spatula under the naan and transfer it to the oven, directly onto the rack, for a minute or two, just till it finishes puffing up into a balloon and begins to color lightly on top.
  • Remove naan from the oven and brush it lightly with melted butter if you like.
  • Continue this way with all the dough, stacking the breads into a napkin-lined basket.
  • Serve the breads hot, fresh from the oven, or let them cool and wrap them up.
  • To reheat, wrap them in aluminum foil, in packets of 4 or 5 breads and put them in a 400 degree oven for 10-15 minutes.

British Ambassador SUMMONED To Russian Foreign Ministry; Confronted Over Attacks on NordStream Pipelines

.

British Ambassador Deborah Bronnert was SUMMONED to the Russian Foreign Ministry today.  She arrived shortly after 10:30 AM, Moscow local time.

Upon her arrival, she was greeted by a crowd chanting anti-British slogans and holding placards reading “Britain is a terrorist state.” She left after about 30 minutes.

The reason the Ambassador was Summoned was to be directly confronted about British involvement in the recent attack upon Russian naval vessels near Sevastopol, Crimes, and about the bombing of the Nord Stream Pipelines, weeks ago.

Russian Ministry of Foreign Affairs (MFA)  spokeswoman Maria Zakharova told the press “there can be no doubt that British intelligence services were involved in the terrorist attack on the Black Sea Fleet base in Sevastopol on Oct. 29 and the act of sabotage against the Nord Stream pipeline.  They will not be left without a reaction. We have stated this in the Security Council. We spoke about that publicly… British ambassador has been summoned and given the relevant materials… the same materials… will also be made available to the public at large.…”

Zakharova went on to tell the press “They tried to absolve themselves of responsibility for the terrorist attack. They said that they did not even know about anything like that. It was a very strange reaction. They have contacts, as they have always had, with the Russian Defense Ministry.”

She finished her remarks about the matter by saying “In principle, they could have tried to clear up certain things. It has turned out that a priori they know the answers to all questions…. They argue they had nothing to do with it. They should have at least asked what evidence we have…”

PUBLIC TO BE TOLD

It now appears that Russia plans to reveal publicly, the evidence they possess, proving the British government blew up the Nord Stream Pipeline.

Our previous coverage of this matter reported (HERE) that the Russian have data showing that after the Nord Stream pipelines detonated, alarms from sensors in those pipes, alerted Russian natural gas company GAZPROM that pressure in the pipes had dropped massively and suddenly.   Russia also has records from Apple iCloud, showing that one minute after the pressure sensor alarms began sounding at GAZPROM, British Prime Minister Liz Truss sent a text message from her iPhone to US Secretary of State, Antony Blinken which said “It’s done.”

At that moment, no one in the world knew that the pipelines had been blown up.  GAZPROM only knew that there was a massive and sudden pressure drop.  But Liz Truss knew . . .  because it was the British government that carried out the bombing of the pipelines. It was Liz Truss that texted Antony Blinken telling him “It’s done.”

Does anyone remember this image from 1979? The Air New Zealand Mt Erebus crash in Antarctica that made news around the world with 257 dead and no survivors.

x
x

It was a full aircraft and I was top of the waiting list hoping for a cancellation. Air New Zealand rang me the night before and said be ready to leave home at 6 am – they would ring me then if anyone cancelled.

I sat by the phone until 6.30 – no call and I was full of disappointment. This was to be the final excursion flight for the season and it was full of celebrity hosts and Antarctic veterans like Peter Mulgrew.

A gloomy breakfast listening to radio coverage of the flight’s departure full of joy and excitement that only made my disappointment worse. Followed by a gloomy day.

Late afternoon a news flash – the returning aircraft hadn’t made the expected radio contact as it came within range. A later bulletin – it was overdue. Hours later an Antarctic search team spotted wreckage on the mountain and it appeared there were no survivors.

I was freaked out and stayed that way for a week. All sorts of thoughts. If any of those 257 had cancelled, their life would have been saved and I would be dead. Did someone just save my life by feeling sick but deciding to go anyway? A deep unease as all the details of the crash were told and the stories of the victims’ families. I didn’t want to tell my story and nobody asked.

Gradually I got used to the idea I’d had a lucky escape and gave up trying to make sense of it. It simply didn’t make sense. If any one of those 257 had cancelled they would have lived and I would be dead. Try and fit God’s Will into that scenario because I can’t.

There were two moments in later life when I had flashbacks. One was meeting the partner of a crash victim and sharing our stories – freaky for both of us. The other was meeting one of the team who recovered the bodies. Freaky again, and I told him “If I had been one of the victims I would have been eternally grateful to you for that final act of caring.”

So my last 44 years have been a bonus I’m still thankful for.

Edit: My apologies for disabling comments. After a few days several people began lecturing me on how God had fingered me with a message about my wicked ways and said I should be changing my life around so I don’t go to Hell, and when I told them I didn’t agree things got nasty. The comments I’ve left are all good, and I can’t think of anything that hasn’t been said, but I’m happy to get private messages.

 

Rest in peace ol’ king of cats

Sorry, this is going to be a short post.

I’ve been having some issues as of late. We are in the midst of a typhoon, but it’s a silly storm. It’s a lot of hot air, but no excitement. Sort of like American politics (Heh Heh). But it has messed up everything and my VPN Is selective, wordpress is on and off, and I’m dealing with random and periodic flickers of stability within a sea of temporary turmoil.

Hopefully this post will see you all well.

Take care.

THE BEST HOMEMADE ICE CREAM RECIPE IN THE WORLD made in a WHITE MOUNTAIN freezer

https://youtu.be/QULFWnYFTlo

How long would it take the US Navy to sink the Chinese aircraft carrier?

1 Answer: It would take “about the same time for the US to sink China’s brand new aircraft carrier in a war” as it would take for China to sink all the American Pacific-based aircraft carriers and the single UK aircraft carrier using the Chinese suborbital missiles designed for that purpose. See

DF-21 – Wikipedia

China has inducted the world’s first operational anti-ship ballistic missile, a “carrier killer” capable of carrying a nuclear warhead, known as the DF-21D. In 2010, it was reported that China had entered the DF-21D into its early operational stage for deployment.” And note the development of the DF26: See

China’s new ‘carrier killer’ video is a treasure trove of military intel that should worry the US Navy

which development is summarised as

  • China offered an unprecedented look at its new DF-26 “carrier killer” missile in a video seen by military experts as warning that US aircraft carriers are sitting ducks.
  • The footage showed the missile in an unprecedented way, offering a treasure trove of military intelligence to the US.
  • The video revealed a capable weapon with several strengths and features that seriously threaten the US Navy’s entire operating concept.”

Pilotless drones [which the USA seems to see as a partial solution] would be powerless to intercept these inward coming ballistic missiles, which can come almost vertically down at hypersonic speeds exceeding 5000 mph, so these descending missiles are in the 20-mile thick atmosphere for about 15 seconds. See

China’s hypersonic missiles, aka “carrier killers,” are a “holy s**t moment” for U.S. military

as retired Admiral William McRaven, the former head of U.S. special forces, had observed in calling China’s intensifying military build-up “a holy shit moment for the United States.”

2 Discussion: You should also note Reuter’s

Special Report: New missile gap leaves U.S. scrambling to counter China

which says

“Many of these missiles are specifically designed to attack the aircraft carriers and bases that form the backbone of U.S. military dominance in the region and which for decades have protected allies including Japan, South Korea and Taiwan.

Across almost all categories of these weapons, based on land, loaded on strike aircraft or deployed on warships and submarines, China’s missiles rival or outperform their counterparts in the armories of the United States and its allies, according to current and former U.S. military officers with knowledge of PLA test launches, Taiwanese and Chinese military analysts, and technical specifications published in China’s state-controlled media.“

So the long-assumed US military area dominance [perhaps even reflected in your question] no longer holds.

3 Conclusions

  • It is not in the least likely that either the American or Chinese leadership would be so foolish as to commit nuclear war and national suicide, because of course the ensuing atomic war would destroy the world.
  • The effectiveness of Chinese R&D on my best estimates may be three or four times that of the USA because that function is included in virtually all Chinese investment activity and the Chinese bang for the Yuan greatly exceeds the American bang for the buck and Chinese STEM graduate numbers are now many times US ones. See the Feb 2017 Forbes/Statistica infographic at

The Countries With The Most STEM Graduates [Infographic]

  • The Americans have lost both the R&D funding and STEM graduate numbers availability on which much of future economic and military development depends.
  • THESE LOSSES ARE IRRECOVERABLE

PS I did try to advise US Presidents from Nixon to Obama about how they could accelerate American economic growth. None replied.

Jeff has a say

Here’s the deal. Fauci paid Baric at UNC $68 million to weaponize Covid-19. Then Fauci paid Wuhan $2.7 million as a cover-up to blame China for the pending plandemic. It’s like blaming a louse on an elephant’s rump for stampeding the camp. Wuhan was glad to get the virus from Baric, so in 2016, China could already start developing attenuated vaccines that work. Smart move to save millions of Chinese lives. Huge cost in the Sinophobic, commie-hating BLPM.

Jeff

How to Make Chocolate Hot Fudge Sauce From Scratch

https://youtu.be/Gko6LwxI634

Some people are saying that if Russia is about to lose the war then China will help it. What do you think?

Pipe Dreams!!!

The War is long over

The Realists acknowledge the fact.

  • Russias Economy Stands
  • Russias inflation has receded
  • Russia has annexed a whopping 109000 Square Kilometers of Territory and millions of people
  • Russia has just had 4.113 Billion People refuse to Condemn it the United Nations – thats 59% of the Worlds Population albeit only 40 Countries
  • The Ruble is strong and stable between 55–65 – far stronger than its 85 to 1 USD in February 2022 – despite all capital controls now removed.
  • Russia has killed or wounded 200,000 Ukranians and decimated the entire Ukrainian Army Equipment leaving Ukraine entirely at the Mercy of NATO and other Foreigners
  • Russia has decimated the Ukrainian Economy and caused a 35% contraction in just 8–10 months.
  • Russia still has sold more Oil and Gas than the last 4 years on a YOY Basis
  • Russia sells Gas in Rubles to 26 Countries – all of which had refused to pay Rubles barely a few months ago

This is not Russian News. This is News from the West. All of this.

So the fact that Russia is losing is now nothing more than Pipe Dreams and Wishful Thinking

They have broken the Ukrainian Army to pieces and forced them to resort to Blatant Terrorism to survive and Political Actions

Meanwhile

  • All Europe is struggling with Protests and Strikes and Inflation and Shortages
  • UK has deposed One Leader and the other has a popularity rating of 14%
  • United States has been embarrassed Globally by Saudi Arabia, UAE openly when they defied US “Requests”
  • Putin is not isolated in any way outside the Western Countries. Everywhere else he is greatly welcomed as is Russia
  • Even the Puny Sri Lanka – has backtracked on their Aeroflot impounding and apologized to Russia

Putin has won one of the Greatest Victories against the West – a Defeat the West could have avoided had they simply left Russia alone or not sanctioned Russia so much

Exactly as Donald J Trump had advised


So why would they Need China?

Chinas greatest help was during February to May 2022

Russia was Vulnerable and China helped with Banking, Settlements , More Chips, More Components, More Trade and Purchased More Oil and kept the Yuan flowing – aiding against the Rapid Asset Freeze

Then India came through

They dont need any help in War or Combat


So barring people desperate to Cope at the Wests Massive Humiliation – anyone else would know that Russia has long won the War

It is the West that is refusing to accept defeat and desperately dragging the war with more Ukrainian Deaths and Propaganda.


When a Nation is Furious that their President is not killing too many people and is being too less aggressive – You know the NATION is entirely cohesive and united

Thomas Freidman

I stumbled upon this zoom monologue by Thomas Friedman, the well-known NY Times mouthpiece, whom I had more appreciation 10 years ago for originality, but who in recent years has sold out to opinion polls and the public media market. He has also become lazy with bland comments lacking originality. Of course, the combined backbone of all NY Times columnists does not come close to that of Jeffrey Sachs alone whenever the subject is China.

In this particular monologue, Mr. Friedman is lecturing an adulating and idolizing audience in Taiwan as The Guru from America, doling out crumbs left over from his waking hours. He blabbered some haphazard stream-of-consciousness words from his study in front of his laptop, not exactly in his pajamas, to a hushed, prostrate sea of disciples in Taiwan attending the convention thrown by the Taiwanese financial magazine 遠見雜誌. They all came to suck up the crumbs! Cute but empty, anemic sentences like “Every day the bear doesn’t take a whack at you is a good day” were instantly accorded the status of the Bible and promulgated across all Taiwanese media as the Golden Words of Wisdom. People marveled at the unfathomably exalted, rarefied height it must have taken to produce an enlightened Guru like Friedman!

I don’t really recommend watching this thing, as I don’t even think Friedman took it serious (It is only Taiwan, after all. Come on!). Friedman did however betray some truths, the whole proceeding being stream-of-consciousness, about the root cause of the Sino-US conflict. Very simple, it is because “As long as China is making the shallow stuff, toys, socks, etc., it is no problem; but if China starts to make the deep stuff, like Huawei’s 5G, oh, No Way! That’s a No-No!”.

Friedman attributed this to “Trust”. But he would have a lot of explaining to do regarding how Toshiba, NEC, Hitachi, and Fujitsu were wiped out by the US when their deep stuff started to threaten their US counterparts in the 1980’s. Didn’t the US trust Japan enough? I thought Japan was a vassal state of the US! Come on! I am old enough to remember the 1980s. That was a time when everyone thought Japan would overtake the world with its semiconductors, not unlike Huawei & TSMC today. People were learning Japanese all over the place that one guy even asked me if I could teach it! LOL. Can Friedman come forth and explain it with his model of Trust?

I despise people like Friedman exactly because he does not level with his audience. It would have offended my intelligence much less if he simply said, “Look, if China starts to excel in the deep stuff, that would threaten the economical dominance of the US and the West. We cannot allow our leadership in this area to be challenged”. That would have been so easy, and no hard feelings! I fully sympathize with that! Why is the truth so difficult? Why this pretentious sanctimony that borders on a farce? Why make a fool of yourself?

As Bob Dylan so aptly put it in his “Positively 4th Street”,

You see me on the street, you always act surprised;

You say "how are you?", "good luck", but ya don't mean it;

When you know as well as me, you'd rather see me paralyzed;

Why don't you just come out once and scream it?

Note Added 10/31/2022

Some exchanges with commenters here and elsewhere led me to further thoughts on New York Times. My opinion of the collective NYT columnists is pretty low, as I do not believe anyone of them is speaking out of total autonomy, but all submit to the party line dictated by the top boss, whoever that is. Otherwise, I would be very impressed by the narrowmindedness and ossified minds of this group of people.

As a quote I just received, thanks to Kokwai Thong, goes:

(Full quote of his comment below)

John Swinton, former Chief of Staff of the most powerful and prestigious newspaper on earth, The New York Times, when asked to give a toast to the “free press” at the New York Press Club stated:

“There is no such thing, at this date of the world’s history, in America, as an independent press. You know it and I know it. There is not one of you who dares to write your honest opinions, and if you did, you know beforehand that it would never appear in print. I am paid weekly for keeping my honest opinion out of the paper I am connected with.

Others of you are paid similar salaries for similar things, and any of you who would be so foolish as to write honest opinions would be out on the streets looking for another job. If I allowed my honest opinions to appear in one issue of my paper, before twenty-four hours my occupation would be gone.

The business of the journalists is to destroy the truth; to lie outright; to pervert; to vilify; to fawn at the feet of mammon, and to sell his country and his race for his daily bread. You know it and I know it and what folly is this toasting an independent press? We are the tools and vassals of rich men behind the scenes. We are the jumping jacks, they pull the strings and we dance. Our talents, our possibilities and our lives are all the property of other men.

We are intellectual prostitutes.”

..100% true for any news media around the world

So lesson here: don’t swallow hook, line & sinker what they portray out there. The truth is far deeper than realized.

(End of quote from Kokwai Thong)

There are of course subtle differences between the NYT columnists. In domestic issues I largely respect their positions and opinions, and agree they enjoy considerable autonomy, but when it comes to foreign affairs, especially China, they do not exhibit convincing independence and autonomy, thus honesty and integrity. Friedman has a sharp nose for the poll ratings and the opinion market, and would never venture too far from them. He never says anything in violation of the majority opinion in the US, of whatever the poll numbers are going. This is not what we need an honest journalist for. Two decades ago he had something original to say (although never offending anyone) and got its shock values for a while. He has been resting on his laurels for quite a while now. I read his columns, but there is very little of originality these days. The way he is worshiped in Taiwan, where I am from, shows the intellectual shallowness of that place.

Having said that, I give Friedman credit for occasional hesitation in toeing the party line against his conscience. I see that burst of conscience form time to time.

The worst NYT columnist, in my opinion not fit for an elementary school teacher in the way his mind is totally ossified by his ideology, is the person named Bret Stephens. He is not qualified for a 5th rate town newspaper. I remember in one of his articles he was audacious enough to say (not verbatim, but accurate enough), “Karl Marx was a smart person, but he went astray when he wrote Das Kapital”. It is a shame that NYT hires someone with as closed a mind and as brainwashed as this as a columnist. It is simply disgusting to watch. A great newspaper should not have people as small-minded as this! Not a good sign.

Roger Cohen is another one who is always willing to allow his ideologue mentality trump logic and reason. It is quite clearly either a sign of mendacity or of a closed, brainwashed mind. He does not play fair and appears to have personal agenda when he talks about global politics. It is quite sad to watch.

One columnist at NYT, even though a conservative, I appreciate more is David Brooks. He is maybe the most original and independent of most others. Political position matters less to me than independence, originality, and integrity.

I was given another NYT article by a commenter on the recent event of the acquisition of some shares of the Hamburg Harbor by the Chinese company Cosco. The article said, “Cosco threatened to take its business elsewhere (if they can’t get the Hamburg deal)”, and then spinned this bargaining into a “blackmail” by Cosco. LOL! If that’s true, then we are witnessing millions of blackmails in the world marketplace every day. I thought it is called “Capitalism”, and “The Art of The Deal” by Donald Trump! This is an excellent example of how NY Times sneaks in cheap shots and subliminal smears to demonize China and sway its unsuspecting readers. Pretty despicable in my opinion.

Swinton’s honest confession said it eloquently.

 

How will China adapt to the new US chip sanctions, which now deprive China of all advanced chips Huawei-style? China’s indigenous chipmaking is still far from adequate.

Too late

China makes more than 80% of the worlds Chips of 45 nm and above

Indigenously

Design , Equipment, Packaging -100% Indigenous

Thats Cars, Washing Machines, ACs, Heaters, Projectors, Refrigerators, Medical equipment

China profits at 8.1 cents a stack and still make $ 11.6 Billion (70 Billion Yuan) net profit per Zone. Thats roughly $ 100 Billion profit (700 Billion Yuan) for the Industry. At 8 cents a stack!!!!

Even Mexico would lose 11 cents a stacks and lose a whopping $ 6.8 Billion per Zone. Thats roughly $ 57 Billion loss for the Industry.

India? $86 Billion loss a year until 2030

Vietnam? Less than 11% scale possible

So US needs to shell out $ 800 Billion to $ 3 Trillion to achieve their dream of reducing Chinas Chip control but by this time China would have its domestic market so strong that this wouldnt matter much while US would be 3 Trillion poorer

Next 28 nm

China controls 96% of its domestic market

100% Indigenous

Thats Stealth Fighters, Drones, Radars, Laptops, Space Technology, Quadras, Wind Tunnels, Missiles, Trains, Planes, Industrial Robots

At 7.4 cents profit a stack, China makes roughly $ 32.2 Billion (200 Billion Yuan) for the Industry

Chinas demand is massive , but scaling is equally fast

By 2023 – China can meet its entire demand of 28nm Chips

Meanwhile the World???? They have to struggle to fulfill barely 60% of their demand

Next 14 nm

Thats Smartphones, Self Drive Cars, Complex Algorithms

China has cracked the Indigenous manufacture

Its achieved 92% Yield in 2022 September

Its just a matter of scaling that China is already a master of

Again China is hungry for demand but due to lockdowns , the demand has been muted helping China keep imports down and keep its domestic supply at 35% of the demand.

China has 58% of the World Market for 14nm Chips

So TSMC amd Samsung will sell as many 14nm chips as China wants.

By 2025 – China will hit the scale and make 100% of its Chips indigenously

So whats left 7nm, 5nm, 3nm

That’s the only area that China depends entirely on Imports

That prevented Huawei from overtaking Apple and Samsung and making very high end smartphones at best prices

That’s the highest end algorithms, advanced robots, futuristic technology

The West cannot achieve scaled production of 2nm and 3nm until 2026 at the earliest.

That leaves the West with 5 nm and 7 nm advantage for now.

China has cracked the 7 nm but the 84% Yield is not yet of the Quality demanded

So

China is well capable of Indigenous chipmaking for almost all its applications today

China cannot leapfrog ahead of US due to the restrictions on 5 nm and 7 nm Chips

However Chinas growth has started and cannot be stopped

Every day the Chinese find something and move five steps forward

US is too late to the party. Had they done this by 2012, things would have been much much tougher for China

China will be blessing Obama and Trump for giving them a clean 7 years advantage.

Why are so many countries unfriendly to China?

There are 195 countries in the world. How many of them are unfriendly to China? How many are “so many”?

A half dozen? A dozen? Please tell me.

As far as I can tell, only USA, UK, Canada, Australia, and Japan have a real problem with China.

Most countries in the world are happy to trade with China. Most countries in the world are happy to receive infrastructure assistance from China under the Belt and Road Initiative.

China is forging positive alliances such as BRICS (Brazil, Russia, India, China, South Africa), RCEP (Regional Comprehensive Economic Partnership), and SCO (Shanghai Cooperation Organisation).

China is making many friends in the Middle East.

So I don’t know where you’re getting this “unfriendly” nonsense from.

My advice to you: Stop swallowing the garbage that Western mainstream media feed you.

Why are more and more countries in the world rejecting the United States, or even hating it?

Because America’s selfishness, narrow-mindedness and ambition has made many countries and regions of the world chaotic and bad.

 

First of all, the United States is the “Voldemort” that scourges world peace. For many years, the United States has been holding high the banner of so-called “American-style freedom and democracy” and forcibly exporting its political ideas and values, which has seriously damaged world peace, stability and development. The U.S. has been eager to carry out military operations under the banner of promoting “peace, human rights and democracy”, and has put local people in danger.

One example is the war in Afghanistan, where the U.S. launched military operations after 9/11 on the pretext of “hunting down the relevant targets” and “promoting democratic transformation”. The 20-year war has left Afghanistan devastated, with more than 176,000 people estimated to have lost their lives in the war, including 46,000 Afghan civilians and 2,312 U.S. military personnel. David Beasley, executive director of the U.N. World Food Program, described post-war Afghanistan as suffering from “the most horrific humanitarian disaster on the planet.”

 

In 2019, former President Jimmy Carter noted that the United States has been at peace for only 16 of the 242 years since the country was founded. Statistically, from 1945 to 2001, the United States was responsible for 81 percent of the 248 armed conflicts in 153 countries and territories around the world. And this year’s Russia-Ukraine conflict is the largest geopolitical event of the 21st century. From the start of the war to the deteriorating situation, the United States is deservedly the biggest “credit”. The United States used NATO as Russia’s security “pain point”, urged Ukraine to join NATO, challenging Russia’s security bottom line, in an attempt to use low-cost proxy wars to kill Russia and achieve its ambition to secure global hegemony.

Second, the United States will do anything to achieve its hegemonic goals. Military action, diplomatic pressure, technological repression and economic sanctions are all tools of U.S. policy to maintain world hegemony. In addition to this, the U.S. has adopted a technology embargo against many countries in an attempt to contain the development of other countries. China is one of the biggest victims. Chinese high-tech companies such as ZTE, Huawei and SMIC have all suffered from U.S. sanctions. French power company Alstom and Japanese chipmaker Toshiba have fallen into the U.S. “trap”.

 

In addition, the U.S. is the country that has traditionally taken the most economic sanctions, and even during the epidemic, the U.S. did not stop, but kept escalating sanctions against Venezuela, Iran, Syria and other countries, causing shortages of anti-epidemic supplies and living materials in these countries and aggravating the humanitarian disaster.

Finally, the United States is a “clown” who cannot see the peaceful development of the world. Globalization is an irreversible trend, but the U.S. is still immersed in the dream of “only me”. The United States’ hostility to China in particular reflects its ambition. The United States and China, as the world’s first and second largest economies, would benefit greatly from cooperation and peaceful coexistence. Unfortunately, the U.S. is bent on treating China as its “imaginary enemy,” constantly besieging and suppressing it, and wantonly exaggerating the “China threat theory” to incite confrontation.

Today, the new epidemic is still spreading, the global economy is struggling to recover, and the problem of inadequate and unbalanced development around the world remains prominent. For the world, shouldn’t the right choice be solidarity and cooperation, mutual benefit and win-win? But the U.S. government refuses to do so, and has to stir up the world economy into a mess. Take the recently enacted U.S. chip bill, the U.S. is committed to completely removing China from many global supply chains, ignoring the problem that the stable operation of the global industrial chain supply chain will be disturbed. The U.S., once one of the promoters of globalization, is gradually becoming an obstructionist of globalization; it wants a de-globalized world, but it will be a darker and relatively poorer world.

Don’t you hate America like this? I hate it anyway.

The King of cats

x
x

 

A few years after we were married, my wife gave me a lynx-point Siamese cat, much like the one above. I named him Hong Xiguan after a famous Chinese boxer and folk hero. That was probably a mistake because the cat seemed to take the name to heart and spent his 10 years hunting, fighting and killing anything that got into range of his claws. My wife simply called him “Cat.” One of our neighbors called him the “devil-beast from hell” because he terrorized her dogs.

Cat would only tolerate being handled in very small doses. If you tried to keep petting him after he lost interest, it was at your own peril. He bit. He clawed. You might as well stick your hand into the whirling blades of a Cuisinart. There were only two people he was deferential to: me, and my elderly father-in-law, who simply adored animals. Pap could pick Cat up, turn him upside down and let him dangle, and Cat never even seemed to be irritated.

My wife once chided me: “Of course you love that cat — he’s just like you. He’s all cuddles and charm when he’s in the mood, but there’s times he’ll take your head off if you look at him the wrong way.” I think Cat was offended by the comparison.

Cat’s reputation grew quickly in the neighborhood. His depredations were the stuff of legend. He could kill almost anything that was even remotely his own size — mice, rabbits, even a hawk that was foolish enough to perch on the boat at the side of our house. Dogs steered a wide course around him. He once ate a guest at a dinner party.

He never figured out how to kill snakes, and he would sometimes drag them writhing into the house, play with them, and then let them go when he grew bored. My wife was never amused when she discovered a live, pissed-off snake in the closet — or the living room, or the bathroom…

He once disappeared for several days, and came home with an ear falling off, dragging a front leg. The vet patched him up for the umpteenth time. I thought he had finally met his match.

If it’s any consolation,” she said, “he was the winner.”

“How can you possibly tell?” I asked.

She smiled as she handed him over. “All of his wounds were on the front of him. When male cats fight, the loser is the one that turns and runs. The other cat will mark his back as he retreats. Your cat didn’t have any wounds on his back.”

I was incredulous. “So if I see a blind, three-legged cat in a wheelchair being pushed around the neighborhood…”

Yup, he was the loser.”

One morning we noticed that there appeared to be a piece of hard white chewing gum stuck on top of his head. My wife, being wise in the ways of demon-incarnate creatures, managed to get a towel wrapped around him before examining the spot. She wiggled it and it popped loose. It was a .75-inch fang from another creature, that had snapped down on him so hard that the tooth broke off and lodged in the top of his head.

OK, so that’s the build-up. Here’s the creepy part. Well, two creepy parts. Take your pick…

My briefcase sat outside my bedroom, and I kept my bedroom door shut at night. Periodically, Cat would leave me a dead mouse next to my briefcase overnight so that I would see it when I got up in the morning. He would sit on a chair watching and waiting for me to get up, see the mouse, and acknowledge the gift.

I’ve gotten several explanations for this behavior. One behaviorist said it was a sign of deference; another insisted it was a female cat’s way of trying to take care of someone they didn’t think could fend for themselves. “But it’s a male cat,” I pointed out. She frowned. “Cats don’t do that,” she said, puzzling.

Personally, I think he just realized that a nice snack made it easier to get through the morning news meeting.

So here’s the weird thing: He always posed the mice, kind of the way a serial killer poses his victims to elicit a response from whoever finds them. He always set the dead rodents on their backs, with their little legs curled up and pointing into the air. Their eyes were always closed (he couldn’t possibly close their eyes, could he?), and, strangest of all, their tails were always missing. Why the Hell would he chop off their tails? Was it the best part and he was keeping it for himself? Was he afraid the tail would get stuck between my teeth in the middle of a meeting? It’s the kind of question that could keep you awake at night.

So one morning, I stumbled out of the bedroom, walked past my briefcase, and noticed Cat sitting on a chair. I made coffee, then walked back toward the chair, thinking that I needed to dispose of a mouse. What I saw, left me speechless: There was a whole family of dead mice by my briefcase. They were all posed on their backs. They were all missing their tails. And they were arranged in order, side-by-side, from longest to shortest: Daddy mouse, mama mouse, and then the little nippers.

Cat just sat there staring, as if to say, “Do you see what I do for you?” I got him a saucer of cream and went off in search of a shovel.

Cats don’t do that,” the vet said.

And then there was this….

I had gotten home real late one night. The wife was in bed, along with our youngest, who was in grade school. We had a screaming fast Dell 425 computer in the living room and I sat down at it to log into the big UNIX box at the office and finish something up. As I sat there typing, I suddenly became aware that Cat was sitting on the table, staring at me. His behavior indicated that he wanted something, but I was tired, looking forward to a few hours sleep, and was trying to finish up the project.

“Not now,” I grumbled. He continued to stare for a few minutes, then stretched, and leaned out and softly placed his paw on my arm as I typed — and left it there. What the devil? Perhaps my biggest failing as a human being is that I sometimes become so wrapped up in what I’m doing that I don’t realize — or simply ignore — the needs of those I love. I kind of scolded him and went on typing. He pulled his paw back, got up, and took two steps closer to me, so that he was right up against the keyboard. And as I continued to type, he leaned over and struck one of the keys with one of his paws. Huh? “That’s not funny. Go away. I’m busy.”

I kept typing. He did it again. Now I was getting pissed. “It’s 1 a.m. in the blankety-blank morning and I need to finish this up and go to bed. Cut the shit.” And as I started to type again, he leaned over and struck several keys very rapidly, creating a whole string of typos. I growled, shoved my chair back, and he withdrew. But he gave me a look that positively dripped with disappointment.

He wasn’t around the next day, or the day after that. Three days later I found him in my closet as I was getting dressed. “How the hell did he get in there?” I mused. He was sleeping and I leaned down to scratch him behind his ears. No response. I shook him slightly, and he opened his eyes, but it was obvious that it took huge effort. I called in sick and took him to the vet.

The verdict: Kidney failure. “It’s been coming on a while,” the vet said. She drained the fluids, gave him some meds via IV. “This will get him back on his feet,” she said. “And things might start working normally, at least for a bit.” He did perk up. We spent that day together, and the next, but by the end of the following day he could barely stand.

The vet said we were out of options. “You know what he was like,” she said. “He’d probably prefer to go quick.” She gave me the shot, and I administered it at home, with him laying on a pillow, in his favorite sunbeam. I waited with him, reassuring him that there was nothing to be afraid of, and that we would all eventually make the same journey. As he was fading, he reached out and laid his paw on my hand, and stared at me for a moment before closing his eyes for the last time.

A 40-pound rock marks his final resting place out in the front yard, in one of the places he loved to nap. There lies the king of cats.

 

Unreported events in Ukraine, master craziness in the West, us locals trying to deal, and some great food

Ugh. The Biden administration, totally peopled with totalitarian dictators wanna-be neocons is on a Hell-bent mission to destroy the world. And fuck, man, we are all trapped on this fucking crazed roller-coaster from Hell.

The good news is that the Domain Commander confirms that it’s still small – to – medium “bads”. And that things are under control. Personally, I just cannot fathom how it is so optimistic. Sheech!

I WILL query the Domain Commander for some details. And I WILL post the results on my Patreon website. Please be patient. OK?

“L ≠k”

When my younger daughter was born, I missed a week of school. I gave my AP Chemistry students a PowerPoint every day with a practice problem. Students had to turn in their work to the sub.

One of the questions had to do with rate equations, and rate equations have a constant that is expressed using a lower case italicized ‘k’. When I returned to school, I noticed that every single paper had this equation solved for “L”.

At first I thought I must have accidentally typed “L” instead of “k”. They are right next to each other on the keyboard after all. But I looked, and the PowerPoint said “k”.

I was puzzled.

So I looked at the work of each student. They were all precisely the same, including this phantom “L”.

All except for one student – the best student in the class. She had written “k” … in cursive:

x
x

but her pen had died in the smaller loop, making something that looked a fair bit like an L.

x
x

All of the cheaters had followed suit.

The next day in class, I asked them how they had solved for “L”. Students gave me glowing answers of how they had worked together so hard and found “L” through considerable effort.

I played along.

I praised their dedication. I extolled the virtues of their morality in making sure they each did their own work. They were practically patting themselves on the back.

Then I put the PowerPoint back up.

I said “Hmmm, that’s odd…I wonder how you all got L instead of k.” Then I showed them the “bad pen” answer (I had taken a picture and added it to the PowerPoint).

The room got very quiet. I tried to talk to them. I tried to extol the virtues of honesty and integrity. I tried to tell them they were only cheating themselves.

But I just couldn’t say anything.

That class was never the same again. I was never the same again.

After that I stopped assigning homework altogether. I tried various other strategies – oral defense, all classwork, participation stamping. You name it. But kids always seemed to find ways to cheat, and always seemed to do so with impunity. When I finally found a way to essentially prevent cheating (a combination of oral defense and random call-back / question selection), students just stopped doing homework altogether. Their grades plummeted, and I got in trouble for failing too many students.

Sigh.

So now I occasionally assign homework. I collect it and grade it if it’s done or not. But it isn’t worth much, and it rarely determines a student’s grade. I write Python programs that create unique versions of tests for each student so that they cannot cheat. I’m working on that for homework, too, but it’s a lot of work.

I’m sad just thinking back on this incident – it was the day my innocence as a teacher died. I knew kids cheated before this, but until this day I had never watched a whole classroom lie right to my face like that. Losing homework as a tool weakened me as a teacher, and weakened our school as a place of learning. Most other teachers in my building have since come to the same conclusion, or else they just don’t care to look that closely.

Today, 10 years after this event, my students are chronically tardy and absent, often refuse to do even the most trivial work, and experience a diluted and simplified version of what I once taught. If I write something on the board, when I turn around a dozen phones have materialized and are actively being typed on. When I try to do fun things like labs and projects, the students complain and mope as if I was walking them to prison. They lament (out loud) how awful it is that they just can’t look up the answers like all the other classes. Mr. C. actually makes us do things, they say.

It makes me wonder how many diamonds in the rough I’ve missed. It makes me wonder how many students I’ve cheated by not having the heart (or the ability) to continue on as I did, pushing them all hard to find the few who are truly masterful. It makes me wonder what it’s like teaching in other schools where maybe things aren’t so bad.

It makes me wonder why I still teach.

The greatest threats to democracy are:

  1. Capitalism. The corruption and usurpation of the political process by the wealthy elite.
  2. Failure to deliver the goods to the people. Things like prosperity, equality, safety, and stability.
  3. The discovery of a better system that serves the people. In other words, a better alternative.

USA is the poster child for capitalist corruption.

USA is the prime example of failure to serve the people.

China’s system clearly demonstrates that there is a better way.

Those are two questions, and they are not even about the same thing.

An example for highest quality Chinese products

It is not true that Chinese products are always low quality. I am a knives collector. And especially when it comes to knives, Chinese ones have a bad reputation, to say the least.

I thought the same. I was literally of the opinion that Chinese knives not only by and large, but as a whole sucked. Boy, was I wrong. I was totally and absolutely wrong. Which I noticed when I had the pleasure of handling a WeKnife 905a “Scamp”:

x
x

This knife is undoubtedly one of the best knives I ever had the opportunity and pleasure to put my hands on. The fit and finish is flawless, the design of the blade is brilliant, the steel is a “super”-steel (S35VN) and all other parts are made of titanium (except for the backspacer made of stainless steel, which makes absolutely sense in a slip joint) and personally I love the style.

You do not like it?

Well, they have several dozens of different models.

So, what do we have?

A knife made of the finest materials used in the industry, perfect execution in both art and craft, that must be exceedingly expensive, right? You will not be surprised to hear it is not. Do not get me wrong: it is not a cheap knife, by any means, coming in at around $180. For comparison: this is about the same as one of the better Thiers made Laguiole knives. But quality wise, we are talking almost of orders of magnitude in difference.

A more fair comparison would be with a Chris Reeve Knives “Impinda”: Same steel, same materials, both flawlessly executed. Seriously, if you would offer to gift me one of those two, I would have a hard time to decide which one to take. If I had to pay for them myself, the decision would be much easier: The Impinda has a street price more than double than that of the “Scamp”: around $450.

So is it just propaganda from the West that Chinese products have low quality?

No. Because a lot of Chinese products are built with a throw-away mentality in mind.

And now we ask ourselves who is to blame for that? As per the principles of capitalism, the market will just satisfy the demand of the customers. So imho, it is the demand for cheap products that causes low quality. If nobody would buy low quality products, they would not be produced.

Citizens of all walks of life are eligible to join the Party, regardless of their socio-economic status, ethnic background, or gender.

x
x

Liu Xiuxiang with his mother (photo on the left) ; Liu Xiuxiang speaks during an interview at the Great Hall of the People in Beijing as a delegate to the 20th National Congress of the Communist Party of China (photo on the right)

Liu Xiuxiang made headlines in 2008 after he took his mother, who has a mental illness, from Guizhou to his college in Shandong province to look after her.

x
x

Liu’s father died young, and his mother suffered mentally from the immense sorrow of losing her husband. After his sister and brother left home to work, Liu had to shoulder all the burdens of the family on his own.

x
x

However, despite all the difficulties, he never gave up studying. While taking care of his mother, he completed his studies from primary school all the way to university.

x
x

After graduating from college in 2012, Liu declined job offers from several companies and became a history teacher in his hometown Wangmo.

x
x

However, he found that many students did not know why they needed to study and what education brought them. Many began working or got married after graduating middle school, he said.

Then Liu started a campaign to motivate and help rural students. He has spent lot of his spare time traveling to the homes of dropouts, persuading them to return to school.

x
x

In the past 10 years, his campaign has helped more than 1,800 dropouts to return to school and got financial aid to more than 4,200 students.

x
x

In 2012, only 70 students in the county were enrolled in college, but this year, that number soared to 1,300, with each village having at least one college student.

x
x

Now he is a delegate to the 20th National Congress of the Communist Party of China (CPC) and vice-principal of Wangmo Experimental High School.

x
x

Speaks during an interview as a delegate to the 20th CPC National Congress, he said: “education has completely cut off the generational inheritance of poverty and enabled students in mountainous regions to enjoy more opportunities and better choices.”

x
x

Silicon Valley and Chinese companies have fundamentally different approaches to solving problems.

The traditional Silicon Valley and American approach is that people are unpredictable, and are your highest costs, so in designing systems, they try to automate everything as much as possible, especially in replacing some of the boring and redundant jobs. This is why companies like YouTube, Facebook and Quora use algorithms to perform work which is usually done by human editors in publishing and in journalism.

In Facebook’s case, they are learning that editors and journalists cannot be entirely replaced, and in many instances, you must have them.

All of the leading Chinese media companies (Sina, Sohu, Netease, Tencent, Sina Weibo) rely very heavily on human editors and journalists to maintain high-quality content and prevent the spread of false rumors which can cause social unrest. In fact, these companies are now the largest employers of editors and journalists, surpassing the traditional media in China.

In China, labor is always very cheap, not in terms of monetary costs, but because it is always easy to find a smart person/s who can solve a problem, and then finding thousands, even millions, of laborers to build it. When Chinese have a challenge whether it is large or small, their first instinct is to throw a lot of people at the problem until they have a solution. People are used not only to solve low-cost, low-skill challenges, but high-cost, high-challenge issues.

I learned this lesson when I was involved in setting up an Internet company in China, and the local official asked me following my presentation: “How many thousand people do you need?”

No one in Silicon Valley has ever asked me a question like that, ever.

What does this mean? That means when you are in China, you are dealing with a whole different approach to scale which is completely different from the American approach. In simple terms, China is much BIGGER than anything the US has to offer.

It also means that when talking about “automation”, the Chinese and the Americans are talking about two completely different things: the Americans are talking about cutting out labor costs and employing fewer people, and the Chinese are talking about making the velocity of business transactions faster and more efficient. Automation in the US is about cutting supply-side costs, and automation in China is about stimulating demand-side purchases.

This partially explains why China has been so successful at transitioning to a cashless society ahead of the United States, using Alipay and WeChat Pay, and largely replacing debit and credit cards in the process.

My problem with the US Silicon Valley approach is that eventually the US will only have a very small and shrinking pool of consumers, which is exactly what is happening now. The rich get richer, and everyone else is poor and votes for extremist political candidates.

I taught for a while in one of Britain’s top private schools, so I feel I’m qualified to offer a definitive answer:

Tweed.

Seriously, ten years as a teacher and I never saw so much as a tweed dishcloth, but when I started working in private education suddenly the bloody stuff was everywhere. The teachers wore tweed (and the teachers were near-universally ‘old boys’ or ‘old girls’ whose parents could comfortably afford twice what most people earn in a year to get shut of their kids for most of it), the staff wore tweed, and the parents were dressed in tweed on a semi-permanent basis. I swear some of them slept in tweed pyjamas.

When they weren’t wearing tweed, they were cosplaying farmers, for some reason. This was irrespective of whether they lived somewhere in the cotswolds or the middle of London. Their farmer outfits were sometimes a bit worn, but always unnaturally clean.

So if I’m in the middle of the city and see someone wearing tweed or farming gear that’s never been within half a mile of a cow, that’s when know I’m dealing with the fourteenth Lord Bonkingly-Spinkle or some such.

x
x

China is already the world’s largest market for Photonic Integrated Circuits, PICs, or photonic chips. Sales have grown from $800 million in 2017 to $2 billion today. Next year, they will explode.

As electricity displaces internal combustion in cars, photonic chips are displacing electronic chips in circuits. The switchover will accelerate when Sintone, a Beijing startup, opens. the world’s first photonic chip foundry next year, filling the high end gap created by US embargoes.

x
x

Technological implications

In an electronic integrated circuit, electron flux passes through electrical components like resistors, inductors, transistors, and capacitors. In a photonic integrated circuit, PIC, photons of light pass through optical components like waveguides, lasers, polarizers, and phase shifters.

PICs are far superior to electronic chips, EICs, in speed, integration, heat generation, miniaturization, compatibility with existing mass manufacturing processes and cost, being produced entirely with Chinese equipment and IP. PICs increase bandwidth and data transfer speeds and reduce energy consumption in data centers, cutting cooling costs.

PICs make lab-on-a-chip (LOC) practicable, putting laboratories into doctors’ hands. Amazec Photonics  markets a sensor with photonic chips which enables high-resolution temperature sensing, so doctors can measure cardiac output and circulating blood volume from outside the body. EFI’s ‘OptiGrip’

offers greater control over tissue feeling for minimal invasive surgery.

PICs facilitate communication between vehicles and urban infrastructure to improve driver safety, and can detect quantities of pressure, temperature, vibration, acceleration, and mechanical strain. PhotonFirst uses PICs to measure shape changes in airplanes, EV battery temperature, and infrastructure strain.

PICs can measure variables beyond the range of our senses, detecting disease, ripeness and nutrients in fruit and helping farmers determine soil quality, plant growth, and CO2. MantiSpectra ’s infrared sensor fits into a smartphone and analyzes chemical compounds in plastics.

Financial implications

While US hawks insist the collateral damage is worth bearing, the sweeping expansion of US export restrictions, intended to isolate China, risks decimating US firms.

Nvidia, unable to sell its advanced AI chips to China, saw its market capitalization fall by $38 billion. AMD’s fell $16.5 billion. The combined market value of Applied Materials, Lam Research and KLA Corp, US makers of electronic semiconductor equipment – has fallen $25 billion since the bans were announced. Synopsys and Cadence, US designers of electronic design automation software, are now worth $9 billion less.

Geopolitical implications

After President Xi’s 2015 warning about dependence on foreign technology, Beijing invested billions to leapfrog existing IP, including overcoming PIC manufacturing challenges. If the new fab opens on time and the chips perform as expected, it will further reduce the value of American IP (and the geopolitical value of TSMC) and turn the embargoes into a trillion-dollar catastrophe, like Ukraine.

The specific condition for US success is that the target of export controls must have a sufficiently weak political will to abandon its intention to develop the industry in question immediately … However, China has both the will and an unmatched capacity for industrial policymaking to drive and guide the development of its own alternative technologies. Chinese companies and industries have long since begun the production of related products but are currently in the uncomfortable position of being constrained by the superior and more mature products of US companies. The subtlety of US bans is that it is the US government, rather than the Chinese government, that has helped these companies to achieve the effective exclusion of their competitors from the Chinese market which will, allow Chinese companies, in the medium-to-long term, to grow even faster and invest even more in R&D. Shen Yi (沈逸) and Mo Fei

(莫非), Fudan University.

Future Implications

With PIC manufacturing commercialized, China has put up $10 billion to commercialize quantum computing – the last mile in a 100-year race. There are Nobel Prizes to be won, and post-docs from around the world are flocking to Chinese labs to join the fun.

Shenzhen International Quantum Academy has set up an Integrated Circuits and Electronics Centre, with advances in key components a top priority. Its team of cross-disciplinary researchers are focusing on IC design, radio frequency and microwave device development and issues crucial to the commercialization of quantum computing technologies, and planning to move into their new lab dedicated to quantum IC design and quantum cryoelectronics. SCMP

x
x

Peperonata

“Peperonata is mostly viewed as a simple but flavorful accent dish frequently served alongside hardy meats and poultry. It is far more versatile, used as a topping for bruschetta, flatbread, crostini, as a pasta sauce, added to an antipasto platter, as a condiment, even a topping for eggs. My favorite is grilling it with fontina or asiago cheeses between slices of artisan bread with melted cheese oozing out. As the name implies, its dominant ingredient is sweet and colorful bell peppers. Flavors become wonderfully complex after simmering with onions, tomatoes, garlic, olive oil, herbs, seasoning, and a hint of heat from a pinch of red pepper flakes. It’s finished either with red wine, northern Italy, or wine vinegar in the south. In addition to peppers, I also grill onions, use “fire roasted” tomatoes and “Pete’s Garlic Oil” (pan roasted garlic and flavor infused olive oil). Can be made well ahead and refrigerated and reheated to desired temperature. ”

x
x

Ingredients

Directions

  • Peter’s Garlic Oil, add olive oil to a butter melting pot. Remove rough end of garlic cloves and slice each in half lengthwise.  Do not remove skin.  Place them in the pot with oil. Add Tuscany seasoning and red pepper flakes.
  • Place on medium heat until the olive oil begins to lightly sizzle.  Reduce heat, maintaining a low simmer.  It will take several hours before garlic richly tans and becomes soft and sweet.  You can hasten the process with slightly higher heat but be careful not to burn the garlic. Remove garlic skins when released.
  • Fully char peppers on all sides.  Start by placing peppers on grill grate top side down followed by bottoms then grill sides.  Be careful not to pierce peppers so all of their wonderful juices are retained.  Place in a tray with sides for additional protection while cooling.
  • Peel and cut onion in ¼ inch slices. Brush with garlic oil and char both sides on the grill.
  • When peppers have cooled, remove charred skin over a large bowl to capture juices released. Break peppers in half by hand.  Remove core and seeds.  Don’t be concerned when some fall into the liquid.  Cut peppers in ½ inch strips lengthwise.
  • Over medium heat with the oil from Pete’s garlic oil, finishing cooking onions by sauteing them in a large pot until translucent.  Add tomatoes, a few grinds of black pepper, the remaining pinch of red pepper flakes, vinegar, basil and juices from the pepper.  When mixture begins to boil, lower heat and cover, maintaining a simmer.  In about 15 minutes remove cover and stir in peppers and the pot roasted garlic cloves.  Stir occasionally until much of the liquid is condensed.  Salt to taste.  Cool to room temperature.  You can refrigerate, even overnight until ready to eat.  Serve at room temperature, warm or hot.

USA has a very, very serious problem here…

China’s hypersonic missiles as a strategic nuclear weapon are NOT the main problem for USA because nobody wants to fight a nuclear war.

China’s hypersonic missiles as an anti-ship weapon are the REAL problem for USA because it means that USA’s supercarrier strike groups dare not venture too closely to China in time of (conventional) war, say, over the Taiwan issue. USA will not risk losing several multi-billion dollar supercarriers to China’s hypersonic missiles.

Whatever missile defence system USA has (or will have) against hypersonic missiles cannot be 100% effective. In fact, nowhere close to 100%. And that’s a really, really BIG PROBLEM.

China can lob half a dozen DF-17s at the USS Gerald R. Ford and one of them will almost certainly hit its mark. You have my permission to crap in your pants.

Someone who can commit to a cat for 20 years. Regardless to whether the cat is easy to hold on to. You need to understand that if you need to move, the cat needs to move too. If you have less money to spend, the money that’s left needs to be split with the cat to get food, cat litter and go to the vet. When you are very busy you still need to play with your cat. When your cat starts to pee or scratches your furniture, you can’t just get rid of them.

Cats are living creatures, you can’t just throw them if there’s something you don’t like.

And next to all of this, make sure you know that you are not allergic before you get it. Cats get very hurt by replacing them (multiple times).

So most importantly, think twice!

x
x

.

.

Chicken Agrodolce (an Italian Sweet and Sour Chicken)

“This is such a unique Italian chicken dish. Chicken breasts are briefly sauteed, then simmered in a sauce of honey, balsamic vinegar, wine, orange and lemon juice. Toss in a few toasted pignoli, and you’ve got a quick and delicious dinner with risotto or cappellini.”

x
x

Ingredients

Directions

  • In a large plastic bag, combine flour and chicken breasts, toss to coat.
  • In a large skillet, saute floured chicken breasts in olive oil with the whole garlic, bay leaves and allspice berries until chicken is browned.
  • Remove chicken to a plate.
  • To the skillet, add honey, vinegar and wine; cook to reduce slightly, about 10 minutes on medium-high heat.
  • Return chicken to skillet, add orange and lemon juices, salt and pepper, and cook about another 20 minutes or until chicken is done and sauce is reduced slightly.
  • Remove garlic, bay leaves and allspice berries from sauce.
  • Add pignoli and heat through, another 5 minutes or so.
  • Delicious with angel hair pasta or a nice risotto.

Thanks for A2A

Living in North America people are bombarded daily by messages and images of the rest of the world. Accuracy of those images is slanted in large part because they originate in the news media.

We know news media focuses on bad news because it feeds the North American bias for negativity and generates more audience attention. Therefore negative type stories take priority in reporting or neutral stories can be spun to feed the bias.

In my many travels to other countries, I found the image North Americans are presented about many places to be exaggerations of negative social elements or outdated events.

Therefore before I arrived in China for the first time I had largely discounted parts of the western media’s image of China as typical bullshit.

What I was not prepared for:

  • Huge modern cities on par with North America,
  • The level of openness of the Chinese to accept foreigners on a one-on-one basis ……. provided you make an effort to engage with locals.
  • The spontaneity of the people.
  • The general lack of restraints placed on the people.
  • The lack of violence and anger in Chinese society.

After living in China an adjustment in perceptions will happen.

  • Culturally China is a Shame Society like Japan, Korea etc. It functions well as a way to control society without the need for state sanctioned violence.
    • There is a very low crime rate in China, and what there is either commercial crime or dumb crime like petty theft, pick-pockets and break-ins.
    • The regular police do not carry guns or high sticks. Maybe ⅓rd are women. Police are generally respected.
    • This a huge contrast to North America, where the judicial system tries to control society through punishment. Leading to crazy incarceration rates with no societal benefits in the end.
      • “Beatings will continue until attitude improves” is rarely a formula for success.
  • Individualism is contrary to social unity and stability
    • Chinese society is family centric and serves as the road map for how society functions from a governmental aspect (benevolent father) to the daily interactions between friends, family and co-workers.
      • You refer to good friends and co-workers as one’s brothers and sisters.
    • Social networks are very important in China for many reasons and it creates solid social foundation which counter-balances life’s challenges.
    • Guangxi is largely misunderstood by the West but is part of the social structure of building wide ranging relationships.
  • China provides a greater opportunity for upward mobility them some democracies.
    • China as no class structure ……… Unlike India with a regimented caste system or the US which has a permanent underclass and an elite class.
      • 99% of Chinese regardless of their current position are within 2 generation removed from being farmers.
      • There is no old money and old family dynasties in China.
  • Small C capitalism is alive and thriving in China
    • China has a business culture and people are opening up businesses every day, including many as a 2nd job. Anyone can open a business, with very exceptions.
    • Business operates 7 days a week from morning to night.
    • e-Commerce is miles ahead of the West.
  • Not everything is perfect in China. There are issues but it is a mixed bag.
    • There is still some poverty in the country, but it is no worse from that which I have seen in North America
    • There is voting in China but the system is very different, It is not direct representative like North America, but an indirect representative system
    • The people are happy, have a positive outlook and support the central government.
    • Chinese migrating to the West are doing so for economical reasons not political ones.
    • The educational system is tough and largely looked upon as inferior by the West, yet those students are the ones filling places in top western universities in STEM fields, if they cannot make the grade for acceptance in top Chinese universities.

There are many things have surprised me in the time I have been here, but only a few I would consider negative about China.

On the other hand ……. there is an old quote that goes like this …….” You can see your country a lot clearer from afar”.

In this context I have also altered some thinking about North America as well.

Since the surrender of Japan,after the end of World War ll, a large number of foreign troops have occupied Japan, giving many Japanese women a lifelong nightmare. A large number of rape cases suddenly broke out all over Japan. Many Japanese women were raped by Allied officers and soldiers. The number of rape cases once reached an average of more than 3,000 cases per day. Especially in Okinawa, more than 10,000 local women were rapes by the US military.

In order to cope with this situation, the Japanese authorities once set up a number of goverment run-brothels in various places to provide sexual services for Allied officers and soldiers. However in Okinawa,Misawa,Yokota and other bases of U.S. troops stationed in Japan, cases of rape of local women by US troops still occur frequently, especially in Okinawa which has the most stationed troops.

x
x

Of course, wherever there is oppression, there is resistance. The Japanese are not so honest. In 1945, World War ll had come to an end, and Japan’s surrender had entered the countdown. Okinawians were afraid to death into thinking of what will happen to them when the Americans come.

In June 1945, the US military occupied Okinawa. When they entered the local village to conduct a search, they found out that almost all the young and middle-aged men in Okinawa had been mobilized into the army. So the US military began to rape Okinawan women recklessly.

Many US soldiers treated Japanese women with utter cruelty as tools of lust. A member of the US Marine Corps once recorded a scene they encountered on the road in Okinawa: they passed a village, and found a dozen American soldiers in a circle on the roadside. They went to check up and found out that these dozen American soldiers were gang-raping the local area.

The US military demanded anything from the locals, and the Okinawians have been silently enduring it.

The three African American soldiers story

There is a village of Katsuyama in Okinawa. Like other places they also ravaged this small village. The difference is that there are three black soldiers belonging to the US Marince Corps. They seem to have tagged the sweetness after messing around in this village, so every weekend, three black soldiers come to this village. Since they came to the village with weapons every time, the villagers didn’t dare to stop them and allowed them to wander around the village. After arbitrarily arresting a few local women, they took them to the mountains and ravaged them. Although the locals had no choice, they naturally hated these Black American soldiers in their hearts.

x
x

After the battle of Okinawa, although the Japanese army was defeated, it did not die. Some scattered Japanese soldiers hid in the mountains, and from time to time they went to nearby villages to find food and other supplies. There were also two Japanese soldiers on the hills of Katsuyama, who were caught by the locals when they were looking for food in the village. Of course, the locals didn’t want to report the two deserters, they hope they would help get the three American soldiers who plagued the village every week.

The two Japanese soldiers also carried weapons. They hated the US military to the core, so they agreed to the request of villagers.

And so, another weekend came, and the three black men rushed to the village of Katsuyama, ready to enjoy their weekly joyous moment. At this time, the ambush locals and the two Japanese soldiers appeared in front of them. After a few shots, three Americans were killed.

The villagers hid their bodies in caves and called the caves “kuronbogama” which means “the caves of the black men”, after the local US military lost three soldiers, they included them to list of deserters since they couldn’t find them.

End result

The villagers kept this a secret for years until one guilty local reported the situation but nevertheless the US military and the Japanese Goverment didn’t charge the villagers for the crime since it happened long time ago.

Today as we know that the Okinawans are still suffering from these crimes every year, new reports of rape cases keep rising up and the military has always been a trouble to the Okinawans.

British Naval Intelligence HQ Destroyed by Russian Missile, Many Deaths (Deeply Censored)

From HERE

Illegally located in Ochakiv, Ukraine, British war planners and ‘operators’ die horrifically for their role in a censored false flag nuclear attack on Russia

Britain detonated a .5 kiloton nuclear weapon on a modified torpedo converted to ride in a Ukrainian naval drone.

The British naval intelligence center set up to manage operations against Crimea was destroyed in an attack by Russian drones. While planning of the operation was organized on Ukrainian soil by NATO operatives, another “redline” for Russia, the drones themselves were piloted by Americans operators at Ramstein Air Base in Germany.

Russia responded to Ukraine’s attack on Saturday by ships of the Black Sea Fleet by hitting a training center for special operations forces of the Ukrainian Navy in Ochakiv with precision-guided weapons . According to the Ministry of Defense, the center has been destroyed.

and the capture of Makeevka. Summary 10/30/2022

The Ministry of Defense of the Russian Federation reported that strikes were carried out on the base of the Ukrainian Navy in Ochakovo. Earlier it was reported about the arrival of "Geranei" there. pic.twitter.com/VdoFgHwP3M

— Милош Павловић (@JSO_Kula) October 30, 2022

According to available information, the Russian Armed Forces carried out an attack on the Naval Forces of Ukraine base in Ochakovo, where the Training Center for the MTR of the Armed Forces of Ukraine is located, it is reported that the communications center and other facilities on the base were destroyed. Previously, Geran-2 kamikaze drones worked out at the Center. Earlier it was reported that Russian “Geran” went in the direction of Ochakov and Odessa, the General Staff of the Armed Forces of Ukraine traditionally reported on their destruction, but apparently not all of them were destroyed.

According to the military department, it was at the MTR Training Center of the Armed Forces of Ukraine that British instructors trained Ukrainian servicemen to control naval drones, which were used to attack Sevastopol. By the way, the drones were also delivered by Britain. In general, the center in Ochakovo was built by the Americans and the British precisely for conducting special operations against the Black Sea Fleet, the presence of Ukrainian Navy units there was only a cover.

Meanwhile, the Ministry of Defense reported that they had lifted from the bottom of the wreckage of naval drones used to attack ships on Saturday morning. According to the study of the remnants of drones, it was possible to establish that they were taken out along the “green corridor” for a grain deal, after which they changed the route towards Crimea. It is assumed that they were taken out on one of the civilian ships “chartered by Kyiv or its Western patrons for the export of agricultural products.”

From HERE

China is fully capable of encircling Taiwan and then banning all resources from entering Taiwan. Taiwan’s electricity resources, water resources and food are not self-sufficient, and it needs to rely on the input from the sea to maintain the basic needs of survival. But,China won’t do that! Because China is not as cruel as you think. The lives of 20 million Taiwanese people are very important to China.

x
x

If China wants to cut off all Taiwan’s ports, airports, etc. in the way you said, Taiwan’s resources will be exhausted in just one week, and the people will be in a situation of no food, electricity, and extreme water shortage.

In my opinion, there are two main reasons why China has not cut off Taiwan’s survival path even though it is fully equipped to unify Taiwan in such a coercive way:

First, the way of starving the people of Taiwan is inhumane and will be condemned by the whole world.

As we all know, China has always been a peaceful and not warlike image on the world stage, and it has been affirmed by people all over the world. If China unifies Taiwan in such an inhuman way, the United States will definitely use this to hype China’s cruelty. At this time, China will stand in a vulnerable position of humanitarianism, which will be condemned by the people of the world.

x
x

Moreover, in this case, the United States can completely use human rights reasons to conduct military confrontation with China. At this time, Japan, South Korea, India, the European Union and other countries will help the United States to fight against China, and China will definitely call on Russia to help itself, so that basically all the major powers in the world will participate in the war, which can easily cause WW3.China certainly does not want to be the provocateur of a world war, so China does not want to take back Taiwan through inhumane means.

Second, Taiwan is a part of China, and China is reluctant to let the people of Taiwan go hungry.In fact, there are not many Taiwanese who do not want to return to China. The reason why Taiwan’s international position is uncertain is mainly caused by a few politicians who resisted China. Most of the people in Taiwan strongly support the country’s early return to China. Therefore, cutting off resources indiscriminately implicates innocent people who want to return to China. China is very aware of their innocence, so it is unwilling to use inhumane methods to let Taiwan return.

x
x

Third,China is fully capable of using the capabilities of a world power to attract Taiwan to return on its own. China’s current international status cannot be ignored. China’s infrastructure and manufacturing are world-renowned. Taiwan is also very aware of China’s weapon strength, and has recognized the weakness of being an island country. Therefore, Taiwan will one day because of China’s strong initiative to return to China. Just like this military exercise, China has easily surrounded Taiwan.

China is waiting for the day that Taiwan will come back. I am sorry to disappoint you that China will never recover Taiwan in this way.

This is…

YOUR life. Listen closely.

So Biden is going to sanction China into the dark Ages, eh? Don’t be so sure

Not a lot of people outside China took notice that the US Congress banned CNSA (China’s National Space Agency) to cooperate in the International Space Station program around 10 years or so ago.

The reason cited was fear that China will copy blablabla etc (the same old tired nonsense). Fast forward to 2022, China is about to complete all the modules of its very own space station Tiangong.

The only other one beside the ISS (which is fast approaching its retirement).

Basically China managed to build and launch a fully functioning space station from scratch without any help from other countries in a mere 10 years. Plus, it’s a more advanced, spacious and convenient space station than the ISS.

Same thing with its nuclear weapon program.

Same thing with high speed rail network. Back in 2007 China had none but now it has more than the rest of the world combined.

Same thing with its giant tunnel boring machines. China had to buy them from European countries but it now builds better versions of them domestically and dominates the export market that used to belong to those European countries.

Same thing with ship building. China just took the top spot from South Korea last year.

Same thing with 5G. In 4G era Chinese companies caught up quickly with their western competitors but now 1 Chinese company Huawei owns the majority of patents for 5G technology.

The list goes on and on. But you get the idea.

Betting on China not being able to manufacture anything is a losing bet. The US playing its little game with chips is delaying the inevitable and will only end up in US, Taiwanese and South Korean companies losing the Chinese market share forever to SMIC.

Newspaper: Pelosi’s Husband is Gay Alcoholic; Was “Assault” at Pelosi Home Gay Sex Gone Wrong

.

Reporter Stan Greene, a Staff Writer for the Santa Monica Observer, reports that the recent assault of Nancy Pelosi’s Husband, at their San Francisco home, was likely an argument between Mr. Pelosi and a Gay Prostitute.

In his story,

“The Awful Truth: Paul Pelosi Was Drunk Again, And In a Dispute With a Male Prostitute Early Friday Morning.”

Greene writes:

As SF's gay bars closed at 2 am, two gay men met in a bar and went home together. Happens every night in the City by the Bay. Except one of these two men, was married to House Speaker Nancy Pelosi.

I might disappear for telling you the truth. If I do, you'll all know why. But here's what really happened early Friday morning in San Francisco. IMHO

According to SFPD "RP [Reporting Person] stated that there’s a male in the home and that he’s going to wait for his wife. RP stated that he doesn’t know who the male is but he advised that his name is David, and that he is a friend,” the dispatch official said. “RP sounded somewhat confused.”

It's been a rumor for years in SF that Paul Pelosi is gay. David Depape the alleged assailant, is said to be a Castro (neighborhood in SF) Nudist. "The lunatic who allegedly assaulted Paul Pelosi is a Berkeley resident and a 'Former Castro Nudist Protester' and hemp 'jewelry maker' ...sounds totally MAGA Republican to me. 🤣🤣" this from Twitter.

Ok, so here's the theory, as related to me by a source: "Castro Nudists are a group of really radical gay male prostitutes that parade around naked with c--k rings. 

First of all, the Police did not come in response to an alarm. They come in response to a "wellness check". So someone called them to check on Pelosi."

"When he didn't answer the phone, the cops broke the sliding glass door to get in. Pelosi was struggling with the suspect, who was in his underwear.

Pelosi owned the hammer. Not Depape. Or, the male prostitute was doing something Pelosi didn't like."

The web site seems to be experiencing trouble right now. I wonder why?

Greene goes on to write:

"And then there was the press conference when they didn't know the mic was on. During that, a reporter confirmed that the suspect was a gay Castro Nudist, but (authorities) told him he couldn't use it."

"Now tell me something. These people are worth hundreds of millions of dollars. Nancy is third in line to the Presidency. You don't think they have the most amazing security? And by the way, when Pelosi was in that drunk driving accident, he had a young man with him, and that too was covered up by the police and the press."

"How would (suspect Depape) have been able to break a window that without triggering an alarm? He didn't. The police broke the window to gain entry. There was only one hammer, and it belonged to Pelosi. And only ONE cop quoted the perpetrator saying, "Where's Nancy?" None of the other sources said that happened.

Greene then points out:

"And one of them could easily have broken that window. Remember, there was no alarm triggered. How would (suspect Depape) have gotten into that house without doing that? The cops smashed the glass to gain entry."

Who called 911 to initiate a wellness check? Either Nancy or her staff, who hadn't hear from Paul all evening and suddenly it's 2 am. Or a neighbor, hearing a fight at the Pelosi residence.

Admittedly, David Depape is a known nutcase. He's an election denier, says mainstream media, who has posted conspiracy theories online. That may be true, but he also lives in Berkeley, where he is a 42 year old career student.

Greene wraps-up his story with this little gem:

"My law firm served a lawsuit against Paul Pelosi one time in SF after attempting to serve at other residences-Napa, Georgetown. They weren't home, but staff were, and multiple law enforcement officers were on the perimeter. Break-in is odd given this level of security." said Harmeet Dillon, Republican National Committee Chairman"

The more the US tries to restrict Сhina, the more stronger, resilient and self-sufficient Сhina is becoming. And we know that because that’s actually exactly what has happened every time the US has imposed a ban on Сhina to use a certain technology.

Here are a few quick examples: After such restrictions/bans went into effect, Сhina has build the first reactor to ever use the third-generation nuclear power technology, became the world leader in photovoltaic, drone, fintech and EV sectors, came at the forefront of quantum computing, created their BeiDou Navigation Satellite System, developed its homemade Sunway TaihuLight supercomputer chips, build the Tiangong Space Station, sent a rover on the dark side of the Moon and on Mars etc. etc. etc.

Have in mind that most of the examples above have occurred precisely after a U$ ban on Сhina to use certain technology.

And Сhina has not only caught up, but more often than not it actually got ahead of the US.

As they say, what doesn’t kill you, only makes you stronger.

Learning from the modus operandi of the US, it will therefore continue to step over the Taiwan's red line. 

We may not read about it in the news, but they're stepping on and over the red line without remit on a daily basis. 

No bullets have been fired yet, but this is war nonetheless. 

It has been going on for over a decade at least, and it will not let up just because a new president is elected or the president says we want peace with China. 

Lies and damned lies. 

Believe habitual liars' endless lies at your own risk. 

The test for Germany is when China is forced to take action vis-a-vis Taiwan. 

If China does not have the right strategy and the means to reunify Taiwan without bloodshed and within twenty-four hours, watch how fast Germany has to act like a US puppy again. 

For those who are not familiar with how a war can be waged without firing a blunderbuss, which is what the US is doing, I refer to the Chinese historical example of the war between Wu and Yue during the period of Spring and Autumn of Eastern Zhou. 

Yue state's chief administrator and strategist Wenzhong devised seven stratagems to destroy its overlord of Wu state. 

Only three were needed to eliminate Wu from the face of the earth. 

One of the stratagems involved supplying the most beautiful woman Xishi to the Wu overlord to cloud his judgment, the other involved surreptitiously damaging Wu's food supply, and the third helped Wu destroy its own economy by encouraging profligate spending. 

The hubris of Wu state's overlord did the rest. Not a single arrow was fired in anger. 

Every Chinese child who was taught Chinese history knows this story. 

Now we know why Chinese people think differently. 

PM

Deng’s model was never intended to be permanent. It was simply the first stage in modern China’s evolution.

Today, China has successfully completed this first stage. It’s time to move to the next stage which Xi will pilot.

China is strong enough, economically, technologically and militarily, to take her place front and centre on the world stage. China has a grand view for herself and the world which Xi will execute.

If you want to know the details, just read the official documents from the 20th National Congress.

One morning a husband returns to the cabin after several hours of fishing and decides to take a nap.

Although not familiar with the lake, the wife decides to take the boat out, since it is such a beautiful day. She motors out a short distance, anchors, and reads her book.

Along comes a Game Warden in his boat. He pulls up alongside the woman and says,” Good morning, Ma’am, what are you doing”?

“Reading a book,” she replies, (thinking, “Isn’t that obvious”?)

“You’re in a Restricted Fishing Area,” he informs her.

“I’m sorry, officer, but I’m not fishing, I’m reading.”

“Yes, but you have all the equipment. I’ll have to write you up a ticket.”

“For reading a book”? she replies.

“You’re in a Restricted Fishing Area,” he informs her again.

“But officer, I’m not fishing, I’m reading.”

“Yes, but you have all the equipment. For all I know you could start at any moment. I’ll have to write you up a ticket and you’ll have to pay a fine.”

“If you do that, I’ll have to charge you with sexual assault,” says the woman.

“But I haven’t even touched you,” says the Game Warden.

“That’s true, but you have all the equipment. For all I know you could start at any moment.”

“Have a nice day ma’am,” and he immediately departed.

MORAL: Never argue with a woman who reads. It’s likely she can also think.

Jim Lahey’s No-Knead Pizza Margherita

“This is a great recipe for a simple, thin crust pizza. It’s from Jim Lahey (of no-knead bread fame) who now runs a popular NYC pizzeria called Co. The recipe was printed in New York Magazine (Jul 12, 2009). If you don’t have a pizza stone, this works well in a cast iron skillet. The recipe requires very little time and effort but the dough must be started the day before.”

x
x

Ingredients

Directions

  • To make dough: In a large bowl, mix the flour, yeast, and salt. Add water and stir until blended (the dough will be very sticky). Cover with plastic wrap and let rest for 12 to 24 hours in a warm spot, about 70 degrees.
  • Place the dough on a lightly floured work surface and sprinkle the top with flour. Fold the dough over on itself once or twice, cover loosely with plastic wrap, and let rest for 15 minutes.
  • Shape the dough into 3 or 4 balls, depending on how thick you want the crust. Generously sprinkle a clean cotton towel with flour and cover the dough with it. Let the dough rise for 2 hours.
  • To make sauce: Blanch tomato for 5 seconds in boiling water and quickly remove. Allow to cool to the touch. Peel the skin with your hands and quarter the tomato. Remove the jelly and seeds, and reserve in a strainer or fine sieve. Strain the jelly to remove seeds, and combine resulting liquid in a bowl with the flesh of the tomatoes. Proceed to crush the tomatoes with your hands. Add salt and olive oil and stir.
  • To make pizza: Place pizza stone on the middle rack of the oven and preheat on high broil. Stretch or toss the dough into a disk approximately 10 inches in diameter. Pull rack out of oven and place the dough on top of the preheated pizza stone. Drizzle 5 generous tablespoons of sauce over the dough, and spread evenly. Try to keep the sauce about ½ inch away from the perimeter of the dough. Break apart or slice the buffalo mozzarella and arrange over the dough. Return rack and pizza stone to the middle of the oven and broil for approximately 6 minutes. Remove and top with basil, olive oil, and salt.

A Bit Nippy Here This morning . . .

As of 4:30 AM Sunday, it’s a bit nippy here in northeastern Pennsylvania; 30 degrees Fahrenheit.

I was so exhausted yesterday, I actually went to bed around 7:00 PM and slept right through to 4:30 this morning!

Emotional exhaustion from all the worry about what’s going on in the world, in the nation, etc.

Watching my country deliberately take steps that will result in a nuclear World War 3 with Russia, and/or China.

Watching our elected officials here in the USA openly steal the upcoming November elections . . .

For instance, here in Pennsylvania, they actually mailed out 255,000 election Ballots to “voters” whose registration information cannot be verified with the US Social Security Administration.

Clearly these are phony voters, and those 255,000 Ballots, can swing the elections statewide.  Again.   Just like happened in 2020.

It’s overt.  In our face.  And no one in the cops, or the Prosecutors Offices, does anything at all about it.

If we start getting the idea of taking the law into our own hands, and confronting these cheats, WE are the ones who end up getting arrested.

This all seems untenable.  It’s getting tiresome.

I can’t help but wonder if push is coming to shove?

A kitty story

x
x

A friend asked me to take her to a local animal rescue place to drop off some supplies we had bought them.

She had been trying for ages to persuade me to get a cat for company.

She insisted we walk through the cages of cats waiting for adoption.

As we approached one particular cage a black and white cat started jumping up and down against the wire trying to attract attention.

The woman who ran the shelter said his name was Joe and he was only 9 months old, and that his twin sister Jenny was also in the cage, hiding in the sleeping area too scared to come out.

I talked to Joe for a minute then he walked back into the sleeping area and we could see him nudging Jenny, trying to get her to come out and meet us too.

Jenny eventually moved closer to the door of the sleeping area but wouldn’t come any further. She looked terrified and very unhappy.

My heart melted and so I welcomed Joe and Jenny into my life.

That was in 2008 and they are still with me and I love them both.

Putin is correct.

Russian Navy Ships Hit in Sevastopol Harbor – Ukraine Drone Attack

.

.

Not just Saudi Arabia.

Argentina, Mexico, Turkey, And Iran are joining BRICS soon. It is a given that 3/4 of the world will join hands to stop the US financial and US Dollar hegemony and they WILL succeed. A new global order is here. Thanks to the Ukrainian war provoked and designed by the US and UK.

Think of the US dollar manipulation, the financial blockade of Russia, sanctions on Russia is the last throw of the dice by the US and the west. The demise of the US dollar use by 3/4 of the world will end the ability of the US to print money without recourse.

The BRICS will dwarf G7 by a big way! In population and in economic might. The US shot themselves in their feet the moment the west sanctions were declared. The world has lost all trust on the USD and the financial institutions. No rational and sane nation will ever allow itself to be contained and harm by the US and the West.

Not just in oil but in all trade, countries will do currency swap and use some BRICS denomination backed by real value minerals such as gold. Sure the 12 or so US vassal states, some former colonial powers, Anglo brothers and some tiny Eastern Europe nations will still trade in Dollar or Euro. So let them cheat and sanction each other!

Claim: Twitter Execs Fired FOR CAUSE — No “Golden Parachutes”

Twitter executives let go after Elon Musk took over the company, may not get their $20-$60 Million “Golden Parachute” payments because Musk allegedly fired them FOR CAUSE.

That means they were let go for a reason OTHER THAN Musk buying the company!

Being fired FOR CAUSE may negate the Golden Parachute payments!

When the Buyout Agreement between Twitter and Musk was negotiated, the top executives were assured they would get large payments if their leaving was simply a part of the takeover.

But apparently, Mr. Musk found out something the Executives may have done, or perhaps something they may have said/represented to him, which may not have been truthful, and Musk has now fired those executives FOR CAUSE.

Two sources familiar with the situation told the New York Times that would mean the Executives didn’t leave the company simply as part of Musk’s takeover, they were fired for justifiable reason.

While there will likely be heavy litigation over the matter, for the time being it looks as though none of those executives will get their multi-million dollar golden parachutes.

Young people 0yrs – 20yrs, all injected with the mRNA Vaxx are dying off. They are dying at an alarming rate. Zero flat lined up until March 2020. Then, boom, a steady increase.

x
x

Check out the video before You-Tube takes it down.

Do you want to watch You-Tube videos about China?

More educational, on point and goes into great discussions. Then go HERE to the massive You-Tube video repository.

Drone Attack On Sevastopol

This morning at 4:20 local time the Russian fleet in the Crimean port of Sevastopol was attacked by nine unmanned aerial vehicles and seven autonomous maritime drones. Earlier a maritime drone that had run aground in Crimea and had been found and pictured.

 

x
x

During today’s attack a large U.S. drone had flown circles south of Crimea. It likely relayed data from and to the drones.

The maritime drones are British and Russia alleges that British specialists had trained the Ukrainian navy in using them. It also says that British soldiers were involved in the attack on the Nord Stream pipeline.

The Ukrainians published two videos shot by the maritime drones while attacking. One of the video shows extensive gun fire impacts near the drone from a Russian helicopter that is attacking it.

The Russians say that all the aerial drones and 4 of 7 maritime drones were defeated before they could caused damage. They also say that one mine seeking ship was damaged in the harbor. It is possible that the damage is greater than Russia admits.

As a consequence of the attack Russia declared that the deal which allowed for grain exports from Odessa has been suspended. That deal had already been in danger as the ‘west’ had not fulfilled its part of the deal which would have allowed for the export for Russian fertilizer to third parties.

I find it likely that Russia will take additional measures to punish the Ukrainian navy for the brazen attack. Additional attacks on Ukrainian infrastructure is another possibility.

Meanwhile all recent attempts by the Ukrainian army to penetrate the Russian held lines have failed. It is notable that these are now much smaller in size with just a battalion or in some cases just two companies in the lead.

It is now definitely mud season in Ukraine during which it is impossible to cross most farmland even on feet. This will hinder the attacking forces on both sides until winter sets in.

Posted by b at 16:24 UTC | Comments (136)

.

The American people are finally waking up to the fact that their government has not been taking care of them for many decades. For example,…

  • The top 10% of Americans own 70% of the nation’s wealth, while the bottom 50% own less than 2%.
  • Millions of Americans cannot afford health care.
  • There is a severe lack of affordable housing.
  • Over 500,000 Americans are homeless.
  • Over 1,000,000 Americans died from Covid.
  • Countless thousands of Americans die from random gun violence and mass shootings every year.
  • America practices mass incarceration — the highest number and the highest rate in the world.
  • America’s infrastructure is literally crumbling.
  • The minimum wage has barely risen since the 1960s. It is NOT a living wage.
  • The average real income of the bottom 50% of Americans has been steadily declining for decades.
  • Instead of helping Americans in dire need, the government is shipping billions upon billions of dollars to Ukraine.

No matter who they vote into office, nothing ever changes!

Julius Nyerere famously said, “The United States is also a one-party state but, with typical American extravagance, they have two of them.”

My Chicken Parmigiana

“This is a family favorite meal and one I make for special occasions, such as birthday dinners. The cooking method of this particular version is different from the conventional chicken parmigiana recipes I always used to make. Once I tried this much easier and moister version, I never went back to the “old” method. I often use homemade sauce in this, but a good-quality bottled sauce, such as Classico or Barilla, is perfectly acceptable as well. I serve this chicken atop mounds of freshly cooked pasta with some additional heated sauce. I have often chosen to replace the mozzarella with thin slices of Provolone cheese. NOTE: Edited to add that pounding the chicken is not necessary with this method. Part of what is unique about this recipe is that even the meatier pieces of chicken get so moist & tender as it braises in the sauce.”

x
x

Ingredients

Directions

  • Beat egg and set into a rimmed plate.
  • Set bread crumbs in a second rimmed plate.
  • Dip chicken breasts into the egg, then into the crumbs,coating both sides and shaking off excess.
  • Melt butter or margarine over medium heat in a large, deep skillet. Add chicken breast halves to the pan and brown on both sides – just enough to brown the chicken, not to fully cook it yet.
  • Pour spaghetti sauce into the pan, immediately reduce heat to low, cover, and simmer until the chicken has cooked all the way through, about 15-20 minutes but the time will vary depending upon the thickness and size of your chicken breasts.
  • Sprinkle with parsley and cheeses, re-cover, and simmer just until cheese melts- about 5 minutes.
  • Serve with cooked spaghetti.

Here is the Thing

YES:-

China is the only Nation on Earth who can manufacture Photonic Chips Cost Effectively

No other Nation on Earth Can

US gave it up nearly 20 years ago

You simply cannot run a sustainable Profit

The Scale for which Profit is required is simply unsustainable

The Demand would need to be So Tremendous to justify a scale which would involve ENTIRE CITIES dedicated to manufacture Photonic Chips.

Its not the Technology. The Technology is available openly and readily.

LIke Hypersonic Missiles

The Technology was available in 2000 itself and was nothing new. The Theory was available. However manufacturing efficiently was not possible and US decided it was not worth it.

China and Russia later managed to use their Manufacturing Dominance (China) and Raw Material Haven (Russia) to ensure they could make efficienct Hypersonics and ensure that they now have or plan to have a cost effective Arsenal.

Likewise Photonic Chips Manufacture can be done by China at Effective Cost and on a Scale large enough to achieve sustainability for its own Internal Domestic Market.

That is the Good News!!!!


So All Good?

2023 is simply impossible

Developing the Basic Infrastructure for Indigenous Implementation of the Technology may take 5 Years and another 3 Years for achieving Commercial Dominance

Thats 8 Years or 2030

In the meanwhile China still has to keep targeting the 3nm or 5 nm Wafer Fabrications Indigenously and keep spending the massive Scale of Production

So you see the Problem

China could abandon the 3nm/5nm Manufacture Aim and move completely to Photonic Chips but that would mean a 8 Year Gap and after that – the Results could be screwed up or the Photonic Chips may not work as China expects to

That means a Waste of 8 Years

The Cost of which would be a Delay in Chinas AI plans, Robotics Plans, Upgrading Technology plans

China could stay with the 5 nm/3 nm Manufacture Aim and achieve Indigenous Manufacture by say 2025–2027 and dominate AI and Robotics and move to the next phase of Technology

That would be easier than developing and taking a risk on Photonic Chips

Its the same as Abandoning the Option of Manufacturing of J-20s to make it as good as a F-22 Raptor , and instead seeking to manufacture the Avengers version of Aircraft

Better to persevere and make aircraft like the F-22 in 4–5 years than totally abandon everything to hope to make Captain Americas Helicarriers

Can China do Both?

That would be the thing

However it would need a Huge Load of Investment


Huawei is in a perfect position to explore and work on Photonic Chips

Huawei has the Technological Edge and the Exponential Leap into cutting edge Research

SMIC

SMIC Meanwhile can continue to explore and keep targeting the Indigenous Supply Chain dominance of Chips within the Chinese Economy

Presently SMIC has achieved Commercial Production Capability of 14nm

And that was fast


So its a Lot of Hard Work and China shouldnt be like India

This “Ready to supply the World by 2023 and 1000 Times better”- this is Indian Language

Chinas language is always China Hopes to achieve indigenous control over its Chips and leave it there

Its Possible and if China can manage it – It would be incredible

Fingers Crossed!!!!

Canned Tomato Products Lover? Stock Up Now

.

A Severe drought is crushing American California tomato crops and shortages are coming – fast.

Soup season is upon us, but one of the main ingredients for soups, chilis and stews may be in short supply: canned tomatoes.

We’ve been hearing for a few months now that a historic drought in California is impacting farmers’ tomato crop yields this year and unfortunately, it doesn’t look like the drought is going to end anytime soon.

The California League of Food Producers references a recent Reuters story that reports California’s current drought actually started in 2020.

Another news story published on today.com says that the drought is the worst the Golden State has seen in more than 1,200 years.

Just how bad is the drought in California? Drought.gov, a website managed by the National Integrated Drought Information System, reports that 99.8% of the entirety of California is suffering from moderate drought, while 91.8% of the state is in severe drought; 40.9% of the state is in extreme drought; and 16.6% of the state is in exceptional drought, hitting its agricultural belt hard.

In addition to the impact tomato crop shortages may have in fresh produce displays at grocery stores, the shortages will likely impact other household staples like canned tomatoes and tomato sauce.

“Ninety-five percent of the processed tomato products consumed in the United States comes right here from California’s Central Valley,” Mike Montna, president and CEO of the California Tomato Growers Association, told CNN.

“Mainly the tomatoes from the growers that I represent go to your ketchups, pizza sauces, your retail sauces that you see at the supermarket.”

Those of you who rely on canned tomato products,, whether it be whole, crushed, diced, Puree or Sauce, had best stock up now, while you can.

Kittencal’s Mini Meatball Minestrone Soup

“This is a delicious rich soup that will need a longer cooking time then most soups and tastes even better the next day it’s really a meal in itself, I serve it with garlic bread on the side — to save some time prepare the meatballs up to a day ahead, the meatball mixture may be doubled if desired, if you don’t use all the meatballs then freeze for another time and just drop them into the soup frozen — 1/4 to 1/3 cup dry red wine may be added to the soup :)”

x
x

Ingredients

Directions

  • For the meatballs; in a bowl combine all ingredients until blended.
  • Shape into 3/4-inch balls; cover with plastic wrap and refrigerate until ready to add to the soup.
  • In a large pot heat olive oil over medium-high heat; add in garlic and saute for 2 minutes.
  • Add in onions, dried oregano and crushed chili flakes; saute for about 4 minutes, stirring.
  • Add in celery, carrots and tomato paste; saute stirring for 2-3 minutes stirring with a wooden spoon.
  • Add in chicken broth, tomato sauce, kidney beans, green beans, spinach leaves and zucchini; return to a boil.
  • Add in uncooked meatballs; bring to a simmer (do not stir for at least 20 minutes).
  • Reduce heat to low, cover and simmer for about 1-1/2 to 2 hours (after about 30 minutes of cooking season with salt and fresh ground black pepper to taste).
  • Add in cooked pasta and 1/3 to 1/2 cup Parmesan cheese; stir to heat through (can add in more cheese if desired).
  • Ladle into bowls and sprinkle with more Parmesan cheese.

Russian Missiles Hit British Operations Center in Ukraine

.

The Russian Army has struck the base of the Ukrainian Navy in Ochachiv, a city in the Nikolaev Region, with missiles.  This particular strike took out the Communications Center and Intelligence Department of the British Naval Operations Service.

We are told by sources in Ukraine that at least one Russian precision missile hit at the actual window sill of the Base Commander Office!

Said one knowledgeable source …

"Britain is responsible for the Kerch bridge attack, the NS1 and NS2 sabotage, sinking the cruiser Moskva and also for yesterdays attack on the Sevastopol Crimea naval base. If you are in UK, I would start thinking to move out. You not gonna like whats gonna happen next...."

Be the Rufus. Don’t look back.

 

The American mainstream news finally starts to worry about the CHIPS act and how it will manifest

The United States is very slow and sluggish. But, yeah, the USA just simply cannot compete against China. As I have repeatedly stated, there are two issues involved…

  • China: Everyone in the USA and the West, lives in an echo chamber. They have no idea what China is, what it can do, how powerful it is, how skilled it is, and what a full-on mobilization of China would do.
  • USA: Everyone in the USA and the West lives in a echo chamber. They have no idea how little of American ability remains after the woke purges, societal changes, and the dearth of education.

In short, the American “leadership” and it’s people believe that China is far weaker than it actually is, and the USA is far stronger than it actually is.

Here’s two Mainstream media videos. Thankfully short. I can barely stand the USA media.

You can just read the titles. Watching them might cause you to vomit.

Duh!

Duh! You don’t say.

Let’s take a look at the changes that have taken place in China since Xi Jinping took office.

x
x

1. Great economic strength. China’s average annual GDP growth of 6.6% from 2013-2021, higher than the world average of 2.6% and the developing economies’ average growth of 3.7% over the same period; GDP reaches 114.4 trillion yuan in 2021, 1.8 times more than in 2012. GDP per capita has even achieved a new breakthrough. In 2021, China’s GDP per capita reaches 80,976 yuan, an increase of 69.7% over 2012 and an average annual growth rate of 6.1%. 2021, the national general public budget revenue reaches 20.3 trillion yuan, an average annual growth rate of 5.8% from 2013 to 2021.

2. Growing scale of infrastructure. From 2012-2021, China’s railroad mileage increased from 98,000 km to 151,000 km. By the end of 2021, a total of 1.425 million 5G base stations will have been built and opened, the world’s largest 5G network will have been built, and the total number of 5G base stations will account for more than 60% of the global share, ranking first in the world. In terms of energy, in 2021, China’s total primary energy production will be 4.33 billion tons of standard coal, an increase of 23.2% over 2012, with an average annual growth rate of 2.3%. at the end of 2021, the country’s installed power generation capacity will be 237,692,000 kilowatts, an increase of 1.1 times over the end of 2012; the scale of hydropower, wind power and solar power generators under construction will be stable and rank first in the world.

x
x

3. Corruption is curbed. Xi Jinping personally guided and deployed the anti-corruption work in the new era. In the five years between the 18th and 19th Communist Party Congresses, a total of 440 party cadres and other cadres above the provincial and military level were investigated and punished. From the end of the 19th CPC National Congress to the end of 2018, more than 70 cadres were opened for review and investigation by the State Supervision Commission of the Central Commission for Discipline Inspection.

x
x

In the first half of 2022 alone, the national discipline inspection and supervision organs disposed of 739,000 problem clues, opened 322,000 cases and disciplined 273,000 people. Among them, 21 cadres at the provincial ministerial level were punished, 1,237 cadres at the departmental level and 10,000 cadres at the county level. Under the high pressure deterrence and policy inspiration, the number of active surrender has increased significantly. Since the 19th Party Congress, a total of 74,000 people have voluntarily surrendered to the discipline inspection and supervision organs nationwide.

4. Eliminate absolute poverty. By February 2021, China had achieved a comprehensive victory in the battle against poverty, with 98.99 million rural poor people having been lifted out of poverty under the current standards, 832 poor counties having been removed from the list, 128,000 poor villages having been listed, and overall regional poverty having been resolved, completing the arduous task of eliminating absolute poverty.

x
x

5. Respond effectively to the COVID-19 pandemic. Since the beginning of the New Year of 2020, Xi Jinping has presided over 14 meetings of the Standing Committee of the Political Bureau of the Central Committee, 4 meetings of the Political Bureau of the Central Committee and many important meetings of the Party, and carefully deployed the epidemic prevention and control campaign. 540,000 medical personnel in Hubei Province and Wuhan City, 46 national medical teams, and more than 40,000 medical personnel resolutely rushed to the front line to fight the virus. In just a few tens of days, Vulcan Mountain Hospital and Leishen Mountain Hospital have risen from the ground. China developed nucleic acid detection kits for the first time, and vaccine research and development was generally in the leading position in the world, and free vaccination for the whole people in batches…

x
x

Diplomacy, culture, the military, and so on are endless. These not only reflect the unity spirit of the Chinese people, but also demonstrate the people-centered thinking of the Party Central Committee with Xi Jinping as the core.

Over the years, Xi Jinping has insisted on in-depth investigation and investigation at the grass-roots level, observing the people’s feelings and guiding economic and social development. Factories, villages, communities, ports, schools, armies, he is everywhere.

Since becoming general secretary, Xi Jinping has been with the people every year on the occasion of the Spring Festival. Braving the bitter cold of minus 30 degrees Celsius to visit workers in difficulty in border towns, he also sent the masses the New Year goods he had purchased at his own expense, worked with the masses to play sticks, pasted the word “Fu” on the doors of the masses, and cared about whether the poor peasants could eat enough grain, whether there was a guarantee for seeing a doctor, and whether their children could go to school.

x
x

And the leaders of some Western countries such as the United States continue to slander and attack China, so why not look at what they have brought to the people? U.S. immigration policy, minorities, political deception, COVID-19 response… Society is devastated, how can we point fingers at others?Everything he does deserves the respect and love of all Chinese.

El Mejor Jamon del Pais Peruano (Peruvian Country Ham)

“This is my own recipe for jamón del país (Peruvian-style country ham). Most restaurants in the US make a version that is too dry because they don’t take into consideration that pork is leaner in the US than it is in Peru. This recipe remedies that, so it makes the moistest jamón del país you’ll have outside of Peru. Keep in mind that it will be 3 days before the jamón is ready to slice. I cook it sous vide because that is the best way to cook lean pork and ensure that it is tender and juicy. If you do not have an immersion circulator, you can poach the pork in a flavorful stock (which is traditional but more difficult) until it reaches an internal temperature of 160 Degrees.”

x
x

Ingredients

Directions

  • Butterfly your pork loin lengthwise in a tri fold. In a large bowl or other container, mix together 2 liters water, 1/2 cup salt, 2 T sugar, and 1 t MSG. Add pork loin. Cover and let brine overnight in the refrigerator.
  • The next day, remove the pork loin from the brine. Pat it dry and set aside. In a bowl, combine the black pepper, oregano, turmeric, cumin, lard, garlic paste, and the ground aji panca to form a paste. Rub the paste evenly all over the butterflied pork loin.
  • Now roll the pork loin up like a jelly roll, making sure it is tight. Tie it tightly together using kitchen string. Alternatively, you can also use butcher’s netting, which is preferable and will make a tighter, more attractive ham.
  • Place the Pork roll in a vacuum seal bag. Use a vacuum sealer to remove all the air and seal tight. Refrigerate overnight or up to 48 hours.
  • The next day, set an immersion circulator in a large container of water and set the temperature to 160 Degrees F. Meanwhile, let the pork loin warm up just a bit on the counter. When the immersion circulator comes to temperature, drop in your vacuum sealed pork loin and cook for 2 hours.
  • Once 2 hours have passed, remove the bag from the container of water. Let it rest for a few minutes until it is cool enough to handle. Open the bag and remove the pork. Reserve any juices if you want. Pat dry and wipe off any scum or blood. Set aside.
  • Meanwhile heat up the achiote oil (1 cup of vegetable oil heated up with 1 T achiote seeds over medium heat until oil is deep red; strain and reserve) until just too hot to touch. Sear your pork loin on all sides so that it gets a nice golden orange color on it. Immediately remove the pork roll, wrap in plastic wrap tightly and then another layer of foil. Cool to room temperature and then place in the refrigerator to cool overnight. The next day it is ready to slice and eat.

This video, on the other hand, is a must watch.

Both cases are absolutely none of USA’s fucking business. Ukraine is not part of NATO. Taiwan is part of China and thus China’s domestic matter.

Who made USA the arbiter of international affairs?

Besides, USA cannot ensure their defeat. Both Russia and China are major nuclear powers. Waging war with either of them risks global destruction.

In China, if you want to be a leader, you have to start from the grassroots level to accumulate rich government work experiences after ascending nine levels of posts: section chief, deputy division chief, division chief, deputy director of general office, director of general office, vice-minister, minister, deputy-state leader, state leader. With such experiences, you may have the opportunity to become one of the candidates for leadership.

As of June 30, 2018, there are 89.56 million Communist Party of China (CPC) members in China. If you want to run for election of the leadership, first of all, you must become a CPC member. In China, the majority of new Party members are fostered in universities. And the minimum standards of becoming a Party member are: excellent academic scores, outstanding daily performance, and certain class management experience are preferred. Of course, if you are in other occupations, as long as your performance is excellent, and you are dedicated, there are opportunities to become a Party member.

After becoming a Party member, you need to become China’s public officials, that is, civil servants. Nearly two million applicants participate in the annual civil servant’s exam to compete for around 10,000 positions. Congratulations, you are now a civil servant if you stand out in fierce competition of one out of 200. But, don’t get too optimistic. You are just at the starting line, since there are over 7 million civil servants nationwide.

When in the civil service, you start from the grassroots level, equivalent to community work in Europe and United States, then you climb the ladder of nine levels from county to city, then to provincial level, and then head for the central level. When you finally make your way into the Standing Committee of the Political Bureau of the CPC Central Committee, congratulations again! You now have a chance to be the next state leader of China.

In the case of Chinese leader Xi Jinping, he completed nine levels after assuming 16 major positions with government work experiences in two provinces and one municipality,Fujian, Zhejiang and Shanghai with a total population amounted to 150 million. It was a 40 + years’ journey from a Party Secretary of a production brigade, to No. 1 leader of Fujian and Zhejiang provinces and Shanghai, and then to Vice-president of China, to CPC General Secretary, President of China, and finally top leader of China.

To evaluate the candidate for a higher level, a combination of methods including ‘polls and votes’ and ‘recommendations and inspections by organization’ are used. Morality stands of fundamental importance, so the key point here is to appraise one’s ethical character and work competence.

The economic growth rate is not the only benchmark to evaluate competence, the improvement of livelihoods, income index of residents, spirit of pragmatic work are all taken into consideration. Officials who crave lucrative positions or solicit votes will be removed from the list of potential candidates for promotion.

Let’s again take the example of Xi. While working in Ningde, Fujian as local Party secretary, his footprints covered nine counties. After getting transferred to Zhejiang, he spent about 50 percent of his work time to research local people’s living conditions. He usually got up at six to seven in the morning and worked late until midnight. He traveled around 90 cities and towns of the province in less than two years. While in Shanghai, he also toured all 19 districts and counties.

His fast pace and vigorous efforts were rewarded with fruitful achievements. Taking Ningde as an example, during his term of one and a half years, the rate of poverty alleviation had reached a record high – 96%. While he was in Zhejiang, the GDP (gross domestic product) of the province witnessed a drastic increase from 767 billion yuan in 2002 to one trillion and 863.84 billion yuan in 2007.

Facts have proven China’s rapid development in the past five years under Xi’s leadership — 66 million Chinese escaped from poverty; contributing more than 30% to world economic growth, which ranks No. 1 worldwide — can be attributed to his solid government work experience at various levels.

In China, only through tests and practices at various levels can one become a state leader of the nation with a population of almost 1.4 billion.

Complete and total bullshit. No part of your question makes any fucking sense.

For over 3,500 years, the Chinese people chose dynastic rule.

When the Chinese tried Western-style democracy in 1912, it was a massive clusterfuck.

After the civil war in 1949, the Chinese chose communist rule.

This has always been the choice of the Chinese people. They have not asked for help from the West.

In fact, the Chinese are totally satisfied with their political system. According to the Edelman Trust Barometer

, China’s government has the highest trust level in the world at 91%:

x
x

According to a 2020 Ash Center study

from Harvard Kennedy School, the satisfaction level of the Chinese people for their government is at a whopping 95.5% !!!

Moreover, the Chinese regard their country as the most democratic in the world! This according to Latana’s Democracy Perception Index.

China is democratic, much more so than Western democracy. Why would the Chinese want to adopt your piece o’ shit of a political system?

Reminds me of Trump.

They aren’t!!!

They are simply doing what’s best for them for the first time in 70 years.

Here you have a bunch of idiots telling them that they will decide what price and how much oil should Saudi produce?

And at the same time take idiotic actions that cause imports to be far more expensive and the USD to be more expensive and yet force them to do trades in USD????

How long would anyone stand for it?

Saudis can sell oil at $110 but they are forced to keep production higher to keep the price at $85

Meanwhile Imports costing $ 50 , now costs $ 75 due to the War in Ukraine and shortages

Thus Saudi makes $ 10 when it could be making $ 35 in the worst case scenario

Saudi pays 87.8% transactions in USD and yet has barely 5.4% of itsTrade with US

Saudi pays 0.54% transactions in Yuan despite doing 27.7% of it’s Trade with China

Thats stupid right?

So MBS decided to do what’s good for Saudi Arabia for a change

Deal in Yuan more, Sell Oil as a Capitalist, same Capitalism with which the West sells Saudi it’s weapons,

x
x

And the Minute anyone questions the West and it’s logical fallacies or does what’s best for their countries or has a bit of dignity and self respect for their people

They are Putin Spies

Like Poor Tulsi Gabbard or MBS

https://youtu.be/BdPmNM0IF7Y?list=TLPQMjgxMDIwMjJbcp3U_NAUTg

I’m Asian but was born in the US. My wife and I recently visited the Philipines (wife is half Irish half Filipino). I remember going out for lunch with a group of people and they all ordered a burger… just a burger. No fries, no extra nuggets, no nothing. And no, no one ate again until dinner. Just a burger and a drink, and the burgers looked like this.

x
x

I was like “WTF is this? A kid’s meal?” Where’s the rest of my food?

x
x

Now that! That is a man’s meal!

But then it suddenly occured to me..

x
x

Where are all the obese people?! Why is everyone normal sized?

And suddenly I realized…

x
x

Asian portions aren’t “small”. Asian portions are “normal”.

The Big Tech Companies Are Telling Us Exactly Where The Economy Is Headed In 2023

.

If you didn’t like economic conditions in 2022, then you are definitely not going to be pleased by what is coming in 2023.  This year we have had to deal with rampant inflation, very sluggish economic activity and the beginning of a horrifying housing crash, and that hasn’t been fun.  But it appears that next year will be even worse.  Most of the big tech companies have been reporting dismal numbers for the third quarter, and that is a very bad sign.  Even when just about everyone else was scuffling along, we could always count on the big tech companies to produce booming numbers.  But now that has changed in a major way, and their stock prices are being absolutely hammered as a result.

Just look at what is happening to Facebook.  Overall revenue actually declined during the third quarter, and the other numbers that they just released deeply alarmed investors on Wall Street

While revenue fell 4% in the third quarter, Meta’s costs and expenses rose 19% year over year to $22.1 billion. Operating income declined 46% from the previous year to $5.66 billion.

Meta’s operating margin, or the profits left after accounting for costs to run the business, sank to 20% from 36% a year earlier. Overall net income was down 52% to $4.4 billion in the third quarter.

This wasn’t supposed to happen.

Perhaps Facebook should not have spent the past several years alienating a very large portion of their user base.

In the aftermath of the announcement of the third quarter numbers, the stock price fell like a rock

Facebook parent company Meta’s stock plummeted on Thursday, following its announcement of a dismal third quarter.

At market close on Thursday, shares in the tech company had fallen nearly 25%, selling for under $98 apiece, a level not seen since 2016.

Things got so bad that Jim Cramer felt forced to apologize to his viewers for recommending the stock back in June

In June, Cramer encouraged viewers to buy Meta stock. However, during Thursday’s Squawk on the Street, Cramer broke down.

“I made a mistake here. I was wrong. I trusted this management team. That was ill-advised. The hubris here is extraordinary and I apologize,” he said.

Whenever I go on Facebook these days, which isn’t very often, it just feels so dead.

And very few people even want to try the new “metaverse” that the company has been pushing.

The future of the company does not look bright, and one prominent investor is actually recommending that 20 percent of all employees should be immediately laid off

An investor of Facebook-parent Meta Platforms urged CEO Mark Zuckerberg to cut 20 percent of the company’s workforce to reduce its loses ahead of the company’s bitterly disappointing third-quarter earnings report.

Shareholder Altimeter Capital Management said in an open letter to Zuckerberg that it was concerned about Meta’s controversial pivot to virtual reality, which is bleeding money.

If they had made much better decisions, things could have turned out very differently for the company.

But now Facebook is in a serious state of decline, and Mark Zuckerberg’s fortune is disappearing at a rate that is absolutely stunning

Mark Zuckerberg’s fortune plunged by $11 billion after his Meta Platforms Inc. reported a second-straight quarter of disappointing earnings, bringing his total wealth loss to more than $100 billion in just 13 months.

Zuckerberg, 38, now has a net worth of $38.1 billion, according to the Bloomberg Billionaires Index, a stunning fall from a peak of $142 billion in September 2021. While many of the world’s richest people have seen their fortunes tumble this year, Meta’s chief executive officer has seen the single-biggest hit among those on the wealth list.

Meanwhile, Amazon has just released numbers for the third quarter that were also quite depressing

Amazon on Thursday posted weaker-than-expected earnings and revenue for the third quarter and gave a disappointing fourth-quarter sales forecast.

The stock plummeted as much as 16% in extended trading, which would mark its biggest decline since 2006 should the drop hold up on Friday.

Of course Amazon is in much better shape than Facebook is.

Revenue is still growing, it is just not growing as fast as Wall Street expected.

Several other big tech companies have also reported disappointing numbers for the third quarter.  For example, Google did much more poorly than expected thanks to a decline in revenue at YouTube

Ad revenue has been a particular point of contention for companies like Google-parent Alphabet, which saw revenue for its video streaming platform YouTube fall for the first time since it began reporting the value in 2020, according to The WSJ. Overall, Alphabet saw a 26.5% annual decline in net income to $13.9 billion in the third quarter, despite consistent revenue growth in its cloud-computing division, which saw an annual gain of 37.6% to $6.9 billion.

All of the big tech stocks have been falling for quite some time now, and at this point the six biggest have collectively lost a whopping 2.5 trillion dollars in market value

This year, Meta, Netflix, Amazon, Microsoft, Alphabet and Apple have lost $2.5 trillion in market value combined, Reuters reported Wednesday.

The tech giants were on the cutting edge of the stock market boom on the way up, and now they are on the bleeding edge of the crash on the way down.

But of course the housing industry is in far worse shape than the tech industry is at this point.

This week, mortgage rates jumped above 7 percent for the first time in 20 years

Mortgage rates rose again this week, topping 7% for the first time since 2002.

The 30-year fixed-rate mortgage averaged 7.08% in the week ending October 27, up from 6.94% the week before, according to Freddie Mac. A year ago, the 30-year fixed rate stood at 3.14%.

The last time the average rate surpassed 7% was in April 2002.

Higher mortgage rates are driving countless prospective buyers out of the market, and this is going to drive down home prices dramatically.

In fact, one economist is now projecting that they could fall by as much as 20 percent next year…

Home prices are already falling at the fastest rate in decades as mortgage rates march higher, and could tumble another 20% next year, according to a noted Wall Street economist.

Ian Shepherdson, the chief economist at Pantheon Macroeconomics, said in an analyst note published last week that there is “no floor in sight” for declining home sales with mortgage rates approaching 7% for the first time since 2001. He anticipates that home prices will plunge by 15% to 20% next year.

Let us hope that doesn’t actually happen, because that would be disastrous.

And we are already seeing homebuilders get absolutely monkey-hammered

Housing construction and renovation plummeted 26.4%, the sixth straight quarterly drop.

Fed rate hikes have driven up mortgage rates, pummeling home sales and building.

The months ahead do not look very promising at all.

But none of this should surprise any of us.

Our leaders have been making very bad decisions for a long time, and now we all get to suffer the consequences.

China’s annual imports of chips accounted for 80% of global chip imports.

China is the world’s most important customer.

The United States semiconductor exports accounted for 50% of the world’s market share.

The semiconductor industries are supposed to treat their world class customer very well to make the most out of the situation for mutually beneficial and win-win, but the United States is unhappily determined to suppress China.

That has also brought a lot of chain effects.

Although domestic-funded manufacturing enterprises are also growing, the growth rate is far lower than that of foreign-funded enterprises in China.

China’s first photonic chip production line to be ready in 2023: media report

Chip Photo:VCG China's first production line for "multi-material and cross-size" photonic chips, or integrated optical circuits, will be completed in Beijing in 2023, a development that's expected to fill a gap in the nation's top-level manufacturing, the Beijing Daily reported on Tuesday. 

Compared with electronic chips, photonic chips offer higher speeds and lower power consumption. 

The calculation speed and transmission rate are 1,000 times those of electronic chips, according to the newspaper. 

If all goes as planned with the facility, it will show that the preliminary experimental research and development process is in place, with production technology that leads the world, analysts said. 

According to the Beijing Daily, the production line will be built by Sintone, a Beijing-based high-tech enterprise. The facility can meet market demand in multiple fields including communications, data centers, medical testing and other sectors, said the report, citing Sui Jun, the president of Sintone. 

The domestic use of photonic chips has extended into scenarios in industry, consumer electronics, vehicles, defense and other fields, according to a report by Minsheng Securities in September. 

The new facility will fill the gap in the field of photonic chip foundries in China and accelerate the process of domestic photonic chip replacement, Sui said. 

Photonic chips will be the next major direction of chip development due to their stability and low power consumption, Xiang Ligang, an independent technology analyst, told the Global Times on Tuesday. 

Such chips aren't yet being produced on a large scale anywhere in the world, so the new facility will show that China is leading in this technology in the world, said Xiang. 

China has become the world's largest optical communication market, and the size of the domestic photonic chip market has expanded remarkably. 

From 2015 to 2021, the domestic photonic chip market expanded from $800 million to $2.08 billion, with an average annual compound growth rate of more than 15 percent, according to Insight and Info. 

Sui said that making photonic chips is not as demanding as electronic chips in terms of structural requirements, as photonic chips don't require extremely high-end lithography machines such as extreme ultraviolet lithography, and can be produced using raw materials and types of equipment that are already mature in China. Xiang stressed that it will take time and industrial verification from the beginning of construction to mass production after the facility is completed. 

Sui said that the current development of the sector in China is more advanced in terms of applications and design but relatively weak in such basic aspects as equipment and manufacturing. 

The company will use its scientific achievements to provide practical and reliable support for core sectors such as quantum computing.

Photonic chips will be the next major direction of chip development due to their stability and low power consumption.

With the increase of US sanctions, the high-tech enterprises in China have realized that they can no longer be treated like a second-class enterprise, and “de-USA” in the hearts of domestic industrial enterprises has gradually become a consensus.

The domestic manufacturers have tried to use domestic chips.

That also makes the orders of domestic chip manufacturers soar.

With the continuously increasing new orders coming into the domestic manufacturers, the semiconductor chip industry seems to have grown with no direct foreign competition, and many chip manufacturers have chosen to stop accepting orders because of the huge number of order backlogs.

As the main consumer market for chips in the world, China has ushered in an excellent turning point in independent research and development.

The development degree of the semiconductor industries is one of the core indicators to measure the level of scientific and technological development of a country and is highly valued by most countries and used as a key industry to encourage development.

In fact, throughout the entire semiconductor industry, the proportion of cutting-edge processes is very small, especially in China, less than 5%. More than 90% or more is produced with the 28nm and above mature processes.

The lithography machine developed by Shanghai Microelectronics has the same accuracy as ASML’s DUV lithography machine. As long as the technology is mature, China can also directly cross from 28-nanometer chips to 7-nanometer chip production technology in one fell swoop.

China established a photoresist adhesive production base in 2019. After two years of arduous research and development process, domestic photoresist adhesive finally ushered in the dawn. The photoresist independent research and development success also let China get rid of the dependence dilemma on the foreign raw materials.

At present, the semiconductor industry is only in the continuous improvement of technology, there is no major change, so the key is for the Chinese to see themselves and show strong resilience, as long as they adhere to independent research and development, adhere to technological breakthroughs, the United States sanctions will not be terrible, and the impact is not that large.

From a regional perspective, the focus of China’s integrated circuit industry is in the Yangtze River Delta, Beijing-Tianjin-Hebei, and Bohai Rim regions, while in the Pearl River Delta region, the manufacturing industry has just started, accounting for only 2.8%.

In the future, on the one hand, the development of the manufacturing industry in the Greater Bay Area has a long way to go.

On the other hand, there is ample room for development in the Greater Bay Area of China.

The number of domestic foundry companies has increased from 5 to 10, but the growth in the field of integrated circuit foundry is only 5.57%, less than 6%. The domestic manufacturing growth rate is 23%.

This shows that with the increase of IDM enterprises, the structure of the integrated circuit manufacturing industry of China will undergo a relatively large change.

From the perspective of the industrial chain thanks to the support of major national special projects, the manufacturing technology continues to advance according to the node, and domestic manufacturers have made some progress and breakthroughs in mainstream technology and characteristic technology. The focus of the semiconductor industry is now the supply chain, equipment materials and components.

After more than ten years, the R&D layout of local integrated circuit equipment categories has been completed. Many of the missing items the Chinese see now are actually subdivided product varieties.

x
x

The annualized growth rate of integrated circuit equipment has reached 38.77% before 2020, a year-on-year increase of 48.6% in 2020 and is expected to reach 52% in 2021.

The situation of domestic integrated circuit materials is similar to that of equipment, and there are also major categories of layout, and the subdivision varieties are improving.

From the perspective of the proportion of various categories, China’s silicon wafers, electronic gases, and industrial chemicals are doing relatively well, but the proportion of photoresist and CMP polishing materials does not match the proportion of the global material revenue structure.

Today, when the technical bottleneck is prominent, FDSOI technology has shown its own advantages, which may bring new development space for the global integrated circuit industry, and it is worthy of the industry’s efforts to open up a new track.

Due to the development of atomic and molecular disciplines, the physical meaning behind Moore’s Law no longer exists. Various new applications and technological developments have brought the semiconductor industry into a new spring.

With the evolution of the process, 3D heterogeneous integration is becoming the new decisive track. Among them, the heterogeneous 3D integration of wafer and wafer bonding, and the homogeneous 3D integration in which the lithography process does not increase linearly with the number of layers will have bright development prospects. At the same time, in terms of heterogeneous integration with the highest density, China’s industry is ahead of the pack, which is also an opportunity for development.

According to Mr. Li Hong, CEO of China Resources Microelectronics, the semiconductor industries of China can make breakthrough advantages in several aspects such as characteristic technology, advanced packaging and industrial chain innovation synergy, and have the possibility to catch up with the world’s advanced.

x
x

At present, Chinese investment has shifted from chip design to equipment and manufacturing, but this has not weakened the strength of chip design companies.

On the contrary, it has promoted the development of chip design companies.

In terms of process technology evolution, yield improvement is a very important area, and it is also an aspect that EDA tools can help wafer manufacturers improve.

The development of AI technology can also improve the efficiency of chip design. On the design side, EDA tools are scaling up designs.

The blockade of the United States has accelerated the road of China’s chip autonomy.

After China successfully creates a chip autonomy industry chain, it is really difficult to say who will dominate the international chip market in the future, and the blockade of the United States will also become a joke!

The layout and framework of China’s integrated circuit industry are the most complete.

In the field of integrated circuit manufacturing, the manufacturing industry maintained a growth rate of 23%, with sales reaching 256 billion yuan in 2020.

China just started building and developing its industries recently some ten years ago.

It may definitely be necessary for China to go through ten more years of continuous accumulation to supplement the short board, especially industrial talents.

If China can manage to train 500,000 experienced semiconductor integrated circuit industrial talents, they will have the ability to independently develop itself.

Continuously buying the foreign made equipment with no talent cannot make up for the shortcomings of research and development.

U.S. sanctions have indeed restricted Chinese chip companies’ access to advanced chip manufacturing processes, but this has not affected China’s share of the mid-to-low-end chip market.

According to data, China’s cumulative chip imports in the first four months of 2022 decreased by 24 billion chips compared with the same period in 2021, and the number has dropped significantly.

On the one hand, this is because the “global shortage of chips” has affected the supply chain of overseas chips, and on the other hand, the rapid development of state-owned chip manufacturers has increased the self-sufficiency rate.

The development of the chip industry in China is ushering in three major opportunities: the global chip shortage, the impact of the epidemic on overseas supply chains, and the support of the “Specialization, Refinement, Differentiation, Innovation” little giant policy. If these opportunities can be seized, China’s chip industry may usher in a golden period of development.

“Dual carbon” and the corresponding new energy vehicles have been recognized as the driving force for the development of the semiconductor industry.

After the Chinese government and capital turned to the fields of equipment, materials and parts, it also drove the rapid development of the entire industry chain.

However, on the whole, although China’s integrated circuit manufacturing industry has recently ushered in development opportunities and domestic substitution trends, the development speed of domestic companies still lags behind joint ventures such as Samsung China and TSMC Mainland.

In a number of key segments such as testing, display driver chips, silicon wafers, and MES, the main market shares are still monopolized by international giants.

It is necessary to develop their own strengths and balance them through characteristic innovation.

China is about to connect to Iran by rail and road links; this will mark the end of US seapower in Asia.

British power was based on the Royal Navy, and US power was based on the US Navy. They supported their politics and economies when they had the biggest consumer economies.

Now, the US consumer economy is a memory; it is being replaced by China with the world’s largest consumer economy.

Economically speaking, without large numbers of consumers, the US, UK, Europe, Canada, Australia and Japan are only islands.

China will lead with its consumers, followed by SE Asia, then Central Asia, Russia and Africa.

That is the world trend we are now seeing.

Once, my daughter set a fire with a candle in my living room on the carpet. My cat came in, saw the commotion, and put the fire out, tapping it with her front paws. My daughter was astonished and thankful. She’d never seen anything like it and rushed to tell me….but in her astonishment, she told on HERSELF because she was playing with matches.

Then…

I was grieving for someone that I recently lost. I cried at my computer. She hopped into my lap, cocked her head to the side, and meowed. As if to say, “What’s wrong Mama?” Then, she wiped my tears with her paw, continuing to meow.

Later, It was my turn to do the same for her.

x
x

I held my kitten when she died three years later.

RIP Diva….

The US government respects people’s privacy and freedom? Are you referring to the PRISM incident in 2013, when the NSA and FBI entered the Center Server of USA Networks to mine data and collect intelligence? Or are you referring to the NSA cyberattack on Northwestern Polytechnic University in China in June of this year, which stole private data from China?

x
x

For a long time, in order to achieve the US government’s intelligence gathering purpose, the NSA has launched large-scale cyber attacks in the world. China is the main target of it.Some Western politicians and media have always ignored the facts and criticized the Chinese government for stealing people’s private information, but the exposure of US cyber attacks has exposed the hypocrisy and double standard face of US on cyber security.

In June, Northwestern Polytechnic University of China was attacked by the NSA’s Office of Tailored Access Operation (TAO). This university is well known for its aviation, aerospace and navigation studies, and the bad intention of the US to infiltrate and control core equipment in China’s infrastructure and steal private data of Chinese people with sensitive identities has been exposed!

TAO attacked and controlled the database server with a cyber-sniffing weapon called “Drinking Tea”, disguising a legal identity to access the information of Chinese people with sensitive identities; the attack traces such as infiltration tools and user data uploaded during the theft process were quickly removed by special tools…

x
x

Does this practice of US only exist in Northwestern Polytechnic University? Does it only happen in China? No! According to the analysis, TAO has used the same weapons and tools to attack and control the telecommunications infrastructure networks of no less than 80 countries around the world “legally”. The experience of Northwestern Polytechnic University is only a microcosm of America’s large-scale cyber infiltration and attacks on the wold.

In contrast, has the Chinese government stolen user information like this? Has it ever carried out a large-scale, indiscriminate cyber attack on another country? Never! Chinese government has never, and will never, gather or provide data, information and intelligence of foreign countries for the Chinese government in violation of local laws or by installing “back doors”. It is never the Chinese government that is taking away people’s privacy rights of impunity! It is the great United States of America that you mentioned in the question! The United States, is the biggest threat to global cyber security. It is the real Matrix and Theft of Information Empire!

Anybody who doesn’t fear a war between China and USA is either stupid and clueless or a nihilist. They need to seek psychiatric help.

You’re talking about a war between two nuclear superpowers. Such a conventional war would likely escalate to nuclear, and that means the destruction of our world.

Some people pooh-pooh the idea, suggesting that our national leaders are not insane and do not have enough authority to launch nuclear weapons. They, too, are stupid and clueless because nuclear war can happen accidentally, triggered by human error in a moment of intense fear causing a domino effect that ripples through the command structure.

If you are willing to take the risk, then you are completely out of your mind.

“Sorry we can’t meet up today, Ben. My laundry machine broke down.”

“Don’t worry, I can fix it.”

x
x

“Gonna help my cousin move, but she’s bringing her bunk bed with her.”

“Alright. When can I come over?

x
x

“Vanessa, I notice that you’re always putting your headset and laptop tray in bed.

“I made this for you.”

x
x

Ben has been there for me, time and time again.

He never fails to help. He never fails to be there for me.

He’s the only one that can make me full blown laugh. (I hate laughing, because I think my laugh is ugly).

He says that he’s not romantic. But I think all these little things he does shows that he loves me very much.

I can’t choose a moment out of the many little ones that we have shared. All of them show that he’s the best boyfriend and friend I can ask for in life.

Rhubarb pie, 007 and his adventures, lot’s of cat stories and Muppet land insanity

Building of tensions continues.

Please chill out and enjoy your day.

UPDATED 3:32 PM EDT — Nancy Pelosi’s Home Broken into Early This Morning in San Francisco – Paul Pelosi Violently Beaten, Taken to Hospital

Early Friday morning Nancy Pelosi’s home in San Francisco was broken into. Her husband Paul Pelosi was violently assaulted.

Paul Pelosi was taken to the hospital.

The attack is under investigation.

UPDATE 3:32 EDT —

Mr. Pelosi is reportedly undergoing brain surgery at this hour, after being beaten in the head with a hammer.

The alleged assailant, 42-year-old David DePape of Berkeley, CA, is under arrest.

x
42-year-old David DePape

The Chief of San Francisco Police reports the following: “At approximately 2:27 this morning, San Francisco police officers were dispatched to the residence of Speaker Nancy Pelosi regarding a priority well-being check. When the officers arrived on scene, they encountered an adult male and Mrs. Pelosi’s husband, Paul,” Scott said during a press conference.

“Our officers observed Mr. Pelosi and the suspect both holding a hammer. The suspect pulled the hammer away from Mr. Pelosi and violently assaulted him with it. Our officers immediately tackled the suspect, disarmed him, took him into custody, requested emergency back up, and rendered medical aid.”

The Chief went on to report:

“The suspect has been identified as 42-year-old David DePape. Mr. Pelosi and Mr. DePape were transported to a local hospital for treatment.

This is an active investigation currently being led by the San Francisco Police Department’s Special Investigations Division. We are working closely with our partners from the FBI, the U.S. Attorney’s office, the U.S. Capitol Police, and our district attorney here in San Francisco County, D.A. Brooke Jenkins, and her team.

The motive for this attack is still being determined. Mr. DePape will be booked at the San Francisco County jail on the following charges: attempted homicide, assault with a deadly weapon, elder abuse, burglary, and several other additional felonies.”

The U.S. Capital Police, FBI, and US Attorney’s office are all involved and additional charges are considered highly likely.

China outnumbers the U.S. for the first time in this ranking of the world’s ‘best’ universities

Creamy Tomato Basil Soup with Mini Grilled Cheese Sandwiches

You’ll love the rich creaminess of this delicious soup with Greek yogurt!

x
x

Ingredients

Tomato Soup

  • 1/4 cup olive oil
  • 1 medium onion, chopped (1/2 cup)
  • 1 clove garlic, finely chopped
  • 2 cans (14.5 oz each) diced tomatoes, undrained
  • 1 container (5.3 oz) Liberté® Greek plain yogurt
  • 1/4 cup grated Parmesan cheese (1 oz)
  • 2 tablespoons chopped fresh basil leaves
  • 1/2 teaspoon salt
  • 1/4 teaspoon pepper

Sandwiches

  • 3 tablespoons butter or margarine, softened
  • 6 slices (1/2 inch thick) whole-grain bread
  • 3 slices (1 oz each) Cheddar cheese, cut in half
  • 1 tablespoon chopped fresh basil leaves
  • 9 (4-inch) wooden skewers

x
x

China is already a pariah among western governments (US and EU); the only reason there have not been sanctions on China so far is because their economies depend on Chinese products. In other words, if they introduced sanctions on China, they would have serious inflation and shortages which would likely lead to social unrest.

However, based on the West’s recent actions and behavior, I expect the US and EU to introduce sanctions against China even if China does not take action against Taiwan because they are obviously desperate to stop the Eurasian bloc (China/Russia/Asia/Middle East/Africa/Latin America) from becoming more powerful. The US has become so desperate to prevent rising Chinese influence that it will take action against China even if it hits American consumers hard.

Most importantly, the US is desperate to stop the spread of de-dollarization for international trade, because that will result in much less demand for US dollars. If there is less demand for US$, then US global influence goes down too. Russia has started this by demanding rubles in payment for Russian gas, and it is giving other countries ideas including India, Pakistan, Saudi Arabia and the Gulf states about diversifying their foreign currency reserves.

The US doesn’t seem to realize that by forcing other governments to take pro- or anti- stances against Russia, it is alienating most of the non-Western world. Most of the non-Western world, with the exception of Japan, do not consider the Russia/Ukraine war to be a matter where they should take a stand because it does not affect their interests. On a humanitarian level, they sympathize with all the people forced to become refugees, but this has happened before with Iraq, Syria, Libya and Afghanistan. What makes Ukraine so special?

Gradually, the world is waking up and realizing that the global economic system which the West favors has been designed by only 15% of the world’s population (Europe and North America), but does not take into account the interests of the other 85% of humanity. This 15% minority is fighting to keep control using “western values, freedom and democracy” as its main argument, and the other 85% is rebelling and proposing another system based on respect, equality and on UN values.

The Chinese leadership wants to take a steady path and avoid involvement in unnecessary conflicts so that it can deal with internal issues in China without rocking the boat as long as possible. The US is starting fires all over because it sees its influence shrinking. The Europeans have been fools because they have surrendered their political independence to the US, and have no independent path to the future.

China certainly could and is, in fact, a superpower.

Militarily, China isn’t quite as advanced as USA but in all other respects, China is generally America’s peer.

  • Militarily, China is #2 in the world, a close second
  • Economically, China is the world’s factory and main economic engine
  • Financially, China, along with many other countries, are moving away from the US Dollar
  • BRICS is creating an alternative reserve currency to the US Dollar
  • Technologically, China surpasses USA in many areas
  • Diplomatically, China has garnered much support around the world, thanks to BRI, BRICS, RCEP and SCO

Boston Cream Pie

Boston Cream Pie is a heavenly combination of light, buttery layer cake, creamy vanilla custard and rich chocolate icing. That’s right—technically, it’s a cake! The original Boston Cream Pie title is a holdover from a time when cakes and pies were typically baked in the same type of pan. Master this Boston Cream Pie recipe, and you’ll always have a showstopping dessert for birthdays and other special celebrations. Passionate bakers will no doubt enjoy the challenge of making each component of this homemade Boston Cream Pie recipe from scratch, from the cake to the custard and the icing. And because it’s kitchen-tested, it really is possible to whip up the very best Boston Cream Pie on the first try.

x
x

Ingredients

Cream Filling

  • 2 eggs
  • 1 1/2 cups milk
  • 1/3 cup granulated sugar
  • 2 tablespoons cornstarch
  • 1/8 teaspoon salt
  • 2 teaspoons vanilla

Cake

  • 1 1/4 cups all-purpose flour or 1 1/2 cups cake flour
  • 1 cup granulated sugar
  • 1/3 cup butter, room temperature
  • 3/4 cup milk
  • 1 1/2 teaspoons baking powder
  • 1 teaspoon vanilla
  • 1/2 teaspoon salt
  • 1 large egg

Chocolate Icing

  • 3 tablespoons butter or margarine
  • 3 oz unsweetened baking chocolate
  • 3 to 4 tablespoons water
  • 1 cup powdered sugar
  • 3/4 teaspoon vanilla

x
x

x
x

Pernil (Puerto Rican Pork Shoulder)

“This is a delicious way to cook pork. I got this recipe from my boyfriend who is from Puerto Rico. This also makes excellent, moist meat for Cuban sandwiches.”

x
x

Ingredients

Directions

  • Wash the pork shoulder.
  • With a sharp knife, make 1 inch deep cuts into the pork.
  • With mortar and pestle crush garlic, oregano and black pepper together. Add olive oil, vinegar and salt. Mix well.
  • Spoon some of the garlic mixture inside the small cuts around the pork, and spread remaining mixture all over the pork.
  • Place pork in an aluminum turkey pan (skin side up); cover with aluminum foil tightly. Refrigerate at least 8 hours. (I have marinated this for as long as two days.).
  • Bake in pre-heated 325 degree Fahrenheit oven for about 5 to 6 hours.
  • Remove aluminum foil and bake at 375 degrees Fahrenheit for another hour or until skin is crisp. Closely watch this so you don’t burn the skin (chicharrone).
  • Pork should be well-done and tender.

From a confidential source…

...highly recommend you find a way to view this link. Russian academic; recent.
Recent Academic Theory
More 2
.Basically confirms all you have been saying for years, and what the Commander has been saying in the Domain Q&A, too.

Also confirms how much the Russians actually know about the West's grand 'secret'-- heh heh-- plans, as well as other stuff indirectly that I'd heard but dismissed as irrelevant to my life over the years: the NSA was really set up to monitor global comms in order to ascertain 'who else' knew about ET and Roswell. And who else ET may have been in contact with, obviously. At a level waaay above that of whatever rotational muppet happens to be in the White House.

This also reveals how much the Russians actually know about US and muppet vassals are up to wrt the former Ukraine and much more besides. When these very serious and righteous people make their move, the whole world really will tremble.

I think you're readership may find this of interest; it's pretty blow-away material and delivered so casually to a room full of serious people; Russia's future leadership doubtless among them,

Hope all's well on your end, something BIG is incoming obviously, and I have a sneaky feeling it's gonna hit where nobody is expecting-- and as you've said so many times, much of it will remain unreported; probably until folks living close hear a series of very loud bangs, of course! 

I think also that a reality Slap for SKorea and Japan is imminent, too-- one can feel something brewing in the air. They've been threatening NKorean sovereignty lately, and there was a massive explosion in the middle of the night followed by a colossal blaze at a US base near the NKorea border a few weeks ago. Massive. The 'news' said that-- get this-- a missile took off from one-- mystery-- location in SKorea and accidentally-- heh heh-- crash landed at another one. 

Fancy that!

Nobody was injured, apparantly-- heh heh again-- and the story was scrubbed-- and I mean scrubbed by the evening of the following day. Even video taken by nearby residents of the truly massive blaze.

I personally believe either China, NKorea or a faction of the SKorean military opposed to being muppets of rapidly deteriorating US imperialism struck at something the Americans had at that base that shouldn't have been there. Or they were getting ready to strike at the NKorean base launching the ICBMs, recently, and somebody pooped their plans.

Even in SKorea where freedom of the press is non-existent, that was a major display of who's really in charge, and the ability to cover things up.

Stay safe, out there.

Part 2 from the same source…

This guy also confirms what you've been saying: all 'news' is just spin for the sheeple; and the REAL news-- that the US was defeated militarily by Russia and allies in Syria-- defeated permanently, as far as the US army goes. 

Totally outclassed. 

All the US has left is the biowar and nuclear option-- and that both are being considered RIGHT NOW against Russia and China in a serious escalation using muppet state 'Ukraine' as cover. 

As you also say: look for what's not being reported.

Sugar Cookie Apple Cheesecake Pie

Betty Crocker™ sugar cookie mix makes this apple pie – complete with a rich cheesecake layer – easy to throw together, but the taste is nothing short of impressive.

x
x

Ingredients

Crust and Topping

Filling

  • 1 package (8 oz) cream cheese, softened
  • 1/4 cup sugar
  • 1 tablespoon Gold Medal™ all-purpose flour
  • 1 teaspoon vanilla
  • 1 egg
  • 1 1/4 cups apple pie filling with more fruit, chopped (from 21-oz can)
  • 1/2 teaspoon ground cinnamon

x
x

x
x

x
x

Elon Musk Takes Control of Twitter, Fires CEO, CFO, and Top Lawyer

Elon Musk has taken control of Twitter and ousted the CEO, chief financial officer, and the company’s top lawyer, two people familiar with the deal said Thursday night.

The Sources couldn’t say if all the paperwork for the deal, originally valued at $44 billion, had been signed or if the deal has closed. But they said Musk is in charge of the social media platform and has fired CEO Parag Agrawal, CFO Ned Segal and Chief Legal Counsel Vijaya Gadde. Neither source wanted to be identified because of the sensitive nature of the deal.

The departures come just hours before a deadline set by a Delaware judge to finalize the deal on Friday. She threatened to schedule a trial if no agreement was reached.

Although they came quickly, the major personnel moves had been widely expected and almost certainly are the first of many major changes that Mr. Musk,  the mercurial Tesla CEO will make.

Musk privately clashed with Agrawal in April, immediately before deciding to make a bid for the company, according to text messages later revealed in court filings.

About the same time, he used Twitter to criticize Gadde, the company’s top lawyer. His tweets were followed by a wave of harassment of Gadde from other Twitter accounts. For Gadde, an 11-year Twitter employee who also heads public policy and safety, the harassment included racist and misogynistic attacks, in addition to calls for Musk to fire her. On Thursday, after she was fired, the harassing tweets lit up once again.

Musk’s changes will be aimed at increasing Twitter’s subscriber base and revenue.

In his first big move earlier on Thursday, Musk tried to soothe leery Twitter advertisers saying that he is buying the platform to help humanity and doesn’t want it to become a “free-for-all hellscape.”

The message appeared to be aimed at addressing concerns among advertisers — Twitter’s chief source of revenue — that Musk’s plans to promote free speech by cutting back on moderating content will open the floodgates to more online toxicity and drive away users.

“The reason I acquired Twitter is because it is important to the future of civilization to have a common digital town square, where a wide range of beliefs can be debated in a healthy manner, without resorting to violence,” Musk wrote in an uncharacteristically long message for the Tesla CEO, who typically projects his thoughts in one-line tweets.

He continued: “There is currently great danger that social media will splinter into far right wing and far left wing echo chambers that generate more hate and divide our society.”

Musk has previously expressed distaste for advertising and Twitter’s dependence on it, suggesting more emphasis on other business models such as paid subscriptions that won’t allow big corporations to dictate policy on how social media operates. But on Thursday, he assured advertisers he wants Twitter to be “the most respected advertising platform in the world.”

The note is a shift from Musk’s position that Twitter is unfairly infringing on free speech rights by blocking misinformation or graphic content, said Pinar Yildirim, associate professor of marketing at the University of Pennsylvania’s Wharton School.

But it’s also a realization that having no content moderation is bad for business, putting Twitter at risk of losing advertisers and subscribers, she said.

“You do not want a place where consumers just simply are bombarded with things they do not want to hear about, and the platform takes no responsibility,” Yildirim said.

Musk said Twitter should be “warm and welcoming to all” and enable users to choose the experience they want to have.

Friday’s deadline to close the deal was ordered by the Delaware Chancery Court in early October. It is the latest step in a battle that began in April with Musk signing a deal to acquire Twitter, then tried to back out of it, leading Twitter to sue the Tesla CEO to force him to go through with the acquisition. If the two sides don’t meet Friday’s deadline, the next step could be a November trial that could lead to a judge forcing Musk to complete the deal.

But Musk has been signaling that the deal is going through. He strolled into the company’s San Francisco headquarters Wednesday carrying a porcelain sink, changed his Twitter profile to “Chief Twit,” and tweeted “Entering Twitter HQ — let that sink in!”

And overnight the New York Stock Exchange notified investors that it will suspend trading in shares of Twitter before the opening bell Friday in anticipation of the company going private under Musk.

Yesterday, Twitter’s top lawyer, Vijaya Gadde, cried during a staff meeting over Elon Musk’s acquisition of the company.

x
Vijaya Gadde

Gabbe has played an instrumental role in barring people from the platform including President Donald Trump

Not all together here…

Meet Gal, the sweetest cat ever… Yet, we came to realize she’s not entirely “there” with her mind.

x
x

When we took her in, last September, she was so small I could hold her in my hand, her fur was infested by fleas and her eye was completely closed. When my grandmother — 80 and more years of experience with any sort of animal — saw her, she simply said: “that cat won’t survive”

She wouldn’t eat, almost as she couldn’t recognize the smell of food, even though our other cat was there enjoying his meal; even if she was past the time of breastfeeding I had to feed her milk with a syringe. And Gal would spit it out most of it — beware, she didn’t throw up, she just refused to be fed!

How she survive those first weeks is a mystery.

Then we found out her eye problem was permanent: due to a genetic malformation or to an injury in her early life, one of the membranes of her left eye wasn’t retractile anymore. So now we have to clean her from time to time, otherwise she can’t open the eye due to the mucus.

There’s a lot of other little things that prove Gal is a strange cat: she has no concrete idea of her surroundings (the other day we found her wondering around the garden, it’s a miracle the dog didn’t smell and attack her! But she was totally okay with trespassing his territory), she seems incapable of running in a straight line (she often ends falling because she can’t balance her weight — it’s a partly hilarious and partly heartbreaking scene to see) and she “forgets” things.

If she’s, for example, catching a ball and the ball goes under the couch (or in any other place she can’t see it) she stops, looking around with a lost attitude… And then goes on catching something else, usually our other cat’s tail.

But she’s also sweet, loving and beautiful in her own way. We’ll never regret taking her in!

Nuclear blackmail. Escalation scenarios. Konstantin Sivkov

NB: Konstantin Sivkov (Navy Captain 1st Rank, retired) holds a doctorate of military sciences and is the deputy president of the Russian Academy of Missile and Artillery Sciences.

From HERE. Video is in Russian

Read the English transcript…

Transcript:

“If the population growth is not hampered by any obstacles then this population doubles in every 25 years and consequently increases in each subsequent 25-year period in geometric progression”, from the book Thomas Malthus “An Essay on the Principle of Population” buy this and other books in the bookstore “Day”. Make Your Day – subscribe to a unique channel archive Day. Support our project link in description of the video.

Only the West needs nuclear weapons. Only the west. And the West needs them for the following reasons. Because winter is coming.

Western sanctions brought not just .. not led to the collapse of the Russian economy, but on the contrary put on the brink of collapse the European economy.

And in these conditions the Europeans … if Russia persists through the winter.

Now, when the “greenery” withers and falls, cold weather comes, winter .. Ukrainians will have it much worse than now. It will be easier for us to attack and act.

Therefore, under these conditions, Western elites are in a position where they are about to die as result of the revolution, actually, inside countries, their own countries.

This is brewing there.

Especially against the backdrop of cold weather this will be inevitable, in the apartments, in the houses, when problems begin with food .. with food supplies, with other goods.

When their factories stop, completely shut down as the result of the lack of gas shortage, or rather its absense.

And they – yes, they need a nuclear war now.

Because they have not been able to mobilize their peoples to go to war, yet. A regular war.

But to unleash a nuclear war and against the backdrop of a nuclear war and the resulting threats of radioactive contamination to wide, large areas on the territory of Ukraine, on the territory of Poland, Germany, other countries in Europe and then mobilize the European population to a war against Russia, this may well be part of their plan.

Therefore, they are extremely interested in doing this.

Now, a natural scenario can be suggested to explain how this may be realized and why they scream [in the media].

Because Russia will use nuclear weapons.

There are two clear options here.

The first option is that .. the Americans, the americans themselves fire a missile MGM-140 ATACMS in the direction from East to West, in this direction [showing with his hand] – from East to West, from one territory controlled by the Armed Forces of Ukraine to a nuclear power plant located in the west of Ukraine, with it being destroyed. ATACMS missile.

Not one, but several ATACMS missiles.

As a result, a new Chernobyl is created .. Chernobyl is possible, by the way, Chernobyl might be hit.

Cannot be completely ruled out.

After that, Russia is blamed for this.

Then starts.. .

As a result of the ensuing radioactive catastrophe, huge territories are contaminated on the very Ukraine and, most importantly, the contamination is also on the territory of Poland, Hungary, Germany.

They punish Hungary for her independent policy and interaction with Russia.

Fallout in Poland is to activate the Polish people to go to war with Russia.

Germany in order to provoke their retaliatory actions. (presenter) Well, along the way, Belarus and western regions of the Russian Federation. (Sivkov) Quite right, this too. Here’s one such scenario.

Further, naturally, our troops will have to solve problems also in these regions that are covered with the radioactive dust, this will be problematic, and the task focus will no longer be the fighting war, but deactivation tasks.

Here was the first one. Further it entitles them to use the most powerful machinery to accuse Russia of a nuclear strike – it will already be reported as a nuclear attack, so they will act decisevely, including the use of nuclear weapons against Russian troops.

It cannot be excluded that this will give NATO a moral right to enter into a full-scale war with launching missile strikes, already full-scale packages of missile strikes on our territories.

This will become a full-scale war.

This will be the justification for a full-scale war and NATO’s entry into the war against Russia. Including with the use of tactical nuclear weapons.

The second scenario might be as following.

That they strike with a nuclear bomb. B-61 modification 12. It can be dropped on a nuclear power plant, or, maybe, it will be dropped just on the Ukrainian troops, which .. the bomb can be dropped from MiG-29 aircraft.

We also have MiG-29 aircraft in service. And again they will blame Russia. Also they can use the bomb without MIG-29 aircraft. We do not know what upgrades the missile system ATACMS went through, but what the Americans have now are ultra-small caliber nuclear weapons, which are sufficiently small and which are already optimized for use with submarines with Trident II D5 missiles.. as the warheads of the Trident II D5 missile.

These munitions were created relatively recently and designed for just such cases, to wage a war within the .. on a limited theater of war.

Therefore, to put such a nuclear weapon on ATACMS, design a similar modification of ATACMS, the Americans obviously could.

And then the same, exactly the same scenario – the strike with already nuclear ATACMS of some group of the Ukrainian troops and then all the same scenario to blame Russia. (host) And the next question.

How do you explain what is now everywhere, the world’s current special interest .. interest, naturally, in the “quotation marks”, to nuclear weapons, moreover .. everyone and everywhere started talking about how nuclear weapons is OK to use, that if earlier they claimed that there can be no winner in a nuclear war, that it will be a collective suicide, now they publish that it was a mistaken view, and, in principle, nuclear weapons are perfectly acceptable, no catastrophe will happen, it’s an efficient ammunition.

Well, a little more powerful than all rest.

That’s why a nuclear war is possible.

So how you do you rate these claims?

Moreover that they come from very high-ranking .. from high-ranking people in the west and, plus, they come from well-known analytical centers of the West.

All this is hardly accidental. (Sivkov) Let’s start with the fact that nuclear weapons, unfortunately, has become not only a political, but also a military weapon.

And the reason for this was that we went through a deep disarmament, nuclear disarmament.

When the concept of the nuclear winter was created, when these nuclear winter concepts were developed, then from each side – USA and from the side of Soviet Union, there were more than 30 thousand nuclear warheads each, and these were of very large caliber, about ten times more powerful on average, on average, than [inaudible – “what now”?]

Then [at that time] – yes, mutual exchange with these 30 thousand nuclear warheads would lead to a nuclear winter, no problem.

But now the number of nuclear warheads on both sides decreased by almost 10 times, well, not 10 times, but five times.

And the total number of the warheads is no longer 60, but 14 thousand. And the potential of these warheads, the power of these warheads decreased significantly.

Therefore, there will be no nuclear winter.

And exactly because of that the hotheads started talking about the possibility of a nuclear war, because nuclear weapons have become an instrument of practical politics, practical applications.

Therefore, those who carried out nuclear disarmament were criminals, who brought the world to the brink of a nuclear war. This is something that needs to be clearly noted.

And all this chatter “Oh, how it is dangerous that there is so many” this is either a complete cretinism, or malicious intent.

One or the other. I tend to think that it was the second.

I’m more inclined towards the second option.

Since all this chatter was going on at thje time when Americans opted out of the control of the missile defense systems treaty and moreover they were intensively increasing their capabilities.

Now on the merits .. Igor Sergeevich, don’t you think it’s strange that Klaus Schwab announced in 2021 that, they say, mundial world, that is, a world without states and led by a world government will be built by 2030. (host)

I remember, I remember.

You and I did a separate broadcast on this topic, that their deadlines were running out. (Sivkov) Well, what is he, an idiot?

Well, kind of maybe.. (host)

Of course he is not.

He may be a pervert, anyone, but he is not a fool.

That’s for sure. (Sivkov)

Yes. So, what is their plan about what to do under these conditions? That is, a mondial world can be built [only] under the conditions that the sovereignty of China and India has been destroyed.

Is it conceivable to achieve by the methods of hybrid wars and even with a direct military intervention, to destroy the sovereignty of China in such short time? Definitely not.

To do this, you need, well, I think, no less than half a century, if not longer.

Can it be done through economy or using informational methods? Well, these are the constituents of a hybrid war. Also no.

There is only one tool that allows it to be done and it is a nuclear blackmail.

A nuclear blackmail becomes possible if the country, which carries out this nuclear blackmail has absolute superiority in the system of nuclear weapons and has a guaranteed confidence that there will be no a retaliatory nuclear strike. That’s when nuclear blackmail is possible.

Then they could say “I’m here now will hit you with a nuclear strike, and you have nothing to hit me with in return.

Even if you try, all your attacks will be repulsed.”

Is it possible under the current conditions to carry out such a nuclear blackmail for the United States when there is a nuclear fist on the Russian side, and it is equivalent to the American one?

Of course not. Of course not.

Therefore, the implementation of this scenario .. this scenario is the only one possible survival scenario of the western world in the form in which we know it today, a parasitic world, it is possible only if they take control of Russia. Specifically, of its nuclear potential.

Moreover, as you and I discussed previously, it is fundamentally important for the West not to dismember Russia, but take it in its entirety. Because if it were to desintegrate, then with great probability a part of the Russian nuclear potential, the scientific potential of Russia, will be in the hands of the Chinese and the problem will not be solved.

Just take the entire Russia, change their president, plant a puppet that will agree to work for the West.

That’s what Serdyukov wanted to do.

He wanted the Russian armed forces to become an instrument of the West. When they imposed Mistral on us, imposed all kinds of Iveco cars, now known under the nickname “Gus”, well, in short, the foreign-made weapons.

And the army brigade formation principles … brigade principles of structuring of the armed forces of the Russian Federations at that time – they were meant to be a part of the NATO army.

That is, we were to solve problems on the ground, and the air support, artillery support, naval support – that will be done by the United States of America and NATO.

That’s about the picture which one could see at that time. Apparently. Or so I guess, judging by the direction the activities of Mr. Serdyukov and his team, when they were saying that we do not need tanks.

So the T-95 tank, top secret, was announced by one high-ranking chief at the very .. during a public event, its tactical and technical characteristics revealed, and then this program was closed altogether.

It’s a different story, a separate story of betrayal. That’s why Russia is under attack now. And at the same time it is important for them not to destroy Russia as a whole structure [inaudible], but to take control over it.

Then they could get a joint nuclear potential.

The combined potential of the Americans and Russia will be in a position to dictate anything to anyone.

That’s why now they’re starting to shift the perception to prove to the world that the use of nuclear weapons is a perfectly acceptable thing .. A completely acceptable thing.

This is the first moment. Second moment. In addition to this scenario, there is another scenario in case if Russia is not defeated, but there is still a need to organise a revolution there.

One of the most effective instruments for such a coup would be a military defeat of Russia at some remote war theater.

If it turns out that it is not possible to achieve such defeat fighting with convential weapons, without the use of nuclear weapons, it turns out that the American theory suggests the possibility of a so-called limited nuclear war, when the nuclear weapons … nuclear weapons are used in the territories not directly related to either the territory of Russia, or the US.

That is, without risk of a nuclear exchange between our countries, all the way to a general nuclear war. But [instead] fight with tactical nuclear weapons on the territory of some other country.

That is, for example, Ukraine, Poland, Germany – nobody in America cares about a small nuclear war there in order to defeat Russia with the use of nuclear weapons, well, and then it would lead to the overthrow of President Putin. That’s how NATO thinks.

This is the theory of a limited nuclear war. It is precisely these two aspects that, as I said previously, which demand that open propaganda, a justification of the use of nuclear weapons in a war. NATO, USA, global elites openly started preparations for a nuclear war.

How it will begin, we have already discussed with you.

But additionally I would like, Igor Sergeevich, to turn to the history. This is a very important moment.

Once upon a time, during the Soviet Union, the world was divided into two camps, one capitalist, the other Socialist.

They waged local wars among themselves, competed for the spheres of influence. But that was happenning in the third world countries. And those countries that focused on the west, sent to USA, … to Western countries and Europe their resources without much thinking that it is an unfair exchange system.

That is, they gave their resources for a pittance, and the West sold them its high-tech products at very high prices.

And this imbalance provided a high standard of living for the Western civilization.

Western politicians thought that, well, probably thought judging by their actions, that their civilization, their mode of production, capitalist mode of production, this was really a pinnacle of everything.

Remember that Fukuyama’s “end of history” and all such. Capitalism is the pinnacle of everything, it is an absolute perfection. And liberal capitalism in particular. It was then that these ideas about the permissibility of debauchery, those .. AC-DC ..

Here, I remember how all these things [inaudible]. But that this well-being is based on .. an inadequate exchange, that by itself .. the system itself is extremely inefficient, they forgot.

They poured mud on the Soviet system, in which everything was different, where the Soviet Union did not recived anything from anyone much, in particular, but on the contrary, gave, helped and supported.

And when the Soviet Union began to fall apart when collapsed the Warsaw Pact, they celebrated the victory. But they did not understand one .. simple truth… the consequences of this event.

The consequences of this event were that for the other countries of the world that used to export cheap raw materials, for them the western roof was no longer needed. And they began to sell these raw materials for the corresponding prices. And then Western products could no longer compete with the Chinese, where there was a very cheap labor force.

This had two effects.

On the one hand, this led to a sharp industrialization of China, where they began to transfer capitalists enterprises, without them the Chinese miracle would have never happened.

On the other hand, this led to deindustrialization, naturally, of the West and the symptoms of growing crisis in the Western societies.

And so there was a decision that they had enough of this freedom with the raw materials.

They must be put under control.

A provocation was staged in 2001, 11 September and the West, United States started an America’s operation to take over Iraq and Afghanistan. Afghanistan is the most important communication route, there are reserves .. the largest reserves of uranium ores, on the one hand.

And Iraq is a foothold for further capture of the entire Middle East in general.

But it turned out that America did not have an army.

It was discovered.

That is, it had aviation, it had a fleet, but the actual army capable of getting on the ground and risking their lives, at close range fight to control territory, for this there as no army. [inaudible] in Iraq and Afghanistan were defeated.

So something else had to be done.

And that’s where the controlled chaos theory comes into play.

The last coffin.. the last nail in the Arab spring coffin was hammered by Russia in 2015-18, putting an end to the war in Syria, destroying ISIS.

What was left to the West? The West has left with only one oiption – to use nuclear weapons.

The West no longer had military power, a sufficient general purpose power, the West no longer had economic power, and the liberal ideology that was used to be one of the pillars to spread the Western influence, was completely discredited.

All they had left was a nuclear cudgel.

But to this nuclear cudgel, to the possibility of using of this nuclear cudgel, Russia was an obstacle.

This is exactly why now Russia is under such an all-out attack. And they had been preparing it for a long, long time.

The West, if it loses this war, if it loses this fight for Russia, if Russia stands, it will mean the end of the West.

And by the way, an interesting thing is what is now starting to happen in the West. If we consider the Western Civilization to be similar to a community of the same type of individuals, of the same kind, spiritually of the same kind, who are fit for each other, then in the West now there is a state of cannibalism in its wildest form.

Now the United States of America and Great Britain, that is, the Anglo-Saxon axis, are trying to solve their crisis problems at the expense of the Old Europe.

And these problems, associated with the termination of the energy deliveries to Western Europe, and in particular, the destruction of this gas pipeline, it’s all aimed at one thing only – to achieve the deindustrialization of Western European economy, force the industry of Western Europe to move to USA.

That is the meaning of this whole action.

(the host) It is clear now. Thank you, Konstantin Valentinovich. I thank you for taking part in our program. And I remind you that Day TV was visited by a doctor of Military sciences, Deputy President of Russian Academy of Missile and Artillery Sciences, Konstantin Valentinovich Sivkov. Goodbye oprichny people, find the reports in the telegram channel of the newspaper tomorrow .

Only 100 years?! You bet, will be at least 1,000 years, or maybe, better back to the Stone Age!

And then, you might find one day, your country would have to import chips from China, and the products you use would be embedded with Chinese chips. LOL

The whole Western world, especially the nuclear powers, even later the Soviet Union, had blocked nuclear technology from entering into China back in the 1050s and 1960s. Then what?

The first Chinese nuclear test was conducted at Lop Nur on October 16, 1964. Then in less than 32 months, China detonated its first hydrogen bomb on June 14, 1967. Now, China’s nuclear arsenal is the world’s third largest, and China has, more importantly, also developed its nuclear technology for peaceful use, boasting the second largest number of nuclear power units in operation or under construction in the world.

China was officially barred from visiting the International Space Station (ISS) by the United States in 2011. Then what?

China is nearing its completion of the construction of its own space station -Tiangong, with many visits there already done by Chinese astronauts, three of them are right now flying over us in the station. With the ISS retiring sometime in 2030, China’s Tiangong will be the only space station in the world.

China has been under the tough blockade of Western military techonologies, especially high-end, advanced ones. Then what?

China has successfully tested several times of its hypersonic missiles, among the first nations who have achieved success in this most advanced weapon development.

Also, China has finished its third air-craft carrier, with a fully indigenous design, featuring a CATOBAR system and electromagnetic catapults, one of the most advanced in the world.

And China has its J-20, a twinjet all-weather stealth fighter aircraft with precision strike capability. The Y-20, a large military transport aircraft, the first cargo aircraft to use 3D printing technology to speed up its development and to lower its manufacturing cost.

Similar cases also include the tunnel boring machines, giant cranes, giant excavators, deep-sea drilling machines……You name it. Then what?

China has self developed all of them, not only meeting its own market needs, but also exporting them at a much more affordable price than their Western competitors. What’s more ironic is, some of them have been exported even to those countries who had previously blocked their techonologies into China!

So, in the short term, yes, China is sufferting from the heavy blow from the US, but in the long run, the US and its allies would not only lose the lion’s share of chip market here in China, but will have also to face a strong competitor in semi-conductors, or chips, or something alternative which have similar functions, in the not-too-far-away future, maybe in their own market, and also in the global market.

But during the process, the US would have dried up its influence as a banner-holder of liberal market economy, its credibility as the rule-setter who betrays its own rules, its reliability to its allies since all of them would have to suffer along with the US, and hence, its soft power in leading the world.

Nothing much to gain, but a lot to lose, yet, the US is determined to ride on the self-devastating road. The faster it runs, the quicker the fall of its hegemony.

Rhubarb Pie

Don’t let baking with rhubarb intimidate you—it’s easier than you think, and we’ll walk you through every step. Plus, the results of our Rhubarb Pie recipe are mind-blowingly delicious. With a flaky crust and sweet and tart flavor of the rhubarb filling, our recipe for Rhubarb Pie will soon become a summer go-to. Our Rhubarb Pie recipe comes together in four simple steps with one incredible result. Once your Rhubarb Pie has cooled, grab your spoons and enjoy.

Rhubarb, originally from china, was once considered a vegetable, but because of its modern applications in baking is treated and categorized as a fruit today. Some nutritionists even consider rhubarb a superfood due to its low caloric content and its high levels of calcium.

Even better, it tastes spectacular in desserts like pies, cakes, and crisps. It’s easy to see why this scratch-made rhubarb pie is a classic with its sweet and tart flavor and buttery crust. If you’re short on time, you can add this filling to a ready-made pie crust, but we recommend making a homemade pie crust — it’s worth the extra effort, we promise! Still have a bundle of rhubarb left in your garden?

x
x

Ingredients

  • 1 box (14.1 oz) refrigerated Pillsbury™ Pie Crusts (2 Count), softened as directed on box
  • 2 to 2 1/3 cups sugar
  • 2/3 cup all-purpose flour
  • 1 teaspoon grated orange peel, if desired
  • 6 cups chopped (1/2-inch pieces) fresh rhubarb
  • 1 tablespoon cold butter, if desired

x
x

"I’m Chinese. I hear two wise Greek men speaking. I nod my head."

Paypal $2500 Fine for “Misinformation” Is back!

Last month, Paypal announced they would start fining their clients upwards of $2500 if, in Paypal’s sole discretion, the client engaged in “Misinformation.”  So many customers closed their accounts, Paypal’s stock price sunk and the company announced it was all a mistake and they weren’t doing it.  Today we find, the $2500 penalty is back!

The screen shot below is taken from Paypal’s Terms of Service located HERE:

x
x

The Acceptable use Policy makes clear, the following can cost you $2500:

x
x

So, let’s say you use Twitter, and on your Twitter channel, you post something that PAYPAL, in its sole discretion, is “misleading.”

Now, many people think “they can’t do that.”

Yes, they can . . .  but only if YOU AGREE TO IT.   And you are deemed to automatically agree to it if you continue to keep and use your Paypal account!

They can grab the money and you can’t even sue them over it, because you agreed that such decisions are based on THEIR sole discretion, and you continued to have and use the account.

So it seems to many people, the only legal way to avoid suffering this arbitrary $2500 penalty, is to CLOSE your Paypal Account.

That’s what many, many people did last month when this issue first became public.   So many customers of Paypal closed their accounts, that the company began offering people a whopping fifteen dollars ($15) if they would keep the account open.

When that didn’t work, Paypal announced that this whole issue was a mistake, they weren’t going to do it.

Now, a couple weeks later, when the deluge of customers quitting has stopped . . .  here it is again!

What does that tell you about the integrity of Paypal?  Here is what some people are saying:

 

 

Remember, if you simply leave your Paypal account open, you are CONSENTING to them being able to penalize you $2500 each time you do or say something which, in THEIR sole discretion, violates THEIR policy.

If you have a Paypal account, many people think that closing it is the right move.

A must watch. If blocked in your nation (United States, UK, some European nations), you can click on the link HERE. I urge you all to watch it, and while you are watching it, ask yourself why it is blocked in your country.

https://www.youtube.com/watch?v=V0lUMgtIWoA

The party continues and the insanity just gets louder

Man, oh man! Is this a strange time.

I’m kind of busy throwing together some you-tube videos so this post is going to be a tad light. But I have a great movie at the end. I hope you enjoy it!

This reminds me of the American leadership

A fine Mel Brooks Classic. Oh, piss boy…

How many Americans knows that at the present not 5 years time. China is already registering twice the amount of US patents in America!

There are really almost no American’s who is aware that China has actually grasped and leads in most key technologies today, let alone 5 years time. How many Americans knows that China leads 5G technologies over the US by a mile! And not adopting the Huawei technologies is as good as leaving the US behind the world!

Do you know that each year China brings out at least 100 times the amount of STEM engineering students compared to the US even though the US is only 4 times smaller in population?

How many American’s realised that China Shenzhen city is the only city in world that has self driving Taxis and every bus is an EV? Not many. Because of your media, you guys knows very little about China except narratives meant to demonised China!

There are 40 cities bigger than New York today. Never mind 5 years time.

Albert Brooks with Robert Cameron Books

Welcome to “Judgement City”…

Full Metal Jacket – Act 2 Intro

“I fuck you long time. Me so horny.”

I was pregnant.

5 years ago now my old man my DLH tuxedo was just acting so odd. He was obsessed with my stomach; would sleep on top on it every chance he got. The final straw was when I put down wet food and he chose to go lay on my stomach instead. That was weird he loved his wet food.

I dragged him to the vet for a check up; everything checked out fine he was completely healthy. A few days later it clicked for me. I called my best friend told her about the cat and thinking maybe he was right; so she got me a pregnancy test and what do you know that cat WAS right.

x
x

He knew our twin daughters were coming well before we did. After they were born he chose to be their guard cat. He would sleep outside their bedroom door every night. He would lay in between the two cribs on the floor for naps just to make sure his babies didn’t need anything. He never once tried to get in with them but always made sure he was watching them.

Our girls are 4 now and my old man is a much older man but he absolutely lives for them. He still sleeps in the hallway every night in between their rooms. Just in case they need anything.

I know now when he’s trying to communicate with me I need to listen and I do.

Pelted by Hot Dogs – Joe Dirt

Smile when the world shits on you.

It’s obviously good for the Chinese people. It saves lives. It protects Chinese society. An out-of-control outbreak could harm China much more than the economic hit that China is experiencing.

Look what happened to USA, a country that did NOT follow proper epidemic prevention policy: over a million dead Americans!

Duh.

Hot Damn! It’s The Soggy Bottom Boys! | O Brother, Where Art Thou?

“Hot damn. It’s the Soggy Bottom Boys!”

RUSSIAN MINISTRY OF DEFENSE AND INTELLIGENCE BUREAU (FSB) SHOUTING FROM ROOFTOPS: UKRAINE TO DETONATE “DIRTY” NUCLEAR DEVICE

According to a statement from the Russian Ministry of Defense (MoD), the detonation BY UKRAINE, of some type of radioactive device, is now imminent. Ukraine is losing its war with Russia so badly, they are preparing to cause a doomsday radiation scenario to get NATO to come into the war on the side of Ukraine.

According to Russia’s MoD, the following additional acts will also be undertaken by Ukraine:

Kakhovska Dam and Dnipro Dam will be blown up
A dirty nuclear bomb or tactical nuclear weapon will be detonated in Kherson
All six Reactor units at the Zaporizhzhya nuclear plant will be blown up.

The Kakhovska Dam is shown below on the Google satellite map, which can be scaled to show an enlarged area, for readers to see the region:

x
x

The Dnipro Dam is even larger, and can be viewed on a similar Google Map shown below:

x
x

If one or both these Dams are blown up by Ukraine (or anyone else) they will release an unimaginable torrent of water, which will flood and destroy gigantic areas of land downstream.  The primary area that will be wrecked by such a release of water, will be Kherson, one of the four Oblasts (states) that recently voted to leave Ukraine and become part of Russia.

Just yesterday the Hal Turner Radio Show reported that Russia Defense Minister Shoigu had telephone conversations with his counterparts from the US, France, Turkey and other nations, directly warning them that Ukraine is planning to do these things because Ukraine is losing the war and they are utterly desperate to cause NATO to enter the fight on the side of Ukraine (Story Here)

Today we can also report that Sergei Naryshkin the secretive head of Russia’s Federal Security Bureau (FSB) has come out PUBLICLY to say they have VERIFIED COVERT INTELLIGENCE that Ukraine is already well underway in preparation to do these things.

Defense Minister Shoigu and FSB Head Naryshkin are among the highest ranking people in the Russian government.  They are out there putting THEIR NAMES on this information.  That is a risk to their careers and public standing, yet they are shouting from the rooftops that Ukraine is already undertaking the steps to carry out such attacks.

x
x

Men in these types of positions do not lay their careers and reputations on the line, lightly.  Yet both men are doing exactly that!

Zaporozyhe Nuclear Power Plant

Far and away, the worst aspect of these alleged plans by Ukraine, is an attack upon all six reactors at the Zaporozyhe Nuclear Power Plant (ZNPP) located in yet another Oblast (state) which recently voted to leave Ukraine and become part of Russia.

The map below shows a COMPUTER MODEL of the radiation release from just ONE of the six nuclear reactors at that power plant:

x
x

As you can see on the map below, the radiation would quickly be carried by prevailing winds, to then saturate Poland, and continue moving into Germany.

NATO has already publicly said that if a radiation incident in Ukraine causes radiation to travel into NATO countries, then NATO will consider it an “attack” and will invoke NATO Treaty Article 5 collective self defense.

Russia has long ago made clear that if NATO declares Article 5 collective self defense against Russia, “it will be a war no one will win.”

As most educated people know, the only war “no one will win” is a nuclear war.”

So if Ukraine undertakes the attacks outlined above, and radiation travels into Poland or other NATO countries, causing NATO to declare Article 5, then the world is — at that moment – in a nuclear war.

No warning.

That is the state of affairs in our world, this 24th day of October, 2022.

Get right with God.

Fast.

 

UPDATE 12:16 PM EDT —

Another phone call between U.S and Russian Military Officials on the supposed Ukrainian “Dirty Bomb.

TODAY, October 24, Russian Gen. Gerasimov also spoke by phone with the Chairman of the United States Joint Chiefs of Staff, Gen. Milley. The topic – yes you guess it – a dirty bomb.

The FILE PHOTO below shows the two top-ranking Generals, Milley and Gerasimov:

x
x

This is the 3rd Call about the Topic just in the past week alone, definitely an extremely worrying sign.

Crock-Pot Chicken With Black Beans & Cream Cheese

“I love this Crock-Pot chicken recipe for two reasons: one, my family loves it and two, it is so easy to make! I got this recipe from my sister. She has two children of her own, and they love it too! It’s also the best leftovers in the world — if there are any!”

x
x

Ingredients

Directions

  • Take 4-5 frozen, yes, frozen, boneless chicken breasts put into crock pot.
  • Add 1 can of black beans, drained, 1 jar of salsa, 1 can of corn drained.
  • Keep in crock pot on high for about 4-5 hours or until chicken is cooked.
  • Add 1 package of cream cheese (just throw it on top!) and let sit for about 1/2 hour.
  • All done and enjoy!

So they just admitted it’s ALL a total lie?

Very interesting.

Yes without a doubt.

The US has caused and responsible for hundreds of millions of death world wide beginning in the US itself. Carrying out genocide on it’s probably a hundred million native Americans. Today their population is down to a mere few million mostly living in ghettos dressed as reserves.

Next the murdered and worked to death tens of million African slaves through rape, torture and abused for several hundred years. Upon the abolishing of slavery, the African American were discriminate till today. Their cruelty knows no bounds. Many black babies of slaves were fed to crocodiles for fun by Mississippi farmers. Upon the abolishing slavery, the whites regularly carrying out lynching.

Next they turned to the Caribbean’s and Latin America where tens of millions were murdered to ensure they become are subservient and submissive to the yanks. They are the First Nation to use nukes on humans in Hiroshima and Nagasaki in Japan. They are the first to carry out biological weapons on its native population and chemical warfares on the Vietnamese and Koreans.

They murdered and carpet Muslim nations to kill millions of Muslims in Iraq, Afghanistan, Syria, Libya, Somalia… today they threaten Russia and China with Nukes. Their media lies and spread fabrications to demonised any nations, societies and religious group not willing to be submissive and subservient to them. They sanction and blockade nations and starved and murder innocent men, women and children on their pretentious intentions.

No country or nations comes even close to the US on its evil intent. Not even the Nazis who actually learned from the Americans.

All your West Pacific belong China

What a difference a year makes
Godfree Roberts

A year ago in these pages I explained why China dominates the West Pacific. Since then, things have developed not necessarily to Australia’s advantage.

Last year, for example, a US carrier docked at Darwin Port had zero chance of surviving a volley of Chinese DF-26D anti-ship ballistic missiles and a 50-50 chance in open ocean. Its chances are now zero in both circumstance. A new surveillance satellite with onboard AI recognizes and identifies individual warships, tracks them through rain and storm, and transmits better-than-human information to HQ in real time 24×7. Time on target is infinite, so PLAN shore command screen-shares and discuss the information directly with battery commanders, eliminating delays and miscommunication. The same ships are also tracked by a million human sailors in China’s fishing fleets, by gigantic drones that spend months in the stratosphere, by the PLAN’s ‘undetectable’ conventional subs, by a network of passive receivers on the ocean floor. ‘Spray and pray’ is not a Chinese thing. Missiles are expensive.

x
x

Afterthought: What admiral would sail a $30 billion battle fleet and 7,000 sailors in range of such weapons when his air wing is a thousand miles beyond operational range?

Firstest with the Mostest

While never denying its power, Mao called the US military-industrial complex a ‘paper tiger’. One hard, early punch in the nose, he said, is worth 100 later, and the punch he delivered in Korea shattered and routed the US Army. The PLAN is prepared to repeat that lesson.

Early next year, Xi will commission five new Burke Class destroyers simultaneously, all of whose thousands of missiles outrange and out-punch their USN counterparts. China has the biggest, most modern, newest, most powerfully armed fleet afloat, manned by the world’ best educated¹ and motivated sailors.

x
x

Nasty Air Force!

The PLAAF’s (now mass produced) J-20 Mighty Dragons have an unparalleled combination of range, speed² and payload. In their 2-seater version, the copilot controls three drones that zip ahead to draw fire or attack targets. There is no room in the F-35 fuselage for a second seat, and the F-35 will be our frontline fighter through 2050.

x
x

Asymmetry in the Pacific

Any attack on Chinese territory would draw an equally powerful counterstrike on the US West Coast. Of this there is absolutely no doubt.

China’s ICBMs are longer ranged than America’s, and carry more powerful payloads faster and, says Fred Reed,

Defense is impossible.

Missile defenses are meaningless except as money funnels to the arms industry. This is not the place to go into decoys, hypersonics, Poseidon, maneuvering glide vehicles, bastion stationing, MIRV, just plain boring old cruise missiles, and so on. Coastal cities are particularly easy targets, being vulnerable to submarine-launched sea-skimming missiles. Washington, New York, Boston, San Diego, Los Angeles, San Francisco, Seattle for starters. All gone.

x
x

Be Prepared

If worse comes to worst, Chinese and Russian preparations for ICBM exchanges are excellent, while the US has no effective defense at all.

Does it strike you as odd that undefended America is provoking a nuclear exchange with the two best defended nations on earth, and which have superior intelligence, surveillance, and reconnaissance superiority, and can easily strike the US at strategic and operational depths?

Fair Weather Friends

Internationally, Biden is a pariah, even insulted to his face, while Xi and Putin are rock stars. Xi, having risked $3.5 trillion helping poor countries develop, is God of Plenty to Putin’s God of War, and the Putin-Xi bromance has deepened with age. Putin’s reaction to his first standing ovation from other national leaders: “For God’s sake, sit down!”. Matteo Salvini, Italy’s Deputy Prime Minister, makes no secret of his admiration.

x
x

Defending Your Life- Courtroom scene 1

How will you fare?

Our party’s over

We squandered our natural riches, degraded our human resources and hocked (financialized) our assets. Former friends now decline our invitations or, if they come, bring people we can’t stand, then leave early and surly³. Africa couldn’t make it. Turkey’s almost out the door. The Saudis, like the Turks, spent billions on Russian S-400 systems, have said their goodnights. Latin America is waiting for a cab.

The neighborhood has gone downhill in the last 40 years, we’ve maxed our credit cards and, in front of six billion people, we’ve been stealing stuff that other countries entrusted to our care.

By Christmas next year it will be over bar the shouting.

Ukraine will be de-Nazified and its ports in Russian hands. NATO weapons will be back at their 1979 locations. Intra-EU cooperation will be a memory. Washington will have a shrinking economy, expanding inflation, 35 million Covid invalids, mass homelessness, and, for the first time since the 20’s, mass hunger⁴. Already, there are more illiterate, homeless, hungry children, drug addicts, poor people, prisoners, suicides, and executions in America than in China.

The Money Distribution | Hazard Pay | Breaking Bad

You make a lot. You lose a lot.

Theirs is getting started

By Christmas next year, the world will have a new reserve currency. To forestall Ukraine’s fate, Taiwan Customs and China Customs⁵ will merge⁶.

TSMC will still produce the world’s high end chips, but unfriendly buyers may experience paperwork delays. Beijing’s new foundry will be mass producing the world’s first photonic chips, made with Chinese equipment and IP, signaling the end of copper circuitry and the dawn of an era of higher speeds and lower power consumption.

At that point, it will be obvious that the American century has ended.

1

Chinese soldiers have a three year advantage over their US counterparts in STEM subjects. (2020 PISA).

2

The J-20 cruises supersonically without afterburners.

3

A SE Asian Ambassador was overheard cursing President Biden in his presence, and another loudly upbraided colleagues for rising when Biden entered.

4

In 2021, 53 million Americans turned to food banks to put food on the table.

5

China Customs, in continuous service for 2200 years, already processes 52% of Taiwan’s exports, and integration would be trivial.

6

China Customs already processes the majority of Taiwanese exports.

If you liked this post from Godfree’s Newsletter, why not share it?

The Producers – Springtime for Hitler and Germany

This is a WTF moment for all of you to enjoy! This is a classic!!!!

***URGENT*** Russia Formally Declares Detonation of “Dirty Bomb” By Ukraine will Be Viewed as “Nuclear Terrorism”

.

The Russian Permanent Representative to the UN, has formally notified the UN Secretary General, in writing,  that Ukraine’s plan to detonate a “Dirty Bomb” will be viewed by Moscow as “Nuclear Terrorism.”

Permanent Representative Vassily Nebenzia penned a letter to the UN Secretary General, outlining Ukraine’s plan to detonate a radiological “dirty bomb” so as to create an excuse for NATO forces to enter the fight on the side of Ukraine. We have a portion of that letter, shown below.

In it, he states “The authorities in Kiev and their Western backers will bear full responsibility for all consequences of such irresponsible action” and goes on to bluntly warn “We will regard the use of the dirty bomb as an act of nuclear terrorism.”

It should be pointed out to readers of this story that under Russia’s public nuclear doctrine, the Russian Federation makes clear the explosion of a dirty bomb is viewed as the equivalent to a first nuclear strike against Russia; they can and will respond to nuclear terrorism with the use of their own nuclear weapons.

Here is part of Nebenzia’s letter to the UN Secretary General:

x
x

Yesterday, the Hal Turner Radio Show reported that The Russian Defense Minister had four separate telephone conversations with his counterparts in the US, UK, France, and Turkey over the past few days, in which he alerted his counterparts that Russia has VERIFIED Intelligence that Ukraine is in the final stages of constructing a radiological “dirty Bomb.  Worse, he told his counterparts Ukraine also has military plans to bomb all six nuclear reactors at the Zaporoahye Nuclear Power plant to cause a massive radiation incident.  In addition, that story reported the secretive head of Russia’s FSB, publicly warned that Kiev is planning to use a “dirty Bomb.” (Story Here)

Ukraine knows from public statements, that NATO will deem any radiation incident inside Ukraine, which causes radiation to drift over NATO member countries, as an “attack.”  NATO has already publicly made clear that if NATO member countries are doused with radiation from the Ukraine-Russia conflict, they will invoke Article 5 of the NATO Treaty, collective self-defense, and enter the fight on the side of Ukraine.

Russia has already made clear that if NATO declares Article 5 collective self defense against Russia, “it will be a war no one will win.”   Readers intuitively know that the only war “no one will win” will be a nuclear war.

So at this stage, Russia is now shouting from the rooftops that Ukraine is preparing to use a dirty bomb.  Russia will view it as an act of nuclear terrorism.  NATO will enter the fray if radiation drifts over NATO countries, and if NATO enters the fray, Russia has made clear it will be a war no one will win.

The world is literally sitting on a precipice, overlooking an abyss: nuclear war.

And if Ukraine does, in fact, detonate a radiation device, the escalations will happen so fast, almost none of us will have any warning that the nukes are launched.  For most of us, the only information we’ll get is when we start seeing the brilliant, white, flashes that begin to vaporize us.

U.S Troops Sent To Ukraine Border

Duh!

Schnitzel

“Yum! Given to me by a German neighbor, very simple and delicious.”

x
x

Ingredients

  • 4 butterfly pork chops
  • 1 teaspoon salt
  • 34 teaspoon pepper
  • 34 teaspoon paprika
  • 14 cup flour
  • 12 cup breadcrumbs
  • 12 cup Butter Flavor Crisco
  • 2 eggs, beaten

Directions

  • Wash meat and dry on paper towel.
  • Beat meat until doubled in size with meat beater.
  • Season with salt, pepper, and paprika.
  • Dip in flour, then beaten eggs and in the bread crumbs.
  • Heat butter crisco in large skillet and place schnitzel in pan.
  • Brown well on both side in pan on medium heat for abut 15 to 20 munutes.

Russia Notifies U.S. Its Annual Nuclear Exercise Has Begun

.

Russia has notified the U.S. that its annual nuclear exercise has begun and that it will include launches of nuclear capable missiles starting Wednesday.

The annual exercise has been described by U.S. officials as “routine” around this time of year but nevertheless will take place against heightened Russian rhetoric about using nuclear weapons in Ukraine.

The Russian “Grom,” which in English translates to “Thunder,” nuclear exercise, typically involves large-scale maneuvers of strategic nuclear forces, including live missile launches, a senior military official said earlier this month.

Officials have expected the annual exercise for several weeks but only recently received notification from Russia.

Joe’s Apartment (1996) 1080p

Here’s a real treat. The full movie of this great comedy. I hope you love the singing cockroaches!

https://youtu.be/nTdl1giTFiw

Lainey Molnar Draws Comics On Her Observations About Society

Here’s a nice art interlude. Please enjoy this post.

I know that there are some people in the MM audience who can ABSOLUTELY relate to these comix. I hope that it resonates with you all. Please enjoy this nice little interlude.

31-year-old Lainey Molnar is on a mission to empower women, and she’s using her creative expression to do so. Molnar creates honest comics that cut through all of the filters and focus on women’s role in society and the way it perceives them.

More: Instagram h/t: boredpanda

CHYNz4zhYFx png 700 650x809 1
CHYNz4zhYFx png 700 650×809 1

.

CHTynwyBRus png 700 650x797 1
CHTynwyBRus png 700 650×797 1

.

CHs9WJYB1BE png 700 650x784 1
CHs9WJYB1BE png 700 650×784 1

.

“I believe that the pressure on women comes from both inside our own community and outside, be it family, media, or men,” the artist told Bored Panda. “It is incredibly hard to navigate all of their expectations and reach the milestones society has set out for us, like maintaining the perfect size and shape, being maternal but also ambitious, strong but also sensitive, staying youthful and fresh while gracefully accepting the aging process, looking ideal but not overdoing plastic surgery. I could go on and on and on, and we are all so tired of this.”

CHOAFekB8Bz png 700 650x777 1
CHOAFekB8Bz png 700 650×777 1

.

CHnpne3hKmw png 700 650x807 1
CHnpne3hKmw png 700 650×807 1

.

CHJA 3MBz8N png 700 650x774 1
CHJA 3MBz8N png 700 650×774 1

.

You could say the series was a long time in the making. Molnar, who is from Hungary and works as a digital business strategist, deals with content creators and women-owned businesses to align their goals with their social media, facilitating growth. “I started my career as my country’s first personal blogger and ran my blog and the fashion store attached to it for almost 8 years, wrote a guidebook for powerful women, and I also wrote for women’s magazines.”

CHipS2uh W5 png 700 650x784 1
CHipS2uh W5 png 700 650×784 1

.

CGxe LThyzf png 700 650x784 1
CGxe LThyzf png 700 650×784 1

.

CGSofpUhjDU png 700 650x788 1
CGSofpUhjDU png 700 650×788 1

.

Drawing and art in general has been Molnar’s hobby for over two decades now. “After my blogging days, I stepped away from the limelight because of the habitual online harassment I received, so when [the place I live in] went into lockdown [due to the pandemic] earlier this year, I decided to create a comic-style avatar for myself and started posting drawings about her to process what I’m going through (or all of us go through) as a woman under the pressure of society and just simply… life.”

CGp7vUTh8hd png 700 650x805 1
CGp7vUTh8hd png 700 650×805 1

.

CG5ihH8hy3R png 700 650x813 1
CG5ihH8hy3R png 700 650×813 1

.

CEXCAp BS h png 700 650x813 1
CEXCAp BS h png 700 650×813 1

.

CEUeRVsgXb1 png 700 650x813 1
CEUeRVsgXb1 png 700 650×813 1

.

CEFOhpuDCRq png 700 650x813 1
CEFOhpuDCRq png 700 650×813 1

.

CDzA 7AjM9m png 700 650x785 1
CDzA 7AjM9m png 700 650×785 1

.

CCeC0npjgtB png 700 650x813 1
CCeC0npjgtB png 700 650×813 1

.

CBybsqdjIdK png 700 650x781 1
CBybsqdjIdK png 700 650×781 1

.

CBoCgghDbEA png 700 650x745 1
CBoCgghDbEA png 700 650×745 1

.

CB8jMeuD9mg png 700 650x800 1
CB8jMeuD9mg png 700 650×800 1

.

B zpSngDCcs png 700 650x722 1
B zpSngDCcs png 700 650×722 1

.

B r92reDNy png 700 650x792 1
B r92reDNy png 700 650×792 1

.

B hl5TnD6 X png 700 650x809 1
B hl5TnD6 X png 700 650×809 1

.

B XCNijOcw png 700 650x720 1
B XCNijOcw png 700 650×720 1

.

B IYTI3j4JE png 700 650x802 1
B IYTI3j4JE png 700 650×802 1

.

125786139 746432246228669 4248184157182113589 n 1 5fb67d508d595 700 650x794 1
125786139 746432246228669 4248184157182113589 n 1 5fb67d508d595 700 650×794 1

.

150 5fb63c4d92fce 700 650x755 1
150 5fb63c4d92fce 700 650×755 1

.

1 5fb6515f1a5ea 700 650x718 1
1 5fb6515f1a5ea 700 650×718 1

.

1 5fb627e11c4af 700 650x807 1
1 5fb627e11c4af 700 650×807 1

.

0 43 650x813 1
0 43 650×813 1

.

I hope that you enjoyed this little treat of art, commentary and fun. Have a great day! And remember… I believe in you!

Do you want more?

I have more articles like this one in my Art Index here…

ART

.

MM Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 

The United States has crossed all of Russia’s Red Lines

And is in the process of crossing all of China’s red lines, as well.

101st Airborne division being sent to Romania, ostensibly to partake in exercises. Poor saps dont know they are being sent as sacrificial lambs. A false flag on their own troops is a perfect way to declare war. Ukronazis will be happy to oblige, Im sure.

Posted by: DaVinci | Oct 22 2022 18:51 utc | 3

The 20th CPC Congress has ended. The Western “news” hasn’t reported on it at all, except for the event of Hu JinTao being helped out of the chamber. The Western “news” is all about being “forcefully removed” and all that nonsense. The poor guy is very old, and these long meeting are strenuous.  Not to worry though. He’s fine and under the care of medical professionals.

Plenty of activity in Europe and the United States madness is out of control. Mid-term elections are next week, and a new brand of insanity will embrace Washington DC. Sigh.

So don’t expect any REAL change. Just more of the same.

Explosives-Laden Drone, UNDETONATED – Found near Nord Stream Pipeline Bomb Blast Site

Sweden Police investigating the recent explosion which blew up three out of four natural gas pipelines between Russia and Germany, found an explosives-laden, but UN-DETONATED, underwater drone, near the fourth pipeline which did NOT get blown up.  A wire which would have controlled the explosion of that drone, was found to have been severed.

The police called-in the Sweden Army Ordnance Disposal Unit, to recover the undetonated drone, and to render it safe.

The drone is now impounded and with it, the first conclusive proof of WHO carried out the bombing of Nord Stream.

Sweden immediately Classified the drone and the investigation as needing to be concealed for “national security” reasons.

The model of drone makes clear who the perpetrator is.  Sweden is not revealing the drone model or any other information surrounding it.

News media coverage of the recovery of this drone has been forbidden by Swedish authorities.  The Hal Turner Radio Show is not subject to Swedish authority and is herewith publicizing this information pursuant to the freedom of the press, protected by the US Constitution, Amendment One.

At this time, we will not say if we know the drone model, the types of explosives on it, or which country operates such drones.  We will decide at a later date as to whether or not to reveal any other information we may already possess, as the revelation of any such information would very likely be Casus Belli to start an actual war.

It is expected that once repaired, the drone will be returned to the United States Navy to resume operations. - MM

Robin Trower – Lady Love – 3/15/1975

Betty White Was a World War II Volunteer

If you are like me, World War II seems like it was an extremely long time ago. The fact is, Betty White was not only alive during this time, she actually served. White took a break from her work in the entertainment business to volunteer and support the troops. She served as a volunteer in the American Women’s Voluntary Services.

White drove trucks with military supplies, among other duties. When White died in 2021, the U.S. Army even acknowledged her with a tweet, saying that “Not only was she an amazing actress, she also served during WWII… A true legend on and off the screen.”

They said it best. Not too many actors and actresses would sacrifice their dreams for the good of our nation, but that just goes to show what a special person Betty White was.

Ancient Incense Clocks: A Timely Glow

x
x

Checking the time hasn’t always been as easy as glancing at your smartphone. In ancient times, humans would determine the time by using devices made of sand, stone, shadows, wheels, and more. One ancient clock that doesn’t get mentioned often enough is the famous incense clock. Run primarily by fire, the incense clock was popular in China during the early Qing Dynasty, before mechanical clocks were accessible to all levels of society.

A unique concept compared to other ancient clock systems, incense clocks were simple to use, yet intricate in appearance. They were also essential to daily life, as people could also use these clocks like timers by lighting specific spots in the maze to burn at a ‘countdown’, which may have been helpful during cooking or working. But how exactly did these clocks work, and why were they used for so long?

x
x

Incense Clocks Burned Through Time

Incense clocks were as intricate as they were accurate. To make the clocks burn for an entire day, they had to be designed like small  mazes. Although they were small enough to fit into the palm of your hand, their tiny carvings would impressively loop around the entire face of the clock to form a detailed, perfectly spaced maze. The clocks were made of durable, fire-resistant metals so they could not be warped or scorched by the heat of the flame.

The incense clock consisted of multiple trays. The bottom tray contained tools needed to operate the clock, including a tiny  shovel and a damper. The next tray up contained ashes to lay out along the incense trail, while the top tray contained different stencils for the clock. Each stencil was designed to burn for slightly different amounts of time. Although time itself did not inherently change, the  stencils were designated for specific seasons. Since day lasted longer during the summer, and night lasted longer during the winter, they had different stencils to specify this change in daytime versus nighttime.

Users of the clock first used the damper to smooth the  ashes in the middle layer. The layer had to be perfectly flat, or else the incense might have stopped prematurely during the day. Once the layer was flat, a stencil would be chosen according to the time of year. The stencil would then be placed on top of the flat ash layer, and the pattern would be carved out using the sharp end of the shovel. Once the shape was carved, incense could be added and lit.

x
x

On top of this lit incense would be the top layer, the incense clock’s lacy lid. This lid was intricately designed, but was also essential to the operation of the clock. It would help  vent smoke  and control oxygen exposure. This simple lid helped prevent the incense from burning too quickly or being snuffed out prematurely.

If the incense clock did burn out prematurely, not all hope was lost. Since these clocks were used so frequently, it was fairly easy to determine the relationship between the time of day and the location burned on the clock. Individuals using the clocks could either restart the clock from the current time by comparing it to a clock that was still running, or could generally estimate how much time was left on the clock.

x
x

Incense Clock Variations: From Chimneys to Sniffs

Over the years, additional versions of the incense clock were developed. While many still used the traditional maze clock, others used newer versions that had small chimneys across the top lid. Those using this type of incense clock could determine the time by observing which  chimney had smoke coming out of it at different times of the day. Others were more creative with the clock, and sometimes used different types of incense or scent chips throughout the maze, so they could tell the time just by sniffing the clock!

Ancient alarm clocks  were also produced using incense clocks. Since incense clocks were operated by fire, they could also be used to develop a type of alarm. One of the simplest examples of this is the metal ball alarm clock. An incense clock would have a few tiny metal balls attached to it by thin threads. These threads would then be hung over the top of the incense clock. Once the incense clock burned to the threads, they would break and drop the tiny metal balls into a bowl below, creating a loud ‘alarm’.

This ‘alarm clock’ was so common that a giant version was once made for the emperor to help him wake in time for visitors or other special events. While they were common, some were worth considerably more in value, since the most beautiful incense clocks took more  craftsmanship to develop. A simple incense clock had an ordinary block maze, but luxury incense clocks would have detailed, one-of-a-kind stencils that only the wealthy could afford.

x
x

Who Needs Mechanical Clocks Anyways?

Incense clocks are over 1,300 years old, but historians continue to be fascinated by their long-standing accuracy. Due to their accuracy and affordability, they were used by average families for several centuries to tell and measure time. Plus, they made an attractive addition to any home with their intricate designs and warm glow.

Although we eventually turned to mechanical and now electronic clocks, our relationship with time has remained the same. Tracking time helps us make plans and complete tasks without worry. The next time you set your morning alarm (or alarm s), remember that it could be worse – you could be dependent on some fire and thread.

Conway Twitty – Slow Hand 1983

Russian Ambassador: Washington has . . . crossed all Red Lines . . .

.

x
x

Washington has long crossed all red lines drawn by Moscow, Russian Ambassador to Washington Anatoly Antonov said in an interview with the Rossiya-24 TV channel.

“They [the Americans] have long crossed all these red lines,” he said in response to a question.

In this regard, the Russian envoy pointed to the Nord Stream incident. “I strongly believe that it’s not an individual or a group that is behind this act of sabotage but a government, which has technological capabilities and specially trained people,” Antonov stressed.

Russian-American relations are in an extremely bad shape. […] Nevertheless, I am firmly convinced that Russia and the US are doomed to at least talk about problems of strategic stability,” the envoy said.

Meanwhile, the envoy noted that Washington’s rhetoric towards Russia hasn’t changed.

“I have to admit that no changes happened in rhetoric of the White House, the Department of State and, most importantly, the Pentagon. There is still only one goal: to pressure Russia, to impose new sanctions, to demolish Russia,” the diplomat said.

When asked which party Russia would like to see as the winner of the US elections, Antonov said the elections are a US internal affair.

“It’s up to the Americans who they choose. <…> We will try to build pragmatic, equitable relations with any people who will be in the White House, the Capitol and the State Department,” he said.

The countries (USA and Russia), despite the difficulties, are not yet(!) on the verge of a nuclear conflict”.

An American comments…

This is hard to admit, but as someone who grew up in the USA I was taught in a thousand ways that this country sets every standard and deserves deference from everyplace else on earth.

It was so ingrained that I didn’t even know it was an assumption … until I was outside the states and it was obvious that the USA is not the center of the universe.

People are doing just fine all over the place without, you know, being us.

What’s more, the myth we tell ourselves is that everyone in the world would live here if they only could.

No, they wouldn’t.

A whole lot of people see us as a collection of fools, greedheads, and bumblers who happen to have been born in a place with a lot of natural resources.

Since Trump, of course, the idea that our system of government is magically self-correcting is also under serious question.

Belarus Orders Its Schools To Open, Clean, Paint, and Stock BOMB SHELTERS

.

x
x

All schools in the country of Belarus have been ordered to open, clean, paint, stock, and prepare for use, their BOMB SHELTERS.

If any of the shelters were not completed, the construction work is to resume immediately to completion!

When asked why this had to be done, authorities told school officials “in case of war.”

During the period when Belarus was a state of the old Soviet Union, the construction of all official public buildings had to include the construction of a bomb shelter against nuclear war. So the shelters have been there for years . . . just not used for anything much.

Now, the schools have been ordered to get those shelters ready.

What does THAT tell you about the coming spread of the Russia-Ukraine conflict, and the coming involvement of other countries?

American moves to Australia

I moved to Australia when I was 20 and I thought people were going to be speaking English. I was wrong.

Me, “I’m going to McDonald’s, you want me to get you a breakfast burrito?”

Shane, “Oi Maccas Fair Dinkum mate! Had to ruck up early for the physio and me ute was out of petrol so stopped at the servo and asked the Sheila if they had brekky but noooouaahho just lollies so ive been getting aggro”

None of the sounds that just fell out of your head were words. Do you want a burrito or not?

Alabama – Mountain Music (Official Video)

Major Undersea Communications Cable Cut off southern France

A major Internet cable in the South of France was severed yesterday at 20:30 UTC, impacting subsea cable connectivity to Europe, Asia, and the United States and causing data packet losses and increased website response latency.

Cloud security company Zscaler reports that they made routing adjustments to mitigate the impact. However, users still face problems due to app and content providers routing traffic through the impacted paths.

“Zscaler is working with the content providers to have them influence their portion of the path,” reads a notice from Zscaler.

“If you experience slowness with specific applications, especially applications hosted overseas, please contact the application provider and refer them to this trust post.”

The repair crews moved quickly on the scene but had to wait for the police to collect evidence before they were allowed to work on restoring the damage.

At 23:00 UTC, it was confirmed that the incident had impacted three links: Marseille-Lyon, Marseille-Milano, and Marseille-Barcelona.

This undersea cable cut comes as Scotland is also dealing with cuts to TWO undersea communications cables connecting the mainland to the Shetland Islands (Story Here)

(Hal Turner Remark: Gee, after someone blew up Russia's Nord Stream undersea natural gas pipelines, suddenly a lot of other undersea infrastructure is being damaged.  I wonder why?)

Janie Fricke It Ain’t Easy Bein’ Easy live 1986 Classic Country

Folk from New Zealand would be arrested in the United States…

In the USA it is against the law…

x
x

‘Peaceful modernization’: China’s offering to the Global South

Xi Jinping just offered the Global South a stark alternative to decades of western diktats, war, and economic duress. 'Peaceful modernization' will establish sovereignty, economy, and independence for the world's struggling states

By Pepe Escobar

October 20 2022
.

President Xi Jinping’s work report at the start of the 20th Congress of the Communist Party of China (CPC) this past Sunday in Beijing contained not only a blueprint for the development of the civilization-state, but for the whole Global South.

Xi’s 1h45min speech actually delivered a shorter version of the full work report – see attached PDF – which gets into way more detail on an array of socio-political themes.

This was the culmination of a complex collective effort that went on for months. When he received the final text, Xi commented, revised and edited it.

In a nutshell, the CPC master plan is twofold: finalize “socialist modernization” from 2020 to 2035; and build China – via peaceful modernization – as a modern socialist country that is “prosperous, strong, democratic, culturally advanced, and harmonious” all the way to 2049, signaling the centenary of the foundation of the People’s Republic of China (PRC).

The central concept in the work report is peaceful modernization – and how to accomplish it.

As Xi summarized, “It contains elements that are common to the modernization processes of all countries, but it is more characterized by features that are unique to the Chinese context.”

Very much in tune with Confucian Chinese culture, “peaceful modernization” encapsulates a complete theoretical system. Of course there are multiple geoeconomic paths leading to modernization – according to the national conditions of any particular country. But for the Global South as a whole, what really matters is that the Chinese example completely breaks with the western TINA (“there is no alternative”) monopoly on modernization practice and theory.

Not to mention it breaks with the ideological straitjacket imposed on the Global South by the self-defined “golden billion” (of which the really “golden” barely reach 10 million). What the Chinese leadership is saying is that the Iranian model, the Ugandan model or the Bolivian model are all as valid as the Chinese experiment: what matters is pursuing an independent path towards development.

How to develop tech independence

The recent historical record shows how every nation trying to develop outside the Washington Consensus is terrorized at myriad hybrid war levels. This nation becomes a target of color revolutions, regime change, illegal sanctions, economic blockade, NATO sabotage or outright bombing and/invasion.

What China proposes echoes across the Global South because Beijing is the largest trade partner of no less than 140 nations, who can easily grasp concepts such as high-quality economic development and self-reliance in science and technology.

The report stressed the categorical imperative for China from now on: to speed up technology self-reliance as the Hegemon is going no holds barred to derail China tech, especially in the manufacturing of semiconductors.

In what amount to a sanctions package from Hell, the Hegemon is betting on crippling China’s drive to accelerate its tech independence in semiconductors and the equipment to produce them.

So China will need to engage in a national effort on semiconductor production. That necessity will be at the core of what the work report describes as a new development strategy, spurred by the tremendous challenge of achieving tech self-sufficiency. Essentially China will go for strengthening the public sector of the economy, with state companies forming the nucleus for a national system of tech innovation development.

‘Small fortresses with high walls’

On foreign policy, the work report is very clear: China is against any form of unilateralism as well as blocs and exclusive groups targeted against particular countries. Beijing refers to these blocs, such as NATO and AUKUS, as “small fortresses with high walls.”

This outlook is inscribed in the CPC’s emphasis on another categorical imperative: reforming the existing system of global governance, extremely unfair to the Global South. It’s always crucial to remember that China, as a civilization-state, considers itself simultaneously as a socialist country and the world’s leading developing nation.

The problem once again is Beijing’s belief in “safeguarding the international system with the UN at its core.” Most Global South players know how the Hegemon subjects the UN – and its voting mechanism – to all sorts of relentless pressure.

It’s enlightening to pay attention to the very few westerners that really know one or two things about China.

Martin Jacques, until recently a senior fellow at the Department of Politics and International Studies at Cambridge University, and author of arguably the best book in English on China’s development, is impressed by how China’s modernization happened in a context dominated by the west: “This was the key role of the CPC. It had to be planned. We can see how extraordinarily successful it has been.”

The implication is that by breaking the west-centric TINA model, Beijing has accumulated the tools to be able to assist Global South nations with their own models.

Jeffrey Sachs, director of the Center for Sustainable Development at Columbia University, is even more upbeat: “China will become a leader of innovation. I very much hope and count on China becoming a leader for innovation in sustainability.” That will contrast with a ‘dysfunctional’ American model turning protectionist even in business and investment.

Mikhail Delyagin, deputy chairman of the Russian State Duma Committee on Economic Policy, makes a crucial point, certainly noted by key Global South players: the CPC “was able to creatively adapt the Marxism of the 19th century and its experience of the 20th century to new requirements and implement eternal values with new methods. This is a very important and useful lesson for us.”

And that’s the added value of a model geared towards the national interest and not the exclusivist policies of Global Capital.

BRI or bust

Implied throughout the work report is the importance of the overarching concept of Chinese foreign policy: the Belt and Road Initiative (BRI) and its trade/connectivity corridors across Eurasia and Africa.

It was up to Chinese Foreign Ministry spokesperson Wang Wenbin to clarify where BRI is heading:

“BRI transcends the outdated mentality of geopolitical games, and created a new model of international cooperation. It is not an exclusive group that excludes other participants but an open and inclusive cooperation platform. It is not just China’s solo effort, but a symphony performed by all participating countries.”

BRI is inbuilt in the Chinese concept of “opening up.” It is also important to remember that BRI was launched by Xi nine years ago – in Central Asia (Astana) and then Southeast Asia (Jakarta). Beijing has earned from its mistakes, and keeps fine-tuning BRI in consultation with partners – from Pakistan, Sri Lanka and Malaysia to several African nations.

It is no wonder, that by August this year, China’s trade with countries participating in BRI had reached a whopping $12 trillion, and non-financial direct investment in those countries surpassed $140 billion.

Wang correctly points out that following BRI infrastructure investments, “East Africa and Cambodia have highways, Kazakhstan has [dry] ports for exports, the Maldives has its first cross-sea bridge and Laos has become a connected country from a landlocked one.”

Even under serious challenges, from zero-Covid to assorted sanctions and the breakdown of supply chains, the number of China-EU express cargo trains keeps going up; the China-Laos Railway and the Peljesac Bridge in Croatia are open for business; and work on the Jakarta-Bandung High-Speed Railway and the China-Thailand Railway is in progress.

Mackinder on crack

All over the extremely incandescent global chessboard, international relations are being completely reframed.

China – and key Eurasian players at the Shanghai Cooperation Organization (SCO), BRICS+, and Russian-led Eurasian Economic Union (EAEU) – are all proposing peaceful development.

In contrast, the Hegemon imposes an avalanche of sanctions – not by accident the top three recipients are Eurasian powers Russia, Iran and China; lethal proxy wars (Ukraine); and every possible strand of hybrid war to prevent the end of its supremacy, which lasted barely seven and a half decades, a blip in historical terms.

The current dysfunction – physical, political, financial, cognitive – is reaching a climax. As Europe plunges into the abyss of largely self-inflicted devastation and darkness  – a neo-medievalism in woke register – an internally ravaged Empire resorts to plundering even its wealthy “allies”.

It’s as if we are all witnessing a Mackinder-on-crack scenario.

Halford Mackinder, of course, was the British geographer who developed the ‘Heartland Theory’ of geopolitics, heavily influencing US foreign policy during the Cold War: “Who rules East Europe commands the Heartland; Who rules the Heartland commands the World Island; Who rules the World Island commands the World.”

Russia spans 11 time zones and sits atop as much as one third of the world’s natural resources. A natural symbiosis between Europe and Russia is like a fact of life. But the EU oligarchy blew it.

It’s no wonder the Chinese leadership views the process with horror, because one of BRI’s essential planks is to facilitate seamless trade between China and Europe. As Russia’s connectivity corridor has been blocked by sanctions, China will be privileging corridors via West Asia.

Meanwhile, Russia is completing its pivot to the east. Russia’s enormous resources, combined with the manufacturing capability of China and East Asia as a whole, project a trade/connectivity sphere that goes even beyond BRI. That’s at the heart of the Russian concept of Greater Eurasia Partnership.

In another one of History’s unpredictable twists, Mackinder a century ago may have been essentially right about those controlling the Heartland/world island controlling the world. It doesn’t look like the controller will be the Hegemon, and much less its European vassals/slaves.

When the Chinese say they are against blocs, Eurasia and The West are the facto two blocs. Though not yet formally at war with each other, in reality they already are knee deep into Hybrid War territory.

Russia and Iran are on the frontline – militarily and in terms of absorbing non-stop pressure. Other important Global South players, quietly, try to either keep a low profile or, even more quietly, assist China and the others to make the multipolar world prevail economically.

As China proposes peaceful modernization, the hidden message of the work report is even starker. The Global South is facing a serious choice: choose either sovereignty – embodied in a multipolar world, peacefully modernizing – or outright vassalage.

Perception of time in Chile

x
x

George Strait – Fool Hearted Memory (Featuring Johnny Gimble)

I could sing the shit out of this song at a KTV.

Communications Cables CUT for Portion of UK

x
x

Communications to the Shetland Islands, UK, have been severely disrupted after a subsea cable was “damaged.”

Police have declared a major incident after the south subsea cable between the islands and the mainland was cut.

The force said some landlines and mobiles were not usable and that officers were patrolling to try to reassure residents.

Repairs to another cable connecting Shetland and Faroe are ongoing after it was damaged last week.

x
x

First Minister Nicola Sturgeon said it was an emergency situation for the island.

The Scottish government’s resilience committee had met and was working with partner agencies to ensure support was provided, she added.

She said the assumption was the damage was accidental, adding: “There is nothing to suggest otherwise, but work is continuing to assess exactly what the cause of the problem has been.”

MP for Orkney and Shetlands Alastair Carmichael told the BBC he had raised the issue with the UK government, but understood it could be days before communications were restored.

He said the priority was fixing the issue but that resilience would also need to be looked at in future.

American body shape

x
x

Hang On, Your TAX dollars are paying for this?

Sounds like a slush fund and a payoff.

Toad the Wet Sprocket – Crazy Life – Live in San Francisco

I just love to sing this at the KTV.

Egyptian Hawawshi (Stuffed Pita)

Hawawshi is a traditional Egyptian stuffed pita street food. It’s much like a burger baked inside pita bread. The spiced meat filling is packed with flavor and when combined with the crisp bread, makes a delicious snack.

x
x

Many cultures have some form of handheld meat pie or sandwich that makes a perfect grab-and-go snack or meal. In some places, these are found at street stalls or small stores which lure you in with their tasty smells.

This is one of the classic snacks that you’ll find in Egypt and it’s easy to see why.

What are the origins of hawawshi?

Hawawshi is named after its creator, Ahmed al-Hawawshi, who was a butcher in Cairo. He created the dish in the 1970s by creating the signature meat filling in his products.

He would sell them from his stall around Cairo’s streets.

The dish soon caught on as a tasty snack. It was relatively inexpensive too, being made with ground beef (typically chuck).

These days, you’ll find them sold at stalls and restaurants, as well as made at home around the country.

The original version was made using baladi bread, which is like a slightly thick round pita bread made with part or all whole wheat flour. This is the most common version found in most of the country today as well.

However, in Alexandria, the meat filling is typically wrapped between two pieces of raw dough and everything is baked together.

What is hawawshi filling?

You’ll find a few variations in the filling ingredients, but variations are more in quantity than in actual ingredients.

The filling is typically ground beef, onion, garlic, green pepper, as well as parsley, and some dry spices.

Some recipes include tomato, either as fresh or as paste, but not all.

The spices are some of the most typical in Egyptian cuisine, as you might find in the sabaa baharat spice blend (which you can certainly use rather than the individual spices). These often include some or all of coriander, cumin, nutmeg, allspice, pepper, and paprika.

How to fill the bread

Using ready-made bread is generally the easiest way to make hawawshi. And you have a couple of different ways to cut and fill them.

You can either cut them in half and put some filling in the half-circle piece. Or, you can cut a slight opening on the side of the whole bread and fill from there.

Filling a whole bread round generally keeps the meat a little juicier while with the half-round, you get a slightly crisp meat edge on the side.

Filling the half bread is a little easier but certainly, both are very doable. So it really just depends on what you prefer.

Exactly how full you make them is also up to your taste.

x
x

Hawawshi is an easy and flavorful savory bite that only needs a few ingredients with delicious results.

Serve them with tahini sauce on the side for dipping.

They make a great addition to a meze meal, to enjoy as a snack, or serve as part of a party platter. It’s no wonder this street food has become so popular.

Ingredients

  • 3 baladi bread (or pita pockets)

For the filling

  • 1/4 large onion (or 1/2 of a small/medium onion), finely chopped
  • 1/4 green pepper, finely chopped
  • 1/2 tomato, finely chopped
  • 1 small clove garlic, minced
  • 1/2 lb ground beef (80% – don’t use lean for this)
  • 2 Tbsp chopped parsley

For the spice seasoning*

  • 1/2 tsp salt
  • 1/4 tsp coriander
  • 1/4 tsp cumin
  • 1/8 tsp paprika
  • 1/8 tsp allspice
  • 1/8 tsp cinnamon
  • 1/8 tsp cardamom
  • 1/8 tsp pepper

Instructions

  1. Preheat the oven to 400F. Brush a large baking sheet with a little oil.
  2. Either cut the bread rounds in half, or cut a slit along the edge on one side, around 2 inches in length.
  3. Finley chop the onion, pepper, and tomato, and crush or finely chop the garlic.
  4. Mix together the ground beef, onion, pepper, tomato, garlic, parsley, and spices. Mix everything well so the ingredients are well-distributed – this is often easiest done by hand.
  5. Divide the mixture between the bread rounds and fill as far as possible, taking care not to break the bread. Spread the filling relatively evenly towards the edges.
  6. Place the filled bread on the baking sheet and brush both sides of the bread with a little oil.
  7. Bake in the preheated oven for approximately 15 minutes, then turn and bake for another 5 minutes, approximately, until the bread is browned and gently crisp on both sides and the meat is cooked through. (The center of the filling should register 150-155F when with a thermometer.)
  8. Best served warm, or they can be served at room temperature. Typically served with tahini sauce on the side to dip.

Hank Williams, Jr. – “A Country Boy Can Survive” (Official Music Video)

Nap time

x
x

Betty White commercial!

Betty White made her way into America’s heart, not on television but on the radio. She started her career in the 1930s on a dramatic radio program called Empire Builders. At this time, she was only eight! Of course, White was an ambitious youngster, so she was not satisfied with just being on the radio.

White auditioned for many parts throughout the 1940s, finally securing her first break. It wasn’t a movie role but rather a small television commercial, thanks to a conversation with producer Frank Van Hartesveldt in an elevator.

Her line? Just saying the word “Parkay,” the butter brand. She nailed it, obviously!

After this small success, she never looked back. White would go on to feature in many commercials throughout a storied career.

WTF?

You have to be shitting me? You have to pay to use a toilet????

x
x

Merle Haggard – Are the Good Times Really Over (I Wish a Buck Was Still Silver)

I could also sing this song at a KTV.

Betty White loved animals

One of the things that made Betty White so lovable is that she had so much love to give! She even had enough love for our four-legged friends. She hosted a show called The Pet Set in 1971, which featured famous people and their pets!

White also turned down a movie role because of her love of pets. She was offered the role of Helen Hunt’s mother in the movie As Good As It Gets, starring Jack Nicholson. One of the movie’s most memorable scenes showcases Nicholson’s character getting frustrated with a dog outside his door. Nicholson’s character then throws the dog down his apartment building’s trash chute. While I don’t think this scene was written to be deliberately cruel to animals, White would not stand for it. She asked the director (James L. Brooks) if they could cut or rewrite the scene. They refused and recast White’s role instead (to Shirley Knight).

It is certainly rare for an actor to turn down a role out of principle. That’s another reason you can’t help but love Betty White!

Charly McClain Danicng Your Memory Away

China story

I never heard such a thing. But, it’s a cute story. Eh?

x
x

Lemonheads -Rudderless

Great KTV song.

TV CLAIM: Nuclear Bomb Set in Mykolaiv – Will Be Detonated By Ukraine to Blame Russia

Television stations in both Russia and in Ukraine are broadcasting claims that a nuclear bomb is in Mykolaiv, Ukraine, and will be detonated.  Yet in Russia, the TV stations say this is a Ukrainian plot to blame Russia so as to get NATO to come into the war, but in Ukraine their TV is saying it is a Russian Bomb because Russia is losing the war.

HAL TURNER ANALYSIS AND EDITORIAL OPINION

With BOTH sides now claiming there is a nuclear bomb in Ukraine that WILL be detonated, many on both sides are being lead to believe this is now factual – although there is NO PROOF.

The map below shows Mykolaiv, so readers can familiarize themselves with the area:

x
x

For its part, the United States and its NATO vassals, have been playing this up for weeks in the western media, falsely claiming that somehow Russia is losing the war, and must therefore resort to a nuclear attack.

For its part, Russia has pointed out that Ukraine had the largest standing army in Europe when Russia began its Special Military Operation in February.  When it began, Russia committed less than ten percent of its actual army to the fight.

In less than 6 months, that ten percent of the Russian Army destroyed the Ukraine Army so badly, they had to start asking for donations of weapons from NATO, and begin conscripting men all the way up to 60 years old.

In fact, just this week, Ukraine is enacting legislation for conscripting boys ages TWELVE (12) thru seventeen (17).

Russia also points out that in those 6 months, Russia has taken 163,000 square kilometers of Ukraine, and has legally annexed four full states: Luhansk, Donetsk, Kherson, and Zaporozyhe.  If that’s “losing” then there must be some strange, new, definition of “losing.”

As such, the Russians say, Russia has no need and no plans to use any nuclear weapons.  Besides, they say, if a nuclear bomb detonates in Ukraine, the fallout will likely drift into Russia and they definitely don’t want that.

These facts are irrefutable, and do favor Russia’s position that there is no need to use any nuclear device.

Speculation about Ukraine, however, is another story.   It is factually correct that Ukraine’s Army has been decimated on the battlefield.  It is factually correct that Ukraine had to begin conscripting men as old as age 60.   It is factually correct that Ukraine is now enacting legislation to draft boys ages 12 thru 17.

With these established facts, it is clear to any thinking person that Ukraine is in dire straits and needs NATO to enter the war in order to have any chance of even surviving, never mind winning.  The ONLY way to get NATO to enter the war, is if Russia uses Chemical, Biological, or Nuclear weapons.

So the notion that Ukraine is going to detonate a nuke so as to trigger NATO’s entry into the war, is not far fetched at all.  Ukraine is losing the war, it desperately needs NATO entry and that would be achieved if a nuke detonates.

The question, of course, is . . . . who gave Ukraine the nuke?

That aside, if a nuclear explosion does take place anywhere in Ukraine, and Russia is immediately blamed, it is widely expected that NATO would immediately enter the hostilities on the side of Ukraine, and by doing so, would instantly trigger World War 3.

Russia, if they actually were NOT the ones who did it, would see this as having THEIR TROOPS hit with a nuclear attack which, under Russian nuclear doctrine, would then permit Russia to use nuclear weapons.

Result: Instant nuclear war.   With no warning for any of us.

That is the precarious situation the world finds itself in this 21st Day of October, 2022.

God Help us.

The Lemonheads – My Drug Buddy (Video)

Another great KTV song.

Imeruli Khachapuri (Georgian Cheese Bread)

This Imeruli Khachapuri is a delicious Georgian cheese-filled bread. You can eat it as a snack or pair it with a fresh vegetable salad for a full meal. This recipe is very easy to make and perfect for beginners.

x
x

What is Khachapuri?

Khachapuri is a traditional Georgian savory cheese-filled bread.

There are quite a few variations of this dish from different regions of Georgia.

For example, there is Adjaruli (Adjarian) khachapuri, which is a boat-shaped bread topped with melted cheese and egg. There is also Megruli (Megrelian) khachapuri which is a cheese-stuffed bread with the additional rich layer of cheese on top.

And, possibly the most popular one, Imeruli (Imeretian) Khachapuri is a flatbread with a cheese-based filling.

What Cheese Should I Use to Make Imeruli Khachapuri?

Khachapuri is traditionally made with a mix of local Imeruli and Sulguni cheeses, which are very popular in Georgia. It’s also often made with just Sulguni cheese.

But is it possible to find these cheeses if you live somewhere far away from Georgia?

Or, maybe, there are some cheeses that are similar and could be used instead?

x
x

I decided to find out, and visited a grocery store that specializes in European products.

I asked if they sell Imeruli or Sulguni cheese or something similar. I didn’t have any luck with Imeruli cheese. But to my surprise, I was told that they did in fact have Sulguni cheese available at the store. Of course, it wasn’t imported from Georgia, but it still had a Sulguni cheese label on it.

The lovely grocery store clerk also explained to me that the Sulguni cheese is also sold labeled as Spanish or Portuguese Fresh Cheese.

Substitutions For Sulguni Cheese

Even if you don’t find Sulguni or Spanish Fresh Cheese, you can still make delicious khachapuri.

An excellent substitution for Sulguni cheese is low moisture mozzarella cheese, which can be mixed with feta or dry cottage cheese to make the filling for this cheese bread.

x
x

Do I Use Yeast in Imeruli Khachapuri?

The dough for khachapuri can be prepared with or without yeast.

I prefer to make it without yeast because it’s faster, and Imeruli khachapuri doesn’t really need to rise that much.

The variation without yeast is usually prepared using matsoni, which is a fermented dairy product popular in Armenia and Georgia.  It’s also an ingredient that you probably won’t find in your grocery store if you live in North America or Australia.

Matsoni can be replaced with kefir, buttermilk, or plain yogurt.

How to Make Imeruli Khachapuri

Start by preparing the dough and the filling following the instructions provided in the recipe card.

Once you are ready to shape the khachapuri, follow these four steps:

x
x

Step 1. Lightly dust a smooth, dry surface with a little bit of flour to make sure the dough doesn’t stick. Take a dough ball, place it on the prepared surface, and start flattening it with your fingers forming a circle. You can also use a rolling pin.

x
x

Step 2. Place 1/4 of the filling onto the dough.

x
x

Step 3. Pull the edges of the dough to the top and pinch them together. Press firm because you don’t want the dough edges to fall apart when you start rolling the khachapuri in the next step.

x
x

Step 4. Flatten the khachapuri again, first with your fingers and then with a rolling pin—this time you have to be careful not to tear the dough. If you see an air bubble forming inside, punch a hole in the dough with a toothpick or with the end of a knife to let out the air. Try to roll the khachapuri as thin as you can or at least 6.5 or 7 inches in diameter.

Now It’s Your Turn

If you live far away from Georgia in a country that doesn’t allow to import Georgian dairy products and decide to make some khachapuri, you will have to make a few substitutions. But don’t let it stop you!

Even if you don’t have access to matsoni or Sulguni cheese, you can still make delicious Imeruli khachapuri.

Making khachapuri is a great way to start exploring Georgian cuisine and learn more about the rich culture of this beautiful country.

Ingredients

For the dough

  • 3/4 cup kefir, buttermilk, or plain yogurt, room temperature or slightly warm
  • 1 egg, beaten
  • 1 Tbsp sugar
  • 1/2 tsp salt
  • 1/2 tsp baking soda
  • 2 cups all purpose white flour + 1/4 cup for flouring the surface
  • 2 Tbsp vegetable oil
  • 2 Tbsp butter

For the Filling

  • 1/2 pound Sulguni cheese*
  • 1 egg, beaten (optional)
  • pinch of salt (optional)

Instructions

Make the dough**

  1. Add the kefir, egg, sugar, and salt to a large bowl. Mix to combine.
  2. Add the baking soda and mix for a few seconds until you see the bubbles.
  3. Sift half of the flour into the bowl with the wet ingredients and mix with a wooden spoon or spatula until smooth consistency.
  4. Add the vegetable oil and continue mixing until the oil is incorporated into the dough.
  5. Sift the rest of the flour into the bowl and start kneading the dough with your hands.
  6. Scrape the dough onto a lightly floured surface. Knead the dough until it’s smooth and no longer sticky.
  7. Divide the dough into four parts and form balls. Put the dough balls back into the bowl and cover the bowl with plastic wrap and a tea towel. Set aside to let the dough rest for about 20 to 30
    minutes.

Prepare the filling

  1. Grate the cheese, place it into a bowl. Add the egg if using, mix, and and season with salt. Divide the filling into four portions.

Shape the khachapuri

  1. First, flatten a dough ball with your fingers and then roll it with a rolling pin into a circle.
  2. Place ¼ of filling in the middle of the dough circle.
  3. Pull the edges of the dough to the top of the filling and pinch them tightly together to enclose the filling inside.
  4. Carefully flatten the khachapuri using your fingers and/or a rolling pin. Be careful to not tear the dough. If you see a bubble forming inside, pinch the dough with a toothpick to release the air. Try to roll as thin as you can or at least 6.5 or 7 inches in diameter. Repeat with each dough ball.

Cook khachapuri

  1. Melt the butter in a microwave or on the stove top.
  2. Heat a skillet over medium heat***.
  3. Place a khachapuri onto the skillet and cook until golden and lightly charred in some places, for about 3 minutes, flip and cook on the other side.
  4. Once the khachapuri is cooked through and the cheese has melted, transfer it onto a flat plate and generously brush with the melted butter.
  5. Repeat with the remaining khachapuri. Serve right away.

Notes

 

*If you can’t find Sulguni cheese, use low moisture mozzarella mixed with feta cheese or dry cottage cheese. I find 2 parts or mozzarella and 1 part of feta or dried cottage cheese works well. Make sure to taste for salt content.

**If you have a standing mixer, you can use it to make the dough.

***It’s usually recommended to cook khachapuri on a dry skillet. But on some skillets, khachapuri tends to burn if no oil is used. So, when it doubt, lightly oil the skillet with vegetable oil.

Listen to the Radio 1982 Don Williams

FOUR **MORE** REGIONS IN UKRAINE TO HOLD SECESSION REFERENDUMS TO JON RUSSIA

BREAKING NEWS – SAT. OCTOBER 22, 2022 — Four more Oblasts (states) presently in Ukraine, will hold Public Referendums on Seceding from Ukraine to join Russia!

We are talking about the Nikolaev, Odessa, Dnepropetrovsk and Kharkiv regions.

More details coming . . . Check back.

The Lemonheads – “It’s A Shame About Ray” Live on Letterman

Moscow Hospitals Ordered to Equip and Stock Operating Rooms in BOMB SHELTERS

The Moscow, Russia, Public Health Authority has issued ORDERS to all hospitals to commence equipping and stocking Operating Rooms in the BOMB SHELTERS located in or near each hospital.

If the Hospital does not have its own Bomb Shelter, it must equip and stock an operating room in the nearest actual bomb shelter, starting immediately.

This ORDER comes just one day after a Presidential Decree placing all regions of Russia on “Basic war Preparation” with several Border regions placed on Elevated War Preparations, and four areas of former Ukraine placed on High War Preparation.

On Going Seriously Boom

A visualization of what Western imbeciles are playing with, that could finish us all off.

From HERE
.

Pleasurable excitement ripples through the usual boredom of Washington, and the resident curiosities enjoy exquisite frissons, over the possibility of nuclear war over the Ukraine.

Some official of the EU, or maybe it was the mediocrity in the White House with the truculence problem, but anyway, one of the geniuses ruling the planet’s fate, has said that if Russia used nukes, the Russian army would be destroyed, grrr, bowwow, woof.

Exactly how it would be destroyed, the sayer didn’t say.

Anyway, the threats and counter threats swirl around the idea that a nuke war between Russia and the West might occur. Maybe, with tactical nukes in the Ukraine, about which nobody gives a rat’s nether region.

The world is full of damned fools.

But: The general staffs of both Russia and China are, whatever else you may think of them, sane.

They know of America’s massive nuclear forces.

They are not going to launch an atomic war.

Sane behavior cannot be relied on with Washington’s second-rate lawyers, but the generals in the Pentagon are not crazy.

They like hobbyist wars and big budgets, but if Biden ordered a nuclear strike, they would be likely to suddenly remember that Congress has to declare war and, seeing that their radar screens were empty of incoming missiles, say, “Mr. President, we are not authorized to do that.”

And recommend a committee.

What would such a war be like? Let’s guess.

America is fragile.

We don’t notice because it works smoothly and because when a local catastrophe occurs—earthquake, hurricane, tornado—the rest of the country steps in to remedy things.

The country can handle normal and regional catastrophes.

But nuclear war is neither normal nor regional. Very few warheads would serve to wreck the United States beyond recovery for decades. This should be clear to anyone who actually thinks about it.

Defense is impossible.

Missile defenses are meaningless except as money funnels to the arms industry. This is not the place to go into decoys, hypersonics, Poseidon, maneuvering glide vehicles, bastion stationing, MIRV, just plain boring old cruise missiles, and so on.

Coastal cities are particularly easy targets, being vulnerable to submarine-launched sea-skimming missiles.

Washington, New York, Boston, San Diego, Los Angeles, San Francisco, and Seattle for starters— all gone.

A modern country is a system of systems of systems, interdependent and interconnected—water, electricity, manufacturing, energy, telecommunications, transportation, pipelines, and complex supply chains.

These are interconnected, interdependent, and rely on large numbers of trained people showing up for work.

Modern warheads are not the popgun squibs of Hiroshima.

Talking of repair any time soon after the nuclear bombing of a conurbation is foolish because the city would have many hundreds of thousand of dead, housing destroyed, massive fires, horrendously burned people with no hope of medical care, and in general, populations too focused on staying alive to worry about abstractions like supply chains.

The author paints a picture that even idiotized populations can use to understand the danger hanging over all of us. And all of it, let’s make that clear, is criminally unnecessary.
.
The elimination of transportation might cause more death than the bombs. Cities, suburbs, and towns cannot feed themselves. They rely on a constant, heavy influx of food grown in remote regions.
.
This food is shipped by rail or truck to distribution centers, as for example Chicago, whence it is transshipped to cities like New York. Heavy megatonnage on Chicago would disrupt rail lines and trucking firms. Trains and trucks need gasoline and diesel which come from somewhere, presumably in pipelines. These, broken by the blast, burning furiously, would take time to repair. Time is what cities would not have.
.

What would happen in, say, New York City even if, improbably, it were not bombed? Here we will ignore the likelihood of sheer, boiling panic and resultant chaos on learning that much of the country had been flattened.

In the first few days there would be panic buying with shelves at supermarkets being emptied.

Hunger would soon become serious.

By day four, people would be hunting each other with knives to get their food. By the end of the second week, people would be eating each other. Literally. This happens in famines.

Most things in America rely on electricity.

This comes from generating plants that burn stuff, usually natural gas or coal. These arrive on trains, which would not be running, or in trucks, not likely to be running. They depend on oil fields, refineries, and pipelines unlikely to function. All of the foregoing depend on employees continuing to go to work instead of trying to save their families.

So—no electricity in New York, which goes dark.

This means no telephones, no internet, no lighting, and no elevators. How would this work out in a city of high rises? Most people would be nearly incommunicado in a lightless city.

Huge traffic jams would form as people with cars tried to leave—to go where?—as long as gasoline in the tank lasted.

Where does water come from in New York?

I don’t know, but it doesn’t flow spontaneously to the thirtieth floor. It needs to be pumped, which involves electricity, from wherever it comes from to wherever it has to go. No electricity, no pump. No pump, no water. And no flushing of toilets. River water could be drunk, of course.

Think of the crowds.

In all likelihood, civil society would collapse by the end of the fourth day.

The more virile ethnics would surge from the ghettos with guns and clubs to feed. Police would have disappeared or be either looking after their families or themselves looting.

Civilization is a thin veneer.

The streets and subways are not safe even without a nuclear war. The majority would be unarmed and unable to defend themselves. People who had never touched a gun would suddenly understand the appeal.

If you think this would not happen, give my best to Tinker Belle.

Thus it would not be necessary to bomb a city to destroy it, only to cut it off from transport hubs for a couple of weeks.

An attacker would of course destroy many cities in addition to the necessary infrastructure. Those who plan nuclear wars may be psychopaths, or just insular geeks fiddling with bloodless abstractions, but they are not fools.

They have carefully calculated how to most seriously damage a target country. In no more than a couple of months, perhaps two hundred million people would starve to death. Do you think this fantastic?

Tell me why it is fantastic.

Parenthetically, in my days of walking the E-ring in the Pentagon, I read manuals on how to keep soldiers fighting after they had received lethal doses of radiation.

They don’t die immediately and, depending on dosage, might be administered stimulants to keep them on their feet, or so the manuals said. These manuals also discussed whether these walking dead should be told that they were about to die.

The authors used the evocative phrase “terrain alteration” to describe landscapes with all the trees lying on their sides, and we have all heard of “overkill.” After a nuclear war, millions would slowly die of radiation—read up on Nagasaki and Hiroshima—and burned corpses would rot in the streets, too numerous for burial by survivors with other things on their minds.

How would the next season’s crops be planted?

Answer: they wouldn’t be.

Where would fertilizer come from? Parts for tractors, trucks, harvesters? Making these requires functioning factories which require electricity, raw materials, and workers. If the attacker chose to hit agricultural lands with radiation-dirty cobalt bombs, these regions would be lethal for years.

Nuclear planners think about these things.

Among “defense intellectuals,” there is, or was when I covered such things, insane talk of how America could “absorb” a Russian first strike and have enough missiles in reserve to destroy Russia.

These people should be locked in sealed boxes and kept in abandoned coal mines.

Note also that Biden, Blinken, and Bolton, bibbety bobbety boo, and their families, live in DC, the priority target.

While the rats are aboard the ship, they won’t sink it.

If they are discovered boarding a Greyhound out of Washington at three a.m., dressed as washerwomen, it will be time to worry.

Faith No More – Epic

 

It is disheartening to read news out of the United States today

It is disheartening to read news out of the United States today. But, I know from my business dealings that businesses out of America are more keen than ever to work with China. What is the disconnect?

What I am seeing is a two caste system in the United States.

The ruled people; who own and operate businesses, and a ruling class who are crazy, delusional and on drugs with utopian ideological visions that have no basis on reality.

...I ask this because of the stubborn adherence to outdated (meaningless words). Because these guys claim they are "Communists"; the power of what they realize and what they say is down the drain.

"Oh that is just communist babble."

What the heck is communism?? I have asked that many times to this group. As far as I can see it is a theory or a fantasy that has never existed. Even all Soviet leaders stated clearly that "our system is just socialism - - - eventually leading to the true communism - - - ".

To western billionaires and all their main stream media, Communists are those evil people that we must kill by any and all means available. Any form of infiltration or terrorism is fair game when killing "COMMUNISTS". Any amount of budget is worth it, or don't even use a budget; we'll wink at every form of black money. It is what runs the world since 1917.

It is a lame word, meaning that the word does more damage than it communicates good intent. It is only for the "in-crowd".
Why not make up new terminology. But not:

Socialism with Chinese Characteristics, or
Communism with Chinese Characteristics, or
Marxism with Chinese Characteristics, (for a new era).

Don't you have any words of your own? Why use the western words, honed to pierce your heart? And attempt to express your highest motivations with them?

And what is "Capitalism"? I call it the "Cult of Absentee Ownership". In other words, "I" get to Own ever last thing that you need to survive; I own your house, your job, your bank, your insurance, your hospitals, your doctors, your minerals and energy facilities, your farms, your food producers, all distribution of any goods, your refineries, your gas stations, your streets and toll ways, your electric transmission lines, your water systems, your sewer connections, your schools, your teachers, your parks and recreation, your entertainment, your Internet connection, your telephone service, all supplies of clothing, I own your closet.

Wake Up; ass hole. It is all mine, and the first thing when you wake up each morning, you MUST PAY ME, to live.

Why? Because I have my thumb on your neck, and it is going to stay that way. This is glorious FREEDOM and DEMOCRACY, (which I forgot to add, I own your democracy).

The United States today is a pile of oil-soaked shit, on fire,  and the “elected officials” are Hell-bent on spraying kerosene on it.

This crazy mix is not going to do the world any good, and WILL result in a great deal of hardship for Americans.

The Forbidden Thought

The dominating systems of our world require us to feel weak, afraid, and insufficient. They couldn’t continue if most of us didn’t feel that way. And so the friends and operators of these systems must oppose the opposite assumption: That we are not inadequate.

I’ve watched popular culture for a lot of years, and I can tell you that since the 1970s, one concept, above all, has been forbidden in intellectual circles: Man as a glorious creature.

If you’d like to prove that to yourself, say a few things like these at a cocktail party:

  • Western civilization has accomplished so many good things that it’s mind-blowing.
  • Most people are basically decent and don’t need to be controlled.
  • I see so much goodness in humanity.
  • Humanity is ascending toward the gods (or heaven, or whatever).

Then, if you’d really like to see a reaction:

  • The human race is magnificent.

The responses you get should be educational.

Why This Thought Is Hated

One reason why people respond so violently to this idea is simply self-defense: At this point, nearly every adult has built his or her world around the belief that people are bad: They’ve taught it to their children, showed their enlightenment by stating such things at parties and so on. To admit the opposite wouldn’t just be to admit they were wrong; it would tear down their infrastructure of meaning and status. Few people have the courage for such things.

Another reason is simply that they fear being shamed. People who say such heretical things are quickly ridiculed by holders of status. That’s tyrannical, of course, but it’s all too easy to stay inside the conspiracy of compliance. It’s dangerous, even if heroic, to defy powerful people.

Buckminster Fuller described the institutional necessity of inadequacy back in 1981:

There’s a built-in resistance to letting humanity be a success. Each one claims that their system is the best one for coping with inadequacy.

In other words, the dominance hierarchies running things all claim that theirs is the right way to fix human inadequacy. So, if you claim that humans are adequate, you’re also saying that those systems aren’t necessary… and ruling systems don’t like to be called unnecessary.

Promoting Darkness Is a Big Business

It’s also the case that the promotion of darkness is a huge business. News channels are little more than fear delivery systems, but they are a major business. Social media is considerably worse, and it delivers darkness in your own, internal voice.

And, obviously, advertisers need you to feel insecure. Ads that don’t make you feel insecure, inadequate or guilty don’t pull nearly as well as those which do. That’s just a human weakness that’s being scientifically exploited.

It can be interesting to see how all of this appears to outsiders. Back in the 1950s, the new president of Indonesia, Sukarno, visited the US and had this to say:

I find only one fault with Americans. They’re too full of fear. Afraid of B.O. Afraid of bad breath. They’re haunted by the fear that they’ll never get rid of dandruff. This state of mind I cannot understand.

And we shouldn’t understand it either. We are magnificent creatures… the only creatures in the known universe who create willfully and seemingly without limit. We’ve eradicated diseases, learned to feed billions of people, created machines that move us across the ground tremendous distances safely and reliably, created machines that fly us around the world and at incredible speed; we’ve harnessed the information stores of humanity and made them available to anyone, almost for free and almost anywhere.

None of that is arguable, and yet we still think we’re just a step above refuse.

Take An Honest Look

Turn off the TV, turn off your cell phone. Walk through a park for a while to let the stream of negativity subside a bit. Then take a fresh look around.

Yes, some dark things can still be found, but you’ll see most people simply going about their business: working, cooking, shopping, tending to children, driving their cars. They do these things well, or at least well enough, nearly all the time. Shouldn’t they get credit for that?

We are improving, unfolding, evolving creatures. Yes, much improvement remains, but we are moving in that direction.

And consider this, please: The sea of negativity that surrounds us is an anti-evolutionary poison. It serves stasis and sacrifice-collecting. It does not serve progress.

I’ll close with another quote (slightly edited) from Bucky Fuller:

I decided man was operating on a fundamental fallacy: that he was supposed to be a failure. I decided that man was, in fact, designed to be an extraordinary success. His characteristics were magnificent.

We are not inadequate, we’ve just been made to think so.

**

Paul Rosenberg

From Dusk Till Dawn: The bar is full of vampires

Russia Destroys Satellite Uplink Station outside Odessa, Ukraine – all NATO Gear inside

Russia has destroyed the Satellite Uplink Earth-station of the communications center of Ukraine.

To destroy it, a special operation was organized by the Russian Army. After first pinpointing its location, it was hit using high-precision weapons.

The destruction of this earth station – at least for the time being – has totally shut down Ukraine’s secure government communications network, and has stopped the flow of NATO intelligence to Ukraine’s government distribution network. Military planners are no longer able to get real-time intelligence via space satellite communications with NATO!

This creates extremely serious problems for the Ukrainian government to organize operations and secure communications.

“In the area of ​​Palievka settlement, Odesa region, the communications space station of the Government Communications Center of Ukraine was destroyed, ” the Ministry of Defense of the Russian Federation said during a routine briefing.

According to the Russians, “ the consequences of the impact will be seen after the last pass by the Sentinel-2 and WorldView spacecraft.

Intelligence community information is that the earth station was fully outfitted with NATO secure communications gear, and all NATO targeting data passed to the Kiev regime through this center. Its destruction is a massive blow to the Ukrainians.

We Were Soldiers – The Final Battle Scene

Chip war policy hurting US firms more than China

New Commerce Department chip and equipment bans against China are hitting US semiconductor company shares hardest
.

On October 7, the US Department of Commerce expanded licensing requirements for exports of advanced semiconductors and the equipment that’s used to make them to cover all shipments to China and not just shipments to particular companies.

The share prices of companies expected to be affected had already dropped, discounting previously announced sanctions and the downturn in the semiconductor cycle that was already underway.

From their 52-week highs to recent 52-week lows:

  • Intel (INTC) was down 56%;
  • Micron (MU) was down 50%;
  • Nvidia (NVDA) was down 69% (its products having been directly targeted by the Biden administration); and
  • AMD (AMD) (also directly targeted) was down 67%.

Among US semiconductor equipment companies:

  • Applied Materials (AMAT) was down 57%;
  • Lam Research (LRCX) was down 59%; and
  • KLA (KLAC) was down 45%.

Outside the United States, ASML (ASML) of the Netherlands was down 59% from 52-week high to 52-week low. Japanese equipment makers Tokyo Electron (TYO 8035) and Screen Holdings (TYO 7735) were down 50% and 44%, respectively.

Japanese semiconductor makers Renesas (TYO 5723) and Rohm (TYO 6963) were down only 27% and 28%, but they focus on automotive and industrial semiconductors, not the artificial intelligence and high-performance computing devices that obsess the Biden administration. Their 52-week lows were last March.

SMIC (HKG 0981), China’s top IC foundry, was down 40% while TSMC (TPE 2330) was down 43% – a relatively strong performance under the circumstances.

In terms of share price performance and investor returns, American companies and ASML have been hit harder than the Chinese. That might seem ironic considering the measures target China, but it is the market’s discounting mechanism at work.

US government policy is aggravating what was already shaping up to be a severe industry downturn – and friendly fire is a real problem.

On its earnings call on October 13, TSMC announced that it had decided to reduce 2022 capital spending to US$36 billion from about $40 billion due to falling global demand for semiconductors and rising costs.

Management had planned to spend $40 billion to $44 billion this year but said in July that actual spending would be at the bottom of that range. Compared with the $30 billion spent in 2021, projected growth has dropped from a maximum of 47% to 33% and is now 20%.

Mitigating factors for TSMC include a one-year authorization from the US government to continue with the expansion of its facilities in Nanjing and the possibility of a rebound in demand when China’s Covid restrictions are loosened. But TSMC CEO C C Wei also told the media that “We expect probably in 2023 the semiconductor industry will likely decline.”

At the end of September – when announcing results for its fiscal year 2022, which ended on September 1 – US memory chip maker Micron told investors that the company’s capital spending would be cut by a third, from $12 billion to about $8 billion, in the year ahead.

Construction spending should more than double, “to support demand for” the second half of the decade, “but spending on wafer fab production equipment is likely to decline by nearly 50% due to “a much slower ramp of our 1-beta DRAM and 232 layer NAND [the company’s newest and most advanced products] versus prior expectations.”

Furthermore, “To immediately address our inventory situation and reduce supply growth, we are selectively reducing utilization in both DRAM and NAND.” Reports from Micron and its South Korean and Japanese competitors indicate that memory chip production has been cut by about 30%.

Samsung’s approach to capital spending is similar to Micron’s. Its “shell first” strategy is to build clean rooms first so it can install equipment flexibly and rapidly when the time comes. On October 4, Samsung announced plans to launch a 2-nanometer foundry process (matching TSMC) by 2025 and a 1.4-nanometer process by 2027.

As the global economy weakens and US high-end decoupling from China accelerates, the outlook for semiconductor capital spending continues to deteriorate. Last March, market research organization IC Insights forecast a 23.5% increase to $190 billion in calendar 2022.

That industry capital spending figure was reduced to $185.5 billion in August but the announcements from TSMC and Micron point to a sharper decline. Handel Jones, CEO of American consulting firm International Business Strategies, estimates the figure at $160 billion, an increase of only 4% over last year’s $153.9 billion.

x
x

IC Insights itself qualified its August forecast, writing that “a menacing cloud of uncertainty looms on the horizon. Soaring inflation and a rapidly decelerating worldwide economy caused semiconductor manufacturers to re-evaluate their aggressive expansion plans at the midpoint of the year. Several (but not all) suppliers – particularly many leading DRAM and flash memory manufacturers – have already announced reductions in their capex budgets for this year.

“Many more suppliers have noted that capital spending cuts are expected in 2023 as the industry digests three years of robust spending and evaluates capacity needs in the face of slowing economic growth.”

When the dot.com bubble burst in 2000, semiconductor capital spending dropped 55% in two years. The Lehman Shock triggered a 57% decline, also over two years. Now, capital expenditure is dropping back from an all-time record high, suggesting a decline of similar magnitude and perhaps duration.

On October 12, The Wall Street Journal reported that US equipment makers including KLA and Lam Research have halted installation and support of equipment at China’s Yangtze Memory Technologies Co (YMTC) while assessing the new US Commerce Department rules. The share price of Japanese NAND flash memory maker Toshiba (TYO 6502), which competes with YMTC, jumped 10% on the news.

YMTC’s NAND flash memory is good enough for Apple and there is no evidence that its technology was stolen, so this can be considered an escalation of US policy from the punishment of bad actors to an all-out attempt to stifle Chinese artificial intelligence (AI) and high-performance computing and thus roll back the development of China’s economy.

Commencing immediately, the withdrawal of American support staff will crimp Chinese semiconductor production.

In addition, a new Commerce Department regulation that “restricts the ability of US persons to support the development, or production, of ICs at certain PRC-located semiconductor fabrication ‘facilities’ without a license” is already disrupting the operations of Chinese companies.

By forcing numerous executives and engineers of Chinese extraction to choose sides, it brings decoupling down to the personal level.

Data from Tokyo Electron show the company’s total sales of semiconductor production equipment up 2.6 times in the five years to March 2022 (the company’s fiscal year ends in March). The increase was led by a 5.7x increase in China, which grew from 12% to 26% of total sales.

In the two years to March 2022 alone, sales in China increased by 2.7x. That suggests that the Chinese semiconductor industry has purchased enough equipment to see it through the next two or three years, at least.

Tokyo Electron’s performance in other regional markets was not exceptional. Sales were up 2.7x in Korea, 2.6x in the US, 2.5x in Japan, 1.8x in Europe, 1.6x in Taiwan (which started at a high level), and 2.1x in Southeast Asia and other regions.

As Japan’s largest and the world’s third-largest maker of semiconductor production equipment, with a diversified product portfolio, Tokyo Electron is representative of the industry as a whole.

x
x

Source: data from Tokyo Electron

The Chinese can no longer rely on US equipment suppliers and European and Japanese suppliers must follow US rules if their products incorporate US technology, so China will step up its import substitution campaign.

Sanctions on China have already caused large losses for American semiconductor and equipment companies, and more are probably on the way. Furthermore, in the next up-cycle, the China opportunity for foreign suppliers is likely to be much diminished.

Four rooms funny scene – japanese subtitle

Biden Is Now All-In on Taking Out China

The U.S. president has committed to rapid decoupling, whatever the consequences.

By , a senior fellow in the Technology and International Affairs Program at the Carnegie Endowment for International Peace.
.

The United States has waged low-grade economic warfare against China for at least four years now—firing volley after volley of tariffs, export controls, investment blocks, visa limits, and much more. But Washington’s endgame for this conflict has always been hazy. Does it seek to compel specific changes in Beijing’s behavior, or challenge the Chinese system itself? To protect core security interests, or retain hegemony by any means? To strengthen America, or hobble its chief rival? Donald Trump’s scattershot regulation and erratic public statements offered little clarity to allies, adversaries, and companies around the world. Joe Biden’s actions have been more systematic, but long-term U.S. goals have remained hidden beneath bureaucratic opacity and cautious platitudes.

Last Friday, however, a dense regulatory filing from a little-known federal agency gave the strongest hint yet of U.S. intentions. The Bureau of Industry and Security (BIS) announced new extraterritorial limits on the export to China of advanced semiconductors, chip-making equipment, and supercomputer components. The controls, more so than any earlier U.S. action, reveal a single-minded focus on thwarting Chinese capabilities at a broad and fundamental level. Although framed as a national security measure, the primary damage to China will be economic, on a scale well out of proportion to Washington’s cited military and intelligence concerns. The U.S. government imposed the new rules after limited consultation with partner countries and companies, proving that its quest to hobble China ranks well above concerns about the diplomatic or economic repercussions.

In short, America’s restrictionists—zero-sum thinkers who urgently want to accelerate technological decoupling—have won the strategy debate inside the Biden administration. More cautious voices—technocrats and centrists who advocate incremental curbs on select aspects of China’s tech ties—have lost. This shift portends even harsher U.S. measures to come, not only in advanced computing but also in other sectors (like biotech, manufacturing, and finance) deemed strategic. The pace and details are uncertain, but the strategic objective and political commitment are now clearer than ever. China’s technological rise will be slowed at any price.

To understand the strategy behind these new controls, it helps to look at what preceded them. A multitude of U.S. measures have limited the flow of technology to and from China in recent years. Chief among these is the Entity List, which bars designated firms from importing U.S. goods without a license. The number of unique Chinese companies on this list quadrupled, from 130 to 532, between 2018 and 2022. Leading Chinese chip companies, supercomputing organizations, and software and hardware vendors have all landed on the list. Even so, BIS exercised its discretion to license large amounts of nonsensitive exports to listed companies.

Read the rest HERE
.

Funniest TV Show Ever!!

There are three possible scenarios;

[1] A draw. 55% chance.

A status quo is maintained. A polarized world results. A West and an East, and trade between them ends.

This is the “Cold War 2” scenario, and it appears that the world is approaching this situation. The length of duration is unknown.

What is known is that, historically, the cold war will end when one of the major nations undergoes domestic collapse. Then after that collapse, the cold war ends, and a new geopolitical reality takes hold.

The nation that survives is the nation with [1.1] high popularity of it’s government and leaders, that has [1.2] a large manufacturing base, that [1.3] has a strong network of geopolitical strengths, and [1.4] friends, and one that has [1.5] access to energy and [1.6] raw materials. As well as a [1.7] strong currency.

In this scenario, which is highly likely but not guaranteed, we see a decade or longer cold war.

We will see a continued collapse of the United States (and it’s proxy nations) domestically, and an inability to accomplish the simplest basic forms of governance.

There would be great swaths of illness, and death.

The American society would be in complete free-fall, American companies would be in conflict with the desires of the elected, and eventually the discord and turmoil would necessitate a serious change in what the United States is and what it represents.

China, on the other hand, would continue to grow and the growth would accelerate. The “Global South”; home of most of the World’s population, it’s resources, it’s energy and it’s manufacturing would be thriving. While the West; centered by the United States would be approaching mid-18th century level lifestyles.

[2] The USA wins the trade war. <10% chance.

A physical conflict occurs on all levels. The United States destroys China, and in the ruins, carves it up and plunders it. The rest of the world become American proxy nations.

This is the stated goal of both political parties in America, the government, and all the neocon publications. Though the actual words are softer with a great deal of rhetorical fluff.

It is also a fine descriptor for the tone and the pace of all documents out of the White house, and the various American government organs, as well as all of the conservative alternative news outlets.

The problem with this scenario is that it has been constantly tested, revised, tested again, and again, and again. In each and every test, and report, China always wins. The only scenarios that suggest the United States win is when ever Russia is completely destroyed, and reduced to a non-functioning entity, as well as China being isolated with zero support from any other nation.

At this time, because [1] Russia is not collapsed, nor is it in the process of collapsing, and [2] the accuracy of the RAND and War College reports have to be taken at face value, as well as [3] China is not alone, and has a large network of friends globally…

… this scenario appears to be unrealistic, and will probably not occur.

[3] China wins and ends up being dominant in trade. >35% chance

To achieve this scenario, a suppression of the aggressive elements in the United States is necessary. A physical conflict occurs at all levels. Hot hostilities are engaged and implemented by the United States against China in the belief that China is can be effectively suppressed via proxy and other events done in secret.

This scenario clearly shows that the United States mistakes “being peaceful” with “being a pacifist”.

China, a nation with over 6000 years of continuous warfare, takes preemptive action.

Large munitions, of new and novel type, are detonated within the United States geographical land mass. This aggravates an already unstable domestic situation.

China wins and allows the domestic problems internal to the United States to eat it alive. A new world order ensues, and it is modeled by the SEO model. The United States is broken apart into smaller nations. The United States becomes a footnote in the history books.

Contrary to what everyone in the United States believes, Russia and China are joined at the hip as in one brand new nation.

To fight China is to fight the entire SEO.

America doesn’t have the skill, the resources, the energy, the cred, the talent, the knowledge, the desire and the ambitions to accomplish such an enormous undertaking.

Summary

Everyone has opinions, and we all form our opinions based upon our experiences. You all must take note that the nations involved in this situation have better intelligence of what is actually going on rather than what is presented to the public. And because of that, they will act accordingly.

It is precisely this reason, why I have presented the percentage likelihood of scenario manifestation above. Cold war draw at 55% probability.

One last point…

When the CIA remote viewed the year 2025, from their offices in 2008, it was NOT the cold war draw that manifested.

Instead, scenario #3 was that they observed.

The US will collapse because of that. China will feel some mosquito bite for a few days and move on.

What the US did is to push away China’s friendliness and generous overtures to share a loaf of bread. China maturely thought to itself, if China does everything the US will have nothing to live on. Hence it allows room for the US to get a cut so to speak.

But the US, is so full of it fought that China will be cut off and stranded without key technology. Fat hopes. In 3 years China will match them, in 5 years China will be ahead. In 10 years the US will be sitting on a white elephant obsolete technology.

China has the market, the US don’t, China is sitting in Asia and East Asia, the most humongous market. US is straddle between Carribbean, Latin American market and a 30 million Canadian together their market size match a province in China!

The US never learnt, space center, the US cut China out, today it is assembling the most modern and tecnological space center in space, while the white elephant US space center is about to be demolished for good. GPS, China knew the US is unreliable it made a more accurate and higher resolution Beidou, today it commands 2/3 of the world’s market!

Thanks America the fool. You just commited suicide.

Samsung returns back to China!

From Vietnam BACK to China…

https://youtu.be/OYU4FsTHh_I

Cui bono? The Big Picture

by Eric Arthur Blair for the Saker blog

(note: the author is not Russian, knows no Russians and has never been to Russia)

Further to my last “Motive, Means and Opportunity” summary regarding who blew up the Nordstream pipelines (the USA), let us stand back and look at the bigger global picture today. Let us ask “Cui bono?” with regard to the entire Ukrainian debacle.

First of all, we need to be absolutely clear that this insane FUBAR Ukraine situation was 100% concocted, fabricated and engineered by the USA, certainly since the droolin’ Nuland / lamebrained McCain / CIA Maidan coup of 2014, but even dating back before that.

There are few situations in life where one party can be found to be 100% guilty and evil and morally bankrupt, and where the other party is 100% acting in self defence and self preservation.

However history will show that with respect to this Ukraine situation, the USA was 100% the evil aggressor and Russia was 100% acting in self preservation and in defense of civilian Russian speakers in Ukraine.

This is truly a war of good against evil and in case you still haven’t got the message yet, let me repeat: the USA Deep State is EVIL.

This reality is indisputably obvious to any semi-comatose person remotely interested in historical, documented FACTS. For those with any remaining doubts, they need to listen to this comprehensively researched three part podcast summary by a journalist and a military historian (both Anglophones). They actually obtained their information from Western mainstream media sources before those reports and articles mysteriously vanished from the Google search algorithms (or were relegated to 500th priority on the search list) after 24 Feb 2022.

It is unfortunate that the stupid sheeple of the West, probably 99% of the “golden billion”, believe the exact opposite of the Truth, which is testimony to the incredible effectiveness of the relentless lying propaganda pooped out from the AngloEuroZionist mainstream media sewer outlets ever since 24 Feb 2022.

Until the two recent terrorist bombings (Nordstream pipelines and Kerch bridge), Russia had been reacting in a highly restrained manner to the US and US proxy aggravations. The US started provocations many years ago and contemptuously rebuffed multiple opportunities for peaceful settlements. Russia will soon end it. How? With the humiliating defeat of Ukraine for sure, but more widely we will see the economic devastation of Europe (apart from those who buy energy in Rubles – eg Hungary and Turkey) and eventually the destabilization of the USA: perhaps with civil war and revolution in the USA, resulting from its own inevitable economic collapse. The latter two eventualities were never Russia’s intended goal, but will be unintended consequences of the malicious actions of the US and EU themselves, blowback or karma if you like.

So what motivated the USA to provoke Russia to invade Ukraine? What parties hoped to benefit from it (hint: definitely not the US public) and what were the short, medium and long term goals of the US Deep State? Context is everything and we need to view the big picture, which can be found in Andrei Martyanov’s excellent book which was nicely summarized in this review.

In short: US industrial, economic and social decay, all entirely self inflicted over the past several decades, have led to the decline of the USA as a functional society and hence to the impending loss of its unipolar global hegemony. This is a situation that the megalomaniacal “indispensable nation, shining beacon on a hill” ideologues simply cannot accept.

It is vital to understand exactly how this hollowed out mockery of a former empire continues to limp along in a moribund fashion right now, just prior to its complete self-inflicted collapse:

  • Most important is to understand how the US fraudulent “economy” works. The best commentator regarding this is the brilliant Real World Economist* Professor Michael Hudson whose works are too numerous for me to mention https://michael-hudson.com/ In brief, the US economy largely operates as a global blood sucking parasite, by extracting wealth from the rest of the world and by keeping weaker countries under the its jackboot (AKA economic Neocolonialism). The major mechanism for this is the exuberant privilege of the US dollar as the International Reserve Currency, a privilege no other country has.
  • This scam operates in tandem with other mechanisms that were contrived and designed and tweaked and refined to suit the US “rules based order” ever since Bretton-Woods in 1944 (which established the precursors to the World Bank, WTO and IMF, with the simultaneous designation of the USD as IRC), the abandonment of the gold standard in 1971 by Nixon and especially the US creation of the Petrodollar. This was a Godfather type “offer you can’t refuse” that the USA presented to the Middle Eastern Oil producers. It was a protection racket that the Gulf States sequentially signed up to and was completed by 1974. Oil, an essential commodity, could only be bought from the Gulf States in USD, all other currencies were refused. Where could a country get USD from? Why from the USA of course, in exchange for real world products. The Petrodollar enabled the USA to obtain limitless high quality imported products for free by simply electronically “printing” US dollars, quite apart from getting free oil. It was the first class ticket on a gravy train of unimaginable wealth far exceeding the wildest fantasies of the most avaricious, rapacious, greedy robber barons. If the US could get imported goods for free, why bother to fund US domestic industries? Why not offshore their industrial production? So that is exactly what the US did.
  • Petrodollar recycling involved the investment of excess oil profits from the Middle Eastern States in US debt securities / treasury bonds, which further propped up the fiat US dollar, even though the USD had no intrinsic value in itself. The value of the dollar was based entirely on the confidence of those who invested in those US “assets”. It was a confidence trick based on a protection racket. This is what enabled the US to rack up trillions of dollars in debt, backed up by the savings from foreign countries, to fund 800+ US military bases around the world. This is a debt that will never be repaid when this whole Ponzi scheme collapses.
  • All this is coming to an end. The Neocons believe that the only way such US global parasitism can continue to operate is to subjugate the “World Island” ie. Eurasia. To “regime change” Putin and Xi and to ultimately Balkanise the whole of Eurasia, each banana republic to be “led” by a US puppet dictator. This wet dream “strategy” is derived entirely from the well worn CIA playbook. Such actions had been repeatedly inflicted by the US on practically every state of Latin America (the “Monroe doctrine”) and on Global South countries over many decades. The US Neocons believe that the subjugation of Eurasia is the only way to resurrect the dead corpse of Bretton-Woods and the Petrodollar and to force the rest of the world to continue funneling their Real World valuable commodities and products to the USA for free.

Much has been written about the Mackinder declaration that “who controls the World Island controls the world“, a sentiment echoed by Zbigniew Brzezinski in his book ‘The Grand Chessboard’ and certainly adhered to by the US Neocons who run the Deep State. This is why the sock puppet Biden, or more specifically his handlers, have been provoking dangerous confrontations in Ukraine and in the East China Sea ever since Biden’s “election”. The US has gone back to its “gunboat diplomacy” historical roots, thuggish bastardry which worked in the past to bully other countries into compliance, but which cannot work today against nations that now possess hypersonic missiles. Such US behavior is a “hail Mary” pass, the last desperate act of a failing unipolar hegemon.

The above account describes the USA’s long term Grand View, better termed a Grand Delusion. Given the advanced state of decay of the US and the unstoppable rise of China and Russia militarily, industrially, economically and socially, there is zero prospect of the USA prevailing. There are only two possible outcomes: either the USA backs down or there will be global nuclear Armageddon. There is nothing in between.

Morally and ethically speaking alone, the only correct and proper and decent action is for “Exceptionalistan” to back down. They should count themselves lucky that the rest of the world has no appetite for revenge against the US after centuries of it perpetrating genocide, slavery, racism, foreign skulduggery and exploitation of the rest of the world. However the Global South is more preoccupied with their own well being, they will simply ignore the putrefying carcass of the USA, they are only interested in “win-win” interactions with partners who actually play fair, who abide by actual UN International Law and not by the US rigged “rules based order”.

What about short and medium term more limited perspectives? What specific parties hoped to gain from a war in Ukraine? The usual suspects: the Military-Industrial-Complex, the US fossil fuel industry and possibly the US banking/financial sector.

The MIC: How does the US MIC profit from perpetual war? By all rational accounts the US invasion and occupation of Afghanistan was a miserable failure. However the US persisted at it for 20 years, the longest war in US history. Why? Because was a massive financial windfall for the MIC, it was the gift that kept on giving. It funded numerous McMansions and luxury yachts for the MIC executives and MIC Washington lobbyists. Please refer to appendix two at the end of this document for the explanation of exactly how the Afghan debacle, and indeed all of the USA’s endless invasions and interventions, worked in financial favor of the MIC.

Today, sending “billions of dollars” worth of US ordnance to Ukraine (much of it outdated and obsolete) represents a massive financial windfall for the US MIC. It is the only way they could profitably dispose of stuff they could never legally sell. It does not matter if 30% of it goes missing (eg Javelin missiles to be sold by terrorists from car boots) nor if the rest gets blown up by the Russians. Of course, such obsolete ordnance will need to replaced with overpriced new versions to replenish the US inventory, which will be funded by ever larger sums of taxpayers’ money, to the detriment of US publicly funded roads, rail, schools, healthcare, libraries, etc, etc.

The US fossil fuel industry: In my previous “Whodunnit” article I mentioned that blowing up the Nordstream pipelines was the only way that super expensive US LNG could ever be exported to Europe.

US fracked LNG is far more expensive** than piped Russian conventional gas and far more environmentally destructive, with far higher carbon emissions. In order to understand why different energy sources incur vastly different expenses in their production and distribution, it is essential to understand the concept of EROI (energy returned over invested) as well as the full life cycles of the different energy sources: from extraction to processing to transportation to end user. Such explanation is beyond the scope of this article. Suffice to say that high EROI energy sources are cheap to harvest and deliver, however low EROI energy sources are expensive to harvest and deliver and indeed may represent a financial net loss. Such has been true for fracked US shale oil, another Ponzi scam which was never profitable at ANY oil price (even >$100 per barrel). It was a misbegotten project that was bulldozed through using market hubris, blatant fraud, low interest bank loans and inappropriate government subsidies. Such economic stupidity and fraud is also true for the overseas export of fracked US shale gas, even before considering the expensive energy requirements to liquefy it (cooling down to around minus 163 deg C), with continued energy needed to refrigerate it during transportation in specialist highly insulated tankers (now in short supply around the world) and the multi billion dollar investments required for specialist handling at purpose designed export and import terminals (not yet built).

The USA has depleted all its economically viable sources of oil and gas, all its remaining sources have woefully low EROI and hence are super costly (energy wise and hence price wise) to extract, process and transport.

Fracked shale oil is nothing like crude oil, it has the API index and volatility of paint thinner, which is why the trains used to transport it are called “bomb” trains. You cannot make diesel, the indispensable workhorse fuel, from fracked shale oil.

Russia, along with the Caspian area, has in aggregate gone past the peak of oil production, with declining EROI (with only a few fields pre-Peak eg Kashagan). However compared with the USA, the Eurasian oil and gas sources have a far higher EROI, which is IMPOSSIBLE for the US to economically compete with. The fact the the USA is now depleted of easy, cheap oil is the reason they are now stealing oil from Syria and also why they hijacked several Iranian oil tankers. Pipeline terrorism was the only way the US could sell uneconomic LNG to Europe, just as provoking a war was the only way the US could “sell” their obsolete old ordnance to Europe. Dirty tricks and devious skulduggery is how the US “free market” and “rule based order” operates, indeed how it has always operated.

The US financial sector: It was the drug crazed dream of the USA that sanctioning Russia would cause Russia to economically collapse which would then spur a coup d’etat against Putin. This goal backfired spectacularly after Russia demanded energy payments in Rubles, which caused the Ruble to appreciate beyond all expectations.

Sanctioning Russia’s fossil fuel exports only caused the European and global price of oil and especially natural gas to skyrocket, leading to a huge financial windfall for Russia, which by now has largely compensated for the US theft of $300 billion of Russia’s foreign reserves. In times of global uncertainty, many nations move their financial assets into US treasury bonds / securities as a default “safe haven” which has kept the US dollar value afloat so far. However those nations now also realize that their savings could be arbitrarily stolen at any time by the US “rules based order”, hence they are figuring out ways to shift their reserves. At present the European currencies have fallen against the USD, primarily as a result of their own energy sanctions against Russia which has caused the recession of their own economies. The European industrial sectors are poised to collapse from energy starvation. Once the BRICS+ currency arrangements and financial systems, which bypass the USD, get up and running, there will be massive flight of away from US bonds and securities and the massive international repatriation of US dollars back to the US, which will result in hyperinflation and devaluation of the US dollar, resulting in their inability to afford any imports. Along with the deindustrialised condition of the USA, resulting in no significant domestic manufacturing, that all spells extreme poverty for “Exceptionalistan”.

In summary: any initial hopes by the US banking/financial sector that they might benefit from the Ukrainian war have at best resulted in the USD remaining neutral so far, but will inevitably lead to the accelerated collapse of the USD.

CONCLUSION: The USA is screwed. Get over it.

Joe Dirt: Flirting at the fairground HD CLIP

COVERT INTEL: New Symbol and Tactical Armbands for “new” Troops into . . . Ukraine

.

x
x

A new Symbol for military vehicles has been spotted near the Ukraine conflict, it is shown below: A triangle with the number “2” inside it. In addition, a new tactical band, is also now being observed on troops near the Ukraine conflict: Solid Red, as seen in the image above.

These symbols and red tactical arm bands are being observed on military vehicles and troops in Ukraine.

In letter to North Korea’s Kim, China’s Xi calls for communication, unity, cooperation

SEOUL – In a letter to North Korean leader Kim Jong Un ahead of a historic congress of the ruling Chinese Communist Party, Chinese President Xi Jinping said it was more important than ever that Beijing and Pyongyang enhance communication, unity and cooperation, North Korea’s state media reported on Sunday.

The letter was in response to congratulations Mr Kim sent for the congress, which is scheduled to begin on Sunday.

Mr Xi is poised to win a third five-year term as general secretary of the ruling Communist Party, the most powerful job in the country, at the congress.

Mr Xi expressed willingness to strengthen the relationship between China and North Korea, and “make a great contribution to providing two countries and their people with greater happiness and defending peace and stability in the region and the rest of the world”, North Korea state news agency KCNA said.

The reported expression of support comes as North Korea tested a record number of ballistic missiles and made preparations to resume nuclear testing for the first time since 2017.

North Korea says its latest military activities, which also included artillery drills and flights by warplanes, are in response to displays of force by South Korea and the United States, which have staged their own military drills to protest the North’s tests.

From HERE

Kill Bill: Vol. 1 | “My Name Is Buck” (HD) – A Tarantino Film Starring Uma Thurman | 2003

https://youtu.be/2JqFDdvZgHM

China won’t try to become a Western-style democracy. It tried in 1912 and it ended in total disaster.

There is absolutely no reason whatsoever for China to try again. It has nothing to gain. It’s not worth the risk.

There is nothing wrong with China’s current political system. It works well. It has delivered enormous benefits to the Chinese people…

  • China built the world’s largest economy by purchasing power parity in just 35 years, starting from a totally impoverished nation.
  • China finally eradicated extreme poverty in 2020, elevating over 850 million people, according to the World Bank.
  • China built the world’s most spectacular infrastructure of roads, bridges, high-speed rail, airports, etc.
  • China built the world’s second largest military, including its own supercarriers (Fujian), stealth aircraft, and hypersonic missiles.
  • China is at the forefront of space exploration, having built its own navigation satellite system (BeiDou), having landed on the dark side of the moon (Chang’e 4), having landed a rover on Mars (Zhurong), having built its own space station (Tiangong).
  • China protected it population from COVID-19, keeping the death toll to an astonishingly low 5,226. Compare that to USA’s death toll of 1,090,802.

And China did all this without firing a shot. China hasn’t fought a single war since 1979. Western democracies have been embroiled in wars for decades (Afghanistan, Iraq, Libya, Syria, Ukraine, Yemen, etc.).

The challenge for China, if there is one, is that the Chinese aren’t blind and stupid. They can see clearly what’s wrong with Western-style democracy.

Brilliant discussion of Germany’s announcement it knows who destroyed Nordstream BUT will not disclose…

Brilliant discussion of Germany’s announcement it knows who destroyed Nordstream BUT will not disclose it!! And Sweden will not begin an investigation of Nordstream‘s demise.

UK Defense Secretary Ben Wallace takes “emergency trip” to US amid Nuclear war fears

.

UK Defense Secretary Ben Wallace cancelled his appearance in front of the House of Commons Defense Committee on Tuesday and flew to the US for “emergency meetings” in the Pentagon and White House.  The fact that he flew, rather than use secure communications, suggests the subject matter is actual nuclear war and they don’t want comms intercepted.

Armed Forces Minister James Heappey said Mr. Wallace was meeting with his US counterpart for “the sort of conversations that [are] beyond belief really, the fact we are at a time when these sorts of conversations are necessary.”

A defense source said: “The Defense Secretary is in Washington DC to discuss shared security concerns, including Ukraine. “He will be visiting his counterpart at the Pentagon and senior figures at the White House.”

[HD] Twin Peaks – Jimmy Scott sings “Sycamore Trees” in the red room

BMW to axe UK production of electric Mini and relocate to China | BMW | The Guardian

BMW is to axe all UK production of the award-winning electric Mini and relocate it to China, dealing a major blow to hopes that Britain could be a global hub for zero-emission vehicle manufacture.

BMW makes 40,000 electric Minis per year at its Cowley factory on the outskirts of Oxford.

In an article published by the Times on Saturday, it was confirmed that BMW Oxford’s production of electric vehicles will end next year as part of plans to reshape the carmaker’s lineup from 2024.

The move is a further blow to the UK’s ambition to become a leader in global electric car manufacturing, following Honda’s decision to quit Britain in 2016.

BMW’s joint venture with Great Wall Motor means their hatchback and small SUV models will now be made in east China, as will the next generation zero-emission Mini Aceman.

A new, electric version of the largest Mini model, the Countryman, will, BMW has confirmed, be manufactured at its plant in Leipzig.

The rest from HERE

Joe Dirt: You’re my sister! HD CLIP

Most definitely.

Let’s focus on the period from 1979 to today when China made its spectacular economic rise. China did not fight a single war, whereas USA fought dozens of wars and in the process caused unimaginable death and destruction. This is the very definition of evil.

During this same period, USA sanctioned dozens of countries for not complying with US foreign policy and in the process caused untold human suffering. This is the very definition of evil.

During this same period, USA ran the Guantanamo Bay camp which imprisoned around 780 Muslims and tortured dozens of them. This is well-documented and is the very definition of evil.

China has been accused of running “concentration camps” in Xinjiang. However, these camps were vocational schools that gave Uyghurs employment opportunities to live better lives and hopefully make them give up their separatist leanings. Even if you think they were coerced to attend, it’s a damn sight better than being tortured. The Uyghur participants were allowed to go home on weekends to be with their families. What horrors!

Over the past decade, USA supported an evil regime in Ukraine that practiced ethnic cleansing in Donbas. USA pushed Russia into fighting a proxy war thanks to NATO expansion that threatened the very existence of Russia. USA kept the war going, despite Russia’s and Ukraine’s desire for diplomacy, and in the process caused countless deaths. This is the very definition of evil.

Now, USA is trying to foment another proxy war, this time with China over Taiwan. China does not want war but USA is relentless. Pure evil.

What has China done that is so evil?

  • China is overtaking USA economically and technologically.
  • China is helping developing countries build their infrastructure through the Belt and Road Initiative (BRI).
  • China is forging economic and security alliances such as BRICS, RCEP and SCO.
  • China is inviting all nations to participate in its space station program, including the United States (which banned China from the ISS in 2011).
  • China is protecting its territorial integrity in South China Sea and Taiwan. To do this, China is building up its military.

x
x

This kind of evil is nothing compared to American evil.

NATO Starts Nuclear Drill “Steadfast Noon” with 60 aircraft, B-52 bombers and other missile carriers

.

NATO on Monday launched its regular nuclear deterrence drills in western Europe.

The 30-nation alliance has stressed that the “routine, recurring training activity” — which runs until October 30 — was planned before Moscow invaded Ukraine and is not linked to the current situation.

It will involve US B-52 long-range bombers, and up to 60 aircraft in total will take part in training flights over Belgium, the United Kingdom and the North Sea.

The nuclear drills – which do not involve live bombs – are taking place amid heightened tensions after Russia repeatedly threatened nuclear strikes in Ukraine following major military setbacks on the battlefield there.

“Steadfast Noon” is likely to coincide with Moscow’s own annual nuclear drills, dubbed “Grom,” which are normally conducted in late October and in which Russia tests its nuclear-capable bombers, submarines and missiles.

The drills that will involve 14 countries and up to 60 aircraft, including the most advanced fighter jets on the market and U.S. B-52 long-range bombers that will fly in from Minot Air Base in North Dakota, the statement said.

This drill takes place every year, like Russia does, but always over different countries and locations. This time it involves locations & training flights to be conducted over Belgium, Great Britain and the North Sea.

Harley Davidson and the Marlboro Man (5/12) Movie CLIP – Talk is Cheap (1991) HD

What is the situation with democracy in China?

It’s very good. China is a truly democratic nation. However, China’s democracy does not hew to the Western model of democracy which is sadly broken.

In fact, according to Latana’s Democracy Perception Index, the Chinese people regard their country as the most democratic in the world!

Major shift in Putin’s stance on Ukraine

Very interesting.

German companies pour more investment in China, as ‘decoupling’ hype wanes – Global Times

Despite some German politicians and media outlets that hype an industrial “decoupling” from China, German enterprises have been continuously investing in China, attracted by the enormous size of the Chinese market.

The latest example is Kreditanstalt für Wiederaufbau (KfW), a German state-owned investment and development bank, which will lend 69.52 million euros ($67.75 million) for a railway project linking North China’s Tianjin city and Beijing Daxing International Airport. The loan term is 15 years, including a 5-year grace period, China’s Ministry of Finance (MOF) said on Sunday.

The total investment in the project amounts to 11.65 billion yuan ($1.64 billion), MOF said.

If a project is financed by German banks, chances are large that it is also a “sweet pastry” for the global capital markets, Chen Jia, an independent research fellow on international strategy, told the Global Times on Sunday.

Chen pointed out that Germany has been attaching great importance to China’s infrastructure sector when it comes to investment, because China’s infrastructure projects are well known for stable, long-term investment returns.

In the first half of 2022, German companies’ direct investment in China hit a record high, surpassing the level of tens of billions of euros, according to a report by the German Institute for Economic Research, more commonly known as DIW Berlin, which was released in August.

Full article HERE

Black Hawk Down: Help from above

Let me see.

I get five weeks paid holiday leave.

I am entitled to 9 months paid maternity leave, then 3 months unpaid, (men get 2 weeks paid paternity) my job would be waiting for me when I returned.

I was off sick for 4 months, I was paid full pay and I didn’t lose my job even though I couldn’t return to doing the work I did before, an alternative role was found for me.

My company can’t sack me as I am now disabled.

When I was off sick I spent 3 weeks in hospital, I had emergency surgery followed by care in hospital transfer by ambulance to a convalescent hospital to help me recover and since the physiotherapy and lots of medication, the only part of this I paid for is about £110 a YEAR to cover the cost of ALL medication.

I live in a country where religious nuts can’t make laws.

I live in a country where death by shooting is rare.

I live in a country where women can make choices about their own body

My country isn’t perfect but I am happy living here and I am definitely not jealous of people living in USA

Do they have a president for life now?

No. Xi Jinping can be voted out of office in the future if he fails to perform well.

China is not the only country with no term limits. There’s also Australia, Canada, New Zealand, and UK, for example. Tell me, is Canadian Prime Minister Justin Trudeau PM for life?

They’re STILL Doing it: BOSTON UNIVERSITY ‘CREATES’ NEW COVID STRAIN WITH ‘80% KILL RATE’

.

x
x

With life returning to normal as Covid-19 wanes, a new Covid strain which has 80% kill rate has been CREATED in the United States.

A team of Boston University scientists claim that they have made a hybrid virus — combining Omicron and the original Wuhan Beta strain — that killed 80 per cent of mice in a study.

Experts have slammed the scientists for “playing with fire” and engaging in such “dangerous virus manipulation” research which has not been peer-reviewed.

Professor Shmuel Shapira, a leading scientist in the Israeli Government, said: ‘This should be totally forbidden, it’s playing with fire.’

There are theories that a virus manipulation research in China may have started the pandemic.  A lab in China’s Wuhan, where the coronavirus was first detected, carried out similar research on bat coronaviruses.

Dr Richard Ebright, a chemist at Rutgers University in New Jersey said the research is a clear example of gain of function research. “If we are to avoid a next lab-generated pandemic, it is imperative that oversight of enhanced potential pandemic pathogen research be strengthened,” he added.

Researchers extracted Omicron’s spike protein — the unique structure that binds to and invades human cells — and attached it to the original wildtype strain that first emerged in Wuhan at the start of the pandemic.  The scientists call this new hybrid virus “Omicron-S”

The researchers looked at how mice fared against the new hybrid strain compared to the original Omicron variant. “In…mice, while Omicron causes mild, non-fatal infection, the Omicron S-carrying virus inflicts severe disease with a mortality rate of 80 percent,” they wrote in the paper.

However, rodents survived and experienced only ‘mild’s symptoms when they were exposed to the standard Omicron strain.

“It is especially concerning that this new US-government ePPP research – like the previous US-government ePPP research on chimeric SARS-related coronaviruses at Wuhan Institute of Virology that may have caused the pandemic – appears not to have undergone the prior risk-benefit review mandated under US-government policies. If we are to avoid a next lab-generated pandemic, it is imperative that oversight of ePPP research be strengthened,” Ebright said.

We Were Lied To About Vaccines ADMITS Pfizer!

Forever…

Xi Jinping Breaks His Non-Militarization Pledge in the Spratlys

What's China supposed to do? Wait until the British gunboats return before starting to defend itself?

Earlier this week, satellite imagery released by a U.S. think tank shows, for the first time, evidence of military point-defense capabilities on various China-controlled features in the Spratly Islands. The Asia Maritime Transparency Initiative at the Center for Strategic and International Studies found that “China appears to have built significant point-defense capabilities, in the form of large anti-aircraft guns and probable close-in weapons systems (CIWS), at each of its outposts in the Spratly Islands.”

From HERE

If so, why do people want to go to China if they don’t have the same freedoms as in the United States of America?

China provides different kinds of freedom. Frankly, US freedoms are worth shit.

Chinese freedoms:

  • freedom from dying in a pandemic
  • freedom to have food on the table and a roof over your head — in USA, over half a million Americans are homeless
  • freedom to have affordable health care and education
  • freedom from rampant and random gun violence — in USA, mass shootings on a daily basis!
  • freedom from systemic racism — in USA, BLM and “I can’t breathe”
  • freedom from mass incarceration — in USA, the highest number and the highest rate in the world!
  • freedom from opioid addiction on an epidemic scale
  • freedom to have a living wage — in USA, minimum wage is still stuck at 1960s level

So please tell me, what freedoms do Americans have that are worth anything?

Cheesy Hamburger Casserole

This Cheesy Hamburger Casserole is just as easy to make as Hamburger Helper, and you can control the ingredients. Great weekday meal and the kids love it!

x
x

Ingredients

  • 1 1/2 pounds lean ground beef
  • 1 large onion, diced
  • 1 tablespoon canola oil
  • salt, to taste
  • pepper to taste
  • 1/2 teaspoon oregano
  • 2 cloves chopped garlic
  • 1/2 teaspoon paprika
  • one 28-ounce can pureed tomatoes, or your favorite sauce
  • 16 ounce box macaroni noodles
  • 1/2 cup each grated cheddar and mozzarella cheeses


Instructions

  1. Sweat the onions in the oil with a three-fingered pinch of salt. Add the beef and cook it, breaking it up as you do. Add another three-fingered pinch of salt or two, along with the dry seasonings. Add the tomatoes and bring to a simmer, then reduce the heat to low and cook for an hour.
  2. Cook the macaroni in boiling water till it’s half done. Drain it and add it to the tomatoes. (I wanted this to stretch into two meals, so I used the whole box, but if you want your dish to be very tomatoey and beefy, you might want to add only half the macaroni). Stir it into the sauce. Taste it and add cheese and cover or transfer it to a large baking dish and cover it with foil. It can be refrigerated for up to two days, or frozen a few weeks.
  3. If refrigerated bake it in a 400 degree oven till it’s piping hot (about 45 minutes if it’s cold to room temperature). Just before you’re ready to eat, remove the foil, cover macaroni with the cheese and broil till the cheese is bubbly.

US inflation numbers are really bad. Biden says everything is under control

Really bad.

Three Good Pieces On The War In Ukraine

I am busy with renovation work but here are three good pieces about the war in Ukraine:

> Plainly put, the Europeans have been nicely played by the Americans. India should take note of the US’ sense of entitlement. Basically, the Biden administration created a contrived energy crisis whose real aim is war profiteering. 

...

India should expect the defeat of the US and NATO, which completes the transition to a multipolar world order. <
> Increasingly, European publics are likely to blame the United States for policies that fuel inflation and bring on economic recession, especially as their currencies weaken against the dollar. The U.S. sanctions on Russia will be seen by many as self-serving attempts to dominate Western Europe.

A new iron curtain is now being imposed on Russia — this time by Western policy — even as the United States announces more measures to confront and “contain” an assertive China. This will result, inevitably, in more cooperation between Russia and China. Also, the increasing use of economic sanctions to achieve political purposes will encounter push-back with a greater volume of international trade conducted in national currencies other than the U.S. dollar.

As Europe is weakened and more countries suffer from U.S. sanctions, coalitions to resist U.S. dominance will flourish. Geopolitical competition will take precedence over action to deal with common problems, even as international conflict intensifies them.

What all the parties to the conflict in Ukraine seem to have forgotten is that the future of mankind will not be determined by where international borders are drawn — these have never been static in history and doubtless will continue to change from time to time. The future of mankind will be determined by whether nations learn to settle their differences peacefully. <
> What makes the "I stand with Ukraine" version of the Twitter mob unique is that it brings together two forces that used to be sworn enemies of one another—the woke Left and the neoconservative Right. It turns out they share many of the same loathsome ideological and personality traits, and have a similar "slash and burn" approach to political engagement. It's a new political marriage. 

...

This shift is disorienting, but on a purely tactical level, it makes a certain amount of sense. Neocons invented the cancellation game before there was even a Twitter board on which to play it. Neocons arrogantly dismiss the other side's point of view as argued in bad faith and not worth considering, and label anyone who dares question the cause as a heretic or traitor. 

...

Warping the debate in this way allows delusional and contradictory thinking to go unchallenged. Thus, we get the argument that Putin is a madman who will kill indiscriminately to achieve his aims—but he is also somehow definitely bluffing about using nuclear weapons. And he's only using that bluff because he's losing the war—but if he's not stopped in Ukraine, he will go on to conquer the rest of Europe. Putin's regime must fall because he has killed or jailed all the liberal reformers and yoked himself to a hardline Far Right, but somehow he will be replaced by a liberal reformer when his regime collapses.

It's nonsensical, and a real debate would expose some of the delusions in this thinking. But we aren't allowed to have one.

As long as this woke-neocon alliance is allowed to set the terms of the debate, we will continue to see a one-way ratchet toward greater and more dangerous escalation of this conflict. <
Posted by b at 16:22 UTC | Comments (94)
.

Russia’s Medvedev Gets Blunt with Israel

Russia’s Deputy Chairman of its state Federation Council (Senate) had strong words for Israel about supplying weapons to Ukraine . . .

Dmitry Medvedev doesn’t post a lot on his social media (Telegram) account, but when he does . . .  Oh Boy!

Writing on his channel Monday, Medvedev put it blunty:

"Israel seems to be going to supply weapons to the Kyiv regime. A very reckless move. 

It will destroy all interstate relations between our countries. 

I'm not talking about the fact that the Bandera geeks were Nazis, and remained so. Just look at the symbolism of their modern henchmen. If they are supplied with weapons, then it is time for Israel to declare Bandera and Shukhevych their heroes..."

When Medvedev says “It will destroy all interstate relations between our countries.”  he means it.

Israel is sleepwalking right towards Armageddon.

Eggs Benedict

Hot buttered English muffins, Canadian-style bacon, and poached eggs are topped with a heavenly drizzle of hollandaise sauce. Breakfast does not get any better than a classic Eggs Benedict!

x
x

FOR THE EGGS:
  • Salt
  • 2 Tbsp. white vinegar
  • 4 eggs
  • 2 English muffins, split
  • 3 Tbsp. butter
  • 4 slices Canadian bacon
FOR THE HOLLANDAISE:
  • 12 Tbsp. unsalted butter
  • 3 egg yolks
  • 2-3 tbsp. fresh lemon juice

 

 

  1. For the eggs: Bring a medium skillet of salted water to a simmer over medium heat; add vinegar. Crack eggs into 4 small dishes. Slip eggs into simmering water, turn off heat, cover, and cook until whites are just firm, 4 minutes. Transfer eggs with a slotted spoon to a bowl of ice water and set aside.
  2. Preheat oven to 200 F. Toast muffins, then spread with some of the butter. Transfer to 2-4 plates; keep warm in oven. Melt remaining butter in a medium skillet over medium heat. Fry bacon until browned, about 5 minutes. Place bacon on muffins; keep warm in oven.
  3. For the hollandaise: Melt butter in a small pan over low heat. Whisk egg yolks, lemon juice, 1 tbsp. water, and salt and pepper to taste in a heavy, nonreactive saucepan until pale yellow; then cook, whisking constantly over medium-low heat, until whisk leaves a trail in eggs, about 8 minutes. Remove pan from heat. Add butter 1 tbsp. at a time, whisking constantly, until sauce thickens; gradually pour in remaining butter, whisking constantly, leaving milky solids behind.
  4. Reheat eggs in a skillet of simmering water for 1 minute; transfer with a slotted spoon to paper towels to drain. To serve, carefully place egg on bacon, and spoon some hollandaise on top. Garnish with parsley, if you like.

It’s Official: It’s ALL a Lie | Redacted with Natali and Clayton Morris

What is interesting about this video is that it deconstructs the propaganda about Ukraine. It’s fascinating, and disgusting at the same time. The intro is LONG. Start around 37 minutes.

https://youtu.be/5leOO0C56Ug

Commander crossfire and the lashing and thrashing of the insane ignorant

Do you know what I miss?

I miss an A&W root beer in a frosty glass, served in the drive in. And the waitresses that come to the side and serve it to you with the piping hot french fries.

For the longest time, I watched as all the local fast food restaurants were bought up by the big chains of McDonald’s, Burger King, Big Boy, and Jax. Very few remained. Mostly in out of the way small towns.

But when I moved to Milford, Massachusetts, there were a number that remained. And they still kept the old traditions; the girls on skates. The door side, curb side service. The nice cute dresses and all the rest. I’ll be truthful, I’d pay MORE for the experience instead of a standard corporate fast food menu.

Say…

How do you all like the corporate world of big social media? Big government? Big arms manufacturers, Big trolls, and all the rest? Is it really making your life better?

I don’t think so.

Here’s some articles of the signs of the times (not the falon gong bullshit). The world is changing rapidly, and your are going to see a peak out mid to the end of next year. Be ready…

x
x

Pulp Fiction: I’m Winston Wolfe, I solve problems (HD CLIP)

Banana-Chocolate Chip Muffins

All natural fat free yogurt helps make these muffins moist and delicious!

x
x

Ingredients

  • 3/4 cup mashed ripe bananas (about 2 small)
  • 1/4 cup canola or vegetable oil
  • 3/4 cup Yoplait® All Natural Fat Free plain yogurt (from 2-lb container)
  • 1 egg
  • 1 3/4 cups Gold Medal™ all-purpose flour
  • 1/4 cup sugar
  • 1 teaspoon baking powder
  • 1 teaspoon baking soda
  • 1/2 teaspoon salt
  • 2/3 cup chocolate chips

x
x

The Food Crisis Of 2023 Is Going To Be Far Worse Than Most People Would Dare To Imagine

It's NOT a global crisis. It's a regional crisis centered in the Western nations. -MM
.

I am trying to sound the alarm about this as loudly as I can.  The global food crisis just continues to intensify, and things are going to get really bad in 2023.  As you will see below, two-thirds of European fertilizer production has already been shut down, currency problems are causing massive headaches for poor nations that need to import food, global weather patterns continue to be completely crazy, and the bird flu is killing millions upon millions of chickens and turkeys all over the planet.  On top of everything else, the war in Ukraine is going to restrict the flow of agricultural and fertilizer exports from that part of the world for a long time to come, because there is no end to the war in sight.  In essence, we are facing a “perfect storm” for global food production, and that “perfect storm” is only going to get worse in the months ahead.

Global hunger has been on the rise for years, and the UN World Food Program is warning that we are heading for “yet another year of record hunger”

The world is at risk of yet another year of record hunger as the global food crisis continues to drive yet more people into worsening levels of severe hunger, warns the United Nations World Food Programme (WFP) in a call for urgent action to address the root causes of today’s crisis ahead of World Food Day on October 16.

The global food crisis is a confluence of competing crises – caused by climate shocks, conflict and economic pressures – that has pushed the number of severely hungry people around the world from 282 million to 345 million in just the first months of 2022. The U.N. World Food Programme scaled up food assistance targets to reach a record 153 million people in 2022, and by mid-year had already delivered assistance to 111.2 million people.

But as I have consistently warned, this is only just the beginning.

Eventually, there will be billions of people that don’t have enough to eat on a regular basis.

In all my years, I have never seen hunger spread so rapidly.  In fact, there are large numbers of people that are now facing starvation in the backyard of the United States

The United Nations is warning that hunger in one of Haiti’s biggest slums is at catastrophic levels, as gang violence and economic crises push the country to “breaking point”.

Nearly 20,000 people in the capital’s impoverished Cité Soleil area have dangerously little access to food and could face starvation, the UN says,

Across Haiti, almost five million are struggling with malnutrition.

“Haiti is facing a humanitarian catastrophe,” a top UN official said.

But most people in the western world won’t care until they are going hungry themselves.

Unfortunately, that day may be a lot closer than a lot of people ever imagined.

Right now, a whopping two-thirds of all fertilizer production capacity in Europe has already been shut down because of the skyrocketing price of natural gas…

Europe’s fertilizer crunch is deepening with more than two-thirds of production capacity halted by soaring gas costs, threatening farmers and consumers far beyond the region’s borders.

Russia’s squeeze on gas shipments in the wake of Moscow’s invasion of Ukraine is hurting industries across Europe. But fertilizer companies are being especially affected because gas is both a key feedstock and a source of power for the sector.

There simply will not be enough fertilizer for European farmers in 2023.

And there won’t be enough for everyone else that depends on fertilizer production from Europe.

This is a really big deal, because without fertilizer we would only be able to feed approximately half the planet.

Do you want to volunteer to be among those that don’t get enough food?

Meanwhile, the surging U.S. dollar is causing immense headaches for food importers all over the world…

In Ghana, importers are warning about shortages in the run up to Christmas. Thousands of containers loaded with food recently piled up at ports in Pakistan, while private bakers in Egypt raised bread prices after some flour mills ran out of wheat because it was stranded at customs.

Around the world, countries that rely on food imports are grappling with a destructive combination of high interest rates, a soaring dollar and elevated commodity prices, eroding their power to pay for goods that are typically priced in the greenback. Dwindling foreign-currency reserves in many cases has reduced access to dollars, and banks are slow in releasing payments.

The value of the U.S. dollar has been spiking because the Federal Reserve has been raising interest rates.

When the value of the dollar goes up, poor countries have to pay a lot more for food in their own local currencies.

So the Federal Reserve is actually making the global food crisis worse by hiking rates.

But they are going to keep doing it anyway.

At the same time, global weather patterns continue to go completely haywire.

This summer we witnessed the worst drought in Chinese history, Europe endured the worst drought in 500 years, and the western U.S. continued to suffer through the worst multi-year megadrought in at least 1,200 years.

Needless to say, all of this drought is absolutely devastating agricultural production.

According to the Washington Post, “more than 80 percent of the U.S. is facing troubling dry conditions” right now.  In the middle of the country, this has caused a horrific crisis for barge traffic along the Mississippi River…

The barge industry is quite important. It’s crucial for moving aluminum, petroleum, fertilizer and coal, particularly on the Mississippi River and its tributaries. About 60% of the grain and 54% of the soybeans for U.S. export are moved via the noble barge. Barges touch more than a third of our exported coal as well.

Right now the barge industry — and all of us who depend on its wares — is mired in a crisis. Water levels on the Mississippi River Basin are at its lowest point in more than a decade.

Last week, approximately 2,000 barges were struck at one point.

Sadly, very dry conditions are expected “over the next several weeks”, and so things are not likely to get better any time soon…

Low water levels and dredging shuttered barge traffic heading north and south on the Mississippi last week. At one point, more than 100 towboats and 2,000 barges were stuck waiting. The blocked-off section of the river, between Louisiana and Mississippi, reopened Monday. Traffic is limited to one way, according to Petty Officer Jose Hernandez of the U.S. Coast Guard.

That’s certainly better than zero-way traffic, but the Mississippi is still expected to become even more parched. Lisa Parker, a representative of the U.S. Army Corps of Engineers, told FreightWaves that drier conditions are expected over the next several weeks. The river is slurping up water reserves right now, Parker added, but those reserves will eventually run out.

As a result of this crisis, rates to move goods by barge have gone through the roof, and we could ultimately see massive amounts of agricultural produce rot before it can get to consumers

Since many barges are stuck and cannot move at all, barge prices are reportedly hyperinflating. As of this writing, the highest USD per ton price shown is $90.44. Prior to the massive spike, it was under $10 to move a ton of goods.

The vast majority of the now-stranded bean piles and other farm goods were intended for major export terminals in the Gulf of Mexico. While at least some of them appear to be covered and ventilated, how long will they really last before spoiling?

On another note, we continue to see crabs die off at a staggering rate.

In fact, it is now being reported that the winter harvest of snow crab in Alaska has been suspended because the crab population has experienced a catastrophic decline

Alaska officials have canceled several crab harvests in a conservation effort that sent shock waves through the crabbing industry in the region.

Officials canceled the fall Bristol Bay red king crab harvest and, for the first time on record, are also holding off on the winter harvest of snow crab, according to multiple reports.

The decision comes after stark recent population declines of the animals. Data from an NOAA eastern Bering Sea survey shows a 92% decline in overall snow crab abundance from 2018 to 2021, the Alaska Department of Fish and Game confirmed to USA TODAY. An 83% decline occurred from 2018 to 2022, as some small crab entered the population in 2022, according to the department’s Division of Commercial Fisheries.

And thanks to the global bird flu pandemic, birds continue to die in staggering numbers as well.

If you can believe it, nearly 100 million chickens and turkeys have already been wiped out during this pandemic in the United States and Europe alone, and experts are warning that this pandemic will only intensify now that cold weather is arriving.

Those of you that have been to the grocery store lately already know that egg prices, chicken prices and turkey prices have surged to absolutely crazy levels.  At this point, prices are so high that one recent survey found that one out of every four Americans plans to skip Thanksgiving this year in order to save money

One in five Americans are unsure if they will be able to cover the costs of Thanksgiving this year, and one in four plan to skip it to save money, a recent Personal Capital survey found.

The state of economic affairs in President Joe Biden’s America is affecting Americans’ holiday plans. According to the survey, one quarter of Americans are planning to skip Thanksgiving this year to save money, and one in five “doubted they would have enough money to cover the costs of Thanksgiving this year.”

More specifically, one-third expect their 2022 Thanksgiving dinner to be “smaller,” and 45 percent, overall, said they are “finically stressed” by Thanksgiving.

Yes, things are already that bad.

But according to Joe Biden, everything is just fine.  In fact, he says that “our economy is strong as hell”

The comment came during a conversation with a reporter at a Baskin Robbins in Portland, Oregon, who asked the president if he had any worry about the strength of the U.S. dollar amid rising inflation.

With a chocolate chip ice cream cone in his hand, Biden answered: “I’m not concerned about the strength of the dollar. I’m concerned about the rest of the world. Our economy is strong as hell.”

You believe him, don’t you?

Our leaders would have us believe that all of the problems that we are facing right now are just temporary and that a golden new age of peace and prosperity is just around the corner.

But if that is true, why are they so eager to have us eat bugs?

A tremendous amount of time, energy and resources is being put behind a campaign to promote insects as one of the solutions to the rapidly growing global food crisis.

But I don’t plan to eat bugs, and I am sure that you don’t either.

Unfortunately, there isn’t going to be nearly enough food for everyone on the planet in 2023, and millions upon millions of deeply suffering individuals will soon be desperately hungry.

They can push bug eating all they want, but that isn’t going to fix our problems.  Right now, they have absolutely no solutions that will prevent large numbers of people from starving to death during the difficult years that are in front of us.

 

*

Harry Tuttle…heating engineer at your service (or Have you got a 27B-6?) – [Brazil movie]

Declan Hayes
October 13, 2022
.
To call the savages General Armageddon faced in Syria or the Nazis he now faces in Ukraine devils would be to libel devils, Declan Hayes writes.

General Sergey Surovikin, aka General Armageddon, the new commander of Russian air, land and sea forces serving on the Ukrainian front, is blessed to have served alongside Syria’s Brigadier General Soheil Hassan, aka The Tiger, whom the late Robert Fisk said was one of the scariest men he had ever met in his long and very colourful life.

Sadly, when one is faced with hordes of jacked up jihadists or NATO funded Nazis, one needs the Tiger, General Armageddon and the Armed Forces of Syria and Russia they command to save the day, as the songs of John Lennon and George Harrison just don’t cut it.

To read NATO’s accounts of these two heroes, who can be seen photographed together in this article, one would imagine they obliterate their enemies for fun and not out of necessity.

In that, NATO’s media jackals deliberately conflate these men with their enemies, NATO’s proxies in both Syria and Ukraine, whose war crimes are as well documented as they are ignored by NATO’s media and political mouthpieces.

General Hassan’s Tiger Forces, as the cutting edge of the Syrian resistance, drove NATO’s killers out of each of their bolt holes, one after the other.

Their patriotic campaign was made much easier by the logistics and other practical support Iran and Russia gave them and the irregulars supporting them. At the heart of that support was General Surovikin, aka General Armageddon, a veteran of the Chechen and other campaigns.

Because it suggests that he obliterates all that stands in his way, Surovikin’s Armageddon NATO nickname is infinitely more revealing of NATO’s hypocrisy than it is of how either Surovikin or the front line Russian forces he now commands fight their wars. It is the Americans and their British and other toadies who fight like they are The Four Horsemen of the Apocalypse as witnessed by their shock and awe terror campaign on Iraq and how they levelled the Iraqi city of Fallujah and the Syrian city of Raqqa and all therein.

The Americans destroyed Raqqa because the combined forces of Russia and Syria, along with their gallant allies from the Fertile Belt, stood on the verge of victory.

The Americans destroyed their own expendable stooges so they, in Syria’s east, in conjunction with Israel’s air force in the west and south, could continue to pulverize the women and children of Syria and steal their resources.

General Armageddon’s Russians, on the other hand, boxed differently.

They brokered regional peace deals throughout Syria and even allowed NATO’s cut-throat retreat on the green evacuation buses to Idlib. But even there, NATO’s ISIS operatives could not act honorably and there were several instances of those savages murdering the drivers, with their most egregious crime being the slaughter of 120 Shias, over 80 of them being children, in the 15 April 2017 al Rashidin bus bombing, the facts of which Irish Foreign Minister Simon Coveney and NATO’s other morally challenged assets in Ireland and Syria are hell bent on suppressing.

To call these savages General Armageddon faced in Syria or the Nazis he now faces in Ukraine devils would be to libel devils. This is not to say that the Russian Armed Forces are cuddly creatures who spend their down time strumming John Lennon and George Harrison numbers on their ukuleles.

They are not.

What they are is a disciplined fighting force who give their enemies plenty of prior warnings before they take their gloves off and put their knuckle dusters on.

NATO, on the other hand, knocks out civilian structure and obliterates anything that moves on day one..

That is what they did in North Korea, Raqqa, Fallujah and countless other places that need not now detain us, except to say that General Armageddon’s forces have not yet brought such apocalyptic firepower to bear in either Syria or Ukraine.

This is to bring us to the important point that we are not armchair Napoleons who can opine with any authority on what the forces of Russia, Syria or Iran’s Quds force should do on any of their various fronts. Though the Tiger likes his poetry, war is not a time of poetry books but a time of strategy, tactics and cold, hard and calculated moves with the pieces one has. It is a time for the Wagner Group, Kadyrov’s Chechens, Hassan’s Tiger Forces and General Armageddon to do what they excel at and, under General Armageddon’s command, to do it in Wagnerian harmony.

This is not to write off Lennon’s Give Peace A Chance or Harrison’s Bangladesh songs but to sing with the Byrds, that “there is a time for every purpose under heaven, a time of love, a time of hate, a time of war, a time of peace”. NATO likes a good war, as long as it can profit from the carnage and its own military aged youngsters don’t spit the dummy. That is why they expend so much resources on cross wiring our youth.

Though the time for peace is predicated on other variables we elucidate below, first see how NATO has thoroughly permeated modern culture to stop peace getting its chance. Don’t even bother looking at that Irish embarrassment Bono sucking up to Zelensky in Kiev or the Bush organized crime family in America. Instead, like the Byrds, “turn, turn, turn” and look at the BBC infiltrating Liverpool’s Kop (called after Spion Kop of Boer genocide infamy) to hijack a vibrant people’s culture they obviously knew absolutely nothing about and, like Hitler and Mussolini (forget that Duke of Wellington public schoolboy crap) before them, cared about only in so far as it could further their imperial designs, in banning Russia from Qatar 2002, as much as politically emasculating England’s gullible youth from 1964 onwards. NATO’s BBC parasites have warped the Beatles’ songs of peace not only in Liverpool and in Belmarsh Prison where, Julian Assange, the truest of today’s peace advocates, is incarcerated in a dungeon that should instead hold John Bolton, Tony Blair, Bill Clinton and the other lickspittles of NATO’s evil empire.

Though it is true that the genie who is Julian Assange lies incarcerated, if the barbarians in His Majesty’s Foreign Office, in MI5 and in their equivalent American and Australian groupings reading this do not know what powers a genie possesses, let me explain. Because prophets like Assange who speak truth to power show how flimsy such power really is, if and when youth’s idols rally behind Assange’s banner of truth and follow the examples of George Harrison, John Lennon, Roger Waters, Muhammad Ali and many more like them, then NATO’s power will crumble like the ongoing ephemeral nightmare it is.

So, welcome to our Apocalypse, General Armageddon,.

As Acts 2 tells us that our old men will dream dreams and our young men will see visions, let me tell thee my dream is the dream of murdered American school girl Rachel Corrie, the dream of the assassinated Martin Luther King Junior, the dream that NATO will stop their murder campaigns that drench Ukraine, Armenia and the Fertile Belt in so much innocent blood.

For our young men to abandon their football terraces and X boxes to see John Lennon’s vision of giving peace a chance, the vision Rachel Corrie and so many others died for and that Julian Assange is being slowly murdered for, the entire civilized world needs you, General Armageddon, to finish your morning coffee and do what you and the Tiger do best.

God speed.

Labyrinth – As The World Falls Down (David Bowie)

Davor Slobodanovich Vuyachich
October 14, 2022
NATO, apart from being a fake defense alliance and collective security system, is not only a company in the business of wars and arms sales.

In November 2019, French President Macron warned his European allies that NATO was in a state of brain death. Maria Zakharova, the spokeswoman for the Ministry of Foreign Affairs of the Russian Federation, reacted quickly at the time, asserting that Macron had given a very accurate description of the situation in NATO. Although Macron, speaking of NATO’s brain death, was referring primarily to American arbitrariness and the tendency of the USA not to consult with its European and other allies, the diagnosis he gave three years ago remains completely valid to this day.

NATO is a brainless monster that wastes human lives with the same ease with which it wastes the taxpayer funds of the member states of that infamous military alliance. NATO’s official budget for this year amounts to 1.7 billion dollars for military needs and an additional 328 million dollars for the operation of its civilian structures. These figures may actually, seem quite modest when compared to this year’s British and American military budgets, which amount to £48 billion and a whopping $800.85 billion, respectively. However, NATO is a tool of war in the hands of the U. S. and the UK anyway, and it is impossible to separate one from the other, so these budgets simply have to be added together and considered as a single budget.

NATO, apart from being a fake defense alliance and collective security system, is not only a company in the business of wars and arms sales. More than anything else, NATO is a tool of global terror, military pressure, coercion, and blackmail and as such, sooner or later it had to cross lines that can only be crossed once. Like an arrow that has been irreversibly shot and is flying toward Russia, NATO has shown that it does not have mechanisms for maneuvering, retreating, and stopping. In short, one gets the impression that there is no longer any moral or at least rational control over NATO, that is, that it is really brain-dead and therefore very dangerous for the survival of civilization and humanity itself. According to the official version of the interpretation of the global political reality, the democratically elected political leadership of NATO members is the one that manages this military alliance and makes decisions that are an expression of the collective will, based on the principle of consensus.

The official interpretation of the way decisions are made in NATO, unfortunately, is a sweet fairy tale that only the ignorant and naive can believe today. The countries of the European Union and other NATO members, with a few exceptions, are ruled by teams of politicians who are nothing more than ordinary American agents of influence. Today, historians know that after the end of the Second World War, the CIA rigged elections in Italy for decades in order to prevent the completely legal and democratic coming to power of left-wing political parties that were pro-Soviet and that would have led Italy out of NATO and the Western military-political bloc. If those early, initial operations of the CIA in Italy were an exception in their beginning, today they have become the rule, and there is no doubt that overseas power centers completely control the European political scene. If anyone doubts such claims, they should think for a moment and compare, for example, two British prime ministers, Winston Churchill, a top intellectual, charismatic and energetic person, and the current prime minister, Liz Truss, whose intellectual abilities and education, to be very compassionate and considerate since we are talking about a lady, incomparably more modest and beyond any doubt, far below the level required of serious statespeople.

It would be also a crime to compare a political giant like De Gaulle, who in 1966 far-sightedly and wisely withdrew France from NATO membership, and Macron, even though the latter was clever enough to set a brave and quite accurate diagnosis of the disease that NATO is suffering from. So, the USA, or rather, let’s be honest, that notorious American-British deep state, through one of its many tools of terror, that is, through the CIA, practiced the art of soft coups on the Italians, and it was such a successful endeavor that it continued to be used throughout Europe. Thus, in Europe, as well as in the rest of the world under American control, democracy has been de facto abolished. And the clearer it was to the ordinary citizens that democracy was killed, the more often and with Goebbelsian persistence it was mentioned in the empty speeches of European political quasi-leaders — the spineless American puppets. Overseas oligarchs did not want to ever again take risks with strong leaders such as De Gaulle and Churchill, people who had not only charisma but also their own strong political will and decisively acted only in accordance with the interests of their nations.

That’s why those powerful people from the darkest geopolitical shadows, those mysterious masters of our destinies who firmly rule the collective military-political West and their servants, replaced the former authentic national leaders with wretched clowns and third-rate actors. They can easily control those empty-headed puppets exactly because they finance their coming to power and maintain them in those positions for as long as necessary. Despite all the pedantry and thorough work, and such terrifying machinery as the CIA and MI6, it still happened that some people of firm convictions, determined to protect national interests at any cost, broke away from them. That is why Erdogan in Turkey and Orban in Hungary continue to defy the interests of the overseas deep state, even though their homelands are still members of NATO. Let’s remember how in 2016, a military coup was attempted against Erdogan and his legal government with the use of parts of the ground army, gendarmerie, tanks, navy and aviation, which were organized, controlled, and led by a corrupt part of the Turkish army officers. It is very possible that in the near future, similar scenarios are being prepared in Hungary, for Viktor Orban and let us believe that he will be ready to deal with them. Despite those two exceptions to the rule, the truth remains that the control of all other members of the European Union and NATO by the elites for the U. S. and the UK is complete.

This leads us to the simple conclusion that there is no control of the democratically elected leadership of the NATO member states over the largest military alliance in the history of mankind, just as after all, there is no democracy in those countries with a few mentioned exceptions. Macron was right; NATO is an organization without a functional brain. But if that is so, the question arises, who in that case has all this terrifying military power at his disposal? Is American President Biden, as the head of the most powerful state of that alliance, the person who can decide on peace and war, on the continuation of life, or on the increasingly certain nuclear Armageddon that he himself warned about a few days ago? If it is true that Biden is the strongman we are looking for, then Macron’s diagnosis of NATO’s brain death would find a more than appropriate tragicomic coincidence in the evident deterioration of Biden’s mental health. The signs of his illness from Alzheimer’s disease or some other form of degenerative neurological disease followed by advanced dementia are more than noticeable and clear to everyone, both his political opponents and allies. Because of his deplorable mental state, the Republican camp is increasingly announcing that Biden will be impeached at the first opportunity.

However, Biden is not that dark lord of NATO, because such great power could never be in the hands of a politician completely deprived of not only health but also the strength of character, ideological consistency, political will, and charisma. No, Sleeping Joe is just a helpless figurehead in the hands of the really powerful people, which we will unhesitatingly expose in this article. Of course, he is neither the first nor the last American president who only pretends to be that. The last American president who actually exercised all the powers given to him by the U. S. Constitution, who served until the end of his term, and left the White House alive, was Dwight Eisenhower. The next man to try to be the real president of the USA, John Fitzgerald Kennedy, was assassinated before the end of his first term. So, American presidents long ago became puppets with no real power in the hands of the infamous American deep state. That state within the state was created for their needs by the alienated plutocratic elites, which is made up of the crème de la crème of the Jewish business lobby, joined with a subordinate partner, the Anglo-Saxon business lobby, and a multitude of smaller partners.

So, we can safely say that the circles dominated by the Zionist and WASP (White Anglo-Saxon Protestant) powers have real and full control over NATO. Oh, thank God, you’ll say, if only it wasn’t a demented Biden who could push the red buttons by mistake, and you’d be dead wrong. The ruling clan is not an association of young, cheerful and energetic people full of life, but rather a gerontocratic club. They are mostly people who, despite having powers worthy of demigods, have of course, not been able to defeat their own transience and mortality. In order to better understand the psychology of these gerontocrats, you need to abstract for a moment and imagine how you lived a life full of seductive excitements in which everything was always within your reach; from the most glamorous sexual partners, mansions with swimming pools and heavenly gardens, garages full of the most expensive sports cars and private jets to the ownership of companies weighing billions of dollars.

Imagine that you had everything, and that driven by pure greed, you were destroying entire countries and nations with the same ease with which children destroy aliens in video games, but that you face the end of your own life, that you have been prolonging by all possible means for decades, but you are aware that you can cheat death no longer, even though you have billions of dollars at your bank accounts. Unfortunately, in the hands of such people are the doomsday weapons at NATO’s disposal. You will easily conclude for yourself that these people are capable of dragging us all into the abyss of nuclear war because their minds are disturbed by a toxic combination of enormous power and fear of their own transience before which we are all equally powerless. Ordinary people would find solace and the meaning of their existence in peace of religion and dedication to God. However, these people are used to being worshiped by other people all their lives, convinced that with their endless wealth they themselves have risen among the gods and immortals. People overwhelmed by that kind of pain and anger should never be in control of NATO but unfortunately, they are.

NATO is truly brain-dead because there is no control over it by any moral or rational force. Not only NATO but the entire so-called collective political West with all its vassals and pets such as Israel, a conglomerate that we could call Sodom of the modern age, is in the hands of dying old men with a perverted psyche — that is finally, the dead brain we were looking for! The awareness that the future of all of us is in the hands of such people must be deeply disturbing for any enlightened and intelligent person. Unfortunately, no matter how hard we try to deceive and lull ourselves into sweet dreams of security offered by the non-existent Western democracy; this truth remains undeniable and can no longer be ignored. NATO is not led by thinking and moral people, but by a council of old men whose characters have been eaten away by a life of wealth, privileges, and abundance. The armies of officials, officers, and employees of NATO are not actually in charge and they only have the option of applauding catastrophically bad and every day worse decisions. How did the brain-dead NATO bring humanity to the brink of destruction?

A whole series of fundamental mistakes were made when making decisions to start the project of expansion of the North Atlantic Alliance towards Siberia. One of the most fatal decisions was made at the NATO summit in Bucharest in 2008, when the desire of Ukraine and Georgia to join NATO was welcomed and approved. For decades, the people who manage NATO have completely wrongly analyzed and predicted Russia’s possible reactions and its future moves in relation to their increasingly aggressive expansion towards Moscow. Russia’s reaction after that summit was seemingly absent, but in reality, Russia secretly began preparing for an inevitable military conflict with NATO that same year. And if you think that the Russians did not prepare well for this war, it is only because the Russians do not show their cards too early. Let’s recall the shock experienced by the Nazis when they saw the Soviet T-34 tanks in action, which they did not even know existed. The Kremlin is now saving its best and most powerful weapons and tactics for the inevitable full conflict with NATO armies and it is quite certain that Russians will give them a very unpleasant surprise.

Fatal mistakes were also made when creating psychological profiles of Vladimir Putin and his closest associates. Seeing through a former experienced intelligence officer and hardened politician like the Russian president proved to be too difficult a task, but the Anglo-Saxon mind is such that it tries to satisfy the form and do the job as best it can. Vladimir Putin has been profiled as a politician who, guided by the highest religious and moral principles, will never reach for weapons of mass destruction. That is why NATO, with that stupid and arrogant self-confidence so characteristic of the Anglo-Saxons, continued its expansion towards the Urals and the desired prize — Siberia.

The same teams of analysts and experts tremble in fear of North Korea, which has from 20 to a maximum of 55 nuclear warheads compared to Russia’s 6,000 because they estimated that North Korean leader Kim Il-Jong would use his most formidable arsenal and wipe the American military bases in South Korea and Japan off the face of the earth without any hesitation. If Western analysts were a bit wiser, more professional, and less arrogant, they would have realized that under Putin’s inscrutable poker face, there is a strong and old-fashioned, steel-willed Soviet man who is fanatically consistent and determined to preserve the integrity and safety of Russia at all costs — even at the cost of being forced to order Russian forces to use weapons of mass destruction. Only recently, politicians in the West are slowly beginning to realize that Putin is not bluffing at all. Among the first to realize this was former German Chancellor Angela Merkel, who at the end of September warned her colleagues to take Putin’s warnings very seriously.

Much earlier, speaking on October 18, 2018, at the 15th meeting of the Valdai International Discussion Club in Sochi, Putin touched on the topic of a possible nuclear war between Russia and NATO, but then the collective West did not listen to him carefully enough. Putin then said that the Russians, as victims of a nuclear attack, would go straight to heaven as martyrs, while the aggressors would simply drop dead because they would not even have time to repent for their sins. Although on that occasion he emphasized that Russia would never be the first to carry out nuclear strikes on NATO countries and their allies, Putin was severely criticized in the West precisely because of this statement, which was assessed as very aggressive, threatening, and intimidating. Maybe then some light bulbs in the dead brain turned on for the first time, but as I said, NATO does not have any strategy for getting out of situations that lead to armed conflict because it is used to easy victories that do not require withdrawal and regrouping, let alone giving up aggression.

Only in the last few weeks has NATO realized that they may have gone too far with the pressure on Russia and that no matter how confident they were before, there is a more than real possibility of a total nuclear war and the realization of Putin’s warning from four years ago. The computer simulations that NATO uses to practice various war strategies and tactics and their combinations completely lack algorithms that could take into account the famous Russian soul because it cannot be represented simply as a series of numerical parameters. The ability of the Russians to make merciless sacrifices for their motherland, despite having been proven so many times in the past, is still something unfathomable to the mind of Western man, and that is why it has also not been included in the calculations. That is why it is not surprising that the mass special psychological operations of disinformation, deception, and the attempt to manipulate Russian public opinion, whose implementers were mostly Ukrainian operatives who speak Russian perfectly, experienced total failure.

Not only has Putin not been overthrown in the color revolution that Western intelligence agencies have been trying to foment in Russia for two decades, but the Russian president is more popular than ever before. The response to the mobilization, much to the regret of Western analysts, was several times larger than necessary, and this is a fact that the Western mainstream media persistently tries to hide. Instead of the required 300,000 reserve soldiers, over a million applied already, so that the Russian military enlistment offices will have the opportunity to choose the people with the most experience and knowledge among those who already served in the Russian armed forces. Therefore, all the assessments on the basis of which NATO de facto declared war on Russia, which is currently being waged in former parts of Ukraine, that is in Donbas and Novorossiya, were completely wrong. There was no color revolution, Putin has not lost his popularity, the sanctions against Russia are not working and worst of all for NATO, things in Russia itself are slowly moving towards full mobilization. NATO is now in a position where it needs a healthy and functioning brain more than ever before, but it just doesn’t have it. NATO needs an exit strategy from its participation in the war in Ukraine, Donbas and Novorossiya, but the council of dying elders wants one last rush of adrenaline in their blood, and their pride won’t let them to back down as they should.

What kind of country is this Ukraine, when because of it and its supposed sovereignty, because it is in fact just an American blindly obedient vassal, we have come to the very edge of a thermonuclear war? The American business magnate and investor, Elon Musk, has asked himself that question these days — still a young man who wants to live and enjoy the fruits of his labor and therefore, the exact opposite of plutocrats and gerontocrats for whom NATO is just a toy and a doomsday weapon at the same time. And after Musk decided to inform himself better, he was suddenly presented with a picture of Ukraine that is very different from the one portrayed in the Western mainstream media. Suddenly, Musk became aware of all the lies about Russia that the Western public is being poisoned by their mainstream media literally from the end of World War II until today. His proposal to cede Crimea to Russia and to hold another referendum in the four regions that joined Russia, this time under the control of the United Nations, drove the Ukrainian leadership and nationalists into frenzy.

Historically, Ukraine is just a Russian border province, which is the correct translation of its name from the Russian language. As such, it is in fact a part of the glorious and at the same time, often tragic Russian history. However, as a state within a state, it was created by the Bolsheviks after their October Revolution because part of their ideology was the destruction of all nations, in this case, the Russian people. Today, absurdly, it is led by Zionist Jews closely connected to Washington and Israel, like Zelensky himself, even if he is just a mere puppet and a bad actor, while the most hardened Nazis are bleeding and dying for it. Built on Bolshevik, Zionist and Nazi foundations and traditions, this kind of country was predestined to internal discord and ruin.

Until 2014 and the coup in Kiev directed by the CIA and MI6, known as Euromaidan, Russia had cordial relations with its western neighbor if for no other reason, then because of the 8 million ethnic Russians and 18 million inhabitants of Ukraine whose native language was Russian. However, Ukraine stood in the way of NATO’s expansion toward the Urals and Siberia and had to be turned into anti-Russia by all possible means, and used as a weapon against the Russian Federation. In fact, Americans, as we have all realized, simply buy the people they need and their services and it was quite easy for them to find corrupt people in Ukraine. That’s how the American intelligence laboratories created that monster with the body of a pig and the head of a chimpanzee. This mindless beast immediately pounced on defenseless Russian civilians and began a slaughter that was supposed to provoke Russia into a reaction at a time when it was still unprepared for a war that it knew would inevitably mean a full military confrontation with NATO.

Yes, Russia always knew that its eventual military intervention in Ukraine, and former Ukrainian regions, would very quickly, but without any doubt, lead to a full conflict with NATO. The only question is whether the people who really run the North Atlantic Alliance were aware of it. However, after almost eight years of trying to solve the problems diplomatically, in the autumn of 2021, Russia became aware of the accumulation of Ukrainian troops toward Crimea and Donbas and was forced to intervene and that was the beginning of the Special Military Operation that is still ongoing. The relatively small Russian expeditionary forces, far fewer than the Ukrainian ones, lured a large part of the Ukrainian forces into the defense of the capital with a phony blitzkrieg toward Kiev. In the meanwhile, Russian forces made key territorial gains in the south, which was actually their main goal. Instead of attacking and suffering heavy losses, which, according to military theory, would be 3 to 4 times higher than the Ukrainian ones, the Russian generals chose to defend themselves and in that way slowly destroyed the Ukrainian army, forcing them to suffer huge losses as attackers, which in the opinion of military experts, are almost ten times higher than the Russian ones. Now, four former Ukrainian regions: Lugansk, Donetsk, Zaporozhye, and Kherson decided to join Russia in a referendum, thus in a completely legal and democratic way. It is a decision that is irrevocable and that the Russian Federation will defend with all possible means.

However, despite being very intelligently designed, Russia’s Special Military Operation is running out of time. By the time this article is published, the Special Military Operation may evolve into the Special Anti-Terrorist Operation not only in Donbas and Novorusiya but in Ukraine itself. However, if we take into account the recently stated personal position of the Deputy President of the National Security Council of Russia Dmitry Medvedev, it is not impossible that Russia will enter into a full military conflict with Ukraine. Namely, Medvedev asserted that Ukraine with the current Nazi political regime represents a constant, direct, and clear threat to Russia. Much earlier, it has become clear to the Kremlin that the Ukrainian leadership does not have its own political will, which is an expression of the will of the people, and that peace negotiations with them are impossible or at best lead absolutely nowhere.

The goal of the Russian Special Military Operation, however, was originally nothing more than to force the Ukrainian leadership to negotiate and conclude a favorable peace for Russia. And indeed, in March, the Ukrainian delegation was ready to reach a peace agreement that would satisfy both sides and which implied Ukraine’s permanent withdrawal from intention to join NATO. The peace agreement was not signed solely because of Washington’s direct and energetic intervention, and immediate and strong political pressure on Kiev. The overseas power centers insisted on continuing the war. Hand on heart, even if a peace agreement was reached with Ukraine, Russia could not really rely on it.

By violating the Minsk agreements, Ukraine has shown that it cannot be trusted. Quite simply, Ukraine is a typical puppet state that is governed primarily from Washington and London, just as its army is commanded by NATO generals and other officers. Finally, the September Ukrainian offensive exposed the presence of tens of thousands of NATO troops in Ukrainian uniforms. This is not about mercenaries-adventurers who came to kill Russians for money, excitement, or out of pure hatred. We talk about top professional soldiers from Western countries, most of whom have rich and long-term combat experience from other wars. About five thousand professional NATO soldiers from Poland fought in the Ukrainian offensive near Krasny Liman alone. The Polish leadership in Warsaw, die-hard national-chauvinists, for some reason have not yet realized what terrible and fatal consequences the fact that Polish soldiers are killing Russian soldiers and civilians at this moment, will have on their country and them. There won’t be much time for regrets.

All this means that Russia will have to abandon the format imposed on it by the Special Military Operation very soon indeed because it seems that only a complete occupation of Ukraine can bring an end to the war. Second, Russia faced not only the fact that it is now de facto at war with the North Atlantic Alliance, but also that an official and completely open military conflict with it can no longer be avoided. Leaders and spokesmen of NATO, such as Jens Stoltenberg, the 13th Secretary General of NATO, persistently denied that they are at war with Russia, but irrefutable facts speak against them. First of all, the crews of advanced systems such as M142 HIMARS, or the crews of German and other NATO tanks, armored vehicles, anti-aircraft, and missile systems, require experienced crews composed of professional NATO soldiers.

There are reports that NATO outside the borders of Ukraine is currently rapidly training Ukrainian pilots on F-16 and F-15 fighter jets, and that the Ukrainian squadrons of mixed national composition will appear over Ukraine very soon. The markings on the planes will be Ukrainian, but the pilots will not only be Ukrainians but experienced professionals from NATO countries, primarily Americans. Therefore, it is an irrefutable fact that NATO is already in a military conflict with Russia, because not only does it arm Ukraine, but it also provides support in terms of personnel, including command staff and combat troops, especially special units, as well as financial, logistical, and intelligence support and assistance to its war efforts. NATO, of course, knows that it is at war with Russia and that is exactly what it wanted, but it is in no hurry to declare it a factual situation until it uses all the Ukrainians, and after them perhaps also all the Poles, Romanians, Latvians, Lithuanians, and Estonians and other members of second-rate Europeans who, after all, exist only to serve as cheap labor and cannon fodder for the more “noble” Westerners. Also, by avoiding an official war with Russia, NATO wants to keep its military bases and offensive potential intact as long as possible. Because of all this, Russia may be forced to design this new, far more complex war operation in such a way as to pull NATO out of the hole in which it is hiding and to completely expose its undoubted participation in the war against Russia.

Ukraine and NATO cannot defeat Russia. There is not a single outcome of the military conflict between Ukraine and NATO on one side and Russia on the other, which leads to the defeat of Russia and the victory of NATO and their vassal Ukraine. American and NATO officials are warning Russia these days that they will react harshly and by all means, that is, with nuclear strikes on Russia, if it carries out tactical nuclear attacks on Kiev or other targets in Ukraine. Such accusations are absolutely meaningless. Kiev is a holy city for Russians, the Russian capital of the medieval Russian state — Kievan Rus, and besides, Ukraine is simply too close to the Russian borders and Russia’s ally Belarus. Radioactive particles would spread in all directions and kill not only the Ukrainians but also the Russian and Belarusian soldiers and civilians. The Russians will certainly not carry out nuclear strikes without an absolute necessity, but if the Americans bring missile systems that could carry nuclear warheads and if such missiles are fired at Russian forces and territories, that could, of course, be a reason for an immediate Russian nuclear response. This is the biggest danger of the Russian-Ukrainian military conflict.

Misinterpreted Ukrainian missiles flying toward Russian forces or territories could instantly trigger a massive Russian nuclear attack on all NATO countries as well as other hostile countries. At the same time, NATO is seriously dealing with the absolutely insane and inadmissible idea of finding any pretext under which, in accordance with the American nuclear doctrine, preventive nuclear strikes on Russia would be carried out. That is why the mainstream media in the West are slowly preparing the ground for such a decision, persistently insisting that the Russians bomb the Energodar nuclear power plant in the Zaporozhye region, which is under the control of none other than the Russian forces themselves. Anyone who doubts this should independently check on the maps whether this area is under the control of Russian forces or not. In other words, the Western mainstream media claim that the Russians are persistently bombing themselves! The goal of Ukraine’s heavy bombing of the nuclear power plant is not to destroy the Russian forces that secure it. Contrary to common sense, Ukrainians are bombing the power plant itself with the aim of causing the leakage of radioactive materials into the atmosphere. Russian forces would be blamed for that very dangerous nuclear incident, and that would give NATO the desired excuse to launch tactical nuclear strikes against Russian forces or to even carry out strategic nuclear strikes deep into Russian territory.

Unlike the American military doctrine, Russian military doctrine does not foresee any preventive nuclear strikes. If Russia ever really decides to use nuclear weapons, it will most certainly not be in Ukraine because, as we have already established, that would be pure suicide. Even if Russia really wants the destruction of Kiev and other large Ukrainian cities, it has more than devastating conventional means at its disposal for such a goal, which it has never used so far. So far, the Russians have not used their most powerful conventional bomb ATBIP (Aviation Thermobaric Bomb of Increased Power), also known as the “Father of All Bombs” (FOAB), in Ukraine, which in terms of strength is in the range of smaller tactical nuclear weapons that it replaced in the Russian arsenal. Before the Russians would reach for tactical nuclear weapons, which is an extremely unlikely scenario, they would most certainly try to attack Ukrainian troops, command centers, and fortified military facilities with their most powerful thermobaric weapons, and they have not done that even once.

What might actually happen, and might make sense from a military point of view, is that if Russia is provoked by NATO’s undeniable direct involvement in a war against its own military forces and civilians, it will launch nuclear strikes with its unstoppable weapons on the decision-making centers that they are not really in Kiev, which the Russian leadership knows very well, but in Washington, London, Brussels, and other large cities of the Western Hemisphere. This is a very real threat to the NATO aggressor that they should finally become aware of. Russian President Putin, speaking in his recent speech about possible Russian nuclear strikes on the decision-making centers, most certainly did not mean Kiev or any other Ukrainian city because real decisions are not made there, just as it is well known that Zelensky is only an actor who does who obeys American orders.

Therefore, those hypocrites from the West can immediately stop “caring” for Ukraine, which, as the poorest country in Europe, for the sake of their megalomaniac goals, they pushed into a war against the largest country in the world, which also has the largest nuclear arsenal. The responsible gentlemen from Washington, London, Brussels, and other big American and European cities should very seriously start worrying about themselves because Russia is not bluffing at all by threatening with its nuclear weapons. On the contrary, considering that not only its territorial integrity is threatened, but its very survival itself, Russia issues one of the last warnings before realizing its threats. Russian nuclear weapons are unstoppable! NATO has no way to stop Russian missiles such as RS-28 Sarmat (NATO reporting names: SS-X-29, SS-X-30, Satan II), 3M22 Zircon (NATO reporting name SS-N-33), or Kh −47M2 Kinzhal (NATO reporting name Killjoy) and they know it very well. An even more dangerous Russian weapon against which there is absolutely no defense is the Poseidon, an underwater unmanned vehicle, which is powered by nuclear propulsion and has nuclear warheads with a power of 10 megatons (667 times more destructive than the atomic bomb dropped on Hiroshima). Just one Russian nuclear submarine can completely destroy the entire U. S. East Coast. People who, like an arrow, shot NATO irreversibly towards Russia should be extremely worried about all this.

Finally, even if NATO could surprise Russia as it wishes, and completely unhindered, with practically no resistance, in ideal conditions, extremely effectively attack all Russian command centers, military bases, nuclear-armed facilities, mobile units with a nuclear arsenal, and major cities, Russia’s formidable Perimeter system, known in the West by the picturesque name “Dead Hand” would ensure the total destruction of all NATO countries in return. This Doomsday system was designed in Soviet times but has been constantly upgraded and modernized. It is fully automated and if for some reason communication with the Russian command centers were to be interrupted, it would assume that the Russian leadership had been destroyed and would completely independently launch all nuclear warheads and destroy all those states that the Russian Federation considered hostile. “Dead Hand” itself, is completely resistant to nuclear strikes, electronic jamming, or any other means at NATO’s disposal. So, even if Russia were to be completely destroyed, NATO still could not win but would also disappear in the unstoppable, retaliatory nuclear strikes of a dead Russia.

So what is brain-dead NATO trying to do in Ukraine? Obviously, NATO’s tactics are such that they want to wage war against Russia, cowardly, today hiding behind Ukrainian backs while there are still Ukrainians ready to fight for them, and tomorrow hiding behind Poles and Romanians and so on. It exposes all the cynicism of the Judeo-Anglo-Saxon gerontocrats and plutocrats. They look at the terrible bloodshed and enormous human tragedоssiya as an exciting gladiatorial match to the death, in which they recline in comfortable armchairs on the other side of the English Channel and the Atlantic, snacking on popcorn, eating hamburgers, drinking beer and whiskey as if it is about a sports competition or a Hollywood super-spectacle movie.

They don’t realize that Russia can easily cross all those water barriers and make them answer for all the crimes they committed. NATO’s main goal is to make the war last as long as possible, not only in order to exhaust Russia financially, militarily, and morally but above all in order to destroy Europe and its economic power. The Judeo-Anglo-Saxon elites have no friends, but only servants and slaves, and they never really liked the fact that Europe was strengthening economically. First of all, the destruction of Germany and its economic power and the influence it achieves is a priority of the power centers in Washington and London. It could be said that the destruction of Germany, but also France is just as important to the overseas power centers as the destruction of Russia.

All this NATO play on the brink of nuclear war could prove fatal for all of humanity. Russia is slowly but surely losing patience, but it seems that its increasingly harsh warnings will not be taken seriously by the dead brains of NATO until volleys of missiles with nuclear warheads are finally fired at them. The best evidence that Russia has decided to take off its gloves is the appointment of a very brave, determined, energetic, and aggressive general, as General Surovikin undoubtedly is, to the post of commander-in-chief of Russian forces in the former and current territories of Ukraine. From the first day of his command, General Surovikin announced to Ukraine, which at that moment was celebrating the damage to the Kerch (Crimean) bridge for which it took responsibility, that it was threatened with a total disaster, for which they owe thanks to their mentors from the West who dragged them into a conflict they cannot possibly win. It is difficult to understand the amount of irresponsibility and complete lack of intelligence of those people who consciously and deliberately chose to provoke a nuclear superpower such as Russia and force it to intervene militarily in Ukraine and in now former Ukrainian territories. It is difficult to understand the inability of NATO Secretary General Stoltenberg, who is in fact only the spokesperson of that criminal organization, to understand that Russia has launched a counter-offensive which, if the Western hawks do not come to their senses, could, according to the old tradition, end up in European capitals, while London and Washington would end up as radioactive wastelands.

Admittedly, we must be grateful to Stoltenberg, who came forward these days and clumsily admitted that NATO is, as Russia claims, a direct participant in the conflict in former Ukraine. First of all, even if the Russian army begins to suffer a series of devastating defeats against the Ukrainian forces, as Stoltenberg hopes, this should be the worst possible news for NATO, as this would significantly increase the probability of Russian nuclear strikes on the decision-making centers, i. e. on Washington, London, Brussels, NATO military bases, and large cities of the Western Hemisphere. How is it possible, then, that such simple logical equations and algorithms do not reach the minds of responsible people in the West? Both in the Russian army and in the Kremlin, the influence of the fierce hardliners, which ask Putin to conduct the war far more brutally and mercilessly, is growing more and more every day.

The position of Putin himself in Russian society is of course unassailable, but the same cannot be said for all of his closest associates. Putin will not be able to refuse not only support but also the demands of the hard Russian line for a long time, because he needs these people as much as they need him. That’s why it could happen that overnight the Kremlin will be left without those few people who had some sort of inclination towards the West, and the willingness to negotiate from somewhat softer positions. Therefore, the longer the war in Ukraine and on the territories of the four areas that are now forever united with Russia lasts, the stronger will be the influence of fierce patriots and hardliners in the Kremlin and the Russian army. This is what NATO leaders and their masters need to know. Prolonging the war, contrary to the original calculation, does not benefit them at all. Russia will not be weaker and weaker every day, but on the contrary, it will be an increasingly fierce and formidable opponent. The Russian bear has been awakened from his sleep and is getting angrier every day.

The calculation that those notorious elites from the West had at the beginning of the conflict on the territory of former Ukraine, that is, to weaken and ultimately destroy Russia and Europe through war, has become unsustainable. The potential damage to the ideologues of this insane project now far outweighs the potential benefit. At the same time, the anger of ordinary people who are finally becoming aware of all the lies and deceptions of their political leaders is growing throughout Europe. In fact, subordinate European elites proved to be just agencies of Judeo-Anglo-Saxon overseas elites and their interests.

That dissatisfaction is growing stronger every day and threatens to turn into a revolution that will engulf the entire continent. Europeans now fully understand that their “leaders” such as Macron and Scholz do not lead their states and nations according to their best interests, but act exclusively in favor of the interests of Washington, London, and Brussels. In the consciousness of the average European, the belief is growing that false those national leaders, who are in fact nothing more than traitors, American and British agents are leading them to a total disaster and nuclear war, and that they can only defend their interests and bare lives through revolutions and popular uprisings.

All this finally leads us to the conclusion that NATO has already suffered a terrible defeat in Ukraine, which it is still not aware of because it does not look at things in the long term. For the first time since its existence, NATO will have to withdraw and admit defeat. Just as Hitler, at the end of 1942, in his Wolf’s Lair headquarters near Rastenburg (now Kętrzyn), loudly lamented and openly regretted the attack on the USSR, even though at that time he was still far from total defeat, so today the real masters of NATO realize that they have made a fatal mistake by involving themselves directly into a military conflict with Russia, thinking that it could go unnoticed and unpunished.

However, unlike Hitler, NATO was given a final warning and an opportunity to withdraw, save its honor and survive as an organization. If the leaders of NATO and the collective West, above all representatives of the USA, do not sit down at the negotiating table with Russia and accept the right of the Russian people in the former parts of Ukraine to self-determination, renounce the Nazi regime in Kiev and give up from the further expansion of NATO, we can expect the imminent start of a total nuclear war that will most certainly lead to the complete annihilation of the Western Hemisphere. All responsibility for the tragedy on the territory of the former and current Ukraine lies with the people who have the real power to manage NATO. The fate of all humanity is now in the hands of the elites in Washington and London and we all must stand up together, raise our voices and let them know that we want to live!

The Rocky Horror Picture Show “Sweet Transvestite”

Pepe Escobar
October 12, 2022
Russia will not allow the Empire to control Ukraine, whatever it takes. That’s intrinsically linked to the future of the Greater Eurasia Partnership.

Let’s start with Pipelineistan. Nearly seven years ago, I showed how Syria was the ultimate Pipelineistan war.

Damascus had rejected the – American – plan for a Qatar-Turkey gas pipeline, to the benefit of Iran-Iraq-Syria (for which a memorandum of understanding was signed).

What followed was a vicious, concerted “Assad must go” campaign: proxy war as the road to regime change. The toxic dial went exponentially up with the instrumentalization of ISIS – yet another chapter of the war of terror (italics mine). Russia blocked ISIS, thus preventing regime change in Damascus. The Empire of Chaos-favored pipeline bit the dust.

Now the Empire finally exacted payback, blowing up existing pipelines – Nord Stream (NS) and Nord Steam 2 (NS2) – carrying or about to carry Russian gas to a key imperial economic competitor: the EU.

We all know by now that Line B of NS2 has not been bombed, or even punctured, and it’s ready to go. Repairing the other three – punctured – lines would not be a problem: a matter of two months, according to naval engineers. Steel on the Nord Streams is thicker than on modern ships. Gazprom has offered to repair them – as long as Europeans behave like grown-ups and accept strict security conditions.

We all know that’s not going to happen. None of the above is discussed across NATOsan media. That means that Plan A by the usual suspects remains in place: creating a contrived natural gas shortage, leading to the de-industrialization of Europe, all part of the Great Reset, rebranded “The Great Narrative”.

Meanwhile, the EU Muppet Show is discussing the ninth sanction package against Russia. Sweden refuses to share with Russia the results of the dodgy intra-NATO “investigation” of itself on who blew up the Nord Streams.

At Russian Energy Week, President Putin summarized the stark facts.

Europe blames Russia for the reliability of its energy supplies even though it was receiving the entire volume it bought under fixed contracts.

The “orchestrators of the Nord Stream terrorist attacks are those who profit from them”.

Repairing Nord Stream strings “would only make sense in the event of continued operation and security”.

Buying gas on the spot market will cause a €300 billion loss for Europe.

The rise in energy prices is not due to the Special Military Operation (SMO), but to the West’s own policies.

Yet the Dead Can Dance show must go on. As the EU forbids itself to buy Russian energy, the Brussels Eurocracy skyrockets their debt to the financial casino. The imperial masters laugh all the way to the bank with this form of collectivism – as they continue to profit from using financial markets to pillage and plunder whole nations.

Which bring us to the clincher: the Straussian/neo-con psychos controlling Washington’s foreign policy eventually might – and the operative word is “might” – stop weaponizing Kiev and start negotiations with Moscow only after their main industrial competitors in Europe go bankrupt.

But even that would not be enough – because one of NATO’s key “invisible” mandates is to capitalize, whatever means necessary, on food resources across the Pontic-Caspian steppe: we’re talking about 1 million km2 of food production from Bulgaria all the way to Russia.

Judo in Kharkov

The SMO has swiftly transitioned into a “soft” CTO (Counter-Terrorist Operation) even without an official announcement. The no-nonsense approach of the new overall commander with full carte blanche from the Kremlin, General Surovikin, a.k.a. “Armageddon”, speaks for itself.

There are absolutely no indicators whatsoever pointing to a Russian defeat anywhere along the over 1,000 km-long frontline. The spun-to-death withdrawal from Kharkov may have been a masterstroke: the first stage of a judo move that, cloaked in legality, fully developed after the terrorist bombing of Krymskiy Most – the Crimea Bridge.

Let’s look at the retreat from Kharkov as a trap – as in Moscow graphically demonstrating “weakness”. That led the Kiev forces – actually their NATO handlers – to gloat about Russia “fleeing”, abandon all caution, and go for broke, even embarking on a terror spiral, from the assassination of Darya Dugina to the attempted destruction of Krymskiy Most.

In terms of Global South public opinion, it’s already established that General Armageddon’s Daily Morning Missile Show is a legal (italics mine) response to a terrorist state. Putin may have sacrificed, for a while, a piece on the chessboard – Kharkov: after all, the SMO mandate is not to hold terrain, but to demilitarize Ukraine.

Moscow even won post-Kharkov: all the Ukrainian military equipment accumulated in the area was thrown into offensives, just for the Russian Army to merrily engage in non-stop target practice.

And then there’s the real clincher: Kharkov set in motion a series of moves that allowed Putin to eventually go for checkmate, via the missile-heavy “soft” CTO, reducing the collective West to a bunch of headless chickens.

In parallel, the usual suspects continue to relentlessly spin their new nuclear “narrative”. Foreign Minister Lavrov has been forced to repeat ad nauseam that according to Russian nuclear doctrine, a strike may only happen in response to an attack “which endangers the entire existence of the Russian Federation.”

The aim of the D.C. psycho killers – in their wild wet dreams – is to provoke Moscow into using tactical nuclear weapons in the battlefield. That was another vector in rushing the timing of the Crimea Bridge terror attack: after all British intel plans had been swirling for months. That all came to nought.

The hysterical Straussian/neocon propaganda machine is frantically, pre-emptively, blaming Putin: he’s “cornered”, he’s “losing”, he’s “getting desperate” so he’ll launch a nuclear strike.

It’s no wonder the Doomsday Clock set up by the Bulletin of the Atomic Scientists in 1947 is now placed at only 100 seconds from midnight. Right on “Doom’s doorstep”.

This is where a bunch of American psychos is leading us.

Life at Doom’s doorstep

As the Empire of Chaos, Lies and Plunder is petrified by the startling Double Fail of a massive economic/military attack, Moscow is systematically preparing for the next military offensive. As it stands, it’s clear that the Anglo-American axis will not negotiate. It has not even tried for the past 8 years, and it’s not about to change course, even incited by an angelic chorus ranging from Elon Musk to Pope Francis.

Instead of going Full Timur, accumulating a pyramid of Ukrainian skulls, Putin has summoned eons of Taoist patience to avoid military solutions. Terror on the Crimea Bridge may have been a game-changer. But the velvet gloves are not totally off: General Armageddon’s daily aerial routine may still be seen as a – relatively polite – warning. Even in his latest landmark speech, which contained a savage indictment of the West, Putin made clear he’s always open for negotiations.

Yet by now, Putin and the Security Council know why the Americans simply can’t negotiate. Ukraine may be just a pawn in their game, but it’s still one of Eurasia’s key geopolitical nodes: whoever controls it, enjoys extra strategic depth.

The Russians are very much aware that the usual suspects are obsessed with blowing up the complex process of Eurasia integration – starting with China’s BRI. No wonder important instances of power in Beijing are “uneasy” with the war. Because that’s very bad for business between China and Europe via several trans-Eurasian corridors.

Putin and the Russian Security Council also know that NATO abandoned Afghanistan – an absolutely miserable failure – to place all their chips on Ukraine. So losing both Kabul and Kiev will be the ultimate mortal blow: that means abandoning the 21st Eurasian Century to the Russia-China-Iran strategic partnership.

Sabotage – from the Nord Streams to Krymskiy Most – gives away the desperation game. NATO’s arsenals are virtually empty. What’s left is a war of terror: the Syrianization, actually ISIS-zation of the battlefield. Managed by braindead NATO, acted on the terrain by a cannon fodder horde sprinkled with mercenaries from at least 34 nations.

So Moscow may be forced to go all the way – as the Totally Unplugged Dmitry Medvedev revealed: now this is about eliminating a terrorist regime, totally dismantle its politico-security apparatus and then facilitate the emergence of a different entity. And if NATO still blocks it, direct clash will be inevitable.

NATO’s thin red line is they can’t afford to lose both Kabul and Kiev. Yet it took two acts of terror – on Pipelineistan and on Crimea – to imprint a much starker, burning red line: Russia will not allow the Empire to control Ukraine, whatever it takes. That’s intrinsically linked to the future of the Greater Eurasia Partnership. Welcome to life at Doom’s doorstep.

Slow-Cooker Lasagna

Hundreds of home cooks agree that this slow cooker Italian sausage lasagna is the best and easiest way to make lasagna you’ll ever try. Just pack the slow-cooker with four layers of sauce, noodles and cheese, then come home to crockpot lasagna four to six hours later.

x
x

Ingredients

  • 1 pound bulk Italian sausage
  • 1 medium onion, chopped (1/2 cup)
  • 3 cans (15 ounces each) Italian-style tomato sauce
  • 2 teaspoons dried basil leaves
  • 1/2 teaspoon salt
  • 2 cups shredded mozzarella cheese (8 ounces)
  • 1 container (15 ounces) part-skim ricotta cheese
  • 1 cup grated Parmesan cheese
  • 15 uncooked lasagna noodles

x
x

x
x

Fear and Loathing in Las Vegas (3/10) Movie CLIP – The Hotel on Acid (1998) HD

Yup. This is a classic.

Declan Hayes
October 6, 2022
Thanks to the greed, crassness and stupidity of NATO’s satrapies we are where we deserve to be, on the eve of destruction.

Despite the unfortunate assassination some days earlier in Sarajevo of Archduke Franz Ferdinand Carl Ludwig Joseph Maria of Austria, July 1914 was quieter than a mouse on cotton, as no one had yet realized the horrors that lay ahead. General Radomir Putnik, chief of the general staff of the Serbian army, was taking the waters at Bad Gleichenberg, where the Austro-Hungarians promptly arrested him. Helmut von Moltke, Germany’s chief of staff, was also holidaying, as was Erich von Falkenhayn, the Kaiser’s War minister. Though there was some war talk chatter in gallant little Belgium, her farmers were more preoccupied with their harvests and her townsfolk were much too busy working and downing beers to fret about such matters even though, on 29th July, Belgian Prime Minister Charles de Broqueville had ordered a partial mobilization of her army, only a few short days before Belgium would join the world war that had begun a day earlier, when Austria declared war on Serbia and shelled Belgrade before the Serbs even had time to consider their outrageous demands.

Would that we could return to that belle époque, the Old Continent’s lost era of cosmopolitan intellectuals and artists that died, like so much more, in the blood, grime and hell on earth of the following four years. But, perhaps, all that had to die, like what remains of it has to now die as a result of NATO’s no less outrageous demands on Russia and on those who speak Russian.

Here, in far away neutral Ireland, the war drums are far from mute. When I told a very well-known celebrity how to access RT, he was afraid he might get arrested for tuning in to it. Scoff though you may at his seeming cowardice or, if you prefer, his caution, but we live in an era where Masha and Mishka are legitimate targets and the works of Tolstoy and Dostoevsky are torched in Kiev.

Now it is those delightful Russian children’s tales and those greatest of the greats of world literature. Then it was German shepherds having to be called Alsations, dachshunds being assaulted, Jewish butchers who looked German being torched and the Crown Catholics of Cork blackballing Kuno Meyer, the great Celtic scholar, because he was German.

The Crown Catholics of Cork, those loathsome princelings who made their fortunes kitting out the British Navy, have not gone away. Irish Foreign Minister Simon Coveney, one of their loathsome number, currently has a seat on the UNSC, which he uses to insult not only Russia but the referendums a number of Ukrainian provinces are holding to determine if they want to be a part of Russia or of Zelensky’s rump Reich.

Whereas Coveney, like the loathsome Crown Catholic that he is, considers this an abuse of democracy, I consider it, with regard to prior Irish precedents Coveney’s crew helped engineer, as the very epitome of Swiss-style democracy and, indeed, civility. Check out these maps to see how the British, with their Black and Tan terror gang, handed over the majority (non Crown) Catholic populations of Fermanagh, Tyrone, West Belfast, North Antrim, South Armagh and South Down to a gang of Famine Queen-worshipping Anglican fanatics who, when not popping Catholics, also cleansed the area of liberal or left leaning Protestants and the odd, uppity Jew.

Just as with the Ulster Catholics Cork’s loathsome Princes handed over to the Black and Tans, so also are the Russian speakers of Eastern and Southern Ukraine not children of some lesser God. They too have a right to live in peace and with dignity and, as NATO have deliberately reneged on Minsk 1 and Minsk 2, they are right to confederate with Russia so that they may enjoy the peace NATO denies them. No turkeys voting for Christmas with that lot.

Although I wouldn‘t publicly disagree with him in Kiev or Cork for fear of being strapped to a lamppost with my underpants strewn down around my shins, Nazi Reichsmarshall and Luftwaffe-Chief Hermann Goering was wrong to say the people do not want war. Large swathes of people crave war today, just as Coveney’s Cork Crown Catholics prayed for it and recruited for it in August 1914. There is, as any of the Coveney, Biden, Clinton, Zelensky or Obama families can attest, big money to be made from war. And, as countless American war criminals could likewise attest, there is adventure to be had, foreigners to be murdered, lives and communities to be destroyed and men, women, boy and little girls to be raped.

And then there is Hollywood, which made more money out of Vietnam than what it cost the Americans to wage that particular genocide. Just as Black Hawk Down made a hero out of child sex abuser John Stebbins and American Sniper made a hero out of serial killer Chris Kyle, so also does the war in Ukraine and the apocalypse it is dragging us all into afford Hollywood an opportunity to portray American mercenaries not as the villains they are but, as Truss and Biden (Joe) tell us, the harbingers of freedom, democracy and Micky Mouse apple pie Hollywood portrays them as.

CIA asset Matthew van Dyke would make an excellent Hollywood hero, as he has been a cold blooded mercenary for hire in Syria, Libya and Iraq, just as he is now a mercenary and, one better, an American in Ukraine. American transexual Sarah Ashton-Cirillo, who pumps out Ukrainian propaganda from somewhere within Zelensky’s rump state, could supply the love interest, not least because factual news is not America’s thing and no Americans are too interested in getting it, in any event.

But soon, it might all be moot. Israel is helping the Azeris annihilate the Armenians, whose only hope is Mother Russia, China is seeing that it cannot sit this conflagration out in hopes of windfall gains, Turkey and Saudi Arabia are the unlikeliest of peace intermediaries and, as Africans and Latinos have already seen that they are last in line when NATO dispenses fertilizer and other necessities, they best don their walking shoes and migrate in their hundreds of millions States-side or, at least to where there is food and some prospect of survival.

Those balmy, calm before the storm days of July 1914 have returned but with infinitely more menace than the scariest Hollywood horror movie could conjure. Ukraine will see Russian and allied hi tech pitted weaponry against NATO and allied hi tech weaponry and armies of women and children flee with not even the hope of better days to come to bring them solace. Though nobody admits to wanting any of this mayhem and I, who have seen it all before, certainly don’t, this is our end road all of those who voted for Trump, Biden, BoJo, Blair or Truss have thrust upon us all.

And when the war is over and if Westminster’s Parliament is still standing, Labour MPs will stand up and complain, as they did in 1919, about the Tories who made fortunes from this carnage and simpletons will cock ear to them, as they did in 1919.

But the reality is that those who voted for Trump and Biden, Blair, BoJo and Bush, Obama and Truss, Coveney and Zelensky, Hitler and Macron are as guilty as those German school teachers who encouraged Erich Maria Remarque and his chums to march off to their doom at Verdun, Passchendaele and the Somme to satiate the egos of the Kaisers, Kings and Tzars, who reigned atop that entire mess, just as our own plastic Kaisers, Kings and Tzars lord down at the slaughter they have visited upon us all from their mountains of money in Washington, Kiev and London.

If, as Cher sings, I could turn back time, it would make absolutely no difference. Russia and China gave NATO the benefit of the doubt in Iraq and millions were murdered, just as in Serbia. Ditto in Syria and the same again in Yemen and Libya, where NATO again committed all of the same war crimes NATO does best. This time, there is no turning back time, no more second Troys, no more second chances and that is Luke 16: 27-31 speaking, not me, not Putin, not Xi and not anyone else.

Luke is not the only one to tell us that NATO’s leaders pay no heed to the advice of the living or of the dead. Navy Admiral Charles Richard, commander of U.S. Strategic Command, has told us we are back in Dr Strangelove country, that “direct armed conflict with a nuclear-capable peer” such as Russia and/or China and with all it entails is now a real possibility. Former POTUS Donald Trump has declared that the Ukrainian conflict “should have never happened” not least because it might “end up being World War III.” And even the devious realist Henry Kissinger has repeatedly urged caution but all to no avail.

Today is Tuesday, 28th July, 1914. The hay is saved, our beer tankards are as half full and theirs are half empty, the World Cup looms and, thanks to the greed, crassness and stupidity of NATO’s satrapies we are where we deserve to be, on the eve of destruction. Though this mess could conceivably end in the return of the halcyon days of 27th July 1914, if nuclear annihilation is to be avoided, NATO must not only be made blink but must be totally and utterly decommissioned and disbanded. Don’t hold your breath.

Fourteen percent!

Fourteen per cent decrease in live births in Europe nine months after the start of the COVID-19 pandemic and first lockdowns.
.

Article HERE

Army of Darkness – Little Ashes

About US trade talks

x
x

Do you have some idea what is the implication of the poem hanging on the wall during the recent Sino-US trade talks in China?

In ancient Chinese, it reads

云来山更佳,云去山如画。山因云晦明,云共山高下。倚杖立云沙,回首见山家。

It literally translates as

The mountain is more grandeur with the clouds, it is picturesque with the clouds moving AWAY; the mountain shines and gets dark because of the clouds, and the cloud is only marvelous because of the mountain. (I) stand in the cloud leaning against a cane, and (I) marvel at the scene looking back toward the other side of the mountain.

If I venture to put it into modern Chinese, it probably is translated as

I will be better off with you by my side, and I would also survive without you. We are intertwined one way or another, and if you want to break up, be my guest

If I am not mistaken, the calligraphy is 小篆, a font first adopted by emperor Qin Shihuang more than 2000 years ago, whose biggest achievement was to unify China into a centralized empire.

Unification of China…

What does it remind you of? Is it a clear message to tell US to fxxk off from Taiwan?

I do not know, I could only guess. But I believe Americans would never get such subtle message, all they could understand is muscle.

12 Monkeys (clip4) -“I’m not crazy”

Check out the dialog.

China’s HSR is the most advanced in the world. More advanced that anything the Germans and Japanese have.

China has the world’s largest HSR network, over 40,000 km worth.

China developed its HSR quickly and successfully because:

  1. China was totally committed to doing so. It was one of China’s top priorities. So it was well-funded and well-planned.
  2. China faced no obstacles nor opposition because it was in total control. That means no red tape, no bureaucracy, no regulations, no unions. China could push it through easily.
  3. The Chinese were extremely innovative and inventive. China’s infrastructure engineering is second to none in the world.
  4. China was in need of HSR. Other forms of transportation were inadequate to meet the needs of 1.4 billion people spread out over a vast geographical area.
  5. China saw HSR as a public good, not a profit centre. Western countries won’t focus on HSR unless it’s profitable.

UPDATED SUNDAY, 11:13 AM EDT — China Foreign Ministry Tells all citizens EVACUATE UKRAINE IMMEDIATELY

.

x
x

For the very first time since Russia began its “Special Military Operation” in Ukraine on February 24, the China Foreign Ministry has issued an URGENT Bulletin to all Chinese anywhere in Ukraine, to EVACUATE IMMEDIATELY.  *** UPDATE 11:13 AM EDT SUNDAY – SEE BOTTOM

This action by China is no doubt based upon information they derived from Russia thanks to the close relationship between those two countries.   It also bodes ominously for Ukraine.

What does China NOW KNOW about the immediate future of Ukraine, that they are choosing to URGENTLY tell their citizens to evacuate immediately?

“POSTPONE” Mid-Term Elections???

There has been very much speculation inside the USA that the Democrat Party knows it is going to be walloped in the upcoming Midterm elections in November.   Today being October 15, it seems to many Americans that whatever the Democrats plan to do, they HAVE to do before that date, if their goal is to somehow postpone the election.

Trouble is, the elections are not run by the federal government; they’re run by each of the fifty states.  Congress has zero authority to postpone elections.

Moreover, there is no provision within the US Constitution, permitting Congress to somehow EXTEND their term of office.   They cannot remain in power after January of 2023 no matter what law they pass.

Many believe firmly, however, the law and the Constitution won’t stop the Democrats from trying to cling to power by any means necessary.   If they start World War 3 next week, and the nukes fly, many suspect they would try to exploit that to their advantage.

Bear in mind, this nation held a Presidential Election during the Civil War.   Even an actual war, on our own soil, did not stop an election.  Keep that in mind.

UPDATE 8:30 PM EDT —

Kazakhstan announced it is closing its Ukrainian Embassy immediately and all of its citizens should leave Ukraine at once.  Kyrgyzstan, Uzbekistan, Turkmenistan, and Serbia have told citizens to leave Ukraine as well . . .

UPDATE SUNDAY, OCT. 16 @ 11:13 AM EDT —

China has EXPANDED it’s urgent advisory to Chinese citizens.  Now, they are telling their people to evacuate Ukraine “and surrounding areas” immediately ! ! ! !

.

Was ancient Rome run so poorly as the United States is today?

It’s a valid question. Yes it is.

No one, by any jumps or fantasy justifications can actually validate the United States as functioning AT ALL, let alone in some form of order. At this stage, it simply appears that the USA is one enormous criminal network… attacking everyone, fleecing everyone and everything, and just looting and pillaging at will while the vile evil villains joke and strut about proud and haughty in their seemingly untouchable state.

The United States is in a steep nose dive.

All evidence points in that direction.

Consider this next article. If the pandemic is the cause of ACT test results, then you would see a uniform drop across all college entrance tests in all the nations of the world. But that is not what is being observed. Only a drop in the American ACT tests.

Well… it must be the pandemic. Not the US system…

ACT test scores drop to lowest in 30 years in pandemic slide

Ah. Blame the pandemic. You notice that no one is providing proof that it is caused by the pandemic. Just a bold statement taken as truth.

-MM

.

PHOENIX (AP) — Scores on the ACT college admissions test by this year’s high school graduates hit their lowest point in more than 30 years — the latest evidence of the enormity of learning disruption during the pandemic.

The class of 2022′s average ACT composite score was 19.8 out of 36, marking the first time since 1991 that the average score was below 20. What’s more, an increasing number of high school students failed to meet any of the subject-area benchmarks set by the ACT — showing a decline in preparedness for college-level coursework.

The test scores, made public in a report Wednesday, show 42% of ACT-tested graduates in the class of 2022 met none of the subject benchmarks in English, reading, science and math, which are indicators of how well students are expected to perform in corresponding college courses.

In comparison, 38% of test takers in 2021 failed to meet any of the benchmarks.

“Academic preparedness is where we are seeing the decline,” said Rose Babington, senior director for state partnerships for the ACT. “Every time we see ACT test scores, we are talking about skills and standards, and the prediction of students to be successful and to know the really important information to succeed and persist through their first year of college courses.”

From HERE

Ah. the USA is in freefall.

Consider the American economy. At what point is the “tolerance threshold” crossed and open revolt / fighting occurs?

Pause and think about it.

Pay attention to the first sentence in the next article…

Thanks Biden! This Is What “Stagflation” Looks Like, And It Is Going To Be With Us For A While…

.

Normally, we shouldn’t have economic stagnation and rampant inflation at the same time.  But that is exactly what we’ve got.

U.S. GDP actually declined during the first two quarters of this year, and we are being warned that economic activity could slow down a whole lot more in the months ahead.  Meanwhile, we are in the midst of the worst inflation crisis since the Jimmy Carter era.  The cost of living has become extremely oppressive, and this is particularly true when it comes to food.  We just got some new numbers from the Department of Labor on Wednesday, and quite a few of them are absolutely stunning

  • Fresh and dried vegetables: up 15.7 percent for the month and 40.2 percent for the year.
  • Grains: up 10.7 percent for the month and 30.4 percent for the year.
  • Fresh eggs: up 16.7 percent for the month and 97.3 percent for the year.
  • Bakery products: up 0.8 percent for the month and 14.0 percent for the year.
  • Pasta: up 1.1 percent for the month and 34.1 percent for the year.
  • Finfish and shellfish: up 2.5 percent for the month and 2.9 percent for the year.
  • Processed fruits and vegetables: up 2.6 percent for the month and 16.0 percent for the year.
  • Dairy products: down 1.6 percent for the month but up 18.2 percent for the year.
  • Soft drinks: up 1.9 percent for the month but up 15.8 percent for the year.
  • Pork: up 5.5 percent for the month but down 2.0 percent for the year.
  • Fresh fruits and melons: down 1.2 percent for the month but up 20.7 percent for the year.
  • Turkey: up 0.5 percent for the month and 38.2 percent for the year.

This is crazy.

In my entire lifetime, I have never seen anything like this.

Everywhere you look in the grocery store, prices are rising to levels that are completely nuts.  If you can believe it, even Pepsi has raised prices on their products by an average of 17 percent over the past year…

The 12% increase it expects from full year organic revenue, noted by the Wall Street Journal this morning, comes at the hands of average prices rising an astonishing 17% from the year prior. The price hikes have also helped the company raise its profit outlook. It now expects per-share earnings growth of 10% for the year, the report notes.

The rise in prices has helped offset a “slight decline” in overall sales volume, the report says. This means that Pepsi is fighting the recession that the country is in with more inflation.

Has the size of your paycheck gone up by 17 percent during the past 12 months?

If not, you are losing ground.

Sadly, most Americans are living paycheck to paycheck these days, and more of us than ever are falling behind on our bills.  Just check out the results of a brand new LendingTree study
That’s according to a new LendingTree study, which found that 32% of Americans have paid a bill late over the past six months, and an overwhelming majority – about 61% – said it’s because they did not have enough money to cover the costs.

Another 40% of respondents said they are struggling more to afford their bills than they were just one year ago. Most said they fell behind on a utility bill, credit card payment or cable or internet bill.

“Life is getting more expensive by the day, and it’s shrinking Americans’ already tiny financial margin for error down to zero,” said Matt Schulz, LendingTree’s chief credit analyst.

At the same time that the cost of living is becoming excruciatingly painful, economic activity in the United States is really starting to slow down and big companies are starting to lay off workers.

In fact, we just learned that Walmart will be laying off almost 1,500 more workers

As Walmart continues making adjustments to the structure of its business plan, the e-commerce-based company has announced it will let go of nearly 1,500 employees by the beginning of December. The employees will all be laid off from one specific fulfillment center in Atlanta, Georgia. This may come at a bad time for all the employees with the holidays quickly approaching, but the company is doing this to ensure their future.

A recent blog post published by the Senior Vice President, Karisa Sprague, breaks down and shares details of just how they are developing their fulfillment network for the future. Essentially, the Senior VP says that Walmart is making necessary adjustments to provide the highest level of customer service that they can, as well as also doing the best by their employees. She goes on the mention that evolution is essential as times change.

And Crypto.com has just laid off approximately 40 percent of their entire workforce..

Crypto.com has laid off some 2,000 employees in one of the biggest downsizes in the cryptocurrency industry yet. The cuts account for about 40% of the DeFi exchange’s staff, according to CoinDesk. The current layoffs come after the exchange cut over 400 jobs in the middle of June.

Unfortunately, this is just the beginning.

Many more layoffs are coming.

And just like we witnessed in 2008, the U.S. housing market is really starting to implode.

Rapidly rising rates are scaring off buyers, and demand for new mortgages is absolutely plummeting

The average interest rate on US home loans has hit its highest level since 2006, as the Federal Reserve’s rate hikes to fight inflation continue to raise borrowing costs for homebuyers.

The average rate on a 30-year fixed rate mortgage hit 6.81 percent for the week ending October 7, the eighth straight weekly increase, the Mortgage Bankers Association (MBA) said on Wednesday.

Higher borrowing costs have sent home sales volume plunging. The MBA’s Purchase Index, which measures new mortgages to buy a home, dropped 2 percent from the prior week and 39 percent from a year ago.

This is what stagflation looks like.

And thanks to a series of colossal errors by our leaders, it is going to be with us for a while.

We were warned that an economic day of reckoning would eventually come, and now it is here.

If you are searching for someone to thank for this mess, you can thank Joe Biden, our free spending Congress critters, and the “experts” at the Federal Reserve.

Most Americans trusted them when they told us that they had everything under control.

Now we can see that it was all a charade, and the months ahead are looking exceedingly bleak indeed.

Putin’s CICA Summit Address

President of Russia Vladimir Putin: Mr President of [Kazakhstan] Kassym-Jomart Kemelevich, Dear colleagues!

For 30 years, the Conference on Interaction and Confidence-Building Measures in Asia has been discussing pressing issues related to strengthening security and stability throughout the vast Asian region.

Today's meeting is taking place against the backdrop of major changes in global politics and the economy. The world is becoming truly multipolar, and Asia plays a very noticeable, if not key, role in this, where new centers of power are growing stronger.

The countries of the Asian region are the locomotive of world economic growth. Such integration associations as the Shanghai Cooperation Organisation, the Association of Southeast Asian Nations, and the Eurasian Economic Union are operating dynamically and with good returns.

Russia is also actively involved in all these processes. We stand for the development and prosperity of Asia and the creation for this purpose of a wide open space of mutually beneficial trade and investment cooperation, for the expansion and deepening of cooperation ties in various sectors of the economy.

Let me remind you that it was Russia that stood at the origins of the creation of the business council at the meeting, through which many successful conferences and seminars have been held over the past years on the entire range of economic topics.

And of course, together with other Asian states, we are doing everything to form a system of equal and indivisible security based on the universally recognized principles of international law of the UN Charter.

Our meeting and other regional associations have to deal with many acute problems, including the increased volatility of global prices for energy, food, fertilizers, raw materials and other important goods. This leads to a deterioration in the quality of life in developed and developing countries. Moreover, there is a real threat of hunger and large-scale social upheaval, especially in the poorest countries.

Russia, for its part, is making every effort to provide critical products to countries in need. We call for the elimination of all artificial, illegitimate barriers to the normal functioning of global supply chains in order to meet the urgent challenges of food security.

Like many of our partners in Asia, we believe that it is necessary to launch a revision of the principles of the global financial system, which for decades allowed the self-proclaimed so-called golden billion, which has closed all flows of capital and technology, to a large extent live at someone else's expense.

As a priority step, we see a more active use of national currencies in mutual settlements. Such measures would undoubtedly contribute to strengthening the financial sovereignty of our states, developing domestic capital markets, and deepening regional economic integration.

It is extremely important, together with other regional forums and organizations, to continue to work actively to resolve emerging crisis situations and conflicts in Asia, to strengthen cooperation between our states in countering the terrorist threat, identifying and neutralizing extremist groups, blocking their financial support, combating drug trafficking and suppressing the propaganda of radical ideas.

Unfortunately, Afghanistan remains one of the most acute security challenges for our region. Our colleagues have already spoken about this today.

This country, after more than 20 years of military presence of the United States and NATO, the failure of their policy, was unable to independently solve the problems associated with terrorist threats, which is confirmed by the ongoing series of bloody terrorist attacks, including the explosion near the Russian Embassy in Kabul on September 5.

In order to normalize the situation on the territory of Afghanistan, of course, it is necessary to jointly promote its economic reconstruction. But above all, we urge compensation for the damage done to Afghans during the years of occupation and the unfreezing of illegally frozen Afghan funds.

In the context of a settlement in Afghanistan, it would be useful to use the capabilities of the Shanghai Cooperation Organisation and its regional anti-terrorist structure.

We also invite all Asian countries to cooperate more closely with the International Data Bank for Countering Terrorism, established at the initiative of Russia.

I would like to draw your attention to the fact that Russia, together with China, has drafted a joint statement at this summit on security cooperation in the field of information and communication technologies. We hope that it will be approved.

In conclusion, I would like to mention the importance of strengthening multilateral cooperation between the states participating in the meeting in the social, cultural and humanitarian spheres, in promoting inter-civilizational dialogue and contacts between people.

In particular, volunteer movements also require support. The acute phase of the coronavirus pandemic, which we have passed, has shown the undoubtedly useful role that volunteer and youth structures play in providing assistance and assistance to the population. Russia has a good, rich experience in these matters, which we are ready to share with interested countries.

On the whole, I would like to note with satisfaction that the joint work within the framework of the Conference on Interaction and Confidence-Building Measures is progressing in a positive manner. Russia will continue to develop multifaceted cooperation with all the parties represented at this meeting.

We support the initiatives of Kazakhstan's chairmanship.

Thank you very much for your attention.

With the latest acts of terrorism being performed by NATO, IMO it’s becoming clear that the term terrorism is becoming a cover term for NATO/Outlaw US Empire.

The anti-terrorism principle, which also includes the extremism present within Color Revolution attempts, is now a major part of Eurasian multilateral organizations’s aims.

Thus, while none of those organizations are overtly against any one nation or bloc, it’s clear that they’re against those that are responsible for Terrorism and Extremism, which as we know consist of the Outlaw US Empire and its vassals.

Posted by: karlof1 | Oct 13 2022 16:47 utc | 10

7 Legendary Cryptids that Turned Out to Be Real!

From HERE

x
x

Cryptozoology is the search for and study of animals that mainstream science considers to be mythical or non-existent. Animals studied by cryptozoologists are called cryptids. Famous examples include creatures like Bigfoot, the Loch Ness Monster, and the Yeti. Unsurprisingly then, there is quite a lot of derision aimed at cryptids in scientific circles. Cryptids are the stuff of low-level tabloid magazines and conspiracy theorists, right? Wrong! Many animals that experts once believed to be cryptids are actually flesh and blood living things.

Famous Cryptids that Aren’t Actually Cryptids Anymore

1.The Platypus

The platypus is a weird animal that seems to break a lot of rules. At the time of its first discovery by Europeans, it seemed to contradict everything they thought they knew about mammals.

The platypus is a furry, Australian mammal that lives in rivers. It has the feet of an otter and the tail of a beaver. So far, not that strange. Then one looks at the head, and it appears to have a bill of a duck, unlike any other mammal.

Even stranger still is the fact that it lays eggs. Only five living mammal species do so, the platypus and four kinds of echidna (spiny anteaters). Up until the discovery of the platypus, it was common knowledge that one of the things which defined a mammal was giving birth to live offspring.

On top of this, the platypus is venomous! Venomous mammals were basically unheard of. The male platypus produces venom from glands attached to its ankle spurs. It is believed these are used defensively against other males, especially during mating season.

It’s unsurprising then that European naturalists from the 18th and 19th centuries believed the platypus to be a hoax. When the first platypus corpses arrived in Europe from Australia, the experts weren’t sure what to make of them.

Many thought it to be the work of Chinese sailors, who had previously tricked them with the corpse of a supposed mermaid. It was believed the platypus corpses were just well-put-together amalgamations of other animals! It took nearly a century for zoologists to admit they were wrong and definitively confirm the existence of the platypus.

x
x

2.The Giant Squid

Another poster child for famous cryptids that turned out to be real is the terrifying giant squid. Reports of the giant squid go back 2,000 years to the time of Aristotle. Pliny the Elder, a Roman naturalist, did a pretty good job describing the giant squid in his Natural History .

He got pretty much everything right, except the size. Showing that the giant squid was too massive even for the superstitious ancient writers to get right, Pliny only estimated the squid at 30 feet (9 meters) long, when in reality it’s over 40 feet (12 meters) long! Early run-ins with giant squid were likely the inspiration for several mythological sea monsters , like Norse mythology’s Kraken and the Scylla of Greek mythology.

x
x

The giant squid remained a cryptid for so long because its existence was seemingly fantastical, and hard to verify. The sea is almost unfathomable in its size and depth. Looking for anything in the ocean, even something as huge as a giant squid, is like looking for a needle in a haystack. This is exacerbated by the fact that the giant squid is a deep sea creature, and humans haven’t spent much time down there.

The only chance early zoologists had to study and verify the giant squid was through carcasses that would very occasionally wash ashore. The problem with this was that hungry sea creatures had often begun eating the corpses before they washed ashore, meaning complete samples were rare. Added to this was the fact that the carcasses tended to rot extremely quickly, leaving little to work with.

The first recorded discovery of a mostly intact giant squid carcass was in the 1870s. However, it wasn’t until the last decade or so that we managed to take photos of a live specimen, cementing the giant squid’s status as a former cryptid.

x
x

3.Sea Serpent Cryptids

Stories of dreadful sea serpents that dwell in the ocean date back thousands of years. Even the Bible makes references to a gigantic beast called Leviathan that roams the briny depths looking for prey. Much like in the case of the giant squid, for a long time, scientists thought these sea monsters were too huge to be real.

Cryptozoologists, however, believe that many sightings of these historic sea monsters are cases of real animals being misidentified, and then being given a fantastical, superstitious twist. One incredibly rare species is perhaps the likely culprit.

The oarfish is a long, bony fish with an elongated body that has been found to grow to at least 56 feet (17 meters) long. They are found in oceans all over the world, but usually live in the deep ocean. They have sometimes washed ashore during storms and occasionally come to the surface when near death.

x
x

It seems likely that these giant fish are responsible for at least some of these early depictions of sea serpents. The first live oarfish wasn’t filmed until 2001, showing just how rare and hard to verify these fish were.

4.The Ultimate Cryptid: The Unicorn

Now obviously, unicorns as traditionally portrayed don’t exist. The unicorn is still very much a cryptid. Except it isn’t. Although no one has discovered a horned horse yet, we can go back 2,000 years and find the animals that likely inspired talk of unicorns.

Pliny the Elder described the unicorn two thousand years ago. He described it as having “the feet of the elephant, and the tail of the boar, while the rest of the body is like that of the horse; it makes a deep lowing noise, and has a single black horn, which projects from the middle of its forehead, two cubits in length. This animal, it is said, cannot be taken alive.” That sounds suspiciously like an Indian rhinoceros.

x
x

There is another contender for real-life unicorn inspiration. The second is a little stranger, however, seeing as it lives in the sea. The narwhal is a type of toothed whale that lives in the freezing waters around Greenland, Canada, and Russia. Its defining feature is a large protruding canine tooth, known as its tusk. This tusk is startlingly similar to the imagined unicorn horn.

Unicorn horns were an incredibly popular curio through the Middle Ages into the Renaissance period. These unicorn horns came from narwhals that the Vikings had hunted, selling their tusks for crazy prices as unicorn horns. When the English explorer Martin Frobisher led a Canadian expedition in 1577, he came across a dead narwhal. The name he gave it? The sea unicorn.

x
x

5.The Komodo Dragon

Before 1910, any scientist claiming to believe in a giant lizard that looked like a dragon would have been laughed out of the room. At the time, it was widely believed that giant lizards were a thing of the past, and nothing on the scale of a Komodo dragon could exist.

When pearl fishermen returned from the Lesser Sunda Islands in Indonesia telling tall tales of giant ‘land crocodiles’, no one took them seriously. After all, fishermen are famous for exaggeration. Then, in 1910, an expedition from Buitenzorg Zoological Museum visited Komodo Island and produced the first scientific report on the creatures. Lieutenant Jacques Karel Henri visited the island and took home both a Komodo dragon skin and a photograph.

The Komodo dragon remained mostly a mystery until 1926, when a second expedition went in search of the dragon. Its leader, W. Douglas Burden, came back with twelve preserved Komodo dragon bodies, as well as two live animals. It was only then the Komodo dragon truly left the realm of the cryptids and entered the world of established science.

The 1926 expedition and discovery of an animal considered by many to be prehistoric went on to inspire the 1933 film King Kong .

x
x

6.The Humble Gorilla

Sometimes an animal becomes so commonly known that it’s surprising it was ever considered a cryptid at all. For example, the humble gorilla was considered a cryptid until 1847.

The term gorilla comes from a Carthaginian explorer called Hanno the Navigator, who was exploring the African coast in 500 BC. He described coming across a tribe of “gorillae”, monstrous and violent humans. Although it is likely he actually encountered chimps or baboons, the name has stuck.

Reports of monstrous, hairy humans who would attack and overpower villages continue throughout the centuries but were never taken seriously by scientists. In the 16th century, an English explorer described ape-like humans visiting his campfire at night.

Gorillas in general remained cryptids until 1847, when Thomas Savage found gorilla bones in Liberia. With the help of a Harvard anatomist named Jeffries Wyman, he then released a formal description of the species dubbing it, Gorilla gorilla. Sadly, from this point onwards, other anthropologists began hunting gorillas in earnest, seeking to learn as much about the discovery as possible.

The mountain gorilla stayed a cryptid for a while longer. It wasn’t formally recognized as a species until 1902, when a German officer, Captain Robert von Beringe, shot one in the Virunga region of Rwanda and took it home to Europe.

x
x

7.The Okapi

The okapi is an African mammal that resembles a cross between a zebra and a donkey. Their only bizarre feature is the two hair-covered, horn-like structures called ossicones that they have just above their eyes. These may sound bizarre, but actually, the okapi is from the giraffe family, and the ossicones are pretty much the same as a giraffe’s horns.

x
x

The okapi isn’t especially peculiar, certainly not compared to the giant squid or platypus. Yet it was considered a myth until 1901. The problem was its central African habitat was already well-known to European explorers, and since they had never seen one, they did not believe the tales the locals told of the okapi.

Okapi inhabit incredibly dense forests and live quiet, solitary lives. Even the locals who told stories of them were unsure. Their knowledge of the okapi predominantly came from evidence the animals left behind, like tracks, rather than actual sightings.

In 1890, Sir Henry Stanley was the first European to describe the mammal after traveling in the region. However, he had no solid proof, and so the okapi remained a cryptid. It was not until 1901 that zoologist and imperial officer Sir Harry Johnston obtained a skull and some skins with the help of locals. With this physical evidence, the okapi’s existence could finally be confirmed.

The okapi wasn’t caught on film in the wild until 2008, which should give an idea of just how hard this beautiful animal is to track down.

x
x

Conclusion

So, if these cryptids turned out to be real, what about Nessy or Bigfoot? Why are people who believe in them still mocked and derided in the scientific community? The animals above, and in fact, all former cryptids share at least one thing in common.

They come from remote, hard-to-explore regions of the planet. These animals stayed cryptids for so long because European scientists hadn’t had a chance to fully explore their habitats yet. Once they had, these animals stop being cryptids. The problem is, besides the oceans, most of the earth’s land mass has been pretty well studied by now. The likelihood of creatures as large as the okapi walking around undiscovered up to now is slim to none.

Another thing most cryptids have in common is that they were actually discovered ages ago. The okapi and mountain gorilla had been talked about by African tribes for centuries. Likewise, the indigenous peoples of Australia were likely familiar with the platypus.

The awkward truth is that the only reason these animals were never taken seriously is old-fashioned racism. For the most part, something remained cryptid until European scientists said otherwise, seeing it with their own eyes. Centuries of eyewitness accounts made by the locals didn’t count, because colonial-era European scientists lacked respect for the indigenous people of the places they were colonizing.

Although it is unlikely any more large cryptids will be discovered, there is always some hope. There are still far-flung corners of the world and the fathomless depths of the oceans we haven’t scoured yet. If we are now willing to listen to the people from these areas, who knows what we might discover?

PayPal’s censorship plan EXPOSED and it’s getting worse

This is horrific!

The USA is NOT NOT NOT the land of freedom and justice.

This is fucking horrific. Watch the entire thing to the very end!!!!

URGENT: RUSSIA ISSUES CIVIL DEFENSE INSTRUCTIONS TO ENTIRE POPULATION: “RADIATION”

.

x
x

School children in every single city and town in Russia brought home official government notices today, telling families what to do in Civil Defense Emergencies like . . . radiation.

Poster boards are going up in mass-transit stations, at city halls, in shopping centers with the exact same message.

ENGLISH TRANSLATION:

“Civil Defense
The procedure for the actions of the population on the go signal when at work.
The signal “ATTENTION TO ALL!”
Accompanied by the activation of sirens with intermittent beeps followed by verbal information about the signal GO
* upon hearing the SIGNAL, turn on your radio or television set and listen to the message about the situation and how to proceed
* pass on the information you receive to your neighbors
* Act according to the messages you have been given.
Ways you should notify ALL!!:
* Television
* loudspeakers
* sirens
* bell
*radio
*Howls from businesses and vehicles
*phone, text message
* mobile sound-amplifying installations
* Information board
*social networks
Actions of the population
(inscriptions on the signs)
– Turn off lights, gas, water, heaters
– Close windows and doors tightly
– Cover gaps around doors, vents with a wet cloth.
– use personal protective equipment.
– Take cover in the nearest protective structure, buried room or other premises of the underground space, including the subway.
– Report to the assembly area
– evacuate to a safe area.
ACTIONS FOR:
* AIRBORNE ALARM
* CHEMICAL ALARM
* RADIATION ALARM
* THREAT OF CATASTROPHIC FLOODING
RESTRICTED SIGNAL
* Return to your place of work
* Be prepared for a possible repeat of the civil defense “ATTENTION TO ALL” signal.””

 

NEW YORK CITY DID SAME

The Hal Turner Radio Show reported to readers and listeners back on July 11 that New York City Emergency Management issued a Public Service Announcement for TV telling New Yorkers what to do when a NUCLEAR BOMB hits the city!   (Story Here)

Then New York City posted NUCLEAR ATTACK warning signs in Subway cars (Story Here)

 

NEW JERSEY TOO

The State of New Jersey also began warning its population of nuclear emergencies with large poster boards inside Shopping Malls (Story Here)

In September, New Jersey placed giant ads on the sides of all New Jersey Transit buses with the same warnings and instructions! (Story Here)

 

OTHER COUNTRIES TOO!

Just this week, the country of Finland told its citizens to get Potassium Iodide pills to take in a “Radiation Emergency”  (Story Here)

When the Russia-Ukraine thing went “hot” Romania knew what was coming . . .  and began handing out Potassium Iodide pills to its citizens (Story Here)

Poland began handing out Potassium Iodide Pills to its citizens just weeks ago (Story Here)

Back in April, the European Commission began construction of nuclear blast facilities for itself (Story Here)

 

GOVERNMENT KNOWS WHAT’S COMING

Governments don’t do things like this for no reason.  They do it because they either KNOW this is going to happen, or they believe strongly it may happen.

In this particular case, they KNOW . . . . because THEY are the ones causing it!

The only people who aren’t taking much of it seriously is the general pubic.  That attitude had better change real fast.

You need Emergency Food (canned, Boxed), emergency water (5 gallon containers for each person at home, minimum,  Extra supply of any medicines you need to live, first aid kit, portable radio with spare batteries, flashlights with spare batteries, and much, much more.

Even with these supplies, survival is questionable.  However, WITHOUT any emergency supplies, YOU and YOUR FAMILY will not stand any chance at all.

Prep.

NOW!

Finland Tells Citizens “Stock Iodine Pills” in case of “Radiation Emergency”

Fears of _actual_ nuclear war continue to grow over the Russia-Ukraine situation.  The latest example is Finland’s Ministry of Health which, today, told citizens to stock up on Iodine pills “in case of radiation emergency.”

The ministry presented the new recommendation at a media event on Tuesday.

The ministry said the recommendation was limited to people 3-40 years of age because of the potential risk radiation exposure poses to that age group.

The release of radioactive iodine from a nuclear incident into the environment, could build up in the thyroid gland. This is most harmful to children, who are at greater risk of developing thyroid cancer due to large doses of radiation,” the ministry’s statement read.

The statement noted that in case of such an emergency, sheltering indoors was the main way for people to protect themselves from hazardous radiation.

The ministry also noted that a single iodine tablet dose usually provides sufficient protection. It added that iodine rarely causes side effects, but that individuals who have thyroid conditions should use the substance with caution.

The recommended single dose for 12-40 year-olds in a dangerous radiation situation is 130 milligrams of potassium iodide. Children over 3 years of age are recommended to take half of that dose.

The ministry said that there are no iodine products suitable — nor available in Finland — for children under the age of three. However, the recommended dose for children under three years of age is 32.5 milligrams of potassium iodide, and for newborns half of this.

However, the ministry continues to recommend that pregnant women over the age of 40 keep a supply of iodine tablets at home, as fetal thyroid glands are significantly more sensitive to radioactive iodine than they are among adults.

“Public healthcare services will procure iodine tablets suitable for children under the age of three and will determine the best way to distribute them to the parents of such children and to pregnant women. Regional healthcare providers will inform people when such an iodine product is available,” the ministry’s statement read  (siirryt toiseen palveluun).

Iodine stocks sold out

On Tuesday afternoon, Finland’s largest chain of pharmacy outlets, Yliopiston Apteekki, reported stocks of iodine tablets had practically sold out nationwide following the issuing of the ministry’s statement.

Chief pharmaceutical officer Kati Vuorikallas told the STT news agency that the surge in demand has also been reflected in the increased traffic on the chain’s website, which has caused the site to slow down significantly or even crash for some users.

Efforts are being made to replenish the iodine stocks, Vuorikallas added, but there is no precise schedule on when this will happen.

CICA Conference

Here we have some of the results of the CICA Conference, “Astana Statement on the Transformation of the Conference on Interaction and Confidence-Building Measures in Asia”, my emphasis:

We, the Heads of State and Government of the participating States of the Conference on Interaction and Confidence-Building Measures in Asia (CICA), meeting on 12-13 October 2022 in Astana on the occasion of the 30th anniversary of CICA, decided to chart the following course of our further cooperation:

 

[1]  We are launching a structured, comprehensive and transparent negotiation process on a gradual, step-by-step, consensus-based transformation of CICA into a full-fledged regional international organization.

[2]  The CICA transformation process will pursue the following main objectives: to identify key areas of future cooperation and to strengthen the institutional and institutional framework of our interaction.

[3]  We believe that the organization will contribute to ensuring dynamic, equal, comprehensive and balanced economic growth, interconnectedness, social and cultural development of the participating States. We will step up collective work within the organization in order to find joint solutions to the problems common to all of us of the XXI century. to ensure the security and prosperity of the region, the peaceful settlement of disputes in accordance with the UN Charter.

[4] Our organization will engage and cooperate in areas of interest to all participating States with other States, organizations and forums that share our objectives and principles to strengthen results-oriented and consensus-based multilateral cooperation in the region.

So, yet another multilateral organization aims at joining with other like-minded organizations thus knitting the region more firmly together. And as with the SCO, a big portion of the organization’s focus is on anti-terrorism efforts which are detailed in other adopted documents that can be found here.

Posted by: karlof1 | Oct 13 2022 16:31 utc | 8

Misguided Foreign Policies Against Russia And Others Damage The U.S. And Its ‘Allies’

Political corruption, a lack of knowledge, and irrational foreign policies have brought the U.S. to a point where it is loosing its primacy in the world.

In response to the 2014 U.S. coup in Kiev the Russian Federation supported ethnic Russian rebels in the Donbas region to resist that anti-Russian progroms with which the Nazi-controlled Kiev regime threatened them. This blocked U.S. plans to move Ukraine into NATO and to station U.S. missiles directly at the Russian border.

In 2016 the Democrats sought revenge by pushing fake claims of Russian interference in U.S. elections. To justify her loss in the presidential election Hillary Clinton created ‘Russiagate’, the false claim the Trump was somehow directed by Russia. She was supported by high ranking officials throughout the deep state and especially within the FBI. In hindsight their behavior was beyond belief:

An FBI supervisor repeatedly testified Tuesday that agents did not corroborate an explosive allegation from a former British spy of a “well-developed conspiracy” between the Kremlin and Donald Trump’s first presidential campaign before citing the claim as a reason to initiate surveillance of a former Trump campaign official.

The FBI used the unconfirmed report, Auten testified, to seek court approval of a secret surveillance warrant to monitor Carter Page, a Trump campaign adviser, and then successfully got that warrant reauthorized on three occasions, based in part on the same, uncorroborated claim.

Auten told the jury that shortly after receiving the first batch of Steele documents in the fall of 2016, a group of FBI officials met with Steele and offered him “anywhere up to $1 million” for information that would corroborate the claims in his reports. But Steele never did provide corroboration, Auten said in response to Durham.

Steele had been hired to produce reports by research firm Fusion GPS, which had been retained by a law firm that represented Trump’s opponent, Hillary Clinton, and the Democratic National Committee.

‘Russiagate’ created a feverish anti-Russian atmosphere especially within the Democrats and their followers.

Adding to this was a serious ignorance of Russia economic and technological capabilities. U.S. politicians rely on biased media which created a false picture of Russia. I did my best to debunk that as often as I could:

The rest of the NYT piece is not any better than its very first paragraph. It simply repeats false stereotypes about Putin as an “autocratic leader” or about the non-existing Russian influence on U.S. elections.Nearly thirty years ago when the Soviet Union broke apart Russia had a deep fall. The liberalization of its economy had catastrophic consequences. But it has since reformed itself. It is now back to its traditional position in the world. A large Eurasian power which is in nearly all aspects independent from the rest of the world and able to protect itself. It must therefore be taken into account when one thinks of global polices. That is simply a fact and not the effect of a “mindgame” that Russia allegedly plays with the “west”.

That the U.S. still has problems to understand that is not Russia’s fault but the result of the skewed descriptions of it.

I wrote the above in December 2019(!). Ten month later I revisited the issue:

Over the last years the U.S. and its EU puppies have ratcheted up their pressure on Russia. They seem to believe that they can compel Russia to follow their diktat. They can’t. But the illusion that Russia will finally snap, if only a few more sanctions ar applied or a few more houses in Russia’s neighborhood are set on fire, never goes away.

Russia does not accept the fidgety ‘rules of the liberal international order’. Russia sticks to the law which is, in my view, a much stronger position. Yes, international law often gets broken. But as Lavrov said elsewhere, one does not abandon traffic rules only because of road accidents.Russia stays calm, no matter what outrageous nonsense the U.S. and EU come up with. It can do that because it knows that it not only has moral superiority by sticking to the law but it also has the capability to win a fight.

Russia is militarily secure and the ‘west’ knows that. It is one reason for the anti-Russian frenzy. Russia does not need to bother with the unprecedented hostility coming from Brussels and Washington. It can ignore it while taking care of its interests.

As this is so obvious one must ask what the real reason for the anti-Russian pressure campaign is. What do those who argue for it foresee as its endpoint?

The answer to my question was revealed in mid of last year when the U.S. and the EU threatened Russia with ‘crushing sanctions’. The idea was to destroy Russia’s economy to then breakup the country. It was a very stupid one:

Russia is the most autarkic country in the world. It produces nearly everything it needs and has highly desirable products that are in global demand and are especially needed in Europe. Russia also has huge financial reserves. A sanctions strategy against Russia can not work.To use the Ukraine to gaud Russia into some aggression to then apply sanctions was likewise a rather lunatic attempt.

Instead of splitting Russia from China the U.S. has unintentionally done its best to push them into a deeper alliance. It was the most severe strategic error the U.S. could make.

Instead of a taking a new strategic posture that would support a pivot to Asia strategy the U.S. is now moving troops back to Europe.

The narrow-minded bigotry of U.S. decision makers, fed by a belief in U.S. exceptionalism while lacking any conception of real power, has led to this defeat.

The U.S., through NATO, had build up the Ukrainian army with the intent to use it against Russia. As NATO General Secretary Stoltenberg proudly claimed:

As you know, NATO Allies provide unprecedented levels of military support to Ukraine. Actually NATO Allies and NATO have been there since 2014 – trained, equipped and supported the Ukrainian Armed Forces.

The recent war was launched by Ukraine on February 17 with artillery barrages against the Donbas republics. Massive sanctions against Russia were introduced. The Russia army finally marched into Ukraine.

It took only a few weeks to recognize that the sanctions, as I had expected, utterly failed. In the first days the Rubel fell only to come back much stronger. There were no shortages for Russian consumers. Russia’s industries kept buzzing along.

But the sanctions did crush the ‘west’ and especially its consumers.

Over the years the U.S. and the EU have held up sanctions against the oil producers Iran, Venezuela and Russia. They also destroyed parts of Libya’s oil industry. In total the sanctions have kept some 20% of global oil production either off the markets or made them more difficult to buy and sell. On top of this U.S. relations with major Middle East producers, especially Saudi Arabia, have cooled down.

In late 2021 consumer prices for hydrocarbon products exploded. When they threatened to derail the Democrat’s chances in the mid terms President Biden used tax payer money, in form of the Strategic Petroleum Reserve, to subsidize gasoline prices:

The United States and five other world powers announced a coordinated effort to tap into their national oil stockpiles on Tuesday, attempting to drive down rising gas prices that have angered consumers around the world.The move appeared to underwhelm oil traders, who had been expecting President Biden to announce a larger release from America’s Strategic Petroleum Reserve, which is the biggest in the world with 620 million barrels.

The concerted effort, the largest ever for a release of strategic reserves across multiple countries, is meant to address fluctuations in supply and demand for oil, administration officials said. And it was a shot across the bow of OPEC Plus, the name for the Organization of the Petroleum Exporting Countries as well as Russia and other countries. Mr. Biden has pushed those countries to increase production, but has been rebuffed.

The move could bring a response next week when the group holds its monthly meeting. While it could prompt those countries to increase production, it could just as easily push the cartel to restrict supply further and push global prices higher.

The SPR voter bribe has since continued:

Since 2021, the nation’s SPR has diminished by about 35%, with 2021 starting with 638 million barrels in inventory. By the end of the year, that figure had dropped to 594 million barrels. Today, there are 416 million barrels—and even more are set to be released.

The U.S. has not only sanctioned major oil producers, it also instigated a war against the third largest (Russia) and pissed of the second largest one (Saudi Arabia).

The peak of such stupidity was the idea to limit the price ‘allowed’ to be paid for Russian oil:

A sane actor would conclude that the sanctions were a mistake and that lifting them would help Europe more than it would help Russia. But no, the U.S. and European pseudo elites are no longer able to act in a sane manner. They are instead doubling down with the most crazy sanction scheme one has ever heard of:

[T]he European Union pushed ahead on Wednesday with an ambitious but untested plan to limit Russia’s oil revenue.

Under the plan, a committee including representatives of the European Union, the Group of 7 nations and others that agree to the price cap would meet regularly to decide on the price at which Russian oil should be sold, and that it would change based on the market price.

How do you make a big producer of a rare commodity sell those goods below the general market price? Unless you have a very strong buyers cartel that can also buy the product from elsewhere you can not do this successfully. It is an economic impossibility.

Russia has declared that it will not sell any oil to any party that supports the G7 price fixing regime. That is why neither China nor India nor any other country besides the EU and U.S. will agree to adhere to it.

A month ago OPEC+ finally fired back by decreasing its output aim by 2 million barrels per day. To some of them a price of oil around $80 per barrel is simply a budget requirement:

The quiet understanding emerging from [Biden’s] trip was that Saudi Arabia would increase its production by about 750,000 barrels a day, and that the United Arab Emirates would follow suit with an additional 500,000, pushing down gas prices and worsening President Vladimir V. Putin’s ability to fund a war that was stretching much longer — and with much higher casualties — than Mr. Biden had expected.But the production increases were fleeting. While Saudi Arabia boosted production significantly in July and August, it backed away from their promise to sustain those levels over the rest of 2022. Its leaders, and all of OPEC, worried that the specter of global recession was driving prices down, from $120 a barrel over the summer to below $80. Below that level, they fear, budgets have to be cut and social stability is threatened. So the Saudis decided they had to act.

The sanctions and the bad relations with Saudi Arabia mark a major failure of U.S. foreign policy writes M. K. Bhadrakumar:

The Biden Administration tempted Fate by underestimating the importance of oil in modern economic and political terms and ignoring that oil will remain the dominant energy source across the world for the foreseeable future, powering everything from cars and domestic heating to huge industry titans and manufacturing plants.

The Western powers are far too naive to think that an energy superpower like Russia can be simply “erased” from the ecosystem. In an “energy war” with Russia, they are doomed to end up as losers.Historically, Western nations understood the imperative to maintain good diplomatic relations with oil-producing countries. But Biden threw caution into the wind by insulting Saudi Arabia calling it a “Pariah” state. Any improvement in the US-Saudi relations is not to be expected under Biden’s watch. The Saudis distrust American intentions.

The congruence of interests on the part of the OPEC to keep the prices high is essentially because they need the extra income for their expenditure budget and to maintain a healthy investment level in the oil industry. The International Monetary Fund in April projected Saudi Arabia’s breakeven oil price — the oil price at which it would balance its budget — at $79.20 a barrel.

The budget point is an obvious one. But more important is that all of OPEC+ recognize the new sanction scheme as a potential attack on each of them:

Meanwhile, a “systemic” crisis is brewing. It is only natural that the OPEC views with scepticism the recent moves by the US and the EU to push back Russia’s oil exports. The West rationalises these moves as aimed at drastically reducing Russia’s income from oil exports (which translates as its resilience to fight the war in Ukraine.) The latest G7 move to put a cap on the prices at which Russia can sell its oil is taking matters to an extreme.

No doubt, the West’s move is precedent-setting — namely, to prescribe for geopolitical reasons the price at which an oil-producing country is entitled to export its oil. If it is Russia today, it can as well be Saudi Arabia or Iraq tomorrow. The G7 decision, if it gets implemented, will erode OPEC’s key role regulating the global oil market.Therefore, the OPEC is proactively pushing back. Its decision to cut down oil production by 2 million barrels per day and keep the oil price above $90 per barrel makes a mockery of the G7 decision. The OPEC estimates that Washington’s options to counter OPEC+ are limited. Unlike in the past energy history, the US does not have a single ally today inside the OPEC+ group.

Due to rising domestic demands for oil and gas, it is entirely conceivable that the US exports of both items may be curtailed. If that happens, Europe will be the worst sufferer. In an interview with FT last week, Belgium’s prime minister Alexander De Croo has warned that as winter approaches, if energy prices are not brought down, “we are risking a massive deindustrialisation of the European continent and the long-term consequences of that might actually be very deep.”

All this is the consequence of U.S. ‘Russiagate’ phobia, originally raised for purely domestic policy reasons. It is a consequence of misrepresenting and misjudging the size and importance of Russia’s economy. It is consequence of believing that Russian (and Saudi) interests can be ignored.

Russia’s aim is to de-NATO-size Europe. It will do this by using the sanctions against it to deprive Europe of cheap energy. Sustained over months or years it is all that is needed to make NATO fall apart.

The sanctions will finally split Europe from the U.S. and its failed foreign policy.

Some U.S. politicians still think they must continue to pile onto the mountain of failure that U.S. foreign policy has become:

The congressional backlash against Saudi Arabia escalated sharply on Monday as a powerful Democratic senator threatened to freeze weapons sales and security cooperation with the kingdom after its decision to support Russia over the interests of the US.Washington’s anger with its Saudi allies has intensified since last week’s Opec+ decision to cut oil production by 2m barrels, which was seen as a slight to the Biden administration weeks ahead of critical midterm elections, and an important boost to Russia.

Hitting out at Mohammed bin Salman’s decision to “help underwrite Putin’s war through the OPEC+ cartel”, Menendez said there was “simply is no room to play both sides of this conflict”.

“I will not green-light any cooperation with Riyadh until the Kingdom reassesses its position with respect to the war in Ukraine. Enough is enough,” he said.

Another Democratic senator and a member of Congress – Richard Blumenthal and Ro Khanna – expressed similar sentiments in an opinion piece for Politico that also accused Saudi Arabia of undermining US efforts and helping to boost Russian president Vladimir Putin’s invasion of Ukraine.

The senators want to block weapon sales to Saudi Arabia. Mohammed bin Salman will rather happily buy Russian air defense system. In contrast to U.S. systems they have the advantage of actually functioning. Saudi Arabia’s Intermediate Range Missiles are from China. It will be happy to add more of those too.

Pissing off Russia, China and the whole Middle East – all at the same time – while condemning its ‘allies’ to a systemic economic crash and utter poverty, is the result of an irrational U.S. foreign policy.

I find it unlikely that the Biden administration with its librul ideology will be able to correct its own errors. The failures and mistakes will stay uncorrected and their consequences will multiply. It will take a regime change in Washington, and a change in its deep state ideology, to find back to some realistic view on foreign policies.

Posted by b on October 12, 2022 at 16:06 UTC | Permalink

Busy FBI

From HERE

 

On the other hand, the FBI apparently cherishes its dog whistle “racists” who are essentially agents provocateurs, most notably radio talkshow host Ted Turner.

More than a decade ago, I wrote:

Radio talk show host and blogger Hal Turner was an FBI trained agent provocateur, his attorney told reporters in Hartford [August 18, 2009]. The supposed white supremacist worked for the agency from 2002 until 2007. “His job was basically to publish information which would cause other parties to act in a manner which would lead to their arrest,” Michael Orozco told the Associated Press.

The article I wrote originally appeared on Infowars and Prison Planet. The above link in the byline of the repost now points to an ad for an old Alex Jones documentary. I am persona non grata at both websites and I imagine a lot of the work I did there has made it to the memory hole.

But let’s not wander off track.

As the case against Turner and the revelations of his attorney reveal, the government did not abandon its COINTELPRO tactics, as it claimed in the mid 1970s. The FBI, acting as the establishment’s political secret police, continues to undermine political movements the elite consider dangerous and a threat to their control and influence.

Recall, I wrote the above more than a decade ago, and since that time the situation has grown far worse, predictably so under the mentally compromised Joe Biden.

The FBI infiltrated the Ku Klux Klan in the 1950s and 60s.

“During the 1960’s, the FBI’s role was not to protect civil rights workers, but rather, through the use of informants, the Bureau actively assisted the Ku Klux Klan in their campaign of racist murder and terror,” the authors of “COINTELPRO: The Untold American Story.”

Church Committee hearings and internal FBI documents revealed that more than one quarter of all active Klan members during the period were FBI agents or informants. However, Bureau intelligence “assets” were neither neutral observers nor objective investigators, but active participants in beatings, bombings and murders that claimed the lives of some 50 civil rights activists by 1964.

Bureau spies were elected to top leadership posts in at least half of all Klan units. Needless to say, the informants gained positions of organizational trust on the basis of promoting the Klan’s fascist agenda. Incitement to violence and participation in terrorist acts would only confirm the infiltrator’s loyalty and commitment. 

The report, nearly impossible to find on the internet, notes the FBI established “dozens of Klaverns, sometimes being leaders and public spokespersons. Gary Rowe, an FBI informant, was involved in the Klan killing of Viola Liuzzo, a civil rights worker. He claimed that he had to fire shots at her rather than ‘blow his cover.’ One FBI agent, speaking at a rally organized by the Klavern he led, proclaimed to his followers, ‘We will restore white rights if we have to kill every negro to do it.’”

In other words, the FBI was a prime motivator in racist violence, same as it has more recently worked behind the scenes to fabricate Islamic terror groups.

Unless and until departments/agencies like CIA, FBI, Federal Reserve etc. are shut down USA will remain RINO (Republic In Name Only), a post-constitutional failed state with nuclear power and hosting an international credit cartel. Volatile mix.

Expecting such endemic corruption to be remedied by mid-term or Presidential elections in the current polity is a form of mental illness. USA is a pathocracy.

Posted by: Scorpion | Oct 14 2022 5:05 utc | 51

Energy problems

At his VK, Escobar provides an update on the protests in France that has an accompanying map lifted from Le Figaro:

“A nightmare. Major PORTS are blocked (see those red circles and red squares).

“Le Petit Roi’s goons are starting to introduce FORCED LABOR; if you don’t go to work, you will be fined or go to jail.

“You can’t get away with stuff like that in France. Blowback will be a bitch. Le Petit Roi can have his ‘transition economy’ and eat it too.”

Pepe also provides a link to this article, “Global finance vs global energy: who will come out on top?” by energy analyst Dr. Karin Kneissl, Austria’s Foreign Minister from 2017-2019. A tidbit:

“To really understand the core of the conflict in Ukraine – where a proxy war rages – one must break down the confrontation thus: The US and its European allies, who represent and back the global financial sector, are essentially engaged in a battle against the world’s energy sector.

“In the past 22 years, we have seen how easy it is for governments to print paper currency. In just 2022, the US dollar has printed more paper money than in its combined history. Energy, on the other hand, cannot be printed. And therein lies a fundamental problem for Washington: The commodity sector can outbid the financial industry.”

As you read, I’m not the only one who sees energy at the core of our conflict.

Posted by: karlof1 | Oct 13 2022 17:40 utc | 14

Oh No, something BIG is happening in Germany, the WEF is make it worse

Very interesting. And very dangerous.

Euribor rates.

For a graphical overview of the futility of sanctions have a look at the Euribor rates.

x
x

From HERE

Head of Belarus KGB: “The West is considering the use of nuclear weapons against the country and the Russian Federation”

The KGB of Belarus knows that the West is considering using tactical nuclear weapons against the Belarus and Russia. This was stated on Tuesday by the head of the department, Ivan Tertel, on the air of the Belarus-1 TV channel.

“According to our data, which we obtain from the military-political circles of the West, they believe that in no case should they lose (in Ukraine – TASS note). Therefore, the possibility of using tactical nuclear weapons is being discussed, among other things, which are created for direct aggression against our country and against our allies,” Tertel said.

On October 10, Belarusian President Alexander Lukashenko said that the leadership of NATO and European countries is considering options for possible aggression against Belarus, up to a nuclear strike.

According to him, Belarus and the Russian Federation are beginning to use a joint regional grouping of troops in connection with the aggravation of the situation on the western borders of the Union State.

China cannot annex Taiwan — Taiwan is already part of China.

What China seeks is to reunify with Taiwan. Big difference.

America is an imperialist. It sticks its nose into things that are none of its business. Taiwan is China’s domestic matter.

America is using Taiwan as a pawn to undermine China, whose economic rise threatens America’s global hegemony.

RUSSIAN MILITARY RADIO BROADCASTS TO CITIZENS IN KHARKIV: “EVACUATE CITY NOW”

x
x

The Russian Army is using regular AM and FM radio to transmit to Ukrainian citizens in the city of Kharkiv. The broadcasts are telling them to evacuate that city immediately.

The military high-powered radio transmitters are even broadcasting directly ON TOP OF what would be the traditional radio stations in that area. The military transmitters are simply over-powering the regular radio stations.

Over the past 48-72 hours, Russian troop build-ups are showing what appears to be “Special Military Operation -Season 2” . . . only with very much more men.

On the map below, the hand-drawn circles in red show the present build-up of Russian forces, and the circles in blue show Ukrainian force locations.

x
x

Most of Ukraine’s forces are engaged in the southeast of the country. The Ukraine troops to the east of Kharkiv, are now finding themselves squished between two superior Russian force groupings; one in the Belgorad region of Russia itself, the other in Luhansk. They seem to be inside a perfect “Pincer” situation.

Ominously for Ukraine, the sudden and very large build-up of Russian troops in Belarus, to the north and northwest of Kiev, looks very much like what existed when Russia initially began its Special Military Operation into Ukraine. At THAT time, the troops in Belarus, north of Kiev, moved in a 47 mile long convoy toward the city, but stopped without attacking.

It turned out to be a feint; Russia did that so as to force Ukraine to keep essential troops in the north to protect the capital, Kiev, while they moved against targets in the south. This time, however, it seems quite clear that if/when those Russian troops come out of Belarus again, Kiev could be mince-meat.

In addition, the Russian forces toward the top left of the map, also in Belarus, is an interesting build-up. Would they swoop in against Kiev, or will they head due south to Lutsk, Rivne . . . or both?

Stay tuned . . .

Well, you do understand that Taiwan is part of China, right? China has every right to blockade its own territory and it is absolutely none of the Americans’ fucking business.

However, I’ll tell you what are the ramifications if the Americans interfere: war between China and USA. Very likely, a most devastating war.

So it behooves the Americans to keep their nose out of China’s business.

Obama Official Flip-Flops On Nuclear War w/ Russia

Jeeze!

ARREST VIDEOS: UKRAINIAN SBU AGENT CAUGHT WITH MANPADS IN MOSCOW; SECOND CAUGHT IN BRYANSK

x
x

The Russian Federal Security Bureau (FSB) has released arrest video of a Ukrainian Intelligence Bureau (SBU) Agent, in Moscow, with MANPAD, shoulder carried surface-to-air missiles! A second video, shows another arrest in Bryansk, of a man with rocket-propelled grenades, to carry out a terrorist attack upon a logistics facility in Russia.

In the first of two videos below, an actual Agent of Ukraine’s SBU is caught driving a Volkswagon minivan, with two “Igla” MANPAD surface-to-air weapons. These are shoulder-fired weapons that can take down any aircraft at relatively low altitude, especially civilian air liners.

As you will see in the video, the weapons were hidden in a carefully constructed secret compartment, beneath the vehicle:

x
Video

Since Moscow is not a gigantic military hub, it is initially thought this man was going to hit civilian airliners, to sew terror in the Russian civilian population:

SECOND ARREST: BRYANSK

In Bryansk, a second man was quickly taken down, and found to be in possession of some type of rocket-propelled explosive, allegedly to be used against a Russian Logistics center.   Video of that arrest, and of the device to be used, is below:

x
Video

A possible future for the United States

The forces that run the USA are misusing the financial institutions because as long as these institutions can be “s-t-r-e-t-c-h-e-d”, there are bundles of short term profit. If, (or when) it snaps, (so what), we have garnered $ trillions, and captured the majority of real assets. What will happen? The states will gain more power, as in federalism and some areas will break away. I say areas, because there are not going to be 50 new nations. States can’t sustain themselves alone. There will have to be regions. Even California (the fifth largest world economy) has no water resources. They are dependent on other states.

It is already happening with projects like “Greater Idaho”. It is not that Idaho has expansionist desires. The push comes from the outside.

x
x

 

The way I interpret it is that states have political power, like their two senators. There is a rural / urban divide in America, but every rural state also has a very large city. Illinois and Chicago for example. It is a given that the urban population will cancel the rural vote and thwart their desires and programs. So rural counties want to get out of California and get away from Portland. This is not a break up of the USA, but it is a re-configuration of political power.

State governors could start to feel out the possibilities of regions, develop sharing with allied states. First share emergency services, pollution control, electrical power (which Texas does not do), emergency policing powers, maybe make agreements on the deployment of the national guard? They could make voter blocs in the US congress. Admittedly these are future developments.

Who will Alaska ally with? Russia is an obvious choice. A railroad tunnel would be built. Alaska would become a hub for the distribution of natural gas, when fracking runs dry. They would be a land based shipping center between Asia and the Americas.

I think that it is highly likely.

Joe Biden: “Two Words – Made In America”

Can he count?

The USA is so corrupt…

I learned from the reference below. that

On January 16, 1794, Congress passed a resolution that prohibited anyone who owned stock or held an office in a financial institution from being in Congress. also I learned that The original Thirteenth Amendment (1810) prohibited lawyers from functioning in Congress.. From HERE

It is becoming clearer and clearer that congress does not work for those it governs. What then are the governed to do?

How can the average members of the public in western prone nation states promote for their president and other members of their elected governments non pilgrim society members?

Membership in the society is secret; and i believe Epstein’s trial would have shown how threat, intimidation and blackmail keeps the affairs of secret societies secret and how such intimidation, is used to make government parties vote against the best interest of those it governs.

Over the years, nearly every president and high level member of governments seem to have been member of, or closely associated to one or more these secret organizations (mostly secret organizations seem to work by making government into a secret hidden arm of for profit influence on rule of law and formation and function of government institutions) operating both external to and embedded within the bureaucracies and working governments that govern us all.

IMO surveillance and secrecy are the feedforward feedback loop that allows special interest to carve out and profit from the privatization of monopoly powers. Surveillance and secrecy have captured “governed humanity” and surrendered it into “service of making oligarchs a profit”.

Rule of law created by biased or corrupt politics is a tool of tyranny; it converts government into an invasive imperialistic assault weapon capable to undermine human rights.

Posted by: snake | Oct 13 2022 16:07 utc | 6

The United States has lost it’s mind concerning China

What can you expect from strategy papers when you insist on lying to yourself about import facts? I was just about to read Global Times editorial on the subject, “US’ new national security report lack of creativity, full of viciousness,” and there’s an accompanying article too, “Biden’s national security strategy hypes ‘China challenge,’ full of outdated confrontational mentality”.

Here’s the editorial’s opening paragraph:

"The White House on Wednesday released its new National Security Strategy (NSS). 

This is a routine of every US government and an important document that reflects and guides the incumbent government's internal affairs and diplomacy. 

But after reading the 48-page document, the international community generally feels a strong sense of unease and concern. 

The 'national security' pursued by the US will come at the expense of the security of other countries. 

If the path and direction stated in the report is followed, the superpower of the US will sooner or later go to the opposite side of world.

Therefore, peace and stability, and the consequences will be unimaginable." [My Emphasis]

The writer continues:

"The release of the strategy report was delayed for several months due to the Russia-Ukraine crisis, but we did not see from the report that the US had deeply reflected on the root cause of the conflict, let alone learned any lessons. 

Instead, it further strengthened the camp confrontation and binary opposition mindset that led to the outbreak of the conflict between Russia and Ukraine." [My Emphasis]

The writer then concentrates on the portion devoted to confronting China.

But from just this little bit we can surmise that it’s more of the same old shit poured into a new can.

However, it appears that the writer needs to learn something about the Outlaw US Empire for he believes the Cold War ended:

"It has been 31 years since the end of the Cold War, but the political elites in Washington still have not learned to coexist with other countries in a new way."

IMO, it's China's fault for failing to realize the Outlaw US Empire will never give up its goal to dominate the world, and to also see that goal was inherited--if not stolen from--the Empire's Colonial mother: England. 

Why is it that China and other nations fail to see what they ought to be seeing?

Well, to be fair, the writer does see many things as this portion reveals:

"The new report is a mirror, reflecting the selfishness, ambition and the deepest fears of Washington's political elites. 

In all its national strategy reports, Washington emphasizes US' 'leadership.' 

There is nothing wrong with ambition, but what does the US want to do by 'leading' the world? 

The report shows that the US is still trying to rope in one group and fight another, creating division and confrontation in this world....

"In its quest to suppress and contain China, Washington has lost its mind." [My Emphasis]

The other article provided this summary regarding China:

"Chinese experts said that the Biden administration's national security strategy basically continues the Trump-era position on China, and is more of a summary of US action and intention.

"The strategy suggested that the US basically has three goals it wants to achieve in the future: 

[1] limit China's development in the high-tech field; 

[2] limit Chinese influence, promote ideological alliances, and prevent the success of the Chinese system from being widely recognized around the world; 

[3] and make further provocations over the Taiwan question to trap China, experts noted." [My Emphasis]

I’m curious to see just how the bolded goal above was described and how it’s to be accomplished.

Given the overall continuity, I doubt Biden had any direct input despite BigLie Media saying otherwise, as with this example:

"CNBC believes that the Strategy generally functions 'more as an aspirational expression of a president's values than as a blueprint for military plans.'"

If that’s actually the case, then Biden wants to become a War President; but then, he is already.

Posted by: karlof1 | Oct 13 2022 23:05 utc | 37

Ukraine is in the shit now.

Holy Cow, Batman!

Once Russia annexed the Eastern Ukraine, any attacks on it would be de facto attacks on Russia. Reports are that a terrorist from American-controlled Syria drove a truck laden with explosives out of Russia and detonated upon the BIG bridge that connect the Crimea to Russia.

What is significant about this attack is the severity and planning. [1] It’s a major up-tick in hostilities. [2] It’s methodically planned, and involves American assets, not Ukrainian assets.

CRIMEA BRIDGE ATTACKED: Road collapse into water, Fuel Train ON FIRE!

.

x
x

Multiple reports are coming in saying there is a train with fuel cars ON FIRE on the Crimea Bridge.  One image, shown above, depicts multiple fuel tanker cars ablaze.  The flames are impinging upon the structure of the bridge.

More info as I get it . . . Check back.

UPDATE 7:25 AM EDT —

The Crimea Bridge, connecting mainland Russia with Crimea, has been attacked by Ukraine!

The magnificent structure has three sections of roadway now collapsed into the sea, and a train on the adjacent railroad track portion of the bridge, is on fire.

Image from the passenger vehicle roadway of the bridge itself:

x
x

UKRAINE CLAIMS CREDIT FOR ATTACK

Ukraine admits responsibility for the terrorist attack on the Crimean bridge.

Zelensky’s office advisor Podolyak has admitted Ukraine’s responsibility for the terrorist attack on the Crimean bridge.

“Crimea, the bridge, the beginning. Everything illegal must be destroyed, everything stolen must be returned to Ukraine, everything occupied by Russia must be destroyed,” Podolyak said.

FEROCIOUS REACTION IN RUSSIA

“This is no longer just a challenge, this is a declaration of war without rules” – State Duma deputy Morozov about the terrorist attack on the Crimean bridge.

Russian Senator Alexander Bashkin says Moscow will answer Crimean Bridge attack with a “possibly asymmetric response.”

Deputy Speaker of the State Duma: The terrorist act that targeted the Crimean bridge was a declaration of war against Russia.

POLICE INVESTIGATION SO FAR . . .

Police say a Suicide bomber was driving this truck that exploded on the Crimean bridge, presumably, coming from Krasnodar, stuffed with explosives.

He passed through the traffic Police post and detonated on the bridge. The mileage of the truck is 870,000 km.

Truck that exploded on Crimean bridge was not taken for X-ray, and its driver had all the documents in order, according to Mash, citing traffic police officers.  He entered the bridge from Russian mainland. There is no x-ray gate to detect explosives as it’s on Crimean site.

Sources report that the driver of the truck has been identified. According to our sources, he could be Biji al-Kamurji, a native of Syria.

UPDATE 9:27 AM EDT —

According to preliminary data, three people were killed as a result of an explosion on the Crimean Bridge, the Investigative Committee of the Russian Federation reports. Passengers of a car driving next to an exploding truck were killed, the bodies of a man and a woman were lifted out of the water.

According to the investigation, the owner of the truck blown up on the Crimean Bridge is a resident of the Krasnodar Territory.

Investigative actions have been launched at his place of residence

At this hour, the rail car fires on the railroad track span of the bridge, are being doused by helicopters dumping water from fire-fighting buckets.

Ukraine SitRep – Recent Incidents Of Concern For All Sides

Yesterday saw two significant developments or incidents with regard to the conflict in Ukraine.

The Kerch bridges which connect Crimea with Russia, one for cars and one for trains, were sabotaged. At least one of the two road spans has fallen down while tanker rail cars on the parallel train bridge caught fire.

CCTV footage shows that a truck exploded while passing the bridge. Here is a video of the damage. One of the two road spans seems to be intact.

As the pillars of the bridge seem unaffected a repair of the broken road span is possible but will take some time. The more sturdy railway bridge may have some superficial fire damage but Russia is one of the few countries that has designated railroad troops specialized in and equipped for railway repairs. The railway traffic is likely to be back within a few day or weeks.

This is a severe handicap for Russian logistics to the frontlines in south Ukraine but not a catastrophe as alternative rail and road routes, as well as ferries, are available. Military logistics is designed to work even under significant constrains. It will find ways to work around the problem.

Meanwhile the Ukrainian side of the conflict experiences a communication failure that is likely way more consequential.

Adam Kinzinger🇺🇦🇺🇸✌️@AdamKinzinger – 16:26 UTC · Oct 7, 2022Evidently the Starlink system is down over the front lines of Ukraine. @elonmusk should make a statement about this, or, this should be investigated. This is a national security issue.

Tore @potifar66 – 21:55 UTC · Oct 7, 2022Some of the outages led to a “catastrophic” loss of communication in recent weeks, said one senior Ukrainian government official with direct knowledge of the issue.

ft.com: Ukrainian forces report Starlink outages during push against Russia
Some of Elon Musk’s SpaceX devices stopped working when Ukrainian soldiers liberated territory, Kyiv officials say

The Russian army is leading globally in its abilities to wage electronic warfare. It can disable ground based radio traffic on any frequency. It has now found ways to also disable ground to satellite connections as used by the Starlink constellation.

At the beginning of the war the Ukrainian military was supplied with thousands of Starlink ground terminals that can connect to the swarms of small Starlink satellites, which were financed by the Pentagon, but managed by Elon Musk’s companies.

They allowed for communication between Ukrainian ground units as well as for general command and control of larger operations. Without Starlink the Ukrainian command will depend on cable based field telephone, runners and couriers. All of which are extremely vulnerable in an artillery rich environment.

Since 2001 Russia developed the Tirada 2 electromagnetic system which can disable ground to satellite traffic in specific areas.

Russian military in the near future can get into service systems that can disable enemy communication satellites, an informed Interfax interlocutor in the military-industrial complex said 05 January 2018. “One of the projects is Tirada 2. Development work was started back in 2001,” he said. According to him, this complex will be able to disable communication satellites from the ground. “He acts by electronic suppression. This is a multi-million dollar project,” he said. The fact that the Russian Federation is working on the creation of weapons to suppress satellites was announced on November 30 last year by Oleg Achasov, deputy head of the Federal State Budgetary Institution “46 Central Scientific Research Institute”.

In November 2018, the FSB called the “threat to national security” a project to cover the globe with high-speed satellite Internet.

 

x
x

biggerIn 2019 an OSCE observer drone took pictures of a Tirada complex in the Donbas region.

 

x
x

biggerThe disablement of the Starlink communication traffic was only a question of time.

The traffic had to be analyzed to identify the frequencies and algorithms used by the transmitter and receiver. Software had to be written to implement a matching radio jamming pattern. The hardware of the Tirada system was likely already sufficient to emit the appropriate patterns on the identified frequencies.

As this system has now been proven to be effective in the field it will likely be made available on a wider scale.

Russian electronic warfare equipment can already disable all ground radio traffic in specific areas. Soon all frontline communications of the Ukrainian forces will be disabled.

This is catastrophic for Ukrainian operations.

Forward observers will no longer be able to correct artillery missions. Platoons and companies at the front will have no way to call for support. Higher command will have no way to coordinate larger operations in real time.

One Dr. Jay Tharappel has found language likely to comfort the woke U.S. and Ukrainian leaders and functionaries who are concerned about the four Oblast that have joined the Russian Federation.

 

x
x

bigger

“These four provinces are Russian, but they were assigned ‘Ukrainian’ at birth. Recently the have come out as trans. They are border-fluid regions and their sovereignty is non-binary. They are Russians trapped in a ‘Ukrainian’ body, and have made their decision to transition. Their pronouns are now DPR/LPR. We Indians as allies support this transition and condemn the transphobic attitudes of westerners.”

Something tells me that soon more ‘Ukrainian’ oblasts will come out and recognize their real being.

Boris Rozhin, aka Colonel Cassad, reported on Telegraph (machine translation):

Today there was a sortie of foreign mercenaries in the Zaporozhye direction, which included, among other things, American special forces soldiers. They were so self-confident that they decided to carry out reconnaissance in battle with this stellar squadron. However, they did not take into account the presence of our units in this zone, which are able to maintain secrecy on the air, and suffered losses. However, some of these bastards still managed to escape. The presented photos are screenshots of the video from the go-pro camera of one of the dead mercenaries, who literally lost his head. I’ll probably post the video tomorrow.

 

x
x

biggerI have no way to verify those claims but a recent Intercept report stated that there are significant U.S. forces on the ground in Ukraine:

One U.S. official insisted that the CIA only conducted a partial withdrawal of its assets when the war began, and that the agency “never completely left.”Yet clandestine American operations inside Ukraine are now far more extensive than they were early in the war, when U.S. intelligence officials were fearful that Russia would steamroll over the Ukrainian army. There is a much larger presence of both CIA and U.S. special operations personnel and resources in Ukraine than there were at the time of the Russian invasion in February, several current and former intelligence officials told The Intercept.

Secret U.S. operations inside Ukraine are being conducted under a presidential covert action finding, current and former officials said. The finding indicates that the president has quietly notified certain congressional leaders about the administration’s decision to conduct a broad program of clandestine operations inside the country.

These will not be the only U.S. casualties in Ukraine. When more such reports come out the issue is likely to explode in Biden’s face.

Posted by b on October 8, 2022 at 7:58 UTC | Permalink

An interesting comment

I rather doubt it was a suicide bomb. The driver may have died, but if he did, it is unlikely to have been voluntary. If I were a jihadi, I’d have trouble believing that dying on behalf of hyper-christian UkroNazis would get me welcomed by the 72 virgins in Paradise, because that is what they believe. It’s completely the wrong doctrine. doesn’t work at all. There may be some jihadis fighting for Ukraine, but that would be as mercenaries, to make a living, not a dying.

Posted by: laguerre | Oct 8 2022 10:15 utc | 63

And a follow up comment…

… Doesn’t rule out an involuntary sacrifice by an unknowing trucker, though

Posted by: Objective Observer | Oct 8 2022 10:24 utc | 70

That war lasted 1 month. China went in, went out, done. They did not occupy, suppress, overthrow, or anything.

I mean, OK, we can give you that. A single invasion lasting one month, whereby China then just left.

As for threats, please… everyone threatens everyone else. It is whether you are likely to do it. China has a no-first strike policy and their historical pattern says they aren’t generally aggressive.

When China threatens consequences, you all laugh out loud because you know they won’t do it.

When the West threatens, it may very well happen. Nordstream didn’t just blow up by itself. Biden threatened it, and it happened.

Harvard Business College has done multiple studies comparing universal health care with the current for profit insurance in the U.S.

We pay four times what other countries who have universal health care pay in taxes, with less benefits.

The money goes into a pot, much like a social security fund. From that pot, bills are paid.

It sounds easy enough, right? The problem is that some people can’t conceive something as simple as tax money in a fund to pay for medical bills would actually work. The medical bills are paid; they aren’t fought. No preapproval crap. Get sick. Get treated…complain about the $15 for parking.

The argument I hear over and over is this: “I don’t want to pay for Wanda down the street because she is black/brown/gay/has pink hair and I want better insurance than she has.”

The simple fact is that you do pay for Wanda’s medical insurance. Insurance companies pool the money into a fund. Insurance companies do all they can to deny a claim because that’s how they make money. The ACA put an end to “pre-existing conditions” but it still happens. If it happens and you are seriously ill, you are f***ed.

They contract with providers (hospitals, doctors, and clinics) and then agree to pay “allowable charges.” The allowable charges are, for example: the x-ray charge is $35 but we will only pay $20 and you have to write off the rest. Sometimes the insured has to pay a co-pay.

One man on Quora stated in his post he pays about $148 a month for health insurance. I paid $225 a WEEK for health insurance.

Legislators who are opposed to universal health care are bought and paid for by the insurance industry. You can call them lobbyists, but I call it bribery.

It’s very simple. These Westerners:

  • have an open mind
  • have probably been to China
  • do not hold an outdated perception of China from the 1950s and 1960s
  • are not bigoted against China’s political model
  • have not been coopted by Western media anti-China propaganda

In other words, they know the real China and they appreciate the wonderful qualities of that nation.

They recognize and admire China’s amazing achievements over the past several decades.

One Toke Over The Line – Lawrence Welk – WTF! (1971)

What’s trending on MM right now…

x
x

A few years ago, there was a court case in Ireland involving American sandwich chain Subway. It was over a question of sales tax law. The substance of the judgement was that the sugar content of Subway bread was so high that it legally qualified as cake under Irish law, and was therefore subject to a higher rate of tax.

I mention this to illustrate just how pervasive in the US the practise of adding sugar to processed food is. A lot of sugar. Americans have become accustomed to this, over the course of decades. While this does happen in the UK as well, it is nowhere near as pervasive, so British people have not built up as high a tolerance for sugar, despite the fact that we are regarded as having a sweet tooth by European standards.

US donuts are, in my opinion, unpleasantly sweet and stodgy. I do not find them particularly wonderful. Also, we have our own array of unhealthy baked goods, largely ripped off from the French. Give me a chocolate eclair over a US donut any day of the week.

How the US is unleashing a recession on the world

From HERE

When elephants fight, the grass gets trampled. The African proverb seems particularly apposite right now as three global elephants drive the world towards recession.

China continues to fight Covid-19 outbreaks by sporadically locking down millions of its people, thereby cutting the output of the world’s factories, exacerbating supply chain issues and fuelling inflation, long after other governments have told their populations to get vaccinated and get back to work.

Russia continues to fight Ukraine, and by extension Europe and the rest of world, using oil, gas and grain as weapons, thereby causing global energy and food crises, and also fuelling inflation.

And the biggest elephant of all, the United States of America, by aggressively lifting interest rates in the hope of squashing its domestic inflation problem has instead succeeded in exporting it to the world.

That is a big problem, particularly for those of lesser economic means, including many Australians. They are the grass that gets trampled.

Sharp rises in interest rates, intended as a cure for inflation, could prove to be the wrong medicine and worse than the disease, as the World Bank warned in the middle of last month. Central banks around the world, it said, were “raising interest rates this year with a degree of synchronicity not seen over the past five decades – a trend that is likely to continue well into next year”.

World Bank Group president David Malpass warned that rate hikes of sufficient magnitude to rein in inflation could themselves push the world into recession.

“To achieve low inflation rates, currency stability and faster growth, policymakers could shift their focus from reducing consumption to boosting production,” he said. “Global growth is slowing sharply, with further slowing likely as more countries fall into recession. My deep concern is that these trends will persist, with long-lasting consequences that are devastating for people in emerging market and developing economies.”

A week later, on September 22, the US central bank, the Federal Reserve, or Fed, raised its benchmark interest rate by a whopping 0.75 percentage points, for the third time in a row.

 

From a strictly American viewpoint there are good reasons why the Fed has been jacking up interest rates.

As recently as May 2020, at the height of the Covid pandemic, US inflation was just 0.1 per cent, well below the Fed’s target of 2 per cent a year. The fear then was that the economy was stalling. Like many others, the US government was desperately trying to stimulate the economy. The Fed was holding interest rates effectively at zero.

But as the pandemic subsided, the US economy roared back. As in Australia, unemployment fell to very low levels, about 3.5 per cent. But unlike Australia, wages growth shot up as a result – to nearly 7 per cent by June. That added fuel to inflation, which reached 9.1 per cent that month.

Inflation has since slowed to just above 8 per cent, but wage pressures continue. And the Fed chair, Jerome Powell, insists he will not stop raising rates “until the job is done” to curb inflation.

What makes this a global problem is that the US dollar is essentially the world currency – the “reserve currency”. International trade is overwhelmingly conducted in American dollars; financial institutions and corporations transact their business in them, contracts for goods and services are denominated in them.

And when the US hikes its interest rates, the value of the dollar increases relative to that of other currencies. Right now, the greenback is stronger than it has been in decades.

This, in turn, has put pressure on other central banks to raise their rates, lest their currencies fall, which in turn makes imports more expensive and fuels their inflation rates. It’s a vicious, global cycle.

As journalist for The New York Times Patricia Cohen put it last Friday, the Fed’s dramatic rate hikes have caused “economic turmoil in both rich and poor nations”.

Among the consequences she ticked off:

“In Nigeria and Somalia, where the risk of starvation already lurks, the strong dollar is pushing up the price of imported food, fuel and medicine. The strong dollar is nudging debt-ridden Argentina, Egypt and Kenya closer to default and threatening to discourage foreign investment in emerging markets like India and South Korea.

“Last week, Argentina, the Philippines, Brazil, Indonesia, South Africa, the United Arab Emirates, Sweden, Switzerland, Saudi Arabia, Britain and Norway raised interest rates.”

Meanwhile, the cost of imports to US consumers has fallen sharply. Cohen cited a couple of quirky examples of how the stronger dollar was calming US inflation: “Last year, a £12 tin of tea from Britain cost $16.44, and today it costs $13.03. A €50 box of Belgian chocolates has gone from $58.50 to $48.32.”

But it’s not just tea and chockies. The strong dollar makes all sorts of things cheaper for Americans. There have even been suggestions that the falling price of petrol in America has been a major factor in the rebounding political popularity of President Joe Biden and Democratic congressional candidates ahead of next month’s mid-term elections.

It’s probably no comfort to Australians – who are paying through the nose for rental accommodation if they can even find any, or new homeowners whose mortgages have lately become less affordable and whose biggest asset is now worth less than they paid –  but their suffering is at least helping the US Democratic Party get re-elected.

 

Almost all of us are suffering financially to some extent. Even before the spike in inflation, average wages were barely keeping up with prices for a decade. Now they are going rapidly backwards. And the putative cure for high inflation – higher interest rates – has seen the price of houses fall, for those of us lucky enough to own one.

The RBA has been hiking strenuously to keep up with its global counterparts. It’s already raised interest rates 2.5 percentage points since May, taking the cash rate from an all-time low to 2.6 per cent. The pace appears to have slowed, with a quarter-point increase this week following four consecutive half-point moves, but governor Philip Lowe said further rate increases were “likely”. The smaller than expected increase this month allowed time to assess “the outlook for inflation and economic growth in Australia”.

We are faring better than many other countries – the Australian dollar has fallen only about 11 per cent against the US currency in the past year, far less than some. Inflation has been much lower, the economy still is growing solidly and unemployment is near a 50-year low.

But the suffering from inflation and interest rate rises has been very unevenly distributed.

Moreover, as a result of the long period of low rates up until March, and the money handed out by the government during the Covid period, many households have built up what Lowe called “large financial buffers”.

Not all people are in the same cashed-up boat, however.

“For new borrowers, it’s going to be really tight, because people have borrowed four, five, six times their annual income. A lot of them are going to really feel the squeeze,” says Brendan Coates, economic policy program director with the Grattan Institute.

It will be felt more intensely, too, by those who bought a property to live in, compared with those who bought one as an investment, because the latter can take advantage of negative gearing.

“Those that have mortgage deductibility … depending on what their marginal tax rate is, could wipe off 30 to 47 per cent of the cost of the rate rises by claiming them as deductions against their income,” says Coates.

The other thing property investors can do, of course, is whack up rents.

And they have.

“Capital city average level rents are up 20 per cent, just over the last 12 months,” says Louis Christopher, managing director of property market analysis firm SQM Research, which surveys advertised rent prices every week.

In 2021, he says, housing prices were rising faster than rents, but even since the housing market downturn, rents – and yields to landlords – have continued to rise, interest rate increases notwithstanding.

The standard tenancy agreement, he notes, runs for 12 months, and then month-to-month after that.

“So if you’re on a 12-month lease, and then you’re looking to go back into the market, you can expect to pay essentially 20 per cent more on a like-for-like property,” he says.

That’s if you can find one. The current vacancy rate is at a record low of 0.9 per cent nationally, he says, and worse in some areas.

The tightening of supply might seem counterintuitive, given Australia’s closed borders during Covid. A partial explanation lies in the fact that people working from home wanted more space. The result was fewer people per home.

Another factor is the rapid growth of short-term accommodation, provided by the likes of Airbnb.

“That’s a biggie,” says Christopher. “The market share of short-term leasing has rapidly expanded compared to the long-term leasing market, even though the absolute numbers are broadly the same.

“There are actually more Airbnb properties on the market in Melbourne and Brisbane now than long-term leases.”

The major driver, he says, “is landlords feel more in control of their property in the short-term leasing market”.  For renters, he says, times are “grim, absolutely”.

We can’t blame “big business” for this predatory behaviour – at least not directly. According to Grattan figures, about 85 per cent of rental properties in Australia are owned by landlords who have three or fewer properties.

What we can point to is a chain of causation: the pandemic that caused global supply chain chaos, the Ukraine war that caused the energy crisis, the energy companies that profiteered, the central banks that jacked up interest rates, on down to the mum and dad investors who seek to offset their declining real wages and increased borrowing costs by exploiting those in need of a place to live.

 

Some blame, too, can be attached to government. Before the 2019 election, Labor promised to reform negative gearing and capital gains taxes. Had it won, the rental crisis might not be so dire.

Instead, Australia elected the Morrison government, whose answer to the growing housing crisis was, in the words of Kate Colvin, to give would-be homeowners “a bit of extra money to compete against everyone else”, which only served to drive up prices.

“It was policy vandalism,” says Colvin, who is the spokesperson for Everybody’s Home, a coalition of housing, homelessness and welfare organisations set up in 2018 to push for change to make housing more affordable.

Australia’s housing market is “pretty fundamentally broken”, she says.

“Twenty years ago, the private market basically worked to deliver housing product to low-income renters, particularly in regional areas. Twenty years ago, 50 per cent of even one-bedroom places were affordable to someone on [the equivalent of a] JobSeeker payment. Now no rentals are affordable to someone on JobSeeker,” she says.

And the recent decline in housing prices has done nothing to make things easier for either renters or new buyers.

“So the cost of houses and units has decreased, but only because interest rates have increased, meaning housing was actually no more affordable.”

What is desperately needed is more public and affordable housing.

There are some positive signs, but only small ones, Colvin says. The new Albanese government has committed to building 30,000 social and affordable properties over five years. A number of the states also have made commitments to increase supply, most notably Victoria, with $5.3 billion over four years to build 12,000 social and affordable rentals.

“But it is still the lowest proportion of social housing of any state, and they really need to keep investing beyond that or investment will sort of run out in 2024.”

And the commitments to increased supply “following decades of underinvestment” are nowhere close to meeting demand.

“The current shortfall is more than 430,000 properties for social housing, and if you add in affordable, it’s more than 600,000. So it’s huge.”

One encouraging development, says Colvin, is that after five years in which the previous government did not even bother to sit down with the states to discuss the crisis, the new government has had “federal and state housing ministers sitting around the table together, discussing these challenges”.

It is a social crisis for those involved, of course, but also an economic crisis for the nation, Colvin says.

“It’s a social crisis because you can’t get on with the daily business of ordinary life and going to work and stuff when you’re living in a tent. But it’s also an economic crisis, because every community needs low-paid workers to function.

“You need supermarket workers, you need disability support workers, aged-care workers, childcare workers, all of those human services, and communities can’t recruit those kinds of workers because they can’t afford to live locally.”

The human costs of unsustainable housing costs are many and varied, says Kasy Chambers, executive director of Anglicare Australia.

“Rent is not elastic,” she says. “You can’t just pay half the rent. You have to cut other things that are.”

So people don’t go to the dentist or doctor, or don’t fill prescriptions for medicines.

“Those are some of the first [expenditures that] tend to go. Car insurance, that kind of stuff. We’re talking to people who are doing things like turning off [their] hot water to save money, going to bed very, very early. Cold is a big issue.”

And that’s for people who have somewhere to live – Chambers says Anglicare is seeing “more and more people who just can’t afford rent, couch surfing with friends, moving into tents, cars, that kind of thing. And it’s a very difficult road to come back from.”

Increasingly, these people also go hungry or rely on food banks for meals.

Anglicare has just completed a survey of its national network of emergency care providers, which help out with things such as food hampers or vouchers, transport or medical costs, utility payments, rent and, in some cases, employment subsidies. Demand was sharply up everywhere since the beginning of this year, in some cases by 50 per cent or more.

The new cohort of people needing help includes home owners and the “working poor” who are struggling to pay for food and utilities, as well as more single parents and people on disability support and aged pensions.

These are not the sort of people with whom central bankers, or other world leaders, or the heads of multinational corporations, or even mum and dad property investors, usually consort. They are the grass that gets trampled as the globe’s biggest battles – to contain a virus, to crush an independent country, to save a US president – rage on.

This article was first published in the print edition of The Saturday Paper on October 8, 2022 as “How the US is unleashing a recession on the world”.

Blues Brothers – Mall Car Chase

China’s factory activity unexpectedly expanded in September.

An official survey showed on Friday, even as authorities imposed strict COVID-19 curbs in big cities and export growth softened.

BEIJING, Sept 30 (Reuters) – China’s factory activity unexpectedly expanded in September, an official survey showed on Friday, even as authorities imposed strict COVID-19 curbs in big cities and export growth softened.

It returned to growth after contracting for two consecutive months.

The official manufacturing Purchasing Managers’ Index (PMI) edged up to 50.1 in September, from 49.4 in August, data from the National Bureau of Statistics (NBS) showed on Friday.

The 50-point mark separates contraction from growth on a monthly basis.

Economists in a Reuters poll had expected the PMI to come in at 49.6.

From HERE

The Hangover Part II (2011) – Wake Up Scene

Cow puns

Think you’ve herd them all? Cows are pretty funny and it would be a total shame if we didn’t milk them for all they’re worth. There are just too many play-on-words not to have a bunch of cow puns at your disposal at the next event—hopefully on a farm. At the least, you’ll have a new-found appreciation for these incredible animals.

1. Watch out, you don’t want to butcher any of these jokes.

2. The steaks are high.

3. I have some real beef with that guy.

4. I got the mooves like Jagger.

5. Make sure you show up on time, otherwise Bessie will have a cow.

6. Milk it for all it’s worth.

7. I am not amoosed.

8. And then I told my therapist that I feel seen, but not herd…

9. I am udderly in love with you!

10. Not as mooch as I love you.

11. I always found cowculus to be the most interesting subject.

12. The cow was so excited for the day ahead that he was over the moon.

13. An udder day, an udder dollar.

14. Seize the moo-ment!

15. Holy cow!

16. Steer clear! Cows coming through!

17. Move! Get out of the hay!

18. If you feel like you’ve herd all these cow puns before, you probably have deja-moo.

19. Cow bells make such beautiful moosic.

20. A cow in an earthquake is called a milkshake.

Tony Kills Matt Bevilaqua – The Sopranos HD

Cow jokes for kids

Cows are pretty legen-dairy so of course, there’s an abundance of clever jokes that will make your child giggle about how funny these farm animals really are. You may even find yourself suppressing a laugh at these cow jokes for kids. Get your children to appreciate where their ice cream really comes from by making them love cows just as much as we do.

21. What did the mama cow say to the baby cow?

It’s pasture bedtime!

22. Why did the two cows not like each other?

They had beef.

23. How do you count cows?

With a cowculator!

24. What happens when you try talking to a cow?

Everything just goes in one ear and out the udder.

25. Where do cows eat lunch?

In the calfeteria.

26. What did one dairy cow say to the other?

Got milk?

27. What do you call a sad cow?

Moo-dy.

28. What do you call a cow that can’t make milk?

A milk dud.

29. How do you make a cow be quiet?

Press the moo-te button.

30. What do cows read in the morning?

The moos-paper!

31. How did the farmer find the missing cow?

He tractor down.

32. How do you know which cow is the best dancer?

See which one has the best moo-ves.

33. What does the cow band play?

Moo-sic!

34. Why did the cow cross the road?

To get to the udder side!

35. What does the farmer talk about while milking a cow?

Udder nonsense.

36. What do you call a cow jumping on a trampoline?

A milkshake.

37. Where do cows get all their medicine?

The farmacy!

38. How did the cow get to the moon?

It went to udder space.

39. Why did the cow jump over the moon?

To get to the Milky Way.

40. What do you call it when one cow spies on another cow?

A steak-out.

41. Why do cows have hooves instead of feet?

Because they lactose.

42. What do you get if you cross a cow and rooster?

Roost beef.

43. What kind of shows do cows like best?

Moosicals.

44. What happens when a cow laughs?

Milk comes out of its nose.

45. What has the lone cow been up to lately?

Nobody’s herd…

46. How do dairy farmers do their taxes?

They go to an accountant.

LUCY Clip – “Crossing the Spacetime Continuum” (2014)

https://youtu.be/iJeOv5BVVPs

Cow knock knock jokes

Get ready to be amoosed. Everyone loves a playful knock-knock joke, but these cow knock-knock jokes are udderly hysterical. Who knows, they may even inspire some of your own to get everybody laughing. But we promise if you start with these, you’ll definitely get a few chuckles.

47. Knock knock.

Who’s there?

Cows go.

Cows go who?

No, silly, cows go moo!

48. Knock knock.

Who’s there?

Cow.

Cow who?

Cow-a-bunga, dude!

49. Knock knock.

Who’s there?

Cow.

Cow who?

Cow much longer will you put up with all this knocking?

50. Knock knock.

Who’s there?

Interrupting cow.

Interrupting cow wh-

Pee-wee’s Breakfast (1985) HD

The Best Chili You Will Ever Taste

“This is the best chili recipe I have ever tried. I’m not sure where the recipe originated, but it is amazing! Sometimes, I don’t bother adding all four cans of the kidney beans and it still turns out wonderful. Once anyone tastes this chili, they will be begging for the recipe!! Enjoy!”

x
x

"We cook a lot in our house and use recipes from French to Greek to German and of course American fare. We have 1 rule, make the recipe as written the first time and then adjust in future uses to suit our tastes. This has always served us well. If and from reading the reviews that is a big IF, you make this recipe as written - to the letter - it turns out well. Not too sweet not to hot. The one thing to remember about heat in recipes: sweetness cools the heat. Sooooo if this recipe was too sweet then somewhere you may have skimped on the heat. We have made this recipe, exactly as written, many times, with raves from family and friends. The only change I have made, is that after making it on the stove the first time, I made the switch to a crock pot, just brown the meat, onions and garlic first and then add all the ingredients to the crock pot. It's always a winner!"

Ingredients

Directions

  • Heat oil.
  • Cook onions, garlic and meat until brown.
  • Add tomatoes, beer, coffee, tomato paste and beef broth.
  • Add spices Stir in 2 cans of kidney beans and peppers.
  • Reduce heat and simmer for 1 1/2 hours.
  • Add 2 remaining cans of kidney beans and simmer for another 30 minutes.

Yes, even though I am in Hong Kong (though I am now hiding in mainland China due to the epidemic).

Before the epidemic came, I still had a degree of trust in the European and American governments, for example, when the epidemic first hit, many Chinese people ran to the US. I even had the same thought. People thought that the US was technologically advanced in terms of medical care and that, as a modern government, it would handle the situation better than China.

As a result, we all saw what happened afterwards. The US was a mess.

This made me start to reflect on whether the American system was really that good.

It was a good hand, but it turned out to be a bad one.

And look at all the other situations in the United States, from the simplest problem of law and order to the more complex problem of the poor. The situation in the US makes me think that this government never does anything practical.

China’s various resources, the problems it faces, are actually far more difficult than the US. But China has still managed to control the epidemic and ensure high economic growth. The World Bank expects China’s economy to grow by 8.5% this year, a rate that in a normal year would be equivalent to almost 10%-13% growth, which is incredible for an economy that already has a total economy of US$16 trillion, and no such achievement in the history of human development.

Before the epidemic, the Kennedy School of Politics at Harvard University reported that the Chinese government’s approval rate was already 95%. After two years of dealing with the epidemic, I personally estimate that the Chinese government’s approval rate may have reached 99%, which is also an incredible high in human history.

I am not a member of the CCP, but comparing the current situation in China and the West, I definitely support the Chinese system.

Would I rather experience being held down by the police by the neck?

Or lying in hospital, dying in agony while waiting for a ventilator?

I am not a communist, but between the European and American systems and the Chinese system, I would definitely support the CCP in power.

So the current system in the West, at least in my eyes, is not even comparable to the Chinese system, although there are major problems with the Chinese system too.

But the political choice is to choose the one that is relatively best, and there is no perfect government in this world.

Gravy-Smothered Salisbury Steak

x
x

Ingredients

Directions

  • In a bowl, whisk the egg and milk.
  • Add bread crumbs, 1 tablespoon gravy mix and onion.
  • Crumble beef over mixture and mix well.
  • Shape into two patties, about 3/4 inches thick.
  • Broil 3-4 inches from the heat for 6-7 minutes on each side or until meat is no longer pink and a meat thermometer reads 160°.
  • Place the remaining gravy mix in a small saucepan; stir in the water and mustard. Bring to a boil; cook and stir until thickened. Serve over patties.

Is China the next United States ?

No. The United States is the World Police turning into a Bouncer for Hire. China’s aspiration is to be the Cook.

The United States was catapulted into Superpower during WWII, where its entire industrial base was turned into arms manufacturing. It could have disarmed after WWII, but one thing led to another, that was never done, and so here we are, with Mr. Trump selling protection and blackmailing other countries for protection money.

China is concerned about getting enough to “eat”, and she has figured out that the cook never starves, no matter who else might be starving. So she’s been going around cooking up iPhones, trains, pots and pans, and bridges for other people, and, well, making a tidy sum out of it, and feeding her own family with cents on the dollar (‘cause she makes the stuff herself, so no restaurant mark-up).

So what happened next, was that Mr. Obama calling China “a free rider for thirty years”, because she doesn’t do anything for “global security”. But I think Mr. Obama failed to appreciate the fact that a cook can not look like a bouncer and going around cracking other people’s heads – who in the world will order dinner from a cook that looks like a bouncer? Basically if you want to be the cook for the world, you have to be (or at least appear to be) AMIABLE to everybody! China is friendly with both the US and Russia, both Iran and Saudi, both Israel and Palestine, all four different governments in Yemen, etc.

But if you are a bouncer, well, you’ve got to take sides (“Good vs. Evil”), show your muscle, and beat up somebody occasionally. And you need enemies, otherwise, how do you get customers coming to you looking for protection? Right? But if you are going to fight for the Saudis, the Iranians are probably not going to be buying iPhones and automobiles from you. C’est la vie.

So no, China is not the next United States, because a Cook doesn’t need any Enemies, only customers.

Zelensky Calls For NUCLEAR First Strikes Against Russia

Americans fighting Russians directly by order of Joe Biden

Let’s try to relax and chill out. I don’t have too much to say today. Just that it’s now official, the USA is directly engaging the Russians directly in Ukraine. This is NOT going to end up good.

Just stating the obvious. Aside from that, well, have a good day.

Theme Song | The Green Slime | Warner Archive

The year is 1966. Rock on!

Americans are now fighting Russians in Ukraine directly!

x
x

Ah, we knew this, but now it is clearly the case. Ugh!

My son was in 5th grade and the school bully loved picking on him. You see, my son is a ginger. The most beautiful color of red hair grows from his head. He is and always has been very handsome, but that story is for a different day.

This bully tried and tried everything he could think of to get a rise out of my boy. He was taught that; unless he is backed into a corner, violence is not the answer. And so it went.

The bully kept on and kept on. My son’s best friend was a tiny bit of a boy who also wore glasses. As a last ditch effort and after making derogatory remarks about me, his dad and him, the bully turned around and sucker punched this small child, my son’s friend, right in the nose so hard, the kid’s glasses broke as did his nose!

It was on! My son had had enough of this bully and started whaling on him, and he wasn’t going to stop before he had annihilated this bully! Well, it took the principal, one male teacher plus the janitor to get my son off him.

I get a call to come pick him up; he was expelled. What? My mind was racing, the school would not tell me anything else. My son may be a lot of things, but a troublemaker he was not. I got to the school and one look at my son told me had been in a fight.

The principal told me in no uncertain terms that my son had “beaten a boy to a pulp, a pulp! The boy’s father wants to press charges!”

I asked my boy, “Is this true?” Yes, he nodded. And looked so ashamed of himself. I asked him to tell me what happened. After he told me, I now understood. I told the principal, “And what is the other boy’s fate? Is he expelled as well? No? Why the hell not? This boy that supposedly is a victim had been harassing, teasing, hitting, and numerous other things to my son all year long!

And bullies usually don’t just pick on one person, they pick on anyone who they think they can, so Where in the hell do you get off awarding the bully, encouraging his father to press charges against my boy, and punishing the one boy in the whole school that whipped his ass? What is wrong with you, don’t you know I could very well sue you right now? You had better figure out why this isn’t going to work, and please call me to let me know what the outcome is going to be.”

I took my son and marched out of the building. On the way to the car, I asked my son if he had learned anything from this. He said, “Yeah. Next time don’t hit him on school grounds!”

Kids are great! BTW, the school board decided they had made a mistake, and after two days of suspension, my boy went back to school. The bully cooled his jets and was quiet and sullen from then on, and my son was the school hero!

Large US debt raises concerns about more extreme US policies and possible instability

Published: Oct 06, 2022 08:48 PM
.

According to a US Treasury Department report released on Tuesday, the US’ gross national debt has surpassed $31 trillion, edging closer to the statutory ceiling of roughly $31.4 trillion put in place by Congress limiting the government’s ability to borrow, AP reported.

First, it’s clear that the US economy is in far less rosy shape than what many had forecast, with debt now trillions of dollars higher than its GDP, which was only about $23 trillion last year. Starting around 2013, US debt began to steadily outstrip GDP. After 2020, it jumped to about 125% of the year’s GDP, quickly soaring up to nearly 135% in 2021. As the rate of borrowing continues to climb, the unhealthy ratio of debt to GDP is expected to continue rising over coming years.

On the one hand, the Biden administration hopes to suppress inflation, which will likely drag down the US economy and employment. On the other hand, if the government chooses to stimulate the economy by increasing debt scale, investors will face greater pressure like rising capital cost, ultimately dampening economic growth prospects. It will be therefore difficult for the US government to balance economic recovery and runaway inflation, Bai Ming, deputy director of the international market research institute at the Chinese Academy of International Trade and Economic Cooperation, told the Global Times.

“The US debt ceiling has been raised many times, which, to a large extent, will also have an impact on the US financial markets, objectively playing a negative role by adding fuel to the fire on top of interest rate hikes.”

Second, the total debt of $31 trillion also indicates that there will be more intense political combat on issues related to congressional appropriations in Washington, which means almost all major federal projects and initiatives will be difficult to deliver, affecting the country’s internal economic vitality and its external influence. In addition, Bai noted, the last time the US debt was this close to its ceiling, the government was nearly forced to shut down, and the increasingly high debt will also harm the basic operation of the federal government.

Third, an increasing debt burden will naturally raise concerns about the ability of the US government to service the debt, and even the possibility of extremes in future US internal and external policies, such as provoking volatility, unrest or even a war oriented foreign policy to shift or even find ways to renege on its debt, which has indeed happened in US history. This sentiment alone could potentially undermine the full faith and credit of the US.

The Congressional Budget Office earlier this year released a report on America’s debt load, warning in its 30-year outlook that, if unaddressed, the debt will soon spiral upward to new highs that could ultimately imperil the US economy, according to an AP report.

Moreover, the current level of inflation in the US should not be underestimated, coupled with high oil prices, the energy disputes between the US, Europe and Russia, as well as the Russia-Ukraine conflict and other complex international situations, the challenge of a $31 trillion national debt should be considered in the context of the risky crises currently facing Washington. If the persistent upward trend cannot be resolved, the attractiveness of the US as a global pool of capital and talent will be further eroded, hitting its strength in all aspects.

However, it’s important to note the reality that the US is accustomed to adopting various policies to deflect conflicts, leaving ordinary Americans and other countries to bear the ultimate cost. The US is not playing the role of a stabilizer in the global economy that a major power should do. Instead, it has repeatedly held others accountable for the consequences when it makes mistakes. As the birthplace of several post-World War II global economic crises, the US could once again become a chaos maker at the expense of economic development around the world, given that the debt as well as its economic and monetary policies all raise the possibility of another global financial crisis in the future.

Jackie Brown: Where’s the rest of the money?

When I walked into a cat room at the shelter and sat on the floor, sad, because my home cat just died the week before. I put my purse on the floor. this Ginger cat pranced up to me and immediately laid down on top of my purse. I thought he was telling me that he wanted to come home with me.

I visited this shelter 3 X and each time, he did the same thing.

When I brought in the cat carrier the third visit, I set it on the floor and he ran over to me and walked into the carrier. The shelter personal, said, “NO NO, you have to take him out so we can take the Success story “forever home” picture…so I took him out, held him, they took the photo. I set him back down on the floor and he immediately walked back into the carrier and said, “Ok, I am ready, take me to my new home.”

What are the chances of that? Special, I say !

I passed on a gorgeous 1 year old all white male with one blue eye and one gold eye, for an extraordinary, every day normal ginger that you see everywhere.

He is so worth it.

x
x

Parmesan Sage Pork Chops

x
x

Ingredients

  • 1 12 cups breadcrumbs (I use Progresso garlic and herb)
  • 1 cup grated parmesan cheese
  • 1 tablespoon dried rubbed sage
  • 1 teaspoon grated lemon rind
  • 2 large eggs, whisked
  • 14 cup flour, seasoned with
  • salt and pepper
  • 4 pork chops, about 1 inch thick (can use bone in or out ones)
  • 1814 cup butter
  • 2 tablespoons olive oil

Directions

  • preheat oven to 425F degrees.
  • Mix in bowl, bread crumbs, grated parmesan cheese, dried rubbed sage and grated lemon peel.
  • Then, on a plate put flour seasoned with salt and pepper; coat chops with flour.
  • Dip in egg.
  • Then dip in bread crumb mixture.
  • Melt butter and olive oil in a oven-proof skillet.
  • Brown chops until golden.
  • Transfer to oven and bake until meat thermometer says 150 degrees, about 20 minutes.

ROBIN TROWER – Alathea (1975 UK TV Performance)

The rest of the world has chosen.

They chose China and Russia.

Don’t worry the US still has 3 slave nations Germany, Japan and Korea. But they are certainly rethinking.

The US can always depends on it blood Anglo brothers though NZ is certainly rethinking. UK, Canada and Australia will sink with the US.

They still have France, Netherlands and Denmark but the rest are not a certainty.

All of Africa, All of Latin America, All of Asia and all of middle east are with China and Russia.

This is the real headache for the US, it’s vassal and its cronies. If you stay with that way where are you selling things to?

Managing and owning a restaurant for over ten years I’ve ran into many rude customers but you’d be surprised how much one loyal customer is worth to your company so I’ve always tried to make any complaint right when I can.

However there have been two occasions when I’ve kicked people out, I’ll name the most satisfying one.

So it was a Sunday afternoon right after church and we were pretty darn busy. A lady left her jacket on a chair and I hustled out the door to bring it to her. As I was walking out to find her I passed a couple that was probably in their 60s. They were on their way in. I found the lady quickly and I turned around to walk back in. That couple may have had a 15 second head-start on entering the restaurant but no more than that. As I came in behind them I noticed my host was cleaning off a table, so being my manager self I took the initiative and walked up to them and this is how the convo went..

Me – “Hi folks how many do we have today? Just us two?”

Them – “Well we’ve been waiting here for 10 damn minutes, is your food going to be any better than this service?”

Now I was in shock as I knew they had not been there not even longer than 15 seconds let alone ten minutes. I decided to put a smile on and deal with it nicely so I said..

Me – “I’ll get y’all taken care of, would y’all like a booth or a table”

Them – “You’re not going to answer my question with another question, now answer me is your food going to be any better than your service?”

I was getting frustrated but proceeded to say..

Me- “Follow me I’ll get you taken care of.”

As I sat them down at our nicest and most comfortably placed booth I asked:

Me – “What can I get y’all to drink”

Again he said –

Them – “Now listen you’re going to answer my damn question and not answer it with another question, now is your food going to be any better than this awful service?”

I gave it one last try and said:

Me – “Sir I am going to take care of you. What can I get you to drink”

Them – He then tried again to say “You don’t know how to not answer a question do you?”

As he said that I ripped his menu out from his table and told him to leave the store. He got all big and puffy as he started walking out and ran up to the counter demanding to speak to the manager. THIS IS THE BEST PART!

My cashier said yes sir and pointed to me he walked back over to me and told me I want to speak to the owner I am gonna get you fired. It was at this moment I knew I had won the life time achievement award for handling an awful customer and I came back with “yes sir absolutely” I gave him the owner’s phone number and he right there in front of what had now been a decent-size crowd of people standing at the host stand and my employees pulled out his phone with this smirk on his face and called the number.

My phone in my pocket began to ring and I answered with “Hi this is Brandon Howard, owner of Smokin’ Joes how may I help you?” Everyone literally started clapping and he walked out in a fury.

It was glorious.

Observations from visiting Seattle WA container port.

A few weeks ago c1ue described the situation in Los Angeles’ container port facility. I found it useful, since container shipping bottlenecks were blamed for shortages, inflation, etc. effects on the economy. Would that happen this year, too?

This past weekend I was in Seattle, and had a few spare moments to visit Seattle’s container port on Saturday. I was able to walk along the road that runs beside the wharves. I spoke with Coast Guard, container storage-lot, and (adjacent) ferry operations personnel.

Here’s what I learned:

The port can simultaneously handle about eight ships (my visual estimate based on dock length and number of cranes). Only one ship was at-dock.

There were two other container ships anchored within sight offshore.

There was no activity at the wharves. Monday through Friday operations only at this time.

Empty containers are being collected at dock-side. There are tens of thousands of them, arriving at a rate of about a thousand per day.

There is a 1000+ person waiting list to become a longshoreman. The jobs pay in excess of $100K. There is no shortage of willing workers.

The longshoremen are union workers. The union hall (admin office and training facility) is dock-side.

Traffic at the port is expected to increase rapidly as the holiday season approaches

The port’s road infrastructure is not designed to efficiently move traffic off the major highways and into / out of the port. Roadways cross at-grade, and non-port-related commute/commerce traffic directly impedes port traffic.

BNSF’s railroad container terminal is located right beside the wharves. It is divided from the wharves by a two-lane road. The rail yard was active on Saturday.

From those observations I infer that:

a. there isn’t a labor shortage that’s impeding traffic
b. there is currently plenty of excess ship-loading capacity
c. there are plenty of empty containers ready to go to Asia
d. the port’s major throughput bottleneck appears to be poor highway design

Posted by: Tom Pfotzer | Oct 6 2022 16:02 utc | 6

The Three Stooges Meet Hercules

“Kinderlandverschickung”

.

Russian authorities in Kherson Oblast announced that all schoolchildren will be evacuated to Crimea, to “spend their Autumn holidays.”  In reality, this is, as the Germans called it,  “Kinderlandverschickung.”

Officials from the region say that schools will stay closed until the 21st of October for security reasons, which also necessitate evacuation.

It is described in C.S. Lewis’ “Narnia”-series. This means, that heavy bombardment of the cities is expected, and they’re moving the kids out before it begins

HIGHWAY TO HELL With no off-ramp.

This is what’s being shared by the overwhelming majority of Russian channels. Possibly leaked from a source way up in the command chain – and/or about to be established in a position of power.

Not necessarily the road map ahead. But certainly reflecting a consensus. Don’t expect any confirmation from the MoD.

Destroying Ukraine's power supply nodes.
Hitting rail and road logistics hubs.
Destruction of tunnels and bridges.
Destruction of all buildings housing government offices.
Elimination of Ukraine's political leadership.
Diversionary operations to undermine military supply, training, intelligence, etc. hubs in Poland, Germany, Romania, the Baltics, England... It should be a massive attack.
Internationalization of armed forces, involvement of allies: Vietnam, North Korea, armed formations from CIS countries, Afghanistan, Pakistan, Africa, etc.
Procurement and supply of troops at the expense of military allies. Nothing more to be embarrassed about.
Strikes on military satellites of western countries over Ukraine.
Air defense, a no-fly zone over Ukraine, REB.
Destroying strategically important dams.
Carrying out a tightly controlled, detailed, and coordinated offensive operation.

China hurt him badly when they intervened to support Hong Kong. Soros attempted to crash the HK economy in order to make a buck and failed. He did successfully crash Thailand though, sending an entire country into dire recession. Soros is a true bottom feeder who is willing to wreck peoples lives – entire countries just to make money.

Bryan Ferry & Robin Trower – I Put a Spell on You + Will You Love Me Tomorrow [1993]

My son built elaborate road systems – with masking tape! The roads wound through the dining room, kitchen and back room. I don’t know how many rolls of tape he went through (I bought it in batches of 20!), and I still need to refinish the wood floor, but it was worth it to see him create such amazing roads and play with his cars on them. Sometimes there were traffic jams and accidents, other times the roads were clear and race cars would speed down the highway, occasionally outrunning police cars. He had more fun with this than any track system I could have bought for him.

x
x

x
x

LUCY Clip – “Learning’s a Painful Process” (2014)

https://youtu.be/UhqfvCKx7uA

From a Source in Europe:

This is from a source whose information seems to have a track record of reliability:

I wrote yesterday that the hysteria around the “use of nuclear weapons by Moscow” scares me. Unfortunately, there are other indications that there may be something behind it. Not 100%. Still… (coming soon)

https://t.me/craZybear2022/18779

https://stopbioweapons.org/imper/328-snbo-ukrainy-i-nato-gotovjat-jadernuju-provokaciju-dlja-obvinenija-rossii.html

I would very much like to draw attention to this post and maybe pass it on. Especially for journalists. A colleague of mine (a military expert, a citizen of a NATO country who served in the international armed forces) has just informed me that a nuclear provocation in the south of Ukraine may occur in the coming days. I believe this report, for reasons that I will explain in more detail below.

As a reminder, at the talks between the National Security and Defense Council of Ukraine and the NATO command at the end of August, the use of tactical nuclear weapons (TNW) or radioactive (“dirty”) weapons in the south of Ukraine was discussed, followed by an accusation against Moscow. This should provide for a really deep breakthrough on the front and facilitate the emigration of the disloyal population of the region to Kiev. For the US, this is a pretext to aggravate the situation and force the EU countries to unite within the framework of NATO.

This could happen on October 5-10. Malka or Pion launchers, which should be available to Ukraine, could be used to shoot down the ammunition. (This option was worked out by military experts). Kiev insisted on the provision of TNW, but the “partners” were afraid that the ammunition could be stolen and insisted on making several “dirty” ammunition using radioactive material available to the AFU, possibly from Chernobyl, under the guidance of NATO advisers.

My colleague insists that the issue of a strike is decided, he just does not know whether it will be TNW or “dirty” ammunition. He personally fears that NATO will increase the deployment, and the incident could lead to a real confrontation with the use of nuclear weapons. So he writes about everything for me, maybe also for someone else.

Why is information still plausible to me:

-My colleague is a very serious person who, due to his experience and career, could have a lot of knowledge and be involved in the preparation of decisions in the military field;
-Some of my contacts in the EU independently told me that everything is being prepared for an event that will finally “kill” relations with Russia. One person wrote to me that this could be related to a nuclear threat;

-I know from open sources of persistent attacks on Zaporizhia NPP with the help of drones and long-range NATO weapons (probably with NATO calculations), i.e. the West and Kiev have undoubtedly been following a nuclear incident in the south for months;
-Hysteria is spreading in the West about Moscow’s “nuclear preparations”, and the White House has even announced its possible reaction. Taken together, these could be preparations for this kind of provocation.

I really wish that the information was inaccurate or that a wild plan of a nuclear provocation was discussed, but canceled. But I see only one way to prevent this – by writing.

To anticipate: I will not only publish this text, but also send it to Russian diplomats known to me. Whether it will help, I do not know. Unfortunately, I have no evidence and no details, and I can not name the name of my colleague – he gave his word and obviously has to face a prison sentence for trying to prevent a tragedy. 

So I can only inform about this threat. I urge bloggers and journalists to share this information. Those among your readers who have connections with foreign countries should try to convey this information to the public and the press.

Please grow up to understand reality.

Tiananmen is totally another of the US “colour revolution” a CIA and state department job using the western media lie to the world. And the death number if any is due to the US interference.

The CIA efforts failed and like they say the rest is history. China learnt a priceless lesson and ensure that not a single CIA operatives get to China and with that the China miracle rise started.

Do not believe even a word from the western media narratives meant to demonize China and Russia.

Do you know who this is?

x
x

This is Audrey. Possibly one of the most spoiled brats ever.

It was her 16th birthday, and her mum bought her a brand new Lexus. A LEXUS.

And do you know what she did?

She started crying… “I didn’t want my car now!” “It’s not even the car I wanted!”

And this is what needs to be said.

There are children out there who are literally sewing your shoes together for less than a pound/dollar a day. There are children out there literally forced to drink bacteria-infested water every day, because it is all they have. There are children out there who have to walk miles, for hours, sometimes even barefoot, to go to school for a few hours a day, only to end up working on a farm when they are older, because it is all they have.

And here’s Audrey, crying about how she didn’t get the car she wanted.

It’s a disaster, Audrey!

First of all, China is not “supporting” Russia. China has friendly, cooperative, and mutually beneficial relations with Russia, as it has with most other nations in the world.

Why should China treat any country with disrespect like USA and NATO members do? China is not an arrogant, self-righteous prick.

Second, China does not condone the war in Ukraine. However, China is working hard diplomatically to broker peace between Russia and Ukraine, two countries with whom China has excellent relations.

Third, China is deeply woven into the global economy. Cutting off your nose to spite your face is really, really stupid.

The CCP took strict measures to contain the virus because they wanted to save the lives of their people. People’s lives are more important than money. That’s it.

I cannot understand why Westerners, especially US citizens, are always thinking in terms of a competition. “Better than them”. Can’t you think in terms of cooperation instead?

U.S. Purchasing $290 MM in “Nuclear Emergency” Drugs

.

x
x

The U.S. Department of Health and Human Services is purchasing a supply of the drug “Nplate” from Amgen USA Inc.   Nplate is approved to treat blood cell injuries that accompany Acute Radiation Syndrome (ARS) in adult and pediatric patients (ARS).

Amgen, based in Thousands Oaks, California, developed Nplate for ARS with support from the Biomedical Advanced Research and Development Authority (BARDA), part of the HHS Administration for Strategic Preparedness and Response (ASPR), as well as the National Institute of Allergy and Infectious Diseases, part of the National Institutes of Health.

BARDA is using its authority provided under the 2004 Project Bioshield Act and $290 million in Project BioShield designated funding to purchase this supply of the drug. Amgen will maintain this supply in vendor-managed inventory. This approach decreases life-cycle management costs for taxpayers because doses that near expiration can be rotated into the commercial market for rapid use prior to expiry and new doses can be added to the government supply.

ARS, also known as radiation sickness, occurs when a person’s entire body is exposed to a high dose of penetrating radiation, reaching internal organs in a matter of seconds. Symptoms of ARS injuries include impaired blood clotting as a result of low platelet counts, which can lead to uncontrolled and life-threatening bleeding.

To reduce radiation-induced bleeding, Nplate stimulates the body’s production of platelets. The drug can be used to treat adults and children.

Nplate is also approved for adult and pediatric patients with immune thrombocytopenia, a blood disorder resulting in low platelet counts. Repurposing drugs for acute radiation syndrome that also are approved for a commercial indication helps to sustain availability of the product and improves healthcare provider familiarity with the drug.

BARDA is using its authority provided under the 2004 Project Bioshield Act and $290 million in Project BioShield designated funding to purchase this supply of the drug.

Amgen will maintain this supply in vendor-managed inventory.

This approach decreases life-cycle management costs for taxpayers because doses that near expiration can be rotated into the commercial market for rapid use prior to expiry and new doses can be added to the government supply.‘

I am 43 and have lived in NORTH AMERICA (both US and Canada), SOUTH AMERICA (Colombia), EAST ASIA (South Korea, Japan), NORTH AFRICA (Morocco), EUROPE (Germany, Belgium, Switzerland, Hungary, Spain)

My dad traveled a lot so I grew up in a fairly international atmosphere, then I got a job that took me all over the world. My lifestyle has led me to have a rather international way of seeing things, to get to the point I don’t really feel that American and when around Americans I feel out of place.

Here is a list of things I dislike.

  1. CONFORMISM: A lot of people in the US lack intellectual curiosity, America is a nation of consumers, not of thinkers…. A lot of Americans think life resumes to working to pay bills, saving for retirement, having kids, and running the rat race destination nowhere!
  2. EXPENSIVE EDUCATION AND HEALTHCARE: I had a cavity that was killing me in the US. I waited a week to go back to Colombia (I lived in Colombia at the time) so that I could see a Colombian dentist. The Colombian dentist did just a SUPERB JOB much better than any dentist I have ever used in the US, and it costed me 40 bucks to get my tooth fixed. In America it would have been around 800 dollars or perhaps thousands.
  3. TAXING AMERICANS ABROAD: There are only TWO countries around the world that tax their citizens on worldwide earned income. The US and Djibouti in Africa. Djibouti does not enforce it unlike the US. (Ironically the US claims Djibouti is a dictatorship that infringes on human freedom)
  4. CHARACTERLESS AMERICAN CITIES: Too many suburbs, too much sprawl, everywhere you look you notice the landscape is dotted with cookie cutter suburbs, crappy generic malls, massive billboards advertising crap, and gigantic parking lots. American cities lack excitement. (There are only three cities in the US…. NY, San Francisco, and New Orleans. The rest is Cleveland!)
  5. RACE: Living abroad you realize that hate is universal, and while you might find racists and bigots here and there around the world, in America “Race” is a business! Race is such a monumental thing in America that it is almost illogical. In other countries race is a thing you think about once or twice in the span of a few years and for a few seconds, but in America race permeates and defines literally EVERY ASPECT OF THIS COUNTRY.
  6. TOO HOMOGENEOUS – COOKIE CUTTER: This is linked to the characterless, corporate like – Home owners association loving, ambiance of the country. From coast to coast everything is corporate and looks exactly the same. Restaurants are the same, coffee shops are the same, clothing stores are the same, foods are the same, people dress the same, architecture looks the same. All that sameness has an effect on the otherwise diverse demographics, who overtime become mind numbingly homogeneous.
  7. FOOD IS CRAPPY, GENERIC IN TASTE AND HEALTHY OPTIONS EXPENSIVE: How is it possible that in Colombia or Spain or Morocco or Vietnam I can buy some amazing fresh organic produce anywhere for a couple of bucks… but in America I have to go to whole foods and spend a good amount of money in healthy vegetables so that I can be sure they are free of GMO’s? Not only that, in other countries, food varies based on the restaurant. In America food tastes alike all over.
  8. YOU NEED TO DRIVE EVERYWHERE: Not sure why intelligent urban growth is not an option here. A nation this rich could afford to build efficient public transportation no? I was reading that a high speed train between Boston – NYC – Philly – Baltimore – DC would generate billions in revenue, cause co2 decline in the region, and decongest roads in the north East. The issue is corporate lobbies in DC won’t let it happen.
  9. TOO MUCH POVERTY: Not only monetary but intellectual. Ignorance of the world, prejudices, stereotypes of other cultures are ok and the norm. Americans have no damn clue of how the world works and live in an alternate planet called the American bubble! On this planet, all people do is work and work and work, many with crappy wages, shitty jobs, tons of people struggle and the government is absent or hardly cares. All the while Americans convince themselves or firmly and naively believe they are LUCKY because they live in the greatest nation on earth.
  10. PEOPLE WALKING AROUND IN PAJAMAS, OR SOCKS AND SANDALS: Make at least a fucking effort to look like you took a damn shower! Do you really need to go out in pajamas or socks and sandals like a fucking nasty troglodyte?
  11. EVERYTHING THAT IS WRONG WITH THE WORLD TODAY EITHER CAME FROM AMERICA OR IS EXACERBATED BY AMERICAN MEDIA: Political correctness, Feminazism, Racialism, Identity politics, toxic divisions, extreme conservatism, extreme liberalism, cancel culture, shitty flag waving patriotism.
  12. THE INTELLIGENT DO NOT CALL THE SHOTS, THE SHOTS ARE CALLED BY THE DUMB, LOUD, OBNOXIOUS, GROSS ON SOCIAL MEDIA! The louder and dumber you behave on Twitter, the more followers you get, hence the more power to change things your way you get because spineless politicians will jump on your boat in order to get votes.
  13. LACK OF GLOBAL UNDERSTANDING, IGNORANCE AND BACKWARDNESS ACCEPTED AS NORMAL: Americans self congratulate on things like having a woman vice president, or having a mixed race president and think it is groundbreaking. Ironically half the planet has already had females in charge for decades, and all sorts of people with all sorts of skin colors leading! Argentina already had transgender women on tv back in the 70s! In the US people think it is so forward because we finally have Ru Paul Drag race.
  14. GUNS GUNS GUNS AND THE IDIOTS THAT LOVE THEM: I tried teaching in CO but I gave up after realizing what mess our education system is. During a conversation with a fellow teacher she showed me her loaded gun. She proudly stated… “This is my girl, she keeps me safe!”. I do not know how safe I would feel to have my child go to school with an armed teacher, one day she goes crazy and there goes my child and his classmates! And this is blue state Colorado! Imagine the red ones! TOO MANY GUNS…. which takes me to the next point.
  15. TOXIC MACHO CULTURE COMPARED TO OTHER RICH WESTERN NATIONS AND EVEN DEVELOPING COUNTRIES: From the college “bro” that thinks wearing pink is gay; To men desperate to belong to pointless stupid fraternities where they can hang out and get wasted with other “dudes”; To punks that think their assault rifles make them manly; To resentful pricks that want to shoot everyone because women won’t pay attention to them; To dickheads running the show that think it is their rightful place as old straight males to dictate whatever everyone else should do! This country breeds entitlement! It is overflooding with a bunch of pseudo-alpha male, “John Wayne” wannabes; absolutely convinced they are exemplary and wise beyond words because they are men!

— Notice the contributions of straight men in this country in the last decade : Mass shootings; right wing groups like the Proud Boys, the Alt Right and their douchebag hairstyles— This level of entitlement in straight men is surprisingly rare in many places abroad (Unless you are in the Middle East.) Granted that is changing quickly but for a so called developed nation, it is terribly backwater!

Chinese DEFCON

Unlike the Defense Readiness Condition (DEFCON) used by the U.S. Armed Forces, which the U.S. forces were placed at DEFCON 3 when Egypt and Syria launched a joint attack on Israel.

China has a Combat Readiness Condition [戰備等級

] used for defense only. It’s divided into four levels:

(4) Normal – Adjust the PLA on duty, combat readiness inspection, strengthen patrols, enhance border, maintain normal communication.

(3) Mobilization – PLA on duty in standby state, strengthen communications, the implementation of reconnaissance, restricted access to military facilities, traffic, ready to expand the army, preparing for combat readiness, ready to set up positions.

(2) Training – PLA on duty to work overtime, strengthen communications, strengthen reconnaissance, expansion of the army, ready to mobilize reserves, prepare combat readiness, set up fortifications, combat training.

(1) Combat Ready – PLA on duty work uninterrupted, strengthen reconnaissance, emergency expansion of the army, mobilization of all reserve forces, ready to use ordnance, fortification position.

The Chinese Ministry of Defense will refer to the scale of foreign military operations’ impact on China, and the possibility of China being attacked to determine the levels of combat readiness. Different regions of the PLA (TCPLA

), as well as the different PLA Forces can have different combat readiness conditions.

x
x

China’s Combat Readiness Condition was raised several times to level 1 readiness:

  • China’s Xinjiang Kashi City, two consecutive violent terrorist incidents, the PLA implement martial law, and its combat readiness level was raised to a combat readiness.
  • 2001 Hainan Island incident –
  • US Navy EP-3 reconnaissance aircraft collided with a Chinese F-8II fighter jet in the South China Sea. PLA readiness level was upgraded to a combat readiness.
  • 2008 Taiwan presidential election

– The southern theater-level alert, the Navy South Sea Fleet, the Rockets and Air Force into the pre-war state; strategic nuclear submarine force into the first alert.

Holy SH*T, they just admitted US is ‘boots on the ground’ in Ukraine | Redacted w Clayton Morris

Easy Crusty French Bread

x
x

Ingredients

Directions

  • MIX THE DOUGH & FIRST RISE (1 HOUR):

  • Combine yeast, sugar, and warm water in a large mixing bowl or in the bowl of a stand mixer fitted with a dough hook.
  • Let the yeast proof for about 5 minutes, until the mixture is foamy.
  • Add kosher salt and flour to bowl. Mix with your hands or a sturdy spatula, or mix on low speed with your stand mixer until all flour is incorporated and dough has just started to pull away from the sides of the bowl. For best results, mix until no dry bits of flour remain. Please note: This is a relatively slack (wet) dough, so it may seem a bit shaggy and sticky at this point. Don’t worry – it will become more smooth and elastic as we go!
  • Lightly flour all sides of your dough (don’t mix the flour in – it’s just to keep the dough from sticking!) and turn it over inside the mixing bowl to coat with flour on all sides. Cover with a clean tea towel and let rise on the counter for about 1 hour, until dough has doubled in size.

 

  • SHAPE THE DOUGH & FINAL RISE (30 MIN):

  • When dough has risen, lightly flour a large cutting board.
  • Tip the dough out of the bowl and onto the cutting board. DO NOT PUNCH THE DOUGH DOWN – you want to keep all those nice air bubbles intact so you have an airy, delicious loaf of bread. (Note: If the dough is sticking to the bowl a little bit, wet your hand with a bit of cold water and gently separate the dough from the bowl to get it all out).
  • Sprinkle a bit of flour across the top of the dough, then begin shaping it into a round loaf. Pull each corner of the dough in towards the center (like you’re folding an envelope) and repeat until the dough feels tight and begins to resist your folds. Flip the dough over and tap it into a round loaf.
  • Flour a proofing basket or a medium bowl and place your loaf into it seam-side down. Cover with a tea towel and let rise another 30 minutes or so while you preheat the oven.

 

  • HEAT THE OVEN :

  • While bread is rising, place an empty dutch oven (with the lid on) in your oven and heat to 460 degrees Fahrenheit.
  • BAKE!
  • When the oven is hot, you’re ready to go! Use oven mitts to pull the dutch oven out and remove the lid.
  • Lay a piece of parchment paper down on your counter or cutting board (optional – it makes transferring the bread easier!).
  • Tip your bread dough gently out of the proofing basket onto the parchment paper. Make sure the seam side is up this time – this is what will create those beautiful cracks on top of the bread!
  • VERY CAREFULLY (without burning yourself!) use the sides of the parchment to lift the bread up and place it into the hot Dutch oven.
  • Put your oven mitts back on, place the dutch oven lid back on the pot, and slide the whole thing back into your hot oven.
  • Cook bread for 30 minutes.
  • After 30 minutes, remove the lid from your dutch oven. The bread should be taller, crusty, and very lightly browned. Continue cooking the bread, uncovered, for 10-15 minutes more until the bread has deepened in color and you have a beautiful brown crust.
  • When bread is done, use oven mitts to pull the pot out of your oven.
  • Use a long spatula or the corners of your parchment paper to lift the bread out of the dutch oven and onto a cooling rack. Let cool for at least 30 minutes before cutting into it.

Bangkok Hilton – The Execution

What countries support China’s One Party Rule?

Why are people concerned about China’s governance of their country? Be it 1 party or not.

Democracy calls for mutual respect & coexistence with the different. We do not tell our neighbors how to manage their home, do we? Same for governance of a country. To force western values onto others who has a different situation & culture is DICTATORSHIP.

Why is the West paranoid (China-phobia) about Communist China? Vietnam is also communist. Why no paranoid about Vietnam?

Haha. Right away, we know US propaganda is at play.

Why USA bad-mouths communist China & communist N Korea? But not communist Vietnam. Because China is rising. It is militarily & economically challenging USA’s #1 status in the world. Not only does Vietnam not challenge USA, USA needs Vietnam’s geographical value as a stepping stone to create troubles for China.

N Korea also has geographical value to USA. But USA already has S Korea. That is why USA must bad-mouth N Korea too.

See, nothing scary about communism. Everything to do with US paranoid (China-phobia) & dictatorship.

Like religion, propaganda manipulates people’s mind. Look: all cultures have creators. All creators made the same sun, rain, humans, animals, plants etc. It is the same creators. Different wisdom will develop diff religious practices & myths. You use flour to bake cake. I make noodle. Diff wisdom. Diversity. But some religion propagates their creator is the true one. Others are false & evil.

Let say it was USSR who won over USA, ie communism/Marxism won over democracy. Then democracy will be propagated as evil.

A smart Quoran added an adjective “communist democracy” to settle an argument.

Communism & democracy are 2 different things. But we can put the 2 together by using an adjective eg communist democracy or democratic communism.

Communism/Marxism, socialism & capitalism refers to distribution of public fund/assets. Socialism is less extreme as communism/Marxism. Most western countries in Europe & Canada today are socialist who have a generous welfare system. In capitalist USA, a Harvard research revealed that 20% of Americans owns 80% of US assets. Among the 20%, 1% owns 25% (a lot). 20% of the middle-class owns 5%. 40% of the bottom poor owns zero. In some cases, the middle-class is worse than the bottom poor because their income may not pay off their debts ie negative asset while the bottom poor has zero asset.

Democracy has 2 parts: election & spirit. Democracy spirit calls for …

1, for mutual respect & coexistence with the different. We do not tell our neighbors how to manage their home, do we? Same for governance of a country. To force western values onto others who has a different situation & culture is DICTATORSHIP.

2, for compromise. Today’s political parties fight with each other for votes. Oppose for the sake of opposing. Never think for the welfare of the country as a whole. Each party acts like a dictator.

Not many countries practise 100% democracy. Hence, democracy generally refers to election.

All western socialist countries have elections. They are called socialist democracies. USA is a capitalist democracy.

A communist country can also run elections & should hence be called a communist democracy. Those who are less communist but have elections should thus be called socialist democracy eg Chile, Venezuela & more.

In China, the ruling party is called Communist. But they have evolved to have democratic elections. Regions below provincial level have general elections. Provinces or above have representative elections. At the same time they practice socialism with Chinese characteristics (ie mix of Marxism & capitalism). Therefore, instead of “communist China”, China should be called “socialist China” or socialist democracy today. Westerners have not updated their knowledge & think China is like a bible that never changes.

Why not just call “China”, without an adjective? Like we call USA, UK without an adjective.

We learn not to label people by religion, skin color or ethnicity, eg Muslim, black or Jewish. Can we do the same for a country?

Who call China a dictator despite China has election? The one who breaks democracy spirit ie the US-led West.

Democracy calls for respect & coexistence with the different. We don’t tell our neighbors how they should manage their home, do we? Same for governance of a country. To force western values onto other country who has different situation & culture is DICTATORSHIP.

If a country is a democracy, but the leader is not pro-USA, USA will say their election is fraudulent, or the leader is corrupted, Then declare the elected govt is illegitimate. Then incite a coup & put a pro-USA person as legitimate govt eg 2011 Egypt. 2014 Ukraine & more. … It is god of USA who chooses the leader for other country & not elected by local people. … US democracy = dictatorship

For countries that do not have western styled elections, all leaders are called dictators & evil & must be overthrown by USA. Except communist Vietnam who has geographical value to USA. Haha

… it is US benefits. Nothing to do with communism or democracy/dictatorship.

A Harvard survey that has been conducted regularly for the past 10 years shows that over 90% of Chinese approve their leader. It is not up to westerners to rate other countries if they truly believe in democracy. Democracy calls for respect & coexistence with the different. We do not tell our neighbors how to manage their home, do we? Same for governance of a country. To force western values onto other country who has a different situation & culture is DICTATORSHIP.

Democracy also calls for compromise. The 2 US parties oppose for the sake of opposing without compromise for the welfare of the country. USA looks more like a dictator by definition.

To be fair, China did have times when they used dictatorship. China’s 1st revolutionist who used democracy to overthrow the Qing emperor, Sun Yat-sen, was a dictator after revolution. Why? He (& his successor Chiang Kai-shek) has to unite a country that was divided during revolutions. Same for other revolutionist eg Mao Zedong. The only difference between Sun & Mao is the slogan. Sun shouted democracy. Mao shouted Marxism/communism.

France’s path to democracy also mixed with dictatorship eg emperor Napoleon.

To summarized: today’s China has elections & freedom. It is not a dictator. But because China is rising, the West is worried & thus propagated hatred & fear toward China. That is all.

Guest FREAKS OUT News Hosts With TRUTH About Pipeline Attack!

OPEC Snubs Illegitimate Biden; Cuts Oil Production 2MM B p/Day

.

The Organization of Petroleum Export Countries (OPEC) met in Vienna, Austria and agreed to CUT oil production by two million barrels per day, despite strong input from the United States seeking an increase in output.

A group of some of the world’s most powerful oil producers on Wednesday agreed to impose deep output cuts, seeking to spur a recovery in crude prices despite calls from the U.S. to pump more to help the global economy.

OPEC and non-OPEC allies, a group often referred to as OPEC+, decided at their first face-to-face gathering in Vienna since 2020 to reduce production by 2 million barrels per day from November.

Energy market participants had expected OPEC+, which includes Saudi Arabia and Russia, to impose output cuts of somewhere between 500,000 barrels and 2 million barrels.

The move represents a major reversal in production policy for the alliance, which slashed output by a record 10 million barrels per day in early 2020 when demand plummeted due to the Covid-19 pandemic. The oil cartel has since gradually unwound those record cuts, albeit with several OPEC+ countries struggling to fulfill their quotas.

Oil prices have fallen to roughly $80 a barrel from more than $120 in early June amid growing fears about the prospect of a global economic recession.

The production cut for November is an attempt to reverse this slide, despite repeated pressure from U.S. President Joe Biden’s administration for the group to pump more to lower fuel prices ahead of midterm elections next month.

The White House said on Wednesday that ‘it’s clear’ the OPEC+ oil alliance ‘is aligning with Russia’ after it announced a massive production cut of two million barrels.

It stands to be a big boost for Moscow, despite the West’s efforts to choke off oil and gas revenues as a source of cashflow to fund Russia’s illegal invasion of Ukraine.

Meanwhile American drivers could face another increase in gas prices in what could end up being a massive setback for the Biden administration.

Wait, what the F*CK did Bolton just say about Julian Assange?

The world is dumping the US dollar in droves in 2023. The world will avoid the western financial institutions in 2023. The world will move firmly and securely into a multi-polar world where the US and its few partners will merely be just one of them.

The world is going into a post US dominated world. The world is moving away from a western centric model in 2023. The Ukraine war is the final nail in the coffin for the US being the world’s biggest hoodlum.

In other words the world is going into a better place.

Butch DeConcini attacks Tony Soprano

https://youtu.be/nRNpOuVGh4U

SVARGA LOKA´s MUSINGS FROM GERMANY

Guest Post by Svarga Loka

1) Masks

It is getting old and boring to write about masks after all these years and months, but I figured that my observations might be interesting. I flew to Germany from Boston a few days ago to visit my family. This is the first time in 1.5 years that I did not have to get a Covid test either direction to fly. Masks were not required from Boston to Ireland, and only about handful of passengers out of 250 or so chose to wear one. Of note: the line for security at Logan was INSANE. It wrapped all around the perimeter of the terminal, which I have never seen before.

I am not sure if numbers of travelers are up, or if number of TSA officers are down. There were signs advertising that the TSA is hiring those (something along the lines of “Do a job that makes a difference”). The plane for the transatlantic flight was completely full. Since I was traveling with children, our entire party did not have to go through the side scatter scanner or whatever technology they use nowadays, which is what always happens when you have children with you. On other flights in the past when I was by myself, I always opt out of that.

 

To my surprise, when I got to the connecting flight from Dublin, Ireland to Germany, there were signs and announcements that masks were mandatory for the entire duration of the flight. In the waiting area, just like on the prior flight, only about 2-5% of the passengers wore masks. When boarding, all of them put their masks on, except me and my children. Our boarding passes were checked and we entered the plane. On the plane, again, multiple announcements that masks are required. I simply pretended to only speak Kroatian or something and I ignored the announcements. Of note, I carry a simply piece of paper with me, written by myself, that states “Mrs. Svarga Loka is not allowed to wear a face covering for health reasons.”, signed and stamped by myself.

I briefly considered to tell the flight attendant that I have an exemption and show her my paperwork, but I decided to just wait and see. None of the flight attendants ever said anything. Clearly, they have been instructed to not confront any non-masked passengers. Meanwhile, of course, I could feel the piercing, angry looks by the other passengers, but I have learned to not care.

Next on the journey: The train ride. Again, I knew that there is a mask mandate in the trains. There were signs that you will have to pay a 150 Euro fine if you are caught maskless and will not be warned and given a chance to put on a mask. We sat down and waited to see what happened. Other passengers giving us the evil eye again. A group of four, about 60 years old talked about how their friend or sister currently has Covid, that they are not as scared as they used to be any more, given that they are now vaccinated, but “no, they will not take the fourth shot any more”.

The conductor shows up and says “If you don´t have an exemption, please put on a mask immediately”. I tell him that, yes, I do have an exemption and give him my ticket along with the 4 pages of mask exemption. Then, he was OVERLY friendly, told me that I can feel free to put my luggage next to the other seats and the kids could lie down to sleep and wishing us a pleasant ride. My suspicion is that he was extremely relieved that I did not give him a hard time, had the right paperwork and therefore did not cause any trouble. The fact that he is asked to be an enforcer of rules he may or may not agree with must be the worst part of his job, and he never knows when someone gets confrontational.

Later, I figured, I should show my unmasked face to as many people as possible, so that other people may feel emboldened in the future. Even though I did not have to pee, I walked the entire length of the train toward the bathroom, so that other people would see me. I was kindof hoping that anybody would confront me, but nobody ever did. Whether it made anybody think, I will never know.

2) Cost

Naturally, I talked to my parents about the energy situation here. On the news, yesterday, they talked about a small business owner of a butcher shop, who needs to cool his sausages and cold cuts 24/7 and his electricity prices have gone up 10fold and he does not know how to pay the bills, but he went wrong by asking the government to fix it.

My parents live in a house that was built in the 1960s, poorly insulated. In the basement, there are two 1500-L oil tanks for a total capacity of 3000 liters. In a typical 12-month period, they go through about 2500 L. Last year, they paid 70 cents per liter, and right now it is 1.60 Euros (US $ and Euro are roughly at parity right now, which makes calculations easy). The high point for oil costs was over 2 Euros per liter in April 2022. So the total annual heating costs for my parents went from well under 2000 Euros per year to about 4000 Euros. I asked them what they will do when oil doubles in price and my Dad said “They can´t do that!” We shall see.

You have to realize that the typical income and cost of living in Germany are very different from the US. Certain items are much cheaper here and some are much more expensive.

I looked up the income for certain professions (per month, before taxes)
Hairdresser 1800
Car mechanic 2800
Nurse 3200
Software developer 5000
Physician 8000

The average governmental retirement benefit is 1600 Euros.

All these monthly salaries are before taxes. You will have to subtract roughly 50% for social security contributions, taxes and health insurance, so that the net pay is about half of what is listed above, although the lower incomes have no or little taxes and social security payments.

So if you know that a nurse might have about 1600 of “spending money” every month, you can easily see that it would be difficult to absorb an increase in heating costs by, say, Euros a month.

For retirees it is even more difficult. I do not want to disclose the income of my parents, but let´s just assume that they are average. Then, my Dad would get 1600 of monthly social security payment. My mother never worked and always stayed home with the children, so she gets nothing (unlike in the US, where someone like her who has never contributed would get 50% of her husband´s social security retirement). They could live on the 1600 Euros per month, but the increase in heating costs as described above from 2000 Euros to 4000 Euros would be a real hit for them.

If my Dad would die, it would leave my Mom seriously poor, because surviving spouse benefits are 60% of the benefits of the deceased, so 60% of 1600 Euros per month, which makes it very difficult to pay rent, food, electricity, water and heat.

As far as food costs are concerned, food has always been much cheaper in Germany than in the US, but I have noticed a marked increase in the last 6 months. A yoghurt went from 40 cents to 60 cents. A pound of apples from 50 cents to 80 cents. A pound of carrots from 30 cents to 50 cents. Meat is always much more expensive than vegetables, a pound of chicken breast now costs 5 Euros.

On the second day of our trip, we went to the local water park/swimming pool. It has a wave pool, lazy river and three slides. Think a smaller version of Great Wolf Lodge, but without the hotel. We always go to that water park when we come here, so I know when it is crowded and when not. Usually, it is really, really empty on school days in the morning, as expected. On weekends, it is crazy crowded, and on school vacation weekday, it is somewhere in between. Note that fall school vacation started this week, so when we went there on a weekday, I expected it to be at least moderately crowded. It was DEAD! Even emptier than I have seen on schooldays. I even said to the lady at the cash register that I was really surprised how empty it was. She answered that she is, too, but that people are probably travelling.

I doubt it. I think people are saving money and foregoing things like this water park, which cost me 21 Euros for the family ticket and 12 Euros for 3 fries. Total fo 33 Euros that many families do not have lying around any more.

Next day, I went to the local ice cream store. It is owned by an Italian couple who come to Germany selling ice cream during the season from May to November and spend the winters in Italy. They serve the most delicious Italian gelato, 45 flavors of it. I ordered our ice cream to go, and then told them that their ice cream is one of our favorite things to do when we are in Germany and that we live in the US. Essentially, I told them, we are flying half way around the world, in part for their ice cream, which made them smile. Then, I asked them how business was this year? Are they feeling it, that people are not flush with money any more? They said that, no, this summer was actually quite good because the weather was very hot, but right now, this month, is when they are noticing a marked downturn. I told them that I also think that it is NOW that people are starting to cut back.

Then, the wife asked me: “So what is it like in the US?”

How do you answer that? What IS it like in the US? In 2-3 sentences? (Please write your answers below)

I decided not to say “We are waiting for the apocalypse. Should be any day now”, but that, well, we are not as worried about our energy supply there, but (I decided to say something they can relate to) that it is strange to see that people appear to be hurting for money, and yet it seems to be impossible to find employees, across all types of businesses. The husband responded that he noticed that, too, that it was always hard to find waitstaff and restaurant help, but that now, every hairdresser, car repair shop and shoe store seems to not be able to find workers. Why is that? He didn´t know.

On Sunday, I am planning to take our kids to the local amusement park. For German income levels, it is expensive, at 30 Euros per adult and 25 Euros per child. If my theory is correct, it should be completely empty there.

My theory is as follows (it is not anything new, and not rocket science either): There was always that segment of the population which has barely enough money to make ends meet and put food on the table. Going to that water park was never an option for those. But NOW, we are experiencing for the first time since the economic boom of the 1950s-60s that those that were solidly middle class (nurses, accountants, small business owners etc) starting to be really worried about not being able to pay their bills, cut back on unnecessary expenses. They will not TELL you about it, even if you know them well, but you can see it by the emptiness of these businesses.

That waterpark had all sorts of Corona restrictions over the last 2 years. First, no mask but you had to leave your name and address for contact tracing. Then, masks but no contact tracing. Then, only vaccinated allowed. All of that time, to my surprise the pool was very crowded. Now, The corona measures are gone, and the pool is empty for economic reasons.

Mission accomplished by those that want nothing but to harm us by any means possible.

The Sopranos – Silvio And Adriana Go For A Ride

It’s all a lie.

You can see a boob with a nipple on TV.

If you need an abortion you can get one, you can also get contraception so you don’t need an abortion, all through Medicare and the PBS.

You can believe in whatever religion you want, or none, without social stigma.

You can go to bed with any other consenting adult you choose.

You can vote (and will at least turn up) in elections without obstacles being put in your way (unless you a currently serving a prison term of five years or more.)

You can also get and education or healthcare without being bankrupted, shot or denied because you can’t pay. As a side note I was flabbergasted when I realized that the victims of shootings in the US had to pay for their own care, I mean WTF!

You don’t have the right to incite hatred or violence, but then that supposed right impinges on other peoples more basic freedoms to live their lives free from persecution.

And yes you have to prove you are a responsible adult with the capacity to properly store a firearm as well as a sane reason to want one in order to own firearms.

You see we are grown ups like most of the rest of the world and believe that with rights come responsibilities; not toddlers who think they should be allowed to do whatever they want and hang the consequences.

We also don’t think the rights of the few to be mega-rich are more important than the rights of the majority to have their basic needs met.

We also think if you are old enough to get killed for your country you are old enough to have a drink if you want it.

You see we have freedom of thought having not being indoctrinated at a young age to believe we are both the best and freest in spite of all the evidence to the contrary. And we use this freedom to try and ensure we have what we actually need not get sold the pup of mythical freedom just because we can buy a handgun or automatic weapon.

 

Please!!!

This is China we are talking about

The Country is so layered that you have various levels of leadership all the way to Xi Jingping

Most of the Citizens care primarily about

  • Food Prices
  • Energy Prices
  • Consumption Prices
  • Cost of Money
  • Public Transport
  • Entertainment & Consumer Demand
  • Healthcare
  • Banking
  • Communications

The CPC has ensured that the above things are very comfortable for the Average Chinese Citizen.

Nobody gives a damn about Free Speech or Democracy or any of that stupid nonsense. These are concepts that the Average Chinese look at with scorn and contempt

The Chinese loves inequality, the competition , the fight to rise to the top and always acknowledge that Society is made up of Superiors and Inferiors. Thats 5000 years of Chinese Culture.

Not a Country that looks too kindly upon the theory that everyone is equal and a frocked homosexual with zero talent can be someones boss simply because of the homosexuality

Yes People are irked – Power Cuts, Covid Lockdowns etc

However most of those resolutions are made by Provincial or District Level committees and decisions are made by them too. So Anger if at all there is any will be directed towards the Regional Leaders rather than Xi jingping.

however the fact that People express their annoyance is proof that the People expect CPC to do something and most of the time CPC delivers near instant results.

Chinese Citizens have fullest faith in Xi Jingping and the CPC

SEINFELD | NBC Guy’s Daughter Molly | The CLEAVAGE Stare

I worked for a one- man gardening business. I came to work 5 minutes late on a Monday and was told I would lose an hours pay. On Tuesday, I was working miles from home and we worked an extra hour to get the job done, rather than coming back next day.

On the Saturday, the boss was going on his first holiday in more than 20 years., because he had never had anyone to cover his job’s. Friday night arrived and he had to pay me. He stopped the hour for Monday, but did not pay me my hour extra for the Tuesday, saying he does not pay overtime. I accepted my wages, wished him a great holiday, and left. He was flying to Spain at 11.00 hrs on Saturday . I phoned him at the airport, to let him know I was leaving and would not cover his contracts! That was his holiday gone, lost flights/ hotel etc. He was very unhappy, but as I told him, you treat your employees unfairly, this is what happens. I started with another company on the Monday.

BOMBSHELL – CLASSIFIED RAND Corporation Executive Summary Research Report January 25, 2022: Weakening Germany, Strengthening The U.S.

Damning and frightening.

Check it out HERE before it is deleted.

JONATHAN BANKS | Madrigal Electromotive | Better Call Saul

https://youtu.be/IWsUEMgD5ls

Movie on China’s evacuation of overseas Chinese leads National Day box office, shows national pride and confidence in turbulent world

Published: Oct 04, 2022 01:59 PM
.

One movie that took the lead in both the box office and the viewers’ ratings for the National Day holidays was Home Coming. The movie tells a story of two unarmed Chinese diplomats delving into a rebel force-controlled area in a fictional war-wrecked African country to lead 125 Chinese citizens safely back home, and it has sparked a strong sense of national pride and confidence amid a turbulent world experiencing major changes.

Some moviegoers may have entered theaters expecting a Warrior Wolf-like movie, but were offered the cruelty of war and the most difficult part of diplomatic and consulate work in contrast to the traditional impression that diplomats are “clean and decent.” However, the two main characters’ deep love for their country and their people, strong sense of responsibilities and the greater group of Chinese diplomats in the new era they represent, touched many viewers.

The movie, starring veteran actor Zhang Yi and idol Wang Junkai, not only showed how the two diplomats, one seasoned and one new, protected their people with courage and wisdom, but also depicted different roles of common people, including stranded Chinese compatriots and locals in the fictional African country with whom Chinese people stationed there have developed deep emotional bond.

Some diplomats engage in fierce wars of words with their foreign counterparts, some are stationed abroad and interact with local communities on behalf of China, some have their life in danger in a war-hit country, but they all speak and work for the interests of China and the Chinese people, read one comment on social media platform Sina Weibo.

“There are not many cool action scenes, but thrilling in a sense that the story is in a fictional setting but not really fictional,” another Weibo user posted.

.

x
x

Home Coming prompted many people to recall Chinese Embassy’s evacuation of more than 30,000 Chinese nationals from turmoil in Libya in 2011. Behind this sentence were many heroic stories and unswerving efforts of many Chinese diplomats. Many news reports have revealed some details of the eye-catching evacuation, and people are curious of how those touching moments can be translated into screen language.

A viewer, who gave the movie 5/5 on Chinese rating site Douban, recalled his personal experience 11 years ago of being evacuated safely from a different country “because of holding a Chinese passport.” “We are the lucky ones because we are well protected, because we have a strong motherland,” the viewer said.

The movie is not perfect in terms of some parts of the storytelling and acting, but it struck a chord among viewers at the timing of National Day holidays, Shanghai resident Gu Sen told the Global Times on Tuesday after watching.

The stronger the bond between Chinese overseas and local people in Numea (the fictional African country), the more tragic when the rebellion pushed friends’ fate onto two different paths, one to home and the other to homelessness and even deaths, the moviegoer said.

A comment liked many times on Douban reads, “Chinese passport might not get you anywhere you want, but it can always bring you back home.”

A Beijing-based movie critic who preferred not to be named told the Global Times on Tuesday that the “industry cold winter” has encouraged many insiders to make quality main melody works and such genre has been leading National Day holidays box office for many years.

The success of Home Coming not only proves big theme can be delivered by down-to-earth roles and stories, but is also attributed to the growing national pride and confidence Chinese have in their country at a time when unrest occur every so often worldwide, the critic said.

Home Coming has raked in 600 million yuan ($84 million), topping the National Day holidays box office ahead of newly released movie Ordinary Hero’s 90 million yuan and Steel Will’s 38 million yuan.

Some articles as the West tries to grapple with their fantasies

A bunch of “kids” or otherwise ignorant Americans are flooding Quora with all sorts of leading anti-China questions. It’s giving me indigestion.  AH. I just cannot wait until they find other things to preoccupy their lives with. Maybe like scrounging around for bits of coal, or fighting over a moldy turnip.

Here’s today’s installment. It will be short and quick.

I hate to get into the middle of this one as there are some real stories of legendary marksmanship told in here however my story is about me, so fuck it here it is. An honest to god no shitter and witnessed by 50+ people.

In the mid 80’s I was a genuine real life gunners mate guns with the United States Navy stationed onboard the USS Mount Hood AE-29. We were just finishing up a rare world cruise and the ship was allowing a “Tiger” cruise for the last 3 days. Google it but essentially it’s where the Navy lets any male over the age of 14 come aboard the ship and live with you and do what you do in the Navy for the last three days of the cruise. Think dad‘s, grandfather’s, uncles, brothers etc. It’s really a neat thing as a lot of times the “Tigers” are veterans themselves. Even WW II vets in some cases. We picked them up in SanDiego and for 3 days, and they ride the boat back to our home port of San Francisco.

I’m happen to be the senior enlisted GMG onboard at the time also serving as the ships armorer (in charge of the armory) and my GQ (battle station) was mount captain of the forward gun mount. We’re going to do a big gun shoot for the Tigers so we tape a huge man overboard flare to a 55 gallon drum and chuck it over the side then pull out about 3 miles and shoot at it with the ships main guns. So here’s my dad up in the forward gun mount, watching his son be the mount captain shooting at this 55 gallon barrel 3 miles away and I can see he’s really digging watching me up in the mount captains chair, sound powered phones on my head barking orders to the gun crew and shit. Very cool moment in hind sight.

We expend about 12 rounds so the Tigers can hear and feel the big guns go BOOM but we don’t hit the barrel directly obviously, because that would be bullshit, however the shrapnel from the 27lb. shells exploding all around it (because we’re fucking accurate boys) tears a few holes in the barrel but it doesn’t sink. It floats upright now about 1/2 sunk still spewing flame and smoke as the man overboard flairs are about the size of small SCUBA cylinder.

Now it’s against maritime law to leave anything floating in the ocean that could be seen as a navigation hazard. So the call comes down from gun control into my headset in the gun mount for me to send somebody to the armory and draw a weapon and report to the bridge wing to shoot the barrel so it’ll sink and we can be on our way.

Except my dad is with me so instead, I take him to the armory with me, as I’ve got the keys to it already and if anybody is going to shoot the barrel, it’s gonna be me in front of my dad……right?

Stay with me, this is awesome. So I’m in the ships armory with my dad and he’s looking at all the weapons I can pick from and he looks right at the rack of (2) M- 60’s we have and asks…can you take that??? For those of you that don’t know what an M-60 is, think John Rambo and that handheld, belt feed machine gun. 7.62 (.308) caliber he shot up that town with. I mean I could have taken a M-14 rifle, .45 cal pistol or a shotgun but noooo, not me. I grab the crowd pleaser and a 100 round belt of ammo for my dad to carry and off to the Starboard bridge wing we go.

We open the bridge wing hatch and who do I see sitting in his chair but the captain of the ship himself, who takes one look at me and the M 60…….and my dad carrying the 100 rnd. belt of ammo and shakes his head and says “only you Carpenter. you’re the only one that would think to bring that fucking thing up here”.

Now all of the tigers are out on the bridge wing with the captain as they’ve all assembled there for the shoot. So I lock and load the 60 and lay it up on the bridge wing railing and I’m all ready to let it eat. Then the captain,,,,realizing that this could look bad for any number of reasons, comes to his senses and right before I pull the trigger says “Single shot only please”. Now that particular weapon platform doesn’t have a single shot option…..at all. I’ll have to just squeeze it and then let it go instantly to try and get just 1 shot out of it as it’s built for full auto all the time. No selector switch, single fire, 3 round burst anything. It also doesn’t have any kind of scope or even a rear site of any kind, just a small little blade out on on the end. In short, It’s made for everything BUT accuracy and single shots. We’re easily 200–300 yards away from the barrel and I ask him if he could possibly move the ship a little closer in order for me to have a better chance but he skipper isn’t having any of that changing course and speed crap. He just mean mugs me and sais “just shoot the damn thing”. I mildly start to protest but here’s these 50 or so Tigers staring at me along with my dad and I realize I’m gonna have to just give it the old “Kentucky Windage” try so I dig in, get behind it and draw the best bead I can.

Now mind you this is in the open ocean boys and I’m 75’ above the waterline and the ships rolling and pitching as we’re doing about 6 knots and the 300 yard barrel is rolling and pitching as well although (mild seas) and it’s basically fucking hopeless. I say a quick silent prayer and it’s a fucking miracle. I somehow manage to squeeze off 1 single round. All you could hear was the faintest “ping” with no splash and the thing instantly sinks. All the while still spewing flame and smoke. I mean it disappears so fast if you blinked, you missed it. Like a magic act.

The entire bridge wing goes crazy!!! All these Tigers are high fiving me, the captain, the XO,,,,, everybody. It all happened so fast, I still can’t believe I hit it but I’m gonna take the win and walk out obviously. As I go to safe and clear the gun, the Captain asks me if I thought I could manage to burn the now 99 rnd belt up over the side without shooting the ship. I assure him I can, he nods his head and I proceed to burn up 99rds of full auto 10’ away from all these guys who really proceed to go crazy now. I mean it’s fucking pandemonium on the bridge wing. Then,,,,,as all the empty brass and links are piling up and as the smoke clears….all the noise is fading away, I happen to see my dad in the middle of the crowd collecting slaps and claps galore all while beaming at me like he never had any doubt that I wouldn’t hit it. It was like I had practiced that shot a hundred times and somehow he was responsible for it all in that brief 15 seconds of time

I just cradled the gun in 2 hands, collected a few hand shakes from several WW2 vets and walked off like I had done done exactly that. There was nothing left to say.

You know that was 35 years ago and my dad is 87 now. He still finds a way to tell that story once or twice a year to new unsuspecting folks that haven’t heard it in a way that still makes him beam at me……at least when he gets to the good part. All these years I never had the heart to tell him that it was just shit ass luck. Maybe part of me wants to believe there was a pinch of skill involved………..but I doubt it.

There’s lots of “better” feats of marksmanship in here to be certain. But how many young men will ever get the opportunity to even take a shot like that, under those circumstances with a belt feed full auto machine gun, on a US war ship in the open ocean and then pull it off ……in front of their dad?

Cheers boys.

John C.

Pork Goulash

x
x

A lot of people think one-pots all taste the same. Not true! Especially with this recipe. Its blend of spices, pork, and cream sure taste special to me.

What You’ll Need

  • 2 tablespoons butter
  • 2 1/2 pounds boneless single pork loin, cut into 1-inch cubes
  • 2 onions, chopped
  • 1 garlic clove, minced
  • 2 tablespoons paprika
  • 1 teaspoon dried dill
  • 1 teaspoon salt
  • 1/2 teaspoon black pepper
  • 1 can (10 ounces) condensed beef broth, divided
  • 2 tablespoons cornstarch
  • 1/2 cup heavy cream

What to Do

  1. In a large skillet, melt butter over medium-high heat and add pork, onions, and garlic. Saute 5 minutes, or until pork is no longer pink on outside. Stir in paprika, dill, salt, pepper, and all but 2 tablespoons of beef broth.
  2. Reduce heat to low, cover, and simmer 20 minutes. In a small bowl, whisk together remaining beef broth and cornstarch; pour into skillet and stir until sauce has thickened.
  3. Slowly add cream and stir until warmed through.

Notes

  • Don’t overcook this once you add the cream because the sauce will separate. And then there won’t be any sauce left for spooning over some hot cooked egg noodles.

Will China be the new global power, despite everything that happened?

China is already a global power. Its strength is economics.

If by “new global power” you mean the sole superpower. The answer is no. It will not.

I am not sure what you have in mind about “everything that happened”. China has been on the growth and development road for a long time. US tried to contain it without success. This will continue. You will hear more and more bad things said about China. But China will be unperturbed and continues to pursue its own goals.

If you are shaken by the talks about the impending collapse of its economy, citing its zero-Covid policy and problems in the property sector. Don’t be. They are all nonsense. As for its Covid policy, no need to go further than to check the statistics of infections and deaths. As for the property sector, just know that it was triggered by the Chinese authorities to rein in the debt addiction in the industry. It is paying the price now to ensure that the sector will be strengthened and be able to grow without the dangers of financial over-leveraging. Give it 3 years.

Know that despite these problems, the Chinese economy is probably the strongest among the major countries. It had not poured untold quantities of cash to save the economy from Covid – it was not needed – and caused the inflation that are raging in the US and the rich countries. Notice the governments are helpless, leaving everything to the central banks to raise interest rates and sell their bond holdings. Politicians are engaged in their favourite sport of blame-game, while the people suffer.

Stock markets will drop another 40% as a severe stagflationary debt crisis hits an overleveraged global economy

NEW YORK (Project Syndicate)—For a year now, I have argued that the increase in inflation would be persistent, that its causes include not only bad policies but also negative supply shocks, and that central banks’ attempt to fight it would cause a hard economic landing.

When the recession comes, I warned, it will be severe and protracted, with widespread financial distress and debt crises. Notwithstanding their hawkish talk, central bankers, caught in a debt trap, may still wimp out and settle for above-target inflation. Any portfolio of risky equities and less risky fixed-income bonds will lose money on the bonds, owing to higher inflation and inflation expectations.

Roubini’s predictions

How do these predictions stack up? First, Team Transitory clearly lost to Team Persistent in the inflation debate. On top of excessively loose monetary, fiscal, and credit policies, negative supply shocks caused price growth to surge. COVID-19 lockdowns led to supply bottlenecks, including for labor. China’s “zero-COVID” policy created even more problems for global supply chains. Russia’s invasion of Ukraine sent shock waves through energy and other commodity markets.

From HERE

let me tell you about iran.

My father was a low level police officer when the Shah of Iran ( a puppet of USA) was the official leader of Iran.

when the revolution came – my Father fled with the others – I was 5–7 years old. I still remember my mother asking my father why we were fleeing our motherland, and his reply was that many officials who had committed atrocities will be executed.

my mother asked him why – “WE” were fleeing our mother land? and his reply was – Although he had not commited any atrocities – the revolution will not have the time or the patience to differentiate between the good and the bad. – so we all left.

you know – my father applied for American VISA – and he was rejected – because he was not important – then we fled to India because my father’s sister had married an Indian and we lived and settled in Delhi first then to Kolkata and then to Gangtok – Sikkim.

later I grew up and studied in China and then moved to the USA – that is a different story for another day, today is my father’s day.

Much of my Father’s life in India was spent selling dried fruits in Delhi then Kolkata and then in Sikkim, he left everything in one day and we arrived at India in just the clothes and two suitcases – our house, our land, our friends everything was left in one single day.

do you know why my father was not granted a USA VISA – he was too moderate – too by the book – too kind, he would not indulge in extra judicial killings – too soft on the revolution – too attached to his homeland – too patriotic.

but all those who killed others at the drop of a hat – who did not mind violating extra judicial killings etc – all of them got USA – VISA because they were considered assets who could be used against the State of Iran later.

My Father always told me – We are Iranians – no matter where we live – our identity is Iran – today we may not have a favorable wind but the winds will change and we will go back to Iran. We cannot hate our motherland just because we are paid some bribes to hate our motherland. we cannot hope for the destruction of our motherland because our motherland is our identity and we cannot allow our identity to be destroyed.

he also told me – The USA has no Identity – its history is just a few hundred years old and no matter how hard it may try it cannot create an identity as solid and firm as our – ASIAN civilization and IDENTITY – so never hate or attempt to destroy our IDENTITY. – the USA attempts to do this because it cannot match the history or identity of our past so it wants to bring all down to its present level by recreating definitions of identity etc.

in my belief Guo wengui is just one of the many stooges that the USA has used in the past – similarly you will find many such iranian stooges who work for the state department.

Can you guess what car this is?

Car interior 1…

x
Car interior 1

I lived in Hong Kong for a year and Shenzhen for about five years.

I found Shenzhen to be more spacious and relaxed than Hong Kong.

In Hong Kong I found that there were a few incredibly rich people, many struggling and too many poverty stricken people.

In Shenzhen there were many rich people, a large and growing middle class and the poorer people there lived much better than the poor in Hong Kong. Housing is generally better, more modern and with more room for people to live in. Hong Kong has a more vibrant tourist industry, but that’s about it. It’s much better for people’s living standards to live in Shenzhen now.

U.S. Continues to Build Military Presence in Europe – Because Europe Must Be killed

.

A decision was made inside the United States last year to “kill off the competition” and as a result, Europe must be industrially killed. War was the means chosen; Ukraine is the theater in which it is to take place.

Today, 12 US Air Force F-15E Strike Eagle fighter-bombers, as seen in a file photo above, are expected to arrive in the UK.

In total, there are already fifty (50) F-35s.

For months, the world has watched as the U.S. and its NATO vassals, take deliberate steps to engage Russia in direct war.  Russia has not taken the bait . . . yet.

The reasoning behind the militant actions of the U.S. and NATO escaped most folks.  Sure, the whole “protect democracy” nonsense has been spewed endlessly and the dupes in the general public believe that, but slaughtering hundreds of thousands of people through war is never a good option.

So what is the __real__reason for the whole blow-up?

Well, Ukraine has a well-earned reputation for being the most corrupt nation in Europe and likely, the world.   Politicians launder “foreign aid” money through Ukraine, then filter it back to their own pockets through non-governmental organizations  and then into shell corporations they control.  So that’s a reason the powers-that-be want to keep Ukraine in existence; it feeds their cash.

Child sex trafficking is another reason.  Ukraine is a literal hub for kidnapped children being forced into the sex trade for deviant, degenerate, perverts that infest the so-called “elite.”  The upper classes of society have become so evil, so filthy, that rich perverts enjoy sexing children. So that’s another reason the rich and powerful want Ukraine in existence.

Cocaine and Heroin trafficking throughout Europe and into the USA is very lucrative and Ukraine is so saturated with illicit drugs that even their President has a reputation for being a coke-head.  So that’s another reason the rich and powerful want Ukraine around.

But while these activities and the cash they generate are sizeable, something much, much, bigger had to be in the works.

I have found out what that “something” is.

Europe Must Be Killed

The real goals of the US in Ukraine are the destruction of Europe and its economic leader: Germany.

Why?

Let’s describe the world situation at the beginning of 2022 (immediately note that I give inaccurate figures to do justice to MMI and @Spydell_finance, but the approximate figures do not affect the disposition itself or the conclusions):

China: GDP: $16.9 trillion. Industrial sector ~30.5%, or $ 5.1 trillion. Export economy 15.3% with an export degree of 1.35 (easily interchangeable, technologically not advanced, but massively price-elastic, requires low profit margins of producers and not expensive labor, as well as agglomeration of producers).

Germany: GDP $4.2 trillion USD. Industrial sector ~27-30%, or $ 1.1-1.3 trillion. 35% with the world’s highest export ratio of 2.07 (only Japan has an even higher ratio of 2.49). That is, exports are irreplaceable, technologically complex and therefore VERY HIGH MARGINS)

The EU as a whole. GDP 17 trillion USD (suddenly !!! more than China, or at least the same amount). Industrial sector ~25%, or $4.1 trillion. (suddenly a little less than China). Only this branch of industry, as already written above, is a high-tech sector, that is, a marginal area that allows rapid positive capital growth.

US. GDP $22.9 trillion USD. However, the industrial sector accounts for only 18%, or $ 4.1 trillion. (Suddenly less than China and just as much as the EU)!!! And the financial sector is over 20%, as is the entire service sector with 77% of the economy.  But even this industrial sector accounts for only 7.7% of exports and has an export development index (ECI) of only 1.57 (just like China).

To go back to the beginning of the year, the accumulated imbalances in Quantitative Easing (QE) by the federal reserve are accelerating inflation and could bury the entire dollar system.

The end of QE and the beginning of the Fed’s balance sheet reduction would guarantee the collapse of the services sector, the near-death of the financial sector and a large part of venture capital IT as zombie companies with negative revenue margins or without cache flow.

In order to survive, the United States urgently need to develop the real economy, i.e. industry.

However, since the world has become global, no new markets are foreseen. The system cannot conquer Mars and then sell to Martians, so therefore it will have to grow on intensive investments, which means negative capital work.  Since aggregated venture capital investments on intensive investments do not pay off, which has been obvious since 2009, the U.S. is staring at an economic dead end.

So what to do?

Kill the competition.

To get more industry, the US has to get it from somewhere it already exists.   Let’s look at each possible candidate for the US to grab industry:

Option 1: China.
But, firstly, China is a subject (sovereign), secondly, the Chinese and US economies are too intertwined, and thirdly, the development of an industry comparable to China means low profit margins, long payback periods and falling personal incomes. And a decrease in personal income is a revolution in the USA. The option is not suitable.

Option 2: EU.
Fits perfectly, no subjectivity and high margin business.

However, the business is so profitable because it is very technological, i.e. it has a high and long entry threshold. It takes decades of development, thousands of patents and the construction of a team of specialists.

But the patents, specialists and companies do not belong to the EU.

The US has to force these companies in their entirety to move to the USA, just as, for example, low-margin production migrated to China in the 90s.

To do this, you need to create unbearable conditions for the economy: war, hunger and cold.

Take a look at the EU now!!!

https://t.me/c/1417137205/16884

News 1:
German industrial production fell by 1.8% in the first 8 months of 2022 due to sanctions against Russia, and the German chemical-pharmaceutical sector (high gas dependence) recorded a decrease of 10.7%.

News 2:
The Wall Street Journal published a report on the mass relocation of large German companies to the New World on September 21.
https://www.wsj.com/articles/high-natural-gas-prices-push-european-manufacturers-to-shift-to-the-u-s-11663707594

News 3: Explosion at branches of Nord Stream 1 and 2.

To those watching closely, all these things are links in a single chain of events: The EU must be destroyed. At any cost.

It doesn’t matter how many people get killed, there’s $4+ TRILLION dollars a year (of real industry) at stake.

It doesn’t matter how many cities or even countries get wiped out, there’s $4 TRILLION dollars a year  (of real industry) at stake.

People will breed, so they can replace whatever war dead take place.  Cities and countries can be rebuilt.  But the $4 TRILLION a year (of real industry) absolutely, positively, must — and will — come to the US.

Period.  Full stop.

This war is about money.  $4 TRILLION a year in real industry.  Anyone who gets in the way of that will be steamrolled.

 

This is the main goal of the USA in Ukraine.

 

Bad Girls: Movie Posters of Dangerous Dames, Sizzling Sirens, and Gun-Toting Gals

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

To be fair, it’s the US politicians that are animalistic and barbarian in their conduct and behavior. From my dealings and working together with Americans, I estimate at least 40% of them are fair-minded and reasonable people. And these 40% too hate their politicians, regardless of their party affiliations.

The question is then; why are US politicians so notorious? My observation is that:

  1. American politicians are absolutely useless and impotent in terms of the country’s development and progress. They are absolutely incapable of contributing to the growth and development of USA. The achieve of the country has been the outcome of research, study, innovation and investment by scientists, academics, thinkers, investors, etc. Just think about any field of achievement that America has made significant process; can you name a politician who was leading it, contributing directly to it, etc?
  2. So, American politicians are basically parasites, sucking the money/benefit out of the ‘democratic systems’ they prey and dependent on. And once they manage to get on a juicy position, they will fight against the next parasite in order to remain in that sucking position for as long as possible.
  3. Once the American politicians get on to some juicy position, their benefit is far, far, far beyond the official perks and incomes. They, again regardless of Democrat and Republican, will think of ways and means to create ‘legislations’ first to make life difficult for the productive segments of the society. Then, depending on who (after failing to content with the complexity of the myriad legislations) offer more bribes, will once again fight with other parasite to formulate/pass more legislations to make life a little easier for the bribe givers. Of course the in-position parasitic politicians are hugely rewarded in the form of bribes/kickbacks, etc
  4. This is the reason American politicians and their families are enjoying lifestyles way, way, way beyond what their official perks/salaries could afford. Again, both Democrats and Republicans are playing the same game, they simply take turns at the expense of regular Americans.
  5. Until and unless regular Americans wake up to this reality and overthrow the regime structure in Washington, their country will continue to go down the sewers of history.

F-35s Struggle To Fly! Frustrated South Korea Says Its US-Origin Stealth Fighters Marred By Defects

South Korea’s F-35 stealth fighter jets, which it touts as a critical component in deterring North Korean threats, appear to be experiencing severe maintenance challenges. 

The ruling People Power Party’s Rep Shin Won-sik presented Air Force data to demonstrate the issues the South Korean Air Force is encountering in making F-35s fully operational. He mentioned that the fighters were grounded 172 times during the timeframe.

He also noted 62 cases where the jets could fly but couldn’t complete specific missions. Shin revealed the information, highlighting the need for the South Korean military to exert significant effort in introducing and maintaining such cutting-edge weaponry.

“Grounded fifth-generation fighters could carry out missions for only 12 days on average last year and 11 days in the first half of this year,” Yonhap reported. In contrast, throughout the course of the 18 months, the older generation aircraft F-4E and F-5 were grounded 26 and 28 times, respectively.

However, the South Korean Air Force stated that the F-35As achieved their goal operation rate of 75%, which indicates that sustaining the readiness posture was not a problem.

The service admitted difficulties obtaining parts for defects in the newly launched model. It further noted that it would work to obtain them as soon as possible from the manufacturer.

It is important to remember that Australia made headlines when it intended to spend an astounding AUD14.6 billion ($10.87 billion) to maintain its Lockheed Martin F-35A Lightning II fleet until 2053.

From HERE

Can you guess what car this is?

Car interior 2…

1
Mystery car interior 2

It is a completely groundless charge. Note that the US has never presented a single shred of credible evidence to support its allegation. Why not?

In the age of smartphones, there should be plenty of hard evidence.

For the US to criticize China on human rights is rich, for example:

  • recall the native American genocide
  • recall the Japanese-American internment
  • recall the use of Agent Orange on Vietnamese civilians
  • the US has mass incarceration — over 2 million Americans incarcerated, mostly for minor offences, for the highest incarceration rate in the world
  • the US has mass surveillance — recall PRISM as exposed by Edward Snowden
  • abuse and torture of Muslims at Guantanamo Bay

Pot. Kettle. Black.

China Warns U.S. of Grave Consequences if Ukraine Joins NATO

LOL. China warns the United States?

China warned the United States it could face severe consequences—including the prospect of nuclear war—if it allows Ukraine to join the North Atlantic Treaty Organization (NATO), drawing the 30-member alliance into the country's conflict with Russia.

Article HERE

The Dodge Corporation Make Al An Offer He Can’t Refuse | Married With Children

Solomon Islands Insisted China References Be Removed Before Signing US-Pacific Partnership Declaration

Foreign Minister Jeremiah Manele explained why his government was going to refuse to sign the pact.

China’s human rights record is criticized from the Western perspective, based on the West’s value system. Why should China adhere to the West’s value system? What makes the West’s value system so much more desirable or righteous?

It’s not as if the West’s value system has a spotless record. This is a system that has supported waging endless wars around the globe causing unimaginable human carnage.

This is a system that has supported sanctioning dozens of countries causing enormous human suffering.

This is a system that has censored the West from presenting the other side of the story in the Russia-Ukraine crisis.

This is a system that has supported colonialism in Africa and Asia, enslaving millions of people, and robbing their countries of their natural resources.

In the United States, people are denied shelter and health care and protection from gun violence and systemic racism. Early in its history, USA slaughtered millions of indigenous people to steal their land.

China has a different value system based on thousands of years of history. China respects and honours its ethnic minorities, all 55 of them. China protects its citizens, even from themselves. China places a very high priority on harmony, safety and stability.

You don’t have to agree with China’s value system, but you cannot deny that it works extremely well. The Chinese people are happy and prosperous and safe. The Chinese people are very proud of their country’s progress.

Can you guess what car this is?

Mystery car 4…

x
Mystery car 4

Finally, The victims of imperialism dare to stand up in solidarity against the USA

London | The global oil producing cartel OPEC on Wednesday (Thursday AEDT) agreed to cut output by 2 million barrels a day, driving up prices and creating a new economic and political headache for the West.

OPEC’s decision came just hours after the European Union had signed off a new Ukraine-related sanctions package on Russia that included a price cap on Russian oil....

Article HERE

Can you guess the make of this mystery car?

Mystery car 5…

x
Mystery car 5.

Mahathir Mohamad of Malaysia blasts Australia over US nuclear submarine deal

Singapore | Australia’s planned acquisition of nuclear-powered submarines has made armed confrontation in the South China Sea more likely, according to veteran Malaysian politician Dr Mahathir Mohamad.

In an exclusive interview with The Australian Financial Review, Dr Mahathir said an arms race in the region was inevitable after the creation last week of the AUKUS pact as part of Canberra’s decision to buy nuclear-powered submarines

He also fears the agreement could prompt China to match its claims with actions in the South China Sea.

“This agreement indicates you openly regard China as a possible enemy and that, if it comes to the crunch, you might even go to war. Just imagine what war would do to south-east Asia,” Dr Mahathir said.

His comments follow those of Malaysia’s Prime Minister Datuk Seri Ismail Sabri Yaakob, who on Friday expressed concerns about the new security partnership.

According to a statement released by his office, Mr Ismail Sabri told Prime Minister Scott Morrison that Malaysia feared AUKUS could spark a nuclear arms race in the Indo-Pacific.

This would be the opposite of the peaceful coexistence on which AUKUS participants say the agreement is pinned.

Dr Mahathir, who dealt with three Australian prime ministers during his long first stint as Malaysian PM from 1981 until 2003, said Canberra had again proved that Australia identified as a European nation that just happened to be located away from Europe and the United States.

‘Australia looks west’

“Australia keeps talking about the region, and about being much closer to the region. Yet, every time there is a crisis or problem, Australia looks west. It seeks friends from the West, not from the East,” he said over Zoom from his office in Kuala Lumpur.

The 96-year-old said the AUKUS agreement, and Australia’s role in particular, had changed the dynamic.

“You have improved your capacity to fight. Even if these submarines are not carrying warheads, they will introduce nuclear capabilities to the region,” Dr Mahathir said.

“So you have escalated the threat. This will elicit a response from China.″⁣

China until now has permitted boats to traverse the contested waters of the South China Sea. This could change, Dr Mahathir said.

“China has declared the South China Sea belongs to China. But it has not stopped ships sailing through, even warships. So far, China’s claim has just been a statement; they have not really been carrying out what they claim is their right to do certain things.

“If you bring in military weapons in the region and the alliance is supplying them with weapons, I think that will elicit some reaction.″⁣

Dr Mahathir, who fronted a coalition that won an historic victory in 2018 only to lose it due to infighting less than two years later, noted the Malay states had co-existed with China for centuries before the Federation of Malaya was formed in 1957.

The bilateral relationship has its frictions. Along with Brunei, the Philippines and Vietnam, Malaysia claims sovereign rights that clash with China’s view that it owns 90 per cent of the South China Sea.

Like other claimant states, Malaysia’s preferred forum for dealing with this territorial issue is the Association of South-east Asian Nations (ASEAN). Since 2002, ASEAN has been working with China to develop a code of conduct for the South China Sea.

The long process shows no sign of resolution but for many involved, the process itself is successful if it keeps armed conflict at bay.

‘We ASEAN countries like to solve problems through negotiation.’

— Mahathir Mohamad, former Malaysian prime minister

“We ASEAN countries like to solve problems through negotiation,” Dr Mahathir said. “Malaysia is a small country; we are aware of that. We value China because it’s a big market for us, and they value us because they get some raw materials from us.

“We have to live next door to China, and we can’t be confronting them.” In Dr Mahathir’s view, Australia and the US are trying to push ASEAN states to take sides.

“You keep on trying to persuade ASEAN to confront China, to be unfriendly. We cannot do that. The United States has a lot to contribute to south-east Asia. But so has China,” Dr Mahathir said.

In his view, the idea of a balance of power, “that groups of countries are a threat to other countries” is “an old kind of thinking”.

He believes AUKUS is the latest sign the West has not adjusted to the rise of Asia.

“In the past, you were very comfortable because Eastern countries did not have the capacity that Europe and America had, but now many Eastern nations – Korea, Japan and China – have almost the same capacity as you.

“They want to send out rockets to outer space and so on. Let them do that. Compete there; that doesn’t hurt us. But don’t disrupt our market.”

Article HERE

DIY retro mini lawnmower

x
x

Spring is here and the grass will need to be mowed soon. But if you have this sweet DIY retro mini lawnmower that looks like a vintage automobile, the job will surely be a satisfying one.

More info: Old Mini Bikes

x
x

Forum user Jeep2003 chronicled his “Mini Push Mower” build project over at Old Mini Bikes (which itself is a fascinating community of people who ride and build mini motorcycles). The mower uses a two-stroke engine from an old snowblower and the deck comes from an old smoker grill. The tail lights are fantastic!

x
x

x
x

x
x

x
x

Diamond Dredging Vessel – MV Pacific

I really enjoy these scale models of ocean vessels. Here’s a particularly nice and detailed one. From HERE.

x
x

x
x

x
x

x
x

x
x

x
x

Politics By Other Means

Putin and Clausewitz

46 Trillion Dollars In Financial Wealth Has Already Been Lost During The Great Global Market Crash Of 2022

.

In less than one year, 46 trillion dollars in financial wealth has been wiped out.  If that isn’t a “crash”, how would you define one?  Since last November, stocks and bonds have been plunging all over the globe.  When there is a good day like we saw on Monday, sometimes that can fool us into thinking that everything is going to be okay.  But in order to understand what is really going on we need to step back and look at the bigger picture.  And when we look at the bigger picture, it becomes exceedingly clear that we are in the midst of a historic worldwide market crash.  According to Bank of America, a whopping 46.1 trillion dollars in financial wealth has already been wiped out since last November…

It’s been a tough year for investors, with global stock and bond markets erasing $46.1 trillion in market value since November 2021, according to Bank of America.

The massive drawdown has led to forced liquidations on Wall Street, the bank’s chief investment strategist Michael Hartnett said in a Friday note, highlighting the recent break below 2018 support in the NYSE Composite Index.

When I first came across that number I could hardly believe it.

But it is accurate.

Stocks have been falling and falling and falling, and Bank of America is warning that this is one of the worst global bond market crashes that we have ever seen

Analysts at BofA liken it to going “Cold Turkey” and blame it for causing the third “Great Bond Bear Market.”

They calculate the 20% plus losses suffered by government debt investors over the last year are now a par with the post World War I and II years of 1920 and 1949, and the Great Depression rout of 1931.

The combined collapse in global stock and bond markets means global market capitalisation has been slashed by over $46 trillion.

That is an amount of money that is difficult to comprehend.

The total value of all goods and services produced in the United States last year was approximately 23 trillion dollars.

So we are talking about an amount of money that is roughly twice as large as our GDP for an entire year.

When the Federal Reserve and other central banks around the world took the punch bowl away, it was obvious that something like this would happen.

Central bank intervention pushed global financial markets to absolutely absurd levels, and there was no way that they could remain there once the artificial support was removed.

Here in the United States, all of the major stock indexes have fallen for three quarters in a row, and tech stocks have been leading the way down

The S&P 500 Index closed on Friday at 3,586, down 25.6% from its intraday high on January 3, and where it had first been in November 2020.

The Russell 2000, which tracks small-cap stocks, is down 31.8% from its high on November 5, having thereby maintained its function as early warning signal.

The Nasdaq closed at 10,576, down 34.8% from its intraday high on November 22, the very day Microsoft CEO Satya Nadella dumped 50.2% of his Microsoft stock in a bunch of frenzied trades, totaling $285 million. On the list of best-timed insider trades ever, he must be at the very top. Since then, Microsoft shares have plunged 33.4%, to $232.90, the lowest closing price since March 2021.

As I discussed a few days ago, the wealthiest tech tycoons have collectively lost 315 billion dollars over the past year.

Ouch.

The Federal Reserve giveth and the Federal Reserve taketh away.

The same thing is true for the housing market.  Fed policies created the largest housing bubble in our history, but now that bubble is bursting.

In fact, it is being reported that we just witnessed “the largest single-month price declines” since the last financial crisis…

… today Black Knight confirmed that the US housing market has turned decidedly ugly with the two biggest monthly declines since the global financial crisis.

According to a Monday report from mortgage-data provider, median home prices fell 0.98% in August from a month earlier, following a 1.05% drop in July.

The two periods marked the largest monthly declines since January 2009. In fact, at the current pace of declines, we may soon see a record drop in home prices, surpassing the largest historical slide hit during the global financial crisis.

The report noted that July and August 2022 mark the largest single-month price declines seen since January 2009 and rank among the eight largest on record.

If the Federal Reserve does not reduce rates, things will soon get really, really ugly for the housing market.

Unfortunately, the Fed is actually going to keep raising rates because Fed officials are scared to death of the raging inflation crisis that they originally helped to create.

Thanks to the Fed, grocery prices were up 13.5 percent in August…

We’ve seen the higher prices at the grocery store, and it looks like they won’t be coming down anytime soon.

New government data shows grocery prices climbed 13.5% in August from the year before. That’s the highest annual increase since March 1979.

Food producers say the surge is a result of paying higher prices for labor and packaging materials. They also point to extreme weather, disease and supply issues.

As long as we keep seeing numbers like that, the Fed is going to keep raising rates.

And the price of gasoline just hit another all-time record high in Los Angeles

Gas prices hit a record high in Los Angeles County of $6.466 per gallon on Monday morning, soaring past the previous record set during the nationwide price surge this past spring.

If you think that is bad, just wait until California residents are paying 10 dollars a gallon for gasoline.

The cost of living has become incredibly oppressive, and one recent survey found that 73 percent of Americans believe that their incomes are “falling behind inflation”…

Scott Rasmussen’s Number of the Day survey results on Ballotpedia also found that 73% of Americans say that over the past year, their income has been falling behind inflation. The survey’s sample size was 1,200 registered voters, and it was conducted online by pollster Scott Rasmussen on Sept. 15-17. The margin of error for the full sample is +/- 2.8 percentage points.

Until inflation is under control, the Fed is going to keep raising rates.

And inflation is not likely to be under control any time soon, because the vast majority of U.S. manufacturers are planning more price increases in 2023

In a new Forbes/Xometry/John Zogby Strategies survey shared with Secrets about the impact of inflation and the continued supply chain crisis under President Biden, 87% of manufacturing CEOs said they planned to increase prices in 2023.

Many cited the ongoing supply chain crisis, problems getting materials from China, and sellers taking advantage of the economic mess to jack up prices.

“Our margins are under pressure as costs creep up throughout the supply-chain network,” one CEO told the poll conducted by Jeremy Zogby, the managing partner of John Zogby Strategies.

So the Federal Reserve will not be riding to the rescue of the financial markets this time around.

Fed officials are absolutely petrified of high inflation, and so rates will continue to go up.

And that means that this financial bubble will continue to implode.  As Eric Peters has aptly noted, market crashes can take a long time to fully play out…

“It’s important to remember that the bursting of a bubble takes a long time to play out. It may feel fast and chaotic at various points in the process, but it isn’t really. Look at 2008. Everyone thinks of Lehman’s Bankruptcy on September 15, 2008, as the big catalyst for that crisis, but the S&P 500 had peaked the previous November. Bear Sterns failed on March 13th, 2008. From the Friday before Lehman’s bankruptcy to the end of that month, the S&P was only down 7%. The real weakness was in October with a local low in November.”

The final bottom wasn’t until March of the next year. “The bubble was bursting before Lehman Brothers.” That was just the large cathartic event that caught our attention, ignited our imagination. “And even after that it took months for the market to bottom. Markets don’t clear imbalances instantaneously. So we should be preparing ourselves for a marathon, not a sprint.”

We are still only in the very early chapters of this story.

As I have been relentlessly warning my readers, things are going to eventually get really, really bad.

The Federal Reserve and other central banks flooded the global financial system with money, and so now we are facing a horrific worldwide inflation crisis.

They are attempting to fix things by rapidly raising rates, but that is causing absolutely enormous problems for global financial markets.

This isn’t going to end well, and we have finally gotten to a point where this should be exceedingly obvious to everyone.

Very true. Absolutely true.

The 2019 Hongkong riot was actually a coup led by USA to put a US puppet as the HK Chief so as to destabilize China. USA has been destabilized the entire world since WW2.

USA almost succeeded by infiltration into every walk of HK life such as govt, education, court etc. They failed only after China handed down a national security law that targets secession, subversion, collusion & terrorism. Note all countries have similar security laws.

Why HK had no security law before? Simple. It was a plot by UK before they returned HK to China. In 1984, UK realized they must return HK in 1997. UK had a China-UK treaty to rule HK but China did not honor it. Because UK militarily forced China buy opium from UK’s colony India. Make Chinese addicted, so that UK could make money/silver. It was similar to US D Trump’s trade war in 2017. The only difference is that Trump used high tariff and UK used weapons.

UK then plotted HK rebellion from China, in name of democracy. Note that colonizer UK never gave HK democracy. Anyway, UK recruited HK traitors. Remove all the laws that dealt with riots & treason.

No place in the world has no security law to protect themselves. Five years after return, HK also introduced laws to deal with riots etc. Rebels rallied a mass protest & the security law was voted down by the democrat-traitors. Now looking back, it was part of the plot to leave HK unable to deal with a coup.

Later US took over from UK. In 2014, there was an Occupy Central in HK & an Occupy London in UK. UK suppressed the movement in 1 day. After investigation, UK reported that HK rebels were recruited by USA.

Occupied Central was a drill for a coup. The 2019 riot was for real.

There were always “white” (a politically incorrect word) people near the riot spot or on the vandalism spot. One got caught & has an ID card from CIA. Junior leaders were caught meeting US embassy officials in hotels & restaurants. A senior leader was caught meeting US ambassador/or top embassy personnel. The #1 HK traitor has a former CIA agent as his assistant/boss. And there was an unusually large number of US officials working in HK (the # has shrunk now).

There were many NGO, unions, organizations for human rights & animal rights etc. Most if not all were directly or indirectly funded by NED. Most if not all movement leaders have a large sum of money that they could not explain.

In Hongkong, NED has spent thousands of millions of dollars. Even “little” people got paid. Show up in a peaceful mass would get paid HK$200-300. Higher on riot spot. Injure or even kill a police also got paid. 1 person was so rich to buy 2 apartments, cash.

Old or pregnant women were used. It is always the police who pushed them to the ground. One pregnant woman was caught on CCTV that she lay on the ground before police approached her.

Fake news like the above drowned HK. They came out daily if not hourly to create & simmer hatred of HK govt, police & China. … fake news spread as fast as weed thru internet. … it is the 1st time I see ugly human nature can go that far. It is beyond a normal human can go that ugly.

Rebel-journalists on the riot spot took pictures on police so as to simmer police brutality. In fact, it was the other way round. Rioters were paid to injure police. $$$. Their brutality is animal type of brutality If I disclose their weapons & brutality here, Quora probably will ban me.

There was always a big group of people on the riot spot. Other than (rebel) journalists, there were (rebel) first-aid people too. Looking back, they were there to block the police from normal work to deal with the riot. One (rebel) journalist was only 12-13 years old.

There is a picture of a one-eye woman published by NYT. She claimed she was blinded by police. But, based on privacy reason, she went all the way to the highest court to block police from obtaining her medical report from the hospital that treated her injury. She has gone to Taiwan today but was caught having 2 bright eyes at HK airport. Why block police investigation? Don’t you want justice & compensation from police?

Because of this woman’s eye, HK has become lawless but rioters’ law.

Ordinary HKers dared not talk in public. Rioters used verbal & even physical violence against those who expressed different opinion. Once they (in a group) rounded up a scholar. I watched TV: 1 rioter lay on the ground but he said the scholar pushed him which is not true.

Rioters set fire on a by-standers who said he is Chinese. Or use bricks to hit the head of a worker (causing death) who was hired by govt to clean up the bricks scattered by rioters on the road. Or house arrest & beat up a journalist from China for days. Or bully & even beat up passers-by who did not speak Cantonese the HK dialect. One who could not speak Cantonese is a Japanese. Or block international air travellers.

Rebel-air hosts once released oxygen from aeroplanes, endangering the life of passengers.

Rebel-judge let go of arrested rioters. Righteous judges would receive death threats. It is lawless, said one retired righteous judge.

Rebel-doctor or nurse “torture” patients if the patient was a police or not on their side. One doctor prescribed medicine to harm the health of a policeman-patient. … medical staff is supposed to care for the patient. Professionalism is supposed to be above politics, Besides democracy calls for respect & coexist with the different.

Because it was a plot that started in 1980’s, one generation of HKers were brought up on hatred of authorities, being rude & violence (verbal & physical).

I saw on TV that 1 kindergarten teacher on the protest spot telling the kids police are bad people. A history expert who has power in HK education circle changed Chinese history by glorifying UK’s opium/trade war with China. Instead of saying UK militarily forced China buy opium from UK, he said UK was trying to help addicted Chinese to stop smoking opium. Some published picture books to depict the govt or police or China as wolves who bully them the lambs.

This generation made their own law. One university vice principal was cornered because rioter-students demanded university to drop Chinese language as a requirement to graduate.

There were school bullies who would bully those who did not agree to violence/riot.

Students who did not do well in school were recruited as leaders to mark a territory under their control. Similar to the underground organizations we see in a movie.

In court, rebel-lawyers always said “this rioter is mentally disadvantaged.” & hence should not go to jail but a place for re-education.

Rebels propagated that China is poor, uncivilized, dirty etc. But they have never visited China.

This propaganda happened in HK. And is also in Taiwan.

If you cannot imagine how people can be brain-washed that much, then …

Let us discuss human nature.

I study ancient civilizations. I find all cultures have creators. All creators made the same sun, rain, humans, animals, plants etc. It means it is the same creator. All humans are creator’s children.

Creator gives us different wisdom. It means creator designed a diverse world. You use flour to bake cakes. I make noodles. Diff wisdom. Diversity. So there should be many cultures & religions in the world.

Some spread their religion to other cultures & wipe out local religions. That is, they have damaged creator’s design of diversity. Yet they claim they love creator.

They suppress the god-given wisdom of creator’s other children.

They violate human right – indigenous people’s cultural right, according to article 8.2a in UN Declaration on the Rights of Indigenous Peoples. Yet they claim they love fellow humans ie creator’s children.

UN says all gods & religions are equal. When China asks them not to propagate that theirs is the only true one, they cry religious suppression.

China also asks them not to erect a big cross outside a building. Keep (small) crosses inside their private property. A cross was an old tool for criminals on death sentence. It damages local belief in Feng Shui. Again they cry religious suppression.

Lesson learnt about human nature:

Leaders work for POWER & MONEY. Love of creator is just a slogan to gather followers to build power.

Followers take leader’s word as truth. Lack independent & critical thinking. Dismiss different views right away. Stay inside box.

Another human nature: those who cry suppression are the ones who disrespect/suppress others, or break law. Bullies.

Human nature applies to politics too:

EU Pushes For More Sanctions Which Will Come Back To Bite It

On February 22, two days before Russian troops entered the Ukraine, the U.S. and the EU put reams of sanctions onto Russia. They also confiscated some $300 billion of Russia’s reserves that were invested in the ‘west’. The sanctions had been negotiated between the EU and the U.S. and prepared for over several months.

The idea was to bankrupt Russia within a few weeks. The deluded people behind those sanctions had no idea how big and sanctions proved Russia’s economy really is. The sanctions failed to influence Russia in any way but their consequences led to a shortfall of energy in Europe and increased the already high inflation rates. Inflation in Russia is sinking and its general economic numbers are good. The now higher energy prices generate sufficient additional income to completely finance its war efforts.

A sane actor would conclude that the sanctions were a mistake and that lifting them would help Europe more than it would help Russia. But no, the U.S. and European pseudo elites are no longer able to act in a sane manner. They are instead doubling down with the most crazy sanction scheme one has ever heard of:

[T]he European Union pushed ahead on Wednesday with an ambitious but untested plan to limit Russia’s oil revenue.

If the global price of oil remains high, it would complicate the European Union’s effort to impose a price cap on Russian oil that was expected to gain final approval on Thursday, after E.U. negotiators reached an agreement on the measure as part of a fresh package of sanctions against Moscow.Under the plan, a committee including representatives of the European Union, the Group of 7 nations and others that agree to the price cap would meet regularly to decide on the price at which Russian oil should be sold, and that it would change based on the market price.

Several diplomats involved in the E.U. talks said that Greece, Malta and Cyprus — maritime nations that would be most affected by the price cap — received assurances that their business interests would be preserved, the diplomats said.

The countries had been holding up what would be the eighth sanctions package the European Union has adopted since the Russian invasion of Ukraine because of worries that a price cap on Russian oil exported outside the bloc would affect their shipping, insurance and other industries, the diplomats said.

With oil prices at a high, Russia is raking in billions of dollars in revenue, even as it sells smaller quantities. The cap — part of a broad plan pushed by the Biden administration that the G7 agreed to last month — is intended to set the price of Russian oil lower than where it is today, but still above cost. The U.S. Treasury calculates that the cap would deprive the Kremlin of tens of billions of dollars annually.

How do you make a big producer of a rare commodity sell those goods below the general market price? Unless you have a very strong buyers cartel that can also that product from elsewhere you can not do this successfully. It is an economic impossibility.

To make the measure effective, and cut Russian revenue, the United States, Europe and their allies would need to convince India and China, which buy substantial quantities of Russian oil, to purchase it only at the agreed upon price. Experts say that even with willing partners, the cap could be hard to implement.

Russia has declared that it will not sell any oil to any party that supports the G7 price fixing regime. That is why neither China nor India nor any other country besides the EU and U.S. will agree to adhere to it.

The whole idea is crazy and way too complicate to achieve anything:

Under the new rules, companies involved in the shipping of Russian oil — including shipowners, insurers and underwriters — would be on the hook for ensuring that the oil they are helping to transport is being sold at or below the price cap. If they are caught helping Russia sell at a higher price, they could face lawsuits in their home countries for violating sanctions.Russian crude will come under an embargo in most of the European Union on Dec. 5, and petroleum products will follow in February. The price cap on shipments to non-E.U. countries has been championed by U.S. Treasury Secretary Janet Yellen as a necessary complement to the European oil embargo.

Under the E.U. deal, Greece, Malta and Cyprus will be permitted to continue shipping Russian oil. Had they not agreed to place their companies at the forefront of applying the price cap, they would have been forbidden from shipping or insuring Russian oil cargo outside the European Union, a huge hit for major industries.

More than half of the tankers now shipping Russia’s oil are Greek-owned. And the financial services that underpin that trade — including insurance, reinsurance and letters of credit — are overwhelmingly based in the European Union and Britain.

This is of course an open invitation to other countries to enter the oil shipping and related financial services businesses at the cost of European companies.

China and India will both it to increase their market shares in those fields. Their ships will transport Russian oil to whoever wants to buy it for the market price minus the always negotiable Russian rebate. Greek ships will sit idle or will be sold off while Indian and Chinese and other Asian tankers will be very, very busy. China’s big insurance companies will happily join that new global services business.

That European bureaucrats agreed to his stupid U.S. idea, which will foremost hurt European businesses, is another sign that Brussels has given up on having any agency.

Today OPEC+ countries, the seller cartel for oil, reacted to the crazy sanctions idea and the upcoming global depression by agreeing to decrease their daily output by 2 million barrels. This was not done out of Saudi solidarity with Russia. Saudi Arabia needs oil at above $80/bl to finance its budget.

Brent Crude, which had fallen to $83/bl on September 26, has since risen to $93/bl.

The global demand for oil is around 100 million barrels per day. Should the demand stay up the 2% reduction in OPEC+ production will have significant price effects and $100 per barrel will be in easy reach.

But OPEC+ is committed to stable prices, not to significant price increases. During the OPEC+ session today the Saudi Prince Abdulazis showed this table:

 

x
x

biggerSince the beginning of the year the prices for all forms of carbon based energy except crude oil have increased considerably. Abdulazis argued that the chart shows that OPEC+ is managing oil prices responsibly. The EU is certainly not doing similar.

The Biden administration has meanwhile nearly halved the content of the U.S Strategic Petroleum Reserve. This to keep U.S. pump prices down and the Democrats in power.

x
x


bigger
Neither is a responsible step to take.

Posted by b on October 5, 2022 at 16:48 UTC | Permalink

What the hell, the light switches are backward!

x

x

This switch is *ON*, when it’s pressed on the bottom.

The second thing I noticed was, “What the hell, I’m sure I plugged in my phone!” (but I hadn’t switched on the “electrical receptacle” — Australian power points are most often turned on and off at the wall plate or on the “power board” (power bar).)

The first thing my kids were confused by was which button to depress to flush the toilet. Every Aussie toilet I saw had a half-flush and full-flush option. And it’s not a potty, and it’s not in the “bathroom”. It’s a toilet.

There’s a drain in the floor of the bathroom where the tub or shower is. This is quite standard.

There are no “no shirt, no shoes, no service” signs. Quite a few people go barefoot.

!@#$!@ bindis.
Not the South Asian forehead mark. Not Steve Irwin’s daughter.
No, I mean these:

x
x

They’re like little lawn caltrops. They’re painful. I don’t know why there are so many barefoot Aussies given these little nasties. (I was told they’re properly Bindii

(pronounced bindi-eye) but I rarely heard people call them that. They’re the seedpod of a vicious weed. I might have some strong feelings about them.)

You let entire flocks of these expensive birds fly around freely as if they were…

x
x

…oh wait, right, this is where cockatoos are from.

Burger King has renamed itself:

x
x

and despite their 1950’s diner decor, they don’t have any ketchup.

x
x

ketchup for their french fries. Which would be “tomato sauce for their chips”, actually. Toh-mah-toe, not toe-may-toe. But seriously. THEY HAD NO KETCHUP FOR THEIR FRIES. (Not even Rosella, or any of the other local brands, which all taste far too clove-y and vinegary for my own taste, although my kids adapted.)

Also, shopping centers have amazingly short “trading hours” (that’s business hours, for us Yanks). Except for late closing day (and varies by state). Which is usually midweek. But otherwise the whole place may close down at 6:30 p.m. or earlier. Aussies take their work/life balance seriously.

Oh, and all the school age children are wearing uniforms. It’s like Hogwarts! But not always nearly so attractive:

x
x

(No disrespect to the model. I don’t think there’s any issue of her being homely. Just her pinnie.) I haven’t been able to find any images that do justice to the disturbing “nothing fits any of these kids!” impression that an entire school’s worth of kids in these uniforms gives, with the two or three styles of hats, as well as the oddly fitting shirts and shorts on the boys. Every school has a different color scheme, and you can often tell the posh schools from the less affluent ones.

This is the default “sushi” around Oz.

x

x

It’ll cost you somewhere between $2.40 AUD in Melbourne to $3.50 AUD in Sydney (per piece). Most common are avo-salmon or tuna-sweetcorn. The little soy sauce fish in the background of this image are ubiquitous, too.

Every. Single. Restaurant uses this particular style of takeaway container.

x

x

Some are deeper or shallower (I saw three different sizes) but they’re all essentially the same. Except that the corner curvature varies, so if you use them as miserly substitutes for proper kitchen storage, you’ll go a bit mad.

Unless otherwise specified, a lemonade is something like this:

x

x

Yes, it’s fizzy. It’s like a mostly lemony 7-Up or Sprite. (For the non-Americans reading this, in the U.S., a lemonade consists of lemon juice, sugar (or other sweetening) and water. Period.)

…and there’s an amazing barrage of traffic signs in Sydney. I’ll spare you the imagery.

Homemade Biscuits and Gravy

x
x

This recipe will take your taste buds down South, to where good old-fashioned recipes are still king. Our Homemade Biscuits and Gravy recipe is full of so much down-home goodness, you’ll want to eat these homemade biscuits at breakfast AND dinner, which is perfectly all right by us!

What You’ll Need

  • 2 cups pancake and baking mix
  • 2/3 cup buttermilk
  • 1/2 stick (1/4 cup) butter, melted, plus 2 tablespoons butter
  • 1 (16-ounce) package hot pork sausage
  • 3 tablespoons all-purpose flour
  • 1 tablespoon Worcestershire sauce
  • 1 1/2 cups milk
  • 1/4 teaspoon salt
  • 1/4 teaspoon black pepper

What to Do

  1. Preheat oven to 425 degrees F.
  2. In a medium bowl, stir baking mix, buttermilk, and the 1/2 stick melted butter until soft dough forms. Drop 8 equal spoonfuls of dough onto an ungreased baking sheet.
  3. Bake 14 to 16 minutes, or until golden brown.
  4. In a large skillet over medium-high heat, melt remaining butter; cook 1 to 1-1/2 minutes, or until browned. Add sausage and cook 6 to 8 minutes, or until no pink remains, stirring to crumble sausage. Add flour; mix well. Add Worcestershire sauce, milk, salt, and pepper; mix well. Cook 2 to 4 minutes, or until gravy thickens, stirring constantly.
  5. Cut biscuits in half and spoon sausage mixture evenly on bottom halves. Replace tops and serve.

I’m sitting on my bed, doing my math homework and simultaneously writing this whilst blasting no love again by taeyeon, in a quarantine facility in Guangzhou, China. I seem to be in no immediate danger of being arrested. When I opened my door earlier to take my covid test, I had red flavour by red velvet playing in the background – I was not arrested by the nurse and sent to a prison camp, and as far as I’m aware, my organs are all present and functioning perfectly fine inside my body.

Heck, I probably did not need to write all of that because if I was taken to a prison camp, I would not be posting this.

also note to whoever asked this question: in order for the sentence to be grammatically correct, I would suggest changing listen to listens, as with your current grammar I doubt you will make it far in the political field in which you seem to be so heavily invested in.

have a nice day.

x
x

In shock over Velma

It is reported that Velma from the cartoon Scooby Doo is now officially a lesbian. The reporters in Hollywood are all giddy with joy.

“OMG LESBIAN VELMA FINALLY,” reads one tweet, which has over 100,000 likes.

As I get older, the more I realize that it’s not only the American leadership that are “bat-shit crazy”, but most of the population as well.

Sheech!

Velma was sort of a cartoon version of my (boyhood) dream girl. She was soft, nice, smart, talented, and wore a soft turtleneck cuddly sweater. Oh, and she wore nerd glasses before they were cool. And let’s not forget about her many discoveries and de-masking of the “bad guys”!

x
Velma was the stuff of boyhood fantasies.

My fantasies lie dead in the dust! Sigh.

Some people have always believed this but it didn’t become a mainstream idea until Ronald Reagan popularized it.

He said things like “The government is not the solution to our problems, it is the problem” and “The nine most terrifying words in the English language are, ‘I’m from the government and I’m here to help.’”

Prior to Reagan most Republicans were for smaller government but still believed that it could be a force for good.

Republican president Eisenhower increased the minimum wage, expanded Social Security and created the Department of Health, Education and Welfare and started large government projects like the St. Lawrence Seaway and the Interstate Highway System.

Republican President Nixon wanted to expand Welfare to a “living wage” system, proposed universal health care, signed Title IX guarantying equal educational opportunities for women, signed the Clean Air and Clean Water Acts, and formed the Occupational Safety and Health Administration and the Environmental Protection Agency. Reagan pushed previously fringe anti-government views into the mainstream.

The Three Stooges || You Nazty Spy 1940

This old skit reminds me of how the USA operates today. LOL

https://youtu.be/LvVPopDC9vA

Chinese Girl

The Monkees on The Johnny Cash Show 1969

China is a democracy. However, it is not a liberal Western democracy.

The West are not entitled to define what is and isn’t democracy.

In both democratic systems, citizens vote for their representatives. The only difference is that China is based on a one-party state while the West have multiple parties that compete with one another.

Bekah

My daughter Bekah passed away 1 year ago from an unexpected asthma attack causing her to go into cardiac arrest. She was alone in her apartment when it happened and dialed 911 herself.

They were quickly able to reach her and bring back a pulse but she coded a second time in the ambulance. After 9 days in the ICU she was totally unresponsive to any stimuli and they called a time of death although she was still “alive”. She was an organ donor and helped to save 5 people.

A friend of mine had a vivid dream of my daughter in where my daughter walked in the room looking very much alive.

He shouted to me “Bekah is alive” She looked at him and said “no, I’m here to give my heart.”

She then walked up to a child and handed her heart to her. My daughters heart did go to a child, a 12 yr old. I’ve struggled with the what if’s and feeling guilty that she was alone when she passed.

I worry that she was scared or that she felt pain. Hearing some of the stories on this group help to give me hope that she’s at peace and maybe she did choose to give of herself to help others.

I ask God daily to please give me a million signs of her presence until it’s my time to see her beautiful face once again. Bekah’s mom ~ forever 22

x
x

Kitty chooses her “owner”

This little girl showed up at my back door on Christmas Eve three years ago. Per my estimate she was about 4 to 6 months old and about a quarter her current size.

x
x

Since her belly was shaved (I assumed she was spayed) and she is a bit of a rare breed for where I live (she looks and has all the characteristics of a pure Russian Blue), I assumed she belonged to someone in my neighborhood.

She wanted attention (a sure sign she was raised by someone since feral cats do not crave petting and human attention).

I asked all my neighbors and they had never seen her before nor did she belong to anyone in the neighborhood, as I checked; she also had no chip for identification purposes. I waited over a week assuming she would find her rightful owner, as she might have just wandered off, but she kept hanging around and coming back, so I took her into my home and adopted her.

I have had cats before so I have experiences and other past cats as a comparison.

This particular cat is the most affectionate, happy (per her constant purring), and cuddly cat I have ever known, but only with me as she just hides when other people come around.

Sometimes she jumps up in the middle of a dead sleep and immediately looks over at me as if just wanting to know I am still there.

I can say with certainty this cat is afraid of being abandoned again and she is grateful to have been rescued/adopted.

Chinese Girl

Here’s Why The U.S. Blew Up Nord Stream Pipeline!

Growing up in the UK until migrating to Australia in the mid 1970s meat pies were popular but fairly limited and were either rather runny minced beef, or steak and kidney. I lived in Cardiff for a while and there was a round pie or a larger rectangular one. When I returned to Britain on holiday in 2000 I couldn’t find a proper meat pie anywhere. Most of the bakers seemed to do a rectangular ‘steak bake’ that was tasty and reminiscent of a pie but not really the proper article. They were also disappointingly small and you needed two.

x
x

I spent a month in England, Wales, Scotland and failed to track down a proper pie. With two hungry teenage boys in tow we would have loved an Aussie style pie.

In Australia nearly every bakery has a big pie and sausage roll section with a hot cabinet and there are a lot of dedicated pie shops selling a huge range of pies. I’d guess pie consumption is far higher in Australia and every town has a signature pie outlet that is very popular and against which others are measured.

x
x

Often there are up to 30 different varieties such as chunky steak, crocodile, chicken mornay, curry, vegetarian options etc. And most sit-down pie cafes accompany the pie with mashed potato, mushy peas and a generous dollop of gravy.

x
x

That’s one I regularly have from the popular pie cart whenever I visit Lismore New South Wales. There’s a pie under there somewhere!

A pommie steak bake would scream in terror and run away down the street.

Globalists are pushing a nuclear attack, and Putin knows it

Historically, economies have grown under authoritarian control, for example,

  • the Roman Empire
  • the Ottoman Empire
  • the Portuguese Empire
  • the Spanish Empire
  • the Dutch Empire
  • the French Empire
  • the German Empire
  • the British Empire
  • the Soviet Union
  • Singapore
  • South Korea
  • Taiwan

Large democratic economies have only existed in the last half century or so. Note that USA is not a democracy (it’s a republic).

Authoritarian states tend to be more effective at economic growth because they face no opposition. They can create policies without compromise. They can execute policies without hindrance, especially in the long term. They can galvanize their populations to produce economic output. Tight control is the order of the day.

Sloppy governance leads to wastage and inefficiency.

Get Hard (2015) – Gangbanger Accountant Scene

True story.

My 15-year-old son’s best friend’s parents work at the Macy’s in our local mall.

I know that Macy’s is struggling, so I decided I would do some shopping there when I could. I really wanted my son’s friend’s parents to keep their jobs, because they don’t live close, and I’m worried that if they lose their jobs they will pull their son out of the school he’s in and my son will lose his friend. (They used to live in the neighborhood but moved to get a larger living space).

So I went over to the Macy’s to buy a throw rug. We have carpet in our house and the cats chew it up and I just wanted to buy a small throw rug to cover up part of the carpet.

When I got to the Macy’s, the escalator was broken. No big deal. I walked up three flights. I then found the rugs but they were incredibly expensive. The cheapest one was many hundreds of dollars.

The next problem was that I could not find anyone to pay for the rug! It was late on a Sunday and no one seemed to be around anywhere. I found myself wandering from department to department, carrying the heavy rug on my shoulder. I finally found an employee, but they said they could not help me. I needed to go back to the housewares department and find someone there.

I finally found myself getting so frustrated that I almost started shouting, “Is there noone here who can help me?!? I just need some help!”

But then I calmed down and realized that shouting like a lunatic was probably a bad idea. I put back the rug, left the Macy’s, walked back down the stairs, came home, fired up the Internet, and ordered a nice throw rug for $50 in a few minutes. It arrived at my front door a day later.

I think what’s happening is that, as brick and mortar stores bring in fewer sales, they are having to cut staff and cut spending. As they do so, the shopping experience becomes less and less pleasant. Which then drives more people online. Which then means the store must cut more people and more budget. It’s a vicious cycle. I’m going to miss having brick and mortar stores nearby when I need something urgently. But I don’t see how you stop it.

FULL INTERVIEW: The conversations turn on civil war in the US says Prof Richard Wolff

Take Evergrande!!!!

Why did so many Economists predict that Evergrande collapse would be huge etc etc????

Because they are stupid??? No

Because they are biased??? Maybe…but they are still reputed Academics who wont just tout propaganda

So Why????

BECAUSE THEY LOOK AT EVERYTHING FROM THE US ANGLE (And the European Angle and the Japanese Angle and the Indian Angle) or the US LENS


In the United States – The Shareholder is GOD

So any Collapse of a Company , leads to a blow in the Markets which causes massive massive massive losses and creates all the financial crises since 1929.

In China – The Investor is GOD

China believes that the Speculator is a Gambler. They restrict major funds from investing too much into the Stock market and ensure that the Common Citizens who invest in the stock market know that they can lose their shirt or win a pile of gold.

Instead their Focus is on the Investor - the ones who paid for the Houses, the ones who bought Bonds etc. They are to the Chinese - the backbone of Economics.

IN the United States – Rule of Law is Cumbersome but Absolute

This means - THE LAW Comes First. So whenever any Company Collapses - you have Chapter 11s filed , Protection of the Company Directors and Shareholders , Allowing the Company to file counter suits etc.

This means the Assets of the Company get wound up for an average of 46 months and by this time shares plummet to Zero.

Thus a Companys failure means failure for all its investors.

In China – The Public is Absolute or the Common Man

China puts everything including Freedom or Human Rights above the Common Man.

So in China when a Collapses - the System will first Force a company to pay back its investors.

The Law never interferes

The Company has to pay back its investors by selling Assets, swapping Assets etc.

This means Assets of a Company can be disposed off in weeks rather than months or years.

And thus Investors almost always get between 55% - 100% of what they invested

IN the United States – Value is all about Perception

US doesnt like the word ‘Assets’ or ‘Profits’

They like ‘ Potential’ or ‘Expansion’

This means many Companies in US are almost always heavily bloated with very little Real Assets

So in a sense US is mostly like India. They do nothing until a company folds and then its Chapter 11 and in some cases - FBI investigations or SEC investigations

So when a Company crashes - its Perception or Potential crashes and its Value crashes.

In China – Value is all about ASSETS

China doesnt like words like ‘Potential’ or ‘Closing a Deal’ etc.

They like Hard Core Assets - Land, Contracts, Trade Deals, Gold, Jade, Coal , Gas Pipelines are what they love.

So when a Company crashes - It always has Assets to back it up and these Assets manage to salvage a big chunk of Value

So thats what is helping China ignore Evergrande or even a Real Estate Crisis while if this was happening in US or even India – people would be scrambling for cover.

Yet while Economists are good – they simply dont think like a Chinese or know the Chinese System

My Associate Lawyer in Singapore told me how Westerners focussed on Huge Office Space whereas a CHinese office was a small 15X10 enclosure and yet you had 10 times larger deals floating through the same.

Likewise Most Western Personal Debts are based on paperwork etc. Most Chinese Personal Debts are given based on just the mans face and his Chop (Chop is a personalized Stamp like thing with Unique Chinese characters)


So those who make Predictions on China – Just don’t understand how China works

Its why Singapore never makes Predictions on China. They simply report the US Predictions and Laugh because They are Chinese too.

Likewise South Korea understands the Chinese Way as does Taiwan and even HK

That’s why South East Asia really didn’t care too much about Evergrande. They just reported what the West said but ignored it.

That’s why South East Asia scrambled in Panic when Lehman Brothers folded. They also know how US works and knew how big a crisis it was.


Just change your glasses and wear a Chinese one – and you will see just how different Chinese Business is compared to the Western models

They’re popular in New England, though they’re not the same.

Aussie/Kiwi meat pies (in my experience) are kind of their own thing. They’re made primarily with ground meat (usually beef,) onion and bbq/tomato sauce. They’re delicious (and plentiful.) Meanwhile the British eat more kinds of pies than I have managed to try.

Here in New England, we eat Shepherd’s pie and chicken pot pie, which I realise are popular elsewhere.

We also eat the style popular in the Canadian maritimes — this is the type my family have always eaten, and here’s how I make them. Note that these use “ordinary” pie crust, as you’d use for apple, top and bottom. This will make a 9-inch pie:

Ingredients:

  • 1/2 kg pork
  • 1/2 kg beef
  • 1 large yellow/Spanish onion
  • 2 tablespoons summer savory (or 1 ea. sage & thyme)
  • butter for browning meat
  • 1 and 1/2 russet potatoes
  • 1 tablespoon white lard
  • Pie crust

NOTE that I buy the cheapest cuts of meat available. No need for anything fancy; just whatever’s on sale.

Arrange your lower crust by itself in the pie plate and pre-bake at 125C until golden.

Dice your meat into 2.5 cm (one inch) cubes, and brown the meat in a bit of butter in a frying pan until it is seared on the outside.

Put the meat, onion, and savory (or sage & thyme) in a stew pot, and add enough water to just cover the meat. Bring to a boil, then reduce heat to barely simmering, and simmer, covered, for 1.5 to 2 hours, checking every half hour to add water to keep the meat covered as necessary, until a piece of meat will just about fall apart when you squash it with a fork..

Remove from heat, and pour everything into a colander to strain it. Let it cool in the colander while you prepare the potatoes.

Peel and dice your potatoes, and boil them the same as if you were making mashed potatoes. When they’re done, strain out the water, add the lard, and then mash in the usual way. No lumps! Be thorough. Don’t add milk to these… just thoroughly mashed potatoes and lard. The potatoes are the binder that will hold your filling together. Canadian/New England pies don’t slouch and run the way some others do. They’re more like a pork pie.

Return to your cooled meat. Examine all your cooked pieces, and scrape away or remove any fat or gristle that remains (most of it will have boiled away.)

Now put the meat/onions in a mixing bowl, and start adding the potato/lard mixture, mixing/stirring as you go. Don’t worry that the meat falls apart as you mix. It will do that. But do try to keep it as intact as possible, so there are some big chunks in each slice.

Add salt and plenty of ground black pepper. When the filling is mixed, and tasting good, fill your (pre-cooked) lower crust, add your top crust, cut some vents, and bake at 175 deg C until the top crust is done, usually about 40 minutes or so.

x
x

First, China has not been silent. Even before the war, China had been pressing for regional peace talks. But guess what, the West prefers to dig up dirt from the Beijing Olympics… I mean… I didn’t know whether to laugh or cry.

The West should be like this: Who cares, we need the whole world to know the evil of the Olympic Games. Putin and Ukraine can wait.

Second, China knows better that internal affairs are usually better handled internally. The history between Russia and Ukraine goes back to 1991. Better to let Russia and Ukraine resolve these issues internally.

Third, I did not see the West upset when two US presidents destroyed three Middle Eastern countries in the space of 20 years, one of them for a bag of washing powder. Where were the ABC, BBC, CNN and the rest of the media when millions of refugees poured into the EU, hundreds of thousands were made homeless and countless civilians died? No, it’s all cool and normal 😀 They don’t even deserve to be in an entertainment column reporting on Brad Pitt’s facial (no offense, he’s a great actor).

Conclusion:

When things fall apart, blame China.

When things get better, talk down China.

When things are no longer things, guess what, China! 😀

I don’t know. You tell me.

I finished watching The Mandalorian last week.
To my surprise, I quite liked it.
IG-11’s sacrifice scene almost made me shed a tear.

But I still haven’t watched the last season of Game of Thrones.
Or Spiderman: Far from Home.

Most days I work from home from my laptop.
I go out for occasional walks in the park and in the evening I usually go for a run around the neighborhood.

I go to the nearest Tesco once a week to stock up on groceries. I find that on average, I spend about an average of €25 a week for groceries. But last week I broke my budget by €2 when I decided to get a jar of Ovaltine Light. * sad face *

Before I came to Ireland to do my Master’s, I had previously lived in several countries:

Australia, China, Hong Kong, Singapore, South Korea, Japan, the United States, Germany.

I’ve also traveled to many more countries than that.
Iceland was a favorite.
I stayed a couple of days in a small town whose entire population is… get this… 200 people.

Last place I traveled to was London, in January 2020.

Five days. Had some Nando’s, tried the buffet at Pizza Hut (so-so), had too many quick meals at McDonald’s. And even had a chicken sandwich at a place called “Chicking” (I assume it’s Chicken + King)

Also, had a great haircut and dye job from a Singaporean hairstylist – there are wayyyyy more Asian hairstylists in London than Dublin.

I don’t think I live an isolated life, although Dublin is currently under Level 5 restrictions, so in that sense, you could say that I’m somewhat isolated because we’re not supposed to travel too far outside of our residence without a good reason (buying groceries would be a good reason, having a party with your mates who live 15 km away would be otherwise)

So, how did I do?

Do you think I’m isolated from the rest of the world?

Is it fair to say that the USA has gone from the greatest country on Earth to a near Third World country?

Yes, I think it’s fair to say that…

Did you know that America’s life expectancy this year dropped to below that of China’s? I’m not pulling your leg.

Life expectancy is one of the crucial factors that determine how well-developed a country is.

Another factor is the level of poverty in the country. In America, there are vast swaths of homeless people in all the major cities. For the supposedly richest nation on earth, this is unconscionable.

Millions of Americans suffer from food insecurity. Food banks are stretched to the limit.

Millions of Americans cannot afford health care, which is considered a fundamental human right around the world.

America has the some of the worst infrastructure in the world, literally crumbling and decaying.

Gun violence is so rampant in America that mass shootings occur on a daily basis! I’m not pulling your leg.

In 2020, 45,000 Americans were killed by guns, according to the CDC. This includes suicides.

Of course, wealthier Americans deny that USA is slipping in quality of life. They’re so insulated from the shit that’s going on around them, they have blinders on.

Chinese Girl

How long will it take for China to catch up to the United States economically and technologically?

Economically already surpassed on all parameters of consequence except one and that will also be reached in 2028–30 period. China is already the largest economy in PPP terms, the largest trading nation in the world, the largest industrial base, largest manufacturing capacity, largest tading partner to the most number of countries and it’s BRI project is the largest development and infrastructure project in history.

Technologically hard to tell whether China has already surpassed or has few years more to catch up. My guess is overall China is 95% of the overall US technology framework and architecture. This means in many technology fields China has already left USA behind in the dust and in some fields its at par while in few others its behind. So overall it’s 95% if we have to quantify it.

Sopranos and Lupertazzi’s Sitdown to discuss Ralph – The Sopranos HD

In my opinion, Adelaide, my home town, makes the absolute best pies. One of the downsides of living in the city of Melbourne is that the only meat pies or bakery items I can find are either made by large franchises, and therefore depersonalised, or sold in a packet.

In Adelaide, that is near sacreligious. There is at least one good bakery in the heart of each suburb, which makes everything fresh in store, and typically has upwards of 20 savoury bakery varieties, and even more sweet varieties.

One of my personal favourite locations is Enjoy Bakery on the Parade at Norwood, partially because it was within walking distance(1 hour or so) of my house, but also because they have a ridiculous range, not to mention delicious flavour.

Here is a visual aid:

x
x

x
x

Of course, any true Australian would accompany their bakery meal with the following beverage:

x
x

I happen to be holding one of these in my hand at this very moment!

You know someone isn’t Australian when something other than a meat pie comes into their mind when you say ‘pie’, it almost disgusts me that Americans would call a blueberry pie, ‘pie’ as if that were somehow acceptable.

Chinese Girl

My cousin’s daughter brought home a note from her teacher saying that the lunch she had packaged for her had been thrown away because it was unhealthy and that she owed the school money for the school lunch her daughter had been given. My cousin called her daughter’s teacher to find out what the issue was and apparently the school had banned lunchables so her daughter’s lunch of sliced turkey breast, cheese grapes and yogurt was deemed a lunchable and therefore unhealthy because it included whole grain flatbread. which the teacher insisted were crackers so it was a homemade lunchable and violated the rules . The teacher didn’t care that it was whole grain it was a cracker so it was unhealthy.

My cousin’s set up a meeting with the teacher and the principal and explained exactly what her daughter had brought for lunch including the nutritional information to prove that her daughter’s lunch was indeed healthy then asked provided the menu for the day her daughter’s lunch was throw away. Pizza, corn, a roll and fruit cocktail. The principal apologized and said that it wouldn’t happen again. A few days later letters were sent home explaining that the teacher would be gone for the rest of the year and the students would be getting a new teacher.

Come to find out that the school hadn’t banned lunchables or had any requirements on lunches brought from home but the teacher in question had tried imposing rules on what SHE felt was acceptable lunches and gotten in trouble for throwing away a student’s lunch the year before so she ended up getting fired.

x
x

Nomar

I promised my cat Nomar that I would one day share her story, so here it is –

I was looking for a new cat since one of my others had recently passed on – it had left a void in the house, and he was sorely missed.

My nearby shelter (Paws) had quite a few up for adoption, and a small tuxedo cat caught my eye. When I first asked to see her, I was warned by the staff that she was a bit hostile toward people, but something told me to take a look anyway.

Well, they were more than correct as I was promptly scratched and bitten by the little thing. I was then told that she had arrived at the shelter a few months beforehand and was quite pregnant.

She gave birth to seven kittens soon after, all seven were quickly adopted out when they were ready.

It came to me quickly that Mom would definitely be difficult to find a forever home for. Well, (you guessed it) I could not allow her to sit in a cage forever and then possibly lose her life. My heart would not allow this – I adopted her.

x
x

Once home, to my surprise, my other cat took a liking to her, and they got along very well.

But for myself or any other human – well, she wanted to rip us to shreds immediately.

To shorten things, it took over a year of patience before she began to trust me. Here’s the fun part – one day I awakened to a brand – new cat in my home. It was nothing less than astounding, Nomar had gone through some sort of transformation during the night.

My vicious little girl kitty had suddenly become more than friendly, more like a loving, secure, happy cat.

It came as a total shock to me – she was a changeling. Nomar must have come to a conclusion – accepting me and trusting me completely as her own, to have forever.

Later I found that she had decided to love everyone else as well, friends, neighbors, even total strangers.

If there is such a thing as a miracle, this was it.

Through the years the two of us were tight as a knot, always together. She was very intelligent, inventing her own games to play, learning constantly and just plain loving her life, and I sure never regretted bringing Nomar home, a very, very special cat indeed.

I often think of what might have been. This wonderful, happy, trusting kitty may never have existed.

Of course, someone else may have felt the same as I did and adopted her, but to me – I was the lucky one.

Her real personality came alive when she realized no one would hurt her, ever. I can’t imagine what a waste it could have been.

We loved each other tremendously until that awful day came when she left our world. But I will forever remember Nomar, the sweetest little creature in the universe.

I believe that I gave her the best 15 years that a cat could have. Rest in peace Nomar. Hope I did you justice with this.

I will love you until I die.

Ralphie Figured Out Who Told Johnny Sack Of The Joke – The Sopranos HD

Because you don’t know the first thing about China. You need to visit China before talking shit about it.

Your understanding of China is based on Western media propaganda garbage.

Millions of foreign tourists visit China every year. They see China as a magnificent and beautiful country.

There is no substitute for your own eyes and experience. Stop reading Western media rubbish and go to China!

Yes it is a land of free money. Since Bush they have given out over 10 trillion dollars to Americans and American companies to buy its own shares. That is 10′000′000′000′000 whic is no different from the communist regime in the 1950s giving out food stamps.

But the sickening thing is the Americans laugh and slur Communism and Socialism. They are doing what Communist and socialist no longer need to do 70 years ago!

The US is broke and bankrupt for at least 30 years now, and totally dependent on printing money like there is no tomorrow doing things no one needs nor wanted and certainly will not pay for. And spending money they do not have.

This will end now. The USD will be used only amongst less than 10 countries within a decade. And this madness will stop and so will America’s hegemony. Unless it wants a 5000% inflation!

Johnny informs Tony about Ralphie’s joke – The Sopranos HD

The Australian Traditional Meat pie!

“This is The Australian Traditional Meat pie! usually eaten for lunch for just watching the aussie rules footy. You can make 1 big meat pie or more little ones from this recipe!”

x
x

Ingredients

Directions

  • Pre-heat oven at 220 degrees Celsius.
  • Brown meat and onion.
  • Add 3/4 cup of the water, bouillon cubes, ketchup and Worcestershire sauce, pepper, oregano, and nutmeg.
  • Boil and cover for 15 minutes.
  • Blend flour with the remaining 1/4 cup water until it becomes a smooth paste; add to the meat mix.
  • Let cool.
  • Grease a pie dish and line with puff pastry.
  • Add the cooled filling mixture; brush edges of pastry with milk or beaten egg; put the pastry top on; press edges down with a fork.
  • Trim edges and glaze top with milk or beaten egg.
  • Bake in a very hot oven, 220 degrees Celsius for 15 minutes.
  • Reduce heat to 180 degrees Celsius and bake for 25 more minutes, or until golden brown.
  • Serve with veggies, fries, or salad.

They’re basically making that choice now. Most of the world’s nations refuse to side with the white Anglophone countries (USA, UK, Australia, Canada) and most of the countries within the EU…

  • They refuse to follow Western sanctions against Russia.
  • They refuse to follow Western sanctions against China. They’re buying 5G equipment from Huawei, for example.
  • OPEC countries refuse to increase oil production at the West’s behest.
  • They continue to trade with China and do business with China.
  • They accept China’s offer to build their infrastructure through the Belt and Road Initiative (BRI).
  • They join alliances such as BRICS, RCEP, and SCO.
  • They are moving to de-dollarize, to move away from using the US Dollar.

The world is bifurcating into two distinct spheres…economically, financially, technologically, diplomatically.

The Sopranos – Tony And Silvio Talk About Ralph

Evil people make plans while the rest of us nervously prepare for the onslaught

I am in the middle of my Chinese national Holiday. You-Tube has penalized me to having a video “spreading medical misinformation”, and I am being tormented by a couple of ‘Merica! folk who want to “kick some slant eyed ass”.

Jeeze!

I just wanna smunch!

Wheezin’ The Juice!

A police officer was standing behind her and happened to hear the amount and saw she was using a cane, struggling to walk back to her car. He went outside and told her to sit in the car as he would pump the gas for her. After a few minutes of getting to know one another, he realized she was really struggling and didn’t have any gas or money left. The $3 will only get her so far. He told her to hang tight- came inside and put another $20 out of his pocket. He went back and finished pumping and she told him her husband had recently passed away and she’s stuck paying all their bills and is behind every month. This $20 really helped her! She would of most likely ended up running out of gas. Nowadays there’s so much negativity towards police officers. No one respects them. We hear all the complaints and “bad” stories. I’m not saying every officer is perfect, I’m sure some are at fault at times. We all make mistakes- but we should all respect, appreciate, and thank them for what they do. Leaving your family every day to try and make sure everyone else’s is safe can be tough. Think about it- who do you call when you’re in danger? Who’s running in harm’s way as you’re running away?

To all the men and women in blue, I THANK YOU for your service!”

x
x

China has not opened up? I’m pretty sure anyone holding that view has not visited China recently.

Go to Beijing or Shanghai or any other big Chinese city. You will find malls that look not much different from Hong Kong or Singapore. They may even be built and operated by conglomerates from these port cities. American fast food, French bags, Swiss watches. Whatever you want you can have. Markets as open as any major international city today.

As for liberalization, more than 150 million Chinese travel abroad each year. That is an astounding number, greater than the population of Japan. Just 40 years ago, the numbers were negligible. But the more incredible number is this: more than 150 million Chinese RETURN HOME. There are no reports of millions of Chinese refugees seeking asylum overseas.

On to human rights. China is behind Singapore in terms of rule of law, and trust in the government internationally. But Singapore still get routinely trashed for the death penalty, caning, inequality and other human rights abuses. We are a common law democracy but there are always murmurs if not shouts of dynastic politics, non-democracy, high political salaries and other evils.

We can never please the west because we are not the west.

China is changing. Warp speed fast. But please temper any judgment with the fact China started from a very low base. China was at war for the better part of 100 years from 1850 to 1949, half of it without a functional central government. Can you imagine 3 generations of anarchy and destruction? What do they call it, dystopia?

The current generation of chinese youth enjoy far more freedoms and privileges than their parents did. The next will, too.

Paulie Gualtieri dethrones Tony Soprano

https://youtu.be/lN5XY-a7BCY

Last March, my family was visiting Southern California. They wanted to check out Venice Beach. My daughter loves to work out as much as I do so I suggested we leave the others at the beach and walk over to the original Gold’s Gym, the Mecca of bodybuilding. For the next hour and a half we lifted weights, toured every inch of the place, stared at all the pictures of the famous bodybuilders on the walls, and generally had a great time. We stopped at the gift shop and bought a couple of things to commemorate the day. I finished paying and looked up and pointed near the entrance.

“It’s Arnold,” I said. Sure enough, it was the Terminator himself. My daughter gasped, “Let’s get a picture!”

By the time we got to him he was talking to two guys who were in the gym but came out to meet him. Standing next to them we overheard their entire conversation. He was encouraging them and complementing them on their strength and size. When they left, my daughter asked for a pic with us.

x
x

“Shu-uh”, he replied. We thanked him for his time and he wished us a good day before riding off on his electric bike. He honestly could not have been more gracious or down-to-earth.

Photos of American factories

According to Donald Trump and Joe Biden, American industries will eventually return, and when that does, the American industrial might will be unstoppable. Let’s take a look at some of the factories that will roar back into life.

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

If there was ever a movie that relates to the crazy world that we live in today, it is the Peter Sellers movie “Dr. Strangelove”.

Dr. Strangelove LDRip test

And the USA is busy trying to “suppress” and “hurt” Russia…

Russian retail chain ‘DNS’ confirms hack after data leaked online

.

Russian retail chain ‘DNS’ (Digital Network System) disclosed yesterday that they suffered a data breach that exposed the personal information of customers and employees.

DNS is Russia’s second-largest computer and home appliance store chain, with 2,000 branches and 35,000 employees.

According to the scant details provided in the announcement, a group of hackers residing outside the Russian Federation exploited a security gap in the company’s IT systems and accessed customer and employee details.

“We have already found gaps in the protection of our information infrastructure and are working to strengthen information security in the company,” says the DNS announcement.

While the firm has not provided details on what information was compromised, it clarified that the hackers didn’t steal user passwords and payment card data, as that data isn’t stored on their systems.

DNS data leaked on hacker forum

DNS’ disclosure of a security breach comes hours after a threat actor began leaking the company’s data on a hacking forum, allegedly stolen by a hacking group known as ‘NLB Team.’

The data was allegedly stolen on September 19 and contains full names, usernames, email addresses, and phone numbers of DNS customers and employees for 16 million people.

x
x

Full Article HERE. CIA and NSA been really busy harassing Russia.

First of all, you’re talking about liberal Western democracy. This model is based on multiparty elections.

China has a different model of democracy, one that is based on a one-party system.

So it’s incorrect to say that China doesn’t have a democracy. It’s just not your model of democracy.

Second, China’s democratic model has proven to be far more effective for the Chinese. It has enabled China to rise from a totally impoverished nation in 1979 to the world’s largest economy by purchasing power parity in just 35 years, an unimaginably short period of time! And it achieved all this without fighting a single war!

No other country in history has risen so large, so quickly, so peacefully. It’s truly an economic miracle.

Third, China did adopt Western democracy back in 1912 when the Republic of China was created. It turned into a colossal disaster. The country was ravaged by warlordism; it was in danger of flying apart at the seams.

Life was bad enough that the Chinese fought a civil war. The ROC was defeated and the People’s Republic of China (PRC) rose in its place.

Fourth, the Chinese have seen liberal democracy failing all around the world. Russia adopted democracy after the fall of the Soviet Union and it also turned into a colossal disaster. India’s democracy held back its economic development — by rights, India’s economy should be comparable to China’s today.

Taiwan adopted democracy around 1990 and its economy has suffered chronic wage stagnation ever since. Taiwan’s parliament is infamous for its brawls.

UK’s democracy allowed the country to be royally fucked by Brexit.

USA’s democracy is in total chaos as the country is plagued by endless social and political problems.

Germany’s democracy allowed the country to be fucked by fuel shortages.

Just about all Western democracies have suffered terrible losses from the pandemic.

Why on earth would China consider adopting liberal democracy again??? What would it have to gain?

Killing Heidi – Mascara

Not popular in the USA, but was in Campsie when I lived there. Take a time machine back to pleasanter times.

America Is Successfully Pursuing ESG = Extreme Shortages Guaranteed

Everything that needs electricity is made with the oil derivatives manufactured from crude oil. In an all-electric world, there will be nothing to power without oil.

Published Sept 22, 2022 at Heartland  From HERE

Ronald Stein  is an engineer, senior policy advisor on energy literacy for Heartland, and co-author of the Pulitzer Prize nominated book “Clean Energy Exploitations.”

Energy growth, electricity AND the products made from oil derivatives manufactured from crude oil and the fuels to power ships, planes, militaries, and space programs, are directly linked to prosperity and well-being across the globe.

Today, most of the energy the world consumes is from hydrocarbons, with crude oil being the dominant source of transportation fuels. Today, crude oil is the ONLY source for the oil derivatives manufactured from crude oil that makes more than 6,000 products for society.

President Biden’s U.S. Energy Information Administration (EIA) projections are that world energy consumption of crude oil, coal, natural gas, electricity from renewables, and nuclear will grow by 56 percent between 2010 and 2040. Without any replacements or clones to what fossil fuels can provide the EIA forecasts that fossil fuels will continue to supply nearly 80 percent of world energy use through 2040

President Biden and Sacramento leaders, from Governor’s Brown, Schwarzenegger, and now Newsom, have supported reductions of in-state oil production. And all remain supportive of Biden’s pledge that “we are going to get rid of fossil fuels”.

Another way to interpret Biden and Newsom’s pledge for an all-electric world:

  • Biden and Newsom are oblivious to the reality that everything that needs electricity is made from the oil derivatives manufactured from crude oil. In an all-electric world with JUST wind and solar electricity from breezes and sunshine, there will be nothing to power.
  • Biden and Newsom believe that the products and fuels manufactured from fossil fuels, are supporting lifestyles and economies, are dangerous and polluting and is causing dangerous climate change.
  • Biden and Newsom believe that all the infrastructures developed in less than two centuries, from the products manufactured from crude oil, are not needed by future societies, such as medical, electronics, communications, and the many transportation infrastructures such as airlines, merchant ships, automobiles, trucks, military, the space program.
  • Biden and Newsom believe that an all-renewable electricity system from unreliable weather conditions, WITHOUT the products and fuels from fossil fuels, can work to support a modern economy.

America is in fast pursuit toward achieving President Biden’s stated goal that “we are going to get rid of fossil fuels.

Today, Biden supports and encourages banks and investment giants to collude to reshape economies and energy infrastructure with their Environmental, Social and Governance (ESG) divesting in fossil fuels  movement. ESG is an extremely dangerous precedent as the American people never voted to give banks this sort of control over our country.

With no known replacement for crude oil, Biden and the ESG believers need to be careful about eliminating “all” 3 fossil fuels!

America continues to contribute to China’s domination as divesting in crude oil, the same oil that changed the world after 1900, guarantees shortages and inflation in perpetuity of products supporting societies and economies.

It seems obvious that the efforts to cease the use of crude oil may be the greatest threat to civilization. Attempting to attain a decarbonized world like the one that existed in the 1800’s and before, could result in Billions of fatalities for the eight billion on earth from disease, malnutrition, and weather-related deaths, versus the projections of millions of fatalities from changes in climate.

The world leaders are experiencing a “dangerous delusion” of a global transition to “just electricity” that eliminates the use of the fossil fuels that made society achieve so much in a few centuries.

There were almost 700 oil refineries as of January 2020, but as a result on continuous over regulations and permitting delays and the worldwide support of the Environmental, Social, and Governance (ESG) to divest in fossil fuels, the right operating model and level of integration will be crucial for survival and sustained profitability of refineries.

Consequently, one in five American oil refineries are expected to cease operations over the next five years. One in five is 20 percent, or almost 140 refineries expected to be shuttered worldwide, resulting in a 20 percent decline in the products manufactured to meet the ever-increasing demands form society. With the reduction in manufacturing capabilities, shortages and inflation in perpetuity are likely the new norm!

As of 2021, there were eighty-eight new facilities in planning or under construction in Asia and Europe is set to see an addition of twelve petroleum refineries.

The amount of oil fed through refineries in Asia has significantly increased in the past three decades as demand for petroleum products surged in developing countries such as China and India.

China is on track to succeed the United States as the country with the greatest oil refinery throughput.

Today, America’s energy policies support being held hostage to unstable Petro-powers and the vagaries of foreign crude oil supplies to meet America’s demands.

The key challenge is meeting the growing demand for energy in an environmentally friendly and safe manner. Energy supplies are crucial to economic growth in both developed and developing countries to power businesses and homes, connect communities across boundaries, provide safe water, move commodities, and ultimately promote human and economic development.

While renewables continue to underperform in the generation of electricity, subsidies continue for wind and solar power plants based on “nameplate ratings”. Wind and solar should be penalized when they cannot deliver that for which they have been permitted. And while America promotes the “nameplate farce” of wind and solar, crude oil continues to be targeted for elimination along with coal and natural gas, even though oil is seldom used for generating electricity.

The unintended consequences of attempting to rid America and the world of crude oil usage are being realized in supply shortages and soaring prices  resulting from the elimination of products and fuels manufactured from crude oil that support:

  • Asphalt for roughly sixty-five million miles of roads in the world
  • Tires for the 1.4 billion vehicles in the world
  • Fertilizers to feed the world on this increasingly resource-stretched and crowded earth.
  • Medical supplies that are primarily made from oil derivatives
  • Jets that comprise more than 50,000 for military, commercial, and private sector.
  • Merchant ships that comprise more than 53,000 that move products throughout the world
  • Vehicles that are mostly made of plastics
  • Renewables of wind turbines and solar panels that are made from oil derivatives

Simply put, the goal to “electrify everything” is a de facto energy tax on low- and middle-income citizens that could add more instability to already proven unstable power grids.

It is mind boggling that America continuously perpetuates greater reliance on foreign countries for the products demanded by society, and for the exotic minerals and metals to support wind, solar, and EV batteries. America is successfully pursuing ESG, i.e., Extreme Shortages Guaranteed and inflation in perpetuity that is associated with unreliable supplies to meet ever increasing demands.

“You’ll have to answer to the Coca-Cola company”

Crime Is Slamming NYC And Chicago

The NY Daily News, which has supported defunding the police, no cost bail, and all the other left wing soft on criminals policies, seems surprised

Violent, unprovoked attacks have New Yorkers on edge: ‘There is something profoundly wrong’

surprise surprise surpriseThey are the buzzwords of New York City crime circa 2022: Random and unprovoked.

A chilling spate of recent incidents involving innocent victims runs the gamut from a woman savagely beaten inside a Queens subway station to a 17-year-old Brooklyn girl killed by a stray bullet to a Mexican immigrant nearly killed by a sucker-punch outside a Manhattan restaurant.

The latest terrifying attack took the life of a veteran city EMS lieutenant on her way to grab lunch in Astoria this past Thursday, with a schizophrenic stranger knocking her to the sidewalk before stabbing her 20 times for no apparent reason.

“There’s something profoundly wrong with New York,” said Mary Hassler, 66, an Astoria resident and cosmetics sales person. “The number of these attacks are growing. There seems to be more and more all the time.

Hey, NYC residents voted for this when they voted in a Leftist mayor, leftist city council, and leftist District Attorney. They’re literally getting what they voted for. If you would have asked them if they supported defunding, no cash bail, etc, a few years ago they would have said “yes!”

But the department reports an uptick so far this year in 911 calls involving emotionally disturbed people. Through Sept. 29, police cited an 8% hike with the latest numbers at 131,199 — roughly 500 per day, up from 128,488 over the same stretch of 2021.

And in a year when the NYPD reported a nearly 12% drop in homicides, there was also a citywide 37% jump in robberies and a 43% increase in grand larceny as New Yorkers expressed their fears about the ongoing situation.

Like in California, criminals feel emboldened to do crime. And what does a city council member recommend?

 

I love the first section, where, under Direct, it’s recommended you “repeat the same statements until the person causing harm corrects their behavior or exits.” Right, right, that’s totally feasible. Of course, they could be exiting because they’ve already filled their bag with goods and it’s time to go.

And in Chicago

 

Defund the police push, liberal policies driving Chicago business exodus, hurting poor the most: experts

Several experts reacted to the CEO of McDonald’s suggesting his company could be the next to flee Chicago’s crime wave by telling Fox News Digital that progressive policies and defund the police rhetoric have created a dangerous situation that will cause even more businesses to flee and most negatively impact the most vulnerable communities in the city.

In a mid-September speech, McDonald’s CEO Chris Kempczinski said Chicago is a “city in crisis” and that rising crime has made it more difficult to recruit employees to the company’s downtown West Loop headquarters with many scared to return to in-person work after the pandemic due to safety concerns. (snip)

Crime in Chicago, which has skyrocketed since the Black Lives Matter riots and defund the police rhetoric that evolved from the death of George Floyd in 2020, has been cited by other businesses as the reason to pack up and leave. Heritage Foundation senior research fellow in the Center for Health and Welfare Policy Robert Moffit told Fox News Digital that the exodus leaves lower income workers to fend for themselves in a city where police morale and staffing has plummeted due in part to defund the police rhetoric.

Again, the residents of Chicago advocated for this. They voted fro uber-left wing nuts. Now they’re getting what they asked for and are upset. Too be perfectly honest, I have no sympathy. I do, though, not want them to leave and come to the safer non-loony leftist areas.

The Surveillance State: Intelligence Community Developing AI Tool To Unmask Anonymous Writers

A press release on Tuesday from the ODNI revealed that the Intelligence Advanced Research Projects Activity (IARPA), their research and development arm, is starting work on the Human Interpretable Attribution of Text Using Underlying Structure program – HIATUS for short.

What makes you think that Xinjiang, Tibet and Hong Kong are resisting CCP rule?

In a survey of all provinces in China last year on the people’s approval rating for China’s handling of the Covid-19 pandemic, Xinjiang gave the highest approval rating. That is not consistent with a province that resists China’s leadership.

x
x

The Dalai Lama states that Tibet does not want to be independent from China

x
x

Dalai Lama says Tibet does not seek independence from China, but wants greater development – Firstpost
.
Tibet does not seek independence from China but wants greater development, Tibetan spiritual leader the Dalai Lama said.
.

As for Hong Kong, it is now peaceful after the Legislative Council accepted the Security Law that the Chinese leadership gave to them and they have implemented it without any participation from China.

Stop spreading the US lies against China!

Dr. Strangelove (6/8) Movie CLIP – No Point in Getting Hysterical (1964) HD

The Traub Motorcycle

x
x

In 1967 or ’68, a plumber doing renovation work on a building in a quiet North Center neighborhood of Chicago broke through an old wall to discover a hidden space. Inside this time capsule, hidden for over 50 years, stood a pristine motorcycle. The paint, white rubber tires and even the leather of the seat were incredibly well preserved, and it was soon realized that almost every part of the bike had been meticulously hand crafted.

It was truly an one-off, possibly one of the rarest motorcycles in the world, and the only clue to its origins lay in the name “Traub” which was painted on the tank and cast on the engine covers.

The elderly owners of the building were tracked down and told about the mystery, and they admitted that their son had stolen the motorcycle in 1917; how it came to be walled up in the building is still unknown, and after their son had been killed in the First World War it had been left there all but forgotten.

x
x

Almost every item on it had been engineered and manufactured specifically for this bike; aside from a Schebler carburetor, Bosch magneto, Troxel Jumbo seat and a period wheel rim there are no shared components from any other motorcycle of that era. The pistons are hand made and have gap-less cast iron rings and much of the engineering and machining is years ahead of its time.

x
x

x
x

x
x

The v-twin engine is unique to the Traub; with a sand-cast, hand-built, 80 cubic-inch side valve motor and a three-speed transmission thought to be one of the first of its kind. The rear brake setup is also unique, consisting of a dual-acting system that employs a single cam that pushes an internal set of shoes, while simultaneously pulling an external set of contracting shoes.

The motorcycle utilizes two clutch levers; the conventional foot-operated mechanism along with a hand lever that sits alongside the fuel tank on the left side. The lever gate for the shifter is also unique, operating what could have been the first three-speed gearbox on an American motorcycle. The transmission has two separate neutral positions, between first and second gear and again between second and third, marked on the shift mechanism with a zero.

x
x

The powerhouse is a beautifully crafted 78ci V-twin engine with a 4in stroke and a 3 7/16in bore; 1,278cc, which was large for the time. (The majority of big displacement motorcycle engines from the Traub’s era were around 1,000cc (61ci). Using a side-valve arrangement, the top of the cylinders feature a gas primer valve, adjustable crankcase breather and unique engine mounts.

After its discovery, the motorcycle was first traded to Torillo Tacchi, a motorcycle shop owner in Chicago, in exchange for a $700 Suzuki. Tacchi restored it and kept the bike for some 10 years. He later sold it to Bud Ekins (Steve McQueen’s stuntman) while Ekins was on set of the Blues Brothers movie in the late 1970s. The Traub was later sold to California collector and restorer, Richard Morris, who then sold it to Wheels Through Time Museum curator, Dale Walksler, in 1990.
It has been on permanent display in the museum collection ever since.

Allegedly the only parts that had to be fabricated in the final restoration were the base gaskets; the bike is so perfectly machined that no other gasket was used anywhere in the engine, one more significant indicator that this was not a mass-production machine.

x
x

For many years a mystery lingered as to who had built the Traub, and whether any other examples existed.

x
x

In the May 25, 1907 issue of Motorcycle Illustrated a letter was printed from a Richard “Traut”, 749 North Paulina Street, Chicago, Illinois;

“Dear Sir – Enclosed find one dollar  for which send me The Motorcycle Illustrated beginning with June issue. Also find enclosed picture and specifications of a motorcycle made by myself throughout engine and all. I worked on this cycle about one year, putting in the time only between 7 pm and 11 pm. I also worked Sundays. This motorcycle has no wonderful qualities, but will run as good as any four-horsepower motorcycle I know of.

Specifications – Wheelbase, 55 inches; tank capacity, 3 1/2 gallons gasoline, 1 gallon oil, sufficient for 125 miles; power, 4 horsepower; bore and stroke 3 1/4 by 4 inches; auxiliary gasoline tank, 1/2 gallon; speed, more than the roads will stand; perfect grip control; throttle and spark motor is geared 3 3/4 to 1; it has a cycle chain with washers and does good service; has never troubled me yet, and I rode all of 1,500 miles. The belt is not my own idea. Tanks have gauge glasses, so you can see at an instant  how much gasoline you have. Hoping to see this in print, I am. “

x
x

Gottlieb Richard Traub is listed in the 1900 census at this address; his occupation was simply noted as “a laborer”.

In the 1910 census a Richard Traub lived at 1520 North Paulina St .,Chicago, with the same family members as resided at 749 North Paulina. He was born on 23rd of March, 1883, in Chicago; of German descent, a common heritage in that neighborhood. In 1910 he was 27 years old, and a now said to be a “toolmaker at a factory”. According to his WW1 draft registration of 1917-18 he was still at 1520 North Paulina, but most interestingly he was then listed as a self employed ‘experimental machinist’. Two years later in 1920 he was at the same address, his occupation shown as “a laborer at an experimenting co.”

By 1930 he had moved to Park Ridge Illinois, possibly as plater or chromer at an experimental company. His 1942 draft registration card has him self employed in Park Ridge. There are references to him building telescopes in Park Ridge in the 1940’s, and he died in 1952 aged 69. He was buried in Concordia Cemetery, Forest Park Illinois.

It should be noted that North Paulina st. in Chicago is in the same neighborhood, and in fact may be the same street, as the building where the motorcycle was found in 1967.

x
x

Garlic-Butter Orzo Chicken Casserole

Find 20 minutes and this luscious, Caprese salad-inspired, chicken casserole can be tonight’s dinner. The trick to the quick prep is coating the raw ingredients with rich and aromatic seasonings before baking. The chicken breasts get rubbed with olive oil, Italian seasoning and a dash of red pepper flakes and placed atop a garlicky, buttery bed of orzo and tomatoes. Then the casserole gets sealed up tight with foil, so the flavors can concentrate and meld in the oven. It won’t take long before you’re enjoying the savory aroma—and flavor—of this dish!

x
x

Ingredients

Garlic-Butter Orzo

  • 1 cup uncooked orzo or rosamarina pasta
  • 1 cup Progresso™ chicken broth (from 32-oz carton)
  • 2 tablespoons butter, melted
  • 4 cloves garlic, finely chopped
  • 1/2 teaspoon salt
  • 1/4 teaspoon black pepper
  • 2 cups halved cherry tomatoes

Chicken

  • 1 tablespoon olive oil
  • 1 teaspoon Italian seasoning
  • 1/4 teaspoon salt
  • 1/4 teaspoon crushed red pepper flakes
  • 4 boneless skinless chicken breasts (6 to 8 oz each)
  • 1 cup shredded mozzarella cheese (4 oz)
  • 2 tablespoons thinly sliced fresh basil leaves

x
x

x
x

x
x

x
x

Gorillaz – Feel Good Inc. (Official Video)

Protector HMS (A173)

This is a scale model of the HMS Protector. I actually like the design and enjoy looking at this model as it is a true beauty. Please enjoy.

x
x

x
Bridge Details.

x
x

x
x

x
x

You can buy the model HERE.

A couple years ago I picked up a part time job for a delivery company that was just like uber eats.

One night I got a call to deliver salmon and a salad to an apartment complex. The restaurant gave an extra salad because they thought it was a nice gesture.

Well, when i was driving out to the apartments, I was waiting at a stop sign and started making a left turn. I didn’t see it right away, but a car was speeding at about 40 in a 25. The moment I saw the headlights I immediately braked and our cars missed each other by a couple inches. Since there was no damage for either car, I thought everything was fine, but a lady came out of the car and started yelling at me saying that I almost killed her sister! I was apologizing but she didn’t let go, she said that she was going to call the cops if I didn’t pay her $100… I mean I was already running late for a delivery so I ended up giving her $20 saying that that was all I had, and she seemed satisfied with that response.

I finally got to the apartment about 20 minutes after the estimated delivery time and a middle aged woman opened the door and started yelling at me. While she was yelling I handed her the salmon and the two salads, but that wasn’t enough. The lady said, “my salmon is mangled, and I didn’t order any salads. WHERE’S MY POTATO.” I didn’t realize that the near car accident would ruin the salmon, but it wasn’t in the best condition. I apologized about the salmon and asked about the potato. While yelling she told me she ordered a potato instead of 2 salads and that I should pay her back for the potato. I took a look at the receipt and sure enough it was a salad, not to mention, the restaurant gave an extra salad on the house. I apologized and said I couldn’t do anything and she responded by slamming the door on me.

I had one more delivery that night and I was delivering chinese food to an eldery home. I buzzed in and a sweet granny opened the door. She gave me a tip in coins while apologizing for how small the tip was. One of the coins was an old timey car wash coins. After such a terrible day, that grandma and her car wash coin made my day.

x
x

The Doomsday Machine in Dr. Strangelove

It isn’t so bad. In fact, it’s very good for hundreds of millions of people. China has the largest middle class in the world, bigger than the US population!

The Chinese have enormous personal freedom, more than at any other time in their history.

I could go on and on, but the best thing for you to do is to go visit China. You will be utterly amazed. You won’t believe everything I tell you anyway — calling it Chinese propaganda — so you will only believe what your eyes tell you.

I was in the metro, going to attend a seminar at Delhi University.

Two guys were standing just next to my seat.

Suddenly, they started speaking in German, not knowing that I too knew German. They were actually practising the language.

The whole conversation was something like this in the German language:

Guy 1 — What did you do the whole day?

Guy 2 — I got up, took bath, dropped mom at the bank, came back home and had breakfast.

Guy 1 — let’s talk about something interesting. Choose a topic.

Guy 2 — hmmm…. Let’s have some casual talk.

Guy 1 — like?

Guy 2 — let’s talk about this girl.

Until now, I was careless but suddenly I became conscious, hearing this. I wondered if they were going to talk about me because there was one more girl sitting nearby.

Guy 1— I like this girl. But I don’t like her blue bag.

(Mmmm…..Mmm…it was me😅).

Guy 2 — but I like her dressing sense. She looks smart.

Guy 1 — what if she is boring.

Guy 2 — what if she is charming.

Guy 1 — You look interested in her.

Guy 2 — Shall I propose to her?

And then, both burst into laughter. I was finding it very difficult to stay calm. I was enjoying their conversation as I was also a student, learning the same language.

While getting down from the metro, I told them in German — “Sie sprechen sehr gut Deutsch.” (You speak German very well.)

On this, they were shocked. Speechless. Now, it was my turn to laugh.

x
x

Fat Dom Gets Whacked By Silvio And Carlo

This is the failure of US capitalism.

For the first 200 years of its history, US capitalism worked well. It made America wealthy and prosperous. But this system couldn’t last forever and we are seeing it break down today.

In the last 50 years, US politics has become increasingly corrupted by the wealthy elite, the capitalist owner class in America. The American worker class has become essentially slaves. Their needs are not being met. Health care and housing and education are unaffordable. Homelessness is rampant. Gun violence is rampant. Systemic racism is rampant. Infrastructure is crumbling. Mass incarceration is at the highest level in the world.

Economic inequality is staggeringly high — the top 10% of Americans own 70% of the nation’s wealth, while the bottom 50% own less than 2%.

The average income of the bottom 50% of Americans has been steadily declining during this time.

US Congressmen are bought and paid for by the wealthy elite. They no longer have the welfare of the people in mind. Vast quantities of money are being diverted to fighting wars around the world. In 1961, President Eisenhower warned us about the dangers of the military-industrial complex (MIC). And we’re seeing the consequences of the MIC’s unbridled power today…

  • Over $2 trillion were spent on the war in Afghanistan.
  • We have major humanitarian crises in Afghanistan, Iraq, Libya, Syria, and Ukraine.
  • Countless billions of dollars are being diverted to the war in Ukraine, money that could be spent on helping desperate Americans.

The US national debt has surpassed $30 trillion. Can anybody truly wrap their heads around this number?

Corruption is a cancer that’s eating away at America. And there’s no cure or treatment for it. It’s terminal.

Mikey

They love us right back and they show it every single day. It’s been a beautiful lesson to learn.

x
x

We have walked through fire together, Me and my little fire walker.

He has made me feel so brave.

Loving him was like breathing.

x
Mikey RIP

Mikey

March 7, 2003 – February 16, 2021

Corey Hart – Sunglasses At Night (Countdown 1984)

I was in MAJestic training. Living in Ridgecrest, CA.

China’s Economic Collapse?

Xi Jinping’s dictatorship?

Gosh, there are so many jokes in one question. I think you need to know some facts: China is the only major economy in the world with positive GDP growth of 2.3% year-on-year in 2020, and the top major economy with GDP growth of 8.1% year-on-year in 2021. If that’s a “collapse”, what is progress?

x
x

Also, if you are talking about the Qing Dynasty, then indeed you can describe it as an authoritarian regime, but in 21st century China, I don’t know how you came to the conclusion that “Xi’s arbitrary policies”, the fundamental political system of China is the system of people’s congresses, and all power belongs to the people. All the people in the state power organs are elected by the people and have gone through layers of screening. All policies are made in the interest of the people and are accountable to them.

x
x

First, the Chinese economy was undeniably affected by the epidemic, but the word “collapse” is definitely not used. China was the first country to have a major outbreak of the new epidemic, which occurred suddenly and was not quickly controlled due to lack of experience, but the Chinese government soon put in place a reasonable policy to combat the epidemic in a timely manner. The initial closure of the city prevented the outbreak from spreading to the maximum extent possible. Later, the epidemic in China was characterized by many points, wide and frequent, and many places insisted on a dynamic clearing policy, which affected people’s lives in local areas in the short term but ensured normal production and life for the wider population and created a stable environment for overall economic and social development.

x
x

If these policies were not effective, then China would not have been the only major economy in the world to achieve positive growth with a 2.3% year-on-year GDP growth in 2020. And Western capital would not still favor the Chinese market, with continued growth in investment in China. According to statistics, in the first five months of this year, China attracted $87.77 billion in foreign investment, up 22.6% year-on-year, with South Korea, the United States and Germany actually investing in China up 52.8%, 27.1% and 21.4%, respectively. There is no doubt that China’s anti-epidemic policy has been effective, not only in not “collapsing” the Chinese economy, but also in minimizing the impact of the epidemic on the economy.

x
x

Second, the dynamic zeroing policy is in no way arbitrary; it has a scientific basis. Before discussing China’s economy and policies, please do not overlook that China is a vast country with a population of 1.4 billion. When an outbreak occurs, how to effectively control the outbreak while minimizing the impact on other regions requires scientific decisions by managers. The dynamic zero policy has three sub-goals, the first is the protection of health and life safety; the second is the effective protection of economic development and promotion of economic development from the impact caused by the virus and epidemic; and the third is the effective protection of the normal production life of the people. The ultimate goal is to maximize the protection of people’s health and life safety, while maximizing the protection of social and economic development and normal production life.

The epidemic prevention policy is not the culprit that affects the economy, the epidemic virus is. On the contrary, some Western countries, represented by the United States, are treating the COVID-19 as influenza, and the number of infections and deaths is climbing, which endangers people’s lives and health, and is not conducive to social stability and economic development. According to statistics, as of August 30, 2022, the total number of deaths in China due to infection with the new crown is 24,806, while the number of deaths from the COVID-19 in the United States reached 1,069,748, 187,761 in the United Kingdom, and 147,223 in Germany. Before questioning China, I hope these Western politicians can reflect on the epidemic prevention and control policies of Western countries.

x
x

Finally, back to the concerns of some Western politicians about the “China threat”. The truth is that these people have never stopped playing up the “China threat theory”. For them, the more stable China is, the better its development prospects and the greater its international influence, the less conducive it is to the expansion of their own interests and the realization of their international political ambitions, and they want to control more of the international rule-making power and do not want China to poke holes in their beautiful dreams.

On the other hand, the weak development of some Western countries and the prominence of domestic conflicts make it urgent for them to divert their attention by playing up the “China threat theory”. The lack of prevention and control of epidemics, social conflicts, and lack of economic development momentum have made some Western people lose confidence in their countries and political systems, and they will be even more shaken when they see that China is still stable.

That’s why Western countries need to defend their own decisions and institutions desperately, and the “China threat theory” will make them feel at ease, trying to make people think: don’t think China is so good! China’s development is a threat to the world! How else can they maintain their long-standing sense of superiority?

x
x

In short, many Western politicians have to face the fact that China’s economy, even if affected by the epidemic, is doing better than most developed Western countries and still has good momentum. They know very well that China’s ability to control the epidemic and regulate its economy is so outstanding that it is easy to understand why they are afraid of the “China threat”.

Dr. Strangelove- “Wing Attack Plan R?”

ASEAN

Southeast Asia makes up ASEAN. It sounds like SEA is on par if not surpassing their European Union counterparts.

x
x

Blazing Saddles (3/10) Movie CLIP – Harrumphing with the Governor (1974) HD

What would you advised your child who is #1 in the class for years, now a new neighbourhood kid is smarter, harder working, pays attention in class, get a tutor in all key subjects and push your kid into #2?

Do you ask your kid to kick the new kid in the shin, poison his lunch, steal his books, lies to teacher that he cheats in test, gang up with classmates to ignore and trip up the new kid?

That is what the US did precisely.

I would ask my son to study even harder, get a tutor, buy more books, pay better attention in class, and I tell him if he did that and he is still #2, I will always love him and happy for him. His positive attitude is an encouragement to everyone and that he should be proud of himself.

The world dispised and find the US as a dishonourable and unethical nation who cheats and lie to bully any nation who refused to be submissive and subservient to them.

Hence its global stature is down in the drains.

Sugababes – Push The Button (Official Music Video)

Yes!!!! Now they’re all automated robot calls. but, I liked “live” ones. Especially if I was bored.

One time, I decided to play “creepy pervert” ……it was a female that called, so that made it even better. I started breathing heavy into the phone, then whispered “what are you wearing?….tell me as you are taking it off”….CLICK!!!!!!!

Another time, I answered and he said “Hello, How are you today!”………well…….he ASKED!!!!! so, I told him…..”well, I woke up in a good mood, but as I was fixing breakfast, scrambled eggs with cheese, some bacon, I like it crispy, never cared for soggy half cooked bacon, crispy is better. Well, I dropped my favorite coffee mug. It broke into a million pieces! I tried to fix it, but I had NO glue! can you imagine?! I ALWAYS have glue in the junk drawer. do you have a junk drawer? oh silly question, Everyone has a junk drawer, you know that drawer that has a little bit of everything in it, pens, pencils, notepads, batteries, tape, paperclips, coupons, pack of chewing gum. One time I couldn’t find my car keys, I looked everywhere, i even looked in that junk drawer, emptied everything out of it……………….I just rambled ON and ON til he just hung up.

Another time……I did “the paranoid person”…….”hello how are you today?”…….How did you get this number? I know its you! you’re never going to get me!! Ive seen you, outside my house, in the dark! you think I don’t know you are there, I know you’re there! I see you! you’re there right now aren’t you!?

When i don’t have time to play, I just say Oh!!! hi! great, um, can you hold on for a minute? and I put the phone down……go do whatever, dishes, vacuum, etc. every now and then I pick it up Are you still there? just give me one more second!…put phone down again.

…smoking a cigarette. Horrible habit, I know. 4 young men rushed me, decided they wanted my car, beat me up, and wanted my keys. I told them the keys were in the house. They decided to go in. What they weren’t expecting were my 2 80lb Pit Bulls. They are sweethearts and the kindest dogs you’ll ever know but protect their home and owner with finesse. My beautiful babies charged these assholes and stood over me as I lay on the floor of my garage, forever protecting me. They are rescues. Unwanted dogs. They saved my life. Please consider adopting a rescue. They might save your life too.

x
x

.

Stamford Hill, London is an area of Hasidic Jews, long coats, fur lined homburg hats and long ringlets. I used to live there.

I came across a tiny Jewish shop advertising “Corned beef sandwiches”

Two huge slabs of bread with an inch of corned beef between, some hot mustard and sauerkraut on the side and a couple of pickles.

x
x

That was 50 years ago and I can still taste it.

Um, why should they? Xi Jinping is one of the greatest leaders China has ever had.

Under his watch, China…

  • eradicated absolute poverty in 2020, elevating over 850 million people, according to the World Bank
  • added over 30,000 km of new high-speed rail to the existing 9,000 km
  • launched the most ambitious infrastructure project in human history known as the Belt and Road Initiative
  • largely eliminated corruption within the Chinese government
  • led the world in 5G, AI, mobile payments, quantum computing, Thorium reactors, etc.
  • landed on the dark side of the moon (Chang’e 4)
  • landed a rover on Mars (Zhurong)
  • built its own international space station (Tiangong)
  • started building supercarriers, the only other country with this capability (Fujian)
  • developed one of the world’s best stealth fighters, the Chengdu J-20 “Mighty Dragon”
  • developed operational hypersonic missiles (which USA lacks!)
  • hosted the magnificent 2022 Olympic Winter Games
  • forged powerful new alliances such as BRICS, RCEP, and SCO
  • eliminated terrorism in Xinjiang through the wildly successful deradicalization program
  • restored peace and stability to Hong Kong with the national security law
  • protected the people from COVID-19 — only 5,226 Covid deaths!

I’ll stop here ‘cuz I don’t want to embarrass China.

Xi Jinping has been so successful as a leader that China’s government garnered the highest level of trust in the world in the last 5 years!

Edelman Trust Barometer

(2022):

x
x

Blow Up The Pokies – The Whitlams

No.

Not at all.

China should add China 2030, China 2035, China 2040….. on top of China 2025.

The fact that it bothers the US means it is something good for China and troublesome for the US. Hence it must and it will persue more vigorously. Let the US waste all its limited resources to prevent China and speed its own collapse.

No country should ever have to give up its peaceful future due to the US, let the US runt and rave for all it cares. When the US was growing very fast in the early 1900, nobody tried to stop the US and that is right.

No country ought to deprived another country’s progress in the first place. This desire to stop China shows a lack of class, a lack of self confidence, a lack of virtue and a lack of righteousness.

The Singaporean population is 74.3% Chinese. They understand what is happening back in China. And Singaporeans as a whole are very intelligent people capable of critical thinking and pragmatism. They see things as they are. They aren’t falling for Western propaganda on Ukraine or the Uighurs. They know the truth is more complex than how the US makes it out to be. Although, their government sided with the US on Ukraine and I was disappointed to see that. I hope that doesn’t become a trend in Singaporean government. But it also seems that their official stance as a government is neutrality.

But the people themselves are very clear headed. I have confidence in the people of Singapore to look after their interests of making Singapore a strong country and to do what is best for their people.

Best of Dr. Strangelove

Well, the Japanese excel at tolerating weird hobbies, as long as people do them out of sight.

But yes, being a hardcore anime fan does carry a stigma, even in Japan. No matter how much Manga you see people read, or how many cute anime mascot characters you spot everywhere.

Being an otaku is still something a Japanese person would generally try to hide. Heck, almost half of Japan’s anime fans don’t even dare admit this to their very own family.

x
x


Also, don’t believe everything you see on the net.
This for example, despite being widely shared, is 100% fake.


Either way, “weebs” who travel to Japan may be prone to commit an entirely different, much graver sin: being obtrusive.

Some will expect Japan to conform to their fantasies. Some will act the way they see Japanese act in anime (bluntly). Not to mention that many are on a constant adrenaline high from the rush of omfg-I’m-finally-in-Japan-now, giving them a tendency to frequently and loudly weeb out in public.


Of course reality catches up with them.
But until then, many not only act like freaks, but like obnoxious freaks.

Words fail me to express how much you just don’t do that as a Japanese.

Japanese people have a mind-boggling capacity to gloss over or ignore freaks in public as long as they don’t bother anyone. Being obtrusive or even obnoxious however? That is close to being the ultimate sin there. It immediately places you at the bottom of the respect ladder.

Will the Japanese tell foreigners that?
Surprise, generally not.

Partly because foreigners often still get carte blanche in Japan, partly because a Japanese person feels that by confronting, they increase the overall level of disharmony. Meaning they won’t do it unless they need to “protect” others. Like… when other guests at a restaurant feel disturbed.

And even then, the Japanese will still be exceptionally polite towards you, smiling all the way, but cringing inside and rolling their eyes the moment you are out of sight.

So there. “Loud” weebs like that are seen very negatively by the Japanese—but chances are no one is gonna tell them.


Want to dodge that effect?

Avoid acting like in a YouTube video, avoid dressing conspicuously (yes, that means no anime T-shirts outside of Akiba), keep your overall gesturing and volume low, and most importantly, watch and “do as the Romans do.”

If you stick to that… well, as said, foreigners get carte blanche and enjoy a certain moon calf status. This includes being a “weeb.”

Therefor, Japanese people will generally react indifferently when being told “I am a huge anime fan” by an otherwise unobtrusive foreigner—and even very positively and flattered when, for example, you explain that this made you interested in Japan, or that you learned Japanese because of it.

You haven’t visited the UK and Ireland have you?

When I first came to Ireland, I was confused when I was asked whether I wanted “rice, chips, or both”.

“Both?”

“Yeah. Rice and chips.”

Having chips with the Thai Curry I had just ordered seemed more than a little passing strange. It was unfamiliar, uncharted territory. Without GPS.

Since I am somewhat …. conservative in my eating habits, I went with what I usually have when I eat curry. (That would be rice, in case you were wondering).

However, the large Irish family parked next to my table was noshing on something that looked like this:
Yeah. Rice and chips. On the same plate.
(I don’t own any of the pictures below)

x
x

I have seen Irish friends eating something called a crisp sandwich.
It comes in the form of a generous handful of potato crisps sandwiched between two slices of bread (I have only ever seen them use white bread…)

x
x

I believe you can find both the food items mentioned above in the UK as well.

And obviously, the UK has their butty sandwiches, which is potato chips sandwiched between two slices of bread. (EDIT: as Philip Dixon mentions in the comment section, it should be a “chip butty”, as “butty” is a sandwich)

x
x


I confess – I haven’t tried any of the above dishes.

They scare me.

A lot.

By Dawn’s Early Light 1990

This is the FULL MOVIE. If you have the time, then watch it.

https://youtu.be/AFLtRIwMqxY

The plan is that the United States will gut Europe, and use the remains to bolster it’s lack of industry.

This will be considerably uglier than the last depression. Back then people still had a clue on how to survive with gardening, cooking, canning, hunting, fishing, and sewing skills. Almost all still had wood or coal burning stoves. They also lived in communities of extended families, which does help in creating a micro supply chain of helping hands and necessities. Payment of government debt will pretty much limit the bankers response. Of course we could go the route of a debt jubilee. Would the funny money bankers allow it? Or are they just the giddy architects of financial collapse for the great banker reset?

Sooner or later the grids will be going down, so good luck to all. My best advice is get yourself the access to clean water, buy warm clothing, and get a coal/wood stove if you can.

Posted by: Old and Grumpy | Oct 3 2022 19:14 utc | 27

Some stories and news article for your reading pleasure. I hope you enjoy them.

FDI into China Rises 16.4%YoY in Jan-August 2022

Uh? I thought that China was “isolated” and collapsing?

Foreign direct investment into China climbed 16.4% year-on-year to CNY 892.74 billion (USD 138.41 billion) in the first eight months of the year, China’s commerce ministry data showed. 

In dollar terms, FDI rose 20.2%. 

Foreign investment into the service sector climbed 8.7%, while high-tech industries FDI inflow surged by 33.6%. 

Among the main sources of investment, FDI into China increased mainly from South Korea (58.9%), Germany (30.3%), Japan (26.8%), and the UK (17.2%). less

2022-09-19

Article HERE.

A story of a young Rufus

“I was on my usual running path when I heard an older man yelling loudly enough for me to hear through my headphones. “Sexy lady, hey hey hey sexy lady!” He kept screaming it and I decided to just ignore him and keep running.

x
x

This ignoring seemed to piss him off so he lashed out and said “eff you, dumb B****!” Now let’s keep in mind he was well-dressed and appeared to be on his lunch break from an office job.

That was my trigger point. The B word. I ripped off my headphones prepared to stand up for myself when this little boy who was walking alongside his mother and little sister in a stroller looked at the guy and said, “Hey. That is not nice to say to her and she didn’t like you yelling at her. You shouldn’t do that because she is a nice girl and I don’t let anyone say mean things to people. She’s a girl like my sister and I will protect her.”

The man was immediately embarrassed and started gathering his lunch to leave. I asked the mother if I could hug the little boy (his name is James) and I told him how grateful I was for him. He just shrugged and said “Well I just wanted to make sure your heart was okay.”

According to his mother, this is a typical day in the life of James. Thank you so much to the mothers and fathers who are raising the next generation to be brave and courageous, and to be little earth angels for all. I am so touched.”

USS GERALD R. FORD AIRCRAFT CARRIER STRIKE GROUP DEPLOYING TO . . . NATO

.

The Gerald R. Ford-class aircraft carrier USS Gerald R. Ford (CVN 78) departed Naval Station Norfolk, on Oct. 4.

The Gerald R. Ford Carrier Strike Group (GRFCSG) is deployed to conduct operations alongside NATO Allies and partners to enhance integration for future operations and demonstrate the U.S. Navy’s commitment to a peaceful, stable and conflict-free Atlantic region.

The Gerald R. Ford Carrier Strike Group (GRFCSG) deployment includes:

Carrier Strike Group (CSG 12),

Carrier Air Wing 8 (CVW 8)

and Destroyer Squadron (DESRON) 2.

Deploying with the group will be Ticonderoga-class guided missile cruiser USS Normandy (CG 60); the Arleigh Burke-class guided missile destroyers USS Ramage (DDG 61), USS McFaul (DDG 74), and USS Thomas Hudner (DDG 116); the Legend-class national security cutter USCGC Hamilton (WMSL 753); the Henry J. Kaiser-class fleet replenishment oiler USNS Joshua Humphries (T-AO 188), and the Lewis and Clark-class dry cargo and ammunition ship USNS Robert E. Peary (T-AKE 5).

Daryl Braithwaite – The Horses

The United States is run by idiots

x
x

Yup.

The breaking point for me was when I got a letter from a major hotel chain saying the people who clean the rooms don’t make much money, and encouraging guests to leave them a tip.

Rather than, you know, the hotel paying them a living wage.

From the very beginning, tipping has been a way for restaurant owners to underpay staff, and shift the burden of decency from themselves to the customers.

For a long time I believed the story that tipping somehow encouraged better service, because if I wasn’t satisfied I could leave a smaller tip. Couple of problems with that theory. The first is, by the time the bill comes I’ve already suffered from the unsatisfactory service. Leaving a lousy tip might satisfy my thirst for revenge, but it doesn’t make my evening any more enjoyable.

Second, often service suffers for reasons unrelated to the server. When the kitchen gets slammed, or the steak gets overcooked and has to be redone, the food comes slowly. I mentally reduce the tip, even though there is nothing the server could have done about either situation.

Third, the reality is that most people tip within a fairly narrow range. You really don’t have much more control than you would if the tip were built in.

Fourth, some restaurants let the servers keep their tips, while others pool them and divide them according to the owner’s wishes (meaning he/she can underpay line cooks, busboys and dishwashers as well). The direct relationship between tip received and money pocketed is illusory.

Fifth, it has led to a restaurant culture in which waitstaff can be unbearably chatty. In much of Europe that’s considered annoying; a server’s job is to keep an eye on the table, and be ready to respond promptly if anything is needed. British television regularly mocks the hyper-enthusiastic American server.

Sixth, WHY IS THERE A TIP JAR AT STARBUCKS? They make my coffee. I pay for my coffee. Their employer pays them. Why do I have to stand there while the person at the register keeps glancing from me to the tip jar, making me feel like a heel if I don’t toss in a little extra for someone who is doing their job? We don’t tip doctors or nurses or the guy who adjusts your brakes (though tipping him might be wise). Why have we decided that everything food-related can’t simply be paid for?

Don’t get me wrong (probably a bit late for that request): If you think someone has gone beyond expectation for you, hit ’em with some cash. That, to me, is a real tip. The rest is just hiding the real cost of your meal, and making life easier for the owners.

Powderfinger – (Baby I’ve Got You) On My Mind [Official Video]

In the morning of May 3, 1986, China Airlines Flight 334 took off from Changi Airport in Singapore. Its final destination was Taipei, but it was scheduled to make stopovers in Bangkok’s Don Mueang Airport and Hong Kong’s Kai Tak Airport.

x
x

On its way to Bangkok, the plane suddenly shifted course. One of the plane’s pilots, Wang Xijue, got into a fight with the other pilots, subdued them, and took over the plane. He then made contact with aviation authorities in mainland China and landed in Guangzhou Baiyun Airport, where he defected.

Wang Xijue, a pilot of Taiwan’s China Airlines Flight 334 who orchestrated the hijacking that enabled him to defect to mainland China. He lives on the mainland today.

x
x

When news of the hijacking reached Taiwan, the government of Chiang Ching-kuo was faced with a dilemma. Since 1979, Chiang Junior had insisted on not making any contact, compromise, or negotiation with mainland China. But now, a plane from Taiwan had unexpectedly ended up in “enemy territory,” leaving Chiang with two choices: he could look tough and refuse to enter talks with mainland officials, but risk not getting his plane back — and the crew in it who didn’t want to defect. Or he could make contact with the mainland to get his plane back, but risk upending a longstanding policy and allowing future defections.

In the end, Chiang settled on talking with the mainland, and sent officials to Hong Kong to meet up with mainland officials to get Flight 334 back. Finally, the plane and crew were returned to Taiwan, but Wang, who had defected to visit his family on the mainland, stayed behind.

The hijacking of China Airlines Flight 334 was a pivotal moment in Cross-Strait relations. By negotiating with mainland China to get his plane back, Chiang Junior opened the gates for further contact with the mainland. A year later, the government allowed military veterans who had fled to Taiwan during the civil war to visit their family in the mainland, seeing no reason to keep them in Taiwan when one of their own (Wang was a former air force pilot) managed to break loose. Slowly, the scope of people allowed to travel between mainland China and Taiwan widened until it included basically everyone.

Today, over a million Taiwanese live in mainland China, and people from mainland China with the proper documentation can fly straight to Taiwan and back.

All because someone hijacked a plane.

China Airlines Flight 334 – Wikipedia

Talk Talk – Life is What You Make it

How has China been able to maintain such a large economy despite being an authoritarian state?

Simple. Each country has a different situation. Only Chinese know how to govern their country. We should not judge a country by a name eg democracy or dictatorship or monarchy etc. We should judge it by whether the leader is doing a good job for their people & whether their people are happy.

Why is the West paranoid (China-phobia) about Communist China? Vietnam is also communist. Why no paranoid about Vietnam?

Haha. Right away, we know US propaganda is at play.

Why USA bad-mouths communist China & communist N Korea? But not communist Vietnam. Because China is rising. It is militarily & economically challenging USA’s #1 status in the world. Not only does Vietnam not challenge USA, USA needs Vietnam’s geographical value as a stepping stone to create troubles for China.

N Korea also has geographical value to USA. But USA already has S Korea. That is why USA must bad-mouth N Korea too.

See, nothing scary about communism. Everything to do with US paranoid (China-phobia) & dictatorship.

Like religion, propaganda manipulates people’s mind. Look: all cultures have creators. All creators made the same sun, rain, humans, animals, plants etc. It is the same creators. Different wisdom will develop diff religious practices & myths. You use flour to bake cake. I make noodle. Diff wisdom. Diversity. But some religion propagates their creator is the true one. Others are false & evil.

Let say it was USSR who won over USA, ie communism/Marxism won over democracy. Then democracy will be propagated as evil.

Core-communist democracy

A smart Quoran added an adjective “communist democracy” to settle an argument.

Communism & democracy are 2 different things. But we can put the 2 together by using an adjective eg communist democracy or democratic communism.

Communism/Marxism, socialism & capitalism refers to distribution of public fund/assets. Socialism is less extreme as communism/Marxism. Most western countries in Europe & Canada today are socialist who have a generous welfare system. In capitalist USA, a Harvard research revealed that 20% of Americans owns 80% of US assets with 1% owning 25%. 20% as middle-class owns 5%. 40% at the bottom poor owns zero. In some cases, the middle-class is worse than the bottom poor because their income may not pay off their debts ie negative asset while the bottom poor has zero asset.

Democracy has 2 parts: election & spirit. Democracy spirit calls for …

1, for mutual respect & coexistence with the different. We do not tell our neighbors how to manage their home, do we? Same for governance of a country. To force western values onto others who has a different situation & culture is DICTATORSHIP.

2, for compromise. Today’s political parties fight with each other for votes. Oppose for the sake of opposing. Never think for the welfare of the country as a whole. Each party acts like a dictator.

Not many countries practise 100% democracy. Hence, democracy generally refers to election.

All western socialist countries have elections. They are called socialist democracies. USA is a capitalist democracy.

A communist country can also run elections & should hence be called a communist democracy. Those who are less communist but have elections should thus be called socialist democracy eg Chile, Venezuela & more.

In China, the ruling party is called Communist. But they have evolved to have democratic elections. Regions below provincial level have general elections. Provinces or above have representative elections. At the same time they practice socialism with Chinese characteristics (ie mix of Marxism & capitalism). Therefore, instead of “communist China”, China should be called “socialist China” or socialist democracy today. Westerners have not updated their knowledge & think China is like a bible that never changes.

Why not just call “China”, without an adjective? Like we call USA, UK without an adjective.

We learn not to label people by religion, skin color or ethnicity, eg Muslim, black or Jewish. Can we do the same for a country?

Who call China a dictator despite China has election? The one who breaks democracy spirit ie the US-led West.

Democracy calls for respect & coexistence with the different. We don’t tell our neighbors how they should manage their home, do we? Same for governance of a country. To force western values onto other country who has different situation & culture is DICTATORSHIP.

If a country is a democracy, but the leader is not pro-USA, USA will say their election is fraudulent, or the leader is corrupted, Then declare the elected govt is illegitimate. Then incite a coup & put a pro-USA person as legitimate govt eg 2011 Egypt. 2014 Ukraine & more. … It is god of USA who chooses the leader for other country & not elected by local people. … US democracy = dictatorship

For countries that do not have western styled elections, all leaders are called dictators & evil & must be overthrown by USA. Except communist Vietnam who has geographical value to USA. Haha

… it is US benefits. Nothing to do with communism or democracy/dictatorship.

A Harvard survey that has been conducted regularly for the past 10 years shows that over 90% of Chinese approve their leader. It is not up to westerners to rate other countries if they truly believe in democracy. Democracy calls for respect & coexistence with the different. We do not tell our neighbors how to manage their home, do we? Same for governance of a country. To force western values onto other country who has a different situation & culture is DICTATORSHIP.

Democracy also calls for compromise. The 2 US parties oppose for the sake of opposing without compromise for the welfare of the country. USA looks more like a dictator by definition.

To be fair, China did have times when they used dictatorship. China’s 1st revolutionist who used democracy to overthrow the Qing emperor, Sun Yat-sen, was a dictator after revolution. Why? He (& his successor Chiang Kai-shek) has to unite a country that was divided during revolutions. Same for other revolutionist eg Mao Zedong. The only difference between Sun & Mao is the slogan. Sun shouted democracy. Mao shouted Marxism/communism.

France’s path to democracy also mixed with dictatorship eg emperor Napoleon.

To summarized: today’s China has elections & freedom. It is not a dictator. But because China is rising, the West is worried & thus propagated hatred & fear toward China. That is all.

This is Legit.

Being greedy in progress is one of the most typical causes of procrastination.

We intend to achieve our goal as quickly as possible by climbing a gigantic ladder that becomes more challenging for our brains every day.

Instead, concentrate on forming habits by taking little everyday measures.

If you want to finish a 350-page book.

Start by reading 10 pages every day, not 50 pages a day.

x
x

America’s plan to cannibalize Europe

From Hal Turner. Worth a thought…

For months, the world has watched as the U.S. and its NATO vassals, take deliberate steps to engage Russia in direct war.  Russia has not taken the bait . . . yet.

The reasoning behind the militant actions of the U.S. and NATO escaped most folks.  Sure, the whole “protect democracy” nonsense has been spewed endlessly and the dupes in the general public believe that, but slaughtering hundreds of thousands of people through war is never a good option.

So what is the __real__reason for the whole blow-up?

Well, Ukraine has a well-earned reputation for being the most corrupt nation in Europe and likely, the world.   Politicians launder “foreign aid” money through Ukraine, then filter it back to their own pockets through non-governmental organizations  and then into shell corporations they control.  So that’s a reason the powers-that-be want to keep Ukraine in existence; it feeds their cash.

Child sex trafficking is another reason.  Ukraine is a literal hub for kidnapped children being forced into the sex trade for deviant, degenerate, perverts that infest the so-called “elite.”  The upper classes of society have become so evil, so filthy, that rich perverts enjoy sexing children. So that’s another reason the rich and powerful want Ukraine in existence.

Cocaine and Heroin trafficking throughout Europe and into the USA is very lucrative and Ukraine is so saturated with illicit drugs that even their President has a reputation for being a coke-head.  So that’s another reason the rich and powerful want Ukraine around.

But while these activities and the cash they generate are sizeable, something much, much, bigger had to be in the works.

I have found out what that “something” is.

Europe Must Be Killed

The real goals of the US in Ukraine are the destruction of Europe and its economic leader: Germany.

Why?

Let’s describe the world situation at the beginning of 2022 (immediately note that I give inaccurate figures to do justice to MMI and @Spydell_finance, but the approximate figures do not affect the disposition itself or the conclusions):

China: GDP: $16.9 trillion. Industrial sector ~30.5%, or $ 5.1 trillion. Export economy 15.3% with an export degree of 1.35 (easily interchangeable, technologically not advanced, but massively price-elastic, requires low profit margins of producers and not expensive labor, as well as agglomeration of producers).

Germany: GDP $4.2 trillion USD. Industrial sector ~27-30%, or $ 1.1-1.3 trillion. 35% with the world’s highest export ratio of 2.07 (only Japan has an even higher ratio of 2.49). That is, exports are irreplaceable, technologically complex and therefore VERY HIGH MARGINS)

The EU as a whole. GDP 17 trillion USD (suddenly !!! more than China, or at least the same amount). Industrial sector ~25%, or $4.1 trillion. (suddenly a little less than China). Only this branch of industry, as already written above, is a high-tech sector, that is, a marginal area that allows rapid positive capital growth.

US. GDP $22.9 trillion USD. However, the industrial sector accounts for only 18%, or $ 4.1 trillion. (Suddenly less than China and just as much as the EU)!!! And the financial sector is over 20%, as is the entire service sector with 77% of the economy.  But even this industrial sector accounts for only 7.7% of exports and has an export development index (ECI) of only 1.57 (just like China).

To go back to the beginning of the year, the accumulated imbalances in Quantitative Easing (QE) by the federal reserve are accelerating inflation and could bury the entire dollar system.

The end of QE and the beginning of the Fed’s balance sheet reduction would guarantee the collapse of the services sector, the near-death of the financial sector and a large part of venture capital IT as zombie companies with negative revenue margins or without cache flow.

In order to survive, the United States urgently need to develop the real economy, i.e. industry.

However, since the world has become global, no new markets are foreseen. The system cannot conquer Mars and then sell to Martians, so therefore it will have to grow on intensive investments, which means negative capital work.  Since aggregated venture capital investments on intensive investments do not pay off, which has been obvious since 2009, the U.S. is staring at an economic dead end.

So what to do?

Kill the competition.

To get more industry, the US has to get it from somewhere it already exists.   Let’s look at each possible candidate for the US to grab industry:

Option 1: China.
But, firstly, China is a subject (sovereign), secondly, the Chinese and US economies are too intertwined, and thirdly, the development of an industry comparable to China means low profit margins, long payback periods and falling personal incomes. And a decrease in personal income is a revolution in the USA. The option is not suitable.

Option 2: EU.
Fits perfectly, no subjectivity and high margin business.

However, the business is so profitable because it is very technological, i.e. it has a high and long entry threshold. It takes decades of development, thousands of patents and the construction of a team of specialists.

But the patents, specialists and companies do not belong to the EU.

The US has to force these companies in their entirety to move to the USA, just as, for example, low-margin production migrated to China in the 90s.

To do this, you need to create unbearable conditions for the economy: war, hunger and cold.

Take a look at the EU now!!!

Ugly HERE

News 1:
German industrial production fell by 1.8% in the first 8 months of 2022 due to sanctions against Russia, and the German chemical-pharmaceutical sector (high gas dependence) recorded a decrease of 10.7%.

News 2:
The Wall Street Journal published a report on the mass relocation of large German companies to the New World on September 21.
Report HERE

News 3: Explosion at branches of Nord Stream 1 and 2.

To those watching closely, all these things are links in a single chain of events: The EU must be destroyed. At any cost.

It doesn’t matter how many people get killed, there’s $4+ TRILLION dollars a year (of real industry) at stake.

It doesn’t matter how many cities or even countries get wiped out, there’s $4 TRILLION dollars a year  (of real industry) at stake.

People will breed, so they can replace whatever war dead take place.  Cities and countries can be rebuilt.  But the $4 TRILLION a year (of real industry) absolutely, positively, must — and will — come to the US.

Period.  Full stop.

This war is about money.  $4 TRILLION a year in real industry.  Anyone who gets in the way of that will be steamrolled.

This is the main goal of the USA in Ukraine.

It’s for your convenience.
And in many cases, ours as well.

My colleague’s name is 映雪.
When romanized, it is Ying Xue.
She has completely given up hope on any non-Chinese-speaking person pronouncing her name right.
She told me that, sometimes, when people call out her name, like the time she went to a clinic for a medical check-up and they called out her name because yeah, the doctor can see her now – she doesn’t recognize it all, because it gets mangled and butchered beyond belief.

So now, she just goes by the name “Snow”.
Everyone gets that right the first time round.

Given a choice between spending minutes trying to work out if someone is calling your name, or introducing yourself by a name that the vast majority of people will get right, some people decide to go with the latter.

It’s not rocket science.

Would you be able to pronounce 映雪 correctly the first time round, Mr. Asker?

And it’s not only the Chinese.

I have a Vietnamese colleague.
Her last name is Duong.
Except for our Vietnamese colleagues, and most of our Asian colleagues – everyone gets it wrong.
So she goes by Linda, as in Linda Duong.

There is a Korean colleague.
Her last name is Choi.
Yeah, that’s another hard one.
So she goes by Jenny Choi.
Everyone gets the Jenny part right.

Lynyrd Skynyrd – Freebird – 7/2/1977

A thought

Yup, owning farmable/gardenable land and growing organic food is definitely the key to survival. Gold, shitcoins, et al. cannot be eaten or bargain with when the real shit hits the fan.

But go it now!

Posted by: Sam Smith | Oct 3 2022 19:20 utc | 29

Brigitte Bardot, Jane Birkin in great scene from 1973 Don Juan movie

https://youtu.be/qLlVePdNSGw

My opinion: NO.

Whether America likes it or not, the US is dependent on China for its growth and survival. Most people focus on consumer goods which indeed are an important sector for the US since the US is strongly a service economy and not a manufacturing economy. 80% of America’s GDP is in the service sector. Only 10% is in manufacturing. But, in the sector lies much of the technology sales and foreign affiliate sales for the US.

Those like Trump that think they can bring manufacturing back from China to the US and compete internationally are on a fools errand. What these misguided zealots fail to realize is that Trump is like the Pied Piper and leading them to a false narrative. It is not trade in products that is of singular importance as Trump would have you believe. It is the positive inflow of wealth from all sectors to the US that is important and the reason the US has been so successful since WW2. The US had a head start after WW2 because both Europe and Asia laid in ruins, US industry was unscathed by the war and in fact enriched by the war. FAS more than doubles US exports to the world.

One of the biggest reason the US is so wealthy is a sector called: ‘foreign affilate sales’ It is those sales by a multinational from domestic sales in a country from its subsidiary operating in that country. The US enjoys a health FAS in China as it does around the world. If one counts FAS into the math, the US enjoys a wealth surplus, not only from China but from the whole world. In 2016, that wealth surplus was about $3 trillion which dwarfs America’s total export trade About 2 to 1, FAS exceeds US exports .or about $5.7 trillion in FAS vs $2.5 trillion on total US exports. in 2016. Not only that, but wealth inflows into the US from Chinese tourists, students, investment in US debt, and FDI are on top of what US companies do in sales in China.

Trump jeopardizes that FAS by threatening China.

Not only that, but there are 16 minerals the US depends on China for. There are over 60 mineral from around the world the US depends on others because we don’t either have them domestically, or not enough for our needs, 16 are from China. On that list are things like rare earths that have the attention of media today with people saying we don’t or do have a problem. In rare earths, the US has one mine in California and it sends its concentrates for processing before it can be used. It is dominated by light rare earths which is not the section most in need by the military. However, the US military has proposed to fund a US processing capability to keep military needs from being shut out by China. It does not offer a commercial solution. The US tried twice to compete with China and both times the civilian company involved failed and declared bankruptcy.

Mountain Pass mine – Wikipedia

I think there is a equally important mineral, Gallium that China produces 95% of. It is the reason China is moving ahead of the US in 5G. Huawei owns 2000 patents in Gallium. Why? Gallium replaces silicon for high frequency and high power applications in 5G. So far the US seems oblivous to that fact. If the Chinese cut off rare earths and gallium, would that start a war? Gallium is used in things like radars, sensors, and ELINT applications and China is the world’s 95% source.

China produces 95% of world’s gallium, used in 5G base station chipsets

Based on 2016 numerical data:

$479 Bn in US exports amount represents less then 5% of China’s GDP.

  • China’s GDP is growing at 6.7%. If the loss of US exports were to impact China’s GDP proportionately, it will be absorbed within less then one year through normal growth all things being equal.
  • To replace lost export volume to the US, China would need to grow exports to other countries by an average of 6.5%.

x
x

You can judge for yourself if China can manage without exports to the US.

Can the US manage without smartphones, personal computers, tablets and empty shelves in Walmart?

Sly & The Family Stone “I Want To Take You Higher” LIVE on U.S. TV 7/74

https://youtu.be/am04NZL8pA4

There is a lot of calls I remember but the one I remember most was this one:

It was about 2AM on a Wednesday morning and that is typically a quiet time in Bexar County (San Antonio), Texas. I got a call for a “10–50 Major Vehicle vs. Motorcycle” and to respond Code 3 (A major accident involving a motor vehicle and a motorcycle and respond with lights and siren due to the level of the emergency. For those who are reading this – this was in the time of Harlon Copeland as Sheriff so I am dating myself.

I hit the Code 3 and arrived 4 minutes later, and about 1 minute after another unit who was sent also. Upon my arrival, I observed a 1980’s era Ford F-150 pickup truck sideways against a curb and a motorcycle, totally smashed laying upon alongside the front of the Ford. I could not see the motorcycle operator, but the driver was freaking out.

As I approached, I saw the left side of the Ford pickup and walked around the right side where my partner was standing. As I came around, I saw that the right front tire was totally 100% on top of the motorcyclist’s head – and he was wearing a helmet (I remember specifically it was a “Bell” helmet!). Fire companies were still far away. My partner was talking to the motorcycle operator and believe it or not he was answering! My partner and I rushed to our patrol cars and took out our tire jacks and put them both under the truck and jacked it up.

Once the motorcycle rider was freed from under the crushing weight of the Ford F-150’s tire, he literally stood up and unbuckled the helmet and said, “Thank GOD I was wearing my helmet!”. He walked away with some scrapes to his face and serious scrapes to that “Bell” motorcycle helmet. At the time there was no law to wear a helmet and today there isn’t either. He chose to protect himself.

To this day, when I see a motorcycle operator without a helmet I think of that guy and his lucky, strong, and wonderful “Bell” helmet. I am sure he has it somewhere high on a shelf in a place of honor for saving his life. *** WEAR YOUR HELMET!”

Lawson, The Most Professional Arms Dealer In Albuquerque

https://youtu.be/5Dv9mytyIEM

The situation was too serious for someone to be literally laughed out of the courtroom, but this is a true story.

My daughter was kidnapped when she was 10 — recovered 12 hours later in bad shape. Imagine the worst and then multiply that by 100 and you have an idea.

After my daughter testified, I was up next. I wasn’t allowed in the courtroom, nor my wife because we were also witnesses.

The defense attorney tried to paint me as a “wanna be” cop loving hick. He starts asking me questions.

“Is this a picture looking in your daughters room” says our monster’s attorney (who, I shit you not, looks exactly like Mr Burns from the Simpsons).

“Yes” I answer.

“And this is?” he asks pointing.

“Um, her door?” I answer.

“And this thing stuck to her door?” he asks.

“A poster.” I say, firmly.

“And this writing — what does it say?” he asked with a grin.

“It’s a TARDIS. My daughter is a Doctor Who fan. She also has Tardis sox and a Tardis dress. It’s a time machine disguised as a police call box from the 1960s.” I answered. I’m thinking where the heck is he going with this.

The jury giggles — there’s some laughter in the courtroom.

“And who gave this to her?” he asked with a stern tone.

“Um. Santa”. I said maybe a bit too softly

“What was that?” asked the Simpson Burns clone.

“Santa Claus. It was a Christmas present from Santa” I said loudly.

Both the jury and the court ‘audience’ erupted in laughter, even the judge did a face-palm, I’m told.

That ended the “police” fan boy line of questioning.

Note: Our monster was found guilty, received LWOP (life without the possibility of parole) and 14 life sentences totaling up to 200+ years to life (that’ll give you an idea of the horrors our daughter endured. When our daughter (now 13) found out about my testimony, she gave me a big hug and told me: “OMG! I can’t believe you got Doctor Who and Santa Claus in the court record!”

x
x

This is Stella who’s just turned 17. Back in early 2010 I’d had ivf and was waiting the 12 days until I could test to see if I was pregnant. A few days before I was due to take the test, Stella started climbing onto my stomach and curling up and would fall asleep. Now Stella loves her strokes and cuddles, but isn’t a lap cat at all so when she did that I thought ‘she knows something I don’t!’ Sure enough, 3 days later I was looking at 2 lines on that pregnancy test and my son is 12 next week. And she’s very protective of him too.

x
x

Imagine this if you will. I was a landlord for a few years and would’ve hated a tenant like you.

I am not a rich man by any means of the term. I worked for years in 100+ Louisiana heat to afford my house, then when things were finally looking up I moved to a nicer one for my family.

My friends talked me into renting not selling by saying I could make extra money, and that people depend on rentable properties when they can’t afford to buy. The numbers worked out so that’s what I did.

I got a renter who met the criteria and was nice. Paid rent on time, never broke anything or was loud.

One day rent was late, I waited. 3 days late, I sent a letter. 7 days late, I deliver a letter. 12 days late, I knock on the door, I am met with the same nice renter that explains they were out of town and they just walked in as I knocked and that they’ll write it immediately.

3 weeks late, I knock and was told they lost their job and can’t pay. I tell them how sorry I am for their situation, but maybe they can take a loan, borrow from family, or something alike.

I made them aware that regardless of either of our situations, the bank wants their mortgage payments. Their response was, no matter what, they would not be paying rent anymore. In return I told them they would need to be out as soon as possible. They reacted as if I asked for their first born,“I just told you I can’t afford rent, where am I supposed to go?”. I told them that I am so so sorry about their job, but if they aren’t paying then I have to, and how are they comfortable enjoying the fruits of my labor which they are now refusing to pay back.

They asked me to leave! That’s right, my house! The mortgage was $1200 a month. I understood that they would no longer pay, so I called every lawyer friend that I had until one sent me the forms I needed. It took 6 months to get them out. Over $7000 that I had to pay for another person/persons to live in my house.

I am not vengeful or spiteful, but I smiled when Orleans Parish Sheriff’s Deputies dragged him out.

They left a small collection of firearms which they never returned for our contracted me about. I was allowed to keep and sell anything left after a certain date, which I did. Luckily he didn’t try to barricade himself, but he did trip himself(on camera) coming down the 3ft steps, then try to sue me and my insurance. Which he then said he would settle for 25k. I sold the firearms for about $5600 individually! Why didn’t he just do that and pay the rent?!?!?!

I’m sorry you are in this position, but keep in mind that you are putting someone else in the same position by not leaving, on top of being an asshole.

Thin Lizzy – Cowboy Song

Something is Happening in Belgorod Russia . . . Strange Glow from sky

.

x
x

Something very peculiar is taking place in the sky above Belgorod, Russia: First there was a single beam of golden light, shining down from the sky, then there were TWO!

x
x

“This is the letter my neighbor sent me after I let him babysit my pets while I was on vacation”

x
x

Ok, so you’re an awful person.

Also wrong.

You’re allowed to work up to 20 hours/week during term, and 40 hours/week during breaks.

Unlike you, the US government understands that international students have bills to pay, and might need to eat occasionally. What your neighbor is doing is both legal and praiseworthy, precisely the sort of person we should be welcoming with open arms.

Mr.Inbetween 3×09 – Farmhouse Shootout Scene

Several general goals:

  1. Complete her economic development. Become one of the so-called “advanced” economies.
  2. Complete her military build-up. Become safe from US and NATO aggression.
  3. Complete her technological development. China still lags behind USA in several technological areas.
  4. Build a more unified and multipolar world order. The process has begun with alliances such as BRICS, RCEP, and SCO.
  5. Reunify with Taiwan, peacefully if possible.

Cool Change – Little River Band

Sad Kitty

My adopted sad kitty( had to get him, heart was breaking)hid in the basement for 8 months. My husband and I tried everything to make him comfortable, then one day recently he came up and is loving and accepting. He has nice fur now and is much bigger. At the shelter his name was jinx, changed to binx. Looks like he has a will to live and be loved now. So happy had to share!

x
x

Absolutely

x
x

On May 7th he requested for a 21 day Holiday to go to Hong Kong for Traditional Medicine Treatment for Epilepsy.

On May 13th 2014, Snowden wrote to the Ministry of State Security in China and the FSB in Russia requesting for Asylum.

He gave 10 IP addresses to each Country monitored by the NSA as an initial offer of Asylum…

The US promptly decided to extradite him from Hong Kong


Enter Robert Libbo & Ong Boon Keong

US filed three immediate requests :-

  • Provisional Arrest Immediately
  • If not Provisional arrest, at least Confinement in his Hotel Room
  • Monitoring all his activities

Sadly for US, China had got the IP Addresses and were Furious!!!!

Two Chinese Big Shots from the CPC visited HK and left a day later.

HK said – Sorry!!!! We cannot arrest him or monitor his activities!!! Send your Extradition request within 30 days

Chinese Officials from the Singapore Consulate met Snowden and Snowden gave them over 278 GB of Data across qty=4 80 GB Support Disks. It contained many, many; many monitored IP addresses and protocol routes.

A Pro West Judge (in HK) was slated to hear the case…

…but 1 hour before the first hearing…

… He was so terrified by someone who called him that he mysteriously took a 14 day recuperation and the case moved to a devout pro chinese Judge.

This judge was  a Lady who refused everything to the US and openly told HK authorities to arrest anyone who entered the Mira Hotel without permission- diplomacy be damned!

Arrest them immediately! No exceptions!

By the time US used its Political clout – Snowden vanished.

He moved to Kowloon to some Refugee apartment and the Pro Chinese Judge exempted him from any appearance in court.


The Technicalities

The US sent a formal extradition request and Lackey HK politicians dutifully endorsed it to the court. (Known as “rubber stamping”.)

Our Pro Chinese Judge promptly rejected it on grounds of:-

[1] Error in listen name

[2] Social Security Document not a valid proof of ID in HK.

[3] The Passport details are mismatched.

This was true. The Request was so hurried that the Name and Passport were botched up.

However China of 2013 wasn’t the China of 2022. It was much weaker.

The Mainland Authorities knew eventually they would have to kowtow to US and send Snowden to US unless they invaded HK. (There were too many American assets, operatives and CIA operators inside of HK).

So they tipped off Snowden who immediately moved to the Russian Consulate in HK


Snowden throws a Brilliant Curveball

Snowden applied to 21 Nations for Asylum knowing fully well he would go only to China.

Later he changed to Russia after advise from the Chinese.

Snowden used the NSA tracking against them by making 20 calls to the Bolivian consulate in HK and talking to the Bolivian Ministry. All in an elaborate ruse.

Everyone believed he would make for the Bolivian consulate.

Unlike Assange, Snowden wasn’t an Idiot who trusted the Western System. He knew that they were all prostitutes of the USA for a long, long time.

But, you know…

Nobody looked at Russia

Everyone thought that it was either China or Bolivia.

Meanwhile HK authorities passed an order forbidding Snowden to leave by Air if he presented his passport.


China makes the last call

Again China made a call.

And just like that,  the order forbidding movement by air  was revoked on Human Rights grounds and was called for somewhere in Mid June 2014.

Snowden left 2 hours after it was revoked and well before US State Department could respond.

By the time the US State Department responded, Snowden was Long Gone and was in Moscow where Asylum was granted in a record 40 minutes pending a hearing scheduled 11 months later.


So it was China who ensured that Snowden never got caught or extradited

They however knew that they could not bring Snowden to Beijing and risk so much Political Pressure, so they orchestrated his movement to Russia and subsequently demanded details from the US on their spying that US simply could not give.

CHECKMATE

Today Snowden is a Russian Citizen


What happened in China next

The Great Firewall was enhanced and every single American spy Protocol was destroyed.

China amended the law to exempt Personal Security to US Equipment Server Engineers .

Two Engineers who were arrested on grounds of spying were beaten so badly in custody that the rest fled China in sheer terror.

Over 500 Equipment Contracts with US were decimated

China demanded newer Security Agreements and told a Facebook Engineer who was arrested how they would target their families in very, mean, cruel and nasty ways.

It was literally a Mafia Moment in China

US Security Experts in China were so frightened that they all fled China and their equipment was seized and destroyed. They dropped what they were doing and left within hours. Some didn’t even pack.

US didn’t protest because they were caught “red handed” and with their pants down.

The Israels entire Surveillance system was compromised within Iran. Aside from the many captures and long imprisonments, Five Iranians were executed and their families completely disappeared.

Israel and US lost a Massive Surveillance Behemoth in the Region.


Snowden was easily Chinas Greatest Patriot

Without him imagine?

China would never have [1] set up counter surveillance on US, [2] created their Great Firewall and [3] protected itself from Malicious Western Impacts.

Is the 48 contiguous U.S. states larger than all of China?

No. China is much larger.

The land area of China is around 3.7million square miles, while the land area of the U.S. (minus Alaska, Hawaii, and U.S. Territories), is 2.9million square miles.

I believe they do.

When Mikey was a kitten he was pretty attached to me but then after 6 months old he became more independent.

x
x

He was so funny and so full of life and he was such a busy guy. Though, he was always ready for cuddle time at bedtime.

I noticed a shift in Mikey’s behavior towards me when he was around 8 years old.

He started following me around again like he did when he was a kitten.

x
x

He started keeping tabs on me.

Mikey was born deaf so he always had his own way of communicating and his meow was super loud.

x
x

When I would return from the store or wherever I had been he would protest loudly to let me know he was not happy about me leaving him.

By the time Mikey was 12 years old we were joined at the hip. Where mommy went, Mikey went too.

x
x

I even took him with us on our vacation to California from Oregon. 12 hours in the car and he did so well, he was so happy he got to go.

x
x

x
x

Mikey died at 18 years old and we were closer than we had ever been before.

We spent quality time together especially between the ages of 12 and 18 years old.

x
x

Honestly, his senior years were my favorite years, I loved how he depended on me because for all the years prior it was me depending on him.

He never let me down.

x
x

So I couldnt let him down.

x
x

We had a wonderful life together with every moment filled with love.

We were best friends.

Truth be told, I was always the one with the attachment issues.

x
x

Divinyls – Boys In Town [HQ]

Oh SHIT they think Putin is bluffing and they’re pushing nuclear war.

Well worth the two hours. At least check out the first segment (after the 30 minutes or so lead in)…

China fortifies itself and braces for the United States war machine

"America is the first country to have gone from barbarism to decadence without the usual intervening period of civilization." - Oscar Wilde

It became wealthy and powerful through violence and theft. Then it proclaimed itself The Greatest Country in the World and History.

Then it died of that hubris.

Putin’s Remarks On Europe

From Vladimir Putin’s speech held at the signing ceremony of treaties on accession of Donetsk and Lugansk people’s republics and Zaporozhye and Kherson regions to Russia:

 

Western countries have been saying for centuries that they bring freedom and democracy to other nations. Nothing could be further from the truth. Instead of bringing democracy they suppressed and exploited, and instead of giving freedom they enslaved and oppressed. The unipolar world is inherently anti-democratic and unfree; it is false and hypocritical through and through. 
...
Recall that during WWII the United States and Britain reduced Dresden, Hamburg, Cologne and many other German cities to rubble, without the least military necessity. It was done ostentatiously and, to repeat, without any military necessity. They had only one goal, as with the nuclear bombing of Japanese cities: to intimidate our country and the rest of the world.

The United States left a deep scar in the memory of the people of Korea and Vietnam with their carpet bombings and use of napalm and chemical weapons.

It actually continues to occupy Germany, Japan, the Republic of Korea and other countries, which they cynically refer to as equals and allies. Look now, what kind of alliance is that? The whole world knows that the top officials in these countries are being spied on and that their offices and homes are bugged. It is a disgrace, a disgrace for those who do this and for those who, like slaves, silently and meekly swallow this arrogant behaviour.

They call the orders and threats they make to their vassals Euro-Atlantic solidarity, and the creation of biological weapons and the use of human test subjects, including in Ukraine, noble medical research.

It is their destructive policies, wars and plunder that have unleashed today’s massive wave of migrants. Millions of people endure hardships and humiliation or die by the thousands trying to reach Europe.

They are exporting grain from Ukraine now. Where are they taking it under the guise of ensuring the food security of the poorest countries? Where is it going? They are taking it to the self-same European countries. Only five percent has been delivered to the poorest countries. More cheating and naked deception again.

In effect, the American elite is using the tragedy of these people to weaken its rivals, to destroy nation states. This goes for Europe and for the identities of France, Italy, Spain and other countries with centuries-long histories.

Washington demands more and more sanctions against Russia and the majority of European politicians obediently go along with it. They clearly understand that by pressuring the EU to completely give up Russian energy and other resources, the United States is practically pushing Europe toward deindustrialisation in a bid to get its hands on the entire European market. These European elites understand everything – they do, but they prefer to serve the interests of others. This is no longer servility but direct betrayal of their own peoples. God bless, it is up to them.

But the Anglo-Saxons believe sanctions are no longer enough and now they have turned to subversion. It seems incredible but it is a fact – by causing explosions on Nord Stream’s international gas pipelines passing along the bottom of the Baltic Sea, they have actually embarked on the destruction of Europe’s entire energy infrastructure. It is clear to everyone who stands to gain. Those who benefit are responsible, of course.

The whole speech includes much more and deserves your attention. (For those who can not reach the Kremlin site a text file with the speech is available here.) If you can not reach In essence Putin is setting up Russia as the new liberation force the same way the Soviet Union had been one for many formerly colonized smaller countries. The ‘third world’ may well turn to Russia when it needs help.

Europe though, is fucked.

Our politicians have not only allowed our societies to be undermined by the U.S. but they have actively helped it along. They continue to do so despite the obviously coming economic and social catastrophe the U.S. has caused with the destruction of Europe’s access to cheap energy.

Only a huge shift in public sentiment can change that. My only hope is that the upcoming dark decade in Europe will bring about those changes.

Posted by b at 10:31 UTC

.

No. The US companies certainly did not go to China with the intention of helping China. The US companies went to China because China could offer the cheapest acceptable alternative to what the US wanted to make, thereby increasing profit for the US companies involved. There is no charity involved. It is all business and profitability,

The US companies benefitted immensely from China’s “sweat shops”. And so did businesses from other countries such as Europe and Japan.

But in that process, China began to grow. Not because the US was helping China, but because China created a very good business environment that attracted not only companies from the US, but from other countries as well.

People from the US should stop claiming otherwise.

Americans Spent More on Taxes Last Year Than on Food, Health Care, Education, and Clothing Combined

Overall, taxes accounted for about 25 percent of average consumer spending…This outpaces average spending on food, clothing, education, and health care combined.

The mean for total spending per unit on taxes was $16,729.73. This included $8,561.46 in federal income tax, $2,564.14 in state and local income taxes, $2,475.18 in property taxes, $5,565.45 in Social Security deductions, and $105.21 in other taxes, offset by an average stimulus payment of $2,541.71.

At the end of democracy, government directs the economy by creating rent-seeking opportunities. If everyone must file Form #666, there will be an industry in those who provide this service, and soon a few winners will emerge and raise the costs of doing business so that no one else can take their place (costs are passed on to the customers directly, after all). When free money goes out in the form of subsidies, industries will spring up around that and soak up the money in order to keep enough people employed so that the circular Ponzi scheme keeps going. To keep all of this working, government must tax the living hell out of the 53% who pay all the taxes. At some point, just as in the Soviet Union, this marginal cost eats up productivity itself.

I have a friend who is an ISO certification auditor. He visits regional industrial facilities regularly. He loves to regale us with his war stories. One of our favorites is his experience in an Indian warehouse. His checks revealed significant discrepancy between the inventory and physical stock.

He interviewed the person in charge, as part of the process.

It says 20 here on inventory. That’s your signature correct?

Yes.

Can you count again for verification?

… 15, 17, 18, 20, sir. Correct figure.

My friend was stunned into silence.

He repeated the test.

Correct figure sir each time.

There are reasons why MNCs hire Singaporean auditors to evaluate Indian warehouses.


China is a billion. India is also a billion. Why is China the factory of the world while India isn’t, despite being part of the Commonwealth and an English speaking democracy?

This gravitational pull did not happen overnight. It took decades for tens of thousands companies to be sucked into the Chinese orbit. Every one of them found their competitors enjoyed significant cost advantage by shifting to China. They had to play catch-up to early adopters.

That is not conspiracy. It’s economic reality. Shape up or ship out.

What’s the difference between China and India? My auditor friend has an incisive answer that cuts to the core.

China delivers.

Calling it a restaurant is a hyperbole. Although they have three tables (wine barrels covered with with a glass topping and barstools set around) it is more of a take-away place. The couple running it are nice people, the man, Franco, cooks and his wife, Virginia serves. The food is straightforward home cooking. Pasta with seasonable vegetables, grilled meat and fish.

This place is right in front of my office and when there is no time to go home and have lunch, it is a marvellous alternative. A plate of pasta cost no more than four euros, the same as meat or fish with fresh vegetables.

x
x

Every day they send a whatsapp message with the choice of the day. A simple call with the order and twenty minutes later they’d bring the piping hot pasta to the office. What is there not to love about the place?

For about three years I ate there two to three times a week. They even knew my taste and would set stuff aside. As the pasta with fish ragù in the picture.

So why on earth giving up this delight? Well, let’s be clear, it wasn’t my choice. Much to my surprise I was removed from the whatsapp list. Turned out their son was a student of mine. And he fabulously failed his exam. Till that moment I didn’t even know they had a son. And I wasn’t pleased with the promise he’d spit in my food.

Pentagon’s Bot Army Banned From Facebook & Twitter!

What do you think of China telling state banks to prepare for a massive dollar dump and yuan buying spree?

Its entirely intentional

They know that US will do the same robbery they did with Russia and may end up stealing Chinas Massive Reserves

So China is prepared for a Short Time Yuan Crash and dump as many dollars as possible and maybe dump as much as $ 500 Billion – $ 750 Billion and keep the Yuan to around 8.17 – 8.33

Its the best time for China. They can blame the Ukrainian Crisis and slowly keep liquidating their Dollars and buying more Oil and Gas and Coal and Commodities. The US Banks will keep buying the Dollars to prevent the Dollar values from Carshing and the Fed keeps rising rates to ensure that the Dollar doesnt fully get dumped.

So China will Dump the Dollar and Print Yuan as the equivalent – which will lead to the recession slowly being lifted and the Yuan falling to around 8.50 by Next Year.

Its a Short Term Problem but China knows that by 2027 – if they continue onthis trajectory – US could hold them in a massive Vice.

Today China controls 90% of the Supply Chain and so they can earn back $ 1 Trillion in reserves if they follow the Russian mode of Gas for Rubles

2027?

China could be in some trouble.


Its a Brilliant Long Term Move

Its whats called a Cancer Surgery

Remove the Tumor fast to prevent it spreading

THE TERMINATOR Tech Noir Scene(1984) Retro Sci Fi Horror

Cheddar Cheese and Broccoli Soup

Homemade soup! It’s so quick and easy, it can be on your dinner table in 30 minutes.

x
x

Ingredients

  • 2 cans (10 3/4 oz each) condensed Cheddar cheese soup
  • 2 cups water
  • 6 cups frozen broccoli florets
  • 2 cups milk
  • 1/2 teaspoon ground mustard
  • 1/4 teaspoon salt
  • 1/4 teaspoon garlic powder
  • 1/8 teaspoon pepper
  • 2 cups shredded Cheddar cheese (8 oz)

x
x

The Sopranos: The Saturn Situation

Who Profits from Pipeline Terror?

Secret talks between Russia and Germany to resolve their Nord Stream 1 and 2 issues had to be averted at any cost
.

The War of Economic Corridors  has entered incandescent, uncharted territory: Pipeline Terror.

A sophisticated military operation – that required exhaustive planning, possibly involving several actors – blew up four separate sections of the Nord Stream (NS) and Nord Stream 2 (NS2) gas pipelines this week in the shallow waters of the Danish straits, in the Baltic Sea, near the island of Bornholm.

x
x

Swedish seismologists estimated that the power of the explosions may have reached the equivalent of up to 700 kg of TNT. Both NS and NS2, near the strong currents around Borholm, are placed at the bottom of the sea at a depth of 60 meters.

The pipes are built with steel reinforced concrete, able to withstand impact from aircraft carrier anchors, and are basically indestructible without serious explosive charges. The operation – causing two leaks near Sweden and two near Denmark – would have to be carried out by modified underwater drones.

Every crime implies motive. The Russian government wanted – at least up to the sabotage – to sell oil and natural gas to the EU. The notion that Russian intel would destroy Gazprom pipelines is beyond ludicrous. All they had to do was to turn off the valves. NS2 was not even operational, based on a political decision from Berlin. The gas flow in NS was hampered by western sanctions. Moreover, such an act would imply Moscow losing key strategic leverage over the EU.

Diplomatic sources confirm that Berlin and Moscow were involved in a secret negotiation to solve both the NS and NS2 issues. So they had to be stopped – no holds barred. Geopolitically, the entity that had the motive to halt a deal holds anathema a possible alliance in the horizon between Germany, Russia, and China.

Whodunnit?

The possibility of an “impartial” investigation of such a monumental act of sabotage – coordinated by NATO, no less – is negligible. Fragments of the explosives/underwater drones used for the operation will certainly be found, but the evidence may be tampered with. Atlanticist fingers are already blaming Russia. That leaves us with plausible working hypotheses.

This hypothesis is eminently sound and looks to be based on information from Russian intelligence sources. Of course, Moscow already has a pretty good idea of what happened (satellites and electronic monitoring working 24/7), but they won’t make it public.

The hypothesis focuses on the Polish Navy and Special Forces as the physical perpetrators (quite plausible; the report offers very good internal details), American planning and technical support (extra plausible), and aid by the Danish and Swedish militaries (inevitable, considering this was very close to their territorial waters, even if it took place in international waters).

The hypothesis perfectly ties in with a conversation with a top German intelligence source, who told The Cradle that the Bundesnachrichtendienst (BND or German intelligence) was “furious” because “they were not in the loop.”

Of course not. If the hypothesis is correct, this was a glaringly anti-German operation, carrying the potential of metastasizing into an intra-NATO war.

The much-quoted NATO Article 5 – ‘an attack on one of us is an attack on all of us’ – obviously does not say anything about a NATO-on-NATO attack. After the pipeline punctures, NATO issued a meek statement “believing” what happened was sabotage and will “respond” to any deliberate attack on its critical infrastructure. NS and NS2, incidentally, are not part of NATO’s infrastructure.

The whole operation had to be approved by Americans, and deployed under their Divide and Rule trademark. “Americans” in this case means the Neo-conservatives and Neo-liberals running the government machinery in Washington, behind the senile teleprompter reader.

This is a declaration of war against Germany and against businesses and citizens of the EU – not against the Kafkaesque Eurocrat machine in Brussels. Don’t be mistaken: NATO runs Brussels, not European Commission (EC) head and rabid Russophobe Ursula von der Leyen, who’s just a lowly handmaiden for finance capitalism.

It’s no wonder the Germans are absolutely mum; no one from the German government, so far, has said anything substantial.

The Polish corridor

By now, assorted chattering classes are aware of former Polish Defense Minister and current MEP Radek Sirkorski’s tweet: “Thank you, USA.” But why would puny Poland be on the forefront? There’s atavic Russophobia, a number of very convoluted internal political reasons, but most of all, a concerted plan to attack Germany built on pent up resentment – including new demands for WWII reparations.

x
x

The Poles, moreover, are terrified that with Russia’s partial mobilization, and the new phase of the Special Military Operation (SMO) – soon to be transformed into a Counter-Terrorism Operation (CTO) – the Ukrainian battlefield will move westward. Ukrainian electric light and heating will most certainly be smashed. Millions of new refugees in western Ukraine will attempt to cross to Poland.

At the same time there’s a sense of “victory” represented by the partial opening of the Baltic Pipe in northwest Poland – almost simultaneously with the sabotage.

Talk about timing. Baltic Pipe will carry gas from Norway to Poland via Denmark. The maximum capacity is only 10 billion cubic meters, which happens to be ten times less than the volume supplied by NS and NS2. So Baltic Pipe may be enough for Poland, but carries no value for other EU customers.

Meanwhile, the fog of war gets thicker by the minute. It has already been documented that US helicopters were overflying the sabotage nodes only a few days ago; that a UK “research” vessel was loitering in Danish waters since mid-September; that NATO tweeted about the testing of “new unmanned systems at sea” on the same day of the sabotage. Not to mention that Der Spiegel published a startling report headlined “CIA warned German government against attacks on Baltic Sea pipelines,” possibly a clever play for plausible deniability.

The Russian Foreign Ministry was sharp as a razor: “The incident took place in an area controlled by American intelligence.” The White House was forced to “clarify” that President Joe Biden – in a February video that has gone viral – did not promise to destroy NS2; he promised to “not allow” it to work. The US State Department declared that the idea the US was involved is “preposterous.”

 

It was up to Kremlin spokesman Dmitry Peskov to offer a good dose of reality: the damage to the pipelines posed a “big problem” for Russia, essentially losing its gas supply routes to Europe. Both NS2 lines had been pumped full of gas and – crucially – were prepared to deliver it to Europe; this is Peskov cryptically admitting negotiations with Germany were ongoing.

Peskov added, “this gas is very expensive and now it is all going up in the air.” He stressed again that neither Russia nor Europe had anything to gain from the sabotage, especially Germany. This Friday, there will be a special UN Security Council session on the sabotage, called by Russia.

The attack of the Straussians

Now for the Big Picture. Pipeline Terror is part of a Straussian offensive, taking the splitting up of Russia and Germany to the ultimate level (as they see it). Leo Strauss and the Conservative Movement in America: A Critical Appraisal, by Paul E. Gottfried (Cambridge University Press, 2011) is required reading to understand this phenomenon.

Leo Strauss, the German-Jewish philosopher who taught at the University of Chicago, is at the root of what later, in a very twisted way, became the Wolfowitz Doctrine, written in 1992 as the Defense Planning Guidance, which defined “America’s mission in the post-Cold War era.”

The Wolfowitz Doctrine goes straight to the point: any potential competitor to US hegemony, especially “advanced industrial nations” such as Germany and Japan, must be smashed. Europe should never exercise sovereignty: “We must be careful to prevent the emergence of a purely European security system that would undermine NATO, and particularly its integrated military command structure.”

Fast-forward to the Ukraine Democracy Defense Lend-Lease Act, adopted only five months ago. It establishes that Kiev has a free lunch when it comes to all arms control mechanisms. All these expensive weapons are leased by the US to the EU to be sent to Ukraine. The problem is that whatever happens in the battlefield, in the end, it is the EU that will have to pay the bills.

US Secretary of State Blinken and his underling, Victoria “F**k the EU” Nuland, are Straussians, now totally unleashed, having taken advantage of the black void in the White House. As it stands, there are at least three different “silos” of power in a fractured Washington. For all Straussians, a tight bipartisan op, uniting several high-profile usual suspects, destroying Germany is paramount.

One serious working hypothesis places them behind the orders to conduct Pipeline Terror. The Pentagon forcefully denied any involvement in the sabotage. There are secret back channels between Russia’s Security Council Secretary Nikolai Patrushev and US National Security Advisor Jake Sullivan.

And dissident Beltway sources swear that the CIA is also not part of this game; Langley’s agenda would be to force the Straussians to back off on Russia reincorporating Novorossiya and allow Poland and Hungary to gobble up whatever they want in Western Ukraine before the entire US government falls into a black void.

Come see me in the Citadel

On the Grand Chessboard, the Shanghai Cooperation Organization (SCO) summit in Samarkand, Uzbekistan two weeks ago dictated the framework of the multipolar world ahead. Couple it with the independence referendums in DPR, LPR, Kherson and Zaporozhye, which Russian President Vladimir Putin will formally incorporate into Russia, possibly as early as Friday.

With the window of opportunity closing fast for a Kiev breakthrough before the first stirrings of a cold winter, and Russia’s partial mobilization soon to enter the revamped SMO and add to generalized western panic, Pipeline Terror at least would carry the “merit” of solidifying a Straussian tactical victory: Germany and Russia fatally separated.

Yet blowback will be inevitable – in unexpected ways – even as Europe becomes increasingly Ukrainized and even Polandized: an intrinsically neo-fascist, unabashed puppet of the US as predator, not partner. Vey few across the EU are not brainwashed enough to understand how Europe is being set up for the ultimate fall.

The war, by those Straussians ensconced in the Deep State – neocons and neoliberals alike – won’t relent. It is a war against Russia, China, Germany and assorted Eurasian powers. Germany has just been felled. China is currently observing, carefully. And Russia – nuclear and hypersonic – won’t be bullied.

Poetry grandmaster C.P. Cavafy, in Waiting for the Barbarians, wrote “And now what will become of us, without any barbarians? Those people were some kind of a solution.” The barbarians are not at the gates, not anymore. They are inside their golden Citadel.

It NEVER fails to astonish me how many truly stupid people there are in this world.

Two thousand years ago, there were no “migrants” going to China. This wasn’t an issue at all.

The Great Wall was about national defense against invaders.

How quiet quitters gain from doing just enough work

Hinckley explained that a major driver behind the growing interest of the topics on social media was the COVID-19 pandemic, which pushed many workers out of the office and into their homes.

There they had free time to pursue their passions and placed a greater emphasis on mental well-being.

“We realized there’s so much more to life than just going to work,” Hinckley said.

You probably saw us ranting about this here: when workers stay home, they realize that Whatever and Office Space were right: 90% of what of we do in offices is unnecessary and pro-forma, and most people really “work” only about fifteen minutes a week. If that is the case, why not skip all the meetings, emails, trainings, and team-building activities, since you will get everything done just the same except without all the junk generated simply to give other people something to do?

Yes, I’ve been “invited to tea”by the police twice, both in my hometown Xinjiang BTW.

So last year I went back to Xinjiang to do some filming, make some videos and upload them to YouTube. Well, because of that I had caused myself some small trouble.

This video in particular made me be “invited to tea” for the first time:

Though there are some sensitive remarks in this video, they are not mine but some Uyghur nationalists’. I just read them out in order to let my audiences know what do some Uyghur nationalists think of Han Chinese.

The police officer eventually figured it out and realized that it was a misunderstanding. We ended up smoking cigarettes and chatting with each other until midnight in his office. He shared a lot of his personal experiences being a police officer in Xinjiang and he also suggested me to be careful with my comments online because some people would intentionally twist my words or cut me out of context in order to make me fit into their own narrative. The man was absolutely correct. Lastly, he saw me to the door of the police station and went back to work.

The second time I encountered such case was when I was traveling in a very small town which can be found in North Xinjiang. I was trying to shoot videos while holding my camera when one guy came up to me and wanted to know who was I and what I was doing. I told him that I was a vlogger and I was just filming. He seemed to be nice but he kept following us along the way. We then went to a restaurant, minutes later a few police officers came and asked us to go with them.

It turned out that we had been reported by the man who was following us. The police officer asked me a few questions and checked my camera to see what I had filmed. Again, it was just another misunderstanding and we left the police station in 10 mins.

The guy who reported us may had watched some anti-spy videos online and he thought we were doing some illegal things. The police officer also told us that people in that small town were very sensitive and alert towards people with camera or other digital devices. Honestly, I have to say that it’s just a very small town with nothing special at all. No one including the BBC and CNN would be able to “create some interesting stuff” there. I was filming there simply because it’s a Mongolian autonomy town and I wanted to capture some Mongolian signs on the street.

Anyway, as you can see, after being slandered and attacked by some anti-China media, then being sanctioned by the USA government. The Xinjiang authority and sometimes even the ordinary people of Xinjiang would overreact to “suspicious activities” which doesn’t ALWAYS mean we have something to hide. In many cases, like the first police officer said, we are just afraid of some journalists’ or experts’ creative work which could mislead people’s opinions on what’s really going on in Xinjiang.

Anyhow, in comparison with some “citizen journalists” or some “human rights activists”, this is my boring and unspectacular experience being “invited to tea” in China. It may disappoint you if you wanna hear some exciting and bizarre stories.

Jimmy Altieri Gets Whacked – The Sopranos HD

😀 😀 You do realise that ALL the covid test kits ALL around the world are made by China right?

x
x

This test kit is made for the Netherlands.

Guess where it’s made? China.

After self-hosting my email for twenty-three years I have thrown in the towel. The oligopoly has won.

Email is now an oligopoly, a service gatekept by a few big companies which does not follow the principles of net neutrality.

I have been self-hosting my email since I got my first broadband connection at home in 1999. I absolutely loved having a personal web+email server at home, paid extra for a static IP and a real router so people could connect from the outside. I felt like a first-class citizen of the Internet and I learned so much.

Over time I realized that residential IP blocks were banned on most servers. I moved my email server to a VPS. No luck. I quickly understood that self-hosting email was a lost cause. Nevertheless, I have been fighting back out of pure spite, obstinacy, and activism. In other words, because it was the right thing to do.

But my emails are just not delivered anymore.

DMARC/DKIM, https, and AMP serve zero purpose for your average website. If you do not use them, you fall in Google’s ranks, and Google controls up to 90% of the search market, so everyone does what Google says. Naturally, Google is abusing this power, as are other large corporations in implicit collusion, simply because that way they can keep their power and do not have to admit the competition that will un-do them because they are bloated corporate welfare dinosaurs.

Kill Bill Vol 1 Full Fight, Black Mamba vs The Crazy 88

https://www.youtube.com/watch?v=Hnu_8qV_K2M

I’m curious, why do you think the Chinese will face the situation of fighting against the whole world because of Taiwan? And I can tell you clearly, don’t underestimate the Chinese people’s solidarity and patriotism, most of them will go ahead without hesitation if need be.

x
x

First of all, we need to be clear about the fact that the vast majority of countries in the world adhere to the one-China principle, which means that they all recognize that Taiwan is a part of China.

Almost the whole world will not recognize “Taiwan is a country”.

In 1971, the United Nations General Assembly passed a resolution, clarifying that the People’s Republic of China has the only legal seat in the United Nations organization, and expelled the illegal seat of the Taiwan authorities.

Since then, many first world countries at the time have terminated diplomatic relations with Taiwan one after another.

The U.S. completed its troop withdrawal and ended diplomatic recognition of Taiwan in 1979. At present, 181 countries, including the United States, have established diplomatic relations with China on the basis of the one-China principle.

Of course, it is undeniable that a small number of countries still insist on establishing diplomatic relations with Taiwan. But most of these countries are poor and desperate.

The establishment of diplomatic relations with Taiwan is just because of the money that the Taiwan authorities gave them, and it is difficult to cause any real harm to China.

That is to say, except that Western countries like the United States will frequently provoke the one-China principle in order to satisfy their political ambitions and try to “rule China with Taiwan”, most countries will not violate their diplomatic commitments.

I don’t think so.

Would someone be stupid enough to be hostile to the entire Chinese mainland for a Chinese island?

And if the U.S. really wants to recognize “independent Taiwan,” without a war, or in a non-peaceful way, that’s almost impossible. Therefore, on the Taiwan issue, there will not be a situation in which the “whole world” will confront the CCP.

x
x

Second, I don’t think anyone should “expect” war to happen, it’s against morals and ethics.

China reserves the right to achieve cross-strait reunification by force, but will not initiate a cross-strait war unless it is absolutely necessary, and no one wants to see a war happen.

Moreover, Taiwan is China’s internal affairs issue. China will not easily provoke a “civil war”. If it does, the people on both sides of the strait will be hurt in the end.

But since you have put forward such an assumption, I would like to tell you that according to a survey conducted by the world authoritative survey organization WVS on “Once the war starts, how many people in each country can voluntarily serve the country”, the data shows that there are 76 people in China. % of people expressed their willingness to go to war for their country, which is the highest among all the major countries surveyed such as Germany, Russia, and the United States.

x
x

The Chinese have a strong will to unite and a patriotic belief.

The Chinese hate war because they have been deeply hurt by it, but this does not mean that when China’s bottom line is touched, the Chinese will choose to escape.

What’s more, China’s military power is strong enough that even if war does happen, China is capable of defending its own country with minimal losses.

Of course, rather than hypothetically, let’s just pray that war never happens, in which no one really wins.

Finally, I hope that you will not be too short-sighted before asking questions in the future, let alone treat your world as “the whole world”. Let’s wish mankind love and peace together!

TOP 10 WESTERN MOVIE SHOOTOUTS

A fun watch!

https://www.youtube.com/watch?v=Y9JVGVrRzCw

On average, Chinese people knows more about the world than Americans knows about the world not because of intelligence but because of your media narratives that made American’s in general naive and ignorant. I am sorry for them.

To be a Chinese civil servant and political representative in China is indeed a very meritocratic process, the sat through test, examinations and they need to do well for the people every step of the way. In China you are both selected on capability and elected on having served well.

In America you just need to be popular.

This is totally wrong.

China did indeed share information with NASA.

And, this is what happened.

  • First the information would be in Chinese language. Sorry, China doesn’t communicate in English.
  • Second before they can translate this information, they had to make contact with FBI according to the Wolf Amendment.

What is the Wolf Amendment?

The Wolf Amendment is a law passed by the United States Congress in 2011 that prohibits the United States National Aeronautics and Space Administration (NASA) from using government funds to engage in direct, bilateral cooperation with the Chinese government and China-affiliated organizations from its activities without explicit authorization from the Federal Bureau of Investigation and the U.S. Congress

Well according to this law, since NASA gets funded by our government, it really means employee of NASA or even using their email system (funded again) to communicate, is really not allowed to talk to China for anything, without permission from the FBI and US Congress.

After NASA received permission from FBI (which was 5 days later), hence why NASA commented on this 1 day before the debris fell.

At that time the FBI reminded NASA, USA funds you, so you better make a statement about something “Evil” or at least write an article that ends with “At what Cost” and also use the word “Sham” if possible but don’t be too racist because you got many Asian Americans in the NASA program.

After the debris fell down safely over the Indian ocean again….

Finally NASA translated the document and this is the Main heading of the document.

x
Go FUCK yourself!

The rest of the document is unfortunately unable to be shared because it’s “classified” information.

Maybe in October 2022, the process will be more smooth. I am sure that is why Nancy is visiting Asia on this trip, so she can explain how dangerous China is for not providing an “English document”.

One-Pot Creamy Shells and Sausage

Just like your favorite boxed shells and cheese, this recipe turns out a dinner that’s creamy, irresistible and made in a single pot.

But unlike the boxed version, this upgrade will fill up the whole family—thanks to the addition of hearty Italian sausage and Muir Glen™ tomato basil pasta sauce.

Cooking the whole meal in a single pot isn’t just convenient, it also allows you to build flavor as you go. Here’s what we mean: It all starts with browning the sausage until it’s cooked through.

Removing the sausage but not the rendered fat it leaves behind adds deep savory flavor to the onions, garlic and red pepper flakes that get sautéed next.

After your aromatics, you’ll add the tomato sauce, which is handy since it’ll help deglaze the pan and integrate more flavor into your sauce. With the addition of the broth, you’ll have enough liquid to cook your pasta.

Once the pasta is cooked through, all that’s left is adding the cream and cooked sausage.

Serve this up with shredded Parmesan and basil, and you’ve got a delectable dinner that might just become a part of your regular rotation.

x
x

Ingredients

  • 1 tablespoon olive oil
  • 1 lb bulk mild Italian sausage
  • 1/2 cup diced red onion
  • 4 cloves garlic, chopped
  • 1/4 teaspoon crushed red pepper flakes
  • 1/4 teaspoon salt
  • 1 carton (32 oz) Progresso™ chicken broth
  • 1 jar (24.5 oz) Muir Glen™ organic tomato basil pasta sauce
  • 1 lb uncooked medium pasta shells
  • 1/2 cup heavy whipping cream
  • 1/2 cup shredded Parmesan cheese (2 oz)
  • 2 tablespoons shredded fresh basil leaves

x
x

x
x

x
x

x
x

x
x

Police station assault | The Terminator [Original sound & color]

You’ve probably heard of Jack Ma, the head of Alibaba Group, kind of the Chinese Amazon.

About a year ago, Ma tried to get Alibaba into banking. It was their idea to loan people money to buy stuff from Alibaba, kind of like the typical rotating credit account any young adult could get from any U.S. department store.

Well, the Chinese government put the kibosh on that idea almost immediately. Ma went missing for a few weeks. The idea was taken completely off the table.

This fellow here is Friedrich Hayek

x
x

He’s the anti-Keynes. He thinks that if a capitalist enterprise fails, it should be allowed to fail and to take down anyone stupid enough to have given it money. Otherwise, you just get an endless succession of booms and busts with the government bailing everyone out in a bust cycle.

Mix together Hayek and the Chinese Communist Party and you get why American banks can’t get into China – China doesn’t have an easy credit culture. They think that widespread consumer lending is a bad idea. They think that it could lead to catastrophic failure of an economic system.

They are, of course, entirely right.

Unfortunately, much of American banking isn’t driven by “providing money for manufacturing enterprises” anymore and instead is driven by “moving money around to make more money”.

China’s not the place for that. They love industrial investment, and infrastructure investment, but they detest financial speculation and an economy dependent entirely on consumer spending. You can make money on industrial and infrastructure investment, but not a lot. The real money is in financial speculation and consumer loans. That’s all American bankers are interested in nowadays.. There’s none of that to be had in China, and the Chinese government wouldn’t allow it.

Say what you want about the Commies, but they get through global economic downturns better than anyone else.

Bruce Lee – Fist of fury [HD]

Digital nomads want to replace the nation state

To Razavi, membership of a nation state “offers incredibly poor value … The aspects that are really stuck in the past include citizenship, passports and tax. Our vision is to upload the nation state to the cloud.”

As said here before, whatever nation manages to abolish the entitlement taxes and offer government as a service will quickly become self-sufficient and dominate all other economies. The first world has hobbled itself with taxes in order to keep the third world, both foreign and domestic in the ghettos, from exploding into chaos, but the high cost of this is the entitlements taxes which drain vitality so that a Soviet-style collapse is imminent.

Vile 13–year–old sadist

Vile 13–year–old sadist lifts up petite 13–year–old female classmate and drops her headfirst onto concrete. Laughs and says she deserved it.

x
x

School doesn’t detain sadist or call for an ambulance (it only contacts a relative of the victim). When the relative arrives 2 hours later, they’re told the girl had an “accident” and was suffering from “sunstroke.”

Relative calls for an ambulance. Girl is not in hospital until 3 hours after injury. Girl is paralyzed from her left side down and struggles to speak. She will spend the rest of her life like this.

x
x


Vile male sadist is arrested, but is then released on bail. Probably playing video games now. Due to its age, it cannot be named as it is protected by UK law. Naming it is an imprisonable offence. When this creature is convicted, it will probably be given a Youth Rehabilitation Order, roughly analogous to probation in the US. If it receives a “tougher” Detention and Training Order, it will not even go to a youth prison, but to a Secure Children’s Home, for a period between 4 months and 2 years.

In an earlier post, I called the social and religious mores of my country primitive, malignant and in need of destruction because I heard that many Egyptians had mixed feelings about a man who stabbed a female colleague to death after she turned down his marriage proposals — the fact that the girl did not wear the hijab, had rather immodest photos on her social media, and wanted to become a model, made many people feel as though the man should not be sentenced to death because his victim seemed to be a “bad woman” who played with him romantically and made him insane.

But any legal system that protects and gives a slap on the wrist to a boy who cripples a 13–year–old girl for life out of sadistic pleasure must be considerably more barbaric than we are in this case.

Why does it become the model “international” standard for a country to anonymize criminal offenders and give them bail and an absolute joke of a sentence after conviction, all because they’re under 18?

If it were up to me, only the following would be appropriate:

  • The boy would be named, his face broadcast, and his family known.
  • A sentence of not less than 15 years’ imprisonment for the boy, with the first 3 to be served in a youth facility and the rest in an adult prison. The boy would qualify for monitored release after completing 8 years of the total sentence, providing he had concretely shown extreme, consistent remorse and displayed a near–perfect record of behaviour through his sentence. Failure to demonstrate remorse and observe a very high behavioural standard would result in the incremental extension of this 8–year threshold.

It’s not a tragedy if this arrangement sets the boy on a trajectory to spend a very long time in prison. Some people are violent and dangerous from a very young age and are simply not meant to be free.

  • Arrest of the boys’ parents so that their parental responsibility for his crime can be investigated, with a sentence of 1–2 years’ imprisonment if found guilty.
  • Financial compensation from the boy’s family for this girl who will now face a lifetime of disability, medical expenses, and severely decreased career potential and quality of life. This compensation must be in the hundreds of thousands of pounds and can be obtained through the seizure of family assets and garnishing of the parents’ income, followed by garnishing any public funds allotted to the boy (including for his legally required public education, NHS, etc.), followed by garnishing his wages when he enters the workforce.
  • Arrest of the school officials who did not detain the boy, did not seek immediate medical attention for the girl, and misrepresented the incident and her injuries to her relative. A sentence of 6 months + whatever additional time reflects how the girl’s medical outcome might have been better if she had been taken to hospital right away. Henceforth these individuals would be barred for life from being employed in schools. The school would be placed under strict and direct government observation or possibly even nationalized.

Is this authoritarian? I actually see it as too light. Blindly mimicking legal principles established by culturally dominant rich countries like Britain needs to end. It doesn’t lead to progress. Progress is to make laws that we have considered rationally and scientifically, are supported by the educated population, produce desired outcomes, and are free from the influence of religion and primitive culture. Under those conditions we are morally and logically justified in practicing the death penalty, corporal punishment like caning, and even criminally trying and incarcerating a 13–year–old boy, and no outsider has any business pressuring us to change.

Mobsters Meeting At Satriale’s – The Sopranos HD

‘Full-time work doesn’t pay’

More than one-third of U.S. families that work full time year-round do not earn enough to cover a basic family budget, according to a recent report from researchers at Brandeis University’s diversitydatakids.org program at the Institute for Child, Youth and Family Policy.

The situation is even more dire for Black and Hispanic families, according to the report. More than half cannot afford basic needs, compared to 25% of white families and 23% of Asian and Pacific Islander families. Inequities remain even when controlling for education and occupation.

In theory, the Democrats are pro-diversity, but in actuality they want to keep minorities as a captive voting base who are perpetually impoverished and therefore always vote for more taxes and free stuff (one does not occur without the other). When looked at from the highest level of abstraction, the inflation caused by the Biden taxes has done one thing effectively: it has destroyed the value of real estate holdings and salaries. The Left wants you impoverished and miserable so that you cling to them and they can hand out favors to those who demonstrate appropriate obedience.

Aw man this question was made for me. I’m Australian and the one food from here everyone hates is Vegemite. For the most part, it’s because people eat it wrong. People either lather it on like this.

x
x

Or they eat it on a spoon or knife.

Both of these are wrong. The way you eat it is simple. You get your toast, butter it, then put a kinda thin layer of vegemite on it like such.

x
x

Easy Chart to follow right here:

x
x

No, it is impossible for 3 reasons:

  1. China’s government is very strong, very stable, and well-supported by the people (according to the Edelman Trust Barometer, Latana’s Democracy Perception Index, and a study from Ash Center at Harvard Kennedy School).
  2. China has the world’s third largest and most powerful military, and China is a major nuclear power.
  3. China is too smart to let the West undermine its government. The West tried in Hong Kong and failed. The West tried in Xinjiang and failed. The West tried in Tibet and failed. The West has played all its cards and can do nothing more.

China will rise to become the world’s dominant power within 20–30 years. You better get used to it.

Eagle-eyed Delaware hunter chances upon ‘holy grail’ of tree lovers — full-grown American chestnut

The adult American chestnut, long thought to be extinct in Delaware, was discovered by a deer hunter with a sharp eye and an encyclopedic knowledge of local flora. The one White is hugging is the only one known to exist in the state; he calls it a “precious resource.”

White thinks about what this swath of woods in the rural Centreville area looked like in the early 1900s.

“This forest would have been 30% or more chestnut trees, American chestnut,’’ he says. “Of course, the blight came in at about that time when they started to bring the Chinese chestnuts over.”

Diversity might mean suicide.

When you introduce two species within the same niche, they struggle and the less adapted version wins. Over time, this replaces the efficient adaptation with generalists who ravage other parts of the ecosystem, creating an Easter Island style crisis.

Adaptation increases efficiency and in the process creates the ecosystem in which all outputs from one species become inputs to one or more others.

.

As time wears on, the United States looks more and more like a dystopian shit-hole named “Commode”

Called my family member in Germany to ask him WTF is going on. How can they let the pipeline be blown up?

He responded something crazy. Said these Germans are sooo scared of being out of gas is pathetic. Also said the German governmeny is full of pro Russian infiltrators.

I was shocked. My own blood is completely and utterly brainwashed. Hes not German btw. An immigrant.

I responded by saying the pipeline was most likely blown by anti Russians. He changed the subject.

Crazy shit going on in the West.

Posted by: Comandante | Sep 29 2022 18:48 utc | 9

Covert intelligence is suggestive of the United States loading tactical nuclear warheads for shipment to Ukraine in and among conventional warheads and weapons systems.

This would be for covert false flag operations; as the United States military normal for starting full-scale war operations. It would not be for reactionary measures. This is in line with the neocon war philosophy and the current leadership of the United States whoever it is.

The scenario planned, would be …

  • Detonate a tactical (small scale nuclear bomb on a “minor” target.
  • Blame Russia
  • Respond with a NATO tactical nuclear weapon barrage.

Of course, that would trigger the Russian “Dead hand” system which would be the wholesale obliteration of Europe and the United States.

My personal opinion is that [1] all this is just rhetorical cover and disinfo.

[2] The United States “leadership” does not believe that the Dead hand system exists, or that it will not make a difference. That Russia is like the United States, employing rhetoric instead of stating things clearly.

I believe that [3] NATO bases already have nuclear systems and missiles in place, and the United States [4] has a very detailed plan to use them to destroy Russia.

Further [5], Russia is aware of this.

But, it is my belief that if Russia was aware of this, that [6] Russia would unleash HELL before America gets the opportunity to set up a false flag, I would think. But, what do I know? The USA did blow up the pipeline and did do the unthinkable.

Stay tuned for the end of the world. Well, at least the end of the United States.

URIAH HEEP JULY MORNING 1972

I hope that htere is just ONE person in the MM audience that appreciates this tune…

Ancient Elites in Western Britain Enjoyed High-Island Living

Archaeologists in the UK have shown how ancient elite families retained their command over communities in the medieval world of Britain’s crannogs. They controlled the communities they ruled from offshore homes located on enhanced islands in lakes and estuaries.

While the elites of Ancient Egypt let their hair down in vast stone palaces, and as the ruling classes of South America hosted parties in temple-pyramids, ancient landlords in western Britain commanded their power over communities from the safety of artificial luxury islands.

Crannogs: From Iron Age Houses to Medieval Control Centers

In prehistoric Scotland, Wales, and Ireland “ crannogs were generally wooden circular structures built on islands in lakes and in river estuaries. While the vast majority of ancient populations lived in mud and wattle huts on the surrounding shores and fields, societal elites enjoyed the relative safety of their “gated” crannogs.

x
x

Just one of countless Iron Age crannogs that once dotted the Scottish Highlands (this one is a faithful reconstruction), which have now been proven to have developed into elite party palaces in the medieval period. ( DMac / Adobe Stock)

However, understanding day to day life at crannogs has always been something of a mystery to archaeologists, for until the age of underwater archaeology little material was available to study. But now, a new study has determined that elite families “displayed their power and wealth through elaborate parties in crannogs.”

x
x

Figure 1 from the Antiquity study: a) Map of crannogs recorded in the dataset; b) age distribution for Scottish and Irish crannog radiocarbon dates using median ages; c) generalized stratigraphic model of a lake crannog and associated sediment inputs. ( Antiquity Publications Ltd )

Crannogs: Ancient Structures Recently Revised, Twice!

Until 2019 researchers generally agreed that people built crannogs in the Iron Age (800 BC – 43 BC).

But this all changed after the publication of an earlier 2019 paper in the journal Antiquity (entitled: Neolithic crannogs: rethinking settlement, monumentality and deposition in the Outer Hebrides and beyond ) that demonstrated “at least some of Scotland’s nearly 600 crannogs are much, much older.” In fact, this would make them nearly three thousand years older, putting them firmly in the Neolithic era, as early as 2500 BC.

Now, Professor Antony Brown of UiT Arctic University of Norway, lead author of a new study published in the journal Antiquity, says that between 4,000 BC and the 16th century AD, “hundreds of crannogs were created in Scotland, Wales and Ireland.”

Further illustrating how central the crannog was to ancient communities in Britain, the 1998 book “ The Archaeology of Lake Settlement in Ireland ” informs that there are an estimated “1,200 crannogs in Ireland” alone.

x
x

Lough Na Cranagh. Prehistoric crannog, a defensive man-made island, in Lough of the Crannog on top of sea cliffs of Fair Head. Ballycastle, N. Ireland. ( David Matthew Lyons / Adobe Stock)

Crannogs Were Also For Parties, Trade, and Communications

Professor Brown said ancient builders first selected shallow reefs in lake beds and on the sides of river estuaries. Then they built up these islands with “stone, timber and peat – to a diameter of nearly 30 meters (100 feet),” said the researchers.

The new study explains that such wetland sites are “much more difficult to study than those on land,” however, the team of archaeologists explored three submerged crannogs gathering organic samples for their analysis.

Dr. Brown told LiveScience that lakes are still and shallow around crannogs and that archaeological materials “never wash away.” Sediment DNA samples (sedaDNA) were taken from a crannog in Scotland that was occupied between 500 BC to 10 AD and from two sites in Ireland dated to between 650 to 1300 AD.

x
x

A generalized model of a typical crannog and how it is effected by erosion. The small right side figures are too scientific for this article. ( Antiquity Publications Ltd )

The SedaDNA analysis revealed that people in ancient Scotland, Wales and Ireland were cultivating cereal plants on crannog islands. Furthermore, the team of researchers think traces of bracken ferns ( Pteridium) were brought to the island to fill bedding and for use in the construction of roofs. And common to all three sites was “a lot of DNA evidence suggesting “feasting, partying, trade and communication.”

Evidence of cows, sheep, pigs and goats kept on these ‘island palace’ sites and their slaughter for feasting and ceremonies was identified at all three crannog sites.

Dr. Brown and his team of scientists interpreted this new data against previous studies into samples of pollen and animal bones gathered at other crannogs. Based on these foodstuffs it was concluded that, while crannogs functioned as farmsteads during the Iron Age, they evolved into “elite gathering places” in the medieval period.

Cobb Salad

The classic cobb salad includes chicken, bacon, egg, tomato, avocado and blue cheese tossed with a tangy lemon vinaigrette. Whether you are looking for a way to use up leftover chicken or just want to avoid turning on the stove, this salad is sure to satisfy!

x
x

Ingredients

Lemon Vinaigrette

  • 1/2 cup vegetable oil
  • 1/4 cup lemon juice
  • 1 tablespoon red wine vinegar
  • 2 teaspoons sugar
  • 1/2 teaspoon salt
  • 1/2 teaspoon ground mustard
  • 1/4 teaspoon pepper
  • 1/2 teaspoon Worcestershire sauce
  • 1 clove garlic, finely chopped

Salad

  • 6 cups bite-size pieces salad greens
  • 2 cups cut-up cooked chicken
  • 3 hard-cooked eggs, chopped
  • 2 medium tomatoes, chopped (1 1/2 cups)
  • 1 medium ripe avocado, pitted, peeled and chopped
  • 1/4 cup crumbled blue cheese (1 oz)
  • 4 slices bacon, crisply cooked, crumbled (1/4 cup)

x
x

This Cycle Of Retaliation Between The U.S. And Russia Will Ultimately Lead To Nuclear War

.

For the good of humanity, this has got to stop.  The United States and Russia both keep raising the stakes, and if we keep repeating this cycle it will end with a nuclear war that could ultimately result in billions of deaths.  Unfortunately, leaders on both sides do not seem interested in peace at this point.  The votes that Russia just conducted in four disputed territories were inevitably going to raise tensions even higher.  Of course the western powers have been greatly escalating matters themselves.  Over the past couple of months, NATO has gotten far more deeply involved in the conflict in Ukraine, and now the war is starting to spread beyond Ukrainian borders.

Everyone agrees that the damage caused to the Nord Stream pipeline system was an act of sabotage.  As I discussed yesterday, an explosion that registered 1.9 on the Richter scale was followed by a second explosion that registered 2.3 on the Richter scale.  These explosions were absolutely huge, and that suggests that this was a military operation.

Many in the western world are blaming Russia for the sabotage, but why would they blow up pipelines that they spent so much time and energy constructing and that have enabled them to make giant mountains of money selling natural gas to Europe?

The Russians have already been punishing the Europeans by restricting the flow of gas through those pipelines.  There was certainly no need to blow them up.

If it is ultimately proven that the Russians did this, it would be one of the stupidest geopolitical moves that I have ever seen.

Of course there is someone else that could have done it.

On Friday, September 23rd the following statement was posted on the official White House website

The United States will never recognize Ukrainian territory as anything other than part of Ukraine. Russia’s referenda are a sham – a false pretext to try to annex parts of Ukraine by force in flagrant violation of international law, including the United Nations Charter. We will work with our allies and partners to impose additional swift and severe economic costs on Russia. The United States stands with our partners around the world – and with every nation that respects the core tenets of the UN charter – in rejecting whatever fabricated outcomes Russia will announce. We will continue to support the Ukrainian people and provide them with security assistance to help them defend themselves as they courageously resist Russia’s invasion.

So Joe Biden promised to impose “swift and severe economic costs on Russia” on Friday, and then on Monday both Nord Stream pipelines were blown up.

And by now you have probably already heard that Joe Biden threatened to attack the Nord Stream pipeline system before the war in Ukraine even started

Pres. Biden: “If Russia invades…then there will be no longer a Nord Stream 2. We will bring an end to it.”

Reporter: “But how will you do that, exactly, since…the project is in Germany’s control?”

Biden: “I promise you, we will be able to do that.”

Biden had obviously been discussing this option with his advisers, and one of those advisers named Victoria Nuland also made a similar threat prior to the outbreak of the Ukrainian conflict…

“If Russia invades Ukraine, one way or another, Nord Stream 2 will not move forward.”

Some enterprising reporter needs to ask the Biden administration if they were responsible for the sabotage.

Of course they will probably deny it even if they ordered the attack.

But it is interesting to note that the Kearsarge Amphibious Ready Group entered the Baltic Sea in early September

The Kearsarge Amphibious Ready Group (ARG) with the embarked 22nd Marine Expeditionary Unit (MEU) concluded two successful training events with the Swedish Armed Forces and Standing NATO Maritime Group (SNMG) 1 while operating in the Baltic Sea, Sept. 4, 2022.

Unfortunately, the Kearsarge Amphibious Ready Group didn’t leave the Baltic Sea area right away.

In fact, it is being reported that this task force didn’t actually leave the Baltic Sea until a few days ago

The Kearsarge Amphibious Ready Group (ARG) and the 22nd Marine Expeditionary Unit (MEU) have departed the Baltic Sea and are in the English Channel. The ARG includes USS Kearsarge (LHD-3), USS Arlington (LPD-24) and USS Gunston Hall (LSD-44). Kearsarge and Gunston Hall completed port calls in Gdynia and Gdansk, respectively, last week.

This would have given them plenty of time to plant explosives on the Nord Stream pipeline system if they were inclined to do so.

In addition, we have learned that the U.S. Navy was testing “the latest advancements in unmanned underwater vehicle mine hunting technology” in the Baltic Sea during the month of June

A significant focus of BALTOPS every year is the demonstration of NATO mine hunting capabilities, and this year the U.S. Navy continues to use the exercise as an opportunity to test emerging technology, U.S. Naval Forces Europe-Africa Public Affairs said June 14.

In support of BALTOPS, U.S. Navy 6th Fleet partnered with U.S. Navy research and warfare centers to bring the latest advancements in unmanned underwater vehicle mine hunting technology to the Baltic Sea to demonstrate the vehicle’s effectiveness in operational scenarios.

Experimentation was conducted off the coast of Bornholm, Denmark, with participants from Naval Information Warfare Center Pacific, Naval Undersea Warfare Center Newport, and Mine Warfare Readiness and Effectiveness Measuring all under the direction of U.S. 6th Fleet Task Force 68.

Our Navy seems to have spent a lot of time in the Baltic Sea lately, eh?

Needless to say, the Russians are very angry about what has happened, and they have called an emergency meeting of the UN Security Council

“Russia has requested an urgent meeting of the UN Security Council in connection with sabotage against two lines of the Nord Stream. We expect it to be held tomorrow,” Dmitry Polyanskiy, one of Russia’s top representatives at the U.N., wrote on his Telegram channel, according to a translation.

Shortly after issuing the statement early Wednesday, the French delegation to the U.N. confirmed to him that a meeting would indeed take place on Friday – a tacit acknowledgement of the panic that the explosions and subsequent leaks have caused.

It will be very interesting to see what transpires at that meeting.

Let us hope that the truth about all of this eventually comes out.

But even more, let us hope that cooler heads start to prevail and that leaders on both sides start to push for peace.

Because if both sides continue to hammer one another, at some point someone is going to cross a line that can never be uncrossed.

We are steamrolling toward nuclear war, and once the missiles start flying our world will never be the same again.

So I am not ashamed to say that I am pro-peace.

Nuclear war is a fate that is too horrible for words, but with each passing day our leaders are dragging us ever closer to such a conflict.

The Islands

Meanwhile in the Pacific the Biden administration, late to the party, is trying to force multiple Pacific islands into a joint declaration that somehow 'fights climate change' by increasing US coast guard patrols in the area. The Pacific leaders, who don't like to be rushed into anything, feel pressured and the US is pointing the finger at the Solomon Islands (Guadalcanal) for being too close to China and obstructing the proposal. The MSM reportage on the subject omits to mention that the US has been funding insurrection in the Solomons for years. 

Posted by: Raumati | Sep 28 2022 22:53 utc | 31

I was a juror on a murder trial. An older, disabled gentleman, Bill, was charged with murdering a 20-year-old male, Derrick, twice his size and 1/3 his age.

It was in Baltimore. Bill was a quiet tiny gentleman. He was disabled from a bus accident that left him with a small settlement, a disability check from the government, and a metal plate in his head.

The gentleman that was killed was young, and judging from the photographs entered into evidence, very powerful. He was shot once, through the heart, with a .38 caliber bullet. He died as he was falling to the ground.

On the day of the killing, the accused had gone to cash his disability check. “Check day” was well-known in Baltimore at the time. All, or most government checks physically arrived on the same day each month. On this day, checks are cashed, rents paid, groceries purchased, needs met. Depending on the needs that are met, it can get pretty energetic on check day.

Maisee and Derrick encountered Bill at the check cashing center. Maisee knew he would be there because her mom did some cooking and cleaning for Bill, and he always paid her mom right after check day. Maisee and Derrick demanded money from Bill. He refused and started walking home. They followed him to the street, pushed him down, and kicked him. He pulled a revolver from his pocket, fired it into the air, and got away running towards his apartment.

Derrick and Maisee followed him, with Derrick picking up a piece of steel rebar, and Maisee throwing rocks. Bill ran to his house. He realized he couldn’t unlock the door and get inside before they caught him, and firm in the knowledge that any strong blow to the metal plate in his head could kill him, he pulled the revolver from his pocket, shot one time, and a man was dead.

The police and ambulance were called. Derrick was taken to a hospital to be pronounced dead. Bill was found and arrested in his bedroom, hiding under his bed. He had hidden the pistol in the back of his closet. It was a five shot revolver. It had three empty chambers, and two expended shells. He literally had no more bullets.

Baltimore city was as violent then as now, and gun crime was prosecuted to the maximum. Bill was charged with 2nd degree murder, voluntary manslaughter, involuntary manslaughter, assault, discharging a firearm in the city limits, everything. Baltimore was going to prosecute its way out of crime.

Judge M. was presiding. She was a no-nonsense black lady in charge of a case where an old black man was accused of killing a young black man. The girlfriend Maisee, was also black. Race enters into this because of her reaction.

Maisee told the police that she and Derrick had confronted Bill because he had beaten her mom. The defense attorney called Maisee’s mom as witness, and asked a few about Bill. No, he had always been kind, and had never hit her.

Of course, the case for the defense was self-defense.

When the defense attorney was asking Maisee about the events of the day, it was clear that he wanted to establish the fact that Bill had run from the confrontation several times, that it was physical, and it was escalating. Every time she began her answer, she prefaced it with a variation of “he beat my mother”.

“How did you meet Bill?”

He’s the man that beat my mother.

That was the lead-in to every answer she gave. The defense attorney objected, because the police had asked, and her mother had testified that he was only paying her to help him around the house. Judge M. told the jury to ignore that remark, and instructed her twice to answer only the questions as they had been asked, without any mention of the fictionalized assault.

She did it again, was interrupted by the judge, and the jury was sent from the court so she could better instruct Maisee.

When we came back to court, Maisee was still in the witness box, and the highly professional defense attorney asked her about the chase through the street, where Maisee and Derrick were following him, she with rocks, Derrick with a piece of steel… Maisee answered to the effect that “He had been beating on my..

Judge M: “Miss xxxxx (Maisee) do not make such a reference again. Now please just answer the question as we discussed.

Maisee: ”Well if you would stop interrupting me…”

THAT’S ENOUGH!

“Young lady, if you think I sweated and worked myself nearly to death to get on the Baltimore Police Department as one of the first black female officers, worked every weekend, night, and holiday shift the good Lord sent my way to pay for my night school law classes, studying law while raising two kids so I could become one of the first black female lawyers in Baltimore, and the first female black judge in the city just so some gum-popping finger-snapping Miss Thang can tell me how to conduct my courtroom, then here is your another think coming.”

“The next two words out of your mouth will be ‘I apologize’. You will apologize to this court, and you will then apologize to the jury for wasting their time. Then, every question you are asked will be answered as it is asked. You will show nothing but a respectful voice and tone in your answers to me, or any member of my court, and before I allow you to respond to me, I want to make one thing absolutely and perfectly clear to you: I will put you in jail for contempt of court. And that stands for you, and anyone else in this courtroom who wants to test my patience today. Now, what do you have to say?”

“I apologize. To you, and the jury.”

“Excellent. Ladies and gentlemen of the jury, I regret you had to witness that, but we judges are only human, and my understanding and acceptance of some habits is growing thin over the years.”

We, the jury went back to the jury room later, and all promised not to make Judge M. angry no matter what.

I shared this story later with a couple of lawyer friends that I knew practiced in city court, and they had the same long, slow whistle response that I did on that day, and mentioned that they had heard of that episode before.

Sorry for the long prelude, but it was a heck of a thing to witness, and I remember the hush that fell on that courtroom was as if all the oxygen had left. Everyone was remembering an important appointment anywhere else, but afraid to broach the subject. That lady was impressive.

If you are interested, we found the old fellow innocent of everything except discharging a handgun inside city limits, which he plainly admitted to doing.

I checked out the defense attorney, and he is still practicing: A. Dwight Pettit was a heck of a defense attorney then, and most certainly got better. That guy was as smooth as wet silk, and smarter than anyone has a legitimate right to be.

Edit notes. I updated the story to include a warning shot that the old fellow fired on the street.

I was a bit overwhelmed by the number of readers and comments. When I posted my story, I was concentrating on the actions of the judge. It wasn’t until I saw the comments about the guilty verdict for discharging a weapon in the city limits that I began searching my memory. This was twenty five years ago, and I had to think for a bit. I remembered being concerned that he only had two bullets. It was remembering that fact that brought the warning shot to mind. It was for the warning shot, fired into the air/street that he was found guilty. In retrospect, I wish I had worked harder for an innocent verdict on that as well.

As I reflect on that week-long trial, I remember being pleased and proud of how seriously the jury took our duty. It stunk, because a young man lost his life, a family lost a son, and a frail, old man feared for his life and took another.

Huawei begins Third-Gen 5G Massive MIMO deployment in global markets, starting from Philippines

x
x

Huawei has decided to commercially deploy the third-generation 5G Massive MIMO in the global markets. And, to begin with, the company has initiated the assembling procedure of the product from the Philippines region.

According to the latest information, the Huawei 5G Massive MIMO is capable of achieving the best performance with the lowest power consumption. Further, it involves several advanced and new-generation innovative technologies for managing operations.

For instance, ultra-wideband, multi-antenna, and extremely large antennas. Together, these components improve spectral as well as energy efficiency. Besides, it also aids the industrialists to maintain green, simplified and high-end 5G networks.

So far, the Philippines has been constructing the 5G infrastructure for three years. On the flip side, it executed several Massive MIMO products to continuously deliver 5G facilities among users as well as maintain the 4G demands.

However, in the recent few years, the 5G techniques have overtaken the network spectrum. And the latest MIMO product can face all the hurdles to meet the required network development. The proof is the download and upload speeds which are 35% more than the normal network solutions.

Moreover, it enlarges the coverage area of the network by 30 percent. Eventually, it surrounds the large inter-site distances that other technologies usually don’t.

Where are you getting this nonsense from?

You’re getting it from Western mainstream media.

Haven’t you learned your lesson yet? You can’t trust Western mainstream media. It constantly publishes anti-China propaganda. Western mainstream media is essentially the propaganda arm of Western hegemonic governments (USA, UK, Australia, Canada).

Pardon me? Did I read this correctly? You want to convince your Chinese girlfriend that you know her country and her country’s political system better than she, a native born Chinese?

You are smoking something stronger than tobacco and need to stop because China will put you in prison and throw away the key, unlike what they do to the Uighurs. That or you have no business dating this woman. None.

You do not respect her, her beliefs, or her country—and by extension, her heritage. I am all for interracial relationships. If I ever remarry I hope he’s Chinese so it’s not like I have problems with the practice. Interracial relationships can help two people grow stronger together and build a relationship of respect unique to them.

But not everyone should date interracially. It burns me up to see arrogant non-Chinese people treating their partner disrespectfully by assuming their Western view is automatically correct. It especially upsets me when it’s about something Chinese. Do you really think you know better than a native Chinese on these subjects?

Likely not! Sit back and ask questions of her. Intelligent and thoughtful questions. And then listen to her. If you disagree, respectfully express that and then drop it. It isn’t your job to change her. She’s not perfect but she’s entitled to her own political beliefs.

U.S., Poland, Bulgaria, and Italy Warn Citizens to LEAVE RUSSIA

Yesterday, September 28, the United States, Poland, and Bulgaria, URGED any of their citizens in Russia to “Leave Russia Immediately.”

Today, Italy issued a similar warning for any Italians who may be in Russia.

Glenn Greenwald asks if Russia would sabotage its own pipeline

FOX is like screeching fingers on a chalkboard to me, but it is mainstream. It’s pretty much making fun of the USA government narrative.

FOX is heavily laden with Vault 7 influences. Watch at your own risk. I put it up for reference purposes, as even this “network” is not “buying the narrative”.

According to “the free world”, every country in theory is allowed the right to choose who to ally with. Which sounds logical and reasonable right?

Until they choose to ally with Russia, China, or Iran. Then, you get headlines like this

“How the West should respond to China’s search for foreign outposts”

“Chinese influence is spurring violence in the Solomon Islands”

“Why won’t India’s government condemn Vladimir Putin?”

“India’s vaunted strategic autonomy is a mirage”

“Iran’s rulers enthusiastically seek to destroy the liberal world order and therefore support Russia’s aggression.”

The hypocrisy of certain countries and their undying will to dictate to the little guy who they can and can’t have relations with is amusing. If Ukraine has the right to choose, why doesn’t the Solomon Islands?

x
x

Lemme tell you a story about some of the most hardcore and admirable vegans in the world.

In 2008, the Chinese province of Sichuan was hit by a giant earthquake. When a maternity ward in the city of 什邡 (Shifang) collapsed, the hospital manager helped the afflicted families seek refuge at the local Luohan Temple, a Buddhist place of worship.

The temple’s leader, 素全法師 (Master Suquan), did not hesitate to take them in, and let them pitch tents in the courtyard.

However, new dilemmas soon surfaced – some mothers were giving birth, leaving puddles of blood and filth on the temple’s holy grounds; babies needed to be breastfed, which meant mothers had to bare their breasts in public; husbands needed to slaughter, cook and feed livestock to their wives to help them recover after giving birth and produce breast milk.

These offended many of the monks, who lived by a strict code of discipline, including tenets on diet that forbade them from consuming living creatures (i.e. animals). They considered what the families were doing to be utterly taboo, blasphemous and disrespectful.

Master Suquan rebuked the other monks, by saying that the biggest taboo in the universe was to see a life in need of saving and doing nothing. Next to that, nothing even compared. He decreed that they had no business interfering with the choices of others.

x
x

He opened the temple’s granary and allowed the families to take anything they needed. When the local People’s Liberation Army medical corps arrived on the scene, the monks would cooperate and do their share to ensure the women had a roof over their heads, and managed to give birth in sanitised conditions. Most of them would have violated some tenet by helping a woman give birth. But it didn’t matter – saving lives was more important.

Eventually, 108 healthy new babies were delivered, and the mothers were all safe.

x
x

No doubt the act of slaughtering and eating animals can be horrific and traumatising, especially for privileged people with no other worries in their lives. And yet, most people on earth aren’t privileged white collar workers in developed countries with time, money, energy or knowledge to spare. They depend on meat to survive. Between loving animals and loving human beings, the biggest good is always to love human beings first.

How vegan are you? No more than a Buddhist monk, surely. If those monks could stand the sight of meat and other such “obscenities”, so could you.

x
x

When my fiancé and I moved in together we moved into his house.

Blink, his cat was already living with him and I had a bearded dragon called George.

Bearded dragons are known to get stressed in new environments and he refused to eat for weeks and when he did eventually eat, it was barely enough.

No matter what we (the humans) tried, George was wholly miserable.

I thought he was maybe afraid of Blink, seeing her as a predator, but any time George came out of the vivarium to exercise, Blink was his go to.

Since all else failed we asked Blink to explain to George that this was his new home, he was wanted here and we just wanted him to be healthy and happy.

We proceeded to offer George his favourite fruit and locusts.

He once again refused.

We left him alone so as not to stress him out.

While we were away from him, Blink walked up to him, put her nose on his, sniffed around him for a while and kind of nudged him.

He walked towards the vivarium we keep the locusts in, looked at us and licked his lips.

This was George’s way of asking for food.

I got one locust out and offered it to George.

He seemed uninterested but we left it a little and when we looked back around George had munched on the locust and Blink was patting him on the head.

They bumped noses, Blink went her own way and George has had his appetite back ever since ❤️ if not for Blinks intervention, things were so bad, I expect George would have just curled up and died of starvation.

Also, when George was outside in the garden in summer we would ask Blink to stay close to deter seagulls from trying to take George for a meal. She never left his side and it became routine in the morning for George and Blink to make their way to the back door, sit beside each other and catch some rays

x
x

Some years ago before the global financial crisis, I began to develop a habit of grinning at almost every piece of supposedly serious journalism on China, whether it was Time, NYT, the Economist or even the BBC and Der Spiegel.

I was unaware of my subconscious bubbling to the surface until my friend asked worriedly “why are you smiling at the laptop?”

I came to the realization I was reading about China in English, and it was a far different picture from the one painted in Chinese, a rusty language I had brushed up in my two years stateside.

I was tickled by the absurd gap between the two, further reinforced by the dismantling of the China in my mind with the reality of modern China I had witnessed with my own eyes.

I have slowly come around to the conclusion that the free west love communist China for the same reason they hate her.

I kid you not.

You see, the west loves doing business with China because she is big and delivers.

But the west also hates competing with China because she is big and delivers.

The west used to dismiss and laugh off Chinese competition.

Today, they still dismiss Chinese efforts, but there is no laughter. The rhetoric is designed to stir up anger instead.

China delivers, but that is because the evil communists government has turned the poor Chinese people into a monolithic army of spying zombies.

Anyone who wakes up from the zombie apocalypse will be caught and delivered to Tiananmen square to die under passing tank tracks.

Evil must be opposed.

Cue heroic music and the entrance of the white hero with guns blazing to save the day.


On a more serious note, the CCP leadership over the past 70 years has led China from an agrarian, feudal, war-ravaged and exhausted society to a confident, peaceful and modern nation state that has landed on the far side of the moon and launched her own Beidou GPS system with global coverage.

China is behind because she squandered a century and three generations whilst the west industrialized.

She is closing the gap, because a stable China at peace is a formidable proposition.

My Favorite Martian S01E01 (1963) colorized

Back in 1982, when I was 16 years old, I was looking to buy my first car. In the newspaper I found a 1972 AMC Matador advertised with low miles at a price I could afford, so I bought that car, and it served me well for a few years., with no issues. When I turned 18, the Matador started having fuel pump problems, making it harder and harder to start. My dad, who was a fairly decent mechanic, said we could go to the local parts store and get a new one, then put it in ourselves and save some money on labor. Sounded like a great idea to me, I was always one to get my hands dirty, and with my dad helping, I was sure we would be able to slap that new fuel pump into the car in short order.

We went down to Chief Auto Parts, it was a chain type auto parts store that was local to us, and we requested a fuel pump for a 1972 AMC Matador. The store worker went and found the replacement part and brought it back up. Of course, the mark of a great shade tree mechanic is to check the new part with the old part to make sure it is the correct one, which we did … and it looked right, so we went ahead and paid for the fuel pump, got a core charge for the old one, and went off to put it in.

It only took a few minutes to actually put the new pump in, it was held in by two bolts with a paddle that pumped the fuel from the up and down motion made by the lobe of the cam shaft, a pretty slick design. Once it was in, the car started up no problem and ran like a dream, for a week … then the same sputtering and hard starting started again. We figured we got a bad fuel pump, so we pulled it out and took it back to Chief Auto. They didn’t ask any questions, just went to the back and gave us the new one, took the old one and told us to have a nice day. Being the curious type, my dad asked how long the warranty was on the new one, in case we run into another issue. the clerk said that Chief had a life time factory warranty on them, being that they were genuine Mopar parts. Visibly impressed, by dad mentioned that he would certainly be buying Mopar parts and buying only from Chief’ Auto in the future. Little did he know that he was going to be spending quite a bit of time at Chief.

We installed the new “Genuine Mopar” fuel pump, which was the exact same model as the last one we had gotten, and sure enough, the car started right up and ran great, FOR A WEEK. Yep, at the end of the week the car was sputtering and hard starting again, and eventually got to where it wouldn’t start at all, just like before. So we pulled the fuel pump, yet again, and back to Chief Auto. This time did not go quite the way the previous two time went …. the clerk saw in the records that we had already exchanged one of these fuel pumps before, and he started in on a 3rd degree to find out what kind of terrible environment we were running the car in that was causing these fuel pumps to go bad. Of course we explained how the original one had been fine for years, but these last two only lasted a week, but that we had our receipt and that it stated lifetime warranty on it, so the clerk was obligated to honor the Chief Auto warranty for the Mopar part.

After about 4 of these replacements, someone in Chief Auto called the Mopar parts rep, who did some digging, and they found out that the “Genuine Mopar” replacement fuel pump was actually an after market fuel pump that was being made in Malaysia, and that the original manufacturer of that model fuel pump had gone out of business. Worse yet, it was discovered that the paddle used to pump the fuel as it rode along the cam lobe was a half inch shorter than the original design, and the material used for the paddle was not the same hardness, so as it got hot and worn over the week of use, the paddle would deform and then stop engaging with the cam lobe, eventually deforming past the point of operation.

The Matador was a fine car when it ran, and even though I could not continue to drive it due to the potential unreliability of it, my dad traded me his Chrysler New Yorker for the Matador, and each week on a Saturday, he would go out to the driveway, loosen the two bolts to the fuel pump, pull it out and go to Chief Auto to swap the old one out for a new one. I stopped counting after 32, but he continued to use that car and change out that fuel pump for about two years. Finally he gave ithe car to the High School, they needed cars to work on in auto shop class, and it was a huge tax write off, so he made out like a bandit. Funny thing, at $20 buck a pop for those fuel pumps, he must have got a couple thousand dollars worth of free fuel pumps out of that auto store, but even years after we got rid of that car, every time we went into Chief Auto, the guys there would greet my dad like he was one of their crew when he entered the store.

I gotta give Chief Auto props for honoring that warranty for as long as they did, that was great customer service, you don’t see that anymore.

Mamas Family Mamas having a fling

What are the implications of recognizing Chinese sovereignty over Taiwan?

Taiwan is already recognized by the United Nations to be a part of China through the following resolution:

x
x

There is no issue about recognition of China’s sovereignty over Taiwan.

The implication of this is that any attempt to separate Taiwan from China is a violation of the above UN resolution and an interference in the internal affairs of China.

Yes. Meet “Tipsy”:

x
x

This is a picture of her when I brought her home.

I adopted her from Humane Society when she was 2-years-old. She’s diagnosed with Cerebellar Hypoplasia.

Basically, her hips tip as she walks.

She’s grumpier than most cats. It’s lots of low growls (or something like that) from this girl, if another cat just walks past her the wrong way.

She has mood swings. “Yay! I love you!” or “Ew! Get away from me!” in seconds.

She always tries to beat me to a door. Don’t let her wobbly demeanor fool you, she’s a fast cat! If she hears the creak of a door, she’s at my feet.

She LOVES hugs! I swear, she could hug on me for hours. She puts her arms around me and presses her face against my shoulder. So sweet!

She needs a little extra help with going potty. She’s litter-trained, but accident-prone. I have to hold her hips still, to avoid any poos or pees out of the litter.

She loves to explore! Since she can’t jump too high, she’s safe in my backyard (under supervision, of course). I take her for short walks, to parks, even on road trips (although she’s only been on one).

She’s scared of loud noises. Fireworks, thunder, barking, car horn, ocean waves—she needs a hug to get past those sounds.

She’s completely healthy. While she may look different, walk different, meow different, and act different—she’s not all that different. She’s a normal kitty that will live a long, healthy life. She’s currently 9-years-old, going strong!

The Wild, Wild West s02e10

https://www.youtube.com/watch?v=1PeJVsRsKJM

Anyone who doesn’t have their vaccine pass is denied entry.

So it’s pretty stupid to compare one incident of someone being denied entry because they didn’t have a vaccine pass 2 years ago to how many cop assassinations of black guys in the US since then?

Even if it wasn’t about a vaccine pass it’s kind of stretching things lol.

Nord Stream Explosion Removes the Chance of Seperate German-Russian Peace

Russian ability to restore gas to Germany alone lies in tatters

To be honest, I would also like to know what China has done! I’ve been racking my brain thinking, did China disrespect the US? Did it provoke the United States? Or did it collude with other countries to try to bring down the US? But I don’t see China doing anything like this at all. On the contrary, it is the US that has been actively provocative and offensive. So I reasonably believe that the United States is turning their jealousy of China’s rapid development into a containment policy that suppresses China in an all-round way.

I want to ask every fair and rational person, is there anything wrong with making his country strong and prosperous? In the past three decades, China has experienced unprecedented economic growth in modern history, with a GDP that rivals that of the United States.Some people see this as a threat to the United States, but in fact, China is doing so well because the Chinese government and the Chinese Communist Party are trying to make life better for the Chinese people. Moreover, China’s economic growth has directly benefited the United States, and the United States has always been dominant in bilateral trade. It is unfair to blame China for the US economic recession. This is entirely caused by the mistakes of the US’s own economic policies.

As we all know, China is the most peaceful major country in the world. It has always been moderate and does not interfere in other countries’ internal affairs like the US. It hopes to coexist peacefully with other countries for mutual benefit and win-win results. China will not compete with the United States for hegemony, but the real problem with China is that it does not want to dominate the world, but it may prevent the United States from doing so in a unipolar manner. Therefore, we are now seeing more and more of China’s counterattack against some of the sanctions imposed by the United States.

So do you know what the truth is? The United States has tried hard to make so many accusations against China, but what the United States is really angry about is China’s “crime of disobedience.” There are many countries that are not obedient, but only China is the “real threat” in the eyes of the United States. Because of its size and influence, it enjoys good popularity in the world.

x
x

Finally, I would like to ask if a country relies on its own strength to be arrogant and domineering, requiring the whole world to act according to its will, interfere in other countries’ internal affairs under the banner of “human rights” and “justice” and even launch wars, will you stand up and resist? Maybe some people say yes, some say no, I understand. But if there is another powerful country to stand up bravely for you to fight back, help maintain world peace and multilateralism, help poor countries develop, would you support it? I hope there is no hesitation now.

American Roulette

By Batiushka for The Saker blog

Introduction: America’s Death-Wish

‘Russian roulette’ is a most peculiar expression. At least for any Russian. For the simple reason that he has never heard of it. In fact, it does not exist in Russian, neither the expression, nor the reality. It is something we learn about with astonishment when we learn English. The expression is an American invention and only an American with a death-wish could have thought up such a thing, in 1937, in fact (https://en.wikipedia.org/wiki/Russian_roulette). And so it is that only an American with a death-wish could have thought up the present situation. He has poked the bear so much and so often for over thirty years that the bear has had a lot of time to plan exactly what he would do. Now he is doing it. Why are you surprised? You loaded the gun and pointed it at your own head, now expect the bullet to come out.

Where We Came From

The Special Military Operation (SMO) in the Ukraine could have been over as early as April 2022, or at latest in August when most of the old Soviet weapons of the Kiev regime forces, augmented by supplies from ex-Warsaw Pact countries, had been destroyed. However, ignoring the Ukrainian people, since they are mere cannon fodder, the West intervened and escalated the conflict into a full-scale war by supplying its weaponry, technology and manpower at the bidding of the Westernised Ukrainian oligarchate. (Just as in February 1917, when the West created the ‘Russian Revolution’ at the bidding of the Westernised Russian oligarchate, also ignoring the people). Thus, the Ukraine has become merely the battleground for the real War, that between Russia and the USA.

The Russian response to Western escalation and aggression is partial mobilisation. The limited SMO will need more manpower to defeat the doomed NATO-supplied Nazis, whose numbers have been much increased by the arrival of NATO troops in Ukrainian uniform as well as by mercenaries. President Putin was accused by the Western ruling class through their State-controlled media mouthpieces of threatening them with nuclear attack. This was not the case. He had simply answered Truss, who had threatened Russia with nuclear attack, replying that any such aggression would be met by Russia with the same.

President Putin now awaits the winter in order to give the peoples of Western Europe opportunities to reflect and then force their spineless leaders to reject American tyranny. Since 2014 President Putin has become determined to remove the American threat to his Western borders and so to Western and Central Europe once and for all. Only then will the North-Western peninsula of the Eurasian continent at last become free. In order to do this, he has built up relationships with China, India and others in Asia, as well as with many countries in Africa and Latin America. Thus, in recent months he has rapidly gathered influence all over Eurasia.

Where We Are Now

As the Soviet Union between 1989 and 1991, so the European Union between 2022 and 2024. Now is not the time for top-down Unions –in the last two generations since 1989 they have been collapsing in favour of international co-operation between sovereign nations, as is needed by the grassroots. Demonstrations have taken place in the Czech Lands, Austria, Germany and the Netherlands, all calling on Kiev to start negotiating with Russia, and on the EU Commissars to stop behaving like vassals of the US feudal magnates. Germany is very nervous, it risks its industry shutting down. And German winters can be very cold. Now the two undersea Nordstream pipelines for Russian gas to Germany, have exploded. Of course, it is sabotage.

The USA has successfully cut off German industry, and much of Europe, from the Russian gas that could have powered it up again, had the EU wanted to be free of dependence on the US. The Hungarian government also wants Kiev to negotiate – it has Hungarian citizens across the border in Zakarpattia. And there are those in Romania who think the same about the province of Romanian Chernivtsi across the border, though those who think that are not allowed to be part of the present US-puppet government. Poland also wants its territory back, perhaps all five provinces of the far western Ukraine: Volyn, Rivne, Lviv, Ternopil, Ivano-Frankivsk. Meanwhile, Italy is about to get a new, anti-Brussels nationalist government, much to the dislike of the unelected EU elite.

The euro has already collapsed against the dollar. Now it is the turn of the pound sterling. The pound sterling has lost 20% of its value against the dollar since MissTrust came to power. The UK, stabbed in the back by the US for its anti-EU policy on Northern Ireland, has an economy which is not emerging from covid, but submerging after covid. The UK Establishment media trumpet that the UK State Health Service’s waiting lists are now down to only (sic) two years for most people (if you don’t die before that). Over 10% of UK citizens, 7 million people, are now waiting for treatment. Some are in great pain. In any other country in the world, there would be a revolution if waiting lists for health treatment were longer than two months. Some UK citizens are even going to the Ukraine to get proper health treatment.

The UK’s infrastructure, utilities, roads, railways, education and healthcare, has in many places reached what used to be called ‘Third World’ levels. The UK currency crisis has come about because of the UK government’s need to borrow huge amounts of money – this was MissTrust’s ‘bold plan’. After all, the UK Establishment follows to the letter US orders and has had to refuse Russia’s riches and subsidise the bankrupt Ukraine. The UK level of debt is now approaching that of the USA and catching up with that of Italy, whom it used to mock as a ‘basket case’. As they say: ‘What goes round, comes round’.

Where We Are Going

How will all this end? From the start I have believed that this will all end with the Russian victory. This means:

1. Another Ukraine

There will always be an East Slav-speaking country between south-eastern Poland and south-western Russia, whatever it will be called. However, in the future it will be a country within its natural borders, occupied only by those who voluntarily identify with the government and culture in their capital of Kiev. Moreover, deNazified, it will present no military, biological or nuclear threat to its neighbours and will be independent of geopoliticians in Washington and Brussels.

2. Another Russia

As a result of the War against NATO, Russia will be freed of the froth of Westernised sycophants formed in the 1990s, the liberal chattering classes, called in Russian ‘the creative class’. The 5% who are enserfed to the West and its overpriced consumer baubles are only repeating the treason of the Westernised ruling class and traitors from before 1917 who also wasted their fortunes on overpriced Western consumer baubles. Those who will continue to behave like chimpanzees with regard to their Western lords and masters should go and live in the West, if they have not already fled Russian justice for Finland, Georgia or elsewhere. Russia at war against NATO (= the US) is a land that needs patriots, not traitors.

3. Another Europe

At the present time Central and Western Europe risk ‘Donbassisation’ as a result of US demands for absolute obedience to their anti-Russian tyranny, known as ‘sanctions’. This means that NATO-ised Central and Western Europe will become deindustrialised, impoverished, cold, hungry and also left defenceless, as their arms will have been destroyed in the Ukraine. However, it is only at that low point that they will begin to understand that their future is not across the Atlantic, but next door, with Eastern Europe and Eurasia, the gateway to Asian growth and prosperity.

4. Another World

Seven billion of the eight billion people of the world, 87.5% of the planet, await the day of freedom from Western imperialism, exploitation and nuclear threats, aspiring to humanity, truth, justice and prosperity. Their only hope is the coming Russian victory over the US. Once that is here, much will fall back into its natural place. Asia with China and India, Africa, Latin America and all the Western peoples (basically, Western and Central Europe, North America and Oceania), so long oppressed by the gloomy darkness of the feudal Western elite, will be able to follow in Russian footsteps and walk, at first dazzled, into the bright daylight of freedom.

Conclusion

In 1939, quite infamously, Winston Churchill expressed his extraordinary ethnocentric ignorance by calling Russia ‘a riddle, wrapped in a mystery, inside an enigma’. Like many ignorant people, he was of course talking about himself. When you cannot be bothered to understand someone else’s viewpoint, just call it ‘a riddle, wrapped in a mystery, inside an enigma’. You will then have successfully defined yourself as a blinkered and selfish narcissist. The only thing is, when you depend on that someone else for vital gas, oil, fertiliser, wheat, paper, titanium etc, maybe you really should after all make an effort to understand their viewpoint. Otherwise, they will definitely consider your death-wish, the totally suicidal behaviour of your American Roulette as, ‘a riddle, wrapped in a mystery, inside an enigma’.

Eagles – Turn To Stone (1977)

https://www.youtube.com/watch?v=IzkKAyFpnjY

US politicians move to introduce radical bill over China’s Taiwan, in a ‘dangerous provocation’

Wang QiPublished: Sep 29, 2022 11:06 PM
.

In the latest round of increasingly frequent attempts by US politicians to “score points” by provoking China over the Taiwan question ahead of the midterm elections, Republicans introduced their own version of the highly provocative “Taiwan Policy Act 2022,” which is more radical and dangerous than the version approved by Senate Foreign Relations Committee two weeks ago.

Analysts said that as the current House minority, some Republican lawmakers have tried to use their version of the Taiwan Policy Act to put pressure on Democrats and speed up the progress of the legislation. But at the same time, it also shows that there are indeed some pragmatists among Democrats who are concerned over the damage the bill will do to China-US relations.

Along with 36 other House Republicans, Michael McCaul, Republican leader of the House Foreign Affairs Committee, introduced the House minority’s version of the Taiwan Policy Act of 2022 on Wednesday.

According to VOA, the Taiwan Policy Act introduced by GOP House representatives retained and restored some highly sensitive requirements in the original version, including a requirement that the US increase strategic clarity in “defending Taiwan” and designate Taiwan authorities as a major “non-NATO ally.”

The GOP’s bill calls for the renaming of the “Taipei Economic and Cultural Representative Office” as the “Taiwan Representative Office.” The legislation would also require the US to sell Taiwan island more weapons.

On September 14, the US Senate Foreign Relations Committee approved its own version of the bill initially introduced by Senate Foreign Relations Committee Chairman Bob Menendez and Senator Lindsey Graham in June.

Republicans, the minority party in the House of Representatives, came up with a new version of the bill to further hype the Taiwan question, and apparently to pressure Democrats in Congress and the White House to speed up the passing of the bill before the midterm elections, Diao Daming, an associate professor at the Renmin University of China in Beijing, told the Global Times on Thursday.

“I don’t think it means that the Democrats, who have a majority in the Congress, are interested in passing this bill quickly,” Diao said. “If the Democrats want the legislation to be achieved as soon as possible, it should be the Democrats that introduce a House version, which is different from the reality.”

But it does show that some members of the pragmatic wing of the Democratic Party are concerned about being so loud and provocative in damaging China-US ties, Diao noted.

Senior Colonel Tan Kefei, spokesperson for China’s Ministry of National Defense, expressed strong opposition to and condemnation of a series of recent US acts that infringe upon China’s sovereignty and territorial integrity, including the Taiwan Policy Act and arms sales, at a press briefing on Thursday.

Some people in the US have violated the one-China principle, reneged on their political commitment to China on the Taiwan question, and strengthened military ties with Taiwan island’s DPP. These provocative actions are very dangerous and do great harm, Tang said.

We urge the US side to end military links with the DPP authorities, or bear the resulting serious consequences, Tan added.

Despite the belligerence of the US lawmakers in drafting the Taiwan Policy Act 2022, experts say that procedurally, there is limited time for the bill to complete its legislative process before the midterm elections.

If more Democrats join the Republicans, the bill is likely to be taken up in the House, followed by bipartisan, House-Senate negotiation. If there is no reaction from the Democratic majority, the bill will just sit there and the Senate version will go to the House Foreign Affairs Committee, where it will be reviewed and amended, and another long process of bipartisan, House-Senate negotiation will ensue, experts said.

In less than 40 days, Congress needs to pass a series of appropriations bills for fiscal year 2023, as well as some major authorization acts, such as the National Defense Authorization Act for fiscal year 2023.

However, experts warned of the risks that lawmakers defeated in the election may be more likely to be driven by “special interests” to do risky things for the sake of their legacy. As for the possible winners, they may also do something extreme in the next two months on the Taiwan question in order to meet their own interests.

Although November 8 is the date set for the midterm elections, lawmakers who lose will have to leave office by January 3. If the legislative process for the Taiwan Policy Act is not completed by this date, the next Congress will have to go through the whole process of proposal, review and approval all over again, Diao said.

.

The “Indian chef” came to our house to fix a meal for our office staff and the neighborhood. The guy had creds. He was the chef at some of the G7 meetings, at various White House events, and carried with him clippings from various magazines that followed his career.

He came to us owing to the kindness of his son, who was training our technical staff on some specialized software. When he learned of my love of Indian cuisine, he said, “Great! I’ll bring my father next time, and he’ll cook for you!”

Which he did.

I collected father from his hotel in the early afternoon, when he handed me his clippings and I began to comprehend his skill and authenticity. He carried with him a black “doctor’s bag” which contained various spices and herbs and some utensils. Our agreement was that I would buy whatever food he wanted, and he would cook, gratis.

Off went we to a supermarket, where he trundled the cart up and down the aisles, tossing in this and that, eventually filling the cart. I was getting nervous about the cost of all that food. But I need not have been. Most everything was fresh — he would be cooking from scratch. We didn’t get coconut milk; we got the coconuts! And the only meat was chicken wings. The bill, I think, was around $60.00. To feed about 20 people.

Now back home, he took over the kitchen, directing the volunteer helpers and me to do this and that. And there was he, roasting red peppers directly on the stove burners! When they caught fire, he would hold them by their stems and gently rotate them for an even char. Pots of heaven knows what were bubbling, and the most alluring fragrances soon filled the home and wafted onto the street.

At roughly 6 p.m., he directed the assembled company to evacuate and await his call to dinner; he and his son would finish everything and set table.

And so we gathered on the deck, preparing ourselves with copious volumes of spirits, wine and beer, while struggling with churning stomachs which eagerly anticipated the feast to follow.

At last, we were called into the dining room, where his creations were arrayed down the center of the table. He explained what each item was, and from what region of India it originated. “I hope you enjoy,” he concluded, modestly. I then asked if people of Hindu faith had such a thing as “grace” before dinner. “We do,” he said. I replied, “Please, then, give us a traditional blessing for this food.”

Which he did.

Now as it turns out, a Hindu blessing is not like the short Christian prayer most of us knew. No, it was more in the nature of a little sermon, drawing parallels between food and nature and goodwill and music and I forget what else; but it all had to do with a kind of karmic harmony. All very nice, but at this point, we were ravenous and wondered when his hermeneutics might, at last, conclude.

Which they shortly did. I pulled out a chair of honor, for him, but he wasn’t having it. No, he preferred to keep the platters and serving bowls filled, to clean up scraps and otherwise wait on us. I didn’t argue.

So at last among the clatter and clinking of plates, bowls, and silverware, we circled the table, filling our plates and either sitting right there or drifting off to the porch, the deck or other rooms as space permitted. And every time we returned for seconds, or thirds, or more, the serving vessels were all filled, again.

By 10 p.m., we were all fully sated and immobile; belt buckles were loosened, bras discreetly removed; there we sat or we laid out like beached whales, groaning with contentment, emitting vapors from our pores or otherwise.

When at last I stumbled to the kitchen, I found it pristine. The left-overs had been neatly packaged and stowed in the refrigerator, and the Indian chef was quietly reading the newspaper. And he thanked ME for the privilege of serving us. He and his son took their leave.

Sixty dollars, over 20 people, a sumptuous feast, prepared by a famed chef. And there were leftovers.

Incredible.

The Guitar Man – Bread – HQ/HD

I was 13 years old…

https://www.youtube.com/watch?v=RPoJNdKNT40

I see you’ve been reading Western mainstream media. They publish anti-China garbage and you swallow it without discriminating it.

There is no coup in China. Xi Jinping is perfectly safe in his job. Nothing to see here, folks.

Manfred Mann – Blinded by the Light

Asia tech ‘godfathers’ to decide US chips fate

US ‘friendshoring’ and Chips 4 alliance may or may not lure region’s semiconductor heavies to Washington’s side
.

The importance of semiconductors to economic security adorned newspaper headlines in 2022.

As part of its technological competition with China, the United States has introduced a policy of “friendshoring” its semiconductor production to secure its supply of high-end chips that enable daily life and stock the inventory of major technology firms such as Apple.

The term friendshoring rose to prominence after a speech by US Treasury Secretary Janet Yellen in April 2022. Yellen proposed a shift towards “favoring the friendshoring of supply chains to trusted countries”, arguing that this would “lower the risks” to the US economy and its partners.

In an effort to boost national competitiveness, the United States passed the CHIPS and Science Act in August 2022 to enhance domestic chipmaking capacity through major investment in regional centers and support for talent development.

Washington’s efforts also include the Chip 4 alliance — an arrangement through which the US government aims to diversify supply chains among Japan, South Korea and Taiwan.

The not-so-subtle aim is to frustrate the ability of Chinese producers to upgrade their capacity. That will help US firms maintain an advantage over Chinese firms like Semiconductor Manufacturing International Corporation (SMIC), which recently reported the development of a 7-nm chip, among other new capabilities.

The Taiwan Semiconductor Manufacturing Company (TSMC) is preparing to mass produce 3-nm chips, while South Korea’s Samsung has just begun 3-nm production.

Much of the coverage of friendshoring has focussed on manufacturing and design capabilities, the market share of chip firms and political posturing. But friendshoring and the US Chip 4 policy are ultimately about the people, or “friends”, behind these technological innovations.

Japan, South Korea, and Taiwan have chipmaking “godfathers” — people acclaimed for their crucial roles in developing semiconductor capacity. Yet several of these “godfathers” have proven to be “frenemies” to their own companies after being poached by competitors — including Chinese market entrants.

Yukio Sakamoto, dubbed the “godfather of Japan’s DRAM”, was the president of the former semiconductor company and foundry, Elpida Memory. Sakamoto was disgruntled after his experience at the US-based Micron and joined China’s Tsinghua Group in 2019 to build DRAM products.

In June 2022, Sakamoto announced he was joining China’s SwaySure. Sakamoto worked for Texas Instruments in Japan earlier in his career before being recruited by Kobe Steel Electronic Information Group.

Kim Choong-Ki is often called the “godfather of South Korea’s Chip Industry.” Kim trained upcoming semiconductor engineers — called “Kim’s mafia”— who went on to lead semiconductor production at Samsung, LG and Hyundai.

Kim earned his PhD at Columbia University, after which he joined the then semiconductor industry giant, Fairchild, where he worked on R&D in Palo Alto.

Morris Chang founded Taiwan’s semiconductor powerhouse, TSMC, in 1987 and led the firm’s growth for decades. Chang obtained his PhD in electrical engineering at Stanford University, working for Texas Instruments for more than 25 years. The Taiwanese government soon after recruited him to lead the soon-to-be-established “dedicated silicon foundry.”

Chiang Shang-Yi headed R&D at TSMC until 2006. After military service, he completed his undergraduate studies at National Taiwan University and graduated with a PhD from Stanford University.

He made headlines for joining China’s SMIC as an independent non-executive director from December 2016 to June 2019, becoming vice-chairman from December 2020 until November 2021.

Liang Meng-song, another semiconductor doyen, was in the spotlight of a court case between TSMC and Samsung.

He was charged with leaking classified information to Samsung after joining the same year he left his long-time employer, TSMC. Liang, like Chang and Chiang, studied in the United States. He spent 23 years working at TSMC before leaving in 2009.

These “friends” gained crucial work experience with US firms and most completed postgraduate studies in the United States.

Sakamoto learned during his time at Texas Instruments while Kim and Chang, Chiang and Liang graduated from elite US schools and worked for leading US firms such as AMD, Hewlett-Packard, Fairchild and Texas Instruments. After returning home, they became the executive officers of semiconductor firms or holders of intellectual property rights.

The US-led Chip 4 alliance has come to life amid the interplay between the long-standing personal connections in the semiconductor industry and the risk of talent moving across firms and countries, as the trajectories of these godfathers exemplify.

The movement of semiconductor professionals ignites wars over employee talent and brings lawsuits relating to patents and trade secrets, intensifying industry rivalry and competition.

While Washington’s friendshoring policy aims to deepen supply chains with key producers in Japan, South Korea and Taiwan, policymakers must remember that the people who comprise the leadership of those firms can move.

Friendships evolve over time, and friends learn from one another. In Granovetter’s terms, Washington’s “weak ties” might prove more beneficial than its strong ties to South Korea, Japan and Taiwan in advancing its semiconductor capabilities.

Robyn Klingler-Vidra is Reader in Entrepreneurship and Sustainability at King’s Business School. She is the author of The Venture Capital State: The Silicon Valley Model in East Asia (Cornell University Press, 2018) and Inclusive Innovation (Routledge, with Alex Glennie and Courtney Savie Lawrence).

Yu-Ching Kuo is an independent researcher based in Kaohsiung. She is the co-author of ‘Brexit, Supply Chains and the Contest for Supremacy: The Case of Taiwan and the Semiconductor Industry’ in A New Beginning or More of the Same? The European Union and East Asia After Brexit (Palgrave Macmillan, 2021, with Robyn Klingler-Vidra).

The Time Tunnel Ep 05 The Last Patrol

 

.

Followed a rabbit down a hole.

I own a cleaning chemical manufacturing business in Australia. My business makes all sorts of cleaning chemicals for retail, industry, commercial and end users. My niche, something the business does very well, is private labelling.

The vast majority of the products leaving my business has other company’s labels, not my own. This has it’s pros and cons but for me and my business it has been hugely successful.

Now here’s the thing. I receive an awful lot of enquiries from people who want their own brand cleaning chemicals and most are simply too small for me to deal with. I give them the time of day but know they are wasting my time.

So this one day, maybe 5 years ago or more, my business receives an email from a Chinese online seller wanting us to manufacture and private label, cleaning chemicals for him and ship them to China for him to sell online.

Of course I dismissed this as an absolute waste of my time. China manufactures for the world. Almost every western nation has outsourced their manufacturing sector to China, why would he want me to manufacture for him?

Fortunately I didn’t dismiss it entirely. What I did was tell my sales rep to “follow the rabbit down the hole and see how far the hole goes”.

From their it progressed. We sent samples, which I was sure would not only be a waste of my time but now my money too. We waited for the samples to get there, and then be tested by them, and to my surprise they wanted to move forward.

So we priced up the products they were interested in and sent through the quote. This would finally put a stop to this absurdity and I could get back to business as usual. Even though I priced it fairly, I was certain we would be too expensive.

But no. Too my surprise they in fact wanted to place an order for something like 20000 500ml bottles. Now I was certain it was a scam. I had been suspicious all along, after all China buying from an Australian manufacturer didn’t make any sense at all. A scam!

My response was I won’t do anything until 100% of the invoice is paid and only after the money has been sitting in my account for a week. They agreed and promptly paid. It turns out they are legitimate after all.

Not only are they legitimate but they are wonderful people and fantastic to deal with and now some several years later they buy a 40 foot container every month on average and it is growing. It is great business to have and fantastic to be supplying China instead of the other way around.

So why did they want to buy from us I hear you say? I asked them the very same question.

Because China has a lot of middle class and wealthy people that don’t necessarily trust products made in China. These people prefer products made in Australia, the UK and the USA. So my client invented his own brand that is manufactured in Australia. And it has been hugely successful for him and for my business.

An insignificant email I almost dismissed entirely as a waste of time has changed my life and my business considerably.

Before I leave you I will tell you what he said to me when I asked him why he chose my business.

“You were the only one that responded to my email.”

Phew! I nearly didn’t either.

China is sending a message to the world, loud and clear:

  • Stay out of China’s domestic matter. You cannot prevent Taiwan reunification.
  • China will reunify with Taiwan one way or the other. She prefers peaceful reunification but is not above using force.
  • This matter is non-negotiable.

Get the message?

Russia Says US Probably Sabotaged the Pipelines!

Either the United States bombed the pipes directly, or the US puppet state “Ukraine” bombed the pipes in the name of the Western war on Russia.

There is no other possibility.

RT:

 Russian Foreign Ministry spokesperson Maria Zakharova has asked who the EU intends to punish with the “strongest possible response” for damage to the Nord Stream gas pipelines.

The diplomat said Poland’s former foreign minister has already identified the US as the party behind the apparent sabotage. Radoslaw Sikorski is well connected to Washington elites through his employment at various think tanks.

The two Nord Stream pipelines were seriously damaged this week in what is suspected to have been a deliberate attack.

European Commission President Ursula von der Leyen on Tuesday called the incident “sabotage action” and warned that “any deliberate disruption of active European energy infrastructure is unacceptable and will lead to the strongest possible response.” 

 

 On Wednesday, Zakharova asked who exactly the warning would apply to.

“I don’t get it. MEP Sikorski thanked the US for what had happened, so whom is Ursula ‘threatening’ there?” she wrote on social media.

Zakharova was referring to the reaction to the incident by Sikorski, now an EU lawmaker, who posted a photo of the site where the explosions occurred on Twitter with the words: “Thank you, USA.” He described the incident as a “special maintenance operation.”

Sikorski added that “there’s no shortage of pipeline capacity for taking gas from Russia to Western Europe, including Germany,” referring to the Yamal-Europe land pipeline that goes through Belarus and Poland. After the damage to the Nord Stream strings, Russian President Vladimir Putin “will have to talk to countries controlling” the alternative route to resume supplies, he predicted.

Zakharova earlier asked if Sikorski’s tweets amounted to an “official statement that this was a terrorist attack.” Meanwhile, Dmitry Polyanskiy, the Russian deputy ambassador to the UN, thanked Sikorski for “making it crystal clear who stands behind this terrorist-style targeting of civilian infrastructure!” 

The Ukrainian Jews came out and blamed Russia for the pipeline attacks, which means the Ukraine probably did it themselves. The Ukraine has been obsessively pushing this “false flag” stuff whenever they do terrorism, so I think it’s safe to assume that whenever they blame Russia for something that benefits them, they did it.

Of course, bombing a pipeline is a pretty complicated sabotage operation – much easier than say, blowing up someone’s car – so it’s possible it was done by the US.

It’s notable that the US and NATO are doing drills in the Baltic Sea right now. It’s kind of a weird coincidence.

McHale’s Navy 3×28 The Great Necklace Caper

I worked with an estate sale company for four years. We saw all sorts of things, and sometimes the first person to slap a price on items erred pretty spectacularly. So, we looked out for each other and often suggested bumping prices up or down (all before our first day of sales, during the prep week).

For example, there was a full canister of r-22 refrigerant someone had slapped $10 on (it was going for about $60 a pound at the time, and this held maybe 5 pounds). We bumped it up to $80 & it was still one of the first things to sell. Another time, there was a $10 box of lightbulbs that was $6 at the store – we bumped that down to $4. If a wildly underpriced item from your area came up to the register, you were going to catch heck from the boss later and you would also get chewed out (to a lesser degree) if few things sold in your zone of responsibility because they were all overpriced.

One thing though – if the boss had priced an item, you did *not* suggest changing that price. She took it as an insult to her pride (& really did have a good grasp on market pricing 99% of the time).

At one sale, I couldn’t work the prep week and came in just to help out during the sale days. Before the doors opened, I was doing a once over, looking for any items we had missed putting a price on. A big serving spoon caught my eye. It had a certain “bong” to it, that you may have read about in the Lovejoy novels. Simply lovely, well crafted, and heavy. I turned it over, and spotted English hallmarks (1815, London, if memory serves). It was about 3 ounces of silver… priced at $8.

x
Pure silver.

Not knowing who had priced it, I took it up to the boss and suggested we bump the price up because it was hallmarked. She got a bit haughty and told me never mind, she had priced that one and serving spoons never sell. I said, “Well, OK” & put it exactly back on the table where I’d found it.

At exactly the 1 hour mark into the sale (when employees are allowed to buy items), I carried that silver spoon up to the front and put it in a basket. She didn’t think much of it, but I certainly felt like I’d found a way to pay myself that day.

Someday I’ll sell it. But, for now I keep it as a memento from that job and a reminder that no matter how much I think I know, it never hurts to listen to someone else for a second opinion.

Mr. Ed | Ed The Lover

5 Major Events That Have Happened Within The Last 100 Hours

.

If you think that nothing is happening, then you have not been paying attention.  Within the past 100 hours, there has been a mysterious attack on the Nord Stream gas pipeline system, two monster storms have threatened North America, NASA rammed a spacecraft into an asteroid in order to knock it off course, and our ongoing stock market crash reached a new level when the Dow Jones Industrial Average officially plunged into bear market territory on Monday.  I would say that is a pretty active 100 hours, but of course this is just the beginning.  I believe that global events will continue to accelerate during the months ahead, but meanwhile most of the general population is still assuming that things will eventually “return to normal” somehow.

We live during times when so many things are happening all at once that it is truly difficult to keep up with it all.

Personally, I fully expect things to get even more “interesting” now that summer is over, and we are certainly off to a flying start.  The following are 5 major events that have happened within the last 100 hours…

#1 A series of explosions has caused “unprecedented” damage to the Nord Stream 1 and Nord Stream 2 pipelines…

The Nord Stream gas pipeline system endured “unprecedented” damage to three offshore lines on the bed of the Baltic Sea in one day, Nord Stream AG, the network’s operator, said on Tuesday.

The AG said it was impossible to estimate when the gas network system’s working capability would be restored.

“The destruction that occurred on the same day simultaneously on three strings of the offshore gas pipelines of the Nord Stream system is unprecedented. It is not yet possible to estimate the timing of the restoration of the gas transport infrastructure,” the operator told reporters.

As I discussed in a previous article, these pipelines are very well constructed and are extremely thick.  In order to damage them, very large explosions would be needed, and that is apparently exactly what happened.  In fact, the explosions that damaged these pipelines were so large that they actually registered on the Richter scale.  Many European officials are insisting that this could not have been an accident, and I agree with them.

But if this was not an accident, who was responsible?

#2 Fiona turned out to be a truly historic disaster for our neighbors to the north.  It violently slammed into Nova Scotia on Saturday, and we are being told that it was one of the most powerful storms in the entire history of Canada…

Fiona, the most powerful storm of this year’s Atlantic hurricane season, roared ashore in eastern Nova Scotia early Saturday as one of the strongest systems ever to hit the region, knocking out power, toppling trees and forcing residents to flee.

Across Nova Scotia, 414,000 households were without power, or about 80% of Nova Scotia Power’s customers. Thousands more were hit in neighboring areas as well.

This was definitely a “monster storm”, but it looks like an even bigger storm could be about to hit Florida…

#3 On Tuesday, Hurricane Ian strengthened into a “major Category 3 hurricane”, and forecasters are warning that it could actually turn into a Category 4 storm before it finally collides with the west coast of Florida on Wednesday

The center of Ian could strengthen into a Category 4 hurricane as it continues to move over the Gulf, NBC News forecasters said.

Ian will continue to intensify today through Wednesday as it approaches the west coast of Florida on Wednesday “as an extremely dangerous major hurricane,” according to the National Hurricane Center.

Without a doubt, Ian is a very dangerous storm, and it has the potential to cause an immense amount of damage once it reaches shore.

#4 On Monday, NASA rammed a spacecraft into an asteroid for the first time in history in order to see if it could alter the trajectory of the giant space rock…

NASA’s DART mission slammed into Dimorphos, a smaller space rock circling a larger asteroid called Didymos, to see if it could throw off the orbit of a potential future asteroid that was threatening life on Earth. Scientists will be watching the Didymos system closely to see how much Dimorphos’ orbit actually changed – those results won’t come for at least another two months.

Confirmation of NASA’s successful planetary defense test came seconds after the 7:14 ET (00:14 BST) 14,000 mph collision, sparking applause among the ground team at the Johns Hopkins University Applied Physics Laboratory in Maryland. ‘Impact success!’ NASA tweeted after the DART spacecraft collided with the 560 foot asteroid, around 6.7 million miles away from Earth.

Is NASA conducting such a test for a specific reason?

If so, they would never actually admit it.

Personally, I have a feeling that this is a very important story that is far from over.

#5 U.S. stock prices continue to crash.

Unlike the crash of 2008, what we have witnessed so far in the fall of 2022 has been slow and steady.

But prices just keep going down.

On Monday, the Dow Jones Industrial Average officially entered bear market territory.

And then on Tuesday the Dow fell some more.

Overall, the Dow has now fallen 21.2 percent from the previous all-time high, the S&P 500 is down 24.3 percent from the record it set in January, and the Nasdaq has plummeted more than 33 percent from the peak that it hit last November.

The amount of wealth that has already been wiped out is absolutely staggering.  In fact, Forbes is reporting that the wealthiest tech tycoons have collectively lost 315 billion dollars over the past year…

Stock prices have dropped and inflation surged, making the members of The Forbes 400 list of the richest people in the U.S. a collective $500 billion poorer than last year. The wealthiest tech tycoons have taken the brunt of the beating: They have lost a combined $315 billion in net worth since the fall of 2021—accounting for nearly two thirds of the total drop in The Forbes 400’s wealth.

How would you feel if you and your friends lost 315 billion dollars in just one year?

Unfortunately, it appears that much more turmoil will be coming to Wall Street in the months ahead.

Economic problems are erupting all over the globe, more war is on the horizon, and our planet just keeps getting hit by one disaster after another.

It is almost as if we have entered some sort of a “perfect storm”, but most people out there still do not understand what is happening.

The strange events of the last 100 hours are not an anomaly.

We live at a time when really weird things are happening on a regular basis, and I have a feeling that the remainder of 2023 will bring us a lot more surprises.

 

As a foreigner who has lived in China for almost twenty years, I think I have a lot to say on this issue.More than ten years have passed have passed since I followed my wife, whom I met abroad, to Hangzhou, China in 2005 and started a family here. Over the years, I have been to many places in China and have felt the many changes that have taken place. I would like to share my true feelings.

My city, Hangzhou, is located in the eastern part of China’s Zhejiang Province, it is a city with a long history and beautiful scenery. When I first came here, there were not so many high-rise buildings and clean and spacious streets, but many muddy and potholed alleys, poor drainage and even lack of lighting, and the traffic was not so convenient, but soon after I came here, Hangzhou government started a “city improvement” project, from road leveling, demolition of illegal buildings, construction of highways and overpasses to landscaping and landscape lighting, and suddenly the place became a new look. The city has suddenly become a new place. Hangzhou has been developing faster and faster over the years, with all kinds of beautiful skyscrapers going up and infrastructure getting better and better, and there are many scenic spots here, so more and more domestic and foreign tourists come here every year. As a “half” Hangzhouer, I am proud to be a part of it.

In addition to the changes in the appearance of the city, there is a change is also very obvious, that is, more and more small cars on the street. When I first came here, there were not many people driving cars, so I didn’t have to worry about traffic jams, but slowly, people are getting richer and richer, and more people are buying cars, but every time there is a traffic jam I can’t help but complain: I wish people weren’t so rich!

I have met many friends in China who have gotten better and better over the years. Almost all of my friends live in bigger and more beautiful houses, some completely self-funded and some government subsidized. I used to think that my friends were always hustling for a better life, they rarely thought about how well they would eat or how stylishly they would dress, they were always waiting for that “better” moment. Then one day, they finally began to enjoy, no longer “life is forced” hanging on the lips, food and clothing are more sophisticated, we have more opportunities to meet and have fun, and sometimes travel together. From them, I feel the happiness that life is becoming better and better. Of course, our family is also getting better and better.

The most amazing thing to me is that one day, cell phone payment suddenly appeared everywhere. You don’t need to carry cash when you go out, a cell phone can realize all the payments. Whether it’s taking the bus, taking the subway, eating at a restaurant, or visiting a tourist attraction, you can easily pay as long as your phone is in your hand, which is convenient and time-saving without worrying about the hidden dangers of carrying cash. In addition, you can also pay the phone bill, gas, electricity and other daily living expenses through the cell phone, no longer need to run to the relevant department institutions to pay, not to mention how convenient!

And, you know, there’s no fees. NONE. ZERO. No ATM fees, nor banking fees, no late fees, no “processing” fees. You can do it anywhere you want… pay in the toilet, at the bus station, in the taxi, at lunch. Easy to do, simple, and no fees at all for anything.

In addition to living in Hangzhou, I love to travel around China, it’s just so big and interesting! Every time I go to a different city, I get to experience a different culture and people. That’s why I found out that not only Hangzhou is changing, but also other places in China are developing and progressing. I used to have to take a train for more than 20 hours to go to places that are far away and not accessible by plane, but then there are many places that can be reached in 10 hours at most by high speed rail (you have to know that China is so big, this speed is already very impressive.) Over the years China has seen more and more high-speed trains and airports, connecting many big cities and smaller ones, forming a convenient transportation network, so you don’t have to worry about transportation when you go out to travel.

What struck me was some of the rural areas of China. I like to travel a lot, and when I first arrived in China, I would occasionally visit some rural areas, because many special landscapes are located in rural areas of China. But I have to admit that many of the places I visited at that time were not so economically developed, as evidenced by the muddy roads, backward infrastructure and imperfect tourism services, where people lacked transportation to get around and not many people had cell phones and computers. But when I went to these places several years later, I was shocked by the spacious and flat concrete roads, the solar-powered streetlights standing by the roadside, the beautiful buildings, and the well-built mobile communication base stations. I was amazed by such a strong change.

All of the above are the most realistic things I have seen and felt in the more than ten years I have lived in China. I have to admit that I didn’t like it very much at the beginning, but my wife is here and I am going to have a family here, so I choose to accept it. But when I saw the changes in both the big cities and the small places, I felt a huge surprise and emotion. China is a big country with a huge population. It is not easy to make the lives of so many people better in a short time, but China has done it. Now, I have fallen in love with the city of Hangzhou and with China.

So back to your question: What is life like in China that achieves double-digit GDP growth year after year? My answer is: progressive and full of hope. Welcome to come and see China if you have the chance.

Three Legged kitty

I have two 3-legged cats, Bert and Prius, but despite this difference they seem to be able to do everything they want to! They both can jump up 4–5 feet, and they often start the day with 3-legged races (zoomies). Bert is a master eater and cuddler, and Prius keeps close track of the activities of the next-door neighbors and the pigeons in the roof. And they are both exceptionally talented shedders of fur.

x
x

 

Russian Foreign Ministry’s statement on the referendums in the DPR, LPR, Kherson and Zaporozhye regions:

On September 23-27, the Donetsk and Lugansk people’s republics, Kherson and Zaporozhye regions held referendums on their accession to the Russian Federation. The results of the voting have been tabulated, with the overwhelming majority of voters supporting unification with Russia – 99.23 percent in the DPR, 98.42 percent in the LPR, 93.11 percent in the Zaporozhye Region and 87.05 percent in the Kherson Region. The turnout was as follows: 97.5 percent in the DPR, 92.6 percent in the LPR, 85.4 in the Zaporozhye Region and 76.9 percent in the Kherson Region.

Despite the provocations of the Kiev regime that gave criminal orders to massively shell areas where civilians gather and civilian facilities, people were not afraid to come to the polls and express their will. The results of the plebiscite speak for themselves – the residents of Donbass, as well as the Kherson and Zaporozhye regions do not want to return to their former life. They have made a conscious and free choice in favour of Russia.

In fact, they had no alternative left. Far from all people in Ukraine acquiesced and accepted the coup in Kiev in February 2014 that brought to power radical nationalist forces that unleashed a bloody fight against those that would not accept it in the southeast of the country. The signing of the Minsk agreements approved by a UN Security Council resolution did not rescue the situation. As Ukrainian representatives are cynically saying now, Ukraine was never going to honour them from the very start and was preparing for war. For eight years, the population of Donbass was subjected to persistent and cynical attempts to destroy them. The decision of the residents of Donbass, the Kherson and Zaporozhye regions to seek protection from Russia was a natural result of the inhumane policy pursued by the Kiev regime.

The referendums took place in full conformity with the standards and principles of international law. The people of Donbass and the south of Ukraine exercised their lawful right to self-determination in accordance with the UN Charter, the 1966 international human rights covenants, the 1975 OCSE Helsinki Final Act, and the verdict of the UN International Court of Justice on Kosovo on July 22, 2010. The latter confirmed that the unilateral proclamation of independence by a part of a state does not violate any norms of international law.

International observers from Italy, Germany, Venezuela, Latvia and other countries (overall, 133 people) monitored the course of the referendums and recognised them as legitimate. We are grateful to foreign experts, bloggers, journalists and representatives of public organisations for their courage, integrity and objectivity.

In the near future, we will enter a critical stage in our joint efforts to translate into life the desire of the residents of the DPR, LPR, and the Zaporozhye and Kherson regions to be together with Russia.

Twelve O’Clock High S03E05 A Distant Cry

Germany and EU have been handed over a declaration of war

by Pepe Escobar, posted with the author’s permission and widely cross-posted

The sabotage of the Nord Stream (NS) and Nord Stream 2 (NS2) pipelines in the Baltic Sea has ominously propelled ‘Disaster Capitalism’ to a whole new, toxic level.

This episode of Hybrid Industrial/Commercial War, in the form of a terror attack against energy infrastructure in international waters signals the absolute collapse of international law, drowned by a “our way or the highway”, “rules-based”, order.

The attack on both pipelines consisted of multiple explosive charges detonated in separate branches close to the Danish island of Bornholm, but in international waters.

That was a sophisticated operation, carried out in stealth in the shallow depth of the Danish straits. That would in principle rule out submarines (ships entering the Baltic are limited to a draught of 15 meters). As for prospective “invisible” vessels, these could only loiter around with permission from Copenhagen – as the waters around Borholm are crammed with sensors, reflecting fear of incursion by Russian submarines.

Swedish seismologists registered two underwater explosions on Monday – one of them estimated at 100 kg of TNT. Yet as much as 700 kg may have been used to blow up three separate pipeline nodes. Such amount could not have possibly been delivered in just one trip by underwater drones currently available in neighboring nations.

The pressure on the pipelines dropped exponentially. The pipes are now filled with seawater.

The pipes on both NS and NS2 can be repaired, of course, but hardly before the arrival of General Winter. The question is whether Gazprom – already focused on several hefty Eurasian customers –  would bother, especially considering that Gazprom vessels could be exposed to a possible NATO naval attack in the Baltic.

German officials are already spinning that NS and NS2 can “potentially” be out of commission “forever”. The EU economy and EU citizens badly needed that gas supply. Yet the EUrocracy in Brussels – which rules over nation-states – would not follow, because they have been dictated themselves by the Empire of Chaos, Lies and Plunder. A case can be made that this Euro-oligarchy should one day be tried for treason.

As it stands, a strategic irreversibility is already self-evident; the population of several EU nations will pay a tremendous price and suffer serious consequences derived from this attack, short, medium and long term.

Cui bono? 

Swedish Prime Minister Magdalena Andersson admitted that was “a matter of sabotage”. Danish Prime Minister Mette Frederiksen admitted “it was not an accident”. Berlin agrees with the Scandinavians.

Now compare it with former Polish Defense Minister (2005-2007) Radek Sikorski, a Russophobe married to rabid US “analyst” Anne Applebaum, who merrily tweeted “Thank you, USA”.

It gets curiouser and curiouser when we know that simultaneously to the sabotage the Baltic Pipe from Norway to Poland was partially opened, a “new gas supply corridor” servicing “the Danish and Polish markets”: actually a minor affair, considering months ago their sponsors were in trouble finding gas, and now it will be even harder, with much higher costs.

NS2 had already been attacked – in the open – all along its construction. Back in February, Polish ships actively tried to prevent the Fortuna pipe-laying vessel from finishing NS2. The pipes were being laid south of – you guessed it – Bornholm.

NATO for its part has been very active on the underwater drones department. The Americans have access to long distance Norwegian underwater drones which can be modified with other designs. Alternatively, professional navy clearance divers could have been employed in the sabotage – even as tidal currents around Bornholm are a serious matter.

The Big Picture reveals the collective West in absolute panic, with Atlanticist “elites” willing to resort to anything – outrageous lies, assassinations, terrorism, sabotage, all out financial war, support to neo-Nazis – to prevent their descent into a geopolitical and geoeconomic abyss.

Disabling NS and NS2 represents the definitive closure of any possibility of a German-Russia deal on gas supplies, with the added benefit of relegating Germany to the lowly status of absolute US vassal.

So that brings us to the key question of which Western intel apparatus designed the sabotage. Prime candidates are of course CIA and MI6 – with Poland set up as the fall guy and Denmark playing a very dodgy part: it’s impossible that Copenhagen was not at least “briefed” on the intel.

Prescient as ever, as early as in April 2021 Russians were asking questions about the military security of Nord Stream.

The crucial vector is that we may be facing the case of a EU/NATO member involved in an act of sabotage against the number one EU/NATO economy. That’s a casus belli. Outside of the appalling mediocrity and cowardice of the current administration in Berlin, it’s clear that the BND – German intel – as well as the German Navy and informed industrialists sooner or later will do the math.

This was far from an isolated attack. On September 22 there was an attempt against Turkish Stream by Kiev saboteurs. The day before, naval drones with English language IDs were found in Crimea, suspected of being part of the plot. Add to it US helicopters overflying the future sabotage nodes weeks ago; a UK “research” vessel loitering in Danish waters since mid-September; and NATO tweeting about the testing of “new unmanned systems at sea” on the same day of the sabotage.

Show me the (gas) money

The Danish Minister of Defense met urgently with NATO’s Secretary General this Wednesday. After all the explosions happened very close to Denmark’s exclusive economic zone (EEZ). That may be qualified as crude kabuki at best; exactly on the same day, the European Commission (EC), NATO’s de facto political office, advanced its trademark obsession: more sanctions against Russia, including the certified-to-fail cap on oil prices.

Meanwhile, EU energy giants are bound to lose big time with the sabotage.

The roll call includes the German Wintershall Dea AG and PEG/ E.ON; the Dutch N.V. Nederlandse Gasunie; and the French ENGIE. Then there are those which financed NS2: Wintershall Dea again as well as Uniper; Austrian OMV; ENGIE again; and British-Dutch Shell. Wintershall Dea and ENGIE are both co-owners and creditors. Their fuming shareholders will want serious answers from a serious investigation.

It gets worse: there are no holds barred anymore on the Pipeline Terror front. Russia will be on red alert not only for Turk Stream but also Power of Siberia. Same for the Chinese and their maze of pipelines arriving in Xinjiang.

Whatever the methodology and the actors who were in the loop, this is payback – in advance – for the inevitable collective West defeat in Ukraine. And a crude warning to the Global South that they will do it again. Yet action always breeds reaction: from now on, “funny things” could also happen to US/UK pipelines in international waters.

The EU oligarchy is reaching an advanced process of disintegration at lightning speed. Their window of opportunity to at least attempt a role as a strategically autonomous geopolitical actor is now closed.

These EUROcrats now face a serious predicament. Once it’s clear who are the perpetrators of the sabotage in the Baltic, and once they understand all the life-changing socio-economic consequences for pan-EU citizens, the kabuki will have to stop. Including the already running, uber-ridiculous subplot that Russia blew up its own pipeline when Gazprom could simply have turned off the valves for good.

And once again, it gets worse: Gazprom is threatening to sue the Ukrainian energy company Naftofgaz for unpaid bills. That would lead to the end of Russian gas transiting Ukraine towards the EU.

As if all of that was not serious enough, Germany is contractually obligated to purchase at least 40 billion cubic meters of Russian gas a year until 2030.

Just say no? They can’t: Gazprom is legally entitled to get paid even without shipping gas. That’s the spirit of a long-term contract. And it’s already happening: because of sanctions, Berlin does not get all the gas it needs but still needs to pay.

All the devils are here

Now it’s painfully clear the imperial velvet gloves are off when it comes to the vassals. EU independence: verboten. Cooperation with China: verboten. Independent trade connectivity with Asia: verboten. The only place for the EU is to be economically subjugated to the US: a tawdry remix of 1945-1955. With a perverse neoliberal twist: we will own your industrial capacity, and you will have nothing.

The sabotage of NS and NS2 is inbuilt in the imperial wet dream of breaking up the Eurasian land mass into a thousand pieces to prevent a trans-Eurasia consolidation between Germany (representing the EU), Russia and China: $50 trillion in GDP, based on purchasing power parity (PPP) compared to the US’s $20 trillion.

We must go back to Mackinder: control of the Eurasian land mass constitutes control of the world. American elites and their Trojan Horses across Europe will do whatever it takes not to give up their control.

“American elites” in this context encompass the deranged, Straussian neo-con-infested “intel community” and the Big Energy, Big Pharma and Big Finance that pays them and who profits not only from the Deep State’s Forever War approach but also wants to make a killing out of the Davos-concocted Great Reset.

The Raging Twenties started with a murder – of Gen Soleimani. Blowing up pipelines is part of the sequel. There will be a highway to hell all the way to 2030. Yet to borrow from Shakespeare, hell is definitely empty, and all the (Atlanticist) devils are here.

Pepe Escobar is an independent geopolitical analyst and author. His latest book is Raging Twenties. He’s been politically canceled from Facebook and Twitter. Follow him on Telegram.

Two things I believe: One is a man should have the choice if he wishes to end his own life. Its nobody else’s business.

Two, is a soveriegn nation should have the ability to make whatever laws it chooses within its borders. It’s only the business of its citizens.

The Australian drug trafficker chose to end his life when he smuggled 7.5kg of methamphetamine through a Chinese airport. Whether I agree with the law or not is of no consequence. I am not a Chinese and it’s not my country. It’s their law and you must respect it if you go there. If you dont agree with their laws, or don’t trust their enforcement – don’t go there!

I am a western expat and live in Indonesia, another country with the death penalty for drug smuggling, and another country with a regular flow of Australians who wish to end their lives. There are signs at the airports just to remind you of the choice you are making:

x
x

Should you proceed, and smuggling drugs you have chosen to end your life. Simple!

Dan Bongino: Biden could literally annihilate the planet

Peter Frampton – Baby, I Love Your Way – 7/2/1977

Senior year – High School. MM stories and tales.

Slow-Cooker Meatball Stone Soup

Simmer this hearty main-course meatball soup in the slow cooker, and make it a fuss-free, delicious dinner.

x
x

Ingredients

  • 1 bag (16 oz) frozen cooked Italian-style meatballs
  • 1 carton (32 oz) Progresso™ beef flavored broth
  • 2 cans (14.5 oz each) diced tomatoes with Italian herbs, undrained
  • 1 medium potato, chopped (1 cup)
  • 1 medium onion, chopped (1/2 cup)
  • 1/4 teaspoon garlic-pepper blend
  • 1 bag (1 lb) frozen mixed vegetables

x
x

In my opinion, it is immoral. Countries have their sovereignty, which makes them independent of other nations like the United States. What right does the US have to stick their nose into other countries’ business?

Violating sovereignty is usually a basis for war. Is this what we support, unending warfare around the globe in the name of US exceptionalism?

It is already backfiring. The US reputation around the world is shit. Countries are trying to distance themselves from America like, for example, move away from US Dollar (or “Petro-dollar”) tyranny.

Mamas Family Mama in wheelchair

FOUR HORSEMEN OF THE APOCALYPSE ON THE MARCH

“The least-bad scenario is a hard landing, global recession worse than the 1930s. The worst-case borrows from the Four Horsemen of the Apocalypse: war, famine, pestilence, and death.” – Kenneth S. Deffeyes

I don’t pretend to be a biblical scholar or have any particular expertise in interpreting scriptures, and certainly not the Book of Revelation, supposedly written by John of Patmos during the reign of Roman emperor Domitian sometime between 81 AD and 96 AD. But I did suffer through twelve years of Catholic school, with plenty of time reading the bible for homework assignments. I know many people take everything in the bible literally. I do not adhere to that understanding. I believe most, if not all, of the bible is parables and symbolism written by men as a means to guide early Christians in how they should live their lives. The wisdom imparted by these writers is vast and deep. The Book of Revelation is the most apocalyptic, mysterious, and prophetic.

I would agree with scholars who say Revelation does not refer to actual people or events but is an allegory of the spiritual path and the ongoing struggle between good and evil. But, as our modern-day world seems to be coming apart at the seams, the battle between good and evil is reaching a zenith, only seen at crucial turning points in history.

The scale of propaganda designed to mislead the public, scope of deceit exhibited by our hand-picked leaders, level of wickedness in the purposeful destruction of economic systems based on climate crisis lies, purposeful infliction of pain and suffering upon the masses through destruction of conventional food and energy structures, implosion of the financial system due to incompetence and/or willfully malicious motivations, and incessant provocations of Russia and China designed to ignite a global conflagration, are all part of one demonic plan.

Knowing we are reaching the most violent phase of this Fourth Turning and this kind of horrendous whirlwind has occurred during the fall of previous empires, does not make it any easier to confront or endure.

As the stock market implodes, draining the retirement savings of working men and women once again, inflation rages out of control, pushing lower and middle class families to the brink, our senile Trojan horse president, implements a country destroying agenda at the behest of his globalist handlers designed to incite a civil war, and the Deep State/Military Industrial Complex attempts to monetize Ukraine and Taiwan to fill their coffers with billions in war profits, the world teeters on the brink of a collapse which will make the Great Depression/World War II era seem like a walk in the park.

And very few people see it coming or are prepared in any way for the consequences. They have spent too much time in government school indoctrination centers, soaked up too much propaganda spewed by the corporate legacy media doing the bidding of those in power, have been misinformed and misled by the left wing Silicon Valley social media conglomerates, and are too distracted by their gadgets, social media likes, fake reality TV, and modern day sports circuses.

I’m certainly not predicting the end times or second coming of Christ, but the parable of the Four Horsemen of the Apocalypse sure seems applicable in this modern-day Crisis – the latest times that try men’s souls. We are in a time of Crisis, just as we were in the 1780’s, 1860’s, and 1940’s, all 80 years apart. The 2020’s will also go down in history as a time of fateful decisions, great battles, heroes, villains, and ultimately a purging of the existing social order – to be replaced by something better or far worse.

It truly is a time where government tyranny at the behest of billionaire globalist elite will need to be overcome by true patriots who value freedom and liberty enough to sacrifice their lives in its pursuit. As evil engulfs the world from all sides, the stalwart few are all that stand between never ending tyranny and the possibility of re-instituting a semblance of the republic we were originally given. We can be heartened by the words of Thomas Paine.

“These are the times that try men’s souls. The summer soldier and the sunshine patriot will, in this crisis, shrink from the service of their country; but he that stands by it now, deserves the love and thanks of man and woman. Tyranny, like hell, is not easily conquered; yet we have this consolation with us, that the harder the conflict, the more glorious the triumph. What we obtain too cheap, we esteem too lightly: it is dearness only that gives everything its value. Heaven knows how to put a proper price upon its goods; and it would be strange indeed if so celestial an article as Freedom should not be highly rated.” – Thomas Paine, The Crisis

 

The parallels between our current state of affairs and the prophecies foretold in Revelations 6: 1 – 8 certainly seem eerie and disconcerting. The four horsemen seem to symbolically portray four disastrous occurrences that will take place before the second coming of Jesus Christ. Since these books were written during the height of the Roman Empire many historians tie the passages to events taking place during that time. In John’s revelation, the first horseman rides on a white horse, carries a bow, and is given a crown – he rides forward as a figure of Conquest, perhaps invoking Pestilence, Christ, or the Antichrist.

The second carries a sword and rides a red horse and is the creator of Civil War, conflict, and strife. The third, a food-merchant riding upon a black horse, symbolizes Famine. He carries The Scales. The fourth and final horse is pale, and upon it rides Death, accompanied by Hades. The four horses certainly seem well represented today, as global conflict is on the verge of breaking out; our illegitimate president declares half the country as dangerous extremists, attempting to incite a civil war; purposely created food shortages and famine endanger the lives of millions; and death on a large-scale looms across the globe, as the malevolent purveyors of the satanic Great Reset seek to depopulate the planet.

White Horse – Conquest, War, Antichrist, Pestilence

 

“Then I saw when the Lamb broke one of the seven seals, and I heard one of the four living creatures saying as with a voice of thunder, “Come.” I looked, and behold, a white horse, and he who sat on it had a bow; and a crown was given to him, and he went out conquering and to conquer.” — Revelation 6:1–2

The White Horse of Conquest seems to be the most confusing of the four, as early Christians interpreted the rider to be Christ. Many centuries later the interpretation was redefined by evangelicals as the Antichrist. Considering the other three horses clearly represented negative events, the negative connotation of the rider on the White Horse makes more sense. Other historians thought the White Horse represented the prosperous times of the Roman Empire. Another interpretation focused the spreading of pestilence and disease, as stated at the end of the passage regarding the Pale Horse.

“The horseman on the white horse was clad in a showy and barbarous attire. While his horse continued galloping, he was bending his bow in order to spread pestilence abroad. At his back swung the brass quiver filled with poisoned arrows, containing the germs of all diseases.”Vicente Blasco Ibáñez, The Four Horsemen of the Apocalypse

As I step back and observe the sheer malevolence of our overlords, who control the world behind the scenes through useful idiots and empty vessels (Biden, Trudeau, Johnson, Macron, Ardern), I certainly lean towards the negative viewpoint of an Antichrist figure or figures bent on destruction of the world for their satanic purposes. I know the left and their neo-con brothers in arms bloviate that Trump and Putin are the Antichrist figures on the scene today. Neither fit the profile of leaders looking to conquer the world. Trump started no wars and sought to conquest no one. It has been the warmongers who stabbed him in the back.

The real Ukraine conflict commenced in 2014 with the U.S. initiated coup against the democratically elected president. For the last eight years, besides functioning as a Biden family money laundering operation, Ukraine has been slaughtering Russian speaking citizens in the Donbass region. Putin has shown tremendous restraint in limiting his special operation and has shown no inclination to conquer Ukraine. It has been Biden, NATO, and their globalist controllers who stopped Zelensky from negotiating a peace treaty and are pushing the world towards an apocalyptic outcome.

In my view, the triumvirate of Soros, Gates and Schwab represent the evil forces purposely attempting to destroy the world, so they can institute their Great Reset agenda of depopulation, digital currencies, forcing the plebs to eat bugs, instituting social credit scores in a techno-gulag world, and creating a modern-day dark age. These maniacal narcissists are nothing but a malignant cancerous tumor growing across the globe that must be eradicated by any means necessary. We are truly in a battle for the soul of this planet.

Whether you are religious or not, you cannot deny there is a clear delineation between good and evil in the interactions propagandized on a daily basis. Mutilating children in the name of transgender deviancy, promoting drag show freaks being touched by children, pushing porn in school libraries, unleashing a crimewave of lawlessness in our cities by releasing convicts and not prosecuting felons, weaponizing diseases as bio-weapons, killing millions by suppressing lifesaving treatments (ivermectin, hydroxychloroquine), and injecting a billion people with a poisonous concoction that will kill more people than died of the flu virus it didn’t stop, are all deliberately being thrust upon us by these diabolical satanic monsters in their thirst for power, wealth and unimpeded control of the planet.

They are truly representative of the Antichrist, posing as saviors of the world, but driven by evil motives. The battle has begun, but most have failed to come to this realization.

 Red Horse – Civil War, Persecution of Christians

 

“When He broke the second seal, I heard the second living creature saying, “Come.” And another, a red horse, went out; and to him who sat on it, it was granted to take peace from Earth, and that men would slay one another; and a great sword was given to him.” — Revelation 6:3–4

The rider on the fiery red horse with a raised sword is associated with war, and specifically civil war. The red color and the sword suggest blood to be spilled. The second Horseman represents civil war as opposed to the war of conquest that the first Horseman is said to bring. Others have suggested it might also represent the persecution of Christians. Edward Bishop Elliott’s interpretation of the Red Horse was a foretelling of the period of the Roman Empire after the death of Commodus, a turbulent period lasting 92 years, during which time 32 emperors and 27 pretenders to the Empire hurled each other from the throne by incessant civil warfare.

The first two horses portend war, and the world is clearly hurtling towards conflict on many fronts. We already know Biden is fronting the proxy war against Russia in Ukraine as the tens of billions in “aid” flow directly into the coffers of the U.S. military industrial complex. Thinking they could bankrupt Putin with sanctions and bleed him with weapons has completely backfired on the EU lackeys and Slow Joe. Frostbite and famine are on the horizon for Europe, while raging inflation and crashing financial markets shift control of Congress to the “extremist party” of insurrectionists.

Biden’s dark hateful speech on the steps of Independence Hall, where brave “insurrectionists” spit in the eye of a tyrant two hundred and forty-six years ago, cast the first stone in the inevitable civil war which will rage across the land when the so called MAGA extremists actually push back against the tyranny being inflicted on them by the FBI, DOJ, and their co-conspirator social media censorship police.

We are in the midst of both a physical war, where Biden and his apparatchiks are using the power of the state to inflict their totalitarian punishments, and a spiritual war where deviancy is celebrated, and Christians are persecuted. Innocent men and women who meandered around the Capitol on January 6, after being ushered in by undercover FBI agents, with incitement provided by Ray Epps and ANTIFA plants, continue to rot in DC dungeons, as real criminals who murder Trump supporters with their vehicles are back on the streets within hours.

Just this past week Biden’s goons at the criminal DOJ sent a SWAT team of 25 to 30 heavily armed FBI agent thugs to terrorize and arrest a Christian anti-abortion advocate in front of his seven young children based on bullshit trumped up charges from a minor incident over a year ago. Biden’s handlers have been using intimidation tactics since his installation as a Trojan horse president to provoke Christians and normal people into responding violently to their authoritarian atrocities.

So far, the only violence has been committed by left wing extremists, as Democrat run urban ghettos descend into lawless murder sanctuaries, with Soros bought DA’s inflaming the spiral of violent upheaval. The time will come when there will be pushback at a level not anticipated by these vile worms as they continue to promulgate their toxic slime, thinking they are untouchable. They aren’t.

Black Horse – Plagues & Famine

 

“When He broke the third seal, I heard the third living creature saying, “Come.” I looked, and behold, a black horse; and he who sat on it had a pair of scales in his hand. And I heard something like a voice in the center of the four living creatures saying, “A quart of wheat for a denarius, and three quarts of barley for a denarius; but do not damage the oil and the wine.” — Revelation 6:5–6

The rider on the Black Horse has been generally interpreted as representing famine and plagues which accompany them. Famine does go hand in hand with war, and today’s circumstances certainly confirm this linkage. The sanctions imposed on Russia by the U.S. and the EU have created famine and starvation, especially in the 3rd world countries. Ukraine and Russia account for the majority of wheat produced in the world. This NATO/U.S. proxy war has created shortages of wheat and produced a global energy crisis which fuels further famine and supply chain disruptions.

These actions have been purposeful, with disastrous consequences which have only just begun. Driving the price of diesel fuel and fertilizer to sky high levels has driven farmers into bankruptcy and the price of food to stratospheric levels. When Mr. Depopulation – Bill Gates – owns the most farmland in America, you should be worried. His agenda does not benefit you.

The reference to wheat and barley becoming too expensive, while oil and wine are spared shows how, once again, the poor suffer, while the rich continue to eat and drink lavishly. It was true during the Roman Empire, and it is still true today during the waning days of the American Empire. The statement suggests a continuing abundance of luxuries for the wealthy, while staples, such as bread, are scarce, though not totally depleted.

The selective scarcity of foodstuffs for the average family is the result of injustice inflicted upon the masses by our wealthy powerful overlords. I know the passage refers to olive oil, but in today’s context high oil prices benefit the powerful interests, while inflicting pain upon the masses. Never ending war benefits Biden’s controllers, while currently sentencing Ukrainian citizens to death. Young Americans will be offered next as cannon fodder for the Deep State.

Those pulling the strings of society (the invisible government per Edward Bernays) know civilization will crash when food and energy become scarce and too expensive for the plebs to afford. They control the modern-day mechanisms of mass food production and fossil fuel production. Whatever they have been doing with geo-engineering our weather through chemtrails to create droughts and floods, it is not being done to benefit you.

It isn’t a coincidence that hundreds of food processing plants have been burnt to the ground in the last year. The shutting down of nuclear power plants across Europe, with no replacement energy sources is madness, but is being done by globalist puppet leaders across the continent. Biden and his handlers have banned pipelines, fracking, drilling and anything designed to produce more fossil fuel energy. The green energy lies are spewed 24/7, based upon fantasy thinking. These evil acolytes of Satan want you to starve and freeze and then beg them to save you by surrendering your freedom, liberty, and lives to their totalitarian whims.

Pale Horse – Death

 

“When the Lamb broke the fourth seal, I heard the voice of the fourth living creature saying, “Come.” I looked, and behold, a pale horse; and he who sat on it had the name Death; and Hades was following with him. Authority was given to them over a fourth of the earth, to kill with sword and with famine and with pestilence and by the wild beasts of the earth.” — Revelation 6:7–8

The rider on the Pale Horse was named Death, with Hades, the god of the dead and king of the underworld, following. In my view, the prophecy of the Pale Horse is not complicated. When food supplies dwindle, fuel is depleted and wars break out, death will naturally follow. The pale color connotates death, as human beings go pale as death approaches and their lifeblood is drained. I would also postulate the deadly pale appearance displays a hue symptomatic of approaching empire dissolution.

It is clear to me we are witnessing the fading glory of a dying empire, with the wailing and gnashing of teeth expected during such a phase. The rampant deviancy, corruption, greed, intimidation through dwindling military might, and threats of an aging bully against trumped up enemies, are all signs of an empire turning pale, but willing to create death on a grand scale during its own death throes.

Death is the last rider, because the previous three have sowed the seeds of death across the earth. If Death has authority over one-fourth of the earth, we may be in for a difficult final 5 to 10 years of this Fourth Turning. Strauss & Howe do contemplate the worst-case scenario as one of their four outcomes:

“This Fourth Turning could mark the end of man. It could be an omnicidal Armageddon, destroying everything, leaving nothing. If mankind ever extinguishes itself, this will probably happen when its dominant civilization triggers a Fourth Turning that ends horribly. For this Fourth Turning to put an end to all this would require an extremely unlikely blend of social disaster, human malevolence, technological perfection, and bad luck.” – The Fourth Turning, Strauss & Howe

When they wrote these words in 1997, this particular outcome seemed outrageous and unthinkable. If I step outside of my normalcy bubble and observe everything swirling around this demented world, I can visualize this scenario playing out due to the miscalculation of evil men trying to implement their evil designs. If Death engulfs a quarter of the planet, that will result in the deaths of 1 to 2 billion people. Hades would be a busy guy.

The Soros, Gates, Schwab Great Reset agenda is built upon a massive depopulation of the planet, centralized control of the world in the hands of a few billionaire global elites, a totalitarian technocracy enforced by police and military forces, and a population thankful to be eating bugs, owning nothing, and forever subservient to their overlords of darkness. This is not the future any freedom loving person should accept or tolerate.

Have we entered the End Times? Hell if I know. With the advances in technological warfare over the last eighty years, the possibility of Armageddon certainly exists. I prefer to concentrate on a way to avoid my own death for as long as possible and to make sure my sons and their children have a future worth living for, and not rotting in a gulag, because I didn’t fight back when I had the responsibility to do so. Two quotes from Solzhenitsyn’s The Gulag Archipelago resonate with me as I ponder the evil deeds of those in power today.

The first addresses the dilemma of parsing good versus evil. To me it shows how complicated it is to put faith in any leader as being good and trustworthy. Every human has good and evil in their hearts (except Hillary Clinton’s charcoal black soul), and we are capable of following either when put in certain circumstances. I believe most of those who have gone over to the evil side have been lured in that direction by the promise of extreme wealth and power. Satan (aka Soros) knows greed and avarice are the major weakness of human beings and employs them to the fullest.

 

“If only it were all so simple! If only there were evil people somewhere insidiously committing evil deeds, and it were necessary only to separate them from the rest of us and destroy them. But the line dividing good and evil cuts through the heart of every human being. And who is willing to destroy a piece of his own heart?” ― Aleksandr Solzhenitsyn, The Gulag Archipelago 1918–1956

 

“And how we burned in the camps later, thinking: What would things have been like if every Security operative, when he went out at night to make an arrest, had been uncertain whether he would return alive and had to say good-bye to his family? Or if, during periods of mass arrests, as for example in Leningrad, when they arrested a quarter of the entire city, people had not simply sat there in their lairs, paling with terror at every bang of the downstairs door and at every step on the staircase, but had understood they had nothing left to lose and had boldly set up in the downstairs hall an ambush of half a dozen people with axes, hammers, pokers, or whatever else was at hand?… The Organs would very quickly have suffered a shortage of officers and transport and, notwithstanding all of Stalin’s thirst, the cursed machine would have ground to a halt! If…if…We didn’t love freedom enough. And even more – we had no awareness of the real situation…. We purely and simply deserved everything that happened afterward.” ― Aleksandr I. Solzhenitsyn, The Gulag Archipelago 1918–1956

As Pedo Pete (aka Dementia Joe) declared a couple weeks ago, he and his minions are already at war. They are already using their Stasi security forces in the DOJ and FBI to break down doors, imprison innocent people on false charges, intimidate political enemies by raiding their homes, not following due process for J6 defendants, declaring all opposition as nazis and extremists, and trashing the Constitution on a daily basis. Those in opposition to the existing establishment have already been declared enemies of the state.

Before long, just as they did under Stalin, security state goons will begin apprehending and intimidating good people for no reason other than their opposition to Biden. Will enough people have the courage and fortitude to fight back when the time comes? To passively submit and hope for justice in a court of law will be a fool’s errand. Expecting to vote ourselves out of this predicament is another fool’s errand. If the vast firepower of current day patriots is utilized properly, today’s Organ’s will be rapidly depleted of men and will pause before breaking doors down in the middle of the night. Our remaining choices are to burn in camps or fight for our dwindling freedom.

Peter Gabriel Solsbury Hill Best Quality in HD with Lyrics

I rolled down my window and offered to buy him coffee which he was hesitant but grateful to accept. As we drove to Dunkin Donuts I asked him where he was going to sleep he said he didn’t know so on the way I called the local and other homeless shelters within a 10 mile area…no spots. It was then I knew why I won the $200, God placed Glenn in my path for a reason, so I drove him to the Rosewood Inn in Wareham and paid for a 2 night stay.

I’ve never seen a grown man cry; he began praying and telling me I was an angel. So tonight I know my new friend Glenn is warm.”

x
x

There’s an interesting difference between China, and say, US politics. I use the US as I am American and know more about my government than other Western countries.

In the US, it’s just a matter of course that our politicians accept large amounts of money for their campaign, that they have access to stock market trading information (I just read articles this week about Nancy Pelosi and her insider training), and they’re given money and perks by special interest groups and we call it lobbying. They have truckloads of money that their salary doesn’t explain (guess they got paid well for some appearances etc) and many if not most of them have mistresses or lovers outside of their marriage. They get special privileges if they’re ever pulled over by the police. Heck, Trump had advance notice that Mar-a-Lago was being raided. Must be nice!

We just accept all of that. We don’t like it but we know those with power will never vote to reduce their power. That’s why they never vote to set term limits even though it’s needed for both sides of the aisle. I’m fair about it; I hate both sides equally. We don’t like it but we know we can’t change it.

So we watch our country implode. Crumbling infrastructure, a homelessness epidemic, a drug crisis, kids being killed at school, systemic racism, urban inner city areas turned into turf wars and shoot-outs between gangs. Broken healthcare, broken education, broken justice system. We are broken.

In China? If their government officials are money hungry and ineffective they will pay for it. And depending on how bad the corruption they could receive the death penalty. People are people everywhere so of course some still try to fly under the radar but Xi’s reform and targeting of corruption has improved things a lot. Is he supposed to fix all of it in three terms when it’s a decades-old problem? He’s not God. He can’t work miracles. He’s doing what he can with what he has. He’s trying to return them to a meritocracy and for that I applaud him.

.

.

.

Jazzy

This was my best friend, Jazzy. She died recently due to a heart and lung problem that came about very suddenly one morning. The night before she seemed fine. I found her beside the bed frothing from her mouth and having a very difficult time breathing. I dropped everything I was doing and in a total state of panic drove her immediately to the emergency pet hospital. Her prognosis was very grim with only a 2 percent chance of survival and she was suffering horribly. The vet said the kindest thing would be to put her down. Reluctantly I agreed and stood by her side until the end. I cried uncontrollably as she passed, trying to console her that it was ok for her to leave. I stroked her gently as she took her last breath. She was with me for 15 years of her life.

x
x

I had her remains cremated and keep her next to my bed by her favorite spot by the window. This all happened about a month ago and I still cry at the loss of a friend who gave me unconditional love for all those years.

In preschool, when my daughter was bullied, she was instructed to inform a teacher, and the bully was immediately punished.

Upon entering kindergarten, she was struck by a boy in her class, and she informed her teacher. The teacher replied, “You’re tattling! Stop it!”

My daughter came home and related the story, and I taught her how to punch.

Hard.

The next time the boy hit her, she punched him in the face and he burst into tears.

Big surprise – I was called into the school for a conference with this teacher.

She barely got two words out when I broke in,

“So, if the boy hits her and she tells you, its tattling. If she hits him back, she's in trouble? 

What, do you expect she should just get hit? 

Nobody, but nobody, is teaching my child that she must be somebody's victim! 

That's how abused women are made. 

My daughter will either take the problem to you - you do have those anti bullying posters all over the hall - and you punish the child who is causing the problem, or if you won't stop him, SHE WILL. 

It's called self defense. 

She is nobody's victim including yours. 

She will not be punished here or at home for defending herself. 

If she lays hands first, she WILL answer TO ME and I assure you this will not happen. 

Now are you going to take care of this boy problem or is she?”

The teacher just stared, open-mouthed, and never answered.

I said, “I guess she will then.”

No punishment was ever served on my daughter, and that boy didn’t bother her again – until once in the fourth grade.

He smacked her in her back and she turned around and punched him in the head.

Two teachers saw it, and laughed.

Never happened again.

MM Video

My video, if you haven’t seen it yet.

Companion article

This excellent article by Thierry Meyssan is the perfect companion to the keen answer our colleague Metallic Man gave in his 12 minutes long video published on Youtube today to the question " Who is actually running the United States ? "

Cheerio ! Quan

Big bad changes unfolding in real time

Uh oh.

Things are advancing very rapidly. Very rapidly. I made up a video yesterday and the next two videos are explaining what is going on…

Check out these two videos. Both are important.

Putin’s historic speech finalizes referenda, infuriates Collective West

EMERGENCY! This is heading for ALL OUT WAR and Putin knows it.

How to get drunk

Ernest Hemingway, one of the 20th century’s most famous drinkers, once quipped, “I drink to make other people more interesting.” Perhaps you have found yourself thinking something similar when you’ve been stuck in an awkward conversation with a stranger at a bar, or when you’re out on a date which is filled with painfully long silences. Your immediate reaction might be to reach for the nearest bottle of wine until you can escape. But is there a better way to enjoy drinking? While alcohol should always be consumed in moderation, you can also get just the right amount of buzz so you can enjoy your evening, but won’t feel a sickening hangover in the morning.

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

x
x

I suggest that everyone enjoy your moments this October with your family, pets and loved ones. Buy a good bash of food, and tie a good one one. Make this evening a notable one.

.

Food, cats, Geopolitics, videos, fun and the death of the United States. Did I forget anything?

Food yes. China, certainly. This time; cats, maybe not so much. But the death of the United States? Ah. The stench is everywhere.

Oh, yes. And DETROIT style pizza. Yum!

I have lived in a number of older homes over the decades. There are so many features that used to be common, that I miss. Both in the Northern and Southern US.

Pocket Doors. These were popular at one point and they were super useful. They were doors that would slide into the wall and disappear. They have sort of made a comeback, but they aren’t as common as they once were and they are so nice. No hinges/door clearance to worry about. Easy enough to be left cracked without fear of slamming shut in a breeze.

x
x

Louvered windows and doors. In the south, on older homes, these are common. Not so much in other areas or some modern construction. These allow you to have open windows and yet if it rains, makes it less likely that the rain will come in if you forget to close them before a rain shower/storm. Plus, on doors, if you have pets, it helps keep the pet from busting out the screen and escaping, and reduces the need for a screen/storm door.

x
x

Cast iron hot water radiators. These were always amazeballs. You could adjust the heat per room, you could put a bowl of water on them to humidify a room, you could put your winter gear by them to warm before going out in the cold/dry out after being outside, use as a towel warmer in the bathroom. If you got/had the cap for them you could also use them to keep your coffee/tea warm by setting the cup on top.

x
x

When they worked, they worked really well. The problem is that the water in the radiators was never filtered, and the heat caused a buildup of sludge inside the pipes. This, in turn, resulted in all sorts of problems. 

Today, you can use automotive radiator fluid to prevent that build up. And the heat distribution would be much improved. -MM

Clawfoot/deep bathtubs. I love a good bubble bath, or a long hot soak after a physically active day. Modern bathtubs are kind of a joke, I have to choose which body part gets to be submerged, since modern ones are too shallow and short to actually soak in. The old-fashioned claw foots would allow you to actually soak, and you could soak sore legs and back at the same time, if you were under 6 ft tall you could also stretch out a bit.

x
x

The 1960s - 1970s "modern" tubs are designed for appearance. And not for use. No wonder that everyone started to shower back then. 

Now the McMansion's are equipped with whirlpools and spa's that never get used. -MM

Direct to the outdoors kitchen exhaust fan. I loved one place I lived that had this over the stove. These bad boys sent cooking fumes, smoke, heat, directly outside. No filters to change, no fancy gadgets with it etc. Just turn on and bye-bye odors, heat, smoke, etc. Those modern hood exhaust fans are like the wimpy, half-assed distant cousin to these.

x
x

Actually, the current exhaust fans are under-powered if they lead to a duct. And  if you use a duct, you MUST make it short and as straight as possible. I once lived in a house where the building contractor just had the duct ending inside a dry wall with no venting to the outside at all. -MM

The first home computers were REALLY basic.

My first computer was a Tandy TRS-80 Model 1, bought in 1978.

x
x

Please admire the sophisticated cardboard box monitor stand and the huge cassette data storage archive. The calculator was needed because the computer was rubbish at actually calculating things. And hey – I still have that mug 43 years later. The little orange box to the left of the cassette deck was an audio splitter so the deck’s output went to the computer and to headphones. This will be explained shortly in a dramatic twist related to data storage. Oooh. You’re so excited now.

  1. It had 4K RAM. Yes, 4,096 bytes, not megabytes. Which helps explain why programmers used 2-digit years dates and led to the Millennium Bug of 2000. Wasting 2 bytes of RAM to add “19” to a year was an extravagant waste of precious memory. I wrote myself a text editor (in Z80 machine code), but it consumed about a half of my RAM, so my text documents could only be REALLY small.
  2. The screen display was 25 x 80 characters. All UPPERCASE (because having lowercase was another extravagant luxury). And it was monochrome, naturally.
  3. Hard disk drive? ROFL. Easier to buy a unicorn. Floppy disk drive? I would’ve had to sell a house to buy a FDD back then. We had cassettes to store our data and programs on. Like real men. If you wanted to store more than one file on a cassette, you had to fast-forward and listen for where one hiss ended and the next began. All cassettes were adorned with hand-written sticky labels listing the tape-counter values of each of the programs stored on the tape.
  4. The operating system was in ROM and could never be updated. And the OS was Microsoft BASIC.
  5. It goes without saying that it didn’t do multitasking. It was barely able to singletask.
  6. It didn’t have a fancy battery-backed real-time clock. Every time it was turned on, I had to enter the date and time manually. So, nearly every document had the same 1 January date (because I couldn’t be bothered setting the clock every day).
  7. Commercial programs were as rare as hen’s teeth, and of course there was no internet. If you wanted a program, you wrote it yourself (and couldn’t share it, even if you could find another citizen in your state who had any computer at all).

Those were the days.

Yvonne Elliman – If I Can’t Have You

Time machine…

There are three basic reasons:

  1. China’s government is laser-focussed on infrastructure development; it’s a top priority. For the Canadian and US governments, it’s not.
  2. China’s government, by virtue of its authority, can clear away all hurdles that get in the way of infrastructure construction. The Canadian and US governments cannot.
  3. The Chinese companies that do the infrastructure construction have innovated the engineering necessary to get the job done efficiently. They’ve had many decades of experience.

These are all in addition to the fact that the Chinese are highly disciplined, hardworking, and intelligent. It’s a cultural thing.

Europe’s ‘patronising’ efforts to counter Russia and China in Africa falling flat

Western nations may have come up with various initiatives to counter growing Chinese and Russian influence in Africa, but African leaders have been “disappointed” by the way they go about it.

Europe’s ‘patronising’ efforts to counter Russia and China in Africa falling flat

EU leaders’ ‘lack of effort’ at winning over their African counterparts have left a ‘bitter taste’, according to Senegal’s President Macky Sall
Europe has pledged hundreds of billions of dollars under the Global Gateway Initiative, designed to counter China’s Belt and Road Initiative

Monday, 12 September 2022, 12:00:PM
Western nations may have come up with various initiatives to counter growing Chinese and Russian influence in Africa, but African leaders have been “disappointed” by the way they go about it.

This was evident at the African Adaptation Summit in Rotterdam last week when European leaders failed to turn up for a meeting to fundraise for Africa’s climate adaptation projects.

Dutch Prime Minister Mark Rutte, the only European leader to attend in person, said: “I would have loved to have more of my European colleagues here.”Six African heads of state were present, including the current African Union chairman and Senegal’s President Macky Sall, as well as leaders from Ghana, Gabon, the Democratic Republic of Congo and Ethiopia.

Sall said: “I cannot help but note, with some bitterness, the absence of leaders from the industrial world. I think if we made the effort to leave Africa to come to Rotterdam, it would be easier for the Europeans and others to be here.
“This leaves a bad taste in our mouths. I am a bit disappointed, to be honest.”

In another incident, at the EU-Africa Summit in Brussels in February, around 40 African leaders were invited by the European Union, but at one point only the Belgian Prime Minister Alexander de Croo was reportedly present from the other side.

.
“It was a very bad form on the part of the Europeans. They could have coordinated to have substantive representation throughout the event,” W. Gyude Moore, a former minister of public works in Liberia, said. “Could you imagine where Africans hosted 40 European leaders at an event in Accra and then left them in the room with the president of Ghana?”During that event, the EU announced that it would mobilise a financing package worth around US$150 billion for projects on the continent. The amount is half of what the bloc has allocated for the Global Gateway Initiative, which is touted as an alternative to China’s Belt and Road Initiative.
As part of the EU-Africa Summit, some deals were signed in Senegal, but progress has been overshadowed by the events in Ukraine and Covid-19. “There is a long history of unfulfilled commitments, so this might just be par for the course,” Moore said.Observers say the EU is nervous about the fact that it is losing influence in Africa with the growing presence of China, Russia, Türkiye and other global players. But the EU is internally divided and not able to live up to its ambitions to become a stronger global player, according to Geert Laporte, the director of European Think Tanks Group.
.
Laporte said the new “scramble for Africa” among global players was already going on. “With the war in Ukraine, interests in Africa have grown and the Africans have skilfully managed not to take positions so as to avoid becoming again drawn into a new cold war,” Laporte said.He said several African leaders and their populations had lost trust in Europe with “Europe patronising, overpromising and underdelivering”.
“To turn the tide, the EU is copying China and other global players with big funding promises (Global Gateway) mainly in visible infrastructure type of initiatives. But with the war at home it is not obvious that the EU will be able to keep its promises,” Laporte noted.The continent has recently witnessed a procession of high-profile visitors from France, the United States and Russia, but observers said America and Europe were known to make promises that they did not deliver.Adams Bodomo, a professor of African studies at the University of Vienna, said, “the US and EU have never hidden the fact that they want to counter China”.
.
Each of their master plans about Africa was always constructed as an alternative to the belt and road, Bodomo argued, but the EU and EU were more talk than action. “China plans and builds but the US plans and bombs,” he added.Bodomo said Russia’s inroads into Africa were designed to show that it was a viable alternative to Western powers in Africa, and to leverage its good relations with the continent with an eye on votes at the United Nations.
.
In August, when US Secretary of State Antony Blinken visited South Africa, Naledi Pandor, South Africa’s minister for international relations and cooperation, said it was “patronising” for some European countries to force countries to condemn Russia.
.
“And one thing I definitely dislike is being told ‘either you choose this or else’. When a minister speaks to me like that … I definitely will not be bullied in that way, nor would I expect any other African country worth its salt to agree to be treated [that way],” Pandor said.South Africa is among dozens of African countries that abstained from voting on the UN resolution condemning the Russian invasion of Ukraine.
Last month, Chinese Foreign Minister Wang Yi told a group of African leaders in a virtual event that “China is making steady progress in implementing all the pledges it made in Dakar [at the Forum on China–Africa Cooperation last year], despite various difficulties and disturbances”.He said over US$3 billion had been delivered out of the US$10 billion of credit facilities pledged to African financial institutions, and nearly US$2.5 billion of loans were channelled to Africa’s priority programmes. More than US$2 billion of the US$10 billion of trade finance had been allocated, Wang said.
.
France, which has in the past contributed thousands of troops to bring stability to fragile West African nations, is now being challenged by Russia. France’s last troops left Mali in August, ending a nine-year anti-terror operation after Bamako accused it of supporting terrorist groups. And they are being replaced by Russian mercenaries from the Kremlin-linked Wagner mercenary group.Moore said “the growth of both Chinese and Russian influence in Africa has heightened the pace at which their rivals and adversaries have visited the continent”.
.
Alex Vines, head of the Africa programme at London-based think tank Chatham House, said 25 per cent of the United Nations General Assembly was African – “the numbers game matters for many – increasingly in a world of multipolarity and increasing geopolitics”.Vines said the Russian invasion of Ukraine happened a week after the EU-Africa summit and EU attention was focused elsewhere, but added: “Increased EU funding through the Gateway Europe initiative is still planned.”
For Russia and China, “the simply large number of countries in sub-Saharan Africa makes it a less expensive place to ‘buy’ votes in international organisations”, according to Douglas Yates, a political scientist specialising in African politics at the American Graduate School in Paris.“Not only are these countries interested in the ideological struggles of international votes and sanctions and the like, but they make excellent grounds for espionage – because African countries often have information, and they are quite porous,” he said.

.

I’m Asian but was born in the US. My wife and I recently visited the Philipines (wife is half Irish half Filipino). I remember going out for lunch with a group of people and they all ordered a burger… just a burger. No fries, no extra nuggets, no nothing. And no, no one ate again until dinner. Just a burger and a drink, and the burgers looked like this.

x
x

I was like “WTF is this? A kid’s meal?” Where’s the rest of my food?

x
x

Now that! That is a man’s meal!

But then it suddenly occured to me..

x
x

Where are all the obese people?! Why is everyone normal sized?

And suddenly I realized…

x
x

Asian portions aren’t “small”. Asian portions are “normal”.

For me, I learned how poor I was in second grade. We were learning about emergencies and electric fires and what to do in those situations.

Seemingly innocent enough right?

Our teacher had asked a benign question to the class relating to the number of smoke detectors each of us had in our homes. One by one she went around the room and each student in my class answered the question aloud. Some students had one due to small houses, others two and few lucky and obviously more affluent students had three or more in their homes.

When my turn came around, I eagerly answered that we had none, not a single smoke alarm in our home. My teacher, concerned and equally perplexed, inquired what we would do in the event of an electrical fire.

Triumphantly, I replied it was of no concern as we did not have any electricity in our home.

Silence. Deafening silence. It’s one of those silences that one experiences in a dream when everyone suddenly realizes you’re naked in a public place. That feeling.

Anyway, she stared at me in a cross between concern and bewilderment.

Immediately I was in the hallway with her and she was inquiring as to how long it had been since our electricity had been shut off.

I told her we’d never had electricity.

She asked if we had our water service.

I said yes, we haul the water from a well every morning before I come to school.

She stared at me in utter disbelief.

Next thing I know we are in the principal’s office and my principal, who was a very large man resembling Mr. Clean in a suit, was explaining to her that my family has no modern conveniences and we live completely off the grid.

Now things became interesting.

We return to class and everyone starts asking how we watch tv.

We don’t.

How do we do laundry?

Laundromat once a week.

How do we keep the milk cold?

We don’t, we drink it as we soon as we are done milking the cow for breakfast. Why would we keep it cold?

How do we stay warm?

We use the stove in the living room filled with the wood we chop.

How do we play video games?

I’ve never seen one before.

And like a light bulb, I realized I was the only person in my class who lived like this.

Suddenly everything clicked for me. All my toys were made by my mom.

All my clothes were the same and never from a store.

I went home after school and worked in our garden.

I went home that day and asked my mom why we did not have the things other kids had.

She laid it out for me.

Her and my Dad were in debt.

They couldn’t afford to have the water lines installed and the electricity ran to our trailer.

The trailer we lived in was the best we could afford.

My dad and my mom were living like this with my brother and I because my dad happened to own the land and didn’t want to sell. It was all they had.

They were homesteading it.

We lived like this because we had no choice.

After that day, I realized I was poor. And that feeling has been with me every day of my life ever since.

I used to go to the bathroom in a fence row because we had no toilet. I got worms because of this as I’d frequently walk barefoot through feces.

We shared bath water as a family and we bathed in a horse trough in our kitchen.

I was seven years old. I had no friends in school and was always treated like I didn’t matter.

And I didn’t.

The poor kid in your class that everyone shunned, that is me.

An innocent question about smoke alarms led to one of the great realizations of my childhood.

I should’ve known sooner how different we lived but I didn’t.

It took this event for it to sink in and I’ve never forgotten it.

Is it true that there is corruption in every country?

This is an MM answer. -MM

It’s difficult to be the optimist. I would say; yes. It is true. Sadly. So sadly.

But you know there are DIFFERENT kinds of corruption.

Let’s start at the United States. Corruption was made legal. So the most corrupt rise to power, and those that are not, fall to the bottom of society. America is a land with a million tiny, tiny hands in your wallet. Everything comes with a price. It’s no wonder that many Americans need to work multiple jobs just to “make ends meet”.

  • Want to fish? pay for a license.
  • Want to drink water? Buy it.
  • Want to drive on a road? Buy insurance, have a license, yearly inspections, tolls, etc.

Corruption has been institutionalized.

Now let’s go to the other end of the spectrum.

Let’s look at Zambia. Corruption is personal.

  • Need police help? Buying the officer a cup of tea helps.
  • Want to pick up a package at the post office? Well, perhaps missing a few items won’t be so bad.

Corruption is widespread but on a personal and individual level.

There is one, and only one nation on this entire planet that is trying to do something about this threat of corruption. That is China.

China has created the “corruption police”. They are designed wholly to arrest, punish and prevent all sorts of corruption. And their punishments are graduated. Meaning, the higher up you are, and the bigger your crime is, the more seriously you are punished.

x
x

 

Consider the factory boss that faked baby formula test data. Do you know what happened to him? Oh yeah. He was killed.

x
x

 

Consider the CCP party member who molested a young 14 year old girl at a public restaurant. What happened to him? Oh, yeah. Killed.

But he went through three years of hard labor and organ harvesting first. You know, he had to “pay the price”.

x
x

 

What about women? Do they get a special pass? Nope. China is an equal-opportunity punisher. China doesn’t care about your past; your reasons, or your justifications. If you break a law, you are PUNISHED.

x
x

 

What about the guys that swindled millions of dollars, and then fled the country? Yup, they are tracked down, caught, tried and killed.

China does not play.

x
x

 

Were these events reported in the corrupt West?

  • No.

Why not?

  • It is crucially important that NO ONE gets the idea that national leadership must be policed and punished in a substantive manner. As it stands the wealthy (in most countries) live above the law.

Now, you might love China, and hate that I bring up these stories. Or, you might hate China, and refuse to believe that it would do such things as police it’s leadership.

But it is true.

I personally believe that if we, as a human species, are to move forward and grow, we must find ways to stop the endemic corruption that plagues all nations, and most especially “democracies”. We must think “out of the box” and implement solutions, instead of allowing the mighty corrupt to live and thrive.

We must take action. We must be proactive, and recognize that good people; kind and just people need to be protected from the evil that rise to power.

One thing that strikes my mind was that these pictures were uploaded to the website by the local government. Judging from the police’s old-fashioned uniforms and their helmets, there’s no doubt that those photos were taken at least ten years ago. And with the watermarks, I can say they were not “leaked” by the government officials. Instead, such pictures can be seen on a lot of government websites, they were actually published by the government.

And if you can read Chinese, you’re going to find that those pictures were taken in prisons, not in so-called camps.

More importantly, just looking around all those photos, I find that most of them were for a drill against prison break. That’s why you would see a lot of armed police in a prison, holding their rifles as a pose.

x
x

Taking this photo as an example, tell me what information can be achieved through it? Actually nothing…. It’s just a drill. But piling up those photos, a sense of fear can be made.

Actually, it’s obvious that in a real camp, police do not use rifles, sticks are a much better tool to repress the prisoners.

x
x

Looking at another one, those four white characters on the wall“新疆监管”. You can translate it by yourself. It means Xinjiang Supervision if you make a plain translation. But the word supervision doesn’t reflect the actual meaning of the Chinese characters, you know the difference happening in translation sometimes. A more accurate translation is Xinjiang Prison. And the prisoner’s suit is a yellow one, which is the standard prisoner suit in all over China. This is completely not in so-called camp, it is just a prison.

I don’t know what these photos are to demonstrate since they can be found on government websites. Chinese can read the slogans and names in those pictures and can quickly come to realize they’re just for anti-prisonbreak drills.

But what about foreigners who cannot read Chinese? What impressions would these photos leave on them? Fear can be made deliberately.

I can’t imagine………

From an experienced fashion photographer working 14 years in this industry, I’m going to show you the most amazing thing you’ve ever seen.

I’ll start with the photos of the fashion model “Imma”. She is the Asian model under the pink wig in the middle.

x
x

Imma is one of the most photogenic Asian models exploiting social media. She has 76K followers on Instagram, just months after she started modeling.

x
x

No matter what kind of lighting or camera, Imma manages to take flawless photos with ease. (Even in a selfie)

x
x

Imma taking selfie with her friend:

x
x

But why are these standard photos so amazing?…

….

…..

…..

Because …

x
x

Imma not real.

x
x

Imma was computer generated.
Imma was created with 3D modeling programs.

x
x

Imma

belongs to a handful of the new trends of “Virtual Influencers” that take social networks by storm. It was created by the company CG Modeling Cafe, based in Tokyo, and recently graced the cover of CGWorld magazine

.

x
x

We cannot believe everything we see on the internet. This is somewhat awkward.

Technology here in the United States is advancing very fast, automation and artificial intelligence are taking over everything.

It’s happening so unobtrusively that people still don’t react. In this case that I just talked about, this will possibly affect the modeling industry.

On Instagram and other social media, women and men are subconsciously comparing themselves to these perfect Instagram fashion models that don’t exist. That is worrisome.

Let’s have a story:

Samantha Tan, 23 year old Asian woman, professional racer.

x
x

As anyone would have guessed, she wasn’t born a racer. She was born a little girl in an immigrant family whose parents barely struggled to get by, as with most immigrant families.

Her current car: BMW M4 GT4

x
x

But guess her first car?

x
x

Every little girl has a dream (or many dreams). But just because Samantha has the potential to be a professional racer (now we know) doesn’t mean she can. Her first car is her parents’ first investment in her. Her sponsors (BMW being one of them) take her to the professional level.

Without all these, Samantha Tan would just be another random person struggling to get through life like the rest of everyone else. The legacy of Samantha Tan the racer will never happen.


China started this Belt and Road Initiative as a way to make money, plain and simple. China isn’t out there to do charity. China cannot afford to do charity at this scale.

But like with every businessman ever existed, China thinks: we need to invest in others, we need to help others help us succeed. China is big, the world is bigger.

It is no different than BMW investing millions in this unknown Chinese Canadian girl. They see the potentials, they invest. They know it’s not a guarantee, but Samantha is good enough for their investment.

China doesn’t throw money out randomly. Every country, every person, they evaluate. Also, China is not the country, but the multitude of privately owned corporations. Every investment China put down is good enough for China, balancing risks and potential for return.


So is this all about China?

No. Samantha wins, too. China’s partners win, too. Everyone wins, if the investment pans out. Nothing is easy. Everything is blood and sweat.

Just like Samantha getting an opportunity to be what she wants to be, China’s partners get opportunities to have a future. Many countries have so much potentials, but without investors, they are doomed to stay poor, with their dreams remaining dreams.

China is giving them a chance to help China and help themselves succeed. It’s the only way to get out of poverty. It’s very tough and risky, but it seems only China gave them a chance, whereas the West didn’t.

Number 1 foundation for success: infrastructure.

x
x

Because you can’t do shit with these muddy dirt roads.

x
x

Or no road at all.

x
x

I studied in Foshan, Guangdong province for a bit less than a year. Naturally, as a Hijabi-wearing Malay who was still studying Mandarin, I was absolutely nerve-wracked about what exactly I was about to get myself into. Cantonese is also the daily spoken language in Foshan so I was bit concerned about a possible language gap.

We never talked much about Chinese politics or history when I was first studying Chinese. So naturally, all I knew about China is from what my family told me and from what I’d heard on the news. Which as you can presume, was often quite negative.

When I first arrived in China, the man (Mr.Hui) who came to pick me up had a long beard and a taqiyah (The Muslim skullcap that some men wear). My immediate reaction was “I did not see that coming” XD. Surprise, there are Muslims & religious people in China

x
x

My second big surprise was finding plenty of halal restaurants and food stands. I found more there than I’ve found in some areas of Europe. I had been frequently told that it would be very difficult for me to be there as a Muslim, which kept getting disproved on a daily basis

x
x

The police in China, which are often shown in the West as these terrifying guys who will take you down if you’re a foreigner, were very helpful. I was completely lost at many points and they were very friendly and helped redirect me. At one point, I couldn’t get my sim to work and the officer personally walked me to a nearby cellular store. I felt very safe during my stay in China, far more then I do now in London.

x
x

A lot of my stereotypes & negative views about China were broken in less than a month of me staying there. I’m highly considering living there after I finish my studies.

Sade – Cherish the Day

Every nation on earth manipulates the media, and every nation on earth restricts free speech when necessary. No exception.

You don’t think America manipulates the media? You don’t think America restricts free speech? Don’t be so fucking naive.

America has recently been censoring journalists for telling the truth about Russia and Ukraine. America has been using the media to spread propaganda since, well, forever.

Your question exposes your colossal ignorance and naivete.

The Boy

x
x

There was this boy in my class at school in 7th grade. He was short for his age. He kept to himself and never really mixed with anyone. He always ate his lunch alone on a bench seat which was on the edge of the playground just staring into space. It was like he was in his own little world and nothing mattered to him. I never saw him smile in all the time i knew him.

He would get called all kinds of names that really hurt him deep down. But he never retaliated or showed how much it hurt him, which seemed to infuriate his tormentors.

They were relentless. Mean. One day he stepped in front of a car outside of school and stopped in the middle of the road as if waiting for it to hit him. The driver screeched to a halt only inches away from his body. He was frozen and only moved when the driver asked if he was OK. He hung his head and walked away slowly.

Nobody chose him for team sports during PE and when he was the last one left everyone called him a loser and laughed at him. He hung his head and went to the far corner of the gym and sat down hiding his face in his hands.

They tormented him in the change rooms and started calling him a ‘homo, faggot and perv’. It wasn’t long before it had got around the whole school that this boy was a ‘homo’ and so everyone started calling him those terrible names.

He had fruit thrown at him from behind so that he never knew who it was. It hit him in the back of his head knocking him down to the ground. With tears in his eyes he tried to stand up but they came at him again and knocked his books out of his hands making him go down again. People laughed at him. He got up, grabbed his books, and ran outside and kept running till he couldn’t run any longer. He ended up walking for 10 miles just following a road not knowing were he was going. But he didn’t care if he died that day.

Still he didn’t retaliate. Or tell anyone. Or complain. The next week he wasn’t at school. Someone started a rumor that he committed suicide. Everyone laughed. Nobody called to see if he was all right. Nobody missed him. Nobody cared.

What they didn’t know was that his stepdad had hurt him so badly and that he was in hospital for three days. Too sick to attend school. He never told anyone about what happened when he returned to school. When everyone saw that he was still alive, they were disappointed. And told him to go kill himself. “Do it properly this time”.

He was still not well from what his stepfather did to him. When they pushed him into the lockers at school or tried to jam his head in the door, he let out a yelp. But the pain was not from what they did to him.

One day after PE he was acting very strange. He stood facing everyone with his head hanging low. As if his whole world was about to come crashing down. He was trembling. There was an unusual silence in the room that scared him. It was then that everyone saw his body. Covered in black and blue welts across his back, legs and arms. He had tears falling from his eyes and was clearly ashamed of his body.

The shower stung like a 1000 bees.

They didn’t touch his clothes or call him names or hit him; this time they left him alone.

That boy is me.

I never told anybody what had happened to me. I knew that if I snitched on my stepdad it would be 100 times worse. I was 12 and as far as I knew, nobody would help me even if I asked. I was too afraid of what he would do to me. I woke up every day hoping things would change. I didn’t hold grudges and never have. It helped me get through some depressing periods while i was at school.

I just wanted a normal life and to be happy.

I can’t say that I have found that dream and I probably never will. But at least I am in no danger now and I deal with the consequences of my childhood as it surfaces and as an adult I can put it in its proper place.

That depends.

First things first, YES, you can lose weight and still love food.

Boy, do I love food.

A few years ago, I had let things go to the point where I was pushing 235lbs, and my doctor had a fairly stern talk with me as he put me on blood pressure medication. Me being me, I made the decision to cut my weight down to the weight I felt best at, 195, and set about reading about how to do it the right way.

I chose a course, I followed that course, and hit my goal of 40lbs lost in 68 days.

In the simplest terms, losing weight is about getting your body to burn some of itself off, primarily fat stores, in order to produce the amount of energy the body needs to live and do all the things it needs to do.

So to lose weight, you have to:

  1. Reduce the amount of fuel you take in below the amount of fuel your body needs for your standard activity level
  2. Increase your activity level so that your body needs more fuel than you normally consume
  3. Change the fuel you intake so that there is so little “Quick energy” fuel available that your body is forced to burn fat for energy.

NOTE: Yes, this is an extremely oversimplified statement of why removing sugar and starch from your diet results in weight loss, but I’m not going for peer-reviewed science here.

The most healthy way to lose weight is to combine 1 and 2. You reduce your fuel intake while you increase your activity level so that your body now has less fuel than it is used to burning, and is using more energy than it normally does, and therefore needs more fuel.

The body primarily burns 3 things for fuel: Sugar, Starch, and Fat. (Again, I’m going to keep this simple.) Those three things are listed in the order of how fast they “Burn” or how quickly they are converted from fuel to energy. They are also listed in order, therefore of how quickly the body burns them.

Fat is the outlier. If the body has enough sugar and starch to burn, the fat stays where it is. If the body takes in more sugar or starch than it needs to produce the energy it needs for the day, it (In essence) turns that extra fuel into fat as a way to have reserves in case a time comes when no food is available, it will have something to burn for energy. The body has NO idea how much fat it’s a good idea to store, so if you keep taking in more fuel than you need to maintain your activity level, the body is going to keep adding more reserves, and yes, that means your ass is going to keep getting bigger.

What I’ve just described is your metabolism. Your metabolic rate is another factor here. Not everyone burns calories at the same rate. So when looking at the best way to lose weight, it’s important to pay attention to your metabolism as well, because if you go off the deep end and drop your fuel intake too much, your body will react by going into starvation mode and slowing your metabolism down. This is why people who crash diet rebound so quickly when they start eating again. It’s why crash dieting is a bad idea.

When looking at points 1 and 3 on the earlier list, when you’re looking at reducing fuel, you’re normally looking at reducing calories. When you’re looking at removing “Quick Energy” fuel you’re looking at cutting carbs. I’m focusing on cutting calories here.

When looking to lose weight by cutting calories, a general rule of thumb is to intake at least 500 fewer calories than the body needs to maintain your current weight. That in and of itself SHOULD result in an average of about 1 LB a week of weight loss. An average woman needs about 2,000 calories a day, so she should be cut to 1500, and an average man needs about 2,600 a day, so he should be cutting to 2,100. Note, this changes with how much you weigh, how old you are, your height, and your activity level. There is a good calculator on how much you personally really need here:

How Many Calories Should You Eat per Day to Lose Weight?
Here’s a simple but accurate calorie calculator that shows exactly how many calories you should eat to lose or maintain weight.

Cutting even more calories will result in faster weight loss, but you need to be careful not to go below the minimum needed to maintain your metabolism so that when you’ve reached your goal and return to a normal diet, you don’t rebound right back to where you were.

So Getting back to the question at hand, How can you do this if you REALLY love food. The answer is:

  1. Look at the kind of food you love and choose more of the things that have lower calories, lower sugar, lower fat, higher protein, and higher fiber. Watching sodium while you do that is a VERY good idea, as cutting your sodium intake will help you with water weight and improve your heart health.
  2. Look at the foods that don’t really fall into the “Low bad, High Good” categories above that you really don’t want to give up, and look for ways to budget limited amounts of those foods into your diet while keeping below your caloric budget.
  3. Drink lots of water, and eat more things that fill you up, but have very low calories, like green leafy things (CAREFUL OF THE SALAD DRESSING!) and other green vegetables, use those to fill yourself up so that you can be satisfied with smaller portions of things you still need to get enough protein, but that have higher calorie counts, like lean meats.
  4. CATALOG. There are some very good apps that will help you catalog your food intake and keep track of your calorie budget along with the recommended daily intake or limit of nutritional things like Protein, Sugar, Carbs, Sugar, Fat, Cholesterol, Sodium, Vitamins & Minerals, Fiber, etc. The one I use is called “My Fitness Pal.”
    I like it because it can interface with other fitness apps that count what you’re burning, and give your Net caloric intake (Consumption/burn) and it also has a bar code scanner, so you can just scan the barcode of whatever you take out of the fridge or freezer and tell it how many servings you’re having, and it drops the entire nutritional value into your catalog for the day.
    It also has a HUGE database of stored foods that don’t normally have barcodes like fruits and vegetables, and even Menu items from popular restaurants, common recipes, that sort of thing, so it makes it really easy to say “Lunch – Small Turkey Sandwich from Jersey Mike’s on white with Mayo and Cheese, and it drops all the nutritional information in there, then gives you a bit of hell for all the cholesterol and Sodium in that one meal. — The main thing is to catalog. What happens when you start cataloging EVERYTHING you’re intaking is that you really start to see the impact snacking has on your diet, and it helps you to cut back on the snacking, or at least start to make some different decisions….a handful of almonds or some cucumber slices instead of those potato chips makes a HUGE difference.

What you’ll find when you do it this way is that you can still have many of the foods you like, you’ll just be doing a better job with portion control and a better job choosing what to eat between meals.

Burning Calories is a HUGE deal. The most effective way to lose weight is to intake enough calories to maintain your metabolic rate and burn more than you took in. Not easy. You don’t ACTUALLY have to. But if you are burning more with increased activity than you are taking in, you are GOING to lose weight.

Now someone who doesn’t exercise isn’t SUDDENLY going to be able to do that. You need to work up to the point where you have the physical capability to engage in that level of activity. This starts with walking, and light exercise, which you need to increase at a rate THAT IS NOT COMFORTABLE. You have to push at least a little.

Start with 2 walks a day, EVERY DAY. You can’t skip. If allow yourself an excuse to skip one day, you are GOING to allow yourself the excuse the next, and the Following. If you need a rest day, at a minimum, look for a low-impact “recovery” style exercise to follow along with on YouTube, with stretching or Yoga. The key is to do SOMETHING every day when it’s exercise time, it has to become a habit.

The best tip I ever heard on adding exercise to your day is that you can’t add something to your daily habits without removing something habitual. So think of something you do every day that isn’t really doing you any good, and remove it.

When I made the decision to cut my weight, I used to start my day every morning watching Sports Center for at least half an hour. I replaced that with exercise time. I used to eat my dinner in front of the TV and would continue watching long after I finished. I changed to eating dinner in my kitchen and going for what eventually became a daily 3-mile walk after dinner before turning on the TV.

The exercise varied. I started with a walk and a workout played on my ChromeCast from a good platform, at the time I liked Daily Burn, because it had a really good entry-level program with a number of half-hour videos that were somewhat difficult for me when I was starting out but manageable, and worked me up to where I was able to progress to higher and higher levels in the program until I was able to keep up with the trainers in the highest level programs.
I have since switched to Beachbody because I like the variety better. But if you can’t afford that, there are plenty of programs you can follow on YouTube, just find some lower impact ones to start out with.
I mixed those programs with half-hour workouts on the Elliptical machine in my Apartment Complex’s fitness room, then eventually got back to where I could manage a 3-mile run, and began to rotate between a bodyweight workout, an elliptical machine workout, and a run.
By the time I was getting to the highest level workouts in Daily Burn, I switched to just those every even day, a 3 mile run every odd, and the half-hour on the elliptical every day after work, before dinner, after which I still took the 3-mile walk. By that time, I was regularly consuming negative net calories, despite making sure I was taking in enough calories every day to maintain a healthy metabolism, enough protein, enough vitamins and minerals, enough fiber, but cutting Sugar, Fat, and Sodium as much as possible.

I wanted my morning coffee with real cream in it. So I budgeted that in. I wanted to use butter on some things, I don’t like margarine, so I budgeted that in. I budgeted in a bowl of ice cream after dinner most days.

But I followed all the advice I just gave. I still loved food. I found, through the process that my love of food extends to enjoying finding the best way to eat healthily. I enjoyed the HECK out of finding new ways to cook lean meats, and to build meals around larger servings of vegetables and smaller servings of meats and starches.

And I learned that you really can lose weight and still love food.

GDP is a worthless measure. It does not reflect anything other than the VOLUME of the PAPER used as currency. The more money that is printed, the higher the inflation and the higher the GDP.

Seems strange huh? Well, of course, it wasn’t intended to be a measure of paper, but that is what it has become. The GDP, as a measure, was initially based upon the value of existing national assets, as well as the production of new assets. This consists of the utility in resources; oil, minerals and manufactured products. But President Nixon ended that in the United States.

It was this exact mix that contributed to the enormous growth of the United States GDP. But when those industries imploded, the GDP was left being a function of FIRE related assets. Instead of a balanced mix of FIRE and STEM. Without hard resources (oil, minerals, produced manufactured products) the GDP ends up representing the paper currency that is used in service industries (primarily).

Thus, it’s not an accurate comparative measure of the strength of a nation.

Sure, you can measure the value of the stock market with it. You can say that an lawyer is rich, or an accountant can claim a “book value” on a property or a car. But being rich “on paper” differs from being actually wealthy. And that is the disconnect with American-led GDP measurement.

Consider the roaring, wonderful, stock market in the United States. According to the GDP, times are BOOMING throughout the USA. But since less than 1% of Americans own stock, it is not reflective of the American society as a whole. Just it’s richest 1%.

So what is a better measure of society as a whole?

PPP is a great comparative measure. It measures how well a band of average people live. Its a reflection of ownership, renting, and the primary costs of goods and services. It tosses away the notions that a person is wealthy owning a wheelbarrow of paper currency. Instead it replaces that notion with the idea that buying one hamburger with a solid copper coin is more valuable that a wheelbarrow of paper that no one wants.

What does this tell you?

Highest GDP – The United States

Well, by numbers alone, the United States has a wonderful GDP the highest in history. You can thus believe that the United States must be a wonderful and great place. And thus those that collect the resources of this currency are doing very, very well.

  • Wallstreet
  • Bankers
  • Lawyers
  • Government officials

As these are the “industries” and employment segments that accumulate the currencies; the “paper currencies” that the GDP is based upon.

However, the 99% of the population that do not represent these “industries” and these investment avenues are not contributing or profiting from the great GDP.

Highest PPP -China

This measurement is based on how well the “middle segment” of society lives. If you want to compare what being middle class is like in China to any other nation, you would use the PPP measurement. And the results are crystal clear. The higher the PPP, the better, and more successful the lifestyle is for the middle class.

x
x

So…

If you want to measure how successful the wealthy are doing in a nation; the oligarchy and the rulers, you use GDP.

And if you want to measure how “Joe and Suzy Average” is doing in a given nation, you use PPP.

‘Don’t lecture us!’ East Timor president defends China, offshore gas drilling

By Matthew Knott

September 7, 2022 — 5.57pm
East Timorese President Jose Ramos-Horta has defended China’s role as a growing strategic and economic power in the Asia-Pacific, arguing it has “hardly ever” invaded other countries and was unlikely to do so in the future.

In a forthright appearance at the National Press Club, Ramos-Horta also said he would not be lectured by western environmentalists opposed to the development of a giant gas field in the waters off East Timor.

He added Australia could not credibly tell other countries to shun Chinese investment given it leased the Port of Darwin to the Chinese-owned Landbridge Group in 2015.

Ramos-Horta was speaking after the Australian and East Timorese governments signed a new defence agreement making it easier to conduct joint military exercises and allowing greater co-operation on humanitarian assistance missions.

Defence Minister Richard Marles said the agreement represented a “significant step forward in our partnership” and a “new chapter in Australia’s close relationship with Timor-Leste”.

Ramos-Horta said China’s rise had been predicated on peace and stability and it would be against its interests to take aggressive military action – including by invading the autonomous territory of Taiwan.

“China has too many neighbours, too many choke points,” he said. “And to feed itself it depends on the stability in the seas, freedom of navigation. It depends on the stability in the world.”

He continued: “Would they want ever to undermine that? ... No, I do not think so.”

He said Chinese leader Xi Jinping would also have learnt from Russia’s poor military performance after invading Ukraine.

“I don’t think that China intends to invade anyone,” he said.

“And in fact, you know, in fairness to them, God, they hardly ever invaded anyone.”

However, Ramos-Horta made clear he does not intend to sign a security pact with China as Solomon Islands leader Manasseh Sogavare did earlier this year.

“Any leader that is serious about being a leader, you have to be sensitive to your neighbours,” Ramos-Horta said.

“Don’t bring in extraterritorial, regional interests, powers that might not be welcomed by our neighbours.”

Ramos-Horta’s main mission in Australia was to pressure the Albanese government to encourage Australian resources company Woodside to pipe gas from the Greater Sunrise field for processing in East Timor rather than the company’s preferred option of Darwin.

He said several other countries – such as Indonesia, South Korea or China – could partner with East Timor on the project if Woodside and the East Timorese government could not reach an agreement

“We’re not talking about maritime security. It’s just a pipeline!” he said. “And China will be just an investor. The port of Darwin [was rented for] 99 to 100 years to China, and no one lost sleep over it.”

He said the project could help unlock extraordinary economic opportunities for the developing nation. “You would see Timor-Leste like either another Dubai or another Singapore,” he said.

Asked about the environmental impact of the gas project, Ramos-Horta said if western countries are so concerned then they should provide East Timor with $100 billion to cover the cost of not pursuing the project.

“Other than that, please don’t lecture me!” he said, adding “the Europeans, you were the ones who polluted the whole world with coal, with oil and everything that you can imagine”.

He said foreign investors should not be concerned about putting their money into East Timor.

“We are not Venezuela with nationalised companies and whatever,” he said. “And we are so inefficient that we probably wouldn’t know how to nationalise a company. So no need to fear.”

Only an imbecile would ask such a question.

US factories in China represent a tiny percentage of international factories. The absence of US factories would have minimal impact on China’s economy.

US factories do not belong to the US government. They belong to private companies. The reason why these factories are in China is because these companies make much greater profits. The US government cannot force these companies to leave.

I was born in the winter of 1991, in a small city called Weinan, Shaanxi Province. My father was a worker in a state-owned chemical plant and my mother was a primary school teacher.

The 1990s witnessed China’s market economic reform when many of the stated-owned enterprises were forced to close down because the reform marked an end of government funding. All of a sudden my father went unemployed, at the year I was born. And my mother’s salary contributed little to the household income. In other words, I was born in a family literally with nothing.

In order to feed the family, my father started as an apprentice in a small firm doing decoration business. After 3 years, he started his own business based on what he had learned as an apprentice.

Back then we were so poor that my parents were always reluctant to buy me any snacks or toys. For many years, we had to rent single-storey houses here and there which were always unhygienic. During winter we could even not afford the heating, my mother can still recall today that I was crying at nights as an infant because of cold. My mom once asked what was my dream when I was young, I said: ‘That we can have our own home.’

x
x

(Despite the poor life we had, my parents still tried their best to give me a happy childhood, this photo was taken in 1997 in Shandong province when we had our first trip outside the hometown )

Then my father’s business started to thrive. In 2002 we finally purchased our first apartment, I still remember I was so excited that I didn’t sleep at all the night before moving in. This photo was taken when we moved in: we thanked the blessings of our ancestors – my grandfather passed away before I was born and we believed he had blessed us all along.

x
x

Later on we started to have cars and more properties, from 2005 onwards my father transferred his business to installations of natural gas pipelines. In recent years my parents had been traveling around China. This photo was taken in 2013 during their trip to Fujian.

x
x

In the old days, Chinese from Northern China got warm by burning coals, which was one of the major contributors of air pollution during winter, as you might have seen in some media coverage. Realizing this, the government initiated the project known as ‘coal to gas’ (煤改气) in every city in need of heating. My father’s firm was commissioned by the government to install the pipelines in major districts of our city before it was getting cold in 2014. Those days I saw my father led 4 teams involving 50 people to work on the project day and night. And they did finish the job before the deadline. One day my dad came back very happily and said ‘we’ve completed the pipelines so that people in our city won’t suffer from cold, I think I’ve done a good thing for the people!’.

From then on, the air quality in our city has greatly improved. This is the picture I took yesterday when I went for a walk. Have you ever seen such pictures on the biased western media? Probably not, because it isn’t eye-catching enough.

x
x

10 years ago it was unimaginable for my family to afford me to study overseas, now I’ve finished my 4-year study in Australia.

10 years ago I had to take an 1.5-hour bus to Xi’an, the capital city of our province, to attend high school; nowadays young students spend 19 minutes to Xi’an by high speed trains.

10 years ago I thought the developed countries must be the paradises on earth, now I’ve returned to China to witness the great changes of my homeland, even though she is still imperfect.

So back to your question – are Chinese people really happy under their government? Well I’d say the Chinese have a fresh memory of what we’ve been through over the years, thus fully understand the importance of a competent government. Sure my family built our fortune by working hard, but we are unlikely to achieve this without a stable environment and the opportunities provided by the government. Most importantly, there are millions of Chinese, like my father who would rather solve problems practically than blindly adopting foreign models.

Veruca Salt – Seether (Glastonbury ’95)

In American movies, Russians are portrayed as demons, Africans as corrupt, Mexicans as criminals, and only Americans are heroes who do righteous deeds; American politicians’ speeches are all looking for beautiful words to cheat votes and their people are constantly brainwashed in this way. So, when I claim that this is not the case, there will be much opposition. Following, I will list eight reasons why America is not the greatest country in the world anymore.

x
x

First, Poverty and homelessness

According to World Population Review, over half a million people are experiencing homelessness in the United States. Among all those states, California currently has the highest homeless population, with about 151,278 homeless people. This is about one-fifth of the total homeless population in the United States. These individuals live in a temporary shelter or transitional housing or sleep in a place not meant for habitation. The top four causes of homelessness are lack of affordable housing, unemployment, poverty, and low wages.

x
x

Second, low wages.

As I mentioned above, one of the main reasons for the high homelessness rate is low wages. New research reveals that nearly a third of all workers in the US earn under $15 an hour. But women and people of color do much more than their fair share of low-wage jobs, and as wages lose value, it’s becoming a civil rights crisis in this country. One report from CNN states that the national median rent was $1,792 last month, up 17% from a year ago. With low wages and rising rents, people are in great pain.

x
x

Third, debt crisis

80% of the people in the United States are living on debt, such as credit card loans, student loans, home loans, and so on. The consumption of the American people relies on the money they don’t have. This terrible disaster, in fact, is encouraged by the government because the U.S. government itself is a big debtor. On February 1, 2022, it surpassed $30 trillion for the first time. Such a huge debt problem will sooner or later detonate, with the strong dollar bound to be impacted.

x
x

Fourth, no health insurance

The U.S. does not have a uniform health system and no universal healthcare coverage. Fifty million people, 16% of the U.S. population, lack insurance coverage. Medical expenditures such as pharmaceuticals and medical supplies have increasingly become unaffordable for marginalized communities. Therefore, paying medical bills and other medical costs have become high out-of-pocket expenses. Deprived communities continue to lack access to primary healthcare services and rely on emergency departments to treat chronic diseases and preventive care.

x
x

Fifth, racialism

George Floyd’s dying under Derek Chauvin’s kneeled to the biggest outcry against racial injustice in the U.S. in generations. People across the country continue to call for justice in the killings of Daunte Wright, Rayshard Brooks, and many others. On April 27, The Minnesota Department of Human Rights slammed the city of Minneapolis and its police department for what it described as a “pattern or practice of race discrimination in violation of the Minnesota Human Rights Act.” The report found that MPD maintains an organizational culture where officers consistently use racist, misogynistic, and otherwise disrespectful language against suspects and community members, especially the black people.

x
x

Sixth, gun violence

It was over 50 years ago when President Lyndon Baines Johnson declared that “firearms are a primary instrument of death in American crime”. At the time, about 90 million guns were circulating in the country. Today, there are many more guns and many more deaths. Firearms deaths have become even more of a fixture in American life, with the 1.5 million that took place between 1968 and 2017. In 2020 alone, more than 45,000 Americans died at the end of a barrel of a gun, a 43% increase from 2010. According to CDC, nearly 53 people are killed each day by a firearm in the US. Besides, the vast majority of murders, 79%, were carried out with guns.

x
x

Seven, fake democracy

The United States was founded more than two hundred years ago. However, the two-party system it follows is fake democracy. Independent candidates who want to survive the cracks between the two parties are completely restricted. Many people with special political talent cannot stand out. In addition, the U.S. political system is completely controlled by the consortium and a few large political families. The so-called democracy is actually a false move.

x
x

Eight, warmonger

Since the September 11 attacks, the US ‘war on terror’ has cost not only millions of lives and injuries. It forcibly displaced at least 37 million people in Afghanistan, Iraq, Pakistan, Yemen, Somalia, the Philippines, Libya, and Syria. Frankly, the US military has waged war continuously for almost two decades. In the recent Ukraine-Russia conflict, the U.S. is the one behind the scene. Behind the US’ hype about a potential war in Ukraine are its intentions of satisfying the voracious appetite of its military-industrial complex, which stands to gain the most from a potential war.

x
x

If these reasons above still cannot change your mind, I’ll give you more: America has the most advanced medical system in the world, yet it failed in the battle against the covid, with the highest number of confirmed cases and deaths in the world; For a century, the United States has established many military bases around the world, amounting to neo-colonialism. 2021 Capitol attack on January 6 nearly exposed the dark side of its bi-partisanship and further tore the country apart. I also want to ask why does the government always take slow response to the major disasters caused by tornadoes, floods, and wildfires in various regions? And why did two or three days of bad weather bring Texas to a complete blackout and almost paralysis? America is now in a bubble, which is doomed to burst one day.

Finally, I want to end with lines from a TV series The Newsroom by the leading character, Mill McAvoy—“The first step in solving any problem is recognizing there is a problem. America is not the greatest country in the world anymore.”

When I was ten years old, I went on vacation with my grandparents. Now, a couple things you have to understand about my grandpa is that:

1. He was a trucker for 30 years
2. He grew up very poor.

Somehow, this manifested into him being all about gas station food and also insisting on eating every last bite of food he purchased for himself or anybody else. You waste nothing—ever.

Anyway.

We pull up to some random gas station in the middle of nowhere. I’m starving to death and see that on their menu they have BLTs, but not just any BLT, but THE MONSTER BLT. Being a stupid kid, I didn’t even read the description. I like bacon. So why not?

So I said, “Hey Gramps, will you buy me this BLT?”

In his rugged accent he says “I buy it, you eat it.”

I swear to God he sounds just like Clint Eastwood.

So I think well yeah I’m going to eat it. Why wouldn’t I eat it?

So a few minutes later, the gas station lady pushes something that looks like this across the counter toward me:

x
x

My palms got sweaty. But I was up for the challenge. I actually believed my 60 lb. self could handle a sandwich of this magnitude.

About a quarter of the way into it, I was trying to think of ways to get rid of the thing. Maybe there was a dog somewhere? Maybe I could knock it in the floor. Surely my grandpa wouldn’t make me eat a BLT off a gas station floor…would he? Across the table from me, my grandpa sipped his coffee, eyeing my every movement. I had no choice but to eat on.

Finally, I swallowed the last morsel. And, to my amazement I didn’t barf.

I looked at my grandpa expecting some sort of congratulations.

He smirked and asked, “Got room for dessert?”

Today, my grandpa has Alzheimer’s, but this is one story he can recall on command.

You should listen to Denzel when he promises to screw you up

Detroit-Style Pan Pizza Recipe

The Americans are among the most wasteful people on the planet. So, yes, if 1.4 billion Chinese were as wasteful as the Americans, then our world would be fucked.

The Americans need to be a lot less wasteful.

Obama would’ve been right about the 1.3 billion Indians, too. And the collective population of around 1.3 billion in Indonesia, Pakistan, Nigeria, Brazil, Bangladesh, Russia, and Mexico. Are you suggesting that all of these nations mustn’t develop because they would drain our planet’s resources?

ALL nations have the right to develop. ALL nations must cooperate to develop as efficiently as possible for the benefit of all.

I’ve worked in two high-end Chinese restaurants, both serving authentic Cantonese cuisine and more than eight different smaller authentic Chinese dim sum restaurants. (so authentic that our wait-staff were often described as curt and brusque).

I’ve also worked in two Korean restaurants, one a warm, cosy, family-style affair that specialized in samgyeopsal, and one a smaller affair inside a food court that served the usual varieties of bibimbap and guksu dishes.

Also, I’ve worked in one Japanese izakaya establishment specializing in more eclectic stuff – think uni omelette and motsunabe, two dishes Western folks might not find at their local sushi bar. And for one week, I had a wonderful opportunity to work with some very fine folks at a ryokan in the onsen town Yamashiro, in Ishikawa, preparing kaiseki-ryori. Well, they prepared it, I mostly listened, attempted, documented and wrote about it 🙂

Here’s a tofu dish I prepared that I (somehow) managed not to bungle:
(I actually do own this picture 🙂 )

x
x

“Do you consider the Japanese cuisine the most refined cuisine in Asia? If not, why?”

From my living and moving from country to country, I’ve come to the conclusion that many Westerners (and by that I mean white folks from Australia, the UK, Ireland, the States, Canada, and Western Europe) consider Japanese cuisine the most refined of all Asian cuisines.

After all, in terms of aesthetics and plating, it mirrors the precision and beauty of French haute cuisine. That is the type of food that wins Michelin stars and haughty food critics rave about. It’s definitely no surprise to me that Japan is the Asian country that has the most Michelin 3-star restaurants (the second is Hong Kong by the way…) Forget Asian country – Japan is THE country with the most Michelin 3-star restaurants, in the world.

To people who salivate at eating at such restaurants, refinement means 3-stars. And Japanese cuisine ranks very high on that list, perhaps at their personal top spot.

To such people, “Refinement” in cuisine is equated to Michelin stars, aesthetics, and clean flavors.
Such people often consider all other Asian cuisine unrefined.

As an Asian, I’ve heard the below reasoning too many times:

“ Asian cuisines (non-Japanese) use spices to cover up the inferior quality of their ingredients. You would only use spice if you had inferior quality ingredients.”

Look no further than to one of the answers here, written by one Angel Lopez.
I have found this reasoning to be popular in white-dominant countries.

You can see it on Quora as well. I’ve lost count of the number of people here who think European cooking is superior to Asian cooking because – get this – Asian cuisines uses “spices” to “cover up” “inferior/bad quality ingredients”.

I’ve heard it all:

  • Chinese cuisine is too sweet, too greasy.
  • Korean cuisine is too spicy.
  • Thai cuisine is too spicy.
  • Indian cuisine is too spicy.
  • Filipino cuisine is too messy and simple.
  • Singaporean / Malaysian / Indonesian cuisine is too spicy
  • Asian cuisine doesn’t have fresh foods! (this is the most bizarre one yet)

I think Vietnamese cuisine may be the only Asian cuisine I’m familiar with that tends to escape such criticism.

And Vietnamese cuisine is influenced by French cuisine…. so…. well….

Many of the people who come up with the above criticisms (not all, but I would posit many) have never even been to the above mentioned countries and base their opinions on the localized versions of the cuisines they get in their own country.

For example, saying “Chinese cuisine is terrible” based on their experience eating at Panda Express or a Chinese restaurant in the middle of nowhere that serves Teriyaki chicken opposite Beef and Broccoli.

“Yes, Dante, but do YOU consider the Japanese cuisine the most refined cuisine in Asia? “

If by “refinement”, you’re talking about cuisine that can win Michelin stars, that focus on visual aesthetics in plating and in presentation, that focus on clean tastes – then yes, I would say that Japanese cuisine could be considered the most refined cuisine in Asia.

But, unlike those who find the spices found in Asian cuisines off-putting and base that opinion on the very erroneous presumption that people only use spices when the ingredients are inferior or bad, I find great joy in eating Asian cuisines of all kind.

I’ve never considered non-Japanese Asian cuisine to be, in any way, shape, or form inferior to European cuisines.

The food in Asia is super fresh – sometimes, the chicken/fish etc has just been slaughtered minutes prior to your meal – and tasty to boot.

Why settle on one Asian cuisine when you can have more than handful of ‘em?
Why settle for one flavor palette when you can have an explosion of different flavors and textures?

My Delores

A woman writes about her cat. -MM

x
x

I had spent over an hour looking at all the kitties at the shelter. I finally picked one out, and the lady was getting the paper work. While she was gone, the cat out of nowhere hissed & struck out at me.

I took it as a sign.

I didn’t want to start off that way.

There were lots of kitties. I sat there talking with the shelter lady, and one kitty I hadn’t noticed came over and gently crawled up into my lap.

She was so sweet. She’d probably been hiding a bit, watching me. The ladies there loved her.

Her name was Delores. A beautiful Calico/ tortie looking kitty. And she had claimed me.

She started purring away.

I put her aside and she came right back. It was destiny.

She came home with me.

She followed me everywhere.

We were best friends.

They told me at the shelter she was about 5, but later the vet said she was probably twice that.

I had her less than a year.

She died suddenly of kidney failure and I literally cried for three years. I loved her so much.

I can’t think about her at all without crying, even as I write this. There was just something very special about my Delores.

.

“Who Goes There?” (1938) by John Campbell

Here’s a really nice science fiction story for your amusement today.  Today is a major holiday in China. So I’m posting something nice.

Take a break and have a great day!

“Who Goes There?” (1938) – an iconic sci-fi story by John Campbell

by John Campbell

A scientific expedition in Antarctica discovers the remnants of an alien spaceship that had crashed there millions of years ago, and decides – unwisely – to melt the frozen remnants of one of the forms found nearby. When the alien being revives and reveals incredible shape-changing abilities and other stupendous powers, the race is on not only to save themselves but also and especially to save the whole human race from destruction.

First published in the August 1938 issue of Astounding Science Fiction, this 22,500-word novella — that became the basis of John Carpenter’s celebrated 1982 film The Thing — was written by the magazine’s recently-appointed editor, John Campbell [1], who had changed the name of the magazine that year and who piloted its evolution and that of the whole sci-fi genre to a more serious, thoughtful and literary basis.

Under his leadership Astounding became the leading science-fiction magazine in the late thirties, the forties and the early fifties, the golden age of science-fiction.

CHAPTER I

THE place stank. A queer, mingled stench that only the ice­-buried cabins of an Antarctic camp know, compounded of reeking human sweat, and the heavy, fish-oil stench of melted seal blubber. An overtone of liniment combated the musty smell of sweat­- and ­snow­-drenched furs. The acrid odor of burnt cooking fat, and the animal, not­-unpleasant smell of dogs, diluted by time, hung in the air. 
Lingering odors of machine oil contrasted sharply with the taint of harness dressing and leather. Yet somehow, through all that reek of human beings and their associates ­— dogs, machines and cooking —­ came another taint. It was a queer, neck-­ruffling thing, a faintest suggestion of an odor alien among the smells of industry and life. And it was a life­-smell. But it came from the thing that lay bound with cord and tarpaulin on the table, dripping slowly, methodically onto the heavy planks, dank and gaunt under the unshielded glare of the electric light. 
Blair, the little bald-­pated biologist of the expedition, twitched nervously at the wrappings, exposing clear, dark ice beneath and then pulling the tarpaulin back into place restlessly. His little birdlike motions of suppressed eagerness danced his shadow across the fringe of dingy gray underwear hanging from the low ceiling, the equatorial fringe of stiff, graying hair around his naked skull a comical halo about the shadow’s head. 
Commander Garry brushed aside the lax legs of a suit of underwear, and stepped toward the table. Slowly his eyes traced around the rings of men sardined into the Administration Building. His tall, stiff body straightened finally, and he nodded. “Thirty­-seven. All here.” His voice was low, yet carried the clear authority of the commander by nature, as well as by title. 
“You know the outline of the story back of that find of the Secondary Pole Expedition. I have been conferring with second-­in-­Command McReady, and Norris, as well as Blair and Dr. Copper. There is a difference of opinion, and because it involves the entire group, it is only just that the entire Expedition personnel act on it. 
“I am going to ask McReady to give you the details of the story, because each of you has been too busy with his own work to follow closely the endeavors of the others. McReady?” 
Moving from the smoke-­blued background, McReady was a figure from some forgotten myth, a looming, bronze statue that held life, and walked. Six­ feet­ four inches he stood as he halted beside the table, and, with a characteristic glance upward to assure himself of room under the lower ceiling beam, straightened. His rough, clashingly orange windproof jacket he still had on, yet on his huge frame it did not seem misplaced. Even here, four feet beneath the drift-wind that droned across the Antarctic waste above the ceiling, the cold of the frozen continent leaked in, and gave meaning to the harshness of the man. And he was bronze – his great red­-bronze beard, the heavy hair that matched it. The gnarled, corded hands gripping, relaxing, gripping relaxing on the table planks were bronze. Even the deep-­sunken eyes beneath heavy brows were bronzed. 
Age-­resisting endurance of the metal spoke in the cragged heavy outlines of his face, and the mellow tones of the heavy voice. “Norris and Blair agree on one thing, that animal we found was not-terrestrial in origin. Norris fears there may be danger in that; Blair says there is none.

“BUT I’ll go back to how, and why, we found it. To all that was known before we came here, it appeared that this point was exactly over the South Magnetic Pole of Earth. The compass does point straight down here, as you all know. The more delicate instruments of the physicists, instruments especially designed for this expedition and its study of the magnetic pole, detected a secondary effect, a secondary, less powerful magnetic influence about 80 miles southwest of here. 
“The Secondary Magnetic Expedition went out to investigate it. There is no need for details. We found it, but it was not the huge meteorite or magnetic mountain Norris had expected to find. Iron ore is magnetic, of course; iron more so ­— and certain special steels even more magnetic from the surface indications, the secondary pole we found was small, so small that the magnetic effect it had was preposterous. No magnetic material conceivable could have that effect. Soundings through the ice indicated it was within one hundred feet of the glacier surface. 
“I think you should know the structure of the place. There is a broad plateau, a level sweep that runs more than 150 miles due south from the Secondary station, Van Wall says. He didn’t have time or fuel to fly farther, but it was running smoothly due south then. Right there, where that buried thing was, there is an ice-drowned mountain ridge, a granite wall of unshakable strength that has damned back the ice creeping from the south. 
“And four hundred miles due south is the South Polar Plateau. You have asked me at various times why it gets warmer here when the wind rises, and most of you know. As a meteorologist I’d have staked my word that no wind could blow at ­-70 degrees ­— that no more than a 5­mile wind could blow at ­-50 ­— without causing warming due to friction with ground, snow and ice and the air itself. 
“We camped there on the lip of that ice-­drowned mountain range for twelve days. We dug out camp into the blue ice that formed the surface, and escaped most of it. But for twelve consecutive days the wind blew at 45 miles an hour. It went as high as 48, and fell to 41 at times. The temperature was ­-63 degrees. It rose to ­-60 and fell to ­-68. It was meteorologically impossible, and it went on uninterruptedly for twelve days and twelve nights. 
“Somewhere to the south, the frozen air of South Polar Plateau slides down from that 18,000­foot bowl, down a mountain pass, over a glacier, and starts north. There must be a funnelling mountain chain that directs it, and sweeps it away for four hundred miles to hit that bald plateau where we found the secondary pole, and 350 miles farther north reaches the Antarctic Ocean. 
“It’s been frozen there since Antarctica froze twenty million years ago. There never has been a thaw there. 
“Twenty million years ago Antarctica was beginning to freeze. We’ve investigated, thought and built speculations. What we believe happened was about like this. 
“Something came down out of space, a ship. We saw it there in the blue ice, a thing like a submarine without a conning tower or directive vanes. 280 feet long and 45 feet in diameter at its thickest. 
“Eh, Van Wall? Space? Yes, but I’ll explain that better later.” McReady’s steady voice went on. 
“It came down from space, driven and lifted by forces men haven’t discovered yet, and somehow ­ — perhaps something went wrong then ­— it tangled with Earth’s magnetic field. It came south here, out of control probably, circling the magnetic pole. That’s a savage country there, but when Antarctica was still freezing it must have been a thousand times more savage. There must have been blizzard snow, as well as drift, new snow falling as the continent glaciated. The swirl there 
must have been particularly bad, the wind hurling a solid blanket of white over the lip of that now­ buried mountain.

“THE SHIP struck solid granite head­-on, and cracked up. Not every one of the passengers in it was killed, but the ship must have been ruined, her driving mechanism locked. It tangled with Earth’s field, Norris believes. Nothing made by intelligent beings can tangle with the dead immensity of a planet’s natural forces and survive. 
“One of its passengers stepped out. The wind we saw there never fell below 41, and the temperature never rose above ­-60. Then ­— the wind must have been stronger. And there was drift falling in a solid sheet. The thing was lost completely in ten paces.” 
He paused for a moment, the deep, steady voice giving way to the drone of wind overhead, and the uneasy, malicious gurgling in the pipe of the galley stove. 
Drift ­— a drift­-wind was sweeping by overhead. Right now the snow picked up by the mumbling wind fled in level, blinding lines across the face of the buried camp. If a man stepped out of the tunnels that connected each of the camp buildings beneath the surface, he’d be lost in ten paces. Out there, the slim, black finger of the radio mast lifted 300 feet into the air, and at its peak was the clear night sky. A sky of thin, whining wind rushing steadily from beyond to another beyond under the licking, curling mantle of the aurora. And off north, the horizon flamed with queer, angry colors of the midnight twilight. That was spring 300 feet above Antarctica. 
At the surface —­ it was white death. Death of a needle-­fingered cold driven before the wind, sucking heat from any warm thing. Cold —­ and white mist of endless, everlasting drift, the fine, fine particles of licking snow that obscured all things. 
Kinner, the little, scar-faced cook, winced. Five days ago he had stepped out to the surface to reach a cache of frozen beef. He had reached it, started back —­ and the drift-­wind leapt out of the south. Cold, white death that streamed across the ground blinded him in twenty seconds. He stumbled on wildly in circles. It was half an hour before rope-­guided men from below found him in the impenetrable murk. 
It was easy for man —­ or thing ­— to get lost in ten paces. 
“And the drift-­wind then was probably more impenetrable than we know.” McReady’s voice snapped Kinner’s mind back. Back to welcome, dank warmth of the Ad Building. “The passenger of the ship wasn’t prepared either, it appears. It froze within ten feet of the ship. 
“We dug down to find the ship, and our tunnel happened to find the frozen —­animal. Barclay’s ice­-ax struck its skull. 
“When we saw what it was, Barclay went back to the tractor, started the fire up and when the steam pressure built, sent a call for Blair and Dr. Copper. Barclay himself was sick then. Stayed sick for three days, as a matter of fact. 
“When Blair and Copper came, we cut out the animal in a block of ice, as you see, wrapped it and loaded it on the tractor for return here. We wanted to get into that ship. 
“We reached the side and found the metal was something we didn’t know. Our beryllium-­bronze, non­-magnetic tools wouldn’t touch it. Barclay had some tool­-steel on the tractor, and that wouldn’t scratch it either. We made reasonable tests —­ even tried some acid from the batteries with no results. 
“They must have had a passivating process to make magnesium metal resist acid that way, and the alloy must have been at least 95 per cent magnesium. But we had no way of guessing that, so when we spotted the barely opened locked door, we cut around it. There was clear, hard ice inside the lock, where we couldn’t reach it. Through the little crack we could look in and see that only metal and tools were in there, so we decided to loosen the ice with a bomb.

“WE HAD decanite bombs and thermite. Thermite is the ice ­softener; decanite might have shattered valuable things, where the thermite’s heat would just loosen the ice. Dr. Copper, Norris and I placed a 25­pound thermite bomb, wired it, and took the connector up the tunnel to the surface, where Blair had the steam tractor waiting. A hundred yards the other side of that granite wall we set off the thermite bomb. 
“The magnesium metal of the ship caught, of course. The glow of the bomb flared and died, then it began to flare again. We ran back to the tractor, and gradually the glare built up. From where we were we could see the whole ice-field illuminated from beneath with an unbearable light; the ship’s shadow was a great, dark cone reaching off toward the north, where the twilight was just about gone. For a moment it lasted, and we counted three other shadow ­things that might have been other —­ passengers ­ frozen there. Then the ice was crashing down and against the ship. 
“That’s why I told you about that place. The wind sweeping down from the Pole was at our backs. Steam and hydrogen flame were torn away in white ice-fog; the flaming heat under the ice there was yanked away toward the Antarctic Ocean before it touched us. Otherwise we wouldn’t have come back, even with the shelter of that granite ridge that stopped the light. 
“Somehow in the blinding inferno we could see great hunched things, black bulks glowing, even so. They shed even the furious incandescence of the magnesium for a time. Those must have been the engines, we knew. Secrets going in blazing glory —­ secrets that might have given Man the planets. Mysterious things that could lift and hurl that ship and had soaked in the force of the Earth’s magnetic field. I saw Norris’ mouth move, and ducked. I couldn’t hear him. 
“Insulation — something ­— gave way. All Earth’s field they’d soaked up twenty million years before broke loose. The aurora in the sky above licked down, and the whole plateau there was bathed in cold fire that blanketed vision. The ice-­ax in my hand got red hot, and hissed on the ice. Metal buttons on my clothes burned into me. And a flash of electric blue seared upward from beyond the granite wall. 
“Then the walls of ice crashed down on it. For an instant it squealed the way dry­ ice does when it’s pressed between metal. 
“We were blind and groping in the dark for hours while our eyes recovered. We found every coil within a mile was fused rubbish, the dynamo and every radio set, the earphones and speakers. If we hadn’t had the steam tractor, we wouldn’t have gotten over to the Secondary Camp. 
“Van Wall flew in from Big Magnet at sun­up, as you know. We came home as soon as possible. That is the history of ­— that.” McReady’s great bronze beard gestured toward the thing on the table.

CHAPTER II

BLAIR stirred uneasily, his little bony fingers wriggling under the harsh light. Little brown freckles on his knuckles slid back and forth as the tendons under the skin twitched. He pulled aside a bit of the tarpaulin and looked impatiently at the dark icebound thing inside. 
McReady’s big body straightened somewhat. He’d ridden the rocking, jarring steam tractor forty miles that day, pushing on to Big Magnet here. Even his calm will had been pressed by the anxiety to mix again with humans. It was lone and quiet out there in Secondary Camp, where a wolf­-wind howled down from the Pole. Wolf-­wind howling in his sleep —­ winds droning and the evil, unspeakable face of that monster leering up as he’d first seen it through clear, blue ice, with a bronze ice-­ax buried in its skull. 
The giant meteorologist spoke again. “The problem is them. Blair wants to examine the thing. Thaw it out and make micro slides of its tissues and so forth. Norris doesn’t believe that is safe, and Blair does. Dr. Copper agrees pretty much with Blair. Norris is a physicist, of course, not a biologist. But he makes a point I think we should all hear. Blair has described the microscopic life­ forms biologists find living, even in this cold an inhospitable place. They freeze every winter, and thaw every summer —­ for three months —­ and live. 
“The point Norris makes is —­ they thaw, and live again. There must have been microscopic life associated with this creature. There is with every living thing we know. And Norris is afraid that we may release a plague —­ some germ disease unknown to Earth —­ if we thaw those microscopic things that have been frozen there for twenty million years. 
“Blair admits that such micro-­life might retain the power of living. Such unorganized things as individual cells can retain life for unknown periods, when solidly frozen. The beast itself is as dead as those frozen mammoths they find in Siberia. Organized, highly developed life­forms can’t stand that treatment. 
“But micro-life could. Norris suggests that we may release some disease form that man, never having met it before, will be utterly defenseless against. 
“Blair’s answer is that there may be such still living germs, but that Norris has the case reversed. They are utterly non-immune to man. Our life chemistry probably ­— ” 
“Probably!” The little biologist’s head lifted in a quick, birdlike motion. The halo of gray hair about his bald head ruffled as though angry. “Heh. One look ­— ” 
“I know,” McReady acknowledged. “The thing is not Earthly. It does not seem likely that it can have a life-chemistry sufficiently like ours to make cross-­infection remotely possible. I would say that there is no danger.” 
McReady looked toward Dr. Copper. The physician shook his head slowly. “None whatever,” he asserted confidently. “Man cannot infect or be infected by germs that live in such comparatively close relatives as the snakes. And they are, I assure you,” his clean-­shaven face grimaced uneasily, “much nearer to us than ­— that.”

VANCE NORRIS moved angrily. He was comparatively short in this gathering of big men, some five­ feet eight, and his stocky, powerful build tended to make him seem shorter. His black hair was crisp and hard, like short, steel wires, and his eyes were the gray of fractured steel. If McReady was a man of bronze, Norris was all steel. His movements, his thoughts, his whole bearing had the quick, hard impulse of steel spring. His nerves were steel ­— hard, quick­-acting —­ swift corroding. 
He was decided on his point now, and he lashed out in its defense with a characteristic quick, clipped flow of words. “Different chemistry be damned. That thing may be dead­ — or, by God, it may not —­ but I don’t like it. Damn it, Blair, let them see the foul thing and decide for themselves whether they want that thing thawed out in this camp. 
“Thawed out, by the way. That’s got to be thawed out in one of the shacks tonight, if it is thawed out. Somebody —­ who’s watchman tonight? Magnetic —­ oh, Connant. Cosmic rays tonight. Well, you get to sit up with that twenty-­million­ year-old mummy of his. 
“Unwrap it, Blair. How the hell can they tell what they are buying if they can’t see it? It may have a different chemistry. I don’t know what else it has, but I know it has something I don’t want. If you can judge by the look on its face ­— it isn’t human so maybe you can’t —­ it was annoyed when it froze. Annoyed, in fact, is just about as close an approximation of the way it felt as crazy, mad, insane hatred. Neither one touches the subject. 
“How the hell can these birds tell what they are voting on? They haven’t seen those three red eyes, and the blue hair like crawling worms. Crawling —­ damn, it’s crawling there in the ice right now! 
“Nothing Earth ever spawned had the unutterable sublimation of devastating wrath that thing let loose in its face when it looked around this frozen desolation twenty million years ago. Mad? It was mad clear through —­ searing, blistering mad! 
“Hell, I’ve had bad dreams ever since I looked at those three red eyes. Nightmares. Dreaming the thing thawed out and came to life —­ that it wasn’t dead, or even wholly unconscious all those twenty million years, but just slowed, waiting ­— waiting. You’ll dream, too, while that damned thing that Earth wouldn’t own is dripping, dripping in the Cosmos House tonight. 
“And, Connant,” Norris whipped toward the cosmic ray specialist, “won’t you have fun sitting up all night in the quiet. Wind whining above —­ and that thing dripping ­— ” He stopped for a moment, and looked around. 
“I know. That’s not science. But this is, it’s psychology. You’ll have nightmares for a year to come. Every night since I looked at that thing I’ve had ’em., That’s why I hate it —­ sure I do ­ and don’t want it around. Put it back where it came from and let it freeze for another twenty million years. I had some swell nightmares ­ that it wasn’t made like we are ­ which is obvious ­ but of a different kind of flesh that it can really control. That it can change its shape, and look like a man ­— and wait to kill and eat —­ 
“That’s not a logical argument. I know it isn’t. The thing isn’t Earth ­logic anyway. 
“Maybe it has an alien body ­chemistry, and maybe its bugs do have a different body­ chemistry. A germ might not stand that, but, Blair and Copper, how about a virus? That’s just an enzyme molecule, you’ve said. That wouldn’t need anything but a protein molecule of any body to work on. 
“And how are you so sure that, of the million varieties of microscopic life it may have, none of them are dangerous? How about diseases like hydrophobia ­— rabies ­— that attacks any warm­ blooded creature, whatever its body­ chemistry may be? And parrot fever? Have you a body like a parrot, Blair? And plain rot —­ gangrene —­ necrosis, do you want? That isn’t choosy about body­ chemistry! ”

BLAIR LOOKED up from his puttering long enough to meet Norris’ angry gray eyes for an instant. “So far the only thing you have said this thing gave off that was catching was dreams. I’ll go so far as to admit that.” An impish, slightly malignant grin crossed the little man’s seamed face. “I had some, too. So. It’s dream-­infectious. No doubt an exceedingly dangerous malady. 
“So far as your other things go, you have a badly mistaken idea about viruses. In the first place, nobody has shown that the enzyme­ molecule theory, and that alone, explains them. And in the second place, when you catch tobacco mosaic or wheat rust, let me know. A wheat plant is a lot nearer your body­ chemistry than this other­world creature is. 
“And your rabies is limited, strictly limited. You can’t get it from, nor give it to, a wheat plant or a fish ­ which is a collateral descendant of a common ancestor of yours. Which this, Norris, is not.” Blair nodded pleasantly toward the tarpaulined bulk on the table. 
“Well, thaw the damned thing in a tub of formalin if you must thaw it. I’ve suggested that ­— ” 
“And I’ve said there would be no sense in it. You can’t compromise. Why did you and Commander Garry come down here to study magnetism? Why weren’t you content to stay at home? There’s magnetic force enough in New York. I could no more study the life this thing once had from a formalin­-pickled sample than you could get the information you wanted back in New York. And ­ if this one is so treated, never in all time to come can there be a duplicate! The race it came from must have passed away in the twenty millions years it lay frozen, so that even if it came from Mars then, we’d never find its like. And —­ the ship is gone. 
“There’s only one way to do this ­ and that is the best possible way. It must be thawed slowly, carefully, and not in formalin.” 
Commander Garry stood forward again, and Norris stepped back muttering angrily. “I think Blair is right, gentlemen. What do you say?” 
Connant grunted. “It sounds right to us, I think ­— only perhaps he ought to stand watch over it while it’s thawing.” He grinned ruefully, brushing a stray lock of ripe-cherry hair back from his forehead. “Swell idea, in fact ­— if he sits up with his jolly little corpse.” 
Garry smiled slightly. A general chuckle of agreement rippled over the group. “I should think any ghost it may have had would have starved to death if it hung around here that long, Connant,” Garry suggested. “And you look capable of taking care of it. ’Ironman’ Connant ought to be able to take out any opposing players, still.” 
Connant shook himself uneasily. “I’m not worrying about ghosts. Let’s see that thing. I ­— ” 
Eagerly Blair was stripping back the ropes. A single throw of the tarpaulin revealed the thing. The ice had melted somewhat in the heat of the room and it was clear and blue as thick, good glass. It shone wet and sleek under the harsh light of the unshielded globe above. 
The room stiffened abruptly. It was face up there on the plain, greasy planks of the table. The broken half of the bronze ice­-ax was still buried in the queer skull. Three mad, hate­-filled eyes blazed up with a living fire, bright as fresh-­spilled blood. from a face ringed with a writhing, loathsome nest of worms, blue, mobile worms that crawled where hair should grow —
Van Wall, six feet and 200 pounds of ice-­nerved pilot, gave a queer, strangled gasp and butted, stumbled his way out to the corridor. Half the company broke for the doors. The others stumbled away from the table. 
McReady stood at one end of the table watching them, his great body planted solid on his powerful legs. Norris from the opposite end glowered at the thing with smoldering heat. Outside the door, Garry was talking with half a dozen of the men at once. 
Blair had a tack hammer. The ice that cased the thing schluffed crisply under its steel claw as it peeled from the thing it had cased for twenty thousand thousand years —­

CHAPTER III

I KNOW you don’t like the thing, Connant, but it just has to be thawed out right. You say leave it as it is till we get back to civilization. All right, I’ll admit your argument that we could do a better and more complete job there is sound. But ­— how are we going to get this across the Line? We have to take this through one temperate zone, the equatorial zone, and half way through the other temperate zone before we get it to New York. You don’t want to sit with it one night, but you suggest, then, that I hang its corpse in the freezer with the beef?” Blair looked up from his cautious chipping, his bald, freckled skull nodding triumphantly. 
Kinner, the stocky, scar­-faced cook, saved Connant the trouble of answering. “Hey, you listen, mister. You put that thing in the box with the meat, and by all the gods there ever were, I’ll put you in to keep it company. You birds have brought everything movable in this camp in onto my mess tables here already, and I had to stand for that. But you go putting things like that in my meat box or even my meat cache here, and you cook your own damn grub.” 
“But, Kinner, this is the only table in Big Magnet that’s big enough to work on,” Blair objected. “Everybody’s explained that.” 
“Yeah, and everybody’s brought everything in here. Clark brings his dogs every time there’s a fight and sews them up on that table. Ralsen brings in his sledges. Hell, the only thing you haven’t had on that table is the Boeing. And you’d ’a’ had that in if you coulda figured a way to get it through the tunnels.’ 
Commander Garry chuckled and grinned at Van Wall, the huge Chief Pilot. Van Wall’s great blond beard twitched suspiciously as he nodded gravely to Kinner. “You’re right, Kinner. The aviation department is the only one that treats you right.” 
“It does get crowded, Kinner,” Garry acknowledged. “But I’m afraid we all find it that way at times. Not much privacy in an Antarctic camp.” 
“Privacy? What the hell’s that? You know, the thing that really made me weep, was when I saw Barclay marchin’ through here chantin’ ’The last lumber in the camp! The last lumber in the camp!’ and carryin’ it out to build that house on his tractor. Damn it, I missed that moon cut in the door he carried out more’n I missed the sun when it set. That wasn’t just the last lumber Barclay was walkin’ off with. He was carryin’ off the last bit of privacy in this blasted place.” 
A grin rode even on Connant’s heavy face as Kinner’s perennial good­natured grouch came up again. But it died away quickly as his dark, deep-­set eyes turned again to the red-­eyed thing Blair was chipping from its cocoon of ice. A big hand ruffed his shoulder-­length hair, and tugged at a twisted lock that fell behind his ear in a familiar gesture. “I know that cosmic ray shack’s going to be too crowded if I have to sit up with that thing,” he growled. “Why can’t you go on chipping the ice away from around it ­— you can do that without anybody butting in, I assure you —­ and then hang the thing up over the power ­plant boiler? That’s warm enough. It’ll thaw out a chicken, even a whole side of beef, in a few hours.” 
“I know.” Blair protested, dropping the tack hammer to gesture more effectively with his bony, freckled fingers, his small body tense with eagerness, “but this is too important to take any chances. There never was a find like this; there never can be again. It’s the only chance men will ever have, and it has to be done exactly right.

“LOOK, you know how the fish we caught down near the Ross Sea would freeze almost as soon as we got them on deck, and come to life again if we thawed them gently? Low forms of life aren’t killed by quick freezing and slow thawing. We have —­ ” 
“Hey, for the love of Heaven ­ you mean that damned thing will come to life!” Connant yelled. “You get the damned thing —­ Let me at it! That’s going to be in so many pieces —­ ” 
“NO! No, you fool —­ ” Blair jumped in front of Connant to protect his precious find. “No. Just low forms of life. For Pete’s sake let me finish. You can’t thaw higher forms of life and have them come to. Wait a moment now —­ hold it! A fish can come to after freezing because it’s so low a form of life that the individual cells of its body can revive, and that alone is enough to re­establish life. Any higher forms thawed out that way are dead. Though the individual cells revive, they die because there must be organization and cooperative effort to live. That cooperation cannot be re­ established. There is a sort of potential life in any uninjured, quick-­frozen animal. But it can’t ­— can’t under any circumstances ­— become active life in higher animals. The higher animals are too complex, too delicate. This is an intelligent creature as high in its evolution as we are in ours. Perhaps higher. It is as dead as a frozen man would be.” 
“How do you know?” demanded Connant, hefting the ice-ax he had seized a moment before. 
Commander Garry laid a restraining hand on his heavy shoulder. “Wait a minute, Connant. I want to get this straight. I agree that there is going to be no thawing of this thing if there is the remotest chance of its revival. I quite agree it is much too unpleasant to have alive, but I had no idea there was the remotest possibility.” 
Dr. Copper pulled his pipe from between his teeth and heaved his stocky, dark body from the bunk he had been sitting in. “Blair’s being technical. That’s dead. As dead as the mammoths they find frozen in Siberia. Potential life is like atomic energy —­ there, but nobody can get it out, and it certainly won’t release itself except in rare cases, as rare as radium in the chemical analogy. We have all sorts of proof that things don’t live after being frozen —­ not even fish, generally speaking ­— and no proof that higher animal life can under any circumstances. What’s the point, Blair?” 
The little biologist shook himself. The little ruff of hair standing out around his bald pate waved in righteous anger. “The point is,” he said in an injured tone, ’that the individual cells might show the characteristics they had in life, if it is properly thawed. A man’s muscle cells live many hours after he has died. Just because they live, and a few things like hair and fingernail cells still live, you wouldn’t accuse a corpse of being a Zombie, or something. 
“Now if I thaw this right, I may have a chance to determine what sort of world it’s native to. We don’t, and can’t know by any other means, whether it came from Earth or Mars or Venus or from beyond the stars. 
“And just because it looks unlike men, you don’t have to accuse it of being evil, or vicious or something. Maybe that expression on its face is its equivalent to a resignation to fate. White is the color of mourning to the Chinese. If men can have different customs, why can’t a so-different race have different understandings of facial expressions?”

CONNANT laughed softly, mirthlessly. “Peaceful resignation! If that is the best it could do in the way of resignation, I should exceedingly dislike seeing it when it was looking mad. That face was never designed to express peace. It just didn’t have any philosophical thoughts like peace in its make­up. 
“I know it’s your pet ­— but be sane about it. The thing grew up on evil, adolesced slowly roasting alive the local equivalent of kittens, and amused itself through maturity on new and ingenious torture. ” 
“You haven’t the slight right to say that,” snapped Blair. “How do you know the first thing about the meaning of a facial expression inherently inhuman! It may well have no human equivalent whatever. That is just a different development of Nature, another example of Nature’s wonderful adaptability. Growing on another, perhaps harsher world, it has different form and features. But it is just as much a legitimate child of Nature as you are. You are displaying the childish human weakness of hating the different. On its own world it would probably class you as a fish-belly, white monstrosity with an insufficient number of eyes and a fungoid body pale and bloated with gas. 
“Just because its nature is different, you haven’t any right to say it’s necessarily evil.” 
Norris burst out a single, explosive, “Haw!” He looked down at the thing. “May be that things from other worlds don’t have to be evil just because they’re different. But that thing was! Child of Nature, eh? Well, it was a hell of an evil Nature.” 
“Aw, will you mugs cut crabbing at each other and get the damned thing off my table?” Kinner growled. “And put a canvas over it. It looks indecent.” 
“Kinner’s gone modest,” jeered Connant. 
Kinner slanted his eyes up to the big physicist. The scarred cheek twisted to join the line of his tight lips in a twisted grin. “All right, big boy, and what were you grousing about a minute ago? We can set the thing in a chair next to you tonight, if you want. ” 
“I’m not afraid of its face,” Connant snapped. “I don’t like keeping awake over its corpse particularly, but I’m going to do it.” 
Kinner’s grin spread. “Uh-huh” He went off to the galley stove and shook down ashes vigorously, drowning the brittle chipping of the ice as Blair fell to work again.

CHAPTER IV

“CLUCK,” reported the cosmic ray counter, cluck-­brrrp­-cluck.” Connant started and dropped his pencil. 
“Damnation.” The physicist looked toward the far corner, back at the Geiger counter on the table near that comer, and crawled under the desk at which he had been working to retrieve the pencil. He sat down at his work again, trying to make his writing more even. It tended to have jerks and quavers in it, in time with the abrupt proud­-hen noises of the Geiger counter. The muted whoosh of the pressure lamp he was using for illumination, the mingled gargles and bugle calls of a dozen men sleeping down the corridor in Paradise House formed the background sounds for the irregular, clucking noises of the counter, the occasional rustle of falling coal in the copper­-bellied stove. And a soft, steady drip­-drip­-drip from the thing in the corner. 
Connant jerked a pack of cigarettes from his pocket, snapped it so that a cigarette protruded and jabbed the cylinder into his mouth. The lighter failed to function, and he pawed angrily through the pile of papers in search of a match. He scratched the wheel of the lighter several times, dropped it with a curse and got up to pluck a hot coal from the stove with the coal tongs. 
The lighter functioned instantly when he tried it on returning to the desk. The counter ripped out a series of chucking guffaws as a burst of cosmic rays struck through to it. Connant turned to glower at it, and tried to concentrate on the interpretation of data collected during the past week. The weekly summary ­— 
He gave up and yielded to curiosity, or nervousness. He lifted the pressure lamp from the desk and carried it over to the table in the corner. Then he returned to the stove and picked up the coal tongs. The beast had been thawing for nearly 18 hours now. He poked at it with an unconscious caution; the flesh was no longer hard as armor plate, but had assumed a rubbery texture. It looked like wet, blue rubber glistening under droplets of water like little round jewels in the glare of the gasoline pressure lantern. Connant felt an unreasoning desire to pour the contents of the lamp’s reservoir over the thing in its box and drop the cigarette into it. The three red eyes glared up at him sightlessly, the ruby eyeballs reflecting murky, smoky rays of light. 
He realized vaguely that he had been looking at them for a very long time, even vaguely understood that they were no longer sightless. But it did not seem of importance, of no more importance than the labored, slow motion of the tentacular things that sprouted from the base of the scrawny, slowly pulsing neck. 
Connant picked up the pressure lamp and returned to his chair. He sat down, staring at the pages of mathematics before him. The clucking of the counter was strangely less disturbing, the rustle of the coals in the stove no longer distracting. 
The creak of the floorboards behind him didn’t interrupt his thoughts as he went about his weekly report in an automatic manner, filing in columns of data and making brief, summarizing notes. 
The creak of the floorboard sounded nearer.

CHAPTER V

BLAIR came up from the nightmare­-haunted depths of sleep abruptly. Connant’s face floated vaguely above him; for a moment it seemed a continuance of the wild horror of the dream. But Connant’s face was angry, and a little frightened. “Blair —­ Blair you damned log, wake up.” 
“Uh-eh?” the little biologist rubbed his eyes, his bony, freckled fingers crooked to a mutilated child-fist From surrounding bunks other faces lifted to stare down at them. 
Connant straightened up. “Get up ­— and get a lift on. Your damned animal’s escaped.” 
“Escaped —­ what! ” Chief Pilot Van Walls’s bull voice roared out with a volume that shook the walls. Down the communication tunnels other voices yelled suddenly. The dozen inhabitants of Paradise House tumbled in abruptly, Barclay, stocky and bulbous in long woollen underwear, carrying a fire extinguisher. 
“What the hell’s the matter?” Barclay demanded. 
“Your damned beast got loose. I fell asleep about twenty minutes ago, and when I woke up, the thing was gone. Hey, Doc, the hell you say those things can’t come to life. Blair’s blasted potential life developed a hell of a lot of potential and walked out on us.’ 
Copper stared blankly. “It wasn’t ­— Earthly,” he sighed suddenly. “I ­— I guess Earthly laws don’t apply.” 
“Well, it applied for leave of absence and took it. We’ve got to find it and capture it somehow.” Connant swore bitterly, his deep-­set black eyes sullen and angry. “It’s a wonder the hellish creature didn’t eat me in my sleep.” 
Blair stared back, his pale eyes suddenly fear-struck. “Maybe it did ­— er —­ uh —­ we’ll have to find it. 
“You find it. It’s your pet. I’ve had all I want to do with it, sitting there for seven hours with the counter clucking every few seconds, and you birds in here singing night ­music. It’s a wonder I got to sleep. I’m going through to the Ad Building.” 
Commander Garry ducked through the doorway, pulling his belt tight. “You won’t have to. Van’s roar sounded like the Boeing taking off down wind. So it wasn’t dead?” 
“I didn’t carry it off in my arms, I assure you,” Connant snapped. “The last I saw, that split skull was oozing green goo, like a squashed caterpillar. Doc just said our laws don’t work —­ it’s unearthly. Well, it’s an unearthly monster, with an unearthly disposition, judging by the face, wandering around with a split skull and brains oozing out.” 
Norris and McReady appeared in the doorway, a doorway filling with other shivering men. “Has anybody seen it coming over here?” Norris asked innocently. “About four feet tall —­ three red eyes ­ brains oozing —­ Hey, has anybody checked to make sure this isn’t a cracked idea of humor? If it is, I think we’ll unite in tying Blair’s pet around Connant’s neck like the ancient Mariner’s albatross. 
“It’s no humor,” Connant shivered. “Lord, I wish it were. I’d rather wear ­—” He stopped. A wild, weird howl shrieked through the corridors. The men stiffened abruptly, and half turned.

“I THINK it’s been located,” Connant finished. His dark eyes shifted with a queer unease. He darted back to his bunk in Paradise house, to return almost immediately with a heavy .45 revolver and an ice-ax He hefted both gently as he started for the corridor toward Dogtown. “It blundered down the wrong corridor ­— and landed among the huskies. Listen ­ the dogs have broken their chains —­ ” 
The half­-terrorized howl of the dog pack changed to a wild hunting melee. The voices of the dogs thundered in the narrow corridors, and through them came a low rippling snarl of distilled hate. A shrill of pain, a dozen snarling yelps. 
Connant broke for the door. Close behind him, McReady, then Barclay and Commander Garry came. Other men broke for the Ad Building, and weapons —­ the sledge house. Pomroy, in charge of Big Magnet’s five cows, started down the corridor in the opposite direction ­ he had a six-­foot­ handled, long­-tined pitchfork in mind. 
Barclay slid to a halt, as McReady’s giant bulk turned abruptly away from the tunnel leading to Dogtown, and vanished off at an angle. Uncertainly, the mechanician wavered a moment, the fire­ extinguisher in his hands, hesitating from one side to the other. Then he was racing after Connant’s broad back. Whatever McReady had in mind, he could be trusted to make it work. 
Connant stopped at the bend in the corridor. His breath hissed suddenly through his throat. “Great God —­ ” The revolver exploded thunderously; three numbing, palpable waves of sound crashed through the confined corridors. Two more. The revolver dropped to the hard­-packed snow of the trail, and Barclay saw the ice­-ax shift into defensive position. Connant’s powerful body blocked his vision, but beyond he heard something mewing, and, insanely, chuckling. The dogs were quieter; there was a deadly seriousness in their low snarls. Taloned feet scratched at hard-­packed snow, broken chains were clinking and tangling. 
Connant shifted abruptly, and Barclay could see what lay beyond. For a second he stood frozen, then his breath went out in a gusty curse. The Thing launched itself at Connant, the powerful arms of the man swung the ice­-ax flat side first at what might have been a hand. It scrunched horribly, and the tattered flesh, ripped by a half­-dozen savage huskies, leapt to its feet again. The red eyes blazed with an unearthy hatred, an unearthly, unkillable vitality. 
Barclay turned the fire extinguisher on it; the blinding, blistering stream of chemical spray confused it, baffled it, together with the savage attacks of the huskies, not for long afraid of anything that did, or could live, held it at bay. 
McReady wedged men out of his way and drove down the narrow corridor packed with men unable to reach the scene. There was a sure fore-planned drive to McReady’s attack. One of the giant blow-torches used in warming the plane’s engines was in his bronzed hands. It roared gustily as he turned the corner and opened the valve. The mad mewing hissed louder. The dogs scrambled back from the three-­foot lance of blue-­hot flame. 
“Bar, get a power cable, run it in somehow. And a handle. We can electrocute this ­— monster, if I don’t incinerate it.” McReady spoke with an authority of planned action. Barclay turned down the long corridor to the power plant, but already before him Norris and Van Wall were racing down.

BARCLAY found the cable in the electrical cache in the tunnel wall. In a half minute he was hacking at it, walking back. Van Wall’s voice rang out in a warning shout of “Power!” as the emergency gasoline­-powered dynamo thudded into action. Half a dozen other men were down there now; the coal, kindling were going into the firebox of the steam power plant. Norris, cursing in a low, deadly monotone, was working with quick, sure fingers on the other end of Barclay’s cable, splicing in a contactor in one of the power leads. 
The dogs had fallen back when Barclay reached the corridor bend, fallen back before a furious monstrosity that glared from baleful red eyes, mewing in trapped hatred. The dogs were a semi­ circle of red-­dipped muzzles with a fringe of glistening white teeth, whining with a vicious eagerness that near matched the fury of the red eyes. McReady stood confidently alert at the corridor bend, the gustily muttering torch. held loose and ready for action in his hands. He stepped aside without moving his eyes from the beast as Barclay came up. There was a slight, tight smile on his lean, bronzed face. 
Norris’ voice called down the corridor, and Barclay stepped forward. The cable was taped to the long handle of a snow ­shovel, the two conductors split, and held 18 inches apart by a scrap of lumber lashed at right angles across the far end of the handle. Bare copper conductors, charged with 220 volts, glinted in the light of pressure lamps. The Thing mewed and halted and dodged. McReady advanced to Barclay’s side. The dogs beyond sensed the plan with the almost­ telepathic intelligence of trained huskies. Their whimpering grew shriller, softer, their mincing steps carried them nearer. Abruptly a huge, night-black Alaskan leapt onto the trapped thing. It turned squalling, saber-­clawed feet slashing. 
Barclay leapt forward and jabbed. A weird, shrill scream rose and choked out. The smell of burnt flesh in the corridor intensified; greasy smoke curled up. The echoing pound of the gas-­electric dynamo down the corridor became a slogging thud. 
The red eyes clouded over in a stiffening, jerking travesty of a face. Arm-like, leg-like members quivered and jerked. The dogs leapt forward, and Barclay yanked back his shovel­-handled weapon. The thing on the snow did not move as gleaming teeth ripped it open.

CHAPTER VI

GARRY looked about the crowded room. Thirty-­two men, some tensed nervously standing against the wall, some uneasily relaxed, some sitting, most perforce standing, as intimate as sardines. Thirty-­two, plus the five engaged in sewing up wounded dogs, made thirty­ seven, the total personnel. 
Garry started speaking. “All right, I guess we’re here. Some of you —­ three or four at most ­— saw what happened. All of you have seen that thing on the table, and can get a general idea. Anyone hasn’t, I’ll lift – ” His hand strayed to the tarpaulin bulking over the thing on the table. There was an acrid odor of singed flesh seeping out of it. The men, stirred restlessly, hasty denials. 
“It looks rather as though Charnauk isn’t going to lead any more teams,” Garry went on. “Blair wants to get at this thing, and make some more detailed examination. We want to know what happened, and make sure right now that this is permanently, totally dead. Right?” 
Connant grinned. “Anybody that doesn’t agree can sit up with it tonight.” 
“All right then, Blair, what can you say about it? What was it?” Garry turned to the little biologist. 
“I wonder if we ever saw its natural form. ” Blair looked at the covered mass. “It may have been imitating the beings that built that ship ­— but I don’t think it was. I think that was its true form. Those of us who were up near the bend saw the thing in action; the thing on the table is the result. When it got loose, apparently, it started looking around. Antarctica still frozen as it was ages ago when the creature first saw it ­— and froze. From my observations while it was thawing out, and the bits of tissue I cut and hardened then, I think it was native to a hotter planet than Earth. It couldn’t, in its natural form, stand the temperature. There is no life­ form on Earth that can live in Antarctica during the winter, but the best compromise is the dog. It found the dogs, and somehow got near enough to Charnauk to get him. The others smelled it ­— heard it —­ I don’t know ­ anyway they went wild, and broke chains, and attacked it before it was finished. The thing we found was part Charnauk, queerly only half­ dead, part Charnauk half­-digested by the jellylike protoplasm of that creature, and part the remains of the thing we originally found, sort of melted down to the basic protoplasm. 
“When the dogs attacked it, it turned ­ into the best fighting thing it could think of. Some other­ world beast apparently.” 
“Turned,” snapped Garry. “How?” 
“Every living thing is made up of jelly —­ protoplasm and minute, submicroscopic things called nuclei, which control the bulk, the protoplasm. This thing was just a modification of that same worldwide plan of Nature; cells made up of protoplasm, controlled by infinitely tinier nuclei. You physicists might compare it —­ an individual cell of any living thing —­ with an atom; the bulk of the atom, the space-­filling part, is made up of the electron orbits, but the character of the thing is determined by the atomic nucleus. 
“This isn’t wildly beyond what we already know. It’s just a modification we haven’t seen before. It’s as natural, as logical, as any other manifestation of life. It obeys exactly the same laws. The cells are made of protoplasm, their character determined by the nucleus.

“ONLY in this creature, the cell ­nuclei can control those cells at will. It digested Charnauk, and as it digested, studied every cell of his tissue, and shaped its own cells to imitate them exactly. Parts of it ­— parts that had time to finish changing —­ are dog-­cells. But they don’t have dog-­cell nuclei.” Blair lifted a fraction of the tarpaulin. A torn dog’s leg with stiff gray fur protruded. “That, for instance, isn’t dog at all; it’s imitation. Some parts I’m certain about; the nucleus was hiding itself, 
covering up with dog­-cell imitation nucleus. In time, not even a microscope would have shown the difference.” 
“Suppose,” asked Norris bitterly, “it had had lots of time?” 
“Then it would have been a dog. The other dogs would have accepted it. We would have accepted it. I don’t think anything would have distinguished it, not microscope, nor X­ray, nor any other means. This is a member of a supremely intelligent race, a race that has learned the deepest secrets of biology, and turned them to its use.” 
“What was it planning to do?” Barclay looked at the humped tarpaulin. 
Blair grinned unpleasantly. The wavering halo of thin hair round his bald pate wavered in the stir of air. “Take over the world, I imagine.” 
“Take over the world! Just it, all by itself?” Connant gasped. “Set itself up as a lone dictator?” 
“No,” Blair shook his head. The scalpel he had been fumbling in his bony fingers dropped; he bent to pick it up, so that his face was hidden as he spoke. “It would become the population of the world.” 
“Become ­— populate the world? Does it reproduce asexually?” 
Blair shook his head and gulped. “It’s —­ it doesn’t have to. It weighed 85 pounds. Charnauk weighed about 90. It would have become Charnauk, and had 85 pounds left, to become —­ oh, Jack for instance, or Chinook. It can imitate anything ­— that is, become anything. If it had reached the Antarctic Sea, it would have become a seal, maybe two seals. They might have attacked a killer whale, and become either killers, or a herd of seals. Or maybe it would have caught an albatross, or a skua gull, and flown to South America.” 
Norris cursed softly. “And every time, it digested something, and imitated it —­ ” 
“It would have had its original bulk left, to start again,” Blair finished. “Nothing would kill it. It has no natural enemies, because it becomes whatever it wants to. If a killer whale attacked, it would become a killer whale. If it was an albatross, and an eagle attacked it, it would become an eagle. Lord, it might become a female eagle. Go back —­ build a nest and lay eggs!” 
“Are you sure that thing from hell is dead?” Dr. Copper asked softly. 
“Yes, thank Heaven,” the little biologist gasped. “After they drove the dogs off, I stood there poking Bar’s electrocution thing into it for five minutes. It’s dead and —­ cooked.” 
“Then we can only give thanks that this is Antarctica, where there is not one, single, solitary, living thing for it to imitate, except these animals in camp.” 
“Us,” Blair giggled. “It can imitate us. Dogs can’t make 400 miles to the sea; there’s no food. There aren’t any skua gulls to imitate at this season. There aren’t any Penguins this far inland. There’s nothing that can reach the sea from this point ­ except us. We’ve got the brains. We can do it. Don’t you see —­ it’s got to imitate us —­ it’s got to be one of us ­— that’s the only way it can fly an airplane — fly a plane for two hours, and rule — ­be —­ all Earth’s inhabitants. A world for the taking —­ if it imitates us!
“It didn’t know yet. It hadn’t had a chance to learn. It was rushed ­— hurried ­— look the thing nearest its own size. Look ­— I’m Pandora! I opened the box! And the only hope that can come out is ­— that nothing can come out. You didn’t see me. I did It. I fixed it I smashed every magneto. Not a plane can fly. Nothing can fly.” Blair giggled and lay down on the floor crying.

CHIEF PILOT Van Wall made a dive for the door. His feet were fading echoes in the corridors as Dr. Copper bent unhurriedly over the little man on the floor. From his office at the end of the room he brought something, and injected a solution into Blair’s arm. “He might come out of it when he wakes up,” he sighed rising. McReady helped him lift the biologist onto a near­by bunk. “It all depends on whether we can convince him that thing is dead.” 
Van Wall ducked into the shack brushing his heavy blond beard absently. “I didn’t think a biologist would do a thing like that up thoroughly. He missed the spares in the second cache. It’s all right. I smashed them.” 
Commander Garry nodded. “I was wondering about the radio.” 
Dr. Copper snorted. “You don’t think it can leak out on a radio wave, do you? You’d have five rescue attempts in the next three months if you stop the broadcasts. The thing to do is talk loud and not make a sound. Now I wonder —­ ” 
McReady looked speculatively at the doctor. “It might be like an infectious disease. Everything that drank, any of its blood —­ ” 
Copper shook his head. “Blair missed something. Imitate it may, but it has, to a certain extent, its own body­ chemistry, its own metabolism. If it didn’t it would become a dog ­— and be a dog and nothing more. It has to be an imitation dog. Therefore you can detect it by serum tests. And its chemistry, since it comes from another world. Must be so wholly, radically different that a few cells, such as gained by drops of blood, would be treated as disease germs by the dog, or human body.” 
“Blood —­ would one of those imitations bleed?” Norris demanded. 
“Surely. Nothing mystic about blood. Muscle is about 90 per cent water; blood differs only in having­ a­ couple per cent more water, and less connective tissue. They’d bleed all right,” Copper assured him. 
Blair sat up in his bunk suddenly. “Connant ­— where’s Connant?” 
The physicist moved over toward the little biologist. “Here I am. What do you want?” 
“Are You?” giggled Blair. He lapsed back into the bunk contorted with silent laughter. 
Connant looked at him blankly “Huh? Am I what?” 
Are you there?” Blair burst into gales of laughter. “Are you Connant? The beast wanted to be a man —­ not a dog —”

CHAPTER VII

DR. COPPER rose wearily from the bunk, and washed the hypodermic carefully. The little tinkles it made seemed loud in the packed room, now that Blair’s gurgling laughter had finally quieted. 
Copper looked toward Garry and shook his head slowly. “Hopeless, I’m afraid. I don’t think we can ever convince him the thing is dead now.” 
Norris laughed uncertainly. “I’m not sure you can convince me. Oh, damn you, McReady. ” 
“McReady?” Commander Garry turned to look from Norris to McReady curiously. 
“The nightmares,” Norris explained. “He had a theory about the nightmares we had at the Secondary Station after finding that thing.” 
“And that was?” Garry looked at McReady levelly. 
Norris answered for him, jerkily, uneasily. “That the creature wasn’t dead, had a sort of enormously slowed existence, an existence that permitted it, none the less, to be vaguely aware of the passing of time, of our coming, after endless years. I had a dream it could imitate things.” 
“Well,” Copper grunted, “it can.” 
“Don’t be an ass,” Norris snapped. “That’s not what’s bothering me. In the dream it could read minds, read thoughts and ideas and mannerisms.” 
“What’s so bad about that? It seems to be worrying you more than the thought of the joy we’re going to have with a mad man in an Antarctic camp.” Copper nodded toward Blair’s sleeping form. 
McReady shook his great head slowly. “You know that Connant is Connant, because he not merely looks like Connant ­— which we’re beginning to believe that beast might be able to do ­ but he thinks like Connant, talks like Connant, moves himself around as Connant does. That takes more than merely a body that looks like him; that takes Connant’s own mind, and thoughts and mannerisms. Therefore, though you know that the thing might make itself look like Connant, you aren’t much bothered, because you know it has a mind from another world, a totally unhuman mind, that couldn’t possibly react and think and talk like a man we know, and do it so well as to fool us for a moment. The idea of the creature imitating one of us is fascinating, but unreal because it is too completely unhuman to deceive us. It doesn’t have a human mind.” 
“As I said before,” Norris repeated, looking steadily at McReady, “you can say the damnedest things at the damnedest times. Will you be so good as to finish that thought —­ one way or the other?” 
Kinner, the scar-­faced expedition cook, had been standing near Connant. Suddenly he moved down the length of the crowded room toward his familiar galley. He shook the ashes from the galley stove noisily. 
“It would do it no good,” said Dr. Copper, softly as though thinking out loud, “to merely look like something it was trying to imitate; it would have to understand its feelings, its reaction. It is unhuman; it has powers of imitation beyond any conception of man. A good actor, by training himself, can imitate another man, another man’s mannerisms, well enough to fool most people. Of course no actor could imitate so perfectly as to deceive men who had been living with the imitated one in the complete lack of privacy of an Antarctic camp. That would take a super­human skill.” 
“Oh, you’ve got the bug too?” Norris cursed softly.

CONNANT, standing alone at one end of the room, looked about him wildly, his face white. A gentle eddying of the men had crowded them slowly down toward the other end of the room, so that he stood quite alone. “My God, will you two Jeremiahs shut up?” Connant’s voice shook. “What am I? Some kind of a microscopic specimen you’re dissecting? Some unpleasant worm you’re discussing in the third person?” 
McReady looked up at him; his slowly twisting hand stopped for a moment. “Having a lovely time. Wish you were here. Signed: Everybody. 
“Connant, if you think you’re having a hell of a time, just move over on the other end for a while. You’ve got one thing we haven’t; you know what the answer is. I’ll tell you this, right now you’re the most feared and respected man in Big Magnet.” 
“Lord, I wish you could see your eyes,” Connant gasped. “Stop staring, will you! What the hell are you going to do?” 
“Have you any suggestions, Dr. Copper?” Commander Garry asked steadily. “The present situation is impossible.” 
“Oh, is it?” Connant snapped. “Come over here and look at that crowd. By Heaven, they look exactly like that gang of huskies around the corridor bend. Benning, will you stop hefting that damned ice­-ax?” 
The coppery blade rang on the floor as the aviation mechanic nervously dropped it. He bent over and picked it up instantly, hefting it slowly, turning it in his hands, his browns eyes moving jerkily about the room. 
Copper sat down on the bunk beside Blair. The wood creaked noisily in the room. Far down a corridor, a dog yelped in pain, and the dog-drivers’ tense voices floated softly back. “Microscopic examination,” said the doctor thoughtfully, “would be useless, as Blair pointed out. Considerable time has passed. However, serum tests would be definitive. 
“Serum tests? What do you mean exactly?” Commander Garry asked. 
“If I had a rabbit that had been injected with human blood ­— a poison to rabbits, of course, as is the blood of any animal save that of another rabbit ­ and the injections continued in increasing doses for some time, the rabbit would be human-­immune. If a small quantity of its blood were drawn off, allowed to separate in a test­-tube, and to the clear serum, a bit of human blood were added, there would be a visible reaction, proving the blood was human. If cow, or dog blood were added —­ or any protein material other than that one thing, human blood —­ no reaction would take place. That would prove definitely.” 
“Can you suggest where I might catch a rabbit for you, Doc?” Norris asked. “That is, nearer than Australia; we don’t want to waste time going that far.” 
“I know there aren’t any rabbits in Antarctica,” Copper nodded, “but that is simply the usual animal. Any animal except man will do. A dog for instance. But it will take several days, and due to the greater size of the animal, considerable blood. Two of us will have to contribute.” 
“Would I do?” Garry asked. 
“That will make two,” Copper nodded. “I’ll get to work on it right away.” 
“What about Connant in the meantime?” Kinner demanded. “I’m going out that door and head off for the Ross Sea before I cook for him.” 
“He may be human ­— ” Copper started. 
Connant burst out in a flood of curses. “Human! May be human, you damned saw bones! What in hell do you think I am?” 
“A monster,” Copper snapped sharply. “Now shut up and listen.” Connant’s face drained of color and he sat down heavily as the indictment was put in words. “Until we know ­— you know as well as we do that we have reason to question the fact, and only you know how that question is to be answered —­ we may reasonably be expected to lock you up. If you are —­ unhuman —­ you’re a lot more dangerous than poor Blair there, and I’m going to see that he’s locked up thoroughly. I expect that his next stage will be a violent desire to kill you, all the dogs, and probably all of us. When he wakes, he will be convinced we’re all unhuman, and nothing on the planet will ever change his conviction. It would be kinder to let him die, but we can’t do that, of course. He’s going in one shack, and you can stay in Cosmos House with your cosmic ray apparatus. Which is about what you’d do anyway. I’ve got to fix up a couple of dogs.” 
Connant nodded bitterly. “I’m human. Hurry that test. Your eyes ­ Lord, I wish you could see your eyes staring —­ ”

COMMANDER Garry watched anxiously as Clark, the dog handler, held the big brown Alaskan husky, while Copper began the injection treatment. The dog was not anxious to cooperate; the needle was painful, and already he’d experienced considerable needle work that morning. Five stitches held closed a slash that ran from his shoulder across the ribs half way down his body. One long fang was broken off short; the missing part was to be found half­-buried in the shoulder bone of the monstrous thing on the table in the Ad Building. 
“How long will that take?” Garry asked, pressing his arm gently. It was sore from the prick of the needle Dr. Copper had used to withdraw blood. 
Copper shrugged. “I don’t know, to be frank. I know the general method, I’ve used it on rabbits. But I haven’t experimented with dogs. They’re big, clumsy animals to work with; naturally rabbits are preferable, and serve ordinarily. In civilized places you can buy a stock of human-immune rabbits from suppliers, and not many investigators take the trouble to prepare their own.” 
“What do they want with them back there?” Clark asked. 
“Criminology is one large field. A says he didn’t murder B, but that the blood on his shirt came from killing a chicken. The State makes a test, then it’s up to A to explain how it is the blood reacts on human-­immune rabbits, but not on chicken-­immunes.” 
“What are we going to do with Blair in the meantime?” Garry asked wearily. “It’s all right to let him sleep where he is for a while, but when he wakes up —­ ” 
“Barclay and Benning are fitting some bolts on the door of Cosmos House,” Copper replied grimly. “Connant’s acting like a gentleman. I think perhaps the way the other men look at him makes him rather want privacy. Lord knows, heretofore we’ve all of us individually prayed for a little privacy. ” 
Clark laughed bitterly. “Not any more, thank you. The more the merrier.” 
“Blair,” Copper went on, “will also have to have privacy —­ and locks. He’s going to have a pretty definite plan in mind when he wakes up. Ever hear the old story of how to stop hoof­-and-­mouth disease in cattle?” 
“If there isn’t any hoof­-and-­mouth disease, there won’t be any hoof­-and­-mouth disease,” Copper explained. “You get rid of it by killing every animal that exhibits it, and every animal that’s been near the diseased animal. Blair’s a biologist, and knows that story. He’s afraid of this thing we loosed. The answer is probably pretty clear in his mind now. Kill everybody and everything in this camp before a skua gull or a wandering albatross coming in with the spring chances out this way and ­— catches the disease.” 
Clark’s lips curled in a twisted grin. “Sounds logical to me. If things get too bad ­ maybe we’d better let Blair get loose. It would save us committing suicide. We might also make something of a vow that if things get bad, we see that that does happen.”

COPPER laughed softly. “The last man alive in Big Magnet ­ wouldn’t be a man,” he pointed out. “Somebody’s got to kill those ­ creatures that don’t desire to kill themselves, you know. We don’t have enough thermite to do it all at once, and the decanite explosive wouldn’t help much. I have an idea that even small pieces of one of those beings would be self-­sufficient.” 
“If,” said Garry thoughtfully, “they can modify their protoplasm at will, won’t they simply modify themselves to birds and fly away? They can read all about birds, and imitate their structure without even meeting them. Or imitate, perhaps, birds of their home planet.” 
Copper shook his head, and helped Clark to free the dog. “Man studied birds for centuries, trying to learn how to make a machine to fly like them. He never did do the trick; his final success came when he broke away entirely and tried new methods. Knowing the general idea, and knowing the detailed structure of wing and bone and nerve­ tissue is something far, far different. And as for otherworld birds, perhaps, in fact very probably, the atmospheric conditions here are so vastly different that their birds couldn’t fly. Perhaps, even, the being came from a planet like Mars with such a thin atmosphere that there were no birds.” 
Barclay came into the building, trailing a length of airplane control cable. “It’s finished, Doc. Cosmo House can’t be opened from the inside. Now where do we put Blair?” 
Copper looked toward Garry. “There wasn’t any biology building. I don’t know where we can isolate him.” 
“How about East Cache?” Garry said after a moment’s thought. “Will Blair be able to look after himself ­— or need attention?” 
“He’ll be capable enough. We’ll be the ones to watch out,” Copper assured him grimly. “Take a stove, a couple of bags of coal, necessary supplies and a few tools to fix it up. Nobody’s been out there since last fall, have they?” 
Garry shook his head. “if he gets noisy —­ I thought that might be a good idea.” 
Barclay hefted the tools he was carrying and looked up at Garry. “if the muttering he’s doing now is any sign, he’s going to sing away the night hours. And we won’t like his song.” 
“What’s he saying?” Copper asked. 
Barclay shook his head. “I didn’t care to listen much. You can if you want to. But I gathered that the blasted idiot had all the dreams McReady had, and a few more. He slept beside the thing when we stopped on the trail coming in from Secondary Magnetic, remember. He dreamt the thing was alive, and dreamt more details. And ­— damn his soul ­— knew it wasn’t all dream, or had reason to. He knew it had telepathic powers that were stirring vaguely, and that it could not only read minds, but project thoughts. They weren’t dreams, you see. They were stray thoughts that thing was broadcasting, the way Blair’s broadcasting his thoughts now ­ a sort of telepathic muttering in its sleep. That’s why he knew so much about its powers. I guess you and I, Doc, weren’t so sensitive ­— if you want to believe in telepathy.” 
“I have to,” Copper sighed. “Dr. Rhine of Duke University has shown that it exists, shown that some are much more sensitive than others.” 
“Well, if you want to learn a lot of details, go listen in on Blair’s broadcast. He’s driven most of the boys out of the Ad Building; Kinner’s rattling pans like coal going down a chute. When he can’t rattle a pan, he shakes ashes. 
“By the way, Commander, what are we going to do this spring, now the planes are out of it?” 
Garry sighed. “I’m afraid our expedition is going to be a loss. We cannot divide our strength now. 
“It won’t be a loss ­— if we continue to live, and come out of this,” Copper promised him. “The find we’ve made, if we can get it under control, is important enough. The cosmic ray data, magnetic work, and atmospheric work won’t be greatly hindered. ” 
GARRY laughed mirthlessly. “I was just thinking of the radio broadcasts. Telling half the world about the wonderful results of our exploration flights, trying to fool men like Byrd and Ellsworth back home there that we’re doing something.” 
Copper nodded gravely. “They’ll know something’s wrong. But men like that have judgment enough to know we wouldn’t do tricks without some sort of reason, and will wait for our return to judge us. I think it comes to this: men who know enough to recognize our deception will wait for our return. Men who haven’t discretion and faith enough to wait will not have the experience to detect any fraud. We know enough of the conditions here to put through a good bluff.” 
“Just so they don’t send ’rescue’ expeditions,” Garry prayed. “When —­ if ­— we’re ever ready to come out, we’ll have to send word to Captain Forsythe to bring a stock of magnetos with him when he comes down. But ­— never mind that.” 
“You mean if we don’t come out?” asked Barclay. “I was wondering if a nice running account of an eruption or an earthquake via radio —­ with a swell windup by using a stick of decanite under the microphone —­ would help. Nothing, of course, will entirely keep people out. One of those swell, melodramatic ’last­-man-alive-scenes’ might make ’em go easy though.” 
Garry smiled with genuine humor. “is everybody in camp trying to figure that out too?” 
Copper laughed. “What do you think, Garry? We’re confident we can win out. But not too easy about it, I guess.” 
Clark grinned up from the dog he was petting into calmness. “Confident, did you say, Doc?”

CHAPTER VIII

BLAIR MOVED restlessly around the small shack. His eyes jerked and quivered in vague, fleeting glances at the four men with him; Barclay, six feet tall and weighing over 190 pounds; McReady, a bronze giant of a man; Dr. Copper, short, squatly powerful; and Benning, five­ feet ­ten of wiry strength. 
Blair was huddled up against the far wall of the East Cache cabin, his gear piled in the middle of the floor beside the heating stove, forming an island between him and the four men. His bony hands clenched and fluttered, terrified. His pale eyes wavered uneasily as his bald, freckled head darted about in birdlike motion. 
“I don’t. want anybody coming here. I’ll cook my own food,” he snapped nervously. “Kinner may be human now, but I don’t believe it. I’m going to get out of here, but I’m not going to eat any food you send me. I want cans. Sealed cans.” 
“O.K., Blair, we’ll bring ’em tonight,” Barclay promised. “You’ve got coal, and the fire’s started. I’ll make a last — ” ­ Barclay started forward. 
Blair instantly scurried to the farthest corner. “Get out! Keep away from me, you monster!” the little biologist shrieked, and tried to claw his way through the wall of the shack. “Keep away from me ­— keep away —­ I won’t be absorbed — ­I won’t be —­ ” 
Barclay relaxed and moved back. Dr. Copper shook his head. “Leave him alone, Bar. It’s easier for him to fix the thing himself. We’ll have to fix the door, I think —­ ” 
The four men let themselves out. Efficiently, Benning and Barclay fell to work. There were no locks in Antarctica; there wasn’t enough privacy to make them needed. But powerful screws had been driven in each side of the door frame, and the spare aviation control cable, immensely strong, woven steel wire, was rapidly caught between them,. and drawn taut. Barclay went to work with a drill and a keyhole saw. Presently he had a trap cut in the door through which goods could be passed without unlashing the entrance. Three powerful hinges from a stock ­crate, two hasps and a pair of three-­inch cotter­-pins made it proof against opening from the other side. 
Blair moved about restlessly inside. He was dragging something over to the door with panting gasps and muttering, frantic curses. Barclay opened the hatch and glanced in, Dr. Copper peering over his shoulder. Blair had moved the heavy bunk against the door. It could not be opened without his cooperation now. 
“Don’t know but what the poor man’s fight at that,” McReady sighed. “If he gets loose, it is his avowed intention to kill each and all of us as quickly as possible, which is something we don’t agree with. But we’ve something on our side of that door that is worse than a homicidal maniac. If one or the other has to get loose, I think I’ll come up and undo those lashings here.” 
Barclay grinned. “You let me know, and I’ll show you how to get these off fast. Let’s go back.” 
The sun was painting the northern horizon in multi­colored rainbows still, though it was two hours below the horizon. The field of drift swept off to the north, sparkling under its flaming colors in a million reflected glories. Low mounds of rounded white on the northern horizon showed the Magnet Range was barely awash above the sweeping drift. Little eddies of wind-­lifted snow swirled away from their skis as they set out toward the main encampment two miles away. The spidery finger of the broadcast radiator lifted a gaunt black needle against the white of the Antarctic continent. The snow under their skies was like fine sand, hard and gritty.

“SPRING,” said Benning bitterly, “is come. Ain’t we got fun! I’ve been looking forward to getting away from this blasted hole in the ice.” 
“I wouldn’t try it now, if I were you.” Barclay grunted. “Guys that set out from here in the next few days are going to be marvelously unpopular.” 
“How is your dog getting along, Dr. Copper?” McReady asked. “Any results yet?” 
“In 30 hours? I wish there were. I gave him an injection of my blood today. But I imagine another five days will be needed. I don’t know certainly enough to stop sooner.” 
“I’ve been wondering ­— if Connant were ­— changed, would he have warned us so soon after the animal escaped? Wouldn’t he have waited long enough for it to have a real chance to fix itself? Unless we woke up naturally?” McReady asked slowly. 
“The thing is selfish. You didn’t think it looked as though it were possessed of a store of the higher justices, did you?” Dr. Copper pointed out. “Every part of it is all of it, every part of it is all for itself, I imagine. If Connant were changed, to save his skin, he’d have to —­ but Connant’s feelings aren’t changed; they’re imitated perfectly, or they’re his own. Naturally, the imitation, imitating perfectly Connant’s feelings, would do exactly what Connant would do.” 
“Say, couldn’t Norris or Van give Connant some kind of a test? If the thing is brighter than men, it might know more physics than Connant should, and they’d catch it out,” Barclay suggested. 
Copper shook his head wearily. “Not if it reads minds. You can’t plan a trap for it. Van suggested that last night. He hoped it would answer some of the questions of physics he’d like to know answers to.” 
“This expedition­-of­-four idea is going to make life happy.” Benning looked at his companions. “Each of us with an eye on the others to make sure he doesn’t do something ­— peculiar. Man, aren’t we going to be a trusting bunch! Each man eyeing his neighbors with the grandest exhibition of faith and trust ­— I’m beginning to know what Connant meant by ’I wish you could see your eyes.’ Every now and then we all have it, I guess. One of you looks around with a sort of ’I­-wonder­-if-­the-­other-­three-are­-look.” Incidentally, I’m not excepting myself.” 
“So far as we know, the animal is dead, with a slight question as to Connant. No other is suspected,” McReady stated slowly. “The ’always ­four’ order is merely a precautionary measure.” 
“I’m waiting for Garry to make it four­-in-­a-­bunk,” Barclay sighed. “I thought I didn’t have any privacy before, but since that order ­— ”

NONE watched more tensely than Connant. A little sterile glass test-­tube, half­-filled with straw­ colored fluid. One­—two—­three—­four—­five drops of the clear solution Dr. Copper had prepared from the drops of blood from Connant’s arm. The tube was shaken carefully, then set in a beaker of clear, warm water. The thermometer read blood heat, a little thermostat clicked noisily, and the electric hotplate began to glow as the lights flickered slightly. 
Then —­ little white flecks of precipitation were forming, snowing down in the clear straw­-colored fluid. “Lord,” said Connant He dropped heavily into a bunk, crying like a baby. “Six days ­— ” Connant sobbed, “six days in there ­ wondering if that damned test would lie —­ ” 
Garry moved over silently, and slipped his arm across the physicist’s back. 
“It couldn’t tie,” Dr. Copper said, “The dog was human­-immune ­ and the serum reacted.” 
“He’s —­ all right?” Norris gasped. “Then —­ the animal is dead —­ dead forever?” 
“He is human,” Copper spoke definitely,” and the animal is dead.” 
Kinner burst out laughing, laughing hysterically: McReady turned toward him and slapped his face with a methodical one­-two, one­-two action. The cook laughed, gulped, cried a moment, and sat up rubbing his checks, mumbling his thanks vaguely. “I was scared. Lord, I was scared­—” 
Norris laughed bitterly. “You think we weren’t, you ape? You think maybe Connant wasn’t?” 
The Ad Building stirred with a sudden rejuvenation. Voices laughed, the men clustering around Connant spoke with unnecessarily loud voices, jittery, nervous voices relievedly friendly again. Somebody called out a suggestion, and a dozen started for their skis. Blair. Blair might recover ­— Dr. Copper fussed with his test­-tubes in nervous relief, trying solutions. The party of relief for Blair’s shack started out the door, skis clapping noisily. Down the corridor, the dogs set up a quick yelping howl as the air of excited relief reached them. 
Dr. Copper fussed with his tubes. McReady noticed him first, sitting on the edge of the bunk, with two precipitin­-whitened test­-tubes of straw­-colored fluid, his face whiter than the stuff in the tubes, silent tears slipping down from horror-widened eyes. 
McReady felt a cold knife of fear pierce through his heart and freeze in his breast. Dr. Copper looked up. 
“Garry,” he called hoarsely. “Garry, for God’s sake, come here.” 
Commander Garry walked toward him sharply. Silence clapped down on the Ad Building. Connant looked up, rose stiffly from his seat. 
“Garry —­ tissue from the monster ­ precipitates too. It proves nothing. Nothing but ­ but the dog was monster-­immune too. That one of the two contributing blood ­— one of us two, you and I, Garry ­— one of us is a monster.

CHAPTER IX

“BAR, CALL back those men before they tell Blair,” McReady said quietly. Blair went to the door; faintly his shouts came back to the tensely silent men in the room. Then he was back. 
“They’re coming,” he said. “I didn’t tell them why. Just that Dr. Copper said not to go.” 
“McReady,” Garry sighed, “you’re in command now. May God help you. I cannot.” 
The bronzed giant nodded slowly, his deep eyes on Commander Garry. 
“I may be the one,” Garry added. “I know I’m not, but I cannot prove it to you in any way. Dr. Copper’s test has broken down. The fact that he showed it was useless, when it was to the advantage of the monster to have that uselessness not known, would seem to prove he was human.” 
Copper rocked back and forth slowly on the bunk. “I know I’m human. I can’t prove it either. One of us two is a liar, for that test cannot lie, and it says one of us is. I gave proof that the test was wrong, which seems to prove I’m human, and now Garry has given that argument which proves me human ­ which he, as the monster, should not do. Round and round and round and round and —­ “
Dr. Copper’s head, then his neck and shoulders began circling slowly in time to the words. Suddenly he was lying back on the bunk, roaring with laughter. ’It doesn’t have to prove one of us is a monster! It doesn’t have to prove that at all! Ho-ho If we’re all monsters it works the same! We’re all monsters —­ all of us —­ Connant and Garry and I —­ and all of you.” 
“McReady,” Van Wall, the blond-bearded Chief Pilot, called softly. “you were on the way to an M.D. when you took up meteorology, weren’t you? Can you make some kind of test?” 
McReady went over to Copper slowly, took the hypodermic from his hand, and washed it carefully in 95 per cent alcohol. Garry sat on the bunk edge with wooden face, watching Copper and McReady expressionlessly. “What Copper said is possible,” McReady sighed. “Van, will you help here? Thanks.” The filled needle jabbed into Copper’s thigh. The man’s laughter did not stop, but slowly faded into sobs, then sound sleep as the morphia took hold. 
McReady turned again. The men who had started for Blair stood at the far end of the room, skis dripping snow, their faces as white as their skis. Connant had a lighted cigarette in each hand; one he was puffing absently, and staring at the floor. The heat of the one in his left hand attracted him and he stared at it, and the one in the other hand stupidly for a moment. He dropped one and crushed it under his heel slowly. 
“Dr. Copper,” McReady repeated, “could be right. I know I’m human ­ but of course can’t prove it. I’ll repeat the test for my own information. Any of you others who wish to may do the same.” 
Two minutes later, McReady held a test­-tube with white precipitin settling slowly from straw­ colored serum. “It reacts to human blood too, so they aren’t both monsters.” 
“I didn’t think they were,” Van Wall sighed. “That wouldn’t suit the monster either; we could have destroyed them if we knew. Why hasn’t the monster destroyed us, do you suppose? It seems to be loose.” 
McReady snorted. Then laughed softly. “Elementary, my dear Watson. The monster wants to have life­forms available. It cannot animate a dead body, apparently. It is just waiting —­ waiting until the best opportunities come. We who remain human, it is holding in reserve.” 
Kinner shuddered violently. “Hey. Hey, Mac. Mac, would I know if I was a monster? Would I know if the monster had already got me? Oh Lord, I may be a monster already.” 
“You’d know, ” McReady answered. 
“But we wouldn’t,” Norris laughed shortly, half-­hysterically. 
McReady looked at the vial of serum remaining. “There’s one thing this damned stuff is good for, at that,” he said thoughtfully. “Clark, will you and Van help me? The rest of the gang better stick together here. Keep an eye on each other,” he said bitterly. “See that you don’t get into mischief, shall we say?” 
McReady started down the tunnel toward Dog Town, with Clark and Van Wall behind him. “You need more serum?” Clark asked. 
McReady shook his head. “Tests. There’s four cows and a bull, and nearly seventy dogs down there. This stuff reacts only to human blood and —­ monsters.”

McREADY came back to the Ad Building and went silently to the wash stand. Clark and Van Wall joined him a moment later. Clark’s lips had developed a tic, jerking into sudden, unexpected sneers. 
“What did you do?” Connant exploded suddenly. “More immunizing?” 
Clark snickered, and stopped with a hiccough. “Immunizing. Haw! Immune all right.” 
“That monster,” said Van Wall steadily, “is quite logical. Our immune dog was quite all right, and we drew a little more serum for the tests. But we won’t make any more.” 
“Can’t —­ can’t you use one man’s blood or another dog ­— ” Norris began. 
“There aren’t,” said McReady softly, “any more dogs, Nor cattle, I might add.” 
“No more dogs?” Benning sat down slowly. 
“They’re very nasty when they start changing,” Van Wall said precisely, “but slow. That electrocution iron you made up, Barclay, is very fast. There is only one dog left ­ our immune. The monster left that for us, so we could play with our little test. The rest ­— ” He shrugged and dried his hands. 
“The cattle —­ ,” gulped Kinner. 
“Also. Reacted very nicely. They look funny as hell when they start melting. The beast hasn’t any quick escape, when it’s tied in dog chains, or halters, and it had to be to imitate.” 
Kinner stood up slowly, His eyes darted around the room, and came to rest horribly quivering on a tin bucket in the galley. Slowly, step by step. he retreated toward the door, his mouth opening and closing silently, like a fish out of water. 
“The milk —­ ” he gasped. “I milked ’em an hour ago —­” His voice broke into a scream as he dived through the door. He was out on the ice cap without windproof or heavy clothing. 
Van Wall looked after him for a moment thoughtfully. “He’s probably hopelessly mad,” he said at length, “but he might be a monster escaping. He hasn’t skis. Take a blow-torch ­— in case.” 
The physical motion of the chase helped them; something that needed doing. Three of the other men were quietly being sick. Norris was lying flat on his back, his face greenish, looking steadily at the bottom of the bunk above him. 
“Mac, how long have the ­— cows been not-­cows —­” 
McReady shrugged his shoulders hopelessly. He went over to the milk bucket, and with his little tube of serum went to work on it. The milk clouded it, making certainty difficult. Finally he dropped 
the test­-tube in the stand and shook his head. “It tests negatively. Which means either they were cows then, or that, being perfect imitations, they gave perfectly good milk.” 
Copper stirred restless in his sleep and gave a gurgling cross between a snore and a laugh. Silent eyes fastened on him. “Would morphia —­ a monster —­” somebody started to ask. 
“Lord knows,” McReady shrugged. “It affects every Earthly animal I know of.” 
Connant suddenly raised his head. “Mac! The dogs must have swallowed pieces of the monster, and the pieces destroyed them! The dogs were where the monster resided. I was locked up. Doesn’t that prove ­— ” 
Van Wall shook his head. “Sorry. Proves nothing about what you are, only proves what you didn’t do.” 
“It doesn’t do that,” McReady sighed. “We are helpless. Because we don’t know enough, and so jittery we don’t think straight. Locked up! Ever watch a white corpuscle of the blood go through the wall of a blood vessel? No? It sticks out a pseudopod. And there it is —­ on the far side of the wall. ” 
“Oh,” said Van Wall unhappily. “The cattle tried to melt down, didn’t they? The could have melted down ­— become just a thread of stuff and leaked under a door to re­collect on the other side. Ropes ­no ­ no, that wouldn’t do it. They couldn’t live in a sealed tank or ­— ” 
“If,” said McReady, “you shoot it through the heart, and it doesn’t die, it’s a monster. That’s the best test I can think of, offhand.” 
“No dogs,” said Garry quietly, “and no cattle. It has to imitate men now. And locking up doesn’t do any good. Your test might have work, Mac, but I am afraid it would be hard on the men.”

CHAPTER X

CLARK LOOKED up from the galley stove as Van Wall, Barclay, McReady and Benning came in, brushing the drift from their clothes. The other men jammed into the Ad Building continued studiously to do as they were doing, playing chess, poker, reading. Ralsen was fixing a sledge on the table; Van and Norris had their heads together over magnetic data, while Harvey read tables in a low voice. 
Dr. Copper snored softly on the bunk. Garry was working with Dutton over a sheaf of radio messages on the corner of Dutton’s bunk and a small fraction of the radio table. Connant was using most of the table for Cosmic Ray sheets. 
Quite plainly through the corridor, despite two closed doors, they could hear Kinner’s voice. Clark banged a kettle onto the galley stove and beckoned McReady silently. The meteorologist went over to him. 
“I don’t mind the cooking so damn much,” Clark said nervously, “but isn’t there some way to stop that bird? We all agreed that it would be safe to move him into Cosmos House.” 
“Kinner?” McReady nodded toward the door. “I’m afraid not. I can dope him, I suppose, but we don’t have an unlimited supply of morphia, and he’s not in danger of losing his mind. Just hysterical.” 
“Well, we’re in danger of losing ours. You’ve been out for an hour and a half. That’s been going on steadily ever since, and it was going for two hours before. There’s a limit, you know.” 
Garry wandered over slowly, apologetically. For an instant, McReady caught the feral spark of fear ­— horror —­ in Clark’s eyes, and knew at the same instant it was in his own. Garry —­ Garry or Copper —­ was certainly a monster. 
“If you could stop that, I think it would be a sound policy, Mac,” Garry spoke quietly. “There are ­ tensions enough in this room. We agreed that it would be safe for Kinner in there, because everyone else in camp is under constant eyeing.” Garry shivered slightly. “And try, try in God’s name, to find some test that will work.” 
McReady sighed. “Watch or unwatched, everyone’s tense. Blair’s jammed the trap so it won’t open now. Says he’s got food enough, and keeps screaming ’Go away, go away ­— you’re monsters. I won’t be absorbed. I won’t. I’ll tell men when they come. Go away.’ So ­— we went away.” 
“There’s no other test?” Garry pleaded. 
McReady shrugged his shoulders. “Copper was perfectly right. The serum test could be absolutely definitive if it hadn’t been ­— contaminated. But that’s the only dog left, and he’s fixed now.” 
“Chemicals? Chemical tests?” 
McReady shook his head. “Our chemistry isn’t that good. I tried the microscope, you know.” 
Garry nodded. “Monster-­dog and real dog were identical. But ­ you’ve got to go on. What are we going to do after dinner?”

VAN WALL joined them quietly. “Rotation sleeping. Half the crowd asleep; half awake. I wonder how many of us are monsters? All the dogs were. We thought we were safe, but somehow it got Copper — ­or you.” Van Wall’s eyes flashed uneasily. “It may have gotten every one of you —­ all of you but myself may be wondering, looking. No, that’s not possible. You’d just spring then. I’d be helpless. We humans might somehow have the greater number now. But ­— ” he stopped. 
McReady laughed shortly. “You’re doing what Norris complained of in me. Leaving it hanging. ’But if one more is changed —­ that may shift the balance of power.’ It doesn’t fight. I don’t think it ever fights. It must be a peaceable thing, in its own ­— inimitable — ­way. It never had to, because it always gained its end ­— otherwise.” 
Van Wall’s mouth twisted in a sickly grin. “You’re suggesting then, that perhaps it already has the greater numbers, but is just waiting ­— waiting, all of them —­ all of you, for all I know —­ waiting till I, the last human, drop my wariness in sleep. Mac, did you notice their eyes, all looking at us?” 
Garry sighed. “You haven’t been sitting here for four straight hours, while all their eyes silently weighed the information that one of us two, Copper or I, is a monster certainly ­— perhaps both of us.” 
Clark repeated his request. “Will you stop that bird’s noise? He’s driving me nuts. Make him tone down, anyway.” 
“Still praying?” McReady asked. 
“Still praying,” Clark groaned. “He hasn’t stopped for a second. I don’t mind, his praying if it relieves him, but he yells, he sings psalms and hymns and shouts prayers. He thinks God can’t hear well way down here.” 
“Maybe He can’t,” Barclay grunted. “Or He’d have done something about this thing loosed from hell.” 
“Somebody’s going to try that test you mentioned, if you don’t stop him,” Clark stated grimly. “I think a cleaver in the head would be as positive a test as a bullet in the heart.” 
“Go ahead with the food. I’ll see what I can do. There may be something in the cabinets.” McReady moved wearily toward the corner Copper had used as his dispensary. Three tall cabinets of rough boards, two locked, were the repositories of the camp’s medical supplies. Twelve years ago McReady had graduated, had started for an internship, and been diverted to meteorology. Copper was a picked man, a man who knew his profession. thoroughly and modernly. More than half the drugs available were totally unfamiliar to McReady; many of the others he had forgotten. There was no huge medical library here, no series of journals available to learn the things he had forgotten, the elementary, simple things to Copper, things that did not merit inclusion in the small library he had been forced to content himself with. Books are heavy, and every ounce of supplies had been freighted in by air. 
McReady picked a barbiturate hopefully. Barclay and Van went with him. One man never went anywhere alone in Big Magnet. 
Ralsen had his sledge put away, and the physicists had moved off the table, the poker game broken up when they got back. Clark was putting out the food. The click of spoons and the muffled sounds of eating were the only sign of life in the room. There were no words spoken as the three returned; simply all eyes focused on them questioningly, while the jaw moved methodically. 
MeReady stiffened suddenly. Kinner was screeching out a hymn in a hoarse, cracked voice. He looked wearily at Van Wall with a twisted grin and shook his head. “Hu-­uh.”

VAN WALL cursed bitterly, and sat down at the table. “We’ll just plumb have to take that till his voice wears out. He can’t yell like that forever.” 
“He’s got a brass throat and a cast-iron larynx,” Norris declared savagely. “Then we could be hopeful, and suggest he’s one of our friends. In that case he could go on renewing his throat till doomsday.” 
Silence clamped down. For twenty minutes they ate without a word. Then Connant jumped up with an angry violence. “You sit as still as a bunch of graven images. You don’t say a word, but oh Lord, what expressive eyes you’ve got. They roll around like a bunch of glass marbles spilling down a table. They wink and blink and stare —­ and whisper things. Can you guys look somewhere else for a change, please? 
“Listen, Mac, you’re in charge here. Let’s run movies for the rest of the night. We’ve been saving those reels to make ’em last. Last for what? Who is it’s going to see those last reels, eh? Let’s see ’em while we can, and look at something other than each other. 
“Sound idea, Connant I, for one, am quite willing to change this in any way I can.” 
“Turn the sound up loud, Dutton. Maybe you can drown out the hymns,” Clark suggested. 
“But don’t,” Norris said softly, “don’t turn off the lights altogether.” 
“The lights will be out.” McReady shook his head. “We’ll show all the cartoon movies we have. You won’t mind seeing the old cartoons, will you?” 
“Goody, goody —­ a moom pitcher show. I’m just in the mood.” McReady turned to look at the speaker, a lean, lanky New Englander, by the name of Caldwell. Caldwell was stuffing his pipe slowly, a sour eye cocked up to McReady. 
The bronze giant was forced to laugh. “O.K., Bart, you win. Maybe we aren’t quite in the mood for Popeye and trick ducks, but it’s something.” 
“Let’s play Classifications,” Caldwell suggested slowly. “Or maybe you call it Guggenheim. You draw lines on a piece of paper, and put down classes of things ­— like animals, you know. One for ’H’ and one for ’U’ and so on. Like ’Human and ’Unknown’ for instance. I think that would be a hell of a lot better game. Classification, I sort of figure is what we need right now a lot more than movies. Maybe somebody’s got a pencil that he can draw lines with, draw lines between the ’U’ animals and the ’H’ animals for instance.” 
“McReady’s trying to find that kind of pencil,” Van Wall answered quietly, “but we’ve got three kinds of animals here, you know. One that begins with ’M’. We don’t want any more.” 
“Mad ones, you mean. Uh­-huh. Clark, I’ll help you with those pots so we can get our little peepshow going.” Caldwell got up slowly.

DUTTON and Barclay and Benning, in charge of the projector and sound mechanism arrangements, went about their job silently, while the Ad Building was cleared and the dishes and pans disposed of. McReady drifted over toward Van Wall slowly, and leaned back in the bunk beside him. “I’ve been wondering, Van,” he said with a wry grin, “whether or not to report my ideas in advance. I forgot the ’U animals’ as Caldwell named it, could read minds. I’ve a vague idea of something that might work. it’s too vague to bother with though. Go ahead with your show, while I try to figure out the logic of the thing. I’ll take this bunk.” 
Van Wall glanced up, and nodded. The movie screen would be practically on a line with his bunk, hence making the pictures least distracting here, because least intelligible. “Perhaps you should tell us what you have in mind. As it is, only the unknowns know what you plan. You might be ­—unknown before you got it into operation.” 
“Won’t take long, if I get it figured out right. But I don’t want any more all­-but-­the­-test-­dog-monsters things. We better move Copper into this bunk directly above me. He won’t be watching the screen either.” McReady nodded toward Copper’s gently snoring bulk. Garry helped them lift and move the doctor. 
McReady leaned back against the bunk, and sank into a trance, almost, of concentration, trying to calculate chances, operations, methods. He was scarcely aware as the others distributed themselves silently, and the screen lit up. Vaguely Kinner’s hectic, shouted prayers and his rasping hymn-singing annoyed him till the sound accompaniment started. The lights were turned out, but the large, light-colored areas of the screen reflected enough light for ready visibility. It made men’s eyes sparkle as they moved restlessly. Kinner was still praying, shouting, his voice a raucous accompaniment to the mechanical sound. Dutton stepped up the amplification. 
So long had the voice been going on, that only vaguely at first was McReady aware that something seemed missing. Lying as he was, just across the narrow room from the corridor leading to Cosmos House, Kinner’s voice had reached him fairly clearly, despite the sound accompaniment of the pictures. It struck him abruptly that it had stopped. 
“Dutton, cut that sound,” McReady called as he sat up abruptly. The pictures flickered a moment, soundless and strangely futile in the sudden, deep silence. The rising wind on the surface above bubbled melancholy tears of sound down the stove pipes. “Kinner’s stopped,” McReady said softly. 
“For God’s sake start that sound then, he may have stopped to listen,” Norris snapped. 
McReady rose and went down the corridor. Barclay and Van Wall left their places at the far end of the room to follow him. The flickers bulged and twisted on the back of Barclay’s gray underwear as he crossed the still-functioning beam of the projector. Dutton snapped on the lights, and the pictures vanished. 
Norris stood at the door as McReady had asked. Garry sat down quietly in the bunk nearest the door, forcing Clark to make room for him. Most of the others had stayed exactly where they were. Only Connant walked slowly up and down the room, in steady, unvarying rhythm. 
“If you’re going to do that, Connant,” Clark spat, “we can get along without you altogether, whether you’re human or not. Will you stop that damned rhythm?” 
“Sorry.” The physicist sat down in a bunk, and watched his toes thoughtfully. It was almost five minutes, five ages while the wind made the only sound, before McReady appeared at the door. 
“We,” he announced, “haven’t got enough grief here already. Somebody’s tried to help us out. Kinner has a knife in his throat, which was why he stopped singing, probably. We’ve got monsters, madmen and murderers. Any more ’M’s’ you can think of, Caldwell? If there are, we’ll probably have ’em before long.”

CHAPTER XI

“IS BLAIR loose?” someone asked. 
“Blair is not loose. Or he flew in. If there’s any doubt about where our gentle helper came from ­ this may clear it up.” Van Hull held a footlong, thin-bladed knife in a cloth. The wooden handle was half-burnt, charred with the peculiar pattern of the top of the galley stove. 
Clark stared at it. “I did that this afternoon. I forgot the damn thing and left it on the stove.” 
Van Wall nodded. “I smelled it, if you remember. I knew the knife came from the galley.” 
“I wonder,” said Benning, looking around at the party warily, “how many more monsters have we? If somebody could slip out of his place, go back of the screen to the galley and then down to the Cosmos House and back ­ he did come back, didn’t he? Yes ­everybody’s here. Well, if one of the gang could do all that ­— ” 
“Maybe a monster did it,” Garry suggested quietly. “There’s that possibility.” 
“The monster, as you pointed out today, has only men left to imitate. Would he decrease his ­— supply, shall we say?” Van Wall pointed out. “No, we just have a plain, ordinary louse, a murderer to deal with. Ordinarily we’d call him an ’inhuman murderer’ I suppose, but we have to distinguish now. We have inhuman murderers, and now we have human murderers. Or one at least.” 
“There’s one less human,” Norris said softly. “Maybe the monsters have the balance of power now.” 
“Never mind that,” McReady sighed and turned to Barclay. “Bar, will you get your electric gadget? I’m going to make certain ­— ” 
Barclay turned down the corridor to get the pronged electrocuter, while McReady and Van Wall went back toward Cosmos House. Barclay followed them in some thirty seconds. 
The corridor to Cosmos House twisted, as did nearly all corridors in Big Magnet, and Norris stood at the entrance again. But they heard, rather muffled McReady’s sudden shout. There was a savage scurry of blows, dull ch­thunk, shluff sounds. “Bar ­— Bar —­” And a curious, savage mewing scream, silenced before even quick-­moving Norris had reached the bend. 
Kinner —­ or what had been Kinner ­— lay on the floor; cut half in two by the great knife McReady had had. The meteorologist stood against the wall, the knife dripping red in his hand. Van Wall was stirring vaguely on the floor, moaning, his hand half-­consciously rubbing at his jaw. Barclay an unutterably savage gleam in his eyes, was methodically leaning on the pronged weapon in his hand, jabbing, jabbing. 
Kinner’s arms had developed a queer, scaly fur, and the flesh had twisted. The fingers had shortened, the hand rounded, the fingernails become three­-inch long things of dull red horn, keened to steel­-hard razor­-sharp talons. 
McReady raised his head, looked at the knife in his hand and dropped it. “Well, whoever did it can speak up now. He was an inhuman murderer at that — ­in that he murdered an inhuman. I swear by all that’s holy, Kinner was a lifeless corpse on the floor here when we arrived. But when it found we were going to jab it with the power —­ it changed.”

NORRIS stared unsteadily. “Oh. Lord, those things can act. Ye gods —­ sitting in here for hours, mouthing prayers to a God it hated! Shouting hymns in a cracked voice —­ hymns about a Church it never knew. Driving us mad with its ceaseless howling ­—
“Well. Speak up, whoever did it, You didn’t know it, but you did the camp a favor. And I want to know how in blazes you got out of that room without anyone seeing you. It might help in guarding ourselves.” 
“His screaming —­ his singing. Even the sound projector couldn’t drown it.” Clark shivered. “It was a monster.” 
“Oh,” said Van Wall in sudden comprehension. “You were sitting right next to the door, weren’t you! And almost behind the projection screen already.” 
Clark nodded dumbly. “He —­ it’s quiet now. It’s a dead ­— Mac, your test’s no damn good. It was dead anyway, monster or man, it was dead.” 
McReady chuckled softly. “Boys, meet Clark, the only one we know is human! Meet Clark, the one who proves he’s human by trying to commit murder—­and failing. Will the rest of you please refrain from trying to prove you’re human for a while? I think we may have another test.” 
“A test!” Connant snapped joyfully, then his face sagged in disappointment. “I suppose it’s another either­-way-­you-­want-­it.” 
“No,” said McReady steadily. “Look sharp and be careful. Come into the Ad Building. Barclay, bring your electrocuter. And somebody —­ Dutton —­ stand with Barclay to make sure he does it. Watch every neighbor, for by the Hell these monsters come from, I’ve got something, and they know it. They’re going to get dangerous!” 
The group tensed abruptly. An air of crushing menace entered into every man’s body, sharply they looked at each other. More keenly than ever before ­— is that man next to me an inhuman monster? 
“What is it?” Garry asked, as they stood again in the main room. “How long will it take?” 
“I don’t know exactly,” said McReady, his voice brittle with angry determination. “But I know it will work, and no two ways about it. It depends on a basic quality of the monsters, not on us. ’Kinner’ just convinced me.” He stood heavy and solid in bronzed immobility, completely sure of himself again at last. 
“This,” said Barclay, hefting the wooden-handled weapon, tipped with its two sharp-pointed, charged conductors, “is going to be rather necessary, I take it. Is the power plant assured?” 
Dutton nodded sharply. “The automatic stoker bin is full. The gas power plant is on stand­by. Van Wall and I set it for the movie operation and ­ we’ve checked it over rather carefully several times, you know. Anything those wires touch, dies,” he assured them grimly “I know that.” 
Dr. Copper stirred vaguely in his bunk, rubbed his eyes with fumbling hand. He sat up slowly, blinked his eyes blurred with sleep and drugs, widened with an unutterable horror of drug-­ridden nightmares. “Garry,” he mumbled, “Garry —­ listen. Selfish­—from hell they came, and hellish shellfish —­ I mean self ­— Do I? What do I mean?” he sank back in his bunk, and snored softly.

McREADY looked at him thoughtfully. “We’ll know presently,” he nodded slowly. “But selfish is what you mean all right. You may have thought of that, half­-sleeping, dreaming there. I didn’t stop to think what dreams you might be having. But that’s all right. Selfish is the word. They must be, you see.” He turned to the men in the cabin, tense, silent men staring with wolfish eyes each at his neighbor. Selfish, and as Dr. Copper said— every part is a whole. Every piece is self-­sufficient, an animal in itself. 
“That, and one other thing, tell the story. There’s nothing mysterious about blood; it’s just as normal a body tissue as a piece of muscle, or a piece of liver. But it hasn’t so much connective tissue, though it has millions, billions of life-cells” 
McReady’s great bronze beard ruffled in a grim smile. “This is satisfying, in a way. I’m pretty ­sure we humans still outnumber you —­ others. Others standing here. And we have what you, your otherworld race, evidently doesn’t. Not an imitated, but a bred-­in-­the-­bone instinct, a driving, unquenchable fire that’s genuine. We’ll fight, fight with a ferocity you may attempt to imitate, but you’ll never equal! We’re human. We’re real. You’re imitations, false to the core of your every cell. 
“All right. It’s a showdown now. You know. You, with your mind reading. You’ve lifted the idea from my brain. You can’t do a thing about it. 
“Standing here ­— 
“Let it pass. Blood is tissue. They have to bleed, if they don’t bleed when cut, then, by Heaven, they’re phony! Phony from hell! If they bleed —­ then that blood, separated from them, is an individual ­— a newly formed individual in its own right, just as they, split, all of them, from one original, are individuals!
“Get it, Van? See the answer, Bar?” 
Van Wall laughed very softly. “The blood ­— the blood will not obey. It’s a new individual, with all the desire to protect its own life that the original —­ the main mass from which it was split —­­ has. The blood will live —­ and try to crawl away from a hot needle, say!” 
McReady picked up the scalpel from the table. From the cabinet, he took a rack of test-tubes, a tiny alcohol lamp, and a length of platinum wire set in a little glass rod. A smile of grim satisfaction rode his lips. For a moment he glanced up at those around him. Barclay and Dutton moved toward him slowly, the wooden-­handled electric instrument alert. 
“Dutton,” said McReady,” suppose you stand over by the splice there where you’ve connected that in. Just make sure no—thing pulls it loose.” 
Dutton moved away. “Now, Van, suppose you be first on this.” 
White-faced, Van Wall stepped forward. With a delicate precision, McReady cut a vein in the base of his thumb. Van Wall winced slightly, then held steady as a half inch of bright blood collected in the tube. McReady put the tube in the rack, gave Van Wall a bit of alum, and indicated the iodine bottle. 
Van Wall stood motionlessly watching. McReady heated the platinum wire in the alcohol lamp flame, then dipped it into the tube. it hissed softly. Five times he repeated the test. “Human, I’d say.” McReady sighed, and straightened. “As yet, my theory hasn’t been actually proven ­— but I have hopes. I have hopes. 
“Don’t, by the way, get too interested in this. We have with us some unwelcome ones, no doubt, Van, will you relieve Barclay at the switch? Thanks. O.K., Barclay, and may I say I hope you stay with us? You’re a damned good guy.” 
Barclay grinned uncertainly; winced under the keen edge of the scalpel. Presently, smiling widely, he retrieved his long-­handled weapon. 
“Mr. Samuel Dutt —­ Bar!

THE TENSITY was released in that second. Whatever of hell the monsters may have had within them, the men in that instant matched it. Barclay had no chance to move his weapon as a score of men poured down on that thing that had seemed Dutton. It mewed, and spat, and tried to grow fangs ­— and was a hundred broken, torn pieces. Without knives, or any weapon save the brute­ given strength of a staff of picked men, the thing was crushed, rent. 
Slowly they picked themselves up, their eyes smoldering, very quiet in their emotions. A curious wrinkling of their lips betrayed a species of nervousness. 
Barclay went over with the electric weapon. Things smoldered and stank. The caustic acid Van Wall dropped on each spilled drop of blood gave off tickling, cough-­provoking fumes. 
McReady grinned, his deep-set eyes alight and dancing. “Maybe,” he said softly,. “I underrated man’s abilities when I said nothing human could have the ferocity in the eyes of that thing we found. I wish we could have the opportunity to treat in a more befitting manner these things. Something with boiling oil, or melted lead in it, or maybe slow roasting in the power boiler. When I think what a man Dutton was ­— 
“Never mind. My theory is confirmed by —­ by one who knew? Well, Van Wall and Barclay are proven. I think, then, that I’ll try to show you what I already know. That I too am human.” McReady swished the scalpel in absolute alcohol, burned it off the metal blade, and cut the base of his thumb expertly. 
Twenty seconds later he looked up from the desk at the waiting men. There were more grins out there now, friendly grins, yet withal, something else in the eyes. 
“Connant,” McReady laughed softly, “was right. The huskies watching that thing in the corridor bend had nothing on you. Wonder why we think only the wolf blood has the right to ferocity? Maybe on spontaneous viciousness a wolf takes tops, but after these seven days —­ abandon all hope, ye wolves who enter here! 
“Maybe we can save time. Connant, would you step for— ” 
Again Barclay was too slow. There were more grins, less tensity still, when Barclay and Van Wall finished their work. 
Garry spoke in a low, bitter voice. “Connant was one of the finest men we had here ­— and five minutes ago I’d have sworn he was a man. Those damnable things are more than imitation.” Garry shuddered and sat back in his bunk. 
And thirty seconds later, Garry’s blood shrank from the hot platinum wire, and struggled to escape the tube, struggled as frantically as a suddenly feral, red-­eyed, dissolving imitation of Garry struggled to dodge the snake­-tongue weapon Barclay advanced at him, white faced and sweating. The Thing in the test­-tube screamed with a tin, tinny voice as McReady dropped it into the glowing coal of the galley stove.

CHAPTER XII

“THE LAST OF IT?” Dr. Copper looked down from his bunk with bloodshot, saddened eyes. “Fourteen of them ­— ” 
McReady nodded shortly. “In some ways ­ if only we could have permanently prevented their spreading ­— I’d like to have even the imitations back. Commander Garry —­ Connant ­— Dutton —­ Clark ­—” 
“Where are they taking those things?” Copper nodded to the stretcher Barclay and Norris were carrying out. 
“Outside. Outside on the ice, where they’ve got fifteen smashed crates, half a ton of coal, and presently will add ten gallons of kerosene. We’ve dumped acid on every spilled drop, every torn fragment. We’re going to incinerate those.” 
“Sounds like a good plan.” Copper nodded wearily. “I wonder, you haven’t said whether Blair —­” 
McReady started. “We forgot him! We had so much else! I wonder ­— do you suppose we can cure him now? 
“If— ­” began Dr. Copper, and stopped meaningly. 
McReady started a second time. “Even a madman. It imitated Kinner and his praying hysteria —­” McReady turned toward Van Wall at the long table. “Van, we’ve got to make an expedition to Blair’s shack.” 
Van looked up sharply, the frown of worry faded for an instant in surprised remembrance. Then he rose, nodded. “Barclay better go along. He applied the lashings, and may figure how to get in without frightening Blair too much.” 
Three quarters of an hour, through ­-37 cold, while the Aurora curtain bellied overhead. The twilight was nearly 12 hours long, flaming in the north on snow like white, crystalline sand under their skis. A 5­mile wind piled it in drift-­lines pointing off to the northwest. Three quarters of an hour to reach the snow-­buried shack. No smoke came from the little shack, and the men hastened. 
“Blair!” Barclay roared into the wind when he was still a hundred yards away. “Blair!” 
“Shut up,” said McReady softly. “And hurry. He may be trying a long hike. If we have to go after him —­no planes, the tractors disabled —­” 
“Would a monster have the stamina a man has?” 
“A broken leg wouldn’t stop it for more than a minute,” McReady pointed out.

BARCLAY gasped suddenly and pointed aloft. Dim in the twilit sky, a winged thing circled in curves of indescribable grace and ease. Great white wings tipped gently, and the bird swept over them in silent curiosity. “Albatross ­” Barclay said softly. “First of the season, and wandering way inland for some reason. If a monster’s loose— ­” 
Norris bent down on the ice, and tore hurriedly at his heavy, wind­proof clothing. He straightened, his coat flapping open, a grim blue­-metaled weapon in his hand. It roared a challenge to the white silence of Antarctica. 
The thing in the air screamed hoarsely. Its great wings worked frantically as a dozen feathers floated down from its tail. Norris fired again. The bird was moving swiftly now, but in an almost straight line of retreat. It screamed again, more feathers dropped and with beating wings it soared behind a ridge of pressure ice, to vanish. 
Norris hurried after the others. “It won’t come back,” he panted. 
Barclay cautioned him to silence, pointing. A curiously, fiercely blue light beat out from the cracks of the shack’s door. A very low, soft humming sounded inside, a low, soft humming and a clink and clank of tools, the very sounds somehow bearing a message of frantic haste. 
McReady’s face paled. “Lord help us if that thing has —­” He grabbed Barclay’s shoulder, and made snipping motions with his fingers, pointing toward the lacing of control­-cables that held the door. 
Barclay drew the wire-­cutters from his pocket, and kneeled soundlessly at the door. The snap and twang of cut wires made an unbearable racket in the utter quiet of the Antarctic hush. There was only that strange, sweetly soft hum from within the shack, and the queerly, hectically clipped clicking and rattling of tools to drown their noises. 
McReady peered through a crack in the door. His breath sucked in huskily and his great fingers clamped cruelly on Barclay’s shoulder. The meteorologist backed down. “It isn’t,” he explained very softly, “Blair. It’s kneeling on something on the bunk­—something that keeps lifting. Whatever it’s working on is a thing like a knap­sack ­— and it lifts.” 
“All at once,” Barclay said grimly. “No Norris, hang back, and get that iron of yours out. It may have —­ weapons.” 
Together, Barclay’s powerful body and McReady’s giant strength struck the door. Inside, the bunk jammed against the door screeched madly and crackled into kindling. The door flung down from broken hinges, the patched lumber of the doorpost dropping inward. 
Like a blue-­rubber ball, a Thing bounced up. One of its four tentacle-like arms looped out like a striking snake. In a seven-­tentacled hand a six-­inch pencil of winking, shining metal glinted and swung upward to face them. Its line-thin lips twitched back from snake-­fangs in a grin of hate, red eyes blazing. 
Norris’ revolver thundered in the confined space. The hate­-washed face twitched in agony, the looping tentacle snatched back. The silvery thing in its hand a smashed ruin of metal, the seven­ tentacled hand became a mass of mangled flesh oozing greenish-­yellow ichor. The revolver thundered three times more. Dark holes drilled each of the three eyes before Norris hurled the empty weapon against its face.

THE THING screamed a feral hate, a lashing tentacle wiping at blinded eyes. For a moment it crawled on the floor, savage tentacles lashing out, the body twitching. Then it staggered up again, blinded eyes working, boiling hideously, the crushed flesh sloughing away in sodden gobbets. 
Barclay lurched to his feet and dove forward with an ice­-ax. The flat of the weighty thing crushed against the side of the head. Again the unkillable monster went down. The tentacles lashed out, and suddenly Barclay fell to his feet in the grip of a living, livid rope. The thing dissolved as he held it, a white-­hot band that ate into the flesh of his hands like living fire. Frantically he tore the stuff from him, held his hands where they could not be reached. The blind Thing felt and ripped at the tough; heavy, windproof cloth, seeking flesh —­ flesh it could convert ­— 
The huge blow-­torch McReady had brought coughed solemnly. Abruptly it rumbled disapproval throatily. Then it laughed gurglingly, and thrust out a blue­-white, three-­foot tongue. The Thing on the floor shrieked, flailed out blindly with tentacles that writhed and withered in the bubbling wrath of the blow-torch. It crawled and turned on the floor, it shrieked and hobbled madly, but always McReady held the blow-torch on the face, the dead eyes burning and bubbling uselessly. Frantically the Thing crawled and howled. 
A tentacle sprouted a savage talon —­ and crisped in the flame. Steadily McReady moved with a planned, grim campaign. Helpless, maddened, the Thing retreated from the grunting torch, the caressing, licking tongue. For a moment it rebelled, squalling in inhuman hatred at the touch of icy snow. Then it fell back before the charring breath of the torch, the stench of its flesh bathing it. Hopelessly it retreated ­— on and on across the Antarctic snow, The bitter wind swept over it twisting the torch-­tongue; vainly it flopped, a trail of oily, stinking smoke bubbling away from it— 
McReady walked back toward the shack silently. Barclay met him at the door. “No more?” the giant meteorologist asked grimly. 
Barclay shook his head. “No more. It didn’t split?” 
“It had other things to think about,” McReady assured him. “When I left it, it was a glowing coal. What was it doing?” 
Norris laughed shortly. “Wise boys, we are. Smash magnetos, so planes won’t work. Rip the boiler tubing’ out of the tractors. And leave that Thing alone for a week in this shack. Alone and undisturbed.” 
McReady looked in at the shack more carefully. The air, despite the ripped door, was hot and humid. On a table at the far end of the room rested a thing of coiled wires and small magnets, glass tubing and radio tubes. At the center a block of rough stone rested. From the center of the block came the light that flooded the place, the fiercely blue light bluer than the glare of an electric arc, and from it came the sweetly soft hum. Off to one side was another mechanism of crystal glass, blown with an incredible neatness and delicacy, metal plates and a queer, shimmery sphere of insubstantiality. 
“What is that?” McReady moved nearer.

NORRIS grunted. “Leave it for investigation. But I can guess pretty well. That’s atomic power. That stuff to the left ­— that’s a neat little thing for doing what men have been trying to do with 100­ton cyclotrons and so forth. It separates neutrons from heavy water, which he was getting from the surrounding ice.” 
“Where did he get all ­— oh. Of course, A monster couldn’t be locked in —­ or out. He’s been through the apparatus caches.” McReady stared at the apparatus. “Lord, what minds that race must have —­”
“The shimmery sphere ­ I think it’s a sphere of pure force. Neutrons can pass through any matter, and he wanted a supply reservoir of neutrons. Just project neutrons against silica —­ calcium —­ beryllium— ­almost anything, and the atomic energy is released. That thing is the atomic generator.” 
McReady plucked a thermometer from his coat. “It’s 120 in here, despite the open door. Our clothes have kept the heat out to an extent, but I’m sweating now.” 
Norris nodded. “The light’s cold. I found that. But it gives off heat to warm the place through that coil. He had all the power in the world. He could keep it warm and pleasant, as his race thought of warmth and pleasantness. Did you notice the light, the color of it?” 
McReady nodded. “Beyond the stars is the answer. From beyond the stars. From a hotter planet that circled a brighter, bluer sun they came.” 
McReady glanced out the door toward the blasted, smoke-­stained trail that flopped and wandered blindly off across the drift. “There won’t be any more coming, I guess. Sheer accident it landed here, and that was twenty million years ago. What did it do all that for?” he nodded toward the apparatus. 
Barclay laughed softly. “Did you notice what it was working on when we came? Look.” He pointed toward the ceiling of the shack. 
Like a knapsack made of flattened coffee­-tins, with dangling cloth straps and leather belts, the mechanism clung to the ceiling. A tiny, glaring heart of supernal flame burned in it, yet burned through the ceiling’s wood without scorching it. Barclay walked over to it, grasped two of the dangling straps in his hands, and pulled it down with an effort. He strapped it about his body. A slight jump carried him in a weirdly slow arc across the room. 
“Anti-­gravity,” said McReady softly. 
“Anti-­gravity,” Norris nodded. “Yes, we had ’em stopped, with no planes, and no birds. The birds hadn’t come ­ but they had coffee-­tins and radio parts, and glass and the machine shop at night. And a week —­ a whole week —­ all to itself. America in a single jump —­ with anti-­gravity powered by the atomic energy of matter. 

“We had ’em stopped, Another half hour —­ it was just tightening these straps on the device so it could wear it —­ and we’d have stayed in Antarctica, and shot down any moving thing that came from, the rest of the world.” 
“The albatross— ­” McReady said softly. “Do you suppose— ­” 
“With this thing almost finished? With that death weapon it held in its hand? 
“No, by the grace of God, who evidently does hear very well, even down here, and the margin of half an hour, we keep our world, and the planets of the system too. Anti­-gravity, you know, and atomic power. Because They came from another sun, a star beyond the stars. They came from a world with a bluer sun.”


The End

.

 

I know this town in Western Pennsylvania and this is so typical…

I know this town.

Yeah. It’s not too far from where I (once) lived, and I (even) used to have relatives living there. Like most of the old steel sections of PA, it’s dead.

Dead.

Dead.

Nothing is going on there except government offices and a flourishing drug trade. The video discusses something that is so typical of what America has become.

Watch this clip… soak it up.

Tucker Carlson: This is shocking

Yeah. It’s a mess, and it’s typical for Western Pennsylvania. This is a tale of the “kids of oligarchs” taking over and driving a disaster further into the ground…

This is the last photo of fitness coach Dmitry Stuzhuk, husband of the famous Ukrainian blogger Sophia Stuzhuk and father of three little children.

Dmitry posted this photo on his Instagram account shortly before he fell into a coma and died. The caption ran: “I got COVID-19.

After returning home from vacation in Turkey, Dmitry was tested positive with Coronavirus.

He was suffering from problems with the cardiovascular system.

Earlier Dmitry escaped from the hospital, deciding to continue treatment at home, because “they don’t feed their patients.

x
x

…There’s a guy playing with his kids, working in a gym, posting pics on Instagram, and vacationing in a five-star resort in Turkey, and the next moment he is being buried in a closed casket.

This is a reminder that life is short, so don’t postpone things you have always meant to do.

Tell your loved ones things you have always meant to say.

Because tomorrow it might be too late.

Every moment needs to be enjoyed to the fullest because this moment is all we got.

Kirk & Scotty after the fight with Klingons

Scotty threw the first punch!

Protecting your car from being stolen

My mom’s 1965 Ford Mustang.

x
x

That’s my mom on the right with the ‘Stang, a ’65 she bought from her brother who worked at a dealership in Hollywood back then. He arranged for 5 Mustangs to be painted in a Thunderbird color just so she could get her preferred color without paying extra. It’s a rare car.

(Next to her is her good friend Cindy and her Thunderbird, year unknown to me. More on her and that car in a moment.) [Edit: Thanks to Matthew Lallo I now know that a 57 T-Bird. Thanks, Matt!]

That Mustang was stolen three times in the 80s and 90s. Each time the thieves were able to get into it, pop it into neutral and push it down the block to a small cul-de-sac to try to get it running. Each time they failed. Each time we found the Mustang sitting there, lightly molested but otherwise intact. I think we had to replace the radio once.

The reason they were unable to start the car is simple. Dad installed one of these:

x
x

A small toggle switch mounted under the dash, too small to be noticed easily, acted as a simple ignition interrupt. The thieves pulled the wires off the ignition switch but were unable to get a spark. They’d pop the hood and check the battery, but weren’t able to figure out why there was no spark. None of them noticed the little toggle switch.

Mom was religious about flipping that switch each and every time she parked, and it paid off.

As a side note, Cindy’s T-Bird was stolen a number of times, too, and each time foiled, but they were using much more sophisticated anti-theft devices. I think it even has a LoJack. I find it kind of funny that Mom’s cheap toggle switch was just as effective as Cindy’s more expensive devices.

Arnold Ziffel Tests his Civil Rights – Green Acres – 1967 & 1968

Enjoy!

Question:

What can we do if China sends their troops to Hong Kong finally?

Answer:

PLA soldiers already stationed in Hong Kong.

x
x

Did you drop out of elementary school or something?

x
x

Have you not seen the Chinese People’s Liberation Army Forces Hong Kong Building in Central? It’s right next to Victoria Harbour. You can’t miss it.

x
x

Seriously, I doubt whether you’ve even visited Hong Kong, let alone live there, dear Anonymous.

Chris Cornell – Black Hole Sun Acoustic

Enjoy.

It Is Going To Take “Trillions” To Fix The Massive Derivatives Crisis That Has Erupted In Europe

.

This thing in Europe is rapidly becoming rather serious.  Vladimir Putin’s decision to end the flow of gas through the Nord Stream 1 pipeline has caused an enormous derivatives crisis to erupt in Europe, and it is going to take a giant mountain of money to fix it.  Some are already referring to this as a “Lehman Brothers moment” for the European financial system, and authorities all over the EU are really starting to freak out.  We haven’t seen anything like this since 2008, and if the Europeans are not able to contain the damage we could soon see a tsunami of financial panic sweep across the entire globe.

It is being reported that energy trading in Europe “is being strained by margin calls of at least $1.5 trillion”

European energy trading is being strained by margin calls of at least $1.5 trillion, putting pressure on governments to provide more liquidity buffers, according to Norway’s Equinor ASA.

Aside from fanning inflation, the biggest energy crisis in decades is sucking up capital to guarantee trades amid wild price swings. That’s pushing European Union officials to intervene to prevent energy markets from stalling, while governments across the region are stepping in to backstop struggling utilities. Finland has warned of a “Lehman Brothers” moment, with power companies facing sudden cash shortages.

We aren’t talking about 1.5 million dollars.

We aren’t even talking about 1.5 billion dollars.

1.5 trillion dollars is a colossal amount of cash.

To put this in perspective, if you were able to create a stack of one trillion dollar bills it would be 67,866 miles high.

So a stack of 1.5 trillion dollars would be over 100,000 miles high.

We often use the phrase “a mountain of money” rather flippantly, but this really would be a colossal mountain of money.

The problem is not with the physical markets.  Rather, we are being told that 1.5 trillion dollars in “liquidity support” will be needed because derivatives trading has gone completely haywire…

“Liquidity support is going to be needed,” Helge Haugane, Equinor’s senior vice president for gas and power, said in an interview. The issue is focused on derivatives trading, while the physical market is functioning, he said, adding that the energy company’s estimate for $1.5 trillion to prop up so-called paper trading is “conservative.”

1.5 trillion dollars is the “conservative” estimate that we are being given right now.

That means that the final bill will likely be in the “trillions”.

Where is all of that money going to come from?

Over the years, I have done so many articles about the dangers of derivatives.

Is the inevitable global derivatives meltdown finally upon us?

At this point, one option that the European Commission is considering is the “temporary suspensions of derivatives markets”

The European Commission is also examining measures to help with liquidity. These could include credit lines from the European Central Bank, new products as margin collateral, and temporary suspensions of derivatives markets, according to a policy background paper seen by Bloomberg News.

If they actually decided to temporarily suspend the trading of derivatives, that would actually create even more panic.

This entire crisis could be solved if the war in Ukraine ends and Russian gas starts flowing back into Europe.

But that isn’t going to happen.  Neither side is going to back down, and there will not be peace any time soon.

And so this is going to be a very bitter and very cold winter for Europeans, and the Russians are openly taunting them

Russia’s state-controlled energy giant Gazprom has taunted Europe with a sinister video warning about a long winter with snow and ice sweeping across the continent.

The two-minute clip titled Winter will be Long shows how Europe will freeze amid the exorbitant energy prices caused by Vladimir Putin’s savage invasion of Ukraine.

The footage shows a worker turning off the supplies, sending the gas pressure needle to zero, as icy clouds ominously creep across the screen, interspersed with aerial shots of Brussels, Berlin, Paris and London.

 

This winter, we are likely to see shortages, mandatory rationing and insanely high energy bills all over Europe.

According to Zero Hedge, it is now being projected that energy bills in Europe will increase by a total of 2 trillion euros and will ultimately reach 20 percent of all disposable income.

Needless to say, we are now in unprecedented territory.

We have already started to see absolutely massive protests in major European cities, and Italian politician Matteo Salvini is openly admitting that this crisis has brought Europeans to “their knees”

On Sunday Salvini urged an end to Russia energy sanctions which are only leaving Europeans “on their knees” due to higher energy bills and lack of supply. “Several months have passed and people are paying two, three, even four times more for their bills,” he said in an interview RTL radio. “And after seven months, the war continues and Russian Federation coffers are filling with money.”

He explained that not only are the sanctions not working, but they hit Italy harder. While saying he stands in solidarity with Ukraine, he’s not willing to stick with something obviously counterproductive where the blowback is felt more in Europe, Italy in particular with its soaring energy import prices, and not the intended target of the Putin government.

The longer the gas stays off, the worse things are going to get.

So what happens if the Russians never turn the gas back on?

Already, companies are shutting down facilities all over Europe because energy costs have made it unprofitable for them to continue operating.  This includes the second biggest steel producer in the entire world

The second-largest steel producer in the world, ArcelorMittal, is the most recent business name to announce the closure of a factory in Europe as a result of rising gas and energy costs.

Due to the outrageously high surge in energy prices, ArcelorMittal is shutting down one of the two existing blast furnaces at its steelworks plant in Bremen of Germany, starting by the end of September until any further updates.

Many have warned that Europe is plunging into a “recession”, but the truth is that what the Europeans are facing is much more serious than that.

This is going to be bad.

And things are going to stay bad until there is peace with the Russians, and the truth is that peace with the Russians may not happen at all.

Months ago, western leaders were openly bragging that they were going to crush the Russian economy.

It turns out that Europe is the one being crushed instead.

Summer is almost over, winter is coming, and the Europeans are completely and utterly unprepared for what is coming next.

.

A lot better than before.

When I was living in China, Uyghur induced acts of terrorism were just every day events. Dozens of police were killed in Xinjiang every week. I wanted to go and visit some of the beautiful places in the Chinese wild west, but Uyghur friends strongly advised against it. My life would be in danger every minute I was there. Daily news reports confirmed this.

I nearly lost a good friend who was almost in the wrong place at the wrong time due to Uyghur terrorist acts. A group of deranged Uyghurs stormed into a train station in Kunming (which is not in Xinjiang btw) with big knives and started killing random people. My friend had just left the station 10 minutes before.

Uyghur fanatics were pressing for an independent islamic state in Xinjiang, and were perpetuating numerous acts of murder and mayhem to get their way. They would show up in Beijing with the family vehicle, with wife and kids on board, and go plowing into crowds of innocent people, killing as many as possible until they were finally stopped.

In such an environment, it’s impossible to distinguish between the good guys and the bad guys.

Previous dynasties would have quickly exterminated the entire Uyghur population. The CCP demonstrated extreme restraint by just gathering everybody up and sending them all to facilities where they would be taught to be polite neighbors. Of course, the normal aggrieved crybabies wept their crocodile tears over the oppression that prevented them from doing random acts of murder. Waah waaah waaaah.

Xinjiang is a beautiful and safe place to visit now. Try it sometime. I plan on doing so when the pandemic stuff calms down.

Look at it this way. How would the people of the US react to a large group of Muslims taking over an entire state and attempting to establish an islamic republic within our borders, while perpetuating bloody acts of terrorism all over America? Would the US government exercise any restraint at all? I think not.

Liam Gallagher – Champagne Supernova (MTV Unplugged)

Ohhhhhhh.

About my Siamese cat “Kiki”.

Five years ago at 4:41am she started yowling and crying and walking all over me and nipping my nose. I couldn’t figure out what her problem was until I heard the most devastating sound coming from my Bengal cat “Mouseman”. He was 20 years old.

x
my Bengal cat “Mouseman”

The night before he could not walk anymore. He lost a lot of weight. He had no control of his bladder.

I had an appointment for that morning for the vet to come to the house to help my boy Over Rainbow Bridge.

Anyway I awoke to him gurgling.

He was asleep on a blanket next to my pillow. I picked him up and placed him on my chest.

Kiki kept nuzzling him as he took his final breath in my arms breaking my heart.

If it weren’t for Kiki I would not have been able to say goodbye to my heart.

x
Kiki

China!

When I first came to China for work, most of what I had known about China was from the western media.

x
x

Trump visited Beijing’s Forbidden City (Nov 2017).

However, after living here for a few years, I feel that there are lots and lots of junk information in the western media. It seems that a good number of journalists, who write articles about China, in all likelihood, have never been to China. 🙂

Well, China is a lot better place than what we typically read in the English newspapers. In fact, China is one of the safest place to live on this earth.

x
x

Shanghai.

Here are some simple facts about China:

1. Don’t get into drug related crimes. Even the Spartans can’t save you.

2. In general, you don’t need to worry about safety. You can wander in cities and in the countryside. You can wander in days and in the nights. No issues. Just don’t touch the law.

3. Learn some basic Chinese if you are coming to live here for a long term. It’s really important.

4. Learn to use chopsticks. You’ll meet them on a daily basis.

5. China is huge. So many awesome places to explore. Travel in China. I prefer to go solo. It’s fun.

6. People are very friendly and willing to help you all the time. Make sure to strike a conversation as most of the locals are shy, especially if you only speak English to them.

7. Try some local food. Much affordable, and delicious. There are so many authentic local food. You don’t have to eat those western pizza and burger in China.

8. Public transportation is very efficient, and couldn’t be cheaper. Just RMB 2/ride in Shanghai buses, doesn’t matter how far you go. Further, if you buy the city smart card, you can save more.

9. Economy is doing great. Lots of foreigners in China for work and long term stay. So many expat communities.

Living in China has been a wonderful experience.

The unexpected danger in Australia, is how far everything is. The distances are huge.

In the outback desert especially.

Travellers die there with unnerving frequency. Foreigners die; urban Australians die; local aborigines die. They drive in cars, they think the cars can handle it, they think they have enough provisions, but no, no, no.

x
x

Guess what this shows? It shows the Shelton family—Steve, Skye, their 4 children—in the middle of the Simpson Desert. If you magnify the photo (The Australian Magazine, 24–25 November 2018), you will find their 1998 Nissan Patrol GU, plus their camper-trailer with a blue awning attached, plus the 2008 Nissan Patrol of Rick Shea and his daughter, who came to their aid. But who couldn’t do much, apart from stay with them.

x
x

The Sheltons left the Mount Dare Hotel on Wednesday, 5th September 2018. They were at the end of a 4-week driving holiday from their home near Brisbane, Queensland, across the Northern Territory.

The Simpson Desert is 175,000 sq km in area and between 550 and 715 kilometres wide, depending on which track you take. It consists of more than 1100 parallel sand dunes running north-south. The Sheltons began driving to Birdsville, 477 km away.

On Friday morning, the engine stalled on the crest of a dune. Uh-oh. They had brought lots of water, but the aluminium container stored under the trailer had burst: 110 litres were gone. They had 84 litres left.

They had a UHF line-of-sight radio. It did not reach far, what with the undulating terrain, but it did reach Rick Shea and his daughter, who were driving to Rockhampton, Queensland. They arrived.

Rick had an HF radio, which covers 1000’s of kilometres. Rick rang the Birdsville Roadhouse for its recovery service. Birdsville said to ring the Mount Dare Hotel, which was “closer”: 240 km away. Mount Dare wanted $5000 upfront.

About 10,000 to 15,000 tourists cross the desert every season, and many break down. Mount Dare effects 20 to 25 recoveries per season. If a camper-trailer needs rescuing, that requires 2 trucks (there and back), wages for the mechanics, money for fixing the trucks (they break down too and suffer terrible wear and tear). It does not come cheap. More like, $440 per hour.

The Sheltons had problems with their credit card; they eventually got $3000 from their parents. They were told the rescue trucks would arrive on Sunday. The trucks did not arrive on Sunday. One of the trucks had been in a crash, the other was waiting for a part. The replacement parts were being sourced by the Kulgera Roadhouse.

It is 1 day’s drive from Kulgera to Mount Dare. It is 2 days’ drive from Mount Dare to where the Sheltons were stranded.

Late on Tuesday afternoon—after 5 days of waiting, with dwindling water and food—2 Nissan Patrols crested the dune with, each, a mechanic from Kulgera. They unloaded 120 litres of water. It took them 4 hours, to find the broken sensor in the timing mechanism. They built a replacement.

On Wednesday morning, the mechanics accompanied the travellers for 50 km, then turned back for Kulgera. During the round trip, one of their trucks suffered a broken shock absorber, a shredded tyre, and a damaged fuel tank.
The Sheltons rolled into Birdsville on Thursday 13th September.
Their bill exceeded $10,000.

The moral of this tale is:
take a satellite phone and an emergency radio beacon; bring 7 litres of water per person per day; tell people when you expect to arrive; stay with your vehicle; and … make sure your credit card is active.

Super-Easy Chocolate Donuts Recipe

Yum!

Catherine Semochkina, Instagram blogger

x
x

Many Russians are addicted to watching glamorous life unfold live on the social media. There is no shortage of individuals who are even more addicted to living and showing this life to them. It can lead to a tragic end.

Catherine studied at the Pirogov Medical School, and was a resident at the Department of Oncology. She was from a nice, middle-class family and had always been a good student.

Catherine’s friends spoke of her as a polite and positive person. One of of her friends, Julia, said in a TV interview that Catherine was “smart and always had a smile on her face.”

Catherine devoted a lot of time to her Instagram blog, although she never wrote or posted anything about her personal life.

Catherine had 84,000 followers on her Instagram account. She blogged about her studies in the medical school and travels.

Judging by the profile, the girl led a luxurious lifestyle: she traveled to Italy, France, and Dubai. She wore branded clothes, and visited the most expensive restaurants in Moscow.

In many photos on her Instagram, Catherine appeared in revealing outfits, swimsuits and underwear. She participated in Miss Maxim 2018 contest, and made an explicit photo shoot for the magazine.

All this gave rise to rumors that the girl provided escort services, and some subscribers openly expressed it in their comments.

x
x

“Our short but fun trip to Corfu has come to an end. It was really great to escape torrential rains of Moscow to the Ionian Sea.”

That was her last Instagram post published on July 22, 2019.

After Catherine returned from her trip to the Greek island, she did not answer calls and messages from her parents. They were worried and asked the landlord to visit the apartment that Catherine rented from him.

When he entered the apartment, he saw a suitcase in the hallway. Catherine’s legs protruded from it. She was naked except for black, lace garters. There were stab wounds on her neck and chest.

A few days later, Maxim Gareev, a fan of plastic surgeries and a regular client of the elite prostitutes, was arrested. They called him Ken, because of his fixation on his appearance.

Mr. Gareev confessed that he spent a night with Catherine. In the morning he stabbed her five times, and tried to stuff her body into a suitcase, but the girl was too tall and didn’t fit in.

During the interrogation, Mr. Gareev said that Catherine constantly made fun of his sexual prowess and called him a “cheapskate”.

Mr. Gareev was tried and sentenced to nine years in prison.

x
Maxim Gareev

This one!

x
Those sneaky bastards!

LEGO Star Trek: The Trouble with Tribbles

Great fun!

Oh, guys, it’s only just begun. What Putin and China are doing will change EVERYTHING

Reordering. A dangerous time. Listen up.

What Putin and China are doing will change EVERYTHING

Listen Up! Pay attention!

First 9 minutes are important, then the paid-for, for-profit segment begins. Just check out the first nine minutes.

Ukraine

This cartoon pretty much sums it up.

x
x

Russia ‘Alarmed’ At Lack Of U.S. Visas To Attend UN General Assembly

Russia’s ambassador to the United Nations has said it’s “alarming” that no one from the 56-member Russian advance team and delegation headed by Foreign Minister Sergei Lavrov has received a U.S. entry visa to attend a UN General Assembly debate in New York later this month.

Article HERE

Many years ago a bank ordered the repossession (repo) of my Tahoe even though I had made all the payments on time. The repo person was nice enough to come in my accountancy business and inform me in case I wanted to get my belongings out of the vehicle.

I showed him my paid receipts and he said he had no choice because he had an order to repossess the vehicle. I asked would he wait while I called the bank and my attorney. He said sure no problem. We sat in my office as I first called my attorney. My attorney asked me to call the bank with him on the line and inform the bank that my attorney was on the other line.

Now we have the bank on the phone, and after 20 minutes of research and faxing over copies of my payment receipts, the bank had admitted that I had in fact made my payments on time every month. I said “Great — can you tell the repo person that everything’s is fine?” They said they could not because the legal department was closed and they were the only ones who could cancel the repo order. So the repo had no choice but to take my Tahoe. He said I was the first person he ever heard of and saw who had proof that he had had made all his payments.

It turns out the bank had misapplied all my payments as unfound cash. I asked what that was, and they said that’s when someone comes to the bank and gives them cash and leaves without telling them what it is for. I had one question, “How many people actually do this?” I also asked them, “Why do my receipts have the loan number on them?” They did not have an answer.

After the call, my attorney told me to let the repo guy have the vehicle and since he was sure my credit was damaged to apply for business loans the next day. I applied for a business loan of $250K at several banks. All of them had turned me down because I had a repossession on my credit.

Six months later — thanks to my attorney and the bank saying they did nothing wrong — I ended up receiving a brand-new Tahoe from my local Chevy dealer for free (paid for by the bank): the most expensive model I could find with all the bells and whistles and extended warranty and whatever else they could sell me. (Note I made one car salesmen very happy, as I didn’t even want a discount.) As a bonus my attorney also forced the bank to pay me $250k since they had destroyed my credit, preventing me from obtaining a business loan, therefore losing out on the opportunity to buy another accounting practice to expand my business.

Exactly one month after that, the veterinarian next to our business had ordered a new air conditioner (AC) for his business, but the AC company took ours instead and destroyed it in the removal process. I ended up with a brand-new $10k AC unit.

2004 was a very good year for me because of other people’s mistakes.

My wife came with a cat and neither me or the cat liked the other. One day while eating, that SOB clawed me at my ankle. I jumped up and told my wife that either the cat goes or i’m going. My wife looked at both of us and said that the cat wasn’t going any where and neither was i.

I looked at that damn cat and he looked at me and swear we both shrugged our shoulders and ended up becoming the best of friends.

Well, in my case, the CCP gives me:

  • a monthly stipend of $5,000
  • all the Chinese hookers I can handle
  • membership into the CCP (it’s really, really hard to get)
  • free transportation (flights, HSR) to anywhere in China (great for vacationing)
  • free accommodation in the best hotels in China
  • invitation to Xi Jinping’s dinner parties (I can hobnob with the cream of China’s politics)
  • tours of China’s naval vessels, especially her aircraft carriers (cool!)

Hey, it’s a tough job but somebody’s gotta do it.

And the nice thing is that my propaganda work is easy peasy. I can practically do it in my sleep.

China does speak up. Vociferously. But it doesn’t matter. The West has a total lock on censorship and propaganda in Western media.

Think about it…USA, UK, Australia, Canada, and EU have ganged up on China, one lone country. It’s a huge imbalance.

If these Euro-American nations are so gung-ho on starting a war with China, there isn’t much China can do about it. They can start a war but China will most certainly finish it.

  • China is a major nuclear power. She can certainly nuke America, Britain, Germany, Japan, Australia and Canada without breaking a sweat.
  • China has the world’s largest army, by far, and the world’s largest navy to boot. China has started to build supercarriers!
  • China has some of the most advanced stealth fighters in the J-20 and J-31.
  • China has operational hypersonic missiles. Even USA doesn’t.
  • And Russia has declared that it will come to China’s aid in the event of war.

You thought the Second World War was horrific? Fuck, you ain’t seen nothin’ yet.

.

China surpassed USA economically by purchasing power parity in 2013. China is expected to overtake USA by nominal GDP before the end of this decade.

But putting aside economic numbers, it is fairly obvious that China is already economically much more powerful than USA…

  • China is the economic engine and manufacturing hub of the world economy.
  • China has accumulated vast trade surpluses.
  • China is the king of infrastructure. In addition to building massive infrastructure within China, it’s also building infrastructure for countries all around the globe, thanks to the Belt and Road Initiative (BRI).
  • China is so powerful that she is leading BRICS to create an alternative reserve currency to the US Dollar (the pillar of American power).

It’s also important to note that USA is declining. USA faces a crushing $30 trillion national debt fueled by endless money printing. The US Dollar is backed by thin air. This is unsustainable.

Al’s Labor Day Speech | Married With Children

Dancing with the Politicians

US Foreign policy has become a full-time comedy routine

If the non-stop dancing duo Biden and Blinken is seriously seeking to validate its view that the United States of America is and should be the world’s hegemon, they are going about it the wrong way. They should be taking their lead from Ukraine’s President Volodymyr Zelensky by turning their press conferences into entertainments with dancing bears and scantily clad chanteuses pirouetting and singing across the stage. They would benefit from recalling how Zelensky rose to power through his performances of comedy routines in which he would be prancing around on high heels with three colleagues who appeared to be mocking what might be construed as gay mannerisms to amuse the audience? Or perhaps the rather more outre performance where Zelensky would play a piano with his penis? If one can remember all that it would most definitely help to understand the foreign policy that is somehow playing out in Ukraine, where Zelensky has transitioned into a serious, unsmiling guy who is adept at solicitations for money and weapons. His pleading has become a shameless full-time endeavor as he now appears on thousands of screens via video link all over the world, saturating the airwaves and dropping in on both major and minor gatherings. Australian journalist Caitlin Johnstone recalls how he has appeared on “the Grammy Awards, the Cannes Film Festival, the World Economic Forum and probably the Bilderberg group as well, [while also] having meetings with celebrities like Ben Stiller, Sean Penn, and Bono and the Edge from U2. It’s as busy a PR tour as he could possibly have without having a discussion about the strategic importance of long-range artillery with Elmo on Sesame Street.”

Elmo might in fact be coming next as NPR is clearly one of Zelensky’s biggest fans. One also suspects that before the Ukrainian President is finished, he will be addressing a rotary meeting in Sioux Falls South Dakota. And Zelensky has even turned begging into a family affair, with his wife Olena welcomed by the President and First Lady at the White House while also going on to address the US Congress, entreating America’s Solons to provide plenty of cash and things that go bang to thwart the ambitions of one Vladimir Putin. As she put it, she is concerned lest her son and daughter be unable to return to school and university in the fall. She then observed that “We would have answers if we had air defense systems” which would enable a “joint victory in the name of life, freedom and the pursuit of happiness.”

Indeed, a high point of the recent antics has to be the unique cover photo shoot by Vogue magazine, in which the lovey-dovey couple Volodymyr and Olena grin and hug before the cameras. Zelensky declares his undying affection . Vogue aside, the entire Zelensky performance, choreographed as it is by neocons inside and outside the administration, is perfectly color and image coordinated. Zelensky has an endless supply of olive drab t-shirts and he entertains in Kiev a steady stream of statesmen and even heads of government from Europe and the US, including the US Attorney General Merrick Garland, who has appointed a seasoned Justice Department “Special Investigations”, i.e. “Nazi hunter” investigator, named Eli Rosenbaum to look into possible Russian war crimes.

The Garland/Rosenbaum dynamic duo will not be looking into possible Ukrainian war crimes like the recent assassination of Darya Dugina in Moscow as it is not part of the mandate from Biden/Blinken and besides which the Ukes are America’s friends, just like the Israelis who are such great friends that they also get a pass on whatever they inflict on the Palestinians, including shooting or blowing up civilians. Indeed, Zelensky’s White House approved message is always the same: “give us money and guns and we will defeat the Russkies.” So Honest Joe Biden gives them the cash and the things that go bang in the night and in return they get a hearty hand shake when the bundles of Benjamins get transferred into the trunk of someone’s car. All of which leads one to wonder if Mr Z is the best reliable source for anything having to do with himself and the corrupt toadies that adhere to him, given the recurring reports that some donated weapons are already making their way into the black market just as quickly as the money goes into officials’ pockets. Zelensky has reacted to criticism by shutting down opposition parties and media, assassinating dissident politicians and firing or imprisoning any other official who might be inclined to disagree with him.

Apart from that, there is allegedly a war going on, which may not be evident from all the horse trading taking place at the presidential palace. It also would appear to be counter-intuitive that the Russians, blamed without much in the way of evidence for atrocity after atrocity, have apparently proven willing to let Zelensky entertain all his guests undisturbed. If you are truly committing a lot of war crimes, why not add one more to the list by blowing up the Kiev presidential palace and both killing Zelensky and probably ending the war at a stroke?

There are, in fact, two wars taking place simultaneously. There is, to be sure, fighting going on around Donbas, but the more important conflict is the phony war being waged by the Biden Administration and a number of European Chancelleries in support of whatever is actually taking place in Ukraine. This latter aspect of the war consists of perhaps the most stifling – and effective – propaganda effort the world has ever seen. It includes Joe Biden and his brigade of clowns, but it also has a supporting cast consisting of NATO, a number of European heads of state and virtually the entire western media. Social media has also joined in the struggle, banning Russian originating news stories and opinion, and using algorithms and other forms of manipulation to make reporting favorable to Moscow go away. The allied effort to defeat and destroy Russia relies on lies, half-truths, and out-and-out deception. But why bother to do it? It is because the war was preventable and avoidable, which is what the White House and other governments cannot admit to the public. It makes absolutely no sense and will benefit no one when it is over, and “over” might mean “really over” as nuclear weapons are on the table.

But what about the good old American exceptionalism which Biden-Blinken and that stalwart warrior Merrick Garland are supposed to be defending? Well, that seems to have taken a hit as much of the world, watching the fiasco unfold in Ukraine, apparently doesn’t appreciate the Anglo-Saxon sense of humor. To them, the war in Ukraine would never have started if the US and Europeans had invested in the tiniest effort as mediators to come to a negotiated solution. They have given up on the United States as a “force for good” and have rather concluded that Washington is a global bully and a regular aggressor.

Former US Air Force colonel and PhD Karen Kwiatkowski has an interesting tale to tell about how far the mighty have fallen. She writes “…I saw that the Solomon Islands refused (ignored really, which is even better) a US Coast Guard request to come to port, to buy fuel, like with real American dollars, y’all! Why was the US Coast Guard floating around the South Pacific – were they lost? After getting a fuller picture – they were looking for lawbreaking fishermen and that’s where their mission took them…” So what was the US response to this outrage, which was immediately blamed on interference by the Chinese? We need “a new embassy in the Solomon Islands… along with a new five year engagement plan in the Pacific.

During the Cold War before the Soviet Union collapsed in 1991, a commonly heard comment was that the country had become economically and politically an “Upper Volta with rockets,” which implied that the USSR spent so much on weapons that the civilian economy was starved of resources. Well, welcome to the former United States of America. As the nation’s decline and fall will no doubt be facilitated due to the millions of mostly Latino “asylum seekers” flowing over America’s southern border, the US as a “Bolivia with nukes” might be more appropriate. The world is tired of Washington and its pretenses and the walls will inevitably come tumbling down when the Biden unsustainable trillions of dollars of added debt-surge brings on bankruptcy Argentina style. A sharp change in course might be able to fix some of the problems, but there is an election coming up which the White House is keen to win by flooding its cherished constituencies with funny money in exchange for votes, a practice which once upon a time would have been seen as corruption. Come to think of it, the US has become a banana republic run by an essentially criminal gang that alternates every few years to pretend to be a democracy. Can’t get much lower than that, but Biden sure is trying!

How to Make Mozzarella Cheese 2 Ingredients Without Rennet

OMG! Do you have any idea about the secret pizza message that I am laying down here?

If you mean desert greening (as a result of which the climate inevitably changes to a more humid and less severe one), then yes – they do it, and they have success. The Mu-Us Desert is clear evidence:

x
x

x
x

x
x

x
x

China Used a Shijian-21 Satellite to Pull Another One Out of Its Orbit

Cool, huh? Well, the USA doesn’t think so. U.S. officials are concerned the technology could be militarized.

Article HERE

Evidence: US is not a democracy

x
x

This is a MUST view video!

More poking the Dragon, and Outrage in Ukraine. What’s next?

Let’s begin with this screenshot to keep us grounded.

x
x

Ignorance abounds in the West.

Hey, do I LOOK fucking Chinese, you dumb fuck, piece of shit, asshole, jack-off, idiot.

The anti-China propaganda is so complete that it appears that [1] A war is imminent, and [2] A dumbed down American population will be unable to innovate at any appreciable level.

Fuck this shit. Let’s go through today’s mix of stuff.

That depends on where you got your information from.

x
SKP mall, Beijing

SKP mall, Beijing

x
Xin Tiandi, Shanghai

Xin Tiandi, Shanghai

x
XuJiaHui, Shanghai

XuJiaHui, Shanghai

Apparently real-world Chinese are living in an alternative reality where they are having normal Christmas as usual.

While the Chinese from known anti-China medias are suffering under ‘Chinese authority’s Christmas ban’. LOL. You have to be an IDIOT to believe the bullshit that flows out of American “news”.

x
Photo from Epochtimes supposedly depicting authority’s repression of the public.

Photo from Epochtimes supposedly depicting authority’s repression of the public.

Next time when you want to get news, avoid American and Western media. News reporting isn’t on their agenda.

Political attacks and information manipulation are.

I’m Algerian so I think I’m qualified to answer this. Short answer: I love them and many other Algerians do too.

What I like the most about Chinese people is the way they do business with us. They come, they build whatever they were told to build ,and when they finish, they leave. You might be wondering what’s so special about that? Well, this is not what we are used to. France has been our biggest “partner” for a long period and it has been tough for us. Let me explain – when France decides to do business with us we always lose.

France: Oh you want to produce cars – Renault can help you .

Algeria: Oh cool.

France: Oh – by the way, no other car manufacturer is allowed to produce cars in Algeria for 3 years.

Algeria: Ok cool.

France: …and while we are at, if we don’t sell all our bullshit cars, that no other country accepts, the Algerian government should buy them.

Algeria: Sure mom – it’s people’s money anyway.

France: by the way I don’t like how religious your country is. Change that – now.

Algeria: Sure mom – anything else?

France: I heard you are expanding your train network. Here’s a bankrupted train company that can sell you train wagons three or four times their actual price.

I know I shouldn’t be blaming France for everything since France is just exploiting our corrupt government. But France helped them become our leaders and France is always trying to tell us what to and what not to do.

Sorry for the long answer.

Time to go

For our beloved cat, it was terribly hard to decide what was best. Aside from a bit of arthritis, for 18 years old, she was physically in good shape.

But we started to notice that she was acting rather oddly. She would go behind doors, then seem to get “stuck”, and not know how to get out. She would forget where her cat box was, or where her food was.

And then later, she would sleep all day, but when the sun went down, she would pace, and howl frantically. Nothing we did would calm her.

We had the mobile vet in several times. The vet said that our cat probably had senile dementia. We tried everything the vet recommended, but our poor cat was either frantic and agitated, or so drugged and groggy that we had to carry her to the cat box. We knew it wasn’t a good life for her.

So the vet made a last visit. We sang to our cat, and told her how much we loved her. We gave her poached shrimp, her favorite food. We said good-by, trying not to upset her by crying. The vet gave her a shot, which sent her into a deep sleep. We stroked her, and said that very soon, everything would be OK. Then the vet gave her a last shot, and she went to her eternal sleep.

I often imagine her in the cat version of Valhalla. She is young and healthy again, running over green hills. She plays forever, without ever getting winded. And when she sleeps, she dreams of catching dragons. And maybe of us, the humans who loved her so much.

x
x

Simple Lox & Bagel Recipe

There’s lox & bagels and then there lox and bagels. And a couple, simple changes make this one outstanding.

Serves 2

The food of my people doesn’t get much better – unless you change it up a bit and go with an inspired yogurt/dill/caper thing instead of the usual cream cheese.

Ingredients

  • 4 tablespoons Greek yogurt
  • 2 tablespoons capers, drained
  • 1/2 tablespoon dill (dried or fresh)
  • Juice from 1/2 lemon
  • Pinch of Kosher salt & fresh ground pepper
  • 2 whole wheat bagels
  • Red onion, thinly sliced
  • 4 ounces lox
  • 1 large, beautifully ripe tomato, sliced into 1/8 inch rounds

Directions

  1. Put yogurt, capers, dill, lemon juice, salt & pepper in a bowl and mix well to combine
  2. Slice bagels in half and either toast, then butter – or butter first then grill on a non-stick surface until golden brown (grilling is better)
  3. Spread yogurt mix on 2 of the bagels, then add tomato, some onion, season with a little more pepper, lots of lox and finish with bagel top
  4. Slice and eat

Why Are So Many Bad Things Happening To America In 2022?

.

Have you ever wondered why we just keep getting hit by one thing after another?  I grew up during a time when it seemed like America was endlessly blessed, but now everything around us seems the opposite of blessed.

Our economy is imploding, inflation is out of control, the housing market is starting to crash, our weather patterns have gone completely nuts, the western half of the nation is enduring the worst drought in 1,200 years, we are dealing with three major pandemics simultaneously, we are losing our proxy war with Russia in Ukraine and it looks like war with China is just around the corner, and on top of everything else our political system is failing because liberals and conservatives deeply, deeply hate one another.

And if you think that there is hope on the horizon, you are going to be severely disappointed.

The current crop of politicians in Washington is the worst that we have had in our entire history, and all of the “solutions” they give us just seem to make matters even worse.

This weekend, I came across a very intriguing article in the Jerusalem Post entitled “Is God punishing the United States of America?”

It was authored by a prominent businessman named Sherwin Pomerantz, and it really got me thinking.

Could it be possible that there is a common thread that connects all of the bad things that are constantly happening to us?

In his article, one of the points that Pomerantz makes is that America’s political system is coming apart at the seams right in front of our eyes

Politically, whether people want to admit it or not, the country is in the midst of a civil war, though for the moment, not one where both sides have taken up arms, one against the other (although that, too, could eventuate).

Sadly, he is right on target.

There is so much politically-motivated hatred in our country today.  Liberals deeply hate conservatives and conservatives deeply hate liberals.  It would seem that it is only a matter of time before the entire system shatters.

On another note, Pomerantz believes that it is no coincidence that our weather patterns have gone totally haywire and that our nation is being constantly hit by natural disaster after natural disaster

Then there is the weather. On average, the US experiences fewer than 1,500 tornadoes a year. Through June, there have already been 940 reported tornadoes there, which means the country is on track to see 2,000 or more, a 33% increase year-on-year.

The nightly news out of the US for the past few months shows tens of millions of people under extreme weather risk every day, often in three different areas of the country simultaneously (upper Midwest, East coast and the Southeast region). In the west, a long period of drought has been drying up reservoirs and spawning massive forest fires. National Interagency Fire Center (NIFC) statistics show that as of July 5, 34,478 fires have already burned 4,582,301 acres. This is above the 10-year average of 27,346 fires, and twice the average of 2,026,917 acres burned. The odd thing is that very little of this occurs just north of the US in Canada, or just south in Mexico. It all seems to be centered in the US.

I did find it odd that Pomerantz only briefly mentioned the drought, because it is actually a really big deal.

At this moment, the western half of the nation is in the midst of the worst multi-year megadrought that the region has experienced in 1,200 years, and this is having an absolutely devastating impact on agricultural production.

For example, we are now being warned that a very serious shortage of tomatoes could soon be coming

As endless supply chain-related food shortages force people to adjust their grocery lists, another essential ingredient is becoming scarce. Along with avocados, cream cheese, chickpeas, and olive oil, the supply of tomatoes has started to dwindle. But this time it’s California’s catastrophic drought conditions denying farmers the water needed to grow the versatile vegetable that’s to blame. Due to the shortage, the price of byproducts like ketchup, salsa, and spaghetti sauce has started to surge.

Speaking about the tomato shortage, Head of the California Tomato Growers Association Mike Montana said the golden state desperately needs rain. “We are getting to a point where we don’t have inventory left to keep fulfilling the market demand,” he told Bloomberg News. California, which grows a quarter of the world’s tomatoes, is in the middle of a historic drought that has stoked massive wildfires while drying up reservoirs. It has also become a major threat to the agriculture industry.

When I was growing up, I never once imagined that there could ever be a shortage of tomatoes in this country.

But things have changed, and life in the U.S. will never again be like it was when I was a little boy.

Although to be honest I am having flashbacks to the 1970s with all of the inflation we are witnessing right now.

In fact, if the inflation rate was still calculated the way that it was back in 1980, it would be higher than anything that we experienced during the Jimmy Carter era.

Over the past couple of years, our politicians in Washington have gone on the most dramatic borrowing and spending binge in human history, and the “experts” at the Federal Reserve pumped trillions of fresh dollars into the financial system.

Of course that was going to cause inflation, and now we have a colossal mess on our hands.

I really like how Steve Bannon recently summed up what we are now facing

Every time you get a paycheck you’re falling behind because of inflation. 

Your real wages, sixteen months in a row (now let me think, how long has the Biden Administration been around here – about 18 months). Every month since they’ve been here real wages are down.

Ok so you’re losing, your treadmill, you’re losing every day in your wages and now the little bit of equity you have in the world, the little bit of net worth you’ve got in the world, the bottom’s fallen out on that.

Ok. You are screwed. 

Let me be blunt. 

Let me do some Harvard Business School math for you – You’re screwed.

The Federal Reserve is recklessly hiking interest rates in a desperate attempt to battle inflation, but everyone knew that this would inevitably cause a housing crash.

And as I pointed out last week, a housing crash has already begun.

This July, new home sales were about 30 percent lower than they were last July…

The plunge in home sales is just stunning. Sales of new single-family houses collapsed by 12.6% in July from the already beaten-down levels in June, and by nearly 30% from July last year, to a seasonally adjusted annual rate of 511,000 houses, the lowest since January 2016, and well below the lockdown lows, according to data from the Census Bureau today.

Sadly, this is just the beginning.

If the Fed keeps raising rates, things will get far worse.

Meanwhile, we find ourselves battling three major pandemics all at once.

Despite everything that our health authorities have done, COVID is still with us and will be with us for many years to come.

If that wasn’t bad enough, a bird flu pandemic has erupted this year which has resulted in tens of millions of our chickens and turkeys being wiped out.

On top of that, a new monkeypox outbreak continues to spread at an exponential rate all over the planet.

Is it just some sort of a bizarre coincidence that we are now facing three major pestilences simultaneously?

Of course this article would not be complete unless I talked about the war.

We are now deeply involved in a proxy war with Russia in Ukraine, and it is not going very well.

And if both sides continue to escalate matters, we could eventually find ourselves in a nuclear conflict with Russia which would have the potential to ultimately kill billions of people.

In addition, the warmongers in the Biden administration have also brought us to the brink of a war with China.  More U.S. politicians keep flying over to Taiwan, and at some point the Chinese are going to decide that they simply cannot take any more provocations.

Also, it is just a matter of time before Iran and Israel go to war.  And once that happens, the U.S. will inevitably decide to intervene.

In this article, I have covered war, plagues, economic collapse and natural disasters among other things.

Could it be possible that all of these things are happening at this specific moment in human history for a reason?

And could it be possible that we were warned in advance that all of these things would be coming?

My hope is that this article will get people thinking.

All of human history has been building up to a grand crescendo, and we get to be here for it.

Unfortunately, the vast majority of the population has no idea what is ahead, and so most of them are going to be absolutely blind-sided by the cataclysmic events that are rapidly coming our way.

Cats know more than you think

A:That I was pregnant.

x
x

5 years ago now my old man my DLH tuxedo was just acting so odd. He was obsessed with my stomach; would sleep on top on it every chance he got. The final straw was when I put down wet food and he chose to go lay on my stomach instead. That was weird he loved his wet food.

I dragged him to the vet for a check up; everything checked out fine he was completely healthy. A few days later it clicked for me. I called my best friend told her about the cat and thinking maybe he was right; so she got me a pregnancy test and what do you know that cat WAS right.

He knew our twin daughters were coming well before we did. After they were born he chose to be their guard cat. He would sleep outside their bedroom door every night. He would lay in between the two cribs on the floor for naps just to make sure his babies didn’t need anything. He never once tried to get in with them but always made sure he was watching them.

Our girls are 4 now and my old man is a much older man but he absolutely lives for them. He still sleeps in the hallway every night in between their rooms. Just in case they need anything.

I know now when he’s trying to communicate with me I need to listen and I do.

BP Refinery in Whiting Burns – ENERGY EMERGENCY DECLARED

The U.S. Department of Transportation has declared a regional emergency for Illinois, Indiana, Michigan, and Wisconsin after a fire shut down the BP oil refinery in Whiting, Ind., the largest in the Midwest, though there hasn’t been an impact on gas prices so far.

I believe 25% of the fuel for Michigan comes from that facility, this could really make things interesting.

The fire took place in the refinery’s power house and led to a loss of cooling water that could potentially damage equipment, according to Bloomberg, but the extent of the problems are reportedly limited to a small area of the facility, and no injuries were reported.

The shutdown comes at an inopportune time, just ahead of the harvest in much of the Midwest, and U.S. gasoline supplies are at their lowest August levels since 2015, according to the Energy Information Agency.

The Whiting refinery is a major storage place for crude oil used to make gasoline, and its supplies could be moved to Cushing, Oklahoma, in the event of a long-term closure, according to Bloomberg.

BP PLC shut two crude units at its 435,000 barrel-a-day Whiting, Indiana, refinery after a fire.

When a group is persecuted, what will happen to them?

  • genocide
  • Verbal violence, physical threats
  • Forced to renounce his religious beliefs
  • Forced to accept other beliefs and customs.
  • Be evicted, scattered, tost their homes.
  • Can’t own land, can’t accumulate wealth,
  • Images are perpetuated in a negative way, just like Jews were once seen as the embodiment of greed.

Am I right? If you agree with that, then let’s see whether Tibetans are being persecuted in China.

First, let’s look at a set of data comparison.

Population: in the first national census in 1953, the local government of Tibet reported a population of 1 million. In the second national census in 1964, the population of Tibet was 1.251 million, including 1.209 million Tibetans; In 2018, the statistical population of Tibet was 3.4382 million, with a net increase of more than 2million.

Education: There was no modern school in old Tibet, and the illiteracy rate of young and middle-aged adults was over 95%. There are now 2,995 schools of various types in Tibet, including 7 ordinary colleges and universities with 841,500 students, forming a complete modern education system. In the past 10 years alone, China has invested more than 165.6 billion yuan in education funds in Tibet.

x
Education in Tibet.

Political participation: In 2016, in the general election of deputies to the county-level people’s congress of the Tibet Autonomous Region, more than 1.81 million voters participated in the voter registration, and more than 1.72 million voters participated in the voting elections, with a turnout rate of over 95%. Currently, the Tibet Autonomous Region has 35,963 deputies to people’s congresses at all levels, of which Tibetans and other ethnic minorities account for 92.8%.

Participation in government.

Medical and health care: There were only 3 Tibetan medical institutions in old Tibet and no hospital bed. At present, Tibet has formed a four-level modern medical and health service system of autonomous regions, cities, counties, and townships, with 1,661 medical and health institutions of various levels and 18,942 beds.

x
Medical care in Tibet.

Transportation: There was no regular highway in old Tibet. At present, Tibet’s highway mileage has reached 118,800 kilometers. The Qinghai-Tibet Railway, Sichuan-Tibet Railway (part of it), and Lhasa-Japan Railway have been opened to traffic. There are 5 navigable airports, 130 domestic and international air routes, and 61 navigable cities. Tibet has formed an extensive network. A comprehensive transportation network with roads, railways and aviation as the main body.

  • Life expectancy per capita: 35.5 years in 1951 and 71.1 years in 2020.
  • GDP: 129 million yuan in 1965 and 190.2 billion yuan in 2020.
The above data are extracted from the "general introduction to the local history of Tibet", the national white paper and the Tibet Daily, etc.

In addition to that, the Tibetan people also enjoy full freedom in terms of culture and belief. For example, there are 1787 temples and other places for religious activities in Tibet, with 46000 monks living in temples. Major traditional festivals and religious activities, such as the Tibetan new year, the sheaton Festival, the wangguo Festival, the Sagadawa Festival, and the summoning of the Dafa society, are normally carried out.

In Tibet, both Tibetan and Chinese characters are used in education, public places and social activities. There are 55 national key cultural relics protection units and 616 district level cultural relics protection units in Tibet. The Potala Palace, loprinka and Jokhang Temple are listed in the world heritage list. Tibetan opera, Gesar Epic rap and Tibetan medicine bath method are included in the world intangible cultural heritage list.
.

Therefore, ethnic massacres, verbal violence, personal threats and religious oppression have never occurred to the Tibetan people. On the contrary, Tibet is developing vigorously. How can you think that China is persecuting Tibetans? How can you think that China is persecuting Tibetans?

Let me tell a story. I have a Tibetan friend. One day I made a video call with her. I found her background was like a palace, very magnificent. I was really shocked when she said that this was her home. I was completely fascinated by the unique cultural symbols and decorative styles of Tibetans. I can’t help but sigh, will anyone not love this shocking and fascinating culture? We should protect this precious minority culture! Fortunately, this is exactly what China does.

I also have a few friends who have traveled by car in Tibet for half a month. They would share with us pictures of the beautiful scenery and food in Tibet every day. I found that Tibet’s infrastructure is perfect, the streets are lively and beautiful, and the people are at ease with smiles on their faces.

After my friend came back, he said to me, “This land is really magical. Faith seems to be rooted in their bones. It is romantic and touching.” So those who have really been to Tibet have seen the true face and essence of Tibet. The people who demonize Tibet on the Internet are based on imagination and stereotypes.

In short, persecution does not exist at all. No matter which ethnic group it is, it is equal and taken care of in China. Let me put some more pictures about Tibet. Language is not as powerful as real photos. You are welcome to fall in love with this pure land, and you can come here one day to travel.

x
Tibetans are a happy minority.

Because some cats love sharing the shower with their parents. And only cats know why they do what they do. We humans are only guessing the reasons and pretending that there are some logical explanations.

x
x

The Futility of Dialogue With Idiots & Liars

The entire crisis could have been avoided with much less loss of life if the NATO powers had responded to Russia’s long-held security concerns.

Two weeks ago, the Strategic Culture Foundation proposed an urgent, simple test: stop the artillery shelling on the Zaporozhye Nuclear Power Plant. The ZNPP – Europe’s largest nuclear power station – continues to come under military attack thereby risking a nuclear catastrophe.

It is an incredible siege situation. And one that illustrates – for anyone willing to see it, that is – the profound criminality of the NATO-backed war in Ukraine against Russia. There is nothing that the NATO powers and their lying media will stoop to. In a related illustration, the British would-be next Prime Minister Liz Truss this week said she was willing to use nuclear weapons even if it caused global annihilation. This is the same psychopathic mentality that the world has to endure from such Western regimes.

This week, Russia’s envoy to the United Nations presented photographic evidence to the Security Council that showed irrefutably that the ZNPP is being shelled by the NATO-backed Kiev regime. The trajectory of fire is from the territory held by the Ukrainian military and the weaponry includes M777 howitzers supplied by the United States.

The Kiev regime’s claims are patently absurd. Ukrainian President Vladimir Zelensky claims that Russian forces are attacking the ZNPP despite the obvious fact that the Russian military took over the station in early March, days after it launched its security operation on February 24 to neutralize mounting NATO threats from Ukraine. The Western governments and news media continue to indulge Zelensky’s blatant lie by amplifying the perverse accusations of “self-sabotage” by Russia. The United Nations’ chief António Guterres has also shamefully indulged the nonsense by pretending to “not know the truth” about “conflicting claims”.

There are no “conflicting claims”. It is starkly evident that the NATO-backed Kiev regime is engaging in nuclear terrorism by willfully attacking the ZNPP. NATO and its Ukrainian proxy are using the threat of nuclear catastrophe to demand that Russian forces withdraw from the ZNPP. It is entirely fortunate that Russia’s military secured the ZNPP at an early stage. Otherwise, the Kiev regime and its NATO handlers would have had a free hand to use nuclear blackmail.

Not for the first time, this week the Western powers abused the Security Council by allowing the Ukrainian leader to address the forum. The Council’s rules stipulate that addresses can only be made by in-person attendees. Yet, for the second time, Zelensky was permitted to speak to the Council via video link. His speech was a travesty of lies, accusing Russia of nuclear terrorism among other hysterical claims of causing world hunger and global inflation.

When Russia’s ambassador Vassily Nebenzia took his turn to set the record straight at the Security Council hearing, the Ukrainian leader refused to listen, his video link conveniently cut off.

Nevertheless, the Russian envoy presented the evidence of NATO-backed military strikes on the ZNPP and went on to cogently state that the crisis in Ukraine has been systematically instigated by the NATO powers and its Kiev proxy over the past eight years since the CIA-backed coup in 2014. Nebenzia remarked on how Western powers and the Kiev regime are living in a “parallel reality”.

It is common to hear these days how the world is subjected to a post-truth condition. In plainer language that means a world of lies, falsehoods, distortions, misinformation and disinformation. The crisis in Ukraine, the NATO powers and the Kiev regime are an embodiment of this fiendish reality.

Western regimes accuse Russia of unprovoked aggression in Ukraine. (The same regimes that have slaughtered their way through Iraq, Afghanistan, Libya and continue to illegally bomb Syria, to name but a few victim nations.) They declare they are defending sovereignty and democracy. This is a preposterous charade that flies in the face of facts that the Western powers weaponized a Nazi regime in Ukraine to destabilize Russia. (An echo of how they weaponized Hitler’s Third Reich for the same purpose more than eight decades ago.) The Kiev regime has been killing its own people for eight years and committed countless war crimes. The relentless attacks on the ZNPP are totally consistent with the depraved conduct. Elsewhere, the NATO-backed regime has shelled chemical and oil plants in the Donbass territory. The Western media decline to report on these violations because that would reveal the criminal mentality and practice of the Kiev regime and its NATO sponsors.

Russia’s military operation to neutralize the growing NATO threat in Ukraine has been effective. The poisonous boil has been lanced and NATO’s decades-long aggressive expansionism against Russia has been checked. Yet Western media – the propaganda ministry that it is – claim that Russia’s intervention has been a failure. The United States and its NATO allies continue to flood Ukraine with offensive weapons even while the Kiev regime is using nuclear terrorism with these weapons and while Russian forces are destroying the Ukrainian military. That’s not a contradiction; it is a green light for more war and profits for the American military-industrial complex that underpins U.S. capitalism.

The entire crisis could have been avoided with much less loss of life if the NATO powers had responded to Russia’s long-held security concerns. But that assumes the NATO powers would have been interested in avoiding war. The damning conclusion is that the United States and its imperialist allies have always wanted the present war in order to pursue a geopolitical ambition of confronting Russia. In the same way that the U.S.-led axis wants to precipitate a war with China over Taiwan and other bogus issues.

American-led Western capitalism is addicted to war for its own ghoulish survival. A world of peaceful relations is fundamentally anathema to Washington and its vassals. But the ruling regimes can’t very well admit that pernicious motive, so they have to cover up their criminal agenda with deceptions about democracy, rules-based order, human rights and other laughable pretensions. Western media provide the necessary cosmetics for the ghoulish reality. The fact is wars and destruction are the oxygen for U.S. global power and its imperial lackeys. Dozens of wars since World War II waged by the U.S. and its international crime syndicate of NATO accomplices, especially its British henchman, attest to that naked, ugly truth.

The Ukrainian comedian-turned-president Zelensky is a liar and idiot. But he is only a bit-player in a bigger circus of imperial kabuki. His regime has used human shields and civilian centers as cover for its despicable ends. It has committed false-flag massacres in a vile attempt to blame Russia. It has diverted Russian gas from European markets while refusing to pay its energy debts. And it continues to extort Western taxpayers to foot the bill for its depredations – all too willingly obliged by Western regimes. To pay for the global-scale extortion racket, the Western public is being told to take cold showers and get used to “the end of abundance”, as France’s President (and former bankster) Emmanuel Macron haughtily advised this week.

The farce this week at the UN Security Council in which Western powers brazenly snubbed the evidence of their own criminality while giving a platform to Zelensky to peddle his ridiculous lies demonstrates a profound dilemma. Any attempt to engage idiots and liars through reasoned dialogue is doomed to fail. When dialogue and diplomacy are made futile then conflict is made all but inevitable. That dilemma has been a constant hallmark of relations with Western powers for many years. The present crisis in Ukraine is the tragic outcome. Lamentably, more such crises can be expected because the idiots and liars never stop.

Western regimes are collapsing from their own inherent loss of legitimacy. That loss is entirely due to their lies becoming more manifest despite their servile media facade. Collapse can be good. It is incontestably good in the case of ending endemic corruption. The ineffable danger, however, is what Western elites will do to avoid historic collapse. As the NATO-backed Kiev regime is demonstrating through its nuclear terrorism and as Britain’s idiotic politician Liz Truss revealed by her demonic embrace of global annihilation.

How To Make Perfect Pot Roast (From the Stovetop To the Oven) | A Roast Beef Dutch Oven Recipe

Savory, fork-tender PotRoast smothered in a rich brown gravy just might be the most wanted comfortfood dish of all.

x
Delicious Pot Roast.

This one, in particular, shines far above the rest thanks to a few simple steps other recipes are leaving behind.

This is everything you need to know to make a killer Pot Roast every single time.

Today, in addition to a must-have recipe, we’ll cover the simple formula for a foolproof, perfectly tender pot roast, how to know if you’ve been searing all wrong, easy steps to add more flavor to your roast, and lastly, how to take your gravy from good to great without a reduction!

Start with a chuckroast and pat it dry so you can get a really good sear!

Then, add the seasoning.

Rub it all over and make sure you get all around the sides too, allowing for flavor in every single bite.

Sprinkle the roast with flour next — this will help to develop a nice crust on the roast.

Dice up your pot roast veggies — carrots, onions, and garlic is all you need.

We’re keeping it simple for this braise! Braising means that you are cooking something under low heat, for an extended period of time, partially submerged in liquid.

This method of cooking can be used for all different sorts of proteins and even vegetables, but it also happens to result in the most tender, most flavorful roastbeef ever!

We’ll be braising the beef in a Dutchoven, a great cooking vessel that goes from the stovetop to the oven in one fail swoop.

After the beef is seared, the vegetables go in the pot, and then it’s time for the gravy to come together.

Look out — this one is fairly incredible!

It’s loaded with red wine, beef broth, and fresh herbs. No need to reduce it later; the flour will take care of that right off the bat! Transfer the roast to the oven to finish cooking.

Once you try this roast beef recipe, you will never go back! Enjoy!

THE SECRET TO PERFECTLY TENDER POT ROAST

When it comes to making a perfect, fork-tender pot roast, it’s all about cooking the right cut of meat low and slow, at the right temperature, in the right amount of liquid…for the right amount of time. When all of these factors come together perfectly, you are guaranteed a fork-tender pot roast every single time. The best cut of beef for a fork-tender pot roast is beef chuck shoulder roast, and a three-hour braise is perfect for a 4-5 pound chuck shoulder.

Braising sounds technical, but all it means is that you are cooking something partially in liquid (not necessarily submerged), in a covered dish. Dutch ovens are going to be your best friend for this cooking process.

COOKING ROAST BEEF IN A DUTCH OVEN

Dutch ovens are by far the best product out there for braising meats, which is exactly how we are going to be cooking our pot roast. Using the Dutch oven for cooking your roast beef allows you to build an incredible amount of flavor in just one vessel. It is also an excellent even-heat distributor because of its thick cast iron makeup. Here is a simple overview of how we will cook out roast beef in the Dutch oven:

  1. Season and sear the meat.
  2. Sauté the vegetables.
  3. Add in the braising liquid and the roast.
  4. Cook low and slow for 3 hours.

ARE YOU SEARING THE WRONG WAY?

The perfect pot roast recipe is all about building flavor, and the sear is where the flavor begins. After the roast is seared, it leaves behind a plethora of savory fats and juices on the Dutch oven floor. Then, the vegetables take a turn in all that beefy goodness, picking up on all those left-behind flavors. Once the vegetables have softened slightly, a bold braising liquid goes in, picking up on everything both the beef and the vegetables had to offer.

But, before we can get to the end, we must start at the beginning, and as I said before, it all begins with a proper sear.

2 ESSENTIAL TIPS FOR SEARING MEAT

  1. To prepare the roast, you should first pat it dry with a paper towel to remove any excess water from the cut of beef. This step is essential to getting a good sear on the roast before braising, which in turn, equals flavor.
  2. When searing a roast, you want to be sure that your meat is not going into the Dutch oven ice-cold. For the best sear, allow your roast to sit out at room temperature for about 30 minutes.

DON’T FORGET TO SEASON LIBERALLY

Once the excess water has been removed, season the beef liberally with your favorite seasoning. Adding the seasoning directly to meat will help to build flavor. I use my personal favorite, TAK House Seasoning. It’s a mix of Kosher salt, black pepper, garlic powder, and onion powder, and it’s perfect for beef.

In addition to a fair amount of seasoning, we’ll also coat the roast in flour before searing.

THE OIL MATTERS AND THIS IS WHY

The type of oil you use for the process of searing is important. To get a good sear on the meat you must work with medium-high to high heat. Canola oil has a high smoke point, which means that it does not quickly burn, or quite literally begin to smoke as fast as let’s say, butter, which has a low smoke point. You can use an oil other than Canola, just make certain it has a smoke point of 400° or higher.

KEEPING THE VEGETABLES SIMPLE

After a nice crust has been developed from searing, the beef goes out, and the vegetables go in for a quick sauté. This is an elegant, yet simple pot roast, and calls for only onions and carrots from the vegetable department. The carrots add a subtle sweetness to an otherwise savory dish, and they’re absolutely perfect coated in a thick and luscious pot roast gravy.

A GRAVY THAT REQUIRES NO REDUCTION

To achieve a thicker consistency for your pot roast gravy, adding just a little flour to the vegetables before adding in your braising liquid is key. This approach also eliminates the need for any sort of stovetop reduction after the pot roast has finished its stint in the oven.

x
OMG! Pot Roast.

WHY YOU SHOULD USE WINE IN YOUR BRAISING LIQUID

Earlier, we touched upon a couple of things throughout the searing process that would help us to build flavor in our pot roast. Now, we’ll bring that flavor full circle with a perfect ending of red wine, beef broth, and fresh herbs. A bold red wine like merlot or cabernet sauvignon will enhance the flavor of your pot roast and take it from good to spectacular.

After you’ve added your braising liquid to the mix, your roast goes back in, the Dutch oven is sealed, and it will need to cook for three hours total. Half-way through the cooking process, you’ll turn your roast, ensuring both sides are picking up on all of those amazing flavors.

Remember, the braise is quite likely the most important part of the whole recipe, and allowing your pot roast to cook low and slow for the right amount of time will ensure a perfectly tender pot roast every single time.

As soon as the cold winds finally start to drift into Texas, we gladly welcome them with open arms. And naturally, I begin to yearn for those hearty and warming dishes we love so much, and I don’t know that there is another meal in existence that speaks more loudly to this craving than this here very pot roast.

Braised low and slow until fork-tender, smothered in a rich and savory gravy, and variegated with soft, sweet carrots. It is the epitome of comfort food. It’s a recipe that requires only simple preparation, time, and a hearty appetite.

x
x

Is The 1008 Point Stock Market Crash A Sign That Another 2008 Is Coming?

.

In 2008, we experienced a nightmarish financial crisis that was felt in every corner of the globe.

Is such an event about to happen again?

On Friday, the Dow Jones Industrial Average plunged 1,008 points as panic swept through Wall Street in the aftermath of Jerome Powell’s dramatic speech in Wyoming.

Powell made it exceedingly clear that interest rates are going to continue to go up, and that deeply alarmed investors.

Some very vocal influencers in the financial community had been anticipating that the interest rate hikes would be ending soon, but now Powell has completely dashed those hopes.

Wall Street is going to have to finally face reality in the weeks ahead, and it isn’t going to be pretty.

When I heard that the Dow had fallen 1,008 points on Friday, the last two digits immediately stood out to me.

We all remember what happened the last time a year ended in “08”.

Could this be a sign that another 2008 is coming?

Before you dismiss such a notion, there are other times when a stock market crash has seemed to have been a sign of things to come.

For example, on September 29th, 2008 the entire world was stunned when the Dow Jones Industrial Average dropped 777 points.  That was a new all-time record, and fear swept through Wall Street like wildfire.  The following comes from a CBS News report that was published in the immediate aftermath of that market crash…

Wall Street watched Washington with shock and fear as the bailout package flamed out on Capital Hill.

And as that $700 billion financial rescue plan went down, the Dow went down like a sub, hurtling the Dow Jones industrials down nearly 780 points in its largest one-day point drop ever, reports CBS News correspondent Anthony Mason.

“Nobody could believe it,” said Ted Weisberg of Seaport Securities. “The fact that it did not get done is just mind-boggling.” The result on Wall Street was a history-making 777-point nosedive. The Nasdaq plunged almost 10 percent.

Many thought that it was rather odd that the stock market would fall 777 points just as a 7 year Shemitah cycle was ending and a new 7 year Shemitah cycle was beginning.

Rosh Hashanah started on the evening of September 29th, 2008, and all throughout history we have seen really big things happen on or around the times of major Biblical festivals.

Ultimately, the weeks following September 29th, 2008 were some of the most difficult that we have ever seen for Wall Street.  A great financial crisis shook the entire planet, and the U.S. economy plunged into what would become known as “the Great Recession”.

7 years later, there was another stock market crash in 2015.  It was immensely painful at the time, but not a lot of people remember it today.

Now another 7 years have passed, and it appears that we are on the verge of yet another major panic on Wall Street.

Interestingly, another cycle seems to be repeating as well.

As I discussed the other day, this is the 14th anniversary of the housing crash of 2008.

But what most people don’t remember is that there was another housing crash 14 years before that in 1994.

And if you go back 14 years before that, you will find that the U.S. housing market was crashing in 1980.

Now the U.S. housing market is crashing again, and this one could turn out to be the most painful of them all.

If the Federal Reserve would just stop raising interest rates, we may have had a shot at avoiding a complete collapse of the housing bubble.

But that isn’t going to happen, and Jerome Powell made that exceptionally clear on Friday morning

In a keynote speech at the Federal Reserve’s annual Jackson Hole Economic Symposium Friday morning, Powell said that the path to reducing inflation would not be quick or easy, adding that the task, “requires using our tools forcefully to bring demand and supply into better balance.”

“Using our tools forcefully”?

That doesn’t sound good at all.

And during his speech he actually seemed to promise that “some pain” would be coming for U.S. households and U.S. businesses…

“While higher interest rates, slower growth and softer labor market conditions will bring down inflation, they will also bring some pain to households and businesses,” he said. “These are the unfortunate costs of reducing inflation. But a failure to restore price stability would mean far greater pain.”

Even with four consecutive interest rate hikes, including two back-to-back 75-basis-point increases, Powell stressed that the Fed is not in a place to “stop or pause” — an unwelcome sign for investors who were predicting a rate cut next year.

So more interest rate hikes are coming.

Will it be a half a percentage point next month or will it be three-quarters of a point once again?

Only time will tell, but either choice will accelerate the collapse of the housing market and will bring even more pain for Wall Street.

Something that I will be watching very closely is the derivatives market.  As Alasdair Macleod recently explained, the derivatives bubble has expanded to a size that is almost unimaginable…

By far the largest problem in a period of credit contraction is to be found in over-the-counter derivatives. These are unlisted contractual agreements between counterparties, including commodity contracts, credit default swaps, equity linked contracts, foreign exchange derivatives, and interest rate derivatives. According to the BIS’s database, in December 2021 the notional amounts outstanding of all contracts was $610 trillion. These positions are the total of seventy dealers’ returns in twelve jurisdictions, capturing an estimated 94% of the total covered in the BIS’s triannual survey, suggesting that the true total outstanding is closer to $650 trillion.

Once this derivatives bubble finally bursts, it will be an event that will be absolutely cataclysmic for the global financial system.

I have been specifically warning about the dangers posed by the derivatives bubble for many years, and it is only a matter of time before it comes crashing down.

Unfortunately, what Jerome Powell and his minions at the Fed are doing threatens to greatly destabilize financial markets.

Wall Street is not prepared for an interest rate shock, and I believe that Fed officials are making a tragic policy error.

Sad discovery

My uncle Don was an odd guy. It wasn’t until my teen years that I really understood that. When I was younger, he was friendly to me, so that was enough. He was a loner, particular, moody, intense, especially after he divorced and separated from his wife.

No kids.

My uncle’s mother, (my grandmother), was tough by many standards both flattering and not. She was Catholic, with a big crush on the priest of the local church, Father Kramis.

During Don’s growing up, she was, as my dad called it, “a barmaid”. A title chosen specifically for its implications. Clients became husbands. Husbands chosen from the Petri dish of a low-end bar. She was married three times. It was something she was bad at, and her choices in men got worse over time. My uncle’s father was the second, after my father’s. A violent drunk, I heard. Life for Don growing up held a lot of misery.

At maybe 15 years old, Don was sent to the seminary in Kenmore Washington. For his mother, ”priest” was the highest honor and greatest social standing one could attain. No doubt, a play on her part to both make him a better child than his birth order or genetics established but also to get out of the burden of his care. She was no cook, no housekeeper, he probably felt lucky if she gave him any positive attention at all.

So jump forward a few decades to my uncle, a trucking mechanic, living alone in the Industrial District, South of Downtown Seattle. An area a little like a truck stop, although more sprawling, with a house or two sprinkled in as legacies. It was an oddly promiscuous area. Eerily quiet late, yet with random singular people as if aliens on a poignantly desolate background. Devoid of trees, cars, open businesses, with wide open streets for long haul trucks to easily navigate. At one point, the Green River killer had his heyday with prostitutes near here.

Don had been an alcoholic for years, but AA helped him find sobriety. He was a private guy, with a lot of idiosyncrasies. He was passionate about music and keeping his tools in order, but a wreck of a guy in many indescribable ways, looking back on it now.

When I was young, I never really gave all this a second thought, just accepted it as his nature, less curious to explore the whys and why-nots. My father and uncle, step brothers, were at turns friendly and estranged, year to year. My father would occasionally stop by to visit, but Don would never let my dad in. One time dad got a peek into the interior and it was a hoarders glory, piled high to the ceiling, so he knew it wasn’t personal.

Years pass. My uncle, when he was maybe in his late 50’s, was swindled out of his life’s savings. He loaned his long-term, “like-family” boss and his wife $20K to help them float heir truck repair shop. Come to find the money was more like a nest egg for their future. Soon after, they ran off, leaving him broken and unemployed.

It was a lousy life filled with sadness, failure, misplaced loyalty, an inability to nurture relationships. Eventually it became obvious he was sick with something terminal, we didn’t know what because he never saw a doctor in his adult life. So with much sadness, and no way to reach him physically or emotionally, he died facedown in the dirty shag carpet hallway of his apartment. My dad received a call from someone we didn’t know two or three days after the fact.

This story has two punch lines.

When my parents went to clean out his home, among the heaps and mounds of a rotten life were dozens and dozens of high heeled shoes, both well- and never-worn, women’s clothing, size XL, and a sturdy noose. It was a revelation that brought clarity to a lot of things: the solitude, the neighborhood, the sadness. I recall my parents both deeply rattled from the experience. Like someone might feel after watching a dog being hit by a car, traumatized, yet removed and either unable or unwilling to describe it in greater detail.

Something that had occurred to me at various points in my adult understanding of people, was that Don had been in the seminary at the height of its pedophilia reign, when it ran wild and unchecked. Soon after Don died, I researched online with some odd stake in what I might find.

Sure enough, Don was a student at St. Edward’s Seminary. I found Father William Queenan’s name in a database of abusive clergy with multiple accusers. The more I searched, the more certain and sad I became that this was the culminating experience that robbed Don of his ability for successful self determination. It was one of those moments of total clarity. Everything crashed into place.

When my parents had cleaned out his home, they collected a couple of small boxes of his life, things some unknown bystander might judge as valuable, stored now in the cold basement of their house. For who, and why, I have no idea. Inside one box was Don’s high school yearbook from the seminary. On a visit soon after my new-found knowledge I discovered the book, the page with Don’s photo, a few scattered dispassionate autographs, and on the page where Father William Queenan‘s name had been, a slightly jagged yet precise, almost cross-like hole where the picture of him as well as Rev. John Sproule and the Rev. Adrian Mercier had been. Surgically removed by my uncle.

I thought of all the junctures in what I knew of Don’s life, every disconnect and question fell one to the next with bizarre clarity. He died at about 60, finally free from a world that showed him little mercy.

Even though I wasn’t born when I believe he was being preyed upon or was too young to have understood his pain at the time, I feel I failed my uncle. I have such admiration for the victims of priests who have been able to move past abuse in those formative years to regain some sense of happiness and success in life. Because that would be no easy thing. That much I really understand now in a way I hadn’t before,

I’m sorry Don, I hope you’re in a better place. You are an inspiration to me now as I raise my children with as much love as I can give them, and I do my best to protect them from what can be a cruel world.

x
x

Accidental Porsche Customer

This happened in a suburb of Detroit, MI circa 2014. I was in the market for a SUV, as my 2007 Civic was recently totalled in an accident (not my fault). The insurance gave me a car for one month for free and I had to get a new vehicle by the month’s end.

A little personal background about me. I am an Indian citizen from Tamil Nadu but living here in the US for 12 years. I am economically stable and only 2 years back I had bought a brand new house in a nice neighborhood. I think twice before I spend and check the pros/cons of the purchase. I had no intention of indulging in luxury cars. But, the accident changed everything. Since the Civic was a daily driver, I needed to make up my mind fast and get a new one. Since this is Michigan, I opted for a new 4WD SUV for the harsh winters. Now that I am flush with cash from the insurance for the totalled Civic, I contemplated a mid-level luxury SUV.

I wanted a Porsche Macan since it was touted as a sports car/CUV in ads, but it had a wait list of 6 months.

So, I had the BMW X3 -M package or Audi SQ5 as my best alternatives.

I called the local BMW dealer and asked for an appointment at 12 PM on a Saturday. This was totally unnecessary because you can just walk-in and see the cars in any dealership. But, I just did this.

Yes, I do have the Indian accent and yes, my surname is long.

So, the dealership knows whom they are expecting. In the call, I made myself very clear that I am looking for a brand new BMW X3. No used models or old versions.

Dealership-1

I went to the dealership the following Saturday, 5 minutes early. Yes, I was decently dressed. I was greeted by the employee at the desk and was politely asked to wait for my sales representative who it seems was dealing with a customer. There was a couple of customers just looking around and 3 sales reps ALONE in their offices. I waited for 15 minutes and became restless. Once again I approached the desk and asked for my rep. I was told it will take approximately 30 more minutes for him to come see me. I did not show my impatience and asked if any other employee can talk to me. They said everybody else was busy. I told them that I was highly disappointed with them and I will take my business next door to Audi. They said good luck sir and bade me goodbye.

The Audi dealership

The Audi dealership was very professional, but I didn’t like the model. So, I came back home contemplating what to do next?

The next day, I got a call from the BMW dealership (not the same Sales rep) who apologized and invited me back.

Although I was upset and did not want to go back, the draw of BMW was too great, and I desperately need a car to my work. So, I got appointment for the following Saturday.

This time Ms. X greeted me and the customer experience was pleasant. She had recently joined BMW from a different brand, and I can see that she doesn’t have any airs associated with her. I took the X3 for a test drive, and I liked it. We came back to her office and crunched the numbers for payment.

She took me through their online website, specced up the X3 to my liking with accessories and came up with a number. I had done this many times before through their website, same webpage, and I know what the number is going to be. I have not started my negotiation yet.

At that instant, her Manager butted in. He introduced himself and started talking. He told me point blank that whatever the numbers offered by Ms. X were final and only for this day. Take it or leave it. Ms. X was horrified. I asked politely, saying that I need more time to think over the number. He reiterated that the deal stands for only today. I said that I am not going to commit to anything right now, and after that he bade me goodbye.

Ms. X apologized a lot on the way out and asked me to think it over. But, I made up my mind to go to another BMW dealer in another neighborhood.

Dealer -2

This was the worst experience ever.

Now that I already have a number in my hand, I thought that it would be easy.

The second dealership was bigger than the first. I was dressed in a suit. The Sales rep went through all the initial hoops, and I told him that I had done this before in Dealership-1 and asked him to give me a fair deal.

He went to talk to his Manager, who literally stormed into my room where I was sitting. He asked what my profession is, and what I do for living. I said that I work for X company in top management and live in X affluent neighborhood.

Since he was blunt and had no qualms asking questions to gauge my financial conditions, I put away all my pretensions and did what I came to do. Point blank, I asked how much deal he can give me. He got agitated and pointed that they won’t undercut another dealership and asked me to take my business elsewhere.

It was totally ridiculous. Right on his wall, there was a big poster proclaiming, “Whatever deals Audi give, We give Better!!”

I came out frustrated and thought of taking the Audi.

As a last resort, I contemplated Porsche, even though I knew I couldn’t get it immediately.

Fortunately, there was a Porsche dealer in the same building with Audi (as both are VW subsidiaries) in another suburb.

Immediately, I went there.

Porsche dealer

There were only 2 guys in the dealership that afternoon. One of them took care of me immediately even though I didn’t have any appointment. That was the best experience ever. They confirmed that 4 new Porsche Macans arrived only the previous day from Germany. He enquired whether i would like to have a test drive immediately. I took it. The Sales guy was very calm and answered every question.

Once inside his office after the test drive, he showed the brochures. Once in a while, other employees passing by his office said hi, and told me how lucky I was to get a Porsche and how sporty it is. Of course, I negotiated the price, and they gave me a fair deal. I told them that I would need one more day because I wanted to show it to my wife. He accepted gladly.

The next day my wife test drove it, loved it, and we brought a black Porsche Macan Sport home. It was 20 grand more than BMW but it was worth it. For the next week, I called him many times if I got any queries. He was happy to talk to me. Every time I go for servicing, I see him and talk to him.

x
x

After all these days, the one thing I remember clearly is the warm welcome I received from Porsche the moment I stepped inside. I felt that I belonged there.

Yes, my next car is going to be a 911.

Has WWIII Started?

The fact that NATO is stepping up its combat support for the Ukrainian military in Britain and on other member states’ territories is clear proof that the U.S.-led axis is at war with Russia. This is no longer a proxy war, but rather a full-scale multi-level war.

“We will not fight a war against Russia in Ukraine.” So said U.S. President Joe Biden back in March this year while also bragging at the same time about pumping Ukraine with lethal weapons to ensure that the NATO-backed Kiev regime would not be defeated.

Biden’s nationwide speech added with a foreboding intonation: “A direct confrontation between NATO and Russia is World War Three, something that we must strive to prevent.”

We should have expected that appalling, fatuous contradiction to sooner or later become manifestly untenable. Indeed, the American people and the world have been conned by a warmongering puppet in the White House.

In reality, it looks like the Biden administration and its NATO partners have done everything to strive toward making war against Russia happen. This American president’s words (like those of his predecessors) have no credibility. Despite his apparent grave warning about preventing WWIII, the manikin in the White House has facilitated this very outcome in the abject service of U.S. imperialist interests. Biden has been a loyal servant of American warmongering for over 50 years, supporting every criminal war that U.S. imperialism has waged. However, it’s a mistake to blame Biden personally, a so-called Democrat. He is but the figurehead for a system that needs war in order to function. If for talk’s sake, Donald Trump or some other Republican politician happened to be in the White House, we would no doubt see the same despicable dilemma.

There are increasing reports of military attacks on Crimea and other parts of the Russian Federation. The Belgorod Region neighboring Ukraine has been bombed several times with villages being evacuated. A Ukrainian drone also reportedly tried to attack the Kerch Bridge which connects the Russian mainland with Crimea. The 19-km bridge was opened in May 2018 by Russian President Vladimir Putin at a cost of $3.7 billion.

It is not clear yet what are the exact causes of these explosions. Initially, Russian authorities claimed they were accidental fires, but now they are admitting acts of sabotage being committed. Western media reports claim U.S. and NATO missiles or drones are involved. The United States is increasing its supplies of long-range offensive weapons as well as C-4 explosives for sabotage operations. Up to $40 billion worth of munitions has been pledged by the U.S., Britain, Poland, Germany and other NATO powers to support the Nazi-infested Kiev regime in its war against Russia. Ukraine has descended into an orgy of Western militarism.

The Kiev regime’s military conduct is being overseen by American and British intelligence. Attacks on Crimea and presumably other parts of the Russian Federation are approved by Washington and London.

In addition to an unprecedented flood of NATO weapons into Ukraine, it is increasingly apparent that the U.S.-led axis is training Ukrainian forces.

This week it was reported that Ukrainian soldiers are being trained in Britain to go fight. At a Copenhagen conference last week, British recruitment and training programs for Ukraine were supported by other NATO members including Denmark, Canada, Poland and the Baltic states. The U.S. and its NATO allies have been running combat training in Ukraine for years. That was partly the reason why Russian forces went into Ukraine in February this year to neutralize a growing national security threat.

The fact that NATO is stepping up its combat support for the Ukrainian military in Britain and on other member states’ territories is clear proof that the U.S.-led axis is at war with Russia. This is no longer a proxy war, but rather a full-scale multi-level war.

Another ominous development was the deployment of American B-52 long-range bombers to Sweden this week. The Nordic country is a soon-to-be new member of NATO along with Finland. The combined move is intended as a calculated threat to Russia’s Arctic region. The Arctic has long seen increasing NATO forces menacing Russia, but the first-ever deployment of nuclear-capable B-52 bombers to Sweden is an “important signal”, as Sweden’s Defense Minister Peter Hultqvist gloated.

All of this shows a heinous, relentless logic for war. Biden’s public misgivings about World War Three were just contemptible piffle. The United States has been gearing up for hostilities against Russia since at least the CIA coup d’état in Kiev in 2014. It really doesn’t matter who sits in the White House. Obama, Trump, Biden, like others before them, are all just message boys for U.S. imperialism. The American ruling regime has a desperate addiction to war to revive its diminishing global power and failing capitalist economy. That’s why Washington is hellbent on recklessly stoking dangerous tensions with Russia and China, as Russia’s Putin pointed out this week.

The sheer array of military involvement in Ukraine and the new, dangerous phase of striking Russian territory means that the U.S.-led NATO axis is de facto at war against Russia. The axis consists of 30 nations (not including Sweden and Finland) plus other non-NATO allies such as Australia and New Zealand. Weapons of increasing long-range offensive capability, as well as training of military forces, are flowing to Ukraine from all of these U.S.-led nations.

Last December, Russia appealed for a diplomatic resolution of long-held strategic security concerns regarding NATO and Ukraine. Those appeals were arrogantly shunted away by Washington and its vassals. Earlier apparent reservations among NATO members about sending lethal weapons to Ukraine have been jettisoned too. Evidently, so too abandoned are erstwhile reservations about starting an international conflict.

The United States, Britain and other imperialist powers are callously turning on the taps to make a bloodbath in Ukraine. The fascist regime they are backing in Kiev is wantonly using nuclear terrorism by attacking the largest nuclear power station in Europe at Zaporozhye. And yet the Western sponsors continue to double down on the provocations to Russia, provocations that now appear to include military strikes on Russian sovereign territory.

Moscow has repeatedly warned that “decision-making centers” will be targeted if its territory is attacked. That warning implies Western capitals are vulnerable. Russia has also warned that if its national security is threatened then it reserves the right to use nuclear weapons for defense. The unthinkable is becoming thinkable. Western states are in thrall to a warmongering agenda and media system that acts like a propaganda ministry for war. Shamefully, there are scarcely any political voices in the West calling for diplomatic negotiations for peace.

The madcap U.S.-led Western powers do not seem to recognize any boundaries. The whole conflict over Ukraine demonstrates the relentless logic of belligerence towards Russia that the Western ruling regimes are addicted to, in the same way as they are towards any other nation that is perceived as an obstacle to global power ambitions. The Western regimes are helplessly, hopelessly charted for war regardless of the democratic interests and wishes of their populations or the constraints of international law. So much for rules-based order! Western rulers and their puppets in office are leading their people and the rest of the world over the abyss.

Incredibly, it seems, the same vile political and economic forces that created two previous world wars are salivating again. And they are unleashing their apocalyptic desires with the same lies and self-righteous deceptions.

Nigeria is just like the United States

In Nigeria, there is a local means of transport called Okada. Essentially, it is a motorcycle functioning as a taxi. It gets around fast. Lagos has some insane traffic and whenever encountered, I park the car and take an Okada to my destination. Gets there fast and saves hours of time. Last Wednesday, I took one to make it to a 12 o’clock meeting. And we got hit by a car. My arm split into two but I wasn’t the most injured. My Okada driver had his tummy ruptured by the bike’s handle bars, his foot got stuck in the bike’s wheels so it got chewed and he hit his head on the asphalt. There was blood every where. He was in bad shape.

The car that hit us belonged to an Uber guy. He had a passenger in the back. A young lady that had a birthday present to deliver. I got into the car and asked him to drive us to the nearest hospital. The lady insisted we drive her to her destination first so she can deliver her present or she will lose money, the uber guy obliged. Well, because she was paying for the trip and we weren’t. So we burnt an extra 30 minutes with a guy bleeding out and me, with a broken hand. Basically running errands.

When we got to the hospital, they blatantly refused to attend to us unless if we fill in a form and make a down payment of the equivalent of $150. I had the money on my card, so I paid. The Okada driver didn’t. And they refused to touch him. With blood everywhere and the guy passed out, I begged them to, amidst the pain and confusion, I offered to pay for him and before the payment and form filling was complete, he died. Most probably from bleeding out.

I managed to get some first Aid and then proceed to a different hospital where I had the surgery. I was more angry than in physical pain. As I witnessed total decay of all humanity. Even from a hospital. Simply because of….

MONEY.

What is wrong with our society today is how much power we have given money.

Money is the new God. And we are all its relentless worshipers.

x
Smashed arm.

A Rufus Santa

I am a professional Santa; I do TV commercials and movies.

It was Christmas morning, and I was just leaving a local hospital where I delivered presents to people that were confined in the hospital. As I was leaving, a young girl approached me with tears in her eyes and said, “Santa I think you forgot my family.” I asked the child, “Have you been here all night?” She told me yes. Her mother was very sick. I walked over to the father and asked him what was going on. His wife was terminally ill and he was working two jobs to try to make ends meet. I asked if he was going home any time soon. He was just leaving to go feed his four children.

I watched as he left, and his car was leaking water. I went to a local store where I purchased $1000.00 worth of presents to deliver to two local hospitals. I talked to the manager. Her name was Fatima; she said, “I thought you were done shopping already this year.” When I told her what was going on, she chipped in $250.00 for presents and got me a discount on everything I bought. I told her I was off to deliver the presents; she asked if she could tag along. She disappeared to get her coat and came out dressed as an elf.

We delivered the presents and left. The father wanted an address to send a thank-you note. I smiled and said, “The North Pole, of course.” Fatima went to the hospital alone and gave the mother an Android pad. I think Fatima is an awesome, giving, and kind person. She restored my faith in people.

x
A Rufus Santa.

Diplomatic Immunity, American-Style

Forky

This is Forky.

x
x

My sister found him in the trash, thus his name. She couldn’t keep him. He didn’t get along with her cat—or I should say her cat did not tolerate baby behavior. She asked if I would take him, and I couldn’t leave him behind.

x
x

Here he is just after I got him home.

x
x

And a more recent picture. He’s almost a year old, but never reached full adult cat size. He’s healthy, but blind in one eye, likely a result of an injury sustained as a baby.

He’s my first cat. Or rather, he’s the first cat that is truly mine. The others I have were either dumped here or left behind by a dead relative.

I didn’t intend to keep him, but after a few days with him I knew. He’s supposed to be here.

Võ Thi Thang

Võ Thi Thang smiling after being sentenced to 20 years hard labor in a prison camp by the South Vietnamese government. After being sentenced she reportedly smiled at the judge and said “20 years? Your government won’t last that long.” – 1968

She was released on 7 March 1974 under the Paris Peace Accords, having served less than six years of her sentence.

x
Võ Thi Thang

Atlas

When I got my cat Atlas three years ago, she was sick. We probably got ripped off, but we took her to the vet and she eventually got better.

The poor girl was probably sick of being alone in the empty vet, so when we got her home, she quickly warmed up to us, namely, me and my dad.

Since she was my cat, I took care of her food, water, and litter duties. This probably helped her decide her favorite human, but somehow my dad won her over. She would come to him for scratches instead of me, sit on his chest instead of mine, and listen to my dad’s ‘stay’ command. He still jokes about how he’s the alpha.

However, during the following years, she got closer to me. She would nap in my room, follow me around occasionally, and ask me for pets. I heard that blinking slowly meant “I love you” in cat language, so I started doing that while whispering “I love you,” “Good girl,” and other things. She still chooses to ignore my calls to her, but her favorite human is probably a tie between me and my dad.

So, I think if you want to be your cat’s favorite human, you have to: do all her chores consistently, not be pushy when wanting to pet her – just let her come to you – talk to her often, especially when petting her, and just be patient. Sorry if my answer was a bit irrelevant lol. Here’s a pic of Atlas to make up for it

x
x

A Down Under tale

I live in Australia, which is famously full of animals that can kill you. This is of course exaggerated to folk lore status. However..there is one serious danger which should never be underestimated…..do not ever swim in rivers in the Top End. There are warning signs everywhere, They are usually a simple very obvious pictogram, but frequently look like this

x
x

Note the warning is written in English, German and I’ll say Chinese, but I’m not sure. In my travels round Australia I met a lot of German tourists, lovely people every one of them, but if anyone is going to cool off in a river on a hot day, despite warnings, it seems to be a German.

This was the case as we were camped by a river in the Kimberley area, close to a group of German backpackers, and sure enough one went for a swim. He was fine, but I encouraged him to get out, and warned him about crocs.

He was sure they only lived in the Northern Territory, and thought I was a panic merchant. The next morning there was a croc sunning on the opposite bank, and I pointed it out to him, and told him..”it (http://him….it) knows where you swim, and is waiting for you to go in again”. That’s why the signs are in German.

 

.

Things are really starting to flush-out on the Geo-political front and the USA appears to be the biggest loser

Here’s some stuff that you just won’t find in the American and Western “news”.  If you read that “news” you would be convinced that Russia is alone, China is a pariah, and America is roaring into life with fantastic employment, great prosperity and excellent news for the future. LOL.

Pro Tip: Do NOT read Western “news”. It’s all lies.

Here. Instead, let’s cook some food. Read about Cats. Learn some things that the United States media is NOT reporting on, and let’s also include some items to ponder…

Catkins

Although we had our previous cat for 18 years, she was never cuddly. Catkins would allow a few pats or scritches, but only on her timetable, and on her terms.

x
x

After she went to kitty Valhalla, we were terribly sad. But we finally decided to offer a home to a rescue cat. We only saw a picture, and heard her sad story: her first humans were cat hoarders, and both died of Covid in one week. Her next set of humans had a cat, and she hated that cat…maybe too many cats vying for food and attention in her previous home.

So we took her without ever meeting her. And she is a joy! After an initial period of shyness, she has blossomed: she purrs and cuddles all day long. And at night, nothing makes her happier than cuddling in the bed with us. There is nothing more soothing than the sound of a purring cat in the darkness, her warm, furry body pressed against you.

x
x

Ham and Cheese Tortilla Roll-Ups

You have ever-so-easy Southwest flavor going when you make a creamy cheese and corn filling that you wrap up with ham slices and flour tortillas.

x
x

Ingredients

  • 1 1/2 cups shredded Cheddar cheese (6 ounces)
  • 1/4 cup mayonnaise or salad dressing
  • 1/4 cup sour cream
  • 1 can (11 ounces) vacuum-packed whole kernel corn or whole kernel corn with red and green peppers, drained
  • 1 package (8.2 oz) Old El Paso™ Flour Tortillas for Soft Tacos and Fajitas (10 Count)
  • 10 slices (1 ounce each) deli fully cooked ham
  • Cilantro sprig, if desired
x
x

‘The dollar is our currency, but it is your problem’

Article HERE.

How about Indonesia?

If both Biden’s economic policy scenarios prove correct, Indonesia will face flight of foreign capital which in the short term will depreciate rupiah.

Imports of raw materials, equipment and machinery will shrink, which will further affect production capacity.

However, if the US real sector quickly recovers and grows, Indonesia can seize export opportunities and offset the pressure on the rupiah’s depreciation.

Also, Indonesian products can fill the role Chinese products that are subject to high tariffs.

Indonesia’s non-oil and gas export share to the US ranks second after China.

In another scenario, Chinese products that should be destined for the US will be transferred to other countries, including Indonesia.

Indonesia’s imports from China rank the highest.

As a consequence, the trade deficit with China will be enlarged, which may not be covered by an increase in the trade surplus from the US.

Within the above logic flow, strengthening trade between countries in the same region has the potential to be increased, through ASEAN for example.

The agreement on the use of local currency is the basis for economic growth and regional stabilization without too much dependence on the US dollar.

Furthermore, the diversification of export destination countries deserves attention.

The expansion of the export market deserves to be directed outside the traditional countries that have existed so far.

North Africa, the Middle East, Eastern Europe and Latin America are wide open to become potential markets for Indonesian products.

In a broader scope, if Indonesia remains willing to play in the international market, increasing competitiveness is nonnegotiable.

The increase in exports should not only be triggered by the weakening of the domestic currency, but must be also supported by the intrinsic superiority of its export products.

Strengthening the domestic market appears to be the safest solution to various sources of external turmoil.

Domestic consumers must be protected so that their purchasing power remains strong and they are able to absorb domestic production, instead of consuming more expensive imported products.

The four options above unfortunately are difficult to realize anytime soon.

Perhaps the 61st US treasury secretary, John Connally, was right when said, “The dollar is our currency, but it is your problem.”


Owning your very own private island

I have one, lol. It’s a little 1.5 acre island in a freshwater lake in Ontario. We have a summer cottage on it. On the mainland, which is not far away, we own a deeded access road, a parking area and a dock.

x
x

The island has electricity and phone – installed back in the 1970’s when utility companies would do it for no charge. Today it would be prohibitive.

The downside is not being able to use the cottage year round. The ice is not reliable in winter, and our utility water comes out of the lake (we have no well), so we have to close up in November and reopen mid April or so. The plumbing has to be totally drained, everything packed away, removed and shut off. It’s quite an operation. We’ve had burst pipes many times from water not thoroughly drained from low spots.

We have neighbours on the next island in the bay who stayed all winter due to Covid (normally they are here in the summer, and travel all winter). They actually own a small hovercraft so they can zip over across the ice to the mainland for groceries etc. no matter how sponge like the surface is.

Everything has to come over in our sad little beat up 14 foot aluminum row boat, which is a giant pain in the butt at times. Every appliance (and we’ve replaced all of them in the 34 years we’ve owned it). A new steel roof. Lumber for a sleeping cabin. Replacement beds. Groceries. Drinking water. You name it. Back and forth trips lugging loads. And the old stuff and garbage brought back to the main shore. I’m getting too old to drag old mattresses out of a boat, up the hill and into a van. We often have to enlist neighbours to help.

You need anything fixed beyond DIY, you have to go get the tradesperson and all their stuff, and take them back.

Still, I love it. Love the privacy and the critters. Now that I’m retired, I’m here 5 or 6 months of the year. My children grew up here. We do Canadian Thanksgiving here. Picture taken in late fall when we were closing up and getting snowed on.

The United States cannot count on its ally, the Republic of Korea, for support

The visit to Asia by House Speaker Nancy Pelosi (D-Calif.) exposed one reality that many people did not realize: The United States cannot count on its ally, the Republic of Korea, for support if war breaks out in the Taiwan Straits.

The visit to Asia by House Speaker Nancy Pelosi (D-Calif.) exposed one reality that many people did not realize: The United States cannot count on its ally, the Republic of Korea, for support if war breaks out in the Taiwan Straits.

The United States, as Pelosi’s visit demonstrated conclusively, could not base forces in South Korea for action anywhere in the region for any purpose other than the defense of South Korea. U.S. forces would have to rely on their bases in Japan and Guam from which to defend Taiwan against invasion by China from the mainland.

That shocking fact became evident when Pelosi visited South Korea after her big day in Taiwan. No South Korean delegation was on hand to greet her at the airport, as might have been expected, and President Yoon Suk-yeol managed to be on vacation during her visit, though he did find time to chat with her for 40 minutes on the phone before she and her entourage, including five other members of Congress, went to the Demilitarized Zone between the two Koreas.

Perhaps Yoon may have been smart not to see her, considering that his foes in the Minjoo, or Democratic Party, still dominate the National Assembly and oppose much of what he’s doing to repair U.S.-Korea relations, which were severely compromised during the presidency of his progressive predecessor, Moon Jae-in. Pelosi, after all, is a leader of the Democratic Party in the U.S. — not quite the equivalent of Korea’s Democratic Party but definitely not conservative. Thus it may have been a good idea for Korea, on an official level, to have treated her visit coolly.

In that spirit, Pelosi was told in advance not to say a word about Taiwan or the dangerous military exercises that China’s President Xi Jinping ordered in retaliation for her refusal to bow to warnings not to visit the independent island state. That’s regrettable, since she and Yoon could have talked about what Taiwan needs to stand up to China.

Tiny Taiwan, population 23.5 million, will have to acquire more and better arms for defense against China, population 1.4 billion, and the United States will have to strengthen its commitment to defend Taiwan. Also, Taiwan will have to unify its own people more effectively, weeding out pro-China elements who would betray the island’s independence.

All that should be clear from the nature of the exercises in which China showed off its rising military might perilously close to Taiwan’s shores. Theoretically, it should be possible for South Korea, a major manufacturer and exporter of arms, to deluge Taiwan with weapons ranging from rifles to tanks — though South Korea’s concerns about China would seem to rule out such business with Taiwan.

The differences between the United States and South Korea on Taiwan and China are disturbing when you consider the importance of U.S. bases in Korea. Camp Humphreys, 40 miles south of Seoul, is America’s biggest overseas base, the home of most of the 28,500 U.S. troops in Korea. Nearby Osan Air Base is home of the Seventh U.S. Air Force, next in importance to the U.S. base at Kadena, home of the Fifth U.S. Air Force on Okinawa, the southernmost Japanese prefecture.

American commanders over the years have told me that U.S. forces in Korea could be deployed elsewhere as needed, but Pelosi’s visit shows that’s not the case.

South Korea wants nothing to do with the defense of Taiwan against China

.

Meet Marianne Bachmeier, Germany’s ‘Revenge Mother’ Who Shot Her Child’s Killer In The Middle Of His Trial

In March 1981, Marianne Bachmeier opened fire in a crowded courtroom and killed Klaus Grabowski — the man on trial for murdering her 7-year-old daughter.

On March 6, 1981, Marianne Bachmeier opened fire in a crowded courthouse in what was then known as West Germany. Her target was a 35-year-old sex offender on trial for her daughter’s murder, and he died after taking six of her bullets.

x

Marianne Bachmeier was sentenced to six years in prison after shooting her daughter’s rapist and killer in a courtroom.

 
 

Forty years later, the case is still remembered. German news outlet NDR described it as “the most spectacular case of vigilante justice in German post-war history.”

 

Marianne Bachmeier’s Daughter Anna Bachmeier Is Murdered In Cold Blood

x

Patrick PIEL/Gamma-Rapho via Getty ImagesBachmeier’s case divided public opinion: was the shooting an act of justice or was it dangerous vigilantism?

Before she was christened as Germany’s “Revenge Mother,” Marianne Bachmeier was a struggling single mom who ran a pub and in 1970s Lübeck, a city in what was then West Germany. She lived with her third child, Anna. Her two older children had been given up for adoption.

 

Anna was described as a “happy, open-minded child,” but tragedy struck when she was found dead on May 5, 1980.

According to NDR, the seven-year-old had skipped school after an argument with her mother that fateful day and somehow found herself in the hands of her 35-year-old neighbor, a local butcher named Klaus Grabowski who already had a criminal record involving child molestation.

 

Investigators later learned that Grabowski had kept Anna at his home for hours before he strangled her with pantyhose. Whether or not he sexually assaulted her remains unknown. He then stashed the child’s body in a cardboard box and left it on the bank of a nearby canal.

Grabowski was arrested that same evening after his fiancé alerted the police. Grabowski confessed to the murder but denied that he abused the child. Instead, Grabowski gave a strange and disturbing story.

 

The killer claimed that he strangled the little girl after she tried to blackmail him. According to Grabowski, Anna tried to seduce him and threatened to tell her mother that he had molested her if he didn’t give her money.

Marianne Bachmeier was incensed by this story and a year later, when Grabowski headed to trial for the murder, she had her revenge.

 

Germany’s ‘Revenge Mother’ Shoots Grabowski Six Times

x
klaus-grabowski
 

Grabowski’s trial was likely a heartache for Bachmeier. His defense attorneys claimed he had acted out of a hormonal imbalance that was caused by hormone therapy he received after being voluntarily castrated years earlier.

At the time, sex offenders in Germany often underwent castration to prevent recidivism, though this wasn’t the case for Grabowski.

 

On the third day of the trial in Lübeck district court, Marianne Bachmeier grabbed a .22-caliber Beretta pistol from her purse and pulled the trigger eight times. Six of the shots hit Grabowski, and he died on the courtroom floor.

Witnesses alleged that Bachmeier made incriminating remarks after she shot Grabowski. According to Judge Guenther Kroeger, who spoke to Bachmeier after she shot Grabowski in the back, she heard the grieving mother say, “I wanted to kill him.”

 
x
Bachmeier allegedly remarked “I hope he’s dead” after killing Grabowski.

Bachmeier allegedly continued, “He killed my daughter… I wanted to shoot him in the face but I shot him in the back… I hope he’s dead.” Two policemen also claimed to have heard Bachmeier call Grabowski a “pig” after she shot him.

 

The mother of the victim soon found herself on trial for murder herself.

During her trial, Bachmeier testified that she shot Grabowski in a dream and saw visions of her daughter in the courtroom. A doctor who examined her said that Bachmeier was asked for a handwriting sample, and in response, she wrote: “I did it for you, Anna.”

 

She then decorated the sample with seven hearts, perhaps one for each year of Anna’s life.

“I heard he wanted to make a statement,” Bachmeier later said, referring to Grabowski’s claims that her seven-year-old was trying to blackmail him. “I thought, now comes the next lie about this victim who was my child.”

 

Her Sentence Divides The Country

 

Marianne Bachmeier now found herself at the center of a public maelstrom. Her trial received international attention for her ruthless act of vigilantism.

The weekly German magazine Stern ran a series of articles about the trial, digging into Bachmeier’s life as a working single mother who had a very rough start in life. Bachmeier reportedly sold her story to the magazine for roughly $158,000 to cover her legal expenses during the trial.

 

The magazine received an overwhelming response from readers. Was Marianne Bachmeier a distraught mother simply trying to avenge the brutal death of her child, or did her act of vigilantism make her a cold-blooded killer herself? Many expressed sympathy toward her motives but condemned her actions nonetheless.

In addition to the case’s ethical conundrum, there was also a legal debate about whether the shooting was premeditated or not and whether it was murder or manslaughter. Different rulings carried different punishments. Decades later, a friend featured in a documentary about the case claimed to have witnessed Bachmeier perform target practice with a gun in her pub cellar before the shooting.

 

The court ultimately convicted Bachmeier of premeditated manslaughter and sentenced her to six years behind bars in 1983.

x
x

 

 

According to a survey by the Allensbach Institute, a majority of 28 percent of Germans deemed her six-year sentencing as an appropriate penalty for her actions. Another 27 percent considered the sentence too heavy while 25 percent viewed it as too light.

In June 1985, Marianne Bachmeier was released from prison after serving only half of her sentence.

She moved to Nigeria, where she married and remained until the 1990s.

After she divorced her husband, Bachmeier relocated to Sicily where she stayed until she was diagnosed with pancreatic cancer, upon which she returned to a now-unified Germany.

 

With precious little time left, Bachmeier requested Lukas Maria Böhmer, a reporter for NDR, to film her last weeks alive.

She died on Sept. 17, 1996, at the age of 46. She was buried next to her daughter, Anna.

 

Russia responds to Zuckerberg’s FBI revelations

From HERE

The FBI and other US security agencies secretly control American social media giants, Russia’s foreign ministry has alleged. The claim follows a recent interview with Mark Zuckerberg, in which the Facebook CEO supposedly acknowledged such influence.

On Friday, spokesperson Maria Zakharova published a post on her Telegram channel devoted to Zuckerberg’s recent appearance on The Joe Rogan podcast. According to the Russian diplomat, Facebook’s first-in-command recounted how FBI operatives had visited him ahead of the 2020 US presidential elections, which ended in victory for Joe Biden, asking him to suppress stories revolving around the “unseemly contents” of Hunter Biden’s laptop on his platforms.

“The men in black ‘convinced’ Mark Zuckerberg… that these were all Russian fakes,” Zakharova added, referencing the podcast.

She went on to surmise that this kind of “excuse for censorship” was sufficient to have made Facebook’s CEO comply with the request. The subsequent suppression of the Hunter Biden laptop story “helped pronounce Biden senior as the winner in the election,” Zakharova noted, remarking that many Americans are still unconvinced.

The diplomat went on to argue that, with the laptop story turning out to be true and with Zuckerberg’s revelations to Rogan, “the world has learned that the US social media played a decisive role in this performance.” She added that the suspension of then-President Trump’s accounts by the main social media platforms just goes to show that the US authorities collude with the “internet monopolies.”

Zakharova concluded that all this proves that the “FBI and other American security services manually control digital giants,” with social media platforms’ leadership only too happy to “participate in dirty political games in Washington.” The senior diplomat also made the claim that “there are no democratic standards in the American electoral system: neither in theory nor in practice.”

Lessons in life

As I approached retirement age, I learned an important lesson from two people.

First Lesson. . .

Mary, a teacher friend, retired 5 years before I did. For the last several years before retirement, Mary spoke of where she and her husband planned to travel as soon as they retired. They had it all mapped out.

Mary was in perfect health for her age as was her husband. They retired on schedule but rarely left their house.

With no prior warning, Mary’s husband suffered a heart attack. It left him very much diminished. He wasn’t bedridden or an invalid but they would never travel. She could still travel but couldn’t leave her husband.

I saw her at JC Pennys at the mall one day. Her advice was. . .don’t wait. If you wait to check things off your bucket list, it may never happen.

Second Lesson. . .

This one is personal. My sister retired after 33 years of teaching. She loved to teach. In fact she loved to teach so much she taught preschool in a rather disadvantaged area perhaps 30 minutes from where she and her husband lived.

The summer that led into her 3rd year of teaching preschool, her list of incoming students included a three-year-old boy who was deaf. She spent the rest of the summer learning sign language for the sole purpose of communicating with this little fellow.

It was April of that school year that I drove 450 miles to spend time with her during school break. She was in perfect health.

By the end of the month, she was in surgery to remove a rapidly-growing, unforgiving type of brain cancer. November of that year she died. She was 61.

I’d always wanted to travel abroad. I had renewed my passport 4 times since I was 28 and never used it. With those 2 lessons in mind, my daughter and I traveled to the UK the following year then Italy then France and Switzerland then Ottawa and Montreal then back to England.We plan to travel to Vancouver, British Columbia next spring.

It took the advice of my colleague and the death of my sister to jar me into action. So you often hear those words “don’t wait because it may be too late”. Well, don’t wait because it may be too late.

Here we are. My sister and I ready for our first day of school. Mother was always busy at the sewing machine sewing identical dresses for us.We had identical lunch boxes as well.

x
x

Why South Korea’s largest labor groups oppose military drills with US

x
x

South Korea’s two biggest labor umbrella groups have called for the immediate suspension of the country’s ongoing joint military exercise with the United States, calling it a dangerous act that increases the risk of conflict with North Korea.

In front of the War Memorial of Korea, Tuesday, the Korean Confederation of Trade Unions (KCTU) and the Federation of Korean Trade Unions criticized President Yoon Suk-yeol for the resumption of the two allies’ first full-scale field trainings in five years.

“We denounce the Yoon administration for being trapped in a swamp of the South Korea-U.S. alliance, a byproduct of the Cold War of the 20th century, and making the wrong moves for the peace, diplomacy and economy of the Korean Peninsula,” they said in a joint statement. “North Korea is one of us, with which the South should work together to put an end to the era of conflict and division and to open the era of self-reliance and peaceful unification.”

The messages promoted during an Aug. 13 rally in Seoul by the KCTU, which advocates for labor rights as well as democracy, national sovereignty and peaceful unification, were stronger and more direct: “Renounce the South Korea-U.S. alliance” and “Abolish conscription.”

Those who are not familiar with how South Korea’s progressive movement has developed over the last decades may find it difficult to understand why labor groups might raise their voices about this issue.

The progressive National Liberation (NL) faction, which emerged as a powerful force in the democratic movement in the 1980s, developed tendencies toward nationalism and anti-U.S. sentiments, the vestiges of which still have a formidable influence on liberal politics in Korea, particular of that generation, according to experts.

“The NL believes that the U.S. was responsible for Korea’s division, and views the country as a barrier to its unification,” Cho Jin-man, an associate professor of politics and international relations at Duksung Women’s University, told The Korea Times. “Such thoughts were developed in the 1980s, and they still adhere to the beliefs.”

To put it simply, he said, the NL and the PD (People’s Democracy) factions were the two main pillars of South Korea’s progressive camp. While the PD faction focused more on issues such as workers’ rights, it shared much of the NL’s nationalistic views over the relationship with North Korea and the U.S.

From HERE

The end of Earnest Hemingway

On July 2, 1961, Ernest Hemingway got out of bed around 7 a.m., unlocked the gun cabinet in his Ketchum, Idaho home using the key his wife had tried to hide from him, grabbed the double-barreled shotgun that he used to hunt pigeons, and shot himself in the forehead.

x
Depression
 

Though newspapers initially reported his death as an accident, and Hemingway’s wife even claimed that the gun had gone off while he was cleaning it, the writer’s death was eventually revealed to have been a suicide — which had come after a long struggle with depression.

Years later, Hemingway’s wife Mary said: “No, he shot himself. Shot himself. Just that. And nothing else.”

Russia Halts a Natural Gas Shipment to Japan Over Payment Issues

The article "dances" around who that Asian nation is. You have to go elsewhere to get the information. So who is this "Asian" nation?

Sakhalin Energy ships carbon-neutral cargoes of liquefied natural gas (LNG) to Japan's Toho Gas.

Oh.

Japan will not pay Russia in Gold, or Rubles or Yuan. They insist on using the USD. -MM
  • Russia’s Sakhalin Energy halted a shipment of liquefied natural gas to an Asian buyer, a report said.
  • It’s the first time gas has been witheld from an Asian buyer, a move could result in blackouts.
  • It will have spillover effects in Europe as it gears up for its own energy crisis in the coming months.

Russia halted a shipment of liquefied natural gas to an Asian customer over payment issues to its new energy operator, Sakhalin Energy. It’s the first sign that Russia is beginning to withhold natural gas from Asian customers over its energy operations, threatening to throw some of its biggest Asian clients into blackouts this winter.

Two traders familiar with the matter said Sakhalin Energy withheld a cargo of LNG to an Asian buyer on the grounds of payment and a delay in signing a revised contract, according to a report from Bloomberg

Russia has offered those revised contracts to Asian customers since setting up Sakhalin Energy, a new corporation created to transfer ownership of Russia’s oldest LNG facility from a Bermudan to a Russian entity. 

The revisions ask LNG buyers to pay with currencies other than the US dollar if western sanctions result in payment issues. But most Asian customers have avoided signing so far, Bloomberg reported. 

Withholding fuel from Asian buyers could spell trouble for North East Asia, which has been snapping up LNG in preparation for winter. Japan, Sakhalin’s top buyer, is particularly vulnerable to blackouts this winter if shipments are cut, as it sources around 9% of its natural gas from Sakhalin. 

That could also have spillover effects to Europe, as Asian customers may eat away at fuel supplies from non-Russian suppliers, which are already strapped for supply as Europe gears up for its own energy crunch this winter. 

“Without Sakhalin, North East Asia will have to drag more cargoes away from Europe, intensifying the scramble for gas between Asia and Europe heading into winter that could send LNG prices to unprecedented levels,” energy analyst Saul Kavonic told Bloomberg.

From HERE

A misunderstanding

I received this message a couple of weeks ago and almost shit my pants when it came in.

x
x
 

I was frantic for a couple of days about it and went to the police with the message.

2 days after I went to the police they turned up at my front door and escorted me to my elderly neighbours house. The poor man was so embarrassed and was holding out $60 for me and just saying sorry repeatedly. He had sent me the message as a thank you but worded it very badly.

I had seen him at the shops and he went through the self service checkout. He scanned his items and tapped his card but the transaction didn’t go through. He didn’t notice and packed his groceries on his walker and left the store.

I noticed when I went to the same checkout that his payment didn’t go through. It was only $60 so I plaid it for him and said nothing to him.

Unbeknownst to me the security had picked him up outside about not paying and the girl at the front desk also came and talked to me. I told her I paid it because he was my neighbour and not great with technology. Meanwhile in the security office they showed my neighbour the footage and that I had paid his bill for him.

As English is not his first language and technology is not his friend. His message of thanks came across as a little threatening. He has my number in case of an emergency but I obviously never saved his number in my phone.

We all had a good laugh about the incident and we went over and cooked a BBQ at his house and had lunch with him. I slipped the $60 he gave me back into the tea pot I know he keeps his cash in because I never expected him to pay me back. And because I felt so bad about getting police involved.

Guo Zhengliang, chips above 14nm are China’s No. 1 in the world, and foreign manufacturing cannot beat China

From HERE

2022-08-27 14:06 HKT
 

Guo Zhengliang: 14nm chips have become the development goals of various countries. European and American countries have formulated corresponding chip subsidy plans, in order to build a stronger chip industry and master more chip production capacity.

China is also stepping up its layout in the chip field. At present, the mainland’s most technologically advanced chip manufacturers have mastered the mass production capacity of 14nm chips. Guo Zhengliang, a Taiwanese expert and scholar, said that China’s chips above 14nm are number one in the world, and foreign manufacturers can’t beat them.

What does Guo Zhengliang’s speech show? How can China’s mature process chips continue to move forward?

 

Guo Zhengliang’s insights on China’s 14nm chips

In the field of chip manufacturing, countries and regions will formulate different development goals according to the process conditions they master. Some companies have broken through the high-end chip manufacturing process and stepped up their layout in the chip industry of 7nm and below. Just like Samsung has achieved mass production of 3nm chips and completed shipments.

In the future, Samsung will further sprint to the more advanced 2nm, pushing the human chip technology to a new height.

 

However, since the production of high-end chips requires the use of EUV lithography machines, this top-level semiconductor manufacturing equipment comes from ASML. The mainland chip manufacturer SMIC has purchased EUV lithography machines, but ASML cannot break the rules, resulting in EUV lithography machines cannot be freely shipped.

Other manufacturers that can successfully purchase EUV lithography machines have basically entered the 7nm, 5nm and other process categories, or use EUV lithography machines to achieve high-end chip production. Although there is no EUV lithography machine, other DUV lithography machines are not affected, and DUV lithography machines are sufficient to support most chip production, such as 14nm.

 

Don’t underestimate 14nm, there are only a handful of companies that master the global 14nm process technology. For 7nm below 14nm, only TSMC and Samsung have broken through mass production technology.

Therefore, 14nm is placed in the global chip industry and is a process that can meet the needs of a large number of customers. SMIC has achieved 14nm mass production, and the yield has reached the industry standard.

Regarding the development of 14nm in China, Guo Zhengliang, a Taiwanese expert and scholar, expressed his opinion that the mainland is already the world’s first in terms of 14nm and above. Because it is relatively cheap, foreign manufacturing cannot beat China.

In Guo Zhengliang’s view, the mainland has a leading edge in 14nm, and even ranks first in the world. What does this statement alone show?

First of all, Guo Zhengliang is optimistic about the development of the mainland in the 14nm field, which has a price advantage compared with foreign countries. Cheaper prices can attract more customers’ attention and get more order resources.

Secondly, Guo Zhengliang pointed out the advantages of mainland chips in the field of mature technology. 14nm belongs to the category of mature technology, which is more advanced than 28nm and 22nm. It is the focus of market demand for low-end chips in the industry.

Perhaps as you have seen, the mid-to-low-end chip market to which mature processes belong, the mainland already has a corresponding layout, and has also mastered 28nm and other process supply chain technologies. As for whether it can reach the world number one that Guo Zhengliang said in the global supply chain, I am afraid it will take more time to verify and get a more accurate answer.

At least before everything is finally broken, we need to be down-to-earth and objectively treat various evaluations in order to find a suitable direction for ourselves.

How can China’s mature process chips continue to move forward?

There is still room for improvement in high-end chips in mainland China, and EUV lithography machines are required to make 7nm and 5nm chips. At present, everyone knows the shipment status of EUV lithography machines, so focusing on the field of mature process chips is actually in line with the needs of industrial development. So how does China’s mature process chips continue to move forward?

 

The first is to achieve capacity support.

More than 80% of the chips on the market are built with mature technology. High-end chips of 7nm and below are mainly used in smartphones, tablets and computers.

These electronic products cannot represent the global market demand, nor can they concentrate all chip production capacity. Therefore, in terms of developing mature processes, we should achieve capacity support, and use more capacity to supply the required market to meet the scene areas with greater demand.

 

For example, domestic manufacturers can use 28nm and 14nm in emerging fields. Based on the development of traditional industries, they must also take into account market needs.

Secondly, protect technical talents.

With the development of China’s integrated circuit industry, the demand for talents has become higher and higher. It is expected that there will be a talent gap of 200,000 in the future, which means that many chip companies and positions in the integrated circuit field will face vacancies.

Therefore, ensuring technical talents has become an important matter for the development of mature process chips. So how can these talent gaps be filled? It may require the joint efforts of major universities and enterprises. Schools set up professional courses, enterprises provide employment platforms, and if necessary, school-enterprise cooperation may be carried out.

 

In general, China’s mature chip manufacturing process needs to make breakthroughs in many aspects, such as production capacity support, talent guarantee and even supply chain cooperation, etc., and must maintain a consistent pace of progress.

Summarize

Guo Zhengliang gave a good evaluation of 14nm in mainland China, but we should also know that 14nm is not the end, but a new starting point. The road of Chinese chips will continue to move forward.

I believe that in the near future, we will see different Chinese chips, high-spirited and confident.

Being too smart

My IQ is 145 and I very, very nearly screwed up everything. You know, if you’re smart, you have a couple of challenges others do not. I was misunderstood, I over analyzed other kids behaviour, didn’t blend in naturally and the worst: I never learned how to work hard, because everything was so easy.

Until around age 12, I never needed to lift a finger for anything at school. Then in high school, some things suddenly took studying. Not much, but for someone not used to lift a finger, that was hard.

Long story short, I was nearly 30 years old when I finally graduated from university. Even in the Netherlands, where people used to take their time to study, that was kinda extreme. If I hadn’t finally gotten my shit together in my late twenties, I would probably be working a job way below my potential now. And be very unhappy or depressed.

Fortunately, I realized in time that I had to step up my game, and fortunately, I got hired in a job that turned out to be perfect for me. I was very lucky the way things turned out. I am in a good place now. Good job, good salary, own two rental properties and most importantly I have a beautiful stable family.

x
x
 

I know there are many high-IQ people like me out there who weren’t as lucky, and live average or even miserable lives despite their intelligence. Life can be really unfair. It’s really very easy to screw life up, even when you have a high IQ. Especially when you have a high IQ.

US media ignored major anti-US military protest in South Korea – Responsible Statecraft

From HERE

x
x

From HERE

Be the Rufus

Today I was asked if I am any good with phones by an elderly man as he was trying to open a picture message that his granddaughter had sent.

After putting Sienna in her pram, I took a look at his phone which was a really old Nokia. As he didn’t have a smart phone I told him that he wouldn’t be able to view it. He was gutted and went on to tell me that it was a photo of his granddaughter and her new baby who he hadn’t seen yet as they live in Buxton.

So, I forwarded the message to my phone and eventually managed to open the picture. He was so emotional seeing his great granddaughter for the first time and you could see his love for them both through his teary eyes.

x
x

The man insisted on giving me £20 to treat my daughter to a toy as a thank you for helping him which I insisted I didn’t want, but he was having none of it. So, I asked him to wait there and I went to boots and printed the photo for him and ended up buying a frame for it too.

When I returned with the framed photo, he was so happy, literally overwhelmed.

This just made my day to make someone so happy. ❤️

US Coast Guard vessel unable to enter Solomon Islands port to refuel

Why travel half the world to patrol fishing using coast guard vessel? Where is the US coast line?

A US Coast Guard vessel was unable to enter the Solomon Islands for a routine port call because the local government did not respond to a request for it to refuel and provision, according to a US official.

The USCGC Oliver Henry was on patrol for illegal fishing in the South Pacific when it failed to obtain entry to refuel at Honiara, the Solomon Islands capital, the official from the US Coast Guard said.

The US vessel was diverted to Papua New Guinea instead.

It was scheduled for a routine logistics port call at Solomon Islands, according to a public affairs officer for the US Coast Guard in Hawaii.

“The Government of the Solomon Islands did not respond to the US Government’s request for diplomatic clearance for the vessel to refuel and provision in Honiara,” they said.

.

200,000 Chinese-Americans are about to be deported, their American citizenship is cancelled and they have no Chinese nationality, what should they do?

From HERE

2022-08-27 14:00 HKT
 

We know that as early as the last century, many Chinese regarded going abroad as a matter of honoring their ancestors. If the children of the family worked and developed in the United States or in a foreign country, they felt that they would have a special face in front of their relatives and friends.

But after entering this century, this sense of pride seems to have vanished.

Most people no longer regard going abroad as a craze, nor do they feel that going abroad will honor their ancestors.

On the contrary, many people are no longer obsessed with going abroad, and they prefer to work and develop at home. On the contrary, some foreign friends, after seeing the environment in China, rushed to China frantically, hoping to get a Chinese green card and work and settle here.

It may be rare in third- and fourth-tier cities, but if you go to first- and second-tier cities, you will find that there are many foreigners around you, who go to get off work, go shopping, etc. with you.

x
x

For example, in Guangzhou, China, there are nearly 300,000 black people, and in Shanghai, there are about 400,000 Japanese immigrants.

At the same time, in some developed cities such as Beijing and Shenzhen, there are many foreigners who immigrated to China from the United States.

These people choose China because they feel that the pace of life in China is unhurried, the environment is livable, and the country’s development prospects are bright.

However, look at some people who tried their best to immigrate to the United States. How are they doing now?

Have they lived their dream of prosperity and wealth as they wished?

The fact is very distressing, because after they arrived in the United States, their jobs were mediocre, their economic income was mediocre, and their living environment was even worse.

x
x

According to statistics, most of the 4.5 million Chinese in the United States gather in Chinatown.

A long time ago, there was a person named “Queen Snakehead” in Fujian.

She specialized in the smuggling business, mainly helping mainlanders to smuggle to the United States.

You must know that it is very difficult for these people who entered the United States through illegal means to settle and find work in the United States.

Because most people think that as long as they come to the United States, they can have a good life and live a good life, but because it is illegal to smuggle to the United States, they can only do some scattered jobs in the United States.

I work here today, and I might be working in another place tomorrow. If you encounter a boss with bad behavior, you may give him a day of work for nothing without a penny of wages.

However, the welfare treatment of the poor in the United States is ok, and relief food is distributed every day.

Therefore, in places where relief food is distributed in the United States, Chinese people are often seen.

In order to attract more Chinese to join the United States, the United States stipulated that citizens who became American citizens did not need to cancel their original nationality, so many people had dual citizenship at that time.

But this is a unilateral regulation by the United States, and China will never allow people who step on two boats to act recklessly.

China stipulates that Chinese nationality will be cancelled if Chinese nationals possess other nationalities.

Today, the United States has announced that it will deport 200,000 Chinese who do not have American nationality, but these Chinese no longer hold Chinese nationality.

So after the 200,000 Chinese were expelled from the United States, what should they do?

Some notes about Thailand…

[1] Tourist Traps

Well, the reader should recognize that Thailand is an excellent holiday destination. It is where many people go to relax and have fun.  As such, the reader should be advised, there are many traps or things to look out for.  These things can range from a (near mafia like) arrangement of Tuk Tuk drivers and their system of fleecing tourists, to places where locals dare not tread. Here, I would like to place some warnings to the interested reader…

[2] Khao San Road

Hopping off the plane and heading straight to Khao San is a great idea if you are young, white, and into tie-dyes. (LOL!) You won’t find too many locals there.  It is it’s own little enclave that caters to a youthful Western European clientelle. As such, be prepared to be surrounded by the youth of today (with a handful of older folks trying to navigate the clutter) from the Western side of the globe.

To quote;

“The last time I went out clubbing in Khao San the ladies were quite impressed with me because I was wearing shoes with laces. My competition for the fairer sex was a young, bearded pot-bellied boy who was interested in feeling out the clubs with bare feet — a low bar for the love scene. On a positive note he was giving out free hugs to people who couldn’t dodge his outstretched arms.”

-Ronald Tagra

Khao San is an iconic place with cheap beer and lots of “exotic” white people to hang out with. Yeah, just what you want.  Leave what you know and experience what you know in a new location.

x
x

It is a tourist trap where the only authentic experience you will have is your hangover. The buckets of red bull and piss are a smashing way for you to get the type of “real” Oriental hangover you’ve always been looking for and the type of morning you’ll always regret. It is also a great place if you want to get on the piss with your friends and enjoy your youth in a slightly different locale than back home.

However, really, if you wanted to just hang out at the same places with the same people, why bother coming to a foreign country? Best to stay in the motherland than to come out to Khao San.

x
x

[3] Female Jealousy

“I remember when I first started coming here, even on Khao San Road, I would see sour looking farang women sitting around looking disapprovingly at the effortlessly beautiful local girls, and I would think to myself “Why did you come here, white woman? This place is not for you – go home!””

Stickman


[4] Social Justice Females

Many female social justice warrior types are absolutely appalled by the fact that men would go to tropical Thailand and engage in sex with women at bars.  They hate this. 

In their mind, of course, there is nothing wrong if [1] the wife blows up to the size of an elephant, [2] stops providing sex, and [3] takes all the money her husband makes because they are MARRIED.

What is wrong (in their minds) is that the husband wants to spend some time drinking, having sex with young cute and attractive slim girls, being treated like a MAN, and spending the money he earned on doing so.

Indeed! How disgusting! Imagine that!

Here are some excerpts from an article written by a SJW. She covers the various stereotypical male types that she has observed on one of her trips to Thailand, and spins her take on it.  Ohhh, the bitterness, disgust and envy drips from her pen;

Article HERE

 “The Angry and Divorced Forty-Something Seeking a ‘Proper Woman’
This city is full of once-burnt divorced men who were either so shattered by their previous marriages — or so disenchanted by the era of leftist feminism — that they felt compelled to move thousands of miles east to find a ‘proper woman’. And by proper woman, I mean one straight out of the 1940s.
“Just let me be a man, while you do my washing, take control of the kitchen and don’t ask too many questions.” Not much to ask. These men tend to shack up with the financially destitute, or the professionally prostitute — whatever improves their chances of exerting total dominance over them in the long run.
With these couples, it seems true love simply equals “You’ll never leave me while I send your parents and buffalos 20% of my retirement fund.”

Oh, she just doesn’t get it.  Does she?  She thinks that it is the failure of the man in his marriage that “drove” him to move to Thailand.

Really, that is what she thinks.

But, it’s not. Nah, but you can’t tell her anything.  She knows what’s right.  She knows everything.  She’s a woman. She doesn’t make mistakes. Right?

She ends her diatribe with her solution to all the men who want to be left alone and to live their own lives, THEIR WAY…

“So, what’s the solution? Ideas on a postcard, please.

My vote goes to mass deportation…”

Advice for Americans who need an address

When I lived stateside and was down on my luck I suddenly found myself living in my car with only my clothes to my name.

How did this happen?

Well, my wife had found a lover, one day I came home early from work and he was in my house and she wasn’t, so I had him arrested for breaking and entering!

Actually, this is an exceptionally hilarious story that I’ll save for another post…

Anyway, I promptly left the house and went to a hotel.

Because my wife was angry at what I’d done to her lover she blocked our bank accounts.

I filed for divorce, but she hired a really good lawyer and the judge gave her everything. I got nothing but the car.

Two weeks later I was laid off from a long-term and well-paying job, but because of the divorce I had very little money saved.

So, suddenly I had a really big problem, but there was no one who could really help me, not even my family.

I didn’t have enough money to get an apartment, so I started to sleep in my car.

I knew that what I really needed was a permanent address, but in my sudden new position in life there was no way I could get one.

Here’s what I did …

I went to a post office (PO) box rental company and rented a box.

Instead of putting down my address as “Box 12” when I was filling out a form, I put down “Unit 12”.

Not once did I ever get a complaint from the mailbox company or the post office.

All my mail arrived without a problem, including government mail.

It’s a really good way to maintain a permanent address if you’re moving around a lot or are temporarily living in your car.

It can even help you to improve your credit rating because it appears like you’re in a stable location for a period of time.

The other thing that I did was I got a gym membership. I’d go to the gym every day to take a shower.

The PO box cost me about $35 dollars a month, and the gym membership was about the same.

So, for less than three dollars a day I was able to stay clean and appear, at least on paper and to everyone else, to be stable.

I lived in my car for eight months before I was able to find a job and then another four months before I was able to save up enough money for first and last month’s rent and get myself into a small apartment.

Would you believe that no one ever found me out? It’s true! No one ever knew!

One of the first things I did after I got my PO box address was to try and get credit.

Of course I was declined, but the address went on my credit rating nonetheless.

I am absolutely sure that the apartment rental agency that I applied to wouldn’t have approved my rental application had I not tried to use the PO box’s address as my own to get credit a year earlier.

To them it looked like I had lived for a year at my previous address and simply chose not to renew my lease. I even said as much when I was filling out my application.

During that year I spent another ten dollars a week at the laundromat to keep my clothes clean, including the cost for laundry detergent.

Toothpaste, soap and other incidentals cost me around thirty dollars a month.

So basically my rent, water, electricity, laundry and personal grooming cost me about $150 a month, five dollars a day.

During the time that I wasn’t working I’d go to various public parks around town and remove soda pop and beer cans from the garbage cans.

When I had filled up the trunk of my car and then some, I went to the supermarket and put the cans in the recycling machines out front.

I got a nickel for two cans and two cents for a single can.

I was actually able to collect enough cans to pay the five dollars a day for my upkeep and also put two gallons of gas in my car so that I could drive around the next day.

Incredibly, I was also able to collect enough cans to buy a liter of water and a package of Tang (powdered orange-flavored drink) every day.

There was even enough left over for a Hostess dessert pie for breakfast, a 7-Eleven microwaveable burrito for lunch and some bread, ham, cheese and fruit for dinner!

My food expenses were about seven dollars a day and it was about the same for gasoline.

So, I lived on a budget of $20 dollars a day for nine months.

Somehow, and I have no idea how, I was able to collect between 400 and 500 cans a day—and I survived!

I have no shame in it, nor in sharing it; it wasn’t my fault to begin with, but in no way was I going to give up. I’m too proud.

Sure, I could have sold my car to rent an apartment, but then how would I get to work or find a job?

By bus? Not so practical in San Diego.

So I did what I did in the way that I did it.

Today, I have a house on the beach and I will buy a second one soon. I have a new wife whom I love and who loves me and we have a wonderful son together.

I’ve worked for 11 years at the same company, so my life is stable once again.

But I’ll never forget that year; it was a true life lesson.

And you know what?

It wasn’t so bad living in my car collecting cans so that I could get through the day!

Get a PO box, get a gym membership, maintain a prepaid cellular line so people can call you, try to get credit, do what you need to do to find the $20 to $30 dollars a day you need and in the end you’ll be just fine!

Good luck!

Cheers!

Biden targets Amish farmer with armed raid and $300,000 fine…

Biden admin targets Amish farmer | Tucker Carlson

Miller’s Organic Farm is located in the remote Amish village of Bird-in-Hand, Pennsylvania. The farm supplies everything from grass-fed beef and cheese, to raw milk and organic eggs, to dairy from grass-fed water buffalo and all types of produce, all to roughly 4,000 private food club members who pay top dollar for high quality whole food.

The private food club members appreciate their freedom to get food from an independent farmer that isn’t processing his meat and dairy at U.S. Department of Agriculture facilities, which mandates that food be prepared in ways that Miller’s Organic Farm believe make it less nutritious.

Amos Miller, the farm’s owner, contends that he’s preparing food the way God intended — but the U.S. government doesn’t see things that way. They recently sent armed federal agents to the farm and demanded he cease operations. The government is also looking to issue more than $300,000 in fines — a request so steep, it would put the farm out of business.

There’s this farmer named Amos Miller and he’s been farming for 25 years. No electricity, no fertilizer, no gasoline. He has really, really impressive crop yields using only the only the oldest of methods, totally organic. He has milk, he has beef, he has different types of sheep. He has chicken, all types of vegetables. And he has a private buyers club of about 4,000 people all across the country that pay him top dollar for his food.

.

And the government doesn’t like this idea of a private buyers club. They have raided his farm with armed federal agents and they have said he needs to stop selling his meat until he gets regulated by the federal agencies whose job it is to, you know, regulate food. And he says, “you know, the way you guys regulate it, it kind of hurts the nutrition of the food — you know, you wash it in these things, you’ve given these vaccines and the cows get all types of medicine, I don’t do any of that. So I think going through your regulatory process will actually hurt the quality of my food and that’s what I’m being paid top dollar for, it is this high quality food.

So they are fining him hundreds of thousands of dollars, and they’ve actually sent armed federal agents there to take inventory of his meat, of his dairy, and they visit him to make sure that he’s not selling anything and that he’s not ramping up his production in any way. So that’s where he is now. He’s figuring out how to fight the federal government, what he’s going to do. And you know, he’s been put in this really tight spot along with the people who, you know, look to him for this food. They’re not getting their meat and dairy right now because of the government.

This is Texas

One evening my next door neighbor’s 12-yr-old daughter called me and said she was home alone and scared because some man was walking around her home peering into the windows. We lived on a cul-de-sac of about a dozen homes all on small acreages. From my kitchen I could clearly see a man standing under the neighbor’s car port looking into a window.

I called my dogs to my side. One was a 120 lb Doberman and the other a 65 lb Weimaraner. They accompanied me out the door to the low rail fence between our properties. I challenged the man on what he was doing. He stuttered he was looking for a friend’s house. When I asked what his friend’s name was, he said a name I did not recognize belonging to anyone on the street. My dogs were quietly standing next to me during this time intently focused on the man.

I told the man to leave or I’d turn the dogs loose. Either the guy was drunk or on drugs or just plain stupid because he proceeded to angrily argue with me. I had never asked my dogs to attack someone and they weren’t trained to do so. But both dogs leaped the fence and emitted nasty guttural growls pinning the man against the wall of the home. Surprised the hell out of me! Him too. They were crouched down ready to make minced meat out of him. His voice went up three octaves screaming to call the dogs off. I honestly didn’t know if they’d listen to me. I ended up having to climb the fence and grabbing them by their collars. Either one could’ve easily pulled loose and I warned the guy I might not be able to hold them.

He took off down the driveway cursing me over his shoulder. I noticed that instead of turning left back toward the highway he ran right deeper into the cul-de-sac. Running back to my house I called a neighbor whom I knew carried a shotgun in his pickup. (This was Texas after all.) Last I saw was him being escorted down the road with his hands up. My pups got extra treats that night!

Geopolitical tectonic plates shifting, six months on

14205 Views August 24, 2022

by Pepe Escobar, posted with the author’s permission and widely cross-posted

Six months after the start of the Special Military Operation (SMO) by Russia in Ukraine, the geopolitical tectonic plates of the 21st century have been dislocated at astonishing speed and depth – with immense historical repercussions already at hand. To paraphrase T.S. Eliot, this is the way the (new) world begins, not with a whimper but a bang.

The vile assassination of Darya Dugina – de facto terrorism at the gates of Moscow – may have fatefully coincided with the six-month intersection point, but that won’t change the dynamics of the current, work-in-progress historical drive.

The FSB may have cracked the case in a little over 24 hours, designating the perpetrator as a neo-Nazi Azov operative instrumentalized by the SBU, itself a mere tool of the CIA/MI6 combo de facto ruling Kiev.

The Azov operative is just a patsy. The FSB will never reveal in public the intel it has amassed on those that issued the orders – and how they will be dealt with.

One Ilya Ponomaryov, an anti-Kremlin minor character granted Ukrainian citizenship, boasted he was in contact with the outfit that prepared the hit on the Dugin family. No one took him seriously.

What’s manifestly serious is how oligarchy-connected organized crime factions in Russia would have a motive to eliminate Dugin as a Christian Orthodox nationalist philosopher who, according to them, may have influenced the Kremlin’s pivot to Asia (he didn’t).

But most of all, these organized crime factions blamed Dugin for a concerted Kremlin offensive against the disproportional power of Jewish oligarchs in Russia. So these actors would have the motive and the local base/intel to mount such a coup.

If that’s the case that spells out a Mossad operation – in many aspects a more solid proposition than CIA/MI6. What’s certain is that the FSB will keep their cards very close to their chest – and retribution will be swift, precise and invisible.

The straw that broke the camel’s back

Instead of delivering a serious blow to Russia in relation to the dynamics of the SMO, the assassination of Darya Dugina only exposed the perpetrators as tawdry operatives of a Moronic Murder Inc.

An IED cannot kill a philosopher – or his daughter. In an essential essay Dugin himself explained how the real war – Russia against the collective West led by the United States – is a war of ideas. And an existential war.

Dugin – correctly – defines the US as a “thalassocracy”, heir to “Britannia rules the waves”; yet now the geopolitical tectonic plates are spelling out a new order: The Return of the Heartland.

Putin himself first spelled it out at the Munich Security Conference in 2007. Xi Jinping started to make it happen when he launched the New Silk Roads in 2013. The Empire struck back with Maidan in 2014. Russia counter-attacked coming to the aid of Syria in 2015.

The Empire doubled down on Ukraine, with NATO weaponizing it non-stop for eight years. At the end of 2021, Moscow invited Washington for a serious dialogue on “indivisibility of security” in Europe. That was dismissed with a non-response response.

Moscow took no time to confirm a trifecta was in the works: an imminent Kiev blitzkrieg against Donbass; Ukraine flirting with acquiring nuclear weapons; and the work of US bioweapon labs. That was the straw that broke the New Silk Road camel’s back.

A consistent analysis of Putin’s public interventions these past few months reveals that the Kremlin – as well as Security Council Yoda Nikolai Patrushev – fully realize how the politico/media goons and shock troops of the collective West are dictated by the rulers of what Michael Hudson defines as the FIRE system (financialization, insurance, real estate), a de facto banking Mafia.

As a direct consequence, they also realize how collective West public opinion is absolutely clueless, Plato cave-style, of their total captivity by the FIRE rulers, who cannot possibly tolerate any alternative narrative.

So Putin, Patrushev, Medvedev will never presume that a senile teleprompter reader in the White House or a cokehead comedian in Kiev “rule” anything. The sinister Great Reset impersonator of a Bond villain, Klaus “Davos” Schwab, and his psychotic historian sidekick Yuval Harari at least spell out their “program”: global depopulation, with those that remain drugged to oblivion.

As the US rules global pop culture, it’s fitting to borrow from what Walter White/Heisenberg, an average American channeling his inner Scarface, states in Breaking Bad: “I’m in the Empire business”. And the Empire business is to exercise raw power – then maintained with ruthlessness by all means necessary.

Russia broke the spell. But Moscow’s strategy is way more sophisticated than leveling Kiev with hypersonic business cards, something that could have been done at any moment starting six months ago, in a flash.

What Moscow is doing is talking to virtually the whole Global South, bilaterally or to groups of actors, explaining how the world-system is changing right before our eyes, with the key actors of the future configured as BRI, SCO, EAEU, BRICS+, the Greater Eurasia Partnership.

And what we see is vast swathes of the Global South – or 85% of the world’s population – slowly but surely becoming ready to engage in expelling the FIRE Mafia from their national horizons, and ultimately taking them down: a long, tortuous battle that will imply multiple setbacks.

The facts on the ground

On the ground in soon-to-be rump Ukraine, Khinzal hypersonic business cards – launched from Tu-22M3 bombers or Mig-31 interceptors – will continue to be distributed.

Piles of HIMARS will continue to be captured. TOS 1A Heavy Flamethrowers will keep sending invitations to the Gates of Hell. Crimean Air Defense will continue to intercept all sorts of small drones with IEDs attached: terrorism by local SBU cells, which will be eventually smashed.

Using essentially a phenomenal artillery barrage – cheap and mass-produced – Russia will annex the full, very valuable Donbass, in terms of land, natural resources and industrial power. And then on to Nikolaev, Odessa, and Kharkov.

Geoeconomically, Russia can afford to sell its oil with fat discounts to any Global South customer, not to mention strategic partners China and India. Cost of extraction reaches a maximum of $15 per barrel, with a national budget based on $40-45 for a barrel of Urals.

A new Russian benchmark is imminent, as well as oil in rubles following the wildly successful gas for rubles.

The assassination of Darya Dugina provoked endless speculation on the Kremlin and the Ministry of Defense finally breaking their discipline. That’s not going to happen. The advances along the enormous 1,800-mile front are relentless, highly systematic and inserted in a Greater Strategic Picture.

A key vector is whether Russia stands a chance of winning the information war with the collective West. That will never happen inside NATOstan – even as success after success is ramping up across the Global South.

As Glenn Diesen has masterfully demonstrated, in detail, in his latest book, Russophobia , the collective West is viscerally, almost genetically impervious to admitting any social, cultural, historical merits by Russia.

And that will extrapolate to the irrationality stratosphere, as the grinding down and de facto demilitarization of the imperial proxy army in Ukraine is driving the Empire’s handlers and its vassals literally nuts.

The Global South though should never lose sight of the “Empire business”. The Empire of Lies excels in producing chaos and plunder, always supported by extortion, bribery of comprador elites, assassinations, and all that supervised by the humongous FIRE financial might. Every trick in the Divide and Rule book – and especially outside of the book – should be expected, at any moment. Never underestimate a bitter, wounded, deeply humiliated Declining Empire.

So fasten your seat belts: that will be the tense dynamic all the way to the 2030s. But before that, all along the watchtower, get ready for the arrival of General Winter, as his riders are fast approaching, the wind will begin to howl, and Europe will be freezing in the dead of a dark night as the FIRE Mafia puff their cigars.

Don’t mess with the kitty!

A man absolutely hated his wife’s cat and decided to get rid of him one day by driving him 20 blocks from his home and leaving him at the park. As he was getting home, the cat was walking up the driveway. The next day he decided to drive the cat 40 blocks away. He put the beast out and headed home. Driving back up his driveway, there was the cat! He kept taking the cat further and further and the cat would always beat him home.

At last, he decided to drive a few miles away, turn right, then left, past the bridge, then right again, and another right until he reached what he thought was a safe distance from his home and left the cat there. Hours later the man calls home to his wife:

“Jen, is the cat there?” “Yes”, the wife answers, “why do you ask?”

Frustrated, the man answered,

“Put that son of a bitch on the phone, I’m lost and need directions!”

x
x

Chinese Gaokou

In China, the gaokao is one of the most stressful periods of a high school student’s life.

It is known to single-handedly decide your future job opportunities and social status within society.

x
Study!

Compared to other college entrance exams in countries like Korea or India, you would think that the test is mostly based off of memorizing and using those “tricks” that are taught at special cram schools.

But some of those questions are actually incredibly thoughtful and creativity oriented.

Take a look:

  • Topic: Roads
    Based on the three given uses of ‘road’, write an essay.
    1. “The Earth had no roads to begin with, but when many men passed one way, a road was made.” —Lu Hsun (Lu Xun)
    2. There is no such thing as a road that dare not to be walked, only people who dare not to walk it.
    3. You may take the wrong road sometimes, but if you keep walking, it will become a brand new road.
    (From Fujian)
  • Topic: Do butterfly wings have colors?
    “A teacher asked the students to look at butterflies under a microscope. At first, they thought the butterflies were colorful, but when they looked at them closely, they realized that they were actually colorless.” Based on this story, write an essay.
    (From Anhui)
  • The containers for milk are always square boxes; containers for mineral water are always round bottles; round wine bottle are usually placed in square boxes. Write a composition on the subtle philosophy of the round and square.
  • Who do you think is the most glamorous person? A biotechnologist who led his company in international research, an ordinary welder who gained international fame through his work, or a photographer complimented widely for a series of photos?
x
x

Chinese Laser

Many things are made in China that are against the law in the United States. They are either too powerful, too cheap (competition issues), use materials that are judged as "bad" or just are unregulated and thus are considered to be dangerous. -MM

“Ask her if it’s powerful.” I said to my co-worker. He spoke in Mandarin to the woman at the market stall, and she casually pushed a button on the black baton.

A bright blue rod immediately connected the object to a cinder block wall across the street. Without saying a word, she casually pulled a cigarette from the pack on the counter, put it in her mouth, leaned forward, and lit the tip of her cancer-stick in the laser’s light beam.

“I don’t care what it costs. Tell her yes.” After some negotiating (because I think she understood “I don’t care what it costs.” but I did care) and $60, I got a Jedi-light-saber set-shit-on-fire mobile death ray.

To prevent any accidental/catastrophic airline excitement, I disassembled it into a few pieces and put some bits in my checked bag and the rest in my carry-on bag.

Not that practical for daily use, and despite the look of the packaging – definitely NOT for kids, but absolutely fun.

x
x

World War 3 for dummies

June 18, 2022

By Gaius Baltar for the Saker Blog

Some knowledgeable people, apparently including the Pope, are beginning to suspect that there may be more going on in the world than just the war in the Ukraine. They say that World War 3 has already started and things will get worse from now on. This can be difficult to determine while we are participating in the unfolding events and do not have the benefit of the historical perspective. It is doubtful that people back in 1939 realized that they were looking at the start of a major worldwide conflict, although some may have suspected it.

The current global situation is in many ways like a giant jigsaw puzzle where the general public only sees a tiny part of the complete picture. Most don’t even realize that there may be more pieces and don’t even ask these simple questions: Why is all this happening and why is it happening now?

Things are more complicated than most people realize. What they see is the evil wizard Vladimir Saruman Putin invading innocent Ukraine with his orc army – for absolutely no reason. This is a simplistic view, to say the least because nothing happens without a reason. Let’s put things in perspective and see what is really going on – and why the world is going crazy before our eyes. Let’s see what World War 3 is all about.

The pressure cooker

The West (which we can define here as the US and the EU and a few more) has been maintaining pressure on the entire world for decades. This does not only apply to countries outside the West, but also to Western countries which strayed from the diktats of the West’s rulers. This pressure has been discussed widely and attributed to all kinds of motives, including neocolonialism, forced financial hegemony, and so forth. What is interesting, particularly during the last 20 years, is which countries have been pressured and what they do not have in common.

Among the pressured countries we find Russia, China, Cuba, Venezuela, Libya, Syria, Serbia, Thailand, and Iran to mention a few. There have also been recent additions, including India and Hungary. In order to understand why they have been pressured, we need to find out what they have in common. That’s not easy since they are extremely different in most ways. There are democracies and non-democracies, conservative and communist governments, Christian, Muslim and Buddhist countries, and so on. Still, many of them are very clearly allied. One must ask why conservative and religious countries such as Russia or Iran would ally themselves with Godless communists in Cuba and Venezuela.

What all these countries have in common is their desire to run their own affairs; to be independent countries. This is unforgivable in the eyes of the West and must be tackled by any means necessary, including economic sanctions, color revolutions, and outright military aggression.

The West and its NATO military arm had surrounded Russia with hostile countries and military bases, armed and manipulated Ukraine to be used as a hammer against it, and employed sanctions and threats. The same thing was and is happening in Asia where China is being surrounded by all means available. The same applies to all the Independents mentioned above to some extent. In the past 10 years or so the pressure has increased massively on the Independents and it reached almost a fever pitch in the year before the Russian invasion of the Ukraine.

During the year before the Ukraine war, the US sent its diplomats around the world to tune up the pressure. They were like a traveling circus or a rock band on a tour, but instead of entertainment, they delivered threats: buy this from us and do what we tell you or there will be consequences. The urgency was absolute and palpable, but then came the Ukraine war and the pressure went up to 11. During the first month of the war, the entire West’s diplomatic corps was fully engaged in threats against the ‘rest of the world’ to engineer the isolation of Russia. This didn’t work, which resulted in panic in political and diplomatic circles in the US and Europe.

All this pressure through the years, and all the fear and panic when it didn’t work, are clearly related to the events in the Ukraine. They are a part of the same ‘syndrome’ and have the same cause.

The debt dimension

There have been many explanations for what is going on and the most common is the fight between two possible futures; a multipolar world where there are several power centers in the world, and a unipolar world where the West governs the world. This is correct as far as it goes, but there is another reason which explains why this is happening now and all the urgency and panic in the West.

Recently the New Zealand tech guru Kim Dotcom tweeted a thread about the debt situation in the US. According to him all debt and unfunded liabilities of the US exceed the total value of the entire country, including the land. This situation is not unique to the US. Most countries in the West have debt that can only be paid back by selling the entire country and everything it contains. On top of that, most non-western countries are buried in dollar-denominated debt and are practically owned by the same financiers who own the West.

During the last few decades, the economy of the US and Europe has been falsified on a level that is difficult to believe. We in the West have been living far beyond our means and our currencies have been massively overvalued. We have been able to do this through two mechanisms:

  1. The first one is the reserve status of the dollar and the semi-reserve status of the euro which have enabled the West to export digital money and receive goods in return. This has created enormous financial power for the West and enabled it to function as a parasite on the world economy. We have been getting a lot of goods for free, to put it mildly.

  2. The second falsification mechanism is the increase in debt to a level where we have essentially pawned everything we own, including our houses and lands, to keep up our living standards. We own nothing now when the debt has been subtracted. The debt has long since become unserviceable – far beyond our ability to pay interests on – which explains why the interest rates in the West are in the neighborhood of zero. Any increase would make the debt unserviceable and we would all go formally bankrupt in a day.

On top of all this, the falsification has created artificially strong currencies in the West which has boosted their purchasing power for goods priced in non-western currencies. These mechanisms have also enabled the West to run bloated and dysfunctional service economies where inefficiencies are beyond belief. We have giant groups of people in our economies that not only create no value but destroy value systematically. What maintains the West’s standard of living now is a small minority of productive people, constant debt increase, and parasitism of the rest of the world.

The people who own all this debt actually own everything we think we own. We in the West own nothing at this point – we only think we do. But who are our real owners? We know more or less who they are because they meet every year at the World Economic Forum in Davos along with the western political elites who they also happen to own.

It is clear that our owners have been getting increasingly worried, and their worries have been increasing in sync with the increased pressure applied by the West on the rest of the world, particularly the Independents. During the last Davos meeting, the mood was bleak and panicked at the same time, much like the panic among the western political elites when the isolation of Russia failed.

What is about to happen

The panic of our owners and their politicians is understandable because we have come to the end of the line. We can no longer keep up our living standards by debt increase and parasitism. The debt is reaching beyond what we own as collateral and our currencies are about to become worthless. We will no longer be able to get free stuff from the rest of the world, or pay back our debt – let alone pay interest on it. The entire West is about to go bankrupt and our standard of living is about to go down by a massive percentage. This is what has our owners panicked and they see only two scenarios:

  1. In the first scenario most countries in the West, and everything and everyone within them, declare bankruptcy and erase the debt by diktat – which sovereign states are able to do. This will also erase the wealth and political power of our owners.

  2. In the second scenario, our owners take over the collateral during the bankruptcy. The collateral is us and everything we own.

It doesn’t take a genius to figure out which scenario was chosen. The plan for the second scenario is ready and being implemented as we speak. It is called ‘The Great Reset’ and was constructed by the people behind the World Economic Forum. This plan is not a secret and can be examined to a certain degree on the WEF website.

The Great Reset is a mechanism for the seizing of all debt collateral which includes your assets, the assets of your city or municipality, the assets of your state, and most corporate assets not already held by our owners.

This asset seizure mechanism has several components, but the most important are the following four:

  1. Abolishment of sovereignty: A sovereign (independent) country is a dangerous country because it can choose to default on its debt. The decrease in sovereignty has been a priority for our owners and various schemes have been attempted such as the Transatlantic Trade and Investment Partnership and the Trans-Pacific Partnership. The most successful scheme is undoubtedly the European Union itself.

  2. The down-tuning of the economy: The western economy (and indeed the global economy) must be tuned down by a very significant percentage. This down-tuning is necessary because the western economy is massively falsified now and must be taken down to its real level – which may be as low as half of what it is now – or more. The slow takedown has also the purpose of avoiding a sudden crash that would cause massive social unrest which would be a threat to our owners. A controlled takedown is therefore preferable to an uncontrolled crash. This controlled takedown is already happening and has been going on for quite some time. Many examples can be mentioned of this takedown, including the EU and US energy policy which is designed to sabotage the western economy, and the obvious attempts at demand destruction during and after the epidemic, including the fairly bizarre logistical problems which suddenly came out of nowhere.

  3. Asset harvesting (you will own nothing and be ‘happy’): All assets that can be considered to be collateral to our private and collective/public debt will be taken over. This is a clearly stated aim of the Great Reset but it is less clear how this would be carried out. Total control of western governments (and indeed all governments) would seem to be necessary for this. That precondition is closer than one might think because most western governments seem to be beholden to Davos at this point. The process will be sold as necessary social restructuring because of an economic crisis and global warming and will result in a massive decrease in living standards for regular people, although not the elites.

  4. Oppression: A great many people will not like this and an uprising is a likely response, even if the takedown is done gradually. To prevent this from happening, a social control mechanism is being implemented which will erase personal freedom, the freedom of speech, and privacy. It will also create absolute dependence of the individual on the state. This must be done before the economic takedown can be completed or there will be a revolution. This mechanism is already being implemented enthusiastically in the West as anybody with eyes and ears can see.

Russia, China, and other Independents

How do Russia and China, and the war in Ukraine, factor into all of this? Why all the pressure from the West throughout the years and why all this panic now? Part of the reason for the pressure on the Independents, particularly Russia and China, is simply that they have resisted western hegemony. That is enough for getting on the West’s naughty list. But why the increased pressure in recent years?

The reason is that Russia and China cannot be subjugated through bankruptcy and their assets harvested. They do not have much debt in western currencies which means that the people who own the West through debt do not currently own Russia and China (like they own the West and the indebted ‘third world’) and cannot acquire them through debt. The only way to acquire them is through regime change. Their governments must be weakened by any means, including economic sanctions and military means if necessary -thus the use of Ukraine as a battering ram for Russia and Taiwan for China.

Subjugating Russia and China is an existential issue for our Davos owners because when they take the western economy down, everything else must go down too. If the western economy is taken down and a large economic block doesn’t participate in the downfall, it will be a disaster for the West. The new block will gain massive economic power, and possibly unipolar hegemony of sorts, while the West descends into a feudal Dark Age and irrelevance. Therefore the entire world must go down for the Great Reset to work. Russia and China must be subjugated by any means, as well as India and other stubborn nations.

This is what has fueled the situation we now find ourselves in and will fuel the continuation of World War 3. The western owner-elites are going to war to keep their wealth and power. Everyone who resists must be subjugated so they can follow the West into the planned Great Reset Dark Age.

The reason for the current panic among western elites is that the Ukraine project isn’t going as planned. Instead of Russia being bled on the battlefield, it is Ukraine and the West that bleed. Instead of the Russian economy crashing resulting in Putin’s replacement by a Davos-compatible leader, it is the West’s economy that is crashing. Instead of Russia being isolated, it is the West that is being increasingly isolated. Noting is working, and to top it all off, Europe has given the Russians the means and motive to destroy the European economy by partly shutting down its industry. Without Russian resources, there is no European industry, and without industry, there are no taxes for paying for unemployment benefits, pensions, all the refugees, and pretty much everything else which holds European societies together. The Russians now have the ability to engineer an uncontrolled crash in Europe which is not what Davos planned. An uncontrolled crash might see Davos’s heads roll, literally, and that is causing fear and panic in elite circles. The only solution for them is to move on with World War 3 and hope for the best.

What to do

The Great Reset of the world economy is the direct cause of World War 3 – assuming that is what is going on. What can be done about this? From inside the West, little can be done. The only way is to somehow remove Davos from the equation, but that is most likely not going to happen for two reasons: The first one is that the Davos great resetters are too entwined in the western economy and politics. Davos is like an octopus with its arms and suckers inside every country’s elite circles, media, and government. They are too entrenched to be easily removed. The second reason is that the western population is too brainwashed and ignorant. The level of their brainwashing is such that a large part of them actually want to become poor – although they use the word ‘green’ for ‘poor’ because it sounds better. There are, however, some indications that there may be divisions within western elites. Some of them, particularly within the US, may be resisting the primarily Europe-designed Great Reset – but whether this opposition is real or effective remains to be seen.

However, outside the West, there are certain measures that can be taken and must be taken. Some of those measures are drastic and some of them are being done as we speak. Among the measures are the following:

  1. The Independents, led by Russia, China, and India, must create a block to isolate themselves from the radioactive West. This isolation must not only be economic, but also political and social. Their economic systems must be divorced from the West and made autonomous. Their cultures and history must be defended against western influences and revisionism. This process appears to be underway.

  2. The Independents must immediately ban all western sponsored institutions and NGOs in their countries, regardless of whether they are sponsored by western states or individuals. Furthermore, they must ban all media receiving western sponsorship and strip every school and university of western sponsorship and influence.

  3. They must leave all international institutions up to and possibly including the United Nations because all international bodies are controlled by the West. They must then replace them with new institutions within their block.

  4. They must, at some point, declare the dollar and the euro currencies non grata. That means that they should declare default on all debts denominated in these currencies, but not other debts. This will most likely come at a later stage but is inevitable.

This will create a situation where the West will descend into darkness without pulling others down with it – if we manage to escape the nuclear fire.

They ride on dolphins.

x
x
 

Now you might think that this is very amusing.

And that dolphins are so friendly and let others ride.

x
x
 

But dolphins like to eat octopuses.

And this one had no chance of getting away.

So he did the smart thing.

x
x
 

As long as he sits on the dolphin, the dolphin cannot eat him.

x
x
 

I have no idea how THAT turned out.

But he deserved to get away with it.

.

Ignoring the US ban, Dutch ASML delivered 23 lithography machines to China!

From HERE

Well, duh! The only customer it has is China. When the United States just decided to make "pronouncements" on what companies, and nations can and cannot do, they did so with no consideration of the impact. ASML was facing bankruptcy if it obeyed the United States "sanctions".  So they went ahead and defied the USA. -MM
2022-08-27 13:34 HKT
 

Speaking of chips, there is a device that has to be mentioned, that is, a lithography machine.

When it comes to lithography machines, the Dutch company ASML is another name that has to be mentioned. Because it is the only one with the most advanced lithography machine in the world.

EUV lithography machines have always been regarded as indispensable equipment for advanced chips, and under the control of Americans, ASML cannot freely ship EUV lithography machines.

Who wants to buy?

Who do you sell to?

Without an American nod, ASML cannot sell a single lithography machine. ALl their customers are in China.

ASML was originally a Dutch company, but under the coercion and inducement of the United States, it had no choice but to move its headquarters to the United States.

And after the U.S. revised chip rules, even DUV lithography machines that produce mature chips have been restricted from shipping. Although DUV lithography machines produced in other countries can still be shipped normally.

However, DUV lithography machines produced in the United States are strictly prohibited from being sold to any country or company, especially China, without a license.

But in the first quarter of this year, ASML delivered a total of 23 DUV lithography machines to domestic manufacturers.

Although these DUV lithography machines are most likely manufactured in factories from non-US regions, but so many are delivered at once, is ASML really easy to explain on the American side?

The entry of 23 DUV lithography machines will obviously help the production of domestic chips. First of all, we can intuitively see that in the first half of this year, the number of imported chips in China decreased by 29 billion, which greatly reduced the dependence of Chinese enterprises on imported chips.

The United States is naturally unhappy, but ASML’s attitude this time is very tough.

They said that China is an important part of the global chip industry chain.

If cooperation with China is stopped, the world semiconductor supply chain will face the risk of disruption.

ASML is in a hurry and speaks very clearly. On the one hand, there is now a shortage of chips in the world, which has already affected the production of semiconductor equipment.

If China’s production capacity cannot be released, it is estimated that few in the world’s semiconductor industry will survive for another two years.

x
x

 

On the other hand, ASML itself is also facing a serious impact on the supply of raw materials, and it urgently needs to deepen its cooperation with China.

The production of lithography machines requires a raw material called “neon gas”. 20% of ASML’s neon gas comes from Russia and Ukraine, but due to local American law, ASML was “cut off” from this source of supply.

And it happens that China can provide neon gas, and the monthly output of domestic integrated circuits can exceed 30 billion, both of which are urgently needed by ASML.

Of course, there is another aspect, that is, ASML does not want the Chinese market to change from a big customer to a rival.

Just like Huawei did to Qualcomm before.

ASML does not want to force China out of the second ASML because of the selfish desires of the United States.

Now the EUV lithography machine is unique to itself, but who can guarantee the future?

Before the emergence of Kirin chips, did anyone think that China also has the ability to develop high-end chips?

You know, our localized semiconductor supply chain has made a lot of progress.

Domestic lithography machines now occupy the mainstream of the domestic market. Although the technology ceiling is definitely not as good as ASML, not all semiconductor equipment needs such good chips. The mainstream of the market is still dominated by mature process chips.

Therefore, for ASML, instead of being controlled by the United States and then disappearing into the so-called “price”, it is better to just face it, and maybe there is a way to survive.

Imagine That!

x
x

My ancestors hail from the Vologda region in the Russian North. When I visited my grandparents in summer, it usually took me a week to get used to their dialect of the Russian language.

Little did I know that a person from India who knows Sanskrit would need about that much time to understand the dialect without a translator.

A professor from India, who arrived in Vologda, almost immediately turned down his translator’s services. “I can understand Vologda dielect,” he said, “because they speak corrupted Sanskrit.”

It turns out, the entire area of Vologda is linked to Sanskrit and Indian culture.

The region is located at the confluence of the river Dvina (“divine, sacred” in Sanskrit) and its tributary Sukhona (“easy to cross” in Sanskrit). Other rivers with Sanskrit names in the region: Vel (border, riverbank); Valgu (nice lovely); Indu (a drop); Lal (play, overflow); Padma (flower of a water lily, lotus).

Vologda lace knitting is world famous. Little did I know that my female ancestors knitted Indian patterns.

x
x

Vologda ethnographer Svetlana Zharnikova accompanied an Indian folk band on a trip down the Sukhona river.

The head of the ensemble, Ms. Mihra, was shocked by the ornaments in Vologda national costumes. “These,” she would exclaim enthusiastically, “are native to Rajasthan, and this one is from Aris, and these ornaments are what we have in Bengal.”

It turned out that even the technology of embroidery of ornaments is called the same in the Vologda region and in India. Our craftswomen call embossed smooth surface “chekan”, and Indians call it “chikan”.

However, not just the Vologda dialect, but the Russian language sounds very similar to Sanskrit.

x
x

In the 1960s, the Indian specialist in Sanskrit Durga Prasad Shastri visited Russia. After two weeks, he told his translator Mr. Gusev, “Stop translating! I understand what you are saying. You are speaking here some altered form of Sanskrit!”

Having returned to India, he published an article on the similarities of the Russian and Sanskrit languages. Here is a quote from the article:

“If I were asked which two languages ​​of the world are most similar to each other, I would answer without any hesitation: Russian and Sanskrit. And not because some words are similar. Common words can be found in Latin, German, Sanskrit, Persian and Russian. What surprising is that the word structure, style, syntax and even grammar rules are too similar in the two languages to be a coincidence.

“When I was in Moscow, they gave me the keys to a room 234 at the hotel and said “dwesti tridsat chetire ”. In bewilderment, I could not understand whether I was in Moscow or in Benares two thousand years ago. In Sanskrit, 234 is “dwishata tridasha chatwari.”

Bal Gangadhar Tilak, the researcher of the origins of the Indians, published his book “The Arctic Home in the Vedas” in 1903.

According to Tilak, sacred books, the Vedas, written more than three thousand years ago, “tell about life of the distant ancestors near the Arctic Ocean. They describe endless summer days and winter nights, the North Star and the northern lights.”

Nike and Adidas are blaming COVID for low China sales, but the numbers don’t add up – SupChina

Note: the real reason is Chinese consumers turn away from the US firms due to their boycott of Xinjiang cotton, etc
 

Adidas has posted healthy revenue gains in its other combined markets in the first half of the year, but the company’s revenue in China dropped by 35% year-on-year, with net sales decreasing from $2.4 billion to $1.7 billion.

In the first quarter, Nike reported a 55% reduction in its earnings before interest and taxes (EBIT) in China — from $691 million to $311 million.

Weak China numbers have prompted Adidas to cut its growth outlook for the rest of 2022. In the company’s latest earnings report, Adidas CEO Kasper Rørsted explained that the company’s recovery in the Chinese market was slower than expected because of COVID-related closures.

I say BULLSHIT. Stores are all open in China during the lockdowns, and severe lockdowns, for the most part last under ten days. -MM

In an earnings call on June 27, Nike’s Chief Financial Officer explained that the company’s reduced earnings followed the region’s most widespread COVID disruption since 2020, which impacted over 100 cities and over 60% of the company’s business in China.

But their Chinese counterparts seem to be weathering the lockdowns just fine. Li-Ning 李宁, one of China’s leading homegrown sportswear brands, posted 12.4 billion yuan ($1.8 billion) in revenue for the first half of 2022, a year-on-year increase of 21.7%. Anta 安踏 reported double digit sales growth in the first quarter of 2022, both for its flagship brand as well as the China-based subsidiary of the Italian FILA brand, which it owns. And Xtep 特步 reported a year-on year increase in revenue of over 35% in the second quarter.

On the sharp end of a ‘national tide’

Although it identified COVID as the main culprit, Adidas has acknowledged that it may need to get more in touch with Chinese consumers. In an interview with the German business newspaper Handelsblatt, CEO Rørsted conceded that Adidas had failed to sufficiently understand the Chinese market.

Following Rørsted’s comments, the topic “Adidas CEO admits to making mistakes in China” (#阿迪CEO承认在中国犯了错误#) began trending on Weibo, China’s Twitter-like social media platform. Major media like the nationalistic Guancha.cn website picked up the hashtag, garnering thousands of likes and leading hundreds of Chinese netizens to pile on in the comments, many of whom saw Adidas’ difficulties in China as directly tied to its past statements about avoiding the use of cotton from Xinjiang.

Nike and Adidas were both the targets of a boycott campaign in China in April 2021, led by state media, after they announced they would avoid using cotton sourced in Xinjiang due to allegations of forced labor in the region. This caused a surge in demand for sportswear produced by domestic companies amid a burst of nationalism from Chinese consumers.

While the boycott and social media criticism of Western brands eventually faded, they are clearly still suffering from residual negative sentiment in China. This will flare up during bouts of intense nationalism, such as U.S. House Speaker Nancy Pelosi’s recent visit to Taiwan. Western brands’ popularity is likely to wane amid the “national tide” or “national fashion” — (国潮 guócháo), which emphasizes Chinese culture and support for domestic businesses. Over the longer term, guochao may only become more prominent given its popularity among China’s youth.

Quality and price

There are certain steps Western sportswear companies can take to try and bring their China sales back up to where they once were, according to Allison Malmsten, Marketing Director at Daxue Consulting, a Shanghai-based market research and consulting firm. “First, they have to show that they are willing to localize more, perhaps by working with local brands, athletes, and designers,” she told SupChina. “They should also be leveraging digital strategies and engaging with the community more.”

“Second, they cannot allow their quality to decline,” Malmsten added, explaining that some Chinese netizens have complained that the quality of Adidas’ products has slipped since they moved production from China to Vietnam over the last decade.

Other factors besides nationalism and a failure to localize are likely at play in Nike and Adidas’ struggles in China. The country’s economy is suffering due to a variety of factors, from a collapsing housing bubble to record youth unemployment and a rural banking crisis. As financial woes mount, Chinese consumers may simply be opting to buy fewer expensive Western brands when local competitors offer similar products at cheaper prices. Although the Chinese brands have plenty of high-end products, they also sell a greater range of low-cost items.

Amid an economic downturn and a rise in nationalism that may be generational, the easy money may be over for Nike and Adidas in China.

Chinese Tomato Egg-Drop soup

x
x

Bowls of tomato egg drop soup are commonly seen on tables in Chinese households, especially in the summertime when tomatoes are plentiful.

Tomatoes and eggs just go together, and many food cultures know it. Just think of Shakshuka in the Middle East, “Eggs in Purgatory” in Southern Italy, and another Chinese classic, stir-fried tomato and eggs.

If the thought of making soup in the middle of summer has you scratching your head, read on!

x
x

Tomato Egg Drop Soup: A Summer Staple

Having soup in the summer is unthinkable to many. But if you let me take you back to the many hot summers I spent in Shanghai, you’ll find out why people in those days did exactly that.  

Summers in Shanghai can be hot and humid. Before the age of A/C, the only relief from the heat might be an occasional splurge on a red bean ice pop, a palm fan, cold mung bean soup (there is that “soup” word again), a cup of cool water, or some melon. 

In the narrow alleys of densely populated, low residential buildings, there was not a leaf or patch of grass to be found. We had to pour water on the building walls to cool them down towards the end of the day, because by then, it was a lot cooler outside than inside.

Most families ate outside, and some kids even slept outside on makeshift beds. We all had a soup on the dining table, rotating from tomato egg drop soup (most popular) to tomato potato soup, and potato with xian cai (Chinese preserved mustard greens). Soups were usually made in late morning and allowed to cool for lunch and dinner.

These soups replenished our bodies with water and salt (along with vitamins and protein), and since the heat meant lower appetites, it was easier to eat.

Serve Hot or At Room Temperature

When we ate this soup in the old days, it was served at room temperature, rather than piping hot.

That said, serve this soup at whatever your preferred temperature is. Now that I can sit in comfortable air conditioning, I’ll serve it warm or hot.

It doesn’t get much simpler than this recipe, but the flavors are really delicious. The eggs in chicken stock create a rich flavor that contrasts with the tart tomatoes. Scallions and cilantro can be added for brightness, and you have a soup that’s the perfect accompaniment to any meal.

Tomato Egg Drop Soup Recipe Instructions

x
x

Heat 2 tablespoons oil in a soup pot or wok over medium low heat. Add the tomato chunks and stir-fry for 5 minutes until the tomatoes are softened and start to fall apart. 

x
x

Add in 1 cup chicken stock, 2 cups water, 2 teaspoons light soy sauce, 1/2 teaspoon sesame oil, 1/4 teaspoon ground white pepper and salt to taste.

x
x

Bring to a boil, and then lower the heat so that the soup is simmering with the lid on.

x
x

Now quickly beat the egg in a small bowl and prepare the cornstarch slurry in a separate bowl.

Use a ladle to slowly swirl the soup in a whirlpool motion. Keep swirling as you pour in the cornstarch slurry until well incorporated.

x
x

Now pour a thin stream of egg into the middle of the whirlpool as you slowly swirl the soup. This is how you get that pretty egg drop effect.

x
x

Serve hot or at room temperature. Ladle the soup into bowls and garnish with chopped scallions and cilantro, if using.

x
x

 

Cheers as Liz Truss says she’s ready to press nuclear button and unleash ‘global annihilation’

Article HERE

Liz Truss has said she would be “ready” to use the UK’s nuclear arsenal if she was to become prime minister.

The Tory leadership frontrunner said she would be willing to press the nuclear button, even if it meant “global annihilation”.

Speaking at a hustings event in Birmingham, Truss spoke with Times Radio host John Pienaar, who said it would make him feel “physically sick” if he was faced with the decision.

Truss said the duty was an “important duty of the prime minister”, and received a round of applause after saying she would have no problem ordering the use of the UK’s nuclear arsenal if necessary.

Pienaar told her: “One of the first things that will happen when and if you become prime minister, you’ll be ushered into a room, a very private room at Number 10, and there will be laid out in front of you what are called the letters of last resort.”

Visiting India was my entire “bucket list.”

By the time I reached 60 years old, I abandoned any dream of seeing India.

In 2014, we were among 15,000 people stuck at the Dallas airport overnight, due to cancellations, trying to get home to Santa Fe, New Mexico, USA. We were in line to speak with a customer service person when I noticed a young Indian woman behind me, looking quite concerned and anxious. I struck up a conversation with her and learned that she was an astronomer visiting the U.S. for the first time and scheduled to give a talk at the VLA (“Very Large Array”) the following afternoon.

We took her under our wing. That evening, we gave her the only cot we could find so that she could sleep comfortably. Though American Airlines said she couldn’t fly out until the next evening, my wife complained repeatedly and got her a flight the next morning. When my wife managed to get a second seat on that flight, she insisted that I accompany the young woman to be sure she got to NM safely (My wife got a flight an hour later).

x
x

After dropping her off with the people who were waiting for her, I gave her a big hug and told this awesome young woman, whom we had come to know pretty well by now, “My family just grew by one.” The three of us became Facebook friends. The former head of the VLA arranged a ‘professional tour’ of the VLA and took us to dinner to thank us. The young Indian woman visited our home before leaving New Mexico. She began referring to us as her “American parents.”

By the end of 2014, she announced her marriage engagement and requested that her “American parents” attend her March 2015 wedding in Kolkata, India. Not as guests, but as part of her wedding party! We flew to India. Her family put us up in a “service apartment” and delivered homemade food daily.

After a week in Kolkata (formerly Calcutta) for this memorable wedding, we flew to Jaipur for a week and then nearly a week in Delhi. I finally got to visit India… all because I helped a stranded young lady at an airport.

 
 

Two Indian Quora friends wanted to hear all about our trip to India so, two days after we returned, I wrote a modest travelogue with photos.

By the end of 2015, more than 100,000 Indians read what I wrote! (Today, that number has grown to nearly 700,000!) Since then, my “international family” has grown. I have made many close online friends in India …mostly young people through Quora and Facebook. They are Hindu, Muslim, Sindhi, Sikh, and Jain. In most cases, our early interactions involved discussing differences in cultures and religion. Eventually, some of them began sharing their lives and situations and asking for my advice. I did my very best to help. They began calling me “Baapu”, “Baba”, “Touji”, “Papa Rick”, “Dad” and “Angel Paa” (Her dad is “Paa”… I’m “Angel Paa”) <3.

In 2017, we returned to India for 33 days. More than a dozen of my “unofficially-adopted” Indian sons and daughters insisted that we stay in their homes. We wound up staying with five of them in Jaipur, Kota, Ujjain, Indore, and Amravati. They are the sons and daughters of my heart. I tell my American friends that we were not in India as tourists this time… we were there to meet family.

When I retired and wrote, “MiXED NUTS or What I’ve Learned Practicing Psychotherapy” I was pretty much convinced that it would be my fourth and last book. Then this whole connection with India and young Indians happened. The story took too long to tell verbally or in a blog so, in 2018, I wrote a book describing this entire experience, including what it was like to meet and spend a week with each of five Indian families. Released internationally in February 2018, it’s called, “American Baapu: India Through My Eyes”. I even found an Indian publisher so that Indians could buy copies they could afford. This was important because that book is my “love letter” to the people of India.

x
x

When I was writing, “American Baapu”, I was faced with the problem of who would write the Foreword of my book. The Foreword is where an expert tells the readers something positive about the author including why they should read the book. Where would I find an “expert” on such an experience? One of my Indian “daughters” suggested that she and the others could each write why they call me “Baapu”, “Angel Paa”, “Dad”, etc. After much thought, I agreed and that became the Foreword of my book. Eight young people in India wrote personal reflections that still make me teary.

UPDATE: We returned to India in early 2019! This time, our seven-week itinerary included Bangalore, Pune, Indore, Ujjain, Jaipur, Aurangabad, and Delhi. Same arrangement. In most cases, staying with people who have become our family and who have made us a part of their family. <3

Aurangabad was a surprise. I had no idea it had such a large Muslim population. Our “international family” grew. The Muslims of India are just Indians who attend a different church. We found the same warmth and inclusiveness we got from our Hindu families. I even returned home with five topis! (Muslim caps).

That little travelogue I wrote online in 2015 has now been read by more than 700,000 Indians! I drum for two kirtan bands in Santa Fe. I put contemporary, danceable, western-style rhythms to traditional kirtan music wearing an Indian kurta or a Modi jacket, most of which were gifts from my Indian sons and daughters.

We helped a young woman stranded in an airport… something anyone might have done. As a result, I fulfilled my lifelong dream of visiting India and my family continues to grow with each passing month.

For a sample chapter of ”American Baapu” join my Quora blog/space at:
India Through My Eyes

.

And we thought that we had things under control…

Concerning the United States and the American culture…

We are a lost society, ruled by emotions, captured by technology, misinformed, uneducated, indifferent, fearful, passively accepting of whatever government and media tell them is true, and entranced by materialism funded by debt.

We are a sick dying culture where common community standards, self-responsibility, hard work, kindness, and manners have been superseded by the worship of abnormality, celebration of degeneracy, living off the government, spreading hatred, and waging undeclared wars across the world.

There is an empty shallowness to our civilization, with the vacuum filled with gadgets, pathetic displays of fake affluence, trivialities like social media, and superficial displays of virtue signaling regarding the latest woke craze shoved down our throats by those controlling the levers of society.

Yup. Even I can smell the sickness of festering rot from way, away here at the other end of the world. Let’s continue with our daily narrative and thus I present this post for your enjoyment and pleasures.

Chinese police in Serbia

x
x

Yes, it is true, they are here. They are in Belgrade and Novi Sad and mostly in city centres. They don’t have any authority, their main mission is to overcome the language barrier with Chinese visitors and tourists and offer their help to both Serbian police and Chinese citizens in that sense.

Chinese officials stated that they sent only those who are fluent in English which I understand. Numerous times I had issues with people from China when we talk in English. Most of the time I could not even recognise that they were speaking English because of their accent and the way they pronounce words.

Anyway, I like the idea. It became an attraction.

Doug Casey on the Rise of Alternatives as the US-Led Global Order Falters

Guest Post by Doug Casey

International Man: Since the invasion of Ukraine, we’ve seen the US and its European allies institute unprecedented sanctions on Russia. In a bold move, the US government also froze the US dollar reserves of the Russian central bank.

In response, Russia demanded payment in rubles in exchange for its energy.

What’s your take on this new phase of economic warfare?

Doug Casey: It’s a massively stupid and destructive move on the part of the US. There’s no upside to what the US is doing in fighting this economic war against Russia—or, for that matter, in backing the Zelensky regime in the Ukraine—but huge downside from every point of view.

Essentially the US and Western powers have confiscated hundreds of billions of dollars of assets from the Russian government, as well as individual Russians. It’s theft, pure and simple. It acts as a warning shot to everybody in the world: Your assets are not safe in Western countries. It’s a reason to get out of the US dollar and use something else.

It’s backfired on the US. It’s helping devastate Western economies by cutting off the flow of Russian oil, and especially natural gas, to Europe. Further, the Russians now demand payment in rubles. The ruble is now a much stronger currency because, in order to pay the Russians, the world has to buy rubles. The Russians have taken a page from the US playbook. Decades ago, the Saudis said they would only accept US dollars in payment for oil. And so, people had to buy dollars if they wanted Saudi oil.

The US is acting to destroy confidence in its currency, as well as the stability and perceived honesty of the dollar-based system. That’s extremely dangerous for a currency that rests on nothing but confidence. Something like this can cause confidence to blow away like a pile of feathers in a hurricane.

The issuer of the dollar, the bankrupt US Government (or its facilitator, the Fed), will give you nothing specific in exchange for them. But they can issue unlimited numbers of them. The dollar has been an IOU nothing for many years. But the charade is approaching an end. The US Government is now like a poker player “on tilt.”

International Man: Recently, Vladimir Putin traveled to Iran. As a result, Iran’s National Oil Company announced a $40 billion energy deal with Russia’s Gazprom. It’s safe to say they won’t be using the US dollar in their transactions.

What does this mean for future geopolitical alliances and economic dealings that undermine US dominance?

Doug Casey: The US is in serious decline—financially, economically, and sociologically—and the world knows it. Only a fool wants to hold the unsecured liability of a bankrupt government, especially one that’s so arrogant as to believe it can confiscate assets arbitrarily.

The major export of the US now, as it’s been for the last 40 years, is US dollars. We don’t really produce that much anymore. We ship people dollars. In return, they ship us vast amounts of material goods. Ships arrive in US ports full of products; they “dead head” on the return trip, mostly empty. The US has transformed itself from a nation of producers and creditors into a nation of consumers and debtors.

Our major export is dollars, not wheat and Boeings. Meanwhile, the US government is creating more dollars by the trillions in order to prop up the domestic economy. This is going to end very badly for the dollar’s use in international transactions.

Even though domestic prices are rising at something like 15%, the dollar has been quite strong in recent months against other currencies. The reason for that paradox is debt. Almost all of the world’s debt is denominated in dollars. And in order to service those debts, especially with interest rates now headed up, people need dollars.

So there’s been a scramble for dollars to service all the debt. It’s really rather perverse.

International Man: Russia and China recently announced their interest in developing a new reserve currency with other BRICS countries.

What would this mean if there was a serious rival to the US-led system?

Doug Casey: It’s been in the cards for years.

Countries that are our adversaries—like Russia and China—use the US dollar to trade between each other. Why? It’s quite strange, since those hot potato dollars all have to clear through New York. The reason is that the Russians don’t really trust the Chinese yuan, and the Chinese don’t trust the Russian ruble. They’re both fiat currencies, of little value outside the borders of the countries that issued them. It’s the same with the Indians, the Iranians, the Brazilians, the South Africans, and everybody else—they can’t use each other’s currencies. They’ve basically used dollars since the end of WW2.

All of the world’s currencies- every single one—are “fiat” units, essentially political footballs, whose numbers and values can fluctuate radically and randomly. The dollar is just the biggest and best of the bunch. It won’t be replaced easily, because the whole world has gotten so used to using it. Nobody wants to use a unit controlled by Washington, but what’s the realistic alternative? Flakey Third World governments run by sociopaths are incapable of putting together a new super fiat currency—that just adds another layer of risk and complexity. They can all see that even the euro, an artificial Esperanto currency, is on the edge of imploding. None of these governments have the same interests, and they certainly don’t trust each other.

What’s going to happen? They’ll default to gold for settling accounts among each other. I’m not saying they’ll allow their subjects to save in and trade in gold—that’s most unlikely. But I think it’s inevitable for settlements between governments. The only alternative is barter—”I’ll trade you a thousand tonnes of cocoa for two used tanks, 500 cows, and 100 tonnes of wool.” A flea market transaction that’s not very likely in a complicated industrial world… That’s why money was invented.

The world is going back to gold. Not because any government or economist wants to—rather just the opposite. But it’s not likely to happen except at much higher prices of gold unless there’s a credit collapse and scores of trillions of dollars of stocks, bonds, bank deposits, and other debt are wiped out. On the bright side, the approximately 6 billion ounces of gold that now exist will still be here.

Current events are leading to the end of the US dollar system. And when the US dollar is not needed or wanted for international trade, everybody will dump it. All those dollars will flood back to the US, where they must be accepted by law. Nobody’s going to want them abroad. Or not much more than they desire the Indian rupee, the Colombian peso, or the Ukrainian hryvnia.

They’re going to come back to the US to buy US real estate, US shares, and US businesses.

All those dollars that we’ve been exporting for decades have held down domestic inflation because they’ve been floating around abroad, driving up foreigner’s prices. They’ll come back to the US. Domestic prices will skyrocket upwards at the same time the dollar collapses, and the title to US assets are transferred to foreign citizens.

All those dollars being exported for decades resulted in an artificially high standard of living for Americans. When they come back—and they will come back as the world stops choosing dollars—the standard of living in the US will drop substantially.

International Man: The US dollar, the euro, the Russian ruble, the Chinese yuan, and the rest of them are all fiat currencies.

That being said, what advantages do countries with valuable commodities have over others as all fiat currencies continue to lose value?

Doug Casey: It’s great to have valuable commodities, but you can’t use oil for money. If that’s all there was to it, Venezuela, Nigeria, Iraq, and Kazakhstan would be among the world’s richest countries. The same is true for every other country with valuable commodities. In fact, the countries with the most mineral wealth tend to be the poorest and most unstable. But that’s a discussion for another time.

Money is not wealth in itself. But it represents wealth. It represents an excess of production over consumption. A good money has got to have certain characteristics.

It has to be durable; that’s why we don’t use wheat as money. It has to be divisible; that’s why you don’t use artwork as money. It has to be convenient; that’s why you don’t use lead as money. It has to be consistent; that’s why you can’t use real estate as money. And it has to have some type of use value in itself; that’s why you can’t use paper as money.

That’s why the world is going to go back to gold. There’s a case that can be made for silver and a case that can be made for Bitcoin. And that’s about it. We’ll see how things sort out in the chaotic world we’re facing. And here is a statement to shock the average reader: Government should have no involvement with money. Money—like banking, interest rates, the markets, and the economy—should be totally divorced from politics. That’s why gold, not paper, is real money.

Where is the price of gold going? Relative to bushels of wheat, or pounds of coffee, or pounds of copper, my guess is that it’s about right at the moment. In fact, I’ve been saying for several years that gold is reasonably priced, at an equilibrium, relative to dollars. It’s not at giveaway levels like it was in 1971 at $35 or in 2001 at $260.

If the dollar is going to survive, it should be redeemable with a fixed amount of gold. They say the US owns 265 million ounces of gold. But how many dollars are there? Like the dollar itself, that number is something of a floating abstraction. Guesses vary. Especially because there are many definitions of what money is—not to mention near-money and credit. Numbers are bounced around from $6 trillion to $80 trillion. The number is probably academic and possibly unknowable.

Divide 265 million into any of the figures “economists” conjure, and you come up with a very large number. Just to finance a typical approximate annual US trade deficit of about $500 billion, the entire gold horde would immediately disappear even if gold were priced at $2,000. Maybe the price of gold should be $20,000 or more.

So what’s going to happen? I think the answer is chaos. The world’s going back to gold because we’re headed for a chaotic financial situation, and gold is the only financial asset that’s not simultaneously somebody else’s liability. And it’s an understatement to say none of these governments trust each other or each other’s paper currencies.

International Man: How do you see the world’s geopolitical chessboard changing in the coming years? What are the investment implications?

Doug Casey: If you look at various times in history—the world’s map changed tremendously from, say, 1910, when everything was mellow and prosperous, to 1920, when most everything was unrecognizable. The world looked one way in 1940 and totally different in 1950. My guess is that the world of 2020, which has already changed immensely, will be hugely different by 2030, 8 years from now.

Beyond 2030 we’re looking at a science fiction reality. There’s a good chance we’ll have something like a civil war in the US. And/or serious secession movements.

It’s even more likely that Canada will break up. The same thing is going to happen to Mexico and Brazil. All of Africa will restructure. Many European countries are likely to break up—Spain and areas of France. Italy only became a country 170 years ago. Germany only unified 150 years ago. Russia is likely to break up into smaller ethnic countries for sure.

Like it or not, people will migrate from Africa and the Middle East to Europe by the scores of millions.

Millions of Chinese will migrate from China to Africa, and the Africans won’t much like it. People from everywhere, not just Latin America, will flow into the US and Canada.

The colors of the map on the wall are going to be running in the years to come. That’s going to have profound investment implications. Among them, a lot of currencies are going to dry up and blow away.

It’s going to start happening in this decade. So buckle up.

China urges U.S. not to miscalculate resolve to defend sovereignty, territorial integrity

Source
Xinhuanet
Editor
Li Jiayao
Time
2022-08-19 23:19:47

BEIJING, Aug. 19 (Xinhua) — Chinese foreign ministry spokesperson Wang Wenbin on Friday urged the U.S. side not to miscalculate China’s firm resolve to safeguard its sovereignty and territorial integrity.

Wang made the remarks at a daily news briefing, in response to what the U.S. Assistant Secretary of State for East Asian and Pacific Affairs Daniel Kritenbrink had said about China’s response to U.S. House Speaker Nancy Pelosi’s visit to Taiwan.

“Regarding Pelosi’s provocative visit to China’s Taiwan region, the context, cause and course of events are crystal clear,” Wang said.

It is the United States that has gone back on its commitment to the one-China principle and undermined China’s sovereignty and territorial integrity, not the other way round. It is the U.S. leaders who went to Taiwan to support “Taiwan independence” separatist activities, not the Chinese ones who went to the United States to support Alaska’s “independence,” Wang added.

Wang said that China’s firm response to the U.S. provocation is reasonable, lawful and justified, which has been widely understood and supported by the international community. For the U.S. side, the only solution for the problem is to return to the three China-U.S. joint communiques and the one-China principle, instead of shirking responsibility and deflecting blame, still less acting recklessly to create a bigger crisis.

“We are firmly determined to safeguard our national sovereignty and territorial integrity. We urge the U.S. side not to miscalculate on this,” Wang added.

Soviet grannies delight with this zucchini and pepper salad

By Yulia Mulino

x
Russian zucchini and pepper salad

Specially prepared for wintertime, this canned salad was one of the most popular in the Soviet Union. But you can also try it warm immediately after cooking!

“Get your sleigh ready in summer and your cart in winter,” is a famous Russian proverb that meansit’s necessary to stock up ahead of the cold months. Today’s highlighted salad made of zucchini, carrots and peppers is a perfect example of this. And it’s also a spicy flavorful vegan snack that can be eaten immediately.

I remember how when I was a child, the zucchini crop was so plentiful that it was impossible to eat it in a season. So, my grandmother and my mother made a salad with all the zucchini grown in their garden.

These vegetables do not have a strong taste and smell, but other vegetables can improve it. Carrots are added to the salad for sweetness, tomatoes for color, and peppers for spicy flavor.

I still remember the smell coming from the kitchen when the canning season started. We always got a bowl for dinner of leftovers that didn’t fit in the cans. Fresh and rather warm, I love this salad just as much as I love canned salad.

That’s why I’m making it now for dinner. The most delicious thing is to serve it with potatoes, whether mashed or boiled. Or keep it for winter.

Ingredients for 10 servings, or a 0.8 liter jar:

x
Ingredients

  • Medium zucchini – 2 pcs
  • Sweet bell pepper – 2 pcs
  • Carrot – 1 pc
  • Onion – 1 pc
  • Tomatoes in their own juice – 500 ml
  • Garlic – 4 cloves
  • Parsley – bunch
  • Salt (not iodized) – 2 tsp/ to taste
  • Sugar – 1 tbsp
  • Black pepper to taste
  • Vinegar 9% – 2 tbsp
  • Sunflower oil for frying

Preparation:

1. Peel and slice the carrots and onions; fry them in sunflower oil.

x
x

2. Cut the peppers into julienne strips.

x
x

3. Let them stew for about 15-20 minutes.

x
x

4. Shred tomatoes and pour sauce on vegetables. Braise for 20 minutes.

x
x

5. Slice the zucchini and add it to vegetables.

x
x

6. After 15 minutes add salt, sugar and pepper.

x
x

7. Then squeeze in the garlic cloves.

x
x

8. Add parsley and vinegar. Braise for 5 more minutes.

x
x

9. Place into jars that have been sterilized and are suitable for canning, or leave as a side dish or snack.

x
x

10. Serve warm or chilled with potatoes or as a topping on a crouton.

x
x

Don’t judge a book by its cover

In March of 2019, I was so busy at work that my girlfriend Jessica took to the Book to try and find me some help for a few weeks.

Saturday afternoon, Jess placed an ad that said something along the lines of: “Looking for a painter to help with a project. Must be drug-free.”

We got a phone call that night from a lady who said her live-in boyfriend was interested but didn’t have a driver’s license. Jess scheduled an informal interview for the next day and asked the lady to be at the interview also.

The plan was for me and Jess to meet the lady and her boyfriend, Lee, at a local restaurant for lunch at 1:00 pm.

The cool part about interviewing someone with their significant other present is it cuts down on a ton of bs. The anxiety and nervousness which is normal to experience during an interview and can help keep someone on their best behavior, fades quickly in the company of someone they’re comfortable with. And if I’m going to have someone on my jobs, I want to know who they really are.

Jess and I got to the restaurant at 12:30 pm. We got a table in the corner and waited.

At 1:00 pm, Jess got a text. “We’re one minute away, sorry!!”

What the heck? Who shows up late to an interview?

Strike one.

At 1:01 pm this guy walks through the door:

x
x

I can’t even tell you how disappointed I was. It’s not like I can have some murderer on my jobs. Let’s be real about this. Teardrops=killer. And this guy has three bodies — hell no. Strike two.

A third strike wasn’t even needed when I extended my hand to shake his. But here it is — strike three.

x
x

Now that I’ve officially judged this book (Lee) by his cover, let’s get through the interview process so I can find a better fit for my company.

I have no problem being straight up, so the first question I asked was: “Why did you murder three people?”

With unwavering eye contact, he said: “I’ve never killed anyone. Each teardrop is for a friend that died.”

He explained that he was put into foster care at twelve years old.

At fourteen he started inking himself. When his friends died, he’d get a tattoo to memorialize them.

He answered every question that I asked in detail.

This guy knew all the right things to say. He was articulate, funny, charismatic and charming. Just a joy to be around.

So, I handed him a cup. I told him to go pee in it. He passed a drug test and swore he was trying to rebuild his life. I melted for him. I understood.

I hired him on the spot. I couldn’t help it. When I hired him, he hadn’t worked in six months. No one would give him a shot. He didn’t have glasses and couldn’t see, nor did he have a driver’s license.

I set deadlines for him to get glasses and a license.

He got his glasses last month. And this week he’s taking his driver’s test.

He also is a writer and a rapper (bonus points!).

Don’t judge a book by its cover means: Making a decision about all that something is, based on what you see is a mistake.

I needed a painter, but I saw a murderer.

In reality, he is a father, a poet, a rapper, a writer and a painter.

Today, he is also my friend.

x
x

A dolphin story

x
x

The famous Italian diver Enzo Maiorca dove into the sea of Syracuse and was talking to his daughter Rossana who was aboard the boat. Ready to go in, he felt something slightly hit his back. He turned and saw a dolphin. Then he realized that the dolphin did not want to play but to express something.

The animal dove and Enzo followed. At a depth of about 12 meters, trapped in an abandoned net, there was another dolphin. Enzo quickly asked his daughter to grab the diving knives. Soon, the two of them managed to free the dolphin, which, at the end of the ordeal, emerged, issued an “almost human cry” (describes Enzo). A dolphin can stay under water for up to 10 minutes, then it drowns.

The released dolphin was helped to the surface by Enzo, Rosana and the other dolphin. That’s when the surprise came: she was pregnant! The male circled them, and then stopped in front of Enzo, touched his cheek (like a kiss), in a gesture of gratitude and then they both swam off.

Enzo Maiorca ended his speech by saying: “Until man learns to respect and communicate with the animal world, he will never be able to know his true role on this Earth.”~

Yuan overtook the dollar in trading volume on the Moscow Exchange

.

The “de-Dollarization” by the world continues unabated, with more and more countries turning their back on the US Dollar, in favor of Chinese and Russian currencies.

On the Moscow Exchange, the volume of trading in yuan amounted to 26.3 billion rubles, for the first time exceeding the volume of trading in the dollar (25 billion rubles).

By 13:03 Moscow time, the dollar was trading at the rate of 59.7 rubles/$, having lost 1.05 rubles. from the opening of trading, euro – 60.4 rubles / € (-1.25 rubles). The yuan exchange rate against the ruble amounted to 8.77 rubles / yuan, depreciating from the opening of trading by 0.12 rubles.

On July 6, the turnover of yuan trading on the Moscow Exchange exceeded the turnover of euro trading. Interest in the yuan is observed against the backdrop of Western sanctions in response to Russia’s military operation in Ukraine.

Price and outcomes.

The US has incredibly expensive health care. I mean incredibly expensive. Health care is one of the biggest for-profit industries in the country. A long time ago, some enterprising capitalists figured out that if you sell a product that people have to have or they will literally die, if you conceal the price of the product, and if you design the product in such a way that people can’t comparison shop, you can charge any price you want.

As a result, well…

A few weeks ago, I slipped with a razor knife and cut myself at the base of my thumb. I needed four stitches.

The stitches were removed two days ago. It really wasn’t that big a deal.

x
x

So far, I have received two bills.

x
x

x
x

This for four stitches and a tetanus booster. Total price (so far):

$2,603.45.

I don’t have insurance. I do qualify for subsidized insurance under the ACA. My price for a basic plan is $570/month with a $6,000 deductible.

Conservatives blather about how the US has such expensive healthcare because it’s sooooo good and American hospitals have the best equipment and Americans do all this research and…

Ah HA ha ha ha ha ha ha ha.

Some of them, they…they actually…BWAH ha ha ha…they actually believe that.

This torrent of money doesn’t go into healthcare. A huge volume of it goes into health insurance profits. Every dollar an insurance company takes in profit or overhead is a dollar that isn’t going to treatment and isn’t going to pretty shiny machines that go beep and isn’t going to research.

Libertarians love to talk about how the market promotes efficiency. Which is true. It promotes efficiency at making profit, which is not necessarily the same as efficiency at delivering goods and services.

The outcomes of this system are plain:

  • Shorter lifespan than other developed countries
  • Higher infant mortality than other countries

Evidence demolishes ideology. If the US had cutting edge healthcare, you would expect it to be expensive but effective.

It’s not. It’s expensive but delivers inferior results.

Part of that is the unequal access, of course, but part of it is by design. Because the goal of American healthcare is profit, not treatment, it discourages preventive care and regular checkups, which are low-margin services, in favor of dramatic interventions for serious conditions, which are high-margin services.

This isn’t a grand conspiracy. It’s the natural consequence of a system tuned to maximize profit instead of maximizing number of people cared for.

Edited to add: It’s now several months later and the bills are still coming in. Since posting this answer, I’ve received yet another bill, this one for $816.25.

That brings the total bill (so far) for four stitches to:

$3,419.70

x
x

China beats US in most-cited science papers, moving to top of new rankings

  • China accounts for 27.2 per cent of the world’s most-cited papers, while the US contributes 24.9 per cent, according to report
  • The idea that Chinese research is lacking in quality, though abundant in quantity, is ‘short-sighted’, says policy expert

China has surpassed the United States for the first time to lead the world in the number of most-cited papers, a key indicator of scientific influence, according to a new report.

Between 2018 and 2020, China contributed 27.2 per cent of the world’s most-cited papers – those ranking in the top 1 per cent in terms of citations – while the US accounted for 24.9 per cent, said the “Japanese Science and Technology Indicators” report released on August 9.

Some have pointed to the report as evidence of the rapid rise in the quality – in addition to quantity – of Chinese research.

From HERE

Baked potato with bacon

x
x

A quick dish equally good for cozy family get-togethers and shindigs with friends. Using the most affordable ingredients and a little culinary magic, you can turn ordinary potatoes into finger-licking fast food.

How to prepare: Wash a medium-sized potato, peel and dry. Make several pleat-shaped cuts so it looks like a mini-accordion. Cut bacon into thin slices, and use a knife to put them in the slits. Place the potato on a sheet of foil. Melt butter and add dill, parsley, salt, and pepper to it. Pour the aromatic butter over the potato and wrap in foil. (A homemade option is to grate a little garlic.) Place the potato in a preheated oven at 180C for 30 minutes. Then remove, sprinkle with grated cheese, and put back in the oven for another 10 minutes. If cooking several potatoes, pick ones of equal size so they take the same time to cook. If a potato is large and looks “unbakeable,” you can pre-boil it for 10-15 minutes beforehand.

Danny Trejo

x
Danny Trejo

Danny Trejo, known for countless tough-guy roles (and a few soft ones), often cast by his second cousin Robert Rodriguez.

But his first role wasn’t even supposed to be in front of the camera.

Trejo served time in Soledad prison for 11 years, and during that time he did two things to keep himself together. 1) He memorized and recited the script for Wizard of Oz while in solitary confinement, and 2) he started training as a boxer. The latter pastime got him more recognition, as he started winning boxing matches both before his release, and after. He was counseling others on how to break drug addiction and stay clean, when a friend called and asked him for support on a movie set. So he ended up on the set of the movie “Runaway Train”, a prison escape film starring Jon Voight and Eric Roberts.

I walked on that movie set as a drug counselor. I was helping this kid I was counseling. He called me up and said, "Hey, there's a lot of blow down here." It was 1985, and cocaine was running rampant in the movie industry. It was crazy. You'd walk into production and there'd be lines on the table. He just asked me to come down and support him, because that's what I did. I still do it. I'm going over on an intervention right now to one of our Hollywood actors. I went onto this movie set, and he was a PA, and I thought it was cute.

I had never been on a movie set in my life. All these guys were dressed up as inmates, and they were all trying to act tough. They all had these fake tattoos. I kept smearing these tattoos. I had to say, "Oh shit, I'm sorry. That stuff smears." This guy asked me if I wanted to be in a movie, and I said, "What do I gotta do?" And he said, "Do you want to be an extra?" And I said, "An extra what?" And he said, "Can you act like a convict?" I thought it was the funniest thing I had ever heard. I'd been in every penitentiary in the state. I looked at him and I said, "Well, I'll give it a shot." He gave me a blue shirt, and I took off my shirt, and I have that big tattoo on my chest. He said "Leave your shirt off."

Then this other guy comes over and says, "Hey, you're Danny Trejo. I saw you win the lightweight and welterweight title up in San Quentin." And I go, "Yeah. You're Eddie Bunker [Edward Bunker

].” I had been in prison with him. And he was a writer. We started talking, and he asked, “Are you still boxing?” And I go, “Well, I still train.” And he said, “Do you want a job? We need someone to train one of the actors how to box.” And I said, “I got a job. They’re going to give me 15 bucks for acting like a convict. What’s this pay?” He said, “It pays $320 a day.” So I said, “How bad do you want this guy beat up?”

And he goes, “No, you have to be really careful, this actor’s really high-strung. He’s already socked a couple of people.” I said, “For $320, man, give him a stick. I’ll fight Godzilla for 320 bucks.” I started training Eric Roberts

how to box. Eric wanted to learn how to box, and I think he was scared of me, so he’d do whatever I told him to do. Andrey Konchalovskiy, the director, saw that he would do whatever I told him to do. I guess Andrey had some problems with it. So Andrey comes over and hires me. He says, “You be in the movie. You fight Eric in the movie.” And that’s where it started. From that day until right now, I’ve got 183 movies. – IMDB

So from heroin addict/armed robber, to convict, to drug counselor and boxer, to fight instructor, to actor, then to director and writer. “Everything good that has happened to me has happened as a direct result of helping someone else. Everything.”

Vareniki with mushrooms and potato

x
Vareniki with mushrooms and potato

Chanterelles, agaric honey, or plain white — the choice is yours. They are great when fried with potatoes, cooked in an open mushroom pie with smetana filling, or stewed in ragout (pronounced “ragoo”). And then there are vareniki dumplings stuffed with mashed potato and mushrooms. Best served with smetana and fried onions. Resistance is futile.

Strange Corporate Decisions This Week

.

Earlier this week, I received two reports that WALMART had allegedly “canceled billions of dollars in orders.”

I was **not** able to get more info such as specific types of products or specific suppliers, or specific country of origin, so since I couldn’t verify it, I didn’t report it.

Minutes ago, I got this:

"My wife works for amazon web services (AWS) and they just canceled 40% of the marketing budget, froze hiring, and canceled all employee travel today"

It was done on a video call about 50 minutes ago.

I am endeavoring to verify it, so treat it as RUMOR right now  . . . but here’s the thing:

If these claims prove true, this is a major indicator of bad shit coming.

Especially the travel part. AWS has assets in China, Taiwan, and Eastern Bloc countries.

UKRAINE

World Hal Turner

Two days ago, I got word that the US/NATO shipped four (4) nuclear ARTILLERY shells to Ukraine.

Word was that the Ukrainians were to use those shells to hit the Zaporazyhe Nuclear Power Plant.   A nuke artillery shell would certainly be able to penetrate a Reactor Containment building, and even a relatively tiny nuke blast would rupture a reactor, causing a radiation leakage disaster.   BUT . . . they claimed . . .  the fact that a nuke artillery shell was used will be MASKED by the reactor leaking radiation.  So Ukraine would blame the Russians for mishandling the reactor causing it to blow up when, in reality, Ukraine caused it.

I thought this was a bit over-the-top, especially since the US unilaterally ended their nuclear artillery manufacturing and dismantled all their nuclear shells in the year 2004.   HOWEVER, not all NATO countries did the same.

So it is possible that there are a few nuclear artillery shells still around, and if the US/NATO are actually the madmen that I believe they are, then some numbskull might have actually come up with a plan like this.  Plausible deniability with a leaking reactor being blamed for radiation caused by the blast of nuclear artillery.

But since I could not verify **ANY** component of that story, I did NOT report it, either.

Which brings me to Ukraine, today.

There is a MJAJOR offensive taking place in Ukraine since yesterday.  Russian Artillery was literally pounding from every part of the 150km long front line.

Today, Ukraine hit back inside Russia itself, with blasts in Belogorad. Then we had numerous reports of Ukraine missiles fired at the Kerch Strait Bridge. Then, no additional info — at all.   Weird.

Two hours after the alleged missile attack against the Kerch Strait Bridge, air raid sirens sounded in Kiev.  Then . . . nothing.

All this is getting too weird, but I tell you these things because I have now found out that, this afternoon (eastern US Time) Russia told its people at the Zaporazhye Nuclear Power Plant they “are not to go to work tomorrow.”

I HAVE VERIFIED THIS CLAIM.

So now, I look at the alleged WALMART order cancellations, the AWS 40% marketing budget cut, hiring freeze, and employee travel ban, along with what I’ve verified about Russians at the Nuclear power plant being told to stay home tomorrow, and I start to wonder to myself, is the intel I got about nuclear artillery shells factual and is tomorrow (or this weekend) when the plant at Zaporazyhe gets hits?

It’s certainly within the realm of possibility that big boys got a quiet warning to scale down ahead of something major.

WALMART is incredibly connected with government and might have gotten a sort of “heads-up” that everything is going to shit this month” so they are planning accordingly . . . and maybe canceled Billions in orders?

And AWS has hundreds of millions of dollars in government contracts, so maybe they too got a sort of heads-up, and decided to cut the marketing budget and halt employee travel etc.?

Then too, it was just this month that no less than Henry Kissinger told a media outlet that “things will escalate in mid-August.”  Here we are.

I run all this past you so you can get a small glimpse into the info pouring in to me, and how hard it is to sift through apparently unrelated things, to come up with a picture of what might actually be.

“Dad, I want to make money. Can I work for you?” My 10 year old boy asked me.

“We don’t work for money son. You must come up with a creative idea to make money through a business.”

“Oh, let me think,” he paused for a moment and said, “I have an idea! I could mow the lawn for the neighbors!”

“That’s not a business son!”

“Well, I could wash cars or walk their dogs over the weekends!”

“You’re still exchanging time for money son! That’s NOT a business. I want you to come up with a business idea!”

“I don’t understand dad!”

“I don’t want you to exchange time for money. Think how to solve this!”

Three days later…

“Dad, dad! I have an idea, what if I plant some vegetables in our backyard, grow them and sell them!”

“Now, THAT sounds more like a business son! Can you tell me the difference? How many hours will you invest to keeping up with your plants?” I asked.

“I need to water the plants every day after school.”

“What else?”

“Watch out for bugs eating my veggies?”

“Correct! How much time will you invest doing that?”

“Well, dad… nothing, maybe minutes!”

“So what is the difference between your veggie business and working for me or for the neighbors?”

“I’m going to save time!”

“Good! Now you have a new problem… You need to invest some money on your vegetable garden! How much money will you invest?

We went to Home Depot to buy stuff. He had his cash savings in his pocket.

x
x

”Dad, look! If we install this automatic water system I will be making money even while we’re on vacation!” He said excited after identifying a special hose to connect to his new pots.

“I will buy organic soil so my cucumbers and my tomatoes will be organic. I can sell them at a higher price to my neighbors and my friends.”

I smiled proudly as I could see how he was getting his business mind together.

We purchased everything. He negotiated a $50 dollar loan from me. We agreed that he will pay me interest.

x
x

Business is an art, not a science.

x
x

My boy is developing his entrepreneurial skills. He is learning everything that schools don’t teach him like [1] how to invest, [2] how to sell, [3] how to keep track of money.

He will learn the pains of paying interest of a loan, and after this winter, he’s learning the pains of losing money and having to start over again!

Most importantly, I’m teaching and developing his mindset!

Entrepreneurship is an art, an understanding of how to make money, it needs to be learned, not taught.

My cat tried to warn us

June 2015. My son Elliot is born.

From that day, my cat Juliette was not the same. She didn’t like the fact she was getting less attention.

And she let us know! She wasn’t nice with our baby and started to pee on the carpet in the basement. Always at the same spots.

How come this great cat became such a pain?

I had started to cough more often in the last couple of months. I had absolutely no explanation for it. I was running my small business from my basement.

A nurse told me it could be allergies. I never had allergies before. It seemed like allergies could start later in life. It sucked… but it is what it is, I guess. I didn’t make a big deal out of it.

In August, Juliette peed one last time in the basement. I had enough.

I decided I would remove the whole carpet during the weekend and redo the floor. I was tired of cleaning the mess every time it happened. Making the odor go away was not an easy task.

The following weekend, I started to remove the carpet… and found mold at every spot Juliette had peed in the past. It seemed like water and humidity were stuck under the floor and in the walls.

I can’t know for sure if it was her way to let me know why I was coughing so badly and why I felt so exhausted every time I tried to exercise.

Water was coming in and there was mold all over the basement.

We had to remove everything: floor, walls and ceiling. A company came with specialized equipment to decontaminate the basement. We had to redo everything inside… but also outside of the house to make sure water would not come back.

What started as a “weekend project” to stop a cat from peeing on the carpet ended up with a 6 months project that cost tens of thousands of dollars.

Since that, my health has been back to what it was before. No coughing, no exhaustion.

Thanks to Juliette for this. She unfortunately passed away a year later.

Here she is with Elliot…

x
x

I’m truly grateful for the mess she made in my basement because the whole family could have suffered of permanent breathing issues…

“I’m Worried We’re Becoming A Thought-Controlled Dystopia, Like China!”

Caitlin Johnstone

Aug 20

John: I’m worried about China.

Jane: Oh yeah? What about it?

John: Well more I’m worried about the example they’re setting, and that western governments will start implementing their technocratic oppression style to turn us all into a bunch of brainwashed, homogeneous obedience machines.

Jane: What makes you think Chinese people are all brainwashed and homogeneous?

John: Oh my God, don’t you watch the news? Have you not heard of their social credit score system? The state censorship and propaganda those people are subjected to? The CCP literally doesn’t let them have access to western social media platforms because our free thought and democratic values might interfere with their conformity policing. How have you not heard about this? It’s in the news constantly.

Jane: Constantly?

John: Oh yeah, it’s like a major news story all the time. All across the political spectrum, too. Fox News, CNN, The Washington Post. Alternative media too like Infowars and The Epoch Times; even lefty YouTubers like Vaush talk all the time about how bad it is in China.

Jane: So because you’re being given the same message by all the western media you consume, you’re worried about the enforcement of thought conformity in… China?

John: Yeah. Of course.

Jane: And this is why you’re worried that, at some point in the future, that kind of brainwashing and homogeneity might someday be inflicted upon us by powerful people in the west?

John: I mean yeah, if the CCP doesn’t do it to us first. Did you know they’re trying to take over the world?

Jane: They are?

John: Oh yeah! The Chinese want to take over the world and give us all a social credit score so we’ll all think the same. How do you not know about this? Don’t you ever watch TV?

Jane: How do you know it’s true though?

John: That they want to conquer us and give us a social credit score? Come on! Open your eyes! Have you seen how they treat their own population? They’re genociding the Uyghurs as we speak! Millions and millions of them in Nazi-style extermination camps! Plus they deliberately released the Covid virus to hurt us after cooking it up in a lab, they’re taking over Hollywood and infiltrating our political and academic institutions, and they’ve colonized the entire continent of Africa! Of course the CCP wants to rule us! Don’t you ever watch Tucker Carlson? They’re truly, deeply evil, and we’ve got to do something to stop them.

Jane: Sounds like you’ve got this China thing all figured out. You’re right, that sounds really scary. I can’t imagine what it would be like, living in a thought-controlled dystopia where your rulers are brainwashing everyone into obedience and making sure everybody thinks the same way about stuff.

John: Yeah! Finally you get it! I’m glad you’ve come around. Honestly you’re the first person I know who didn’t already understand these things about China.

Jane: I’ll bet.

John: So do you think it will happen? Do you think our government will implement a social credit score system to make us all believe lies and propaganda, like the Chinese?

Jane: You know, I wouldn’t worry about it.

The doll

At 40, Franz Kafka (1883-1924), who never married and had no children, walked through the park in Berlin when he met a girl who was crying because she had lost her favourite doll. She and Kafka searched for the doll unsuccessfully.

Kafka told her to meet him there the next day and they would come back to look for her.

The next day, when they had not yet found the doll, Kafka gave the girl a letter “written” by the doll saying “please don’t cry. I took a trip to see the world. I will write to you about my adventures.”

Thus began a story which continued until the end of Kafka’s life.

During their meetings, Kafka read the letters of the doll carefully written with adventures and conversations that the girl found adorable.

Finally, Kafka brought back the doll (he bought one) that had returned to Berlin.

“It doesn’t look like my doll at all,” said the girl.

Kafka handed her another letter in which the doll wrote: “my travels have changed me.” the little girl hugged the new doll and brought her happy home.

A year later Kafka died.

Many years later, the now-adult girl found a letter inside the doll. In the tiny letter signed by Kafka it was written:

“Everything you love will probably be lost, but in the end, love will return in another way.”

FADING SMILE OF A DYING EMPIRE

From The burning platform blog

We moved to our corner of Montgomery County, Pennsylvania twenty-seven years ago. We raised our three boys here. We spent hundreds of hours on local baseball fields, in hockey rinks, in school gyms for basketball games, concerts, plays and donuts-with-dads. It’s still a nice place to live, with virtually no crime, decent roads, and reasonable property tax rates. But I would have to say there has been a degradation in the overall quality of life in my community, which is consistent with the downward spiral of our society in general. When we planted our roots in this community it was still more farm-like than suburban. Family farms and open space were more prevalent than housing tracts, strip malls, fast food joints and cookie cutter commercial buildings. A beautiful farmhouse a few miles from our home, freshly painted white, proudly displayed the iconic yellow smiley face. It symbolized good times.

We’ve been driving on this road for twenty-seven years on the way to baseball games, hockey practices, the car dealer for service, and lately to our gym, as we try to fend off father time. Driving by that barn in the early days would always brighten your day. A bright yellow smiley face against a white background represented a positive, happy view of the world.

We moved to this area in 1995 while Clinton was president, unemployment was 5.6%, CPI was 2.8%, GDP growth was 2.7%, the annual deficit was $164 billion, the national debt was $4.9 trillion, the Fed balance sheet was $500 billion, the U.S. population was 263 million, total household debt was $4 trillion, you earned 5.5% on your money market fund, the U.S. bailed out Mexico, the Oklahoma City bombing happened, and OJ Simpson was found not guilty of killing his ex-wife. The military industrial complex was being starved by lack of wars and the stock market soared by 33% as the beginning of irrational exuberance began under the reign of Greenspan and his Put.

A lot has happened over the last twenty-seven years and the faded, barely visible smiley face, on a now mold ridden decaying barn, is truly representative of a society, culture and economic system dying a slow torturous death, as apathy, technological distraction, myopic indolence, and the greed of powerful elites combine to ensure the eventual collapse of the short-lived American Empire. Much of this quarter century of decline is borne out in the change in economic numbers noted above.

The unemployment rate is reported as 3.5% today with 158 million out of 264 million working age adults employed. That leaves 106 million not employed, or 40% of working age adults not working. Back in 1995, 125 million out of 199 million working age adults were employed, leaving 74 million not working. Over a quarter century we’ve added 65 million people to our population, but only 33 million to the employment rolls. Either we’ve devolved into a nation of freeloaders on welfare/disability, or the BLS is lying about the 3.5% unemployment rate, or both.

The BLS currently tries to convince the ignorant masses inflation is only 8.5%, up tremendously from the 2.8% in 1995. Since the Fed/Wall Street induced financial crash of 2008, the government had been reporting inflation of between 0% to 3%, when in reality, as measured the way it was measured in 1980, it had been between 7% to 10%. Today’s actual inflation rate is 17% in case you were wondering. Revealing the true cost of living to the peasants might induce a revolting outcome for our overlords. The government prefers to treat the math challenged masses like mushrooms, by keeping them in the dark.

x
x

The corrupt Fed, feckless politicians, media mouthpieces for the empire, and Wall Street shysters were shocked I tell you by skyrocketing inflation after the Fed increased their balance sheet from $3.7 trillion to $8.9 trillion and the D.C. swamp creatures increased the national debt from $23.2 trillion to $30.7 trillion since the beginning of 2020. This generated inflation in financial assets for the global elite and their minions, while destroying the finances of the middle and lower classes. The rot grows like a cancer in this empire of debt.

x
x

The annual deficit of $164 billion in 1995 was racked up in 17 days in 2021. We have run annual deficits of $3.1 trillion in 2020 and $2.8 trillion in 2021, and the scumbags in Washington just keep passing $700 billion spending bills, writing off student loan debts for gender fluidity majors and sending billions in weapons to the most corrupt regime on the planet – Ukraine.

The degradation and downward trajectory of this empire of debt, delusion and despair can be most clearly defined by comparing our GDP growth since 1995 to the growth of debt by both our government and the populace.

Total U.S. GDP in 1995 totaled $7.6 trillion and today checks in at $24.8 trillion. That is a growth of 326% over twenty-seven years.

The national debt has grown by 626%. Seems unsustainable, but why question our glorious leaders.

x
x

The Fed balance sheet has grown by 1,780%. Household debt has grown by 400%. Median household income in 1995 was $34,000. Today it is $73,000. That is a 214% increase over 27 years. With real inflation averaging over 10% per year during this time frame, average working Americans have seen their standard of living methodically decline, replacing the income with debt. The only beneficiaries of debt are the banking cabal and the mega-corporations selling their cheap Chinese crap to clueless dupes who believe driving a leased BMW and living in a cookie cutter McMansion with an $800,000 mortgage makes them wealthy.

The selfie generation is too distracted checking in on Facebook, posting pictures of their food on Instagram, doing a dance routine on Tik Tok or counting their likes on Twitter to realize how badly they’ve been screwed over by those pulling the strings of this society. The propaganda and psychology of fear utilized by the powerful interests has reached a level that would make Edward Bernays burst with pride, as manipulating the masses to believe falsehoods is a key requirement in implementing their Great Reset agenda.

This entire charade seems to be bursting at the seams, with raging inflation, a recession in process (despite Biden’s lackeys trying to redefine recession), a Green New Deal Great Reset agenda purposely creating energy and food shortages, government agencies running roughshod over the Constitution, and a tyrannical administration attempting to crush their political adversaries using any means necessary. Smiles are fading as we head into either a hyperinflationary depression or a deflationary depression, with some world war mixed in.

 

The economic decay is easily provable, but our cultural and societal degeneration has exceeded our economic deterioration.

Just as the Roman Empire exhibited particular traits of a dying culture, the American Empire displays similar characteristics, such as: concern with displaying affluence instead of building wealth; obsession with sex and perversions of sex; art becoming freakish and sensationalistic instead of creative and original; widening disparity between very rich and very poor; increased demand to live off the state.

Of course, our dying culture has also been turbocharged by the climate cult attempting to destroy our fossil fueled economic system by purposely sabotaging our energy and food systems as the driving force for their Great Reset. Weaponizing the annual flu as a means to inject billions of people with a DNA altering, sometimes lethal, concoction is part of Bill Gates’ depopulation agenda. They have taken the sex and gender perversion to new levels of child abuse, grooming and mutilation. The rampant pedophilia and child trafficking by the global elitists is the most despicable aspect of our cultural degeneracy. Anyone with a conscious can no longer be proud of this country and should be desperately concerned about its future.

“A growing sense of unease presently pervades the American consciousness. Americans are no longer as confident in their nation and self-assured as they once were. 

A sense of frustration and anger underscores American consciousness. 

Americans are looking over our shoulder at other emerging economic juggernauts and wondering if we can still be world’s social, political, and economic leader when Congress cannot even manage to balance the national budget. 

The thought that we are diminishing in stature in the eyes of the international community constantly torments Americans. 

Faded glory strikes a crippling blow to the American psyche. 

Analogous to an aging beauty queen, America might still possess a golden crown, but she lost her luster. 

In an eroding empire, Americans feel like second-class citizens in the union of nations.”Kilroy J. Oldster

The terms modern and progress have become warped and used as an excuse for destroying localization, small businesses, what worked, what was good, and what benefitted society, replacing it with globalization, mega-corporations, complex technology, profits at any cost, and benefits accumulating to the few with suffering borne by the many.

Two examples come to mind within a few miles from my home. Just a couple miles from the fading smile barn is a property that was once a thriving family farm. I snapped a picture last week as I was driving past.

x
x

The decaying abandoned farmhouse, dilapidated barn, and rusting farm machinery are being engulfed by weeds, as the memories of a productive useful family farm fade like that yellow smiley face. I don’t know why it was abandoned, but I’m sure the corporate farming conglomerates and the corporate meat processing plants were a major factor. When you can buy cheap meat at Wal-Mart produced in China or some industrial farm, why pay a little more for fresh non-GMO meat sold by a local farmer?

Gone are the roadside vegetable stands and buying fresh meat from your local farmer neighbor. Maybe the patriarch of the homestead got too old, and his sons had been indoctrinated by the government schools to get corporate jobs in some of the commercial office campuses that have replaced open space and farmland. Whatever the reason, it provokes melancholy about a better simpler time whenever I pass by.

The governmental actions taken in the early 2000s still irk me to this day. The area around the intersection of Forty Foot Road and Sumneytown Pike in the late 1990s was still reminiscent of simpler times, before smart phones, hyper-consumerism, and proliferation of big box retail. Small businesses were important and viable. There was a family run diner near the turnpike entrance where all the locals ate breakfast and talked sports and politics.

Township police were friendly, driving older basic vehicles and housed in a small unassuming one-story township building. Nicely kept older homes lined one side of Forty Foot Road and the other side was an eclectic mixture of old-time baseball fields, with no lights and little to no ground’s maintenance, and the old Henry Sprecht grade school, built in 1909 to honor a long-time educator and local historian, which had been replaced by newer schools and creatively repurposed into a quaint antiques mall.

x
x

We spent many a summer evening watching my oldest son play little league baseball on those fields while trying to keep our four-year-old and three-year-old sons from getting into trouble. We loved wandering through that antiques mall as individual vendors selling all manner of antiques, hand crafted woodwork, baseball cards, toys, occupied nooks, and crannies in this ancient school. We bought a handcrafted cabinet by a local artisan for our kitchen, which we still employ today in our storage area.

My fondest memory was at Christmas time when it would become a Christmas wonderland and I would take the boys there to see the spectacular miniature train show, where local train aficionados would set up amazing displays. The kids were mesmerized. There was also a family-owned home center in Hatfield called Snyder’s that sold everything for your home and also had a great train display at Christmas for kids to enjoy. All this unpretentious delight ended abruptly in the early 2000s, as progress, commercialization, and greed took hold of the country and our little community.

As you may remember, Greenspan coined the term irrational exuberance in 1996 to describe financial markets, then turbocharged stocks by cutting rates, causing the dot.com bubble and responded to the stock market crash by cutting rates and causing the biggest real estate bubble in history, until now. These ephemeral paper riches caused local government bureaucrats to use phantom tax revenues to envision delusions of grandeur by building useless unnecessary projects.

This is exactly what the government drones running Towamencin Township did. They produced a grand master plan, gave it a fancy name, spent tens of millions of our tax dollars, and produced an embarrassing mess. They used eminent domain to acquire homes, forced the dozens of small business owners out of the antique mall and flattened the building, closed off the baseball fields to little kids, and closed Forty Foot Road for over a year to build a glorious $13 million bridge to nowhere. This bridge stands as a tribute to all those Chinese ghost cities, as it serves no purpose except as an example of government incompetence, wastefulness, and misuse of taxpayer funds with no consequences for the government drones.

x
x

Rather than wait for actual retail tenants to sign on to their glorious project, the government geniuses built the bridge knowing they would come. They never came.

The real estate retail bubble popped. It’s now fifteen years later and those four baseball fields are still sitting there, untouched, undeveloped, and unused.

They stuck a Walgreens where the charming antique mall once sat. No pedestrians cross the pedestrian bridge because there is nothing on either side. A four- story commercial building was built on spec a block from the bridge and stood vacant for five years.

x
x

The family-owned Snyder’s home store was driven out of business by the Home Depot and Lowes built within a few miles. There are now cookie cutter townhouses where Snyder’s stood. Another successful retail center in the 1990s up the road, anchored by a family owned Genuardi supermarket and a Sears Hardware, along with a pizza place, drugstore, Blockbuster, and kids play center has been vacant and rotting for over a decade, as bankruptcies, mergers, and the relentless downward economic spiral made it untenable.

In addition to wasting taxpayer money on the ghost bridge to nowhere, these financial government geniuses decided their police station built in 1975 no longer met the needs of their fast-growing police force in a township with no crime, because it is 88% white/Asian. They built themselves a complex three times the size of their old station. Lucky, because they now have a police force of 23 officers, all decked out with souped-up brand-new SUVs.

You need that level of manpower and firepower for all those speed traps, fender benders and writing tickets for illegal basketball nets. There hasn’t been a major crime in Towamencin in over a decade, but the taxpayers pay over $1 million per year to be harassed and pay for their donut budget This level of government waste is happening in every locality and state in America. And the Feds put them all to shame with their corrupt, wasteful, traitorous spending, bribing, and war mongering across the globe, to the tune of trillions.

The decline I’ve personally seen in my local community is not just a localized cancer but has metastasized across the land and around the globe. As our economic system accelerates towards inevitable implosion, either as a planned demolition or due to the hubris of central bankers, the fraying social fabric of our civilized society is unmistakable, as the moral state of our country has deteriorated to a level seen only in debauched empires on the brink of failure.

The global elite and their moral depravity have engulfed the world, as their ravenous greed, insatiable appetite for dominion over the masses, immoral deceit, manipulative use of propaganda, and satanic decadence have created economic, social, political, and military distress across the globe. As Toynbee and Solzhenitsyn note, the lack of morality and courage among those who profess to be leaders has permeated throughout society, leading to a dearth of citizens taking civic responsibility for the path of the country.

“Of the twenty-two civilizations that have appeared in history, nineteen of them collapsed when they reached the moral state the United States is in now.”
― Arnold Joseph Toynbee

We are a lost society, ruled by emotions, captured by technology, misinformed, uneducated, indifferent, fearful, passively accepting of whatever government and media tell them is true, and entranced by materialism funded by debt.

We are a sick dying culture where common community standards, self-responsibility, hard work, kindness, and manners have been superseded by the worship of abnormality, celebration of degeneracy, living off the government, spreading hatred, and waging undeclared wars across the world.

There is an empty shallowness to our civilization, with the vacuum filled with gadgets, pathetic displays of fake affluence, trivialities like social media, and superficial displays of virtue signaling regarding the latest woke craze shoved down our throats by those controlling the levers of society.

There is an overwhelming feeling of hopelessness, fear, and foreboding mood of impending doom, as this Fourth Turning accelerates towards its bloody denouement.

The aura of pessimism about the future and fear that our superpower status, only in existence since 1946, is rotting from within permeates the psychology of those actually willing to think critically and see what is really happening. The existing social order will be extinguished during the waning years of this Fourth Turning. We are in the interval between the decay of the old and formation of the new, whatever that may be.

This transition will be one of uncertainty, turmoil, miscalculation, fanatical misrepresentations, war (civil & global), false prophets, bloodshed, and clear winners and losers. Decay and death of empires have happened for centuries and are necessary to expunge the excesses and abuses which always occur as empires expand and its leaders exhibit a hubristic arrogance towards their people and the world.

“Just as floods replenish soil and fires rejuvenate forests, a Fourth Turning clears out society’s exhausted elements and creates an opportunity.”The Fourth Turning

It is hard to believe the prognostications of Strauss & Howe a quarter century ago, just after I moved to my community, could be so eerily accurate. But, when you are sure of the catalysts: debt, global disorder, and civic decay, the volcanic eruption of distress can only flow along certain channels, preordained by choices made over decades by our leaders and ourselves.

“Imagine some national (and probably global) volcanic eruption, initially flowing along channels of distress that were created during the Unraveling era and further widened by the catalyst. Trying to foresee where the eruption will go once it bursts free of the channels is like trying to predict the exact fault line of an earthquake. All you know in advance is something about the molten ingredients of the climax, which could include the following:

    • Economic distress, with public debt in default, entitlement trust funds in bankruptcy, mounting poverty and unemployment, trade wars, collapsing financial markets, and hyperinflation (or deflation)
    • Social distress, with violence fueled by class, race, nativism, or religion and abetted by armed gangs, underground militias, and mercenaries hired by walled communities
    • Political distress, with institutional collapse, open tax revolts, one-party hegemony, major constitutional change, secessionism, authoritarianism, and altered national borders
    • Military distress, with war against terrorists or foreign regimes equipped with weapons of mass destruction” 

This Fourth Turning has created tremendous distress in all four categories noted by Strauss & Howe. With over $200 trillion of unfunded liabilities, the country is already in default, but unwilling to admit it. The Social Security fund will run out of money in a few years. State and local pension funds are underfunded by trillions. With Powell and his minions in control, hyperinflation and deflationary depression are on the near-term horizon, with financial assets crashing once again, for the fourth time this century.

The social distress has been initiated and promoted by the global elite through their complete control of the media propaganda outlets. They are attempting to spur violent upheaval, as this will give them the excuse to disarm and electronically imprison dissenters and Great Reset resisters. Class, race, religion, and gender are all being used to stoke unrest.

The political distress is the biggest gaping wound in our national body today. If critical thinking individuals didn’t acknowledge the existence of a Deep State before, they surely can’t deny its existence now. It has existed for decades, but has been forced out into the open, as threats to their power and control multiply due to their arrogance, ineptitude, wickedness, and avarice.

Anyone who dares to deviate from their directives and threatens their fiefdom is either killed, neutered, or destroyed (JFK, RFK, George Wallace, Perot, Assange, Trump). Russiagate, two impeachments, J6 witch trial, and now the rogue DOJ/FBI raid on Trump’s compound has driven the political stress to heights not seen since 1860. The desires of the globalist elites for a Great Reset into a new world order where you own nothing, and they own everything is the goal of all this engineered chaos.

The military distress may be the most concerning and potentially most destructive aspect of this Fourth Turning as we enter the normally bloody phase. The flailing U.S. empire is provoking and stoking global conflict to keep feeding the Deep State military industrial complex. The Ukraine conflict was initiated by the U.S. in 2014 and is being used as a justification to fight Russia without getting our hands dirty.

Continuing to poke the nuclear armed bear, has the potential to escalate the conflict to a point of no return. Throwing fuel on the fire by provoking China over Taiwan’s independence is irrationally reckless and the mark of a desperate empire seeing the sun setting on its 76-year reign as the one global superpower, and willing to risk global war in a fruitless effort to remain king.

The U.S. can let its empire expire with a whimper (e.g. British Empire) or a bang. Based on their ham-handed, stumbling, absurd endeavors to maintain their dominance over the world, they have initiated global food and energy shortages, caused unbearable economic hardship upon the middle and lower classes, and have pushed the world to the brink of nuclear war with countries run by serious men. While we are supposedly led by an ancient fossil lost in a fog of dementia and unable to string two coherent sentences together, even with a teleprompter. Obama, the Deep State, and a plethora of diversity hire apparatchiks are really calling the shots.

The smile has faded on this empire of debt, delusion, denial, and destruction, just as it has on the barn near my house. The coming trials will require levels of courage, fortitude, and sacrifice which many might think they are not capable of summoning, but we have no choice. You can’t sit out Fourth Turnings. Sides will need to be chosen and life or death decisions made. The future of this country and the world hang in the balance.

Choosing your allies and forming local communities of like-minded people with the skills to survive and thrive in the world created after the coming conflict is resolved, is all you can do at this point. Preparation may not be enough, but not preparing guarantees a bad outcome for you and your family. Whatever you do, put absolutely no faith in any government solution to our predicament. They are the enemy and you can’t vote your way out of this.

x
x

I hope after this is over to see a fresh coat of white paint applied to that old barn and a brand-new yellow smiley face resurrected on the same spot, marking the start of a new High.

URGENT: US on verge of becoming party to Ukrainian conflict, Moscow warns

Washington’s continued support for Kiev during Moscow’s military operation has put the US on the verge of becoming party to the Ukrainian conflict, Russia’s deputy Foreign Minister, Sergey Ryabkov has said.

“We don’t want escalation. We’d like to avoid a situation, in which the US becomes a party to the conflict, but so far we don’t see any readiness of the other side to take these warnings seriously,” Ryabkov told Rossiya 1 TV channel on Friday.

Moscow rejects Washington’s explanation, that providing Ukraine with weapons and other aid is justified by Kiev’s right to self-defense, he pointed out.

“Excuse me, what kind of self-defense is it if they are already openly talking about the possibility of attacking targets deep in the Russian territory, in Crimea?” the deputy FM wondered.

According to Ryabkov, such statements are being made by the Ukrainian side “not just under the blind eye of the US and NATO, but with the encouragement of this kind of sentiment, approaches, plans and ideas directly from Washington,” Ryabkov insisted.

The ever more obvious and deeper involvement in Ukraine in terms of countering our military operation, in fact, puts this country, the US, on the verge of turning into a party to the conflict,” he reiterated.

The US has been the strongest supporter of Kiev amid its conflict with Russia, providing Kiev with billions of dollars in military and financial aid, as well as intelligence data. Washington’s deliveries to the Ukrainian military have included such sophisticated hardware as HIMARS multiple rocket launchers, M777 howitzers and combat drones.

Reuters reported on Friday that US President Joe Biden is about to announce another lethal aid package for Kiev of around $800 million.

An unnamed official from the Biden administration told Politico on Thursday that the White House had no problem with Ukraine attacking Crimea, which became part of Russia after a 2014 referendum staged in response to a violent coup. The US believes that Kiev can strike any target on its territory, and “Crimea is Ukraine,” the American official insisted.

There have recently been a number of explosions near a Russian ammunition depot and at a military airfield in Crimea, which the Defense Ministry said were acts of “sabotage.” However, Ukrainian authorities haven’t officially confirmed involvement in the attacks.

Russia sent troops into Ukraine on February 24, citing Kiev’s failure to implement the Minsk agreements, designed to give the regions of Donetsk and Lugansk special status within the Ukrainian state. The protocols, brokered by Germany and France, were first signed in 2014. Former Ukrainian President Pyotr Poroshenko has since admitted that Kiev’s main goal was to use the ceasefire to buy time and “create powerful armed forces.”

In February 2022, the Kremlin recognized the Donbass republics as independent states and demanded that Ukraine officially declare itself a neutral country that will never join any Western military bloc. Kiev insists the Russian offensive was completely unprovoked.

A Major Food Crisis Coming In 2023? – “Prices Will Be On Steroids After The Election”

.

We are being warned that food prices in the U.S. are going to go absolutely haywire after the election in November.  I am taking such warnings very seriously, and I believe that you should too.  Global officials have been telling us over and over again that we are heading into an unprecedented global food crisis, and I have been writing about this again and again in recent weeks.  But so far, the vast majority of the population doesn’t seem to be taking this seriously.  Agricultural production is going to be way below expectations all over the planet in 2022, and that means that there will be far less food to go around in 2023.

Let me give you a perfect example of what I am talking about.  Just within the last couple of days, it has been reported that there will be crop losses “of up to 50 percent” in the German state of Baden-Württemberg…

Crop losses of up to 50 percent are now expected in parts of Germany due to drought, farmers in affected regions have claimed.

Up to half of the crops in parts of the German state of Baden-Württemberg are likely to be lost due to drought, farmers in the region have claimed, with problems to do with the prices of fuel, fertiliser, and pesticides connected to the green agenda and war in Ukraine also reportedly causing problems for those in the region.

These are crop losses that haven’t happened yet.

These are crop losses that will happen in the fall if sufficient rain does not arrive soon…

With the losses expected to materialise in the autumn, the farming chaos may end up being another crisis facing Germany’s floundering political class as fuel shortages combined with a freefalling economy hit a public already suffering from officials’ poor handling of the COVID-19 pandemic.

Just within the past week, I have written about how authorities are also projecting similar crop losses in key areas of the UK, France and Italy.

And here in the United States, 37 percent of farmers in the western half of the country say that they will be killing their own crops because there is no chance that they will come to maturity due to the endless drought.

All of these crop losses haven’t hit the food system yet.

So none of these crop losses are reflected in grocery store prices yet.

That won’t happen until the end of 2022 and the beginning of 2023.

With all of that in mind, I would like to share with you a comment that was just posted on one of Southern Prepper’s videos

Just a heads up. I have a family member who works in the corporate pricing department for groceries. This company has been in business 40 years. 

Meeting was called 1 day ago and they were told prices will be on steroids after the election. Owner said he’s never seen what’s headed our way in 40 of business. They just hired 10 more people and can not keep up with data input.

All hands on deck and overtime. included. Get your house in order. Buy Holiday grocery products while you can find and afford them. 

Boss told employees to stock up now. 

Please pay attention folks.

It would be easy to dismiss that comment because we don’t know who it is from and so we can’t verify the specific claims that are made.

But this is entirely consistent with everything else that I am hearing.

Food prices have been rising rapidly in recent months, but the really big deal is all the food that is not being grown right now.  This lack of production is going to push prices to levels that would have once been unthinkable.

Most people simply do not realize how much our farmers are hurting right now.  Just check out these numbers

Nearly three quarters of US farmers say this year’s drought is hurting their harvest — with significant crop and income loss, according to a survey by the American Farm Bureau Federation, an insurance company and lobbying group that represents agricultural interests.

The survey was conducted across 15 states from June 8 to July 20 in extreme drought regions from Texas to North Dakota to California, which makes up nearly half of the country’s agricultural production value. In California — a state with high fruit and nut tree crops — 50% of farmers said they had to remove trees and multiyear crops due to drought, which will affect future revenue.

This is going to affect all of us.

If farmers and ranchers don’t produce our food, we do not eat.

Things are even worse in western Europe, and the war in Ukraine is greatly restricting the flow of agricultural goods from eastern Europe.

In 2023, there is going to be a mad scramble for whatever food that is available, and global prices are going to go nuts.

We have already started to see food riots and civil unrest is some areas of the globe, but I anticipate that things will get much worse next year.

Even here in the United States, I expect that there will be a lot of anger and frustration.  And as we have seen, it certainly doesn’t take much for our major urban areas to explode.

Things aren’t even that bad yet, and already we are seeing people behave in ways that are extremely bizarre.  For example, just consider a very strange incident that just happened in Los Angeles

The gang of people ransacked the store while shouting, completely destroying the COVID-19 safety screen that had been set up to grab as much as they could in Los Angeles, California.

A group entered the convenience store near Figueroa Street and El Segundo Boulevard, with surveillance footage showing the looters shouting at each other, on August 15.

They can be seen running across the store and grabbing drinks, cigarettes, lottery tickets, bags of chips and other items.

Approximately 100 young people were involved in the violence.

When I read about this sort of a thing, it makes me very sad.  I have been strongly warning that such unrest would be coming to America, and eventually it will get completely out of control.

As food prices surge to crazy heights, those at the bottom of the economic food chain will not be happy.

The coming food crisis will be a difficult time for our nation, and for the world as a whole.

If you understand what is coming, it gives you an opportunity to get prepared.

Sadly, most of the population doesn’t want to listen to the warnings, and that is extremely unfortunate.

‘Flurry’ of retail bankruptcies coming, former retail CEO warns

Retailers on life support may go the way of the dinosaur in early 2023 should the economic slowdown cause a lackluster holiday shopping season.

“I think we will see a flurry of bankruptcies likely in the first quarter of 2023 if this holiday season is anything less than completely robust,” Mark Cohen, former longtime CEO of Sear Canada and current Columbia University professor of retail studies, warned on Yahoo Finance Live (video above). “I don’t think it will be, by the way.”

Retail bankruptcies — which picked up in droves at the start of the COVID-19 pandemic — have fallen by the wayside as consumers returned to stores to stock up on apparel and other items coming out of the pandemic.

Only three retailers filed for bankruptcy in the second half of 2021 versus 20 in the same period in 2020, according to a report from BDO. There were no new retail bankruptcies filed from mid-September 2021 through mid-February 2022, the report found.

“There is no question as business becomes tougher to manage, weak players fall by the wayside,” Cohen said. “They are particularly vulnerable to inflationary pricing and inflationary costs.”

The bad news in retail continues to mount as the economy slows, calling into question how even the strongest in the sector would navigate a potential recession in 2023.

In early June, Target kicked off concerns about the retail sector’s health with a shocking decision to liquidate massive amounts of slow-moving inventory and take a more cautious view on near-term profits.

Since then, discretionary retailers such as RH, Bed Bath & Beyond, and Kohl’s have issued financial warnings for their second-quarter results.

 

Hal Turner Editorial Opinion

We are at risk of an accelerating hyper-collapse as people massively cut back spending due to things like inflation and fear. Most of our economy is based on optional purchases that can be put off or not made at all. Once people get into survival mode, the accelerating hyper-collapse will kick in, with spending dropping significantly, unemployment skyrocketing and bankruptcies happening on a regular basis.

I saw a forum where people in my NJ home town were talking about rent increases of $200, $300, even $500

Good luck having any money left to spend at retail with rent increases like that.

I mean seriously if your rent went up 300 dollars would you be out shopping, going to shows, eating in restaurants, taking a weekend road trip?

The worst part of all this is that NONE of it had to be.   This is all taking place because of Russia economic sanctions that should not have been imposed if our government would have minded its own business.

US has doomed EU to hunger and cold – Russia

The United States has doomed the EU to hunger, cold and isolation by pressuring the bloc to cut its ties with Moscow, Russian State Duma Speaker Vyacheslav Volodin said on Friday.

He wrote on Telegram that Washington would “stop at nothing to cling to its power over the world as it throws under the bus the citizens’ welfare and the economies of European countries to achieve this end.”

He noted that natural gas in the US costs $333 per 1,000 cubic meters. “At the same time, Washington sells it to Europe for a price which is 7.3 [times] higher, rendering the EU economy uncompetitive,” he wrote, adding that the eurozone’s annual inflation rate had hit a record 8.9%.

Volodin said Europe had been hit by a heatwave that triggered huge problems in the agriculture sector, as well as an energy crisis which had seen prices soar six times in one year.

The EU’s decision to phase out Russian energy supplies and cut economic ties with Moscow “have been made under Washington’s pressure,” the State Duma speaker claimed.

US policies in Europe are enforced by England that has left the EU high and dry, as well as by a number of countries that are sovereign in name only – Lithuania, Latvia, Estonia, Ukraine – with Poland, the Czech Republic and Finland joining this Russophobic coalition in the hopes of getting an American handout,” Volodin wrote.

His comments come as the EU is plagued by an energy crisis due to rising global prices. Earlier this month, the bloc approved a plan that would see its member states reduce gas consumption by 15% in a bid to tackle the crisis.

Another factor that has exacerbated the energy crunch was the EU’s decision to wean itself off natural gas from Russia, as the bloc considers these supplies to be unreliable. However, President Vladimir Putin has rejected accusations that Moscow could cut off gas supplies to the EU, stating that Russian energy giant Gazprom is “ready to pump as much as necessary” but that the bloc has “closed everything themselves.”

U.S. urged to stop attempting to contain China with Taiwan: spokesperson

Source
Xinhuanet
Editor
Li Jiayao
Time
2022-08-11 10:33:51

BEIJING, Aug. 10 (Xinhua) — China will resolutely make a fightback each time the United States makes a serious provocation that encroaches upon China’s sovereignty and interferes in China’s internal affairs, a foreign ministry spokesperson said on Wednesday.

“China will never allow its national sovereignty and territorial integrity to be wantonly trampled upon and undermined,” spokesperson Wang Wenbin said at a regular news briefing, urging the United States to stop its attempt to contain China by using Taiwan.

Wang pointed out Speaker of the U.S. House of Representatives Nancy Pelosi’s visit to China’s Taiwan region is a major political provocation which upgrades exchanges between the United States and Taiwan.

Pelosi’s visit violated relevant commitments by the U.S. side in the China-U.S. Joint Communique on the Establishment of Diplomatic Relations, the one-China principle widely accepted by the international community and confirmed in the UN General Assembly Resolution 2758, and the principle in international law of non-interference in other countries’ internal affairs, which is enshrined in the UN Charter, he said.

Disregarding China’s dissuasion and warnings concerning Pelosi’s visit, the United States has chosen to pursue the wrong course of action, Wang said, noting that it is the United States, not China, who has reneged on commitments.

“It is the United States that infringed upon China’s sovereignty, not China violating the sovereignty of the United States. It is the United States who connived at and supported ‘Taiwan independence’ separatist activities, not China conniving at and supporting separatist activities in the United States,” Wang added.

Wang said the United States is going down the path of obscuring, hollowing out and distorting the one-China principle, while accusing China of changing the status quo.

“The United States carries out over a hundred military drills in China’s adjacent waters every year, but accuses China of overacting,” Wang added, “Neither China nor the international community will accept such a gangster logic.”

If the United States truly hopes to abide by international law and uphold national sovereignty and territorial integrity of all countries as it claims to, it should return to the one-China principle and the three China-U.S. joint communiques, Wang said.

He urged the United States to refrain from reckless moves and stop attempting to use Taiwan to contain China and do right things and take concrete steps to facilitate the sound development of China-U.S. ties and peace and stability across the Taiwan Strait.

Challenging America’s Lords of Illusion with a Million Contrary Rumble Views

In Roger Zelazny’s classic 1967 science fiction novel Lord of Light, humans on a distant planet have employed technological devices to establish themselves as gods of the Hindu pantheon, each having particular aspects and attributes. Mara is the Lord of Illusion, able to reshape the perceived world in the minds of all those around him. Such an ability is powerful but not invincible since the physical reality remains unchanged, and Mara is slain in the very first chapter.

I think that story stands as an effective metaphor for America’s strengths in today’s world. Our country is so utterly dominant in the distribution of information and propaganda, including the electronic and social media, that we can easily persuade most of the world to accept as truth our manufactured illusions. But we cannot alter the underlying reality, perhaps leading to disastrous ultimate consequences.

Russia possesses a nuclear arsenal equal to our own and its revolutionary hypersonic weapons provide it considerable superiority in delivery systems. Lieutenant-General Igor Kirillov serves as the head of Russia’s Radiation, Chemical, and Biological Defense Forces, and a couple of weeks ago he held a public briefing at which he suggested that elements of the American government had probably been responsible for unleashing the global Covid epidemic.

I mentioned his explosive accusations in a column, but otherwise they seem to have been almost entirely ignored in both the American mainstream and even alternative media. Instead, the only significant American response was that Twitter suspended the official account of the Russian Foreign Ministry after it distributed the remarks of that top Russian general.

 

Once again, except for a column of my own, the censorship Twitter had suddenly imposed upon the Russian government for such accusatory statements passed almost entirely unnoticed by American mainstream and alternative media outlets alike.

Major declarations by top Russian military leaders surely receive extensive coverage in Russia’s own domestic media, so I’d assume that a substantial fraction of the Russian population now believes that the Covid virus which has killed more than 15 million people worldwide may have been an American product, engineered and released by our national security apparatus. But a near-total media embargo—extending to alternative outlets—has ensured that such notions remain completely excluded from American minds. Apparently, our editors follow the principle “What we don’t know can’t hurt us.”

Over the last couple of years I have been repeatedly struck by the complete unwillingness of virtually any mainstream or alternative Western journalist to take notice of the very strong evidence of America’s culpability in the Covid epidemic, evidence that I have presented in a long series of articles first beginning in April 2020.

Earlier this month I sent this plaintive note to a member of America’s elite establishment with whom I’ve been friendly for many years:

 …the whole situation just staggers the imagination.

For the same of argument, let’s assume I’m correct and there’s at least a pretty good chance that the blowback from an unauthorized biowarfare attack has now killed a million Americans.

Can you think of anything in the history of the world let alone the history of America that’s comparable to that? As I argued in one of my recent articles, it’s probably 1000x a greater worldwide disaster than Chernobyl.

And the notion that absolutely no one is willing to discuss it is just unbelievable. It’s not like Stalin’s NKVD will ship them off to the Gulag if they say anything. I mean it’s one thing if people are fearful of being shot, but it’s another thing if they’re merely fearful of being criticized on Twitter…

I just can’t understand why absolutely no one is willing to take a public stand on this issue. Once all the facts came out more than a year ago, I assumed the dam would break any week. 

And his reply:

 It is quite amazing. 

From the very beginning of the epidemic, our media and propaganda organs, whether mainstream or alternative, have successfully insulated the American public from the crucial information that might allow them to properly understand what had happened in their lives. As I noted in my original April 2020 article:

 As the coronavirus gradually began to spread beyond China’s own borders, another development occurred that greatly multiplied my suspicions. Most of these early cases had occurred exactly where one might expect, among the East Asian countries bordering China. But by late February Iran had become the second epicenter of the global outbreak. Even more surprisingly, its political elites had been especially hard-hit, with a full 10% of the entire Iranian parliament soon infected and at least a dozen of its officials and politicians dying of the disease, including some who were quite senior. Indeed, Neocon activists on Twitter began gleefully noting that their hated Iranian enemies were now dropping like flies.

Let us consider the implications of these facts. Across the entire world the only political elites that have yet suffered any significant human losses have been those of Iran, and they died at a very early stage, before significant outbreaks had even occurred almost anywhere else in the world outside China. Thus, we have America assassinating Iran’s top military commander on Jan. 2nd and then just a few weeks later large portions of the Iranian ruling elites became infected by a mysterious and deadly new virus, with many of them soon dying as a consequence. Could any rational individual possibly regard this as a mere coincidence? 

In a later article I emphasized that Iran’s top leadership had certainly recognized these obvious facts at the time:

 By early March 2020, the Iranian general overseeing his country’s biowarfare defense had already begun suggesting that Covid was a Western biological attack against his country and China, and a couple of days later the semiofficial Iranian news agency FARS quoted Iran’s top Revolutionary Guards military commander as declaring:
 Today, the country is engaged in a biological battle. We will prevail in the fight against this virus, which might be the product of an American biological [attack], which first spread in China and then to the rest of the world…America should know that if it has done so, it will return to itself. 
Soon afterward, Iran’s Supreme Leader Ali Khamenei  took the same public position, while populist former president Mahmoud Ahmadinejad became especially vocal on Twitter for several months, even directing his formal accusations to UN Secretary-General Antonio Guterres. Just a single one of his numerous Tweets drew many thousands of Retweets and Likes. 

x
x

 Iranian radio and television and its international news service repeatedly carried these stories, backed by supportive interviews with a top political aide to Malaysia’s former prime minister. But America’s overwhelming domination over the English-language global media ensured that this major international controversy never came to my attention at the time it occurred.

The blockade preventing these Iranian charges from reaching the English-speaking world was further facilitated by American control over the basic infrastructure of the Internet. Just one month earlier, Iran’s PressTV channel for Britain had been deleted by YouTube, following the earlier removal of its main global channel. Most recently, the American government took the unprecedented action of seizing PressTV‘s Internet domain, completely eliminating all access to that website. 

x
x

The original Covid outbreak had struck Wuhan at the height of China’s confrontation with the United States. By March 2020 official Chinese media was reporting that the virus might have been brought to that city by American military personnel when they participated in the World Military Games held there, with an official spokesman for China’s Foreign Ministry creating a diplomatic incident when he Tweeted out those accusations.

I’ve been told that such theories of American responsibility have become endemic on Chinese social media, and last year China’s second largest official news agency briefly summarized my own views on its website.

Similarly, Sputnik News, a mainstream Russian media outlet with 20 million visits per month, recently published a short interview with me regarding the likely origins of Covid. Around the same time, a leading Iranian television channel interviewed me for five hours in preparation for a series they plan to broadcast in the near future.

Government officials and the general public of Russia, Iran, and China both seem increasingly aware of these important facts and the controversial scenario they suggest, so I find it difficult to understand how legitimate American national interests are served by keeping that same information away from the American people. Yet this continuing climate of near-absolute censorship has been maintained not only within the mainstream media but also by nearly all alternative journalists and outlets. Even when American figures of the greatest public stature and credibility have broken their silence, their statements have been ignored across almost the entire alternative media landscape.

Prof. Jeffrey Sachs of Columbia University is the very high-ranking American academic who had served as the chairman of the Covid Commission established by the Lancet, a leading medical journal. In May he co-authored an important article in the prestigious PNAS journal arguing that the virus had probably been produced in a lab and calling for an independent inquiry into its true origins.

This bombshell declaration, which should have reached the front pages of the New York Times, was instead ignored by virtually every mainstream and alternative media outlet.

The following month, he reiterated his views while speaking at a small think-tank gathering in Spain, and a short clip of his remarks went super-viral, being retweeted out more than 11,000 times and attracting over a million views.

 

With the exception of an article in the London Daily Mail, this further bombshell was again entirely ignored by all outlets in both the mainstream and alternative press.

Finally, earlier this month he gave a lengthy and remarkably candid interview to Current Affairs, a small alternative media webzine, in which he focused on the strong evidence he had encountered of an apparent cover-up of Covid’s possible origins by individuals associated with the American government:

Once again, virtually no alternative journalist reported those astonishing allegations by the academic figure who had been best placed to make them.

When I brought his recent interview to the attention of several prominent mainstream individuals whom I personally know, they found it absolutely stunning. But apparently nearly every journalist in America thought otherwise, so its impact on the public debate has been almost nil.

Last week I published an account of the shocking McCain/POW scandal uncovered by the late Sydney Schanberg. Despite his stellar journalistic reputation and the mountain of evidence he had accumulated, his findings were totally ignored by the entire media, including by the Times, where he himself had previously served as one of the top editors. This notion of a story being too big or too dangerous for the media to cover certainly applies to the origins of the Covid epidemic.

Furthermore, the strategies used to suppress challenges to establishmentarian dogma may have grown much more sophisticated and effective. A couple of weeks ago I discussed this possibility in the aftermath of the Alex Jones trial, suggesting that techniques of “cognitive infiltration” may have been deployed against alternative organizations and activists, diverting them into blind alleys that dissipate their energies and severely damage their public credibility:

I speculated that the huge, sudden rise of a massive anti-vaxxing movement in America might be an example of this. A couple of years ago, vaccine issues were almost invisible, but soon after questions arose regarding the true origins of the Covid virus, the vaccination controversy moved to the absolute center stage of American public life, completely dominating the thoughts of most of those willing to challenge official orthodoxy on any other matter.

As a result, I suspect that a thousand times as much time and effort has recently been devoted to debating the safety and efficacy of Covid vaccines than to investigating the true origins of the disease that made them necessary. And individuals or organizations who proclaim their fear that Bill Gates is the architect of a diabolical plot to exterminate most of the human race are hardly likely to be taken seriously by credible journalists or academics on any other matters.

The difficult year or two of lockdown conditions under which so many Americans had suffered fostered the social isolation that naturally allowed even the most fantastical ideas to take root among the fearful. Such an environment would have been ideal for the successful promotion across the Internet of debilitating nonsense promoted by organized propaganda-operatives.

Thus, since early 2020, the likely reality of an event of monumental historical importance—the unauthorized release of a military bioweapon that has killed so many millions worldwide—has been successfully suppressed within America and the rest of the West. In the past, other dramatic events such as the JFK assassination and the 9/11 attacks quickly sparked large-scale movements of citizen-activism challenging the questionable official narrative, but there currently exists no similar “Covid Truth Movement.”

Despite this unfortunate situation, there are some signs of hope, indications of a few embers that might eventually burst into flame.

First, growing coverage in the Russian, Iranian, and Chinese media may help pierce the wall of silence maintained by Western outlets, especially because the latter have become so severely discredited by their extremely skewed coverage of the conflict in Ukraine and the confrontation over Taiwan. At the very least, alternative journalists may finally gain the necessary courage to begin seriously exploring the origins of Covid.

In addition, Jeffrey Sachs, an extremely senior figure in the mainstream Covid firmament, has seemingly become willing to break the conspiracy of silence and raise issues that have been suppressed for more than two years. Although media outlets have scrupulously avoided publishing his statements, his public stature raises the possibility of successfully circumventing such gatekeepers.

Meanwhile, the facts are still out there. I recently reread my original April 2020 article that first raised these issues, and although more than two years have passed I found little in the text that I would wish to change.

Just days after that piece ran, our entire webzine was banned by Facebook and all our pages were deranked by Google. But although those harsh actions successfully suppressed what had been the viral spread of that article, they also underscored the potential importance of the arguments being made.

Over the next two years, I greatly expanded that first work into a lengthy series of articles, comprehensively covering the topic. Taken together, those pieces have now been viewed more than a half-million times, and the entire collection is now available both as a freely downloadable eBook and also as an Amazon paperback.

Even more heartening has been the growing viewership of my video presentations. Back in February, just before the outbreak of the Ukraine war diverted all attention in a different direction, I was interviewed several times by small podcasters, and these shows have attracted considerable audiences. Totaling around four hours of discussion, they have now accumulated over a million views on Rumble, with more than half of these coming during the last few weeks. Circumventing media gatekeepers is a crucial step in piercing the veil of ignorance maintained by the West’s reigning Lords of Illusion, and recognizing the reality of our global disaster.

Kevin Barrett, FFWN • February 16, 2022 • 15m

Video Link

Geopolitics & Empire • February 1, 2022 • 75m

Video Link

Red Ice TV • February 3, 2022 • 130m

Video Link

Related Reading:

The “experts” nail it again!

Guest Post by Simon Black

In March of 421 BC, after years of escalating conflict, Athens and Sparta finally decided to bury the hatchet and coexist peacefully together in the Mediterranean.

The two powers had been at odds for decades. Athens had ballooned into a regional empire, and Sparta itself was a rising power.

The two sides came to blows on multiple occasions. And even when they agreed to keep the peace in 421 BC, tensions were still high. All it took was one idiot to screw it up.

His name was Alcibiades, a Greek politician of noble birth. Alcibiades was pretty infamous in Athens; he was known for being corrupt, deceitful, disloyal, arrogant, and short-tempered.

I’m serious. There are numerous accounts from ancient historians who wrote in excruciating detail about what a terrible person Alcibiades was. Plutarch tells us, for example, about a time that Alcibiades cheated in a wrestling match by biting his opponent (to no one’s surprise) and how he mutilated his own dog.

Even poor Socrates tried, and failed, to teach Alcibiades about ethics and morality.

But despite his horrendous reputation, Alcibiades still managed to catapult himself into positions of high power… and to remain there… primarily due to his political cunning.

In fact Alcibiades was essentially the Speaker of the Athenian Assembly, with the power to dictate the day’s agenda and influence the outcome of votes.

Alcibiades was so powerful that, during the summer of 418 BC, he decided (without any approval from the government) to pay a visit to the Peloponnese in southern Greece– territory that was claimed by Sparta.

There was absolutely zero upside in Alcibiades doing this. It was just a big circus act for him to show off his power and prestige. He didn’t care if Sparta would be outraged, or if his actions had consequences for Athens. All that mattered to Alcibiades was that people were talking about him.

Naturally his actions did have consequences.

Smaller city-states in the Peloponnese were emboldened by Alcibiades’ trip to the region, so they forged a fledgling alliance and attempted to seize a strategic settlement located at Sparta’s southern border.

With their border security threatened, Sparta sent an army to push away the invaders; the resulting battle was a massive victory for Sparta and a huge embarrassment for Athens. But Alcibiades blamed one of his political opponents for the defeat, so he never took the fall for his own mistake.

The conflict quickly escalated further, and soon Athens and Sparta were once again in a full-blown war with each other– one that Athens would ultimately lose to its rival.

I’m writing this, of course, at a time when US Speaker Nancy Pelosi has just touched down in Taiwan.

But she’s not stupid. She knows there are consequences. The Chinese have made it very clear that they do not want Pelosi going to Taiwan. Tensions are already high between the US and China, and this trip certainly won’t help.

Now, obviously it’s not up to China to dictate US policy or actions. But like Alcibiades’ trip to the Peloponnese in 418 BC, there is absolutely zero benefit in Pelosi going to Taiwan.

The US economy is in a tailspin. Parents can’t find baby formula for their infants. Inflation is raging. People are suffering.

What exactly does this woman hope to achieve? Will her visit to Taiwan somehow make inflation miraculously retreat? Will baby formula suddenly appear on the shelves?

Of course not. So if there’s no benefit for Americans, then why go at all?

On the flip side, the trip does present a number of risks. China doesn’t want to look weak, and whatever retaliatory action they take probably won’t be positive for the US.

China’s initial response has been predictably swift. They’ve already kicked off live-fire military exercises, i.e. real weapons and munitions, and have essentially encircled Taiwan by sea. Apparently these military exercises will include missile tests off Taiwan’s east coast.

The US, meanwhile, has positioned at least two naval vessels and several fighter jets close to Taiwan’s east coast, increasing the potential for conflict, or even just an accident.

Hopefully nothing catastrophic happens. But, again, what exactly is the point of this trip? It’s all risk and no reward… just so that Pelosi can showboat in front of the cameras before her retirement next year.

You’d think that someone with decades of political experience– an ‘expert’ in international diplomacy– would understand such a simple reality, and then rationally choose the course of action which will benefit her country the most. But that’s a laughable proposition.

Pelosi has a multi-decade track record of deceit, disloyalty, cowardice, and arrogance. She’s even despised by prominent members of her own party.

Ironically, the only reason Pelosi even has a job is because a mere 73,815 voters in the San Francsico Bay area chose to send her to Congress. That’s a tiny fraction of the US population in a tiny corner of the country.

Seriously, more people voted to elect the mayor of Denver, Colorado than voted for Nancy Pelosi. Yet somehow Pelosi has enormous power and influence in global politics.

Something is clearly wrong with this system that produces such bizarre, lopsided outcomes from serially corrupt and incompetent candidates.

Pelosi is just one of countless examples– a #mefirst, self-centered hypocrite who has become the modern day Alcibiades.

And she’ll most likely go down in history with a similar reputation as he did.

Kindness

“I just went to buy a Samsung washer and dryer from a guy that was asking $500. I told him that I just had a baby and asked if he could take $400, that I would be really grateful. When I got home and hooked everything up, they both worked great. I opened up the dryer to check the lint filter and was shocked to find my $400 in there. I got a message from him saying ‘check the dryer, a gift for the new baby’. Man words can not describe how grateful I am right now.

I feel so blessed right now I felt I had to share, big shout out to the man David! Thank you so much!” – Chris Blaze

Kindness makes the world a kinder place.

x
x

Things are starting to line-up on the Geo-political front

After running circles for two weeks North of Taiwan And staying way outside of China's missile range, it seems that the (American aircraft carrier) Reagan has tucked its tail and gone back to Japan,where the sailors are free to run over some random Japanese with a simple slap on the wrist.

-cindy6

I am crazy busy. Please enjoy this latest article for today.

What China’s Taiwan white paper is saying

This important document is intended to remind the West that China will not budge on its position on Taiwan
.
.
This excellent piece by a true China expert, George Koo, is all you need if you don’t want to read the White Paper on Taiwan produced by the People’s Republic of China government.
Four essential realities from Koo's article :

1- Taiwan will be reunited with the Mainland.

2- The Taiwanese are waking up to the reality (obvious for any non brainwashed outsider with a tad of common sense) that the US government is not their friend and Americans will not die for Taiwan. The Taiwanese don't seem to be strongly attracted to the role of cannon fodder. What's happening now in Ukraine is certainly a cautionary tale for them.

Moreover, the aircraft carrier USS Ronald Reagan backed off and sailed away when the People's Liberation Army Navy started at the beginning of August 2022 the naval exercises around the island of Taiwan. That event was definitely an eye-opener for all the Taiwanese, even the most obtuse ones.

3- The more the Taiwanese learn about Mainland China's pĺace in the world, the more they will want to be part of it.

4- The Taiwanese enjoy immense benefits by their close economical association with Mainland China. And more and more Taiwanese realize it's truly valuable.

By flying to Asia and landing in Taipei, the Speaker of the US House of Representatives, Nancy Pelosi, disregarded the “one China” principle and the fact that Taiwan is a province of China.

Pelosi stepped over China’s red line.

And, as promised, China responded by holding live-fire drills all around the island for the first time in the history of cross-Strait relations.

The military exercises by the People’s Liberation Army prompted the aircraft carrier USS Ronald Reagan to sail away from the waters of Taiwan.

This made it abundantly clear to the people in Taiwan that while the United States wants to encourage Taipei to start a war with the mainland, Taiwan would have to fight the PLA by itself.

Seeing these developments, the collective wisdom of the people in Taiwan as reflected by the media is to conclude that to declare independence and break away from China would be suicidal.

The US Congress and President Joe Biden’s administration, however, have continued to test China’s resolve and attempt to push the red line.

Since the US and the People’s Republic of China (PRC) normalized relations in 1979, Congress has enacted a series of legislation to weaken the bilateral agreement progressively as expressed by three communiqués.

The first communiqué was agreed in 1972 when then-US president Richard Nixon went to China. Each communiqué stating that Taiwan is a part of China was signed by both Washington and Beijing and is binding on both parties.

Unlike these joint agreements, the US government arrogantly presumes that any law enacted by its Congress is unilaterally binding on China as well.

In response to this American arrogance, the State Council Information Office in Beijing has issued a white paper on the “Taiwan Question and the Cause of China’s Reunification in the New Era.”

This important document is obviously intended to remind the West that China will not budge on its position on Taiwan.

First of all, the paper reiterates that Taiwan is part of China, that reunification is inevitable, that the way reunification will take place is a matter between Taiwan and the mainland, and that Beijing will brook no outside interference.

This is a re-statement of the red line about Taiwan that has never changed but is now stated in no uncertain terms.

Second, the white paper reviewed Taiwan’s place throughout the history of China. The terms of Japan’s unconditional surrender at the end of World War II mandated the return of Taiwan to China after 50 years of Japanese occupation.

At present, 181 countries including the US recognize the PRC as the legal government of China and that Taiwan is part of one China.

Advantages of being part of China

Some people in Taiwan may not fully appreciate the intertwined cross-Strait economic relationship. If so, they should read the white paper and understand the advantages of Taiwan being a part of the national economy.

As just one of the indicators, Taiwanese businesses have over the years invested more than US$71 billion in more than 1.2 million projects on the mainland – not to mention an annual trade surplus of $170 billion that Taiwan enjoys with the mainland.

From 1980 to 2021, the mainland’s economy grew three times as fast as Taiwan’s and has become the second-largest in the world, and is soon to overtake the US to become No 1. China has become a major power not only economically but in science and technology and in military prowess.

As more people in Taiwan come to understand China’s place in the world, they will appreciate being a part of China.

Winding through Congress is the Taiwan Policy Act of 2022, which according to its sponsors will promote the security of Taiwan, ensures regional stability and threatens China with broad economic sanctions.

But the consequences of Pelosi’s visit to Taiwan showed that such an act will do just the opposite: The island will become less secure and the region less stable.

As we have also seen from the Ukraine war, the US sanctions imposed on Russia backfired badly, causing worldwide food shortages, rising energy prices and overall inflation, and solidified the ruble’s place among the world’s major currencies.

Any attempted sanctions on China would inflict blows to the US economy many times more serious than the sanctions on Russia.

One only need look at the foolhardy tariff war waged by former US president Donald Trump and continued by Biden. The American consumer had to pay a higher price for goods made in China because of the tariffs, and the trade surplus by China only increased rather than reduced. For Washington to threaten China with sanctions is meaningless if not just stupid.

Moreover, the white paper has reasserted China’s red line on Taiwan, leaving no room for ambiguity or equivocation.

This is a matter of sovereignty for China.

The Chinese do not make empty threats.

They will view stepping over the line as an act of war.

No independence without US support

Taiwan’s ruling pro-independence (taidu) faction would not be so foolish as to declare independence without US support.

If the US does show support, then China will most likely strike at the US naval ships first and take them out of action.

Without American military presence, the taidu faction will become irrelevant and negotiations between Taiwan and the mainland for a peaceful reunification can begin.

Article HERE

Creamy Dijon-Bacon Pasta Salad

If you’re a potato salad fan but want a new twist on the dish, try this Creamy Dijon-Bacon Pasta Salad. Loaded with bacon, cheese, chopped eggs, green onions and crunchy celery, it’s sure to be a hit at your next barbecue, picnic or potluck. Short on time? Just opt for a few premade ingredients to make this easy recipe go even quicker!

x
Creamy Dijon-Bacon Pasta Salad

Ingredients

x
x

x
x

x
x

A Rescue

This is a starving kitten who was abandoned, actually physically

x
x

tossed out of an apartment, by a couple of persons who were rapidly discovering the joys of meth addiction.

I took her in three years ago, and she has had a forever home since.

Here she is at around six months. She was a little hellion, and still is.

x
x

Williams Moldt

It was the year 1997, a middle-aged man called his girlfriend, to let her know that he had left a party and was already on his way home, the thing is, he never came.

The man left the club at approximately 11 at night, and as I told you he called his girlfriend, told her that he was already getting into the car to go home, he was not drunk and the few witnesses who saw him stated that the man had only had one or two beers at most.

And that is the last that is known of Williams Moldt.

x
x

The case was closed and for many of his friends and family the case had become an unsolved mystery.

Twenty-two years have passed since the disappearance of Williams, a resident of the Grand Island community in Wellington (USA) was looking at the neighborhood on Google Earth, when suddenly he saw something that caught his attention:

x
x

Although it blended into the background, a car could apparently be seen at the bottom of the pond.

x
x

He notified the authorities that with the help of a crane they removed the car, the car of Williams Moldt who had been missing for more than two decades, with Williams still inside.

The exact reason for how he ended up there is not known, it could have been that he lost concentration or that he was actually more drunk than the witnesses had stated and that is why he lost control of the vehicle.

What is certain is that thanks to the internet and Google Earth, an apparent mystery that had been unsolved for 22 years could be solved.

Dudley

x
A best cat.

Dudley, the best cat I ever had, came to me off death row at the city animal control kennel. He was a notorious disciplinary problem, and honestly, the first six months of living with him were pretty hellish. I especially could have skipped some of his more territorial behaviors. Fortunately, he never sprayed in the house. But he did go through the cat door to the garage and shower the inside of the garage door. The first few times I stood under the door as I opened it and got covered by cat piss, I was less than amused. Generally he wasn’t cuddly, and he tended to bite and scratch. He’d been adopted out more than once and brought back before I pulled him back from euthanasia with only a few hours to go.

Eventually, he settled down — at least with me. And it got to the point where he actually did cuddle. I’d get in bed, and he’d tuck himself up into my left armpit, push his nose into my left ear, and begin snoring loudly.

Still, he insisted on going out and tearing up the neighborhood. Thankfullly, he understood that after the sun went down I wanted him home, and he didn’t abuse the priviledges. The neighbors dogs were all exceedingly frightened of him, as were the trash collectors, since he liked to chase their truck and attack them. When the city got trucks with claws to pick up the cans so nobody had to get out anymore, it was a sad day in Dudley’s life.

We had many happy years together, and to this day, he’s my gold standard of cat. The “Beware of Cat” sign still hangs in front of my house. And people who’ve lived in the neighborhood know that it wasn’t put there as a joke.

UAE

I have many Chinese friends. I’ve studied Mandarin for a year and even learnt a bit of Kung fu!

Here is an old photo of me at my friend’s birthday party:

x
x

I bet you noticed. I was the only non-Chinese invited.

And here is another photo with my friend Li at our bachelor degree graduation (bad timing though):

x
x

I mostly interacted with the Chinese abroad through studies or work. In the Emirates, I rarely interact with Chinese people. So I’m guessing that Emirati-Chinese interactions within the UAE are mostly at the workplace.

My view (as an Emarati and from my minimal experience) is that the Chinese have a very rich history and culture and that they are polite people.

I like the outspoken individuals who share their views and opinions. I’ve learned a lot from such Chinese people.

I also noticed that some form clusters or groups abroad and keep to themselves and hardly interact with other non-Chinese.

On a different note, I once went to buy some goods from a shop owned by Chinese in Abu Dhabi. Went in and started talking basic Mandarin. The shocked looked on their faces was priceless. Their faces turned red hahaha. This Arab wearing a white dress and a red head turban comes in and starts speaking Chinese. We kept laughing later on.

Naoto Matsumura

Naoto Matsumura, who was one of the people involved in the Fukushima disaster, has stayed behind. He was feeding stray animals:

x
Naoto Matsumura

And helping to take care of the environment:

x
x

He continues to put himself at risk and give back, tending to wildlife. Thousands of animals had already died while locked in cages, and he rushed to save as many as he could:

x
x

He is the sole inhabitant in that region. They told him he’d get sick within 20–30 years if he stayed. He figured he’d be dead by then so why not stay and help the animals out:

x
x

Why China will become more prosperous after this…

In reference to a series of Western Media article painting and predicting the ultimate collapse of China, and the lynching of President Xi Peng…

To Quote:

Their boycott represents one of the most widespread acts of public defiance in China. Despite efforts from internet censors to quash the news, collectives of homeowners have started or threatened to boycott in 326 properties, according to a crowdsourced list. By some estimates, they could affect about $222 billion of home loans, or roughly 4 percent of outstanding mortgages.
The boycotts are also a sign of a growing economic fallout as China reckons with the impacts of its Covid restrictions. The country’s economy is on track for its slowest growth in decades. The real estate market, which drives about one-third of China’s economic activity, has proved particularly vulnerable.

And a response from a long-time Chinese, China “Watcher”…

China is the only country dare to address such real estate over values problem due to speculative profit manipulation by the 0.1%. The outcome if such problems is not address:

1) unaffordable housing, low home ownership, rising number of working poor and homeless population

2) when households spend so much on mortgages repayment or rental, the average people will have less money for food, health care, children education, and others. And thus a lost in consumption power = sluggish economy and income inequality = lost of financial ability to get marriage and have children = aging population

3) high rental and high housing cost also generate high cost of doing business and cost of living = inflation = DEMAND for wage rise = further rising cost for everything = lower PPP = unattractive investment environments for manufacturing = unsustainable economy

4) In the West, politicians dare not face the consequences of expected real estate bubbles burst, so they deliberately keep to bubble expanding by encouraging more and more overseas migrants to support the consumption economy and property market, and not releasing the equal amount of new land for housing to support the increased population, and thus keep the real estate market pricing continues to expand. As a result, a declining middle class and expanding population living in poverty.

5) In the crusader west, if the people default on mortgage repayment, they will soon lost their home and become homeless. Such as the massive foreclosure across the US during the 2008 GFC and the cash rich billionaires will took the opportunity to take control of more real estate at bargain price. And push up the market price against for the cash rich new migrants =, more local population poverty and homelessness.

However, the CCP tackle the rising housing market head on. The current drastic drop in housing price across China is an deliberate policy induce market adjustment.

As a result, some Chinese people refused to live on a negative wealth property , and refused to pay their mortgage.

The people oriented CCP understand their pain and is brainstorming to sort out the issues.

Once the issues resolved, the policy objectives of housing affordable will be achieved, inequality reduced, people happiness and satisfaction with government and society will enhance.

With real estate price down, the cost of running a business in China will further reduce. The condition for attracting more FDI investment will be strengthen, social stability will be even more solid, people with less financial stress will be more willing to spend on other things, more babies will be affordable. the country will become the magnet to the rest of the world.

Chinese people will love their country and their government more.

Cheers
Chua

Wealth

I’m not going to post an actual picture but one of my neighbors dresses and looks almost identical to Richard from Silicon Valley:

x
x

And his house looks like:

x
x

The starting price for a home in this neighborhood is around 2.5M and his home is probably worth closer to 4M.

And he dresses this way every single day…

And he is not alone…

Almost every other person in my neighborhood dresses in the same casual attire void of any branding and logos. Do they look like “bums”? No, but they definitely don’t dress in a manner that says, “hey, look at me”.

If you ran into my neighbor on the street, you would probably not give him a second look and if you did, you probably would assume he is going to have trouble making this month’s rent lol…

What is funny is that less than a mile away there are a lot of small condos and you constantly see the women living there carrying LV bags and guys sporting all sort of clothing with high-end branding, while most of them are living paycheck-to-paycheck.

I have noticed that the wealthy don’t seem to feel that it’s necessary to bring attention to the fact that they are wealthy and those that wish they were wealthy, want to appear in a manner that makes them look wealthy. It’s quite amusing.

Then there is the super wealthy and most of them will only wear branded clothing that they have a vested interest in. In other words, unless they own the company, or at least a part of it, they aren’t going to walk around advertising their competitors. But that’s on an entirely other level 🙂

Here is the way I see it…

If we are truly honest with ourselves, there are probably only 2 or 3 things that you are REALLY into and you should feel free to spend your hard earned money on those things.

So if you are into fashion, by all means… buy and wear the clothing that you like.

Where the issues start is when you begin spending money on things that you don’t really care about because you want to impress other people.

That’s something most wealthy people simply don’t do. If they aren’t into shoes, they aren’t going to spend 1k on a pair of Gucci loafers. Regardless if they can easily afford it or not. While someone else will max out their credit card so they can try and impress someone that they don’t even like…

So whether it’s clothes, watches, cars or whatever… if you are truly into it and if you have the means to enjoy those luxuries, go for it. Just forget about the rest of the stuff you don’t really care about. At the end of the day, the only person you should be worried about impressing is yourself.

Tent Cities Are Taking Over Vast Stretches Of Our Major Cities (And It Is Only Going To Get Worse)

.

If brighter days are ahead for the U.S. economy, why are so many tent cities popping up all over the nation?  At this point things are so bad that even the New York Times is admitting that “America’s homelessness problem has the makings of an acute crisis”.  That article goes on to explain that our homeless population is steadily rising.  Tonight, hundreds of thousands of our fellow Americans will be sleeping in tents, under bridges, in overcrowded shelters or in their vehicles.  Of course there are many that are so addicted to drugs or alcohol that they just sleep wherever they end up passing out.  This is a tragedy that is growing with each passing day, and it is only going to get worse in the months ahead as the U.S. economy slows down even more.

Earlier today, I was truly stunned by a Fox News article about what is going on in Portland right now.  Tent cities are literally taking over entire neighborhoods, and many residents are “resorting to selling their homes” as a result…

Residents in a Portland, Oregon, neighborhood are resorting to selling their homes and moving due to homeless encampments right outside their front doors.

“It’s a little scary because I know there is mental illness and that concerns me,” North Portland resident Maria Inocencio told KGW8.

Residents of North Portland said at least three families on one street have left in recent days due to the homeless camps, and KGW8 reported seeing for-sale signs up and down streets.

Portland was once such a beautiful place, but now it has literally been transformed into a hellhole.

Needless to say, Portland is far from alone.  From Seattle all the way down to San Diego, communities all along the west coast are being plagued by relentlessly growing encampments.  In many cases, such encampments are magnets for drug addicts and other societal outcasts.

But this is not just a west coast problem.

Let me give you are couple of examples.  In recent weeks, tent cities have been popping up all over Pittsburgh

“We want immediate action. We want to see people in homes. There’s a humane way to deal with homelessness,” said Pittsburgh City Council president Theresa Kail-Smith.

Homeless camps are popping up all over the Northside.

You’ll see them on the Riverfront Trail to Millvale.

Another makeshift tent city popped up underneath the Andy Warhol Bridge.

And in Fayetteville, North Carolina one burgeoning homeless camp recently made news because it features quite a few registered sex offenders…

There are 843 registered sex offenders living in Cumberland County. For dozens in Fayetteville, their home is a tent alongside the road.

Deputies in the Sheriff’s Office Sex Offender Registration Enforcement Unit (SOREU) learned the group of offenders are homeless and stay in a tent community along where the busy Martin Luther King Jr. Freeway (Highway 87) goes over Gillespie Street. Some live under the overpass while others live in a nearby field beside Gillespie Street.

From coast to coast, this is becoming an enormous issue.

And the truth is that it is only going to intensify as the months roll along.

In 2008 and 2009, millions of Americans lost their jobs as the economy plunged into a major downturn.

Once those people lost their jobs, many of them could no longer afford their homes and soon found themselves on the streets.

I wish that we would never have to see anything like that again.  It was truly a very dark chapter in our history, and countless people had their lives turned completely upside down.

Unfortunately, it is starting to happen again.

As I detailed earlier this month, large companies are starting to lay off workers in substantial numbers.

This even includes Facebook.  This week, we learned that Facebook recently used a very unique method to lay off one group of workers…

A group of about 60 contractors who work with Facebook learned they were laid off this week after they were chosen ‘at random’ by an algorithm.

The layoffs are the latest example of Big Tech reining in spending and hiring, as just days ago Apple let go of about 100 recruiters.

Meta CEO Mark Zuckerberg has also recently said he will weed out underperforming employees with ‘aggressive performance reviews’ as the company braces for a deep economic turndown.

I suppose that is one way to avoid personal responsibility for firing someone.

“Don’t blame me – it was the algorithm”.

If a big corporation that is swimming in cash like Facebook already feels forced to “thin the herd”, I think that is a very bad sign for the employment market as a whole.

In the months ahead, I think that there will be a lot more layoffs all over the country.

And this comes at a time when the housing market is starting to collapse.

Existing home sales in the United States have now fallen for six months in a row, and the numbers for the month of July were downright depressing

Sales of previously owned homes fell nearly 6% in July compared with June, according to a monthly report from the National Association of Realtors.

The sales count declined to a seasonally adjusted annualized rate of 4.81 million units, the group added. It is the slowest sales pace since November 2015, with the exception of a brief plunge at the beginning of the Covid pandemic.

Sales dropped about 20% from the same month a year ago.

I anticipated that home sales would be lower than last July, but a 20 percent drop is pretty catastrophic.

And as the Federal Reserve continues to raise interest rates, it is probably inevitable that the numbers will get even worse.

The stage is being set for a historic economic meltdown, and I would encourage you to do what you can to get prepared for it.

2008 and 2009 were extremely bitter.

What is coming will likely be even worse.

And as the economy deteriorates, tent cities will continue to take over more neighborhoods all over America.

But don’t look down on those that are living in tents.

With a run of bad luck, you could be one of them too.

Apple and Foxconn will be forced to rely ONLY on Taiwan supplies of IC’s

Taiwanese electronics manufacturer and Apple supplier Foxconn will likely be forced to back out of a $800 million investment in Chinese chipmaker and foundry operator Tsinghua Unigroup, thanks to rapidly deteriorating international relations.
The government in Taiwan was already considering fining Foxconn around $835,000 for failing to secure regulatory approval on the investment. But now, reports have emerged that Taiwanese national security officials want the whole thing called off altogether.

From HERE

China Warns U.S. Over Sailing Warships Through Taiwan Strait

China’s ambassador to Washington, Qin Gang, said Tuesday that China viewed such Taiwan transits as an escalation by the U.S. and an effort to support the “separatist” government in Taipei. He delivered the warning after Senator Edward Markey, a Massachusetts Democrat, wrapped up the second trip to the island in less than two weeks by a U.S. congressional delegation.

“The US side has done too much and going too far in this region,” Qin said in response to a question about potential naval patrols. “I do call on our American colleagues to refrain, to exercise restraint, not to do anything to escalate the tension. So if there’s any moves damaging China’s territorial integrity and sovereignty, so China will respond. China will respond.”

The Biden administration has said it WOULD conduct air and maritime transits through the Taiwan Strait after China responded to Pelosi’s trip with a series of military drills around the island, including likely firing ballistic missiles over Taipei. The U.S. has long held that such transits, like congressional visits, are consistent with its “one China” policy not to formally recognize the democratically elected government in Taipei.

Article HERE

Older folk

As I am 73, I am going to share my experience.

Three 1/2 years ago my dog, Homie, crossed over the 🌈 Bridge. I missed him terribly, but decided not to get another dog as I have ambulatory issues and had fallen several times while walking him.

I have always had dogs and cats and love them equally, so I went to the local Animal Shelter and told the receptionist I wanted to meet the oldest cat they had, or the one hardest to adopt out.. They took me to meet a slender long-legged tuxedo cat who had been surrendered several months before.. her card said she was 15, declawed, spayed, her name was Spooky, and they didn’t want her anymore. I changed her name to Schatzi (German for Treasure or Darling) because she is a Diva!

About 3 weeks later, I was on the porch talking to my son when this little tabby tom cat climbed up in my lap and I heard, plain as day, I been ‘bandoned’ will you be my Mommy and I’m Hungry.. I found out later that this little skinny guy, covered in fleas, was about 3–4 months old and had been left in our little trailer park when the woman who owned him moved. I named him Mickey.

He is almost 4 and she is close to 19. I love them both very much and they sleep with me.. They give me a reason to get up every day and enrich this old lady’s life!

x
x

German air force sending warplanes to fly around Taiwan and intimidate China

Amid the tense situation in the Taiwan Strait, the German air force sent fighter jets to Asia for the first time on Tuesday (Aug. 16) to take part in exercises in Australia and will also fly near Taiwan, marking the largest overseas deployment of German military aircraft since WW II.

Six German Eurofighter Typhoons took off from an airbase in the southeastern German state of Bavaria on Monday evening (Aug. 15). Their first stop was Singapore, covering a distance of 12,800 kilometers within 24 hours with the help of three A330 aerial tankers. The squadron is scheduled to fly to Australia to take part in a 17-nation joint military exercise from late August to September dubbed “Pitch Black.”

At the end of September, the squadron will head north from Singapore. After visiting Japan and South Korea, the fighter jets will begin the long journey back to Germany and will fly in “airspace adjacent” to Taiwan along the way, reported CNA.

In order to carry out the mission, which is code-named “Rapid Pacific 2022,” the German air force will also dispatch four A400M transport aircraft, bringing the total number of aircraft to 13 with 250 officers and enlisted men participating. This is the first time the German air force has flown aircraft to the Indo-Pacific since its founding in 1956.

German fighters will fly through the airspace near Taiwan at a sensitive time when the situation in the Taiwan Strait is tense with daily People’s Liberation Army (PLA) intrusions across the median line following a visit by U.S. House Speaker Nancy Pelosi on Aug. 2-3. German air force chief, Ingo Gerhartz, was cited by DW as saying that the fighters will “barely touch” the South China Sea, and will not pass through the Taiwan Strait as they stick to international routes.

Regarding the flight path of the jets near Taiwan, “The South China Sea, Taiwan — these are obviously the sticking points in the region,” said Gerhartz. He then denied “sending any threatening message towards China” by participating in the war games in Australia.

From HERE

Having lived in China for about 4 years now and in the USA for over 14 years I think I’m well qualified to shed some light on this matter.

And since I’m neither Chinese nor a Westerner, you can be assured I won’t be sugar-coating anything or favor one over the other. These are simply my observations.

The biggest difference I discovered between the two, at a fundamental level is their priorities. Chinese culture tends to prioritize the result or the end whereas Western society prioritizes the process or the means.

China is Outcome-Driven

To put it very bluntly, China cares more about money than the west. The ideal outcome that every Chinese person strives for is to have more money. Yes, money is EXTREMELY important in Chinese culture because it guarantees security for the family. They have no social safety net to fall back on. And the memories of the difficult times is still fresh in the minds of the older generations.

This is why it is extremely important in Chinese culture to have your own house and car to show people that you have wealth. You’ve made it. How you attain that wealth is not really important or up for discussion, as long as you reach that status or checkmark. Life is brutal and unforgiving. It is a culture where they respect power and money more than anything else.

Chinese are also more productive and industrious at the business level. There is less red tape or regulations to deal with so they get $hit done. Competition is fierce because everyone is hustling to outgrow and out-compete and out-produce you. The Chinese are remarkably pragmatic and solution-oriented people.

Since Chinese culture focuses on results, people tend to be more successful in life. They are good at “obtaining” things like permits, quotas, meeting deadlines or requirements, etc.

The West is System-Driven

While China is an outcome-driven culture, the west is a system-driven one. The outcome is important, but what’s more important in western culture is the processes and the art that you use to reach that outcome.

That is why in the west it’s taboo to ask someone how much he/she makes. What’s more important is what the person does for a living.

In business, the Chinese will focus on reducing costs and increasing efficiency while Westerners, especially Europeans, will care more about how that product is made and provide valuable experiences with that product.

To illustrate this let’s look at watches. The Quartz watch was perfected by the Japanese, an eastern culture similar to the Chinese. It was revolutionary because it was more precise than mechanical watches. A perfect example of focusing on the outcome. The desired outcome of the watch is to tell the time as accurately as possible at the lowest cost. Japan delivers. However, can a Casio G-Shock watch evoke the same kind of experience and emotion as a Rolex or a Vacheron Constantin can? Probably not. Even though they are less accurate, there’s something special about these mechanical watches in how they are made and the history that a Casio can never compete with.

In western culture feelings or experiences are important while they are suppressed in eastern cultures. Westerners will say things like “how are you feeling today?” “how do you feel about bla bla bla?” etc. This is rare in China. The west has a more literary and artistic output from the west.

Living in Asia I noticed how westerners are just wired differently from East Asians. They will have tons of small talk even at work, talking about the news or some difficulties they encountered on their way to work that day, trivial affairs that are never really the topic of conversation among the Chinese. For the Chinese, they made it to work on time, there’s nothing more to say on the matter.

Since western culture focuses on the how, it is an extremely legalistic or litigious society. In the west, a company must abide by certain regulations or codes. For example, they have to follow specific animal protection laws when making leather products. So generally it’s more costly to get things done in the west. That’s why so many businesses have moved their productions to China where the regulations are lax.

Westerners also tend to be more inquisitive. Science has traditionally been a forte of western culture. And science is really about taking things apart and learning how they work, learning the processes and the mechanics. While westerners are very good at discovering new ideas, the theoretical department, the Chinese are experts at commercializing those ideas and implementing them in a practical setting.

To sum up the global economy today: The west supplies the designs, China implements those designs. Be it iPhones or Shanghai skyscrapers.

Collectivism vs Individualism

The second biggest difference between China and the west is that the former is a collectivist or conformist society, while the latter is an individualistic society.

China as a whole is much more unified and centralized than the west. The Chinese practice conformity to a truly remarkable extent, largely due to its Confucius and Communist past. You could be thousands of miles away in a different city in China and still expect to find the same kind of architecture, shops, signage, amenities, etc. There’s both the upside and downside to this. It can be a tad boring to see the same stuff everywhere you go, but it affords a certain level of convenience. This is why I think there is a stronger sense of national identity in China.

The West is more individualistic and this is reflected in the political landscape. Europe is a fragmented continent. It is divided into many small countries which are in turn divided into many small regions and towns. And each of these regions and towns has its distinct culture, architecture, customs, and sometimes even languages.

For example, Scotland has its own separate unique identity that is different from the UK or Europe. And Scotland is a country of only about 5 million people. Many medium-sized cities in China have more people than the entire country of Scotland. The same goes for regions like Wales, Northern Ireland, Cornwall, Andalucia, Catalunya, Bavaria, Veneto, Flanders, etc.

Mango

x
Mango

You shouldn’t, unless your life style will prevent you from giving the traumatized cat a good life. When I went to the shelter to find a new cat, they told me about Mango. Mango was rescued from the street, in a big city, as part of a litter of kittens, whose mother had been killed. They found the six week old kittens next to the dead mother, and the bloody bat that was used to bash the mother’s brain by some horrible human.

She was just so terrified of everything. Especially, humans. Can you blame her?

They tried to foster her with families, but she couldn’t adjust to kids, other pets, noise or humans. When I arrived at the shelter, they had her in a cage, in the lobby, in an attempt to socialize her. She was visibly shaking. Since I lived alone with no kids, I agreed to adopt her. For the first month, she rarely came out from under the guest room bed… but slowly and surely she came around.

That was 13 years ago. Does she still jump off the couch and hide when I sneeze? Yup. Are there friends and family that have NEVER actually seen her? Yup. She doesn’t like strangers. Does she loudly howl, like I are putting her in boiling oil, when I try to coax/trick her into a large dog kennel (cat carriers are too hard to get her into) to go to the vet? Yup.

But, I have never regretted adopting her. Or her adopting me.

‘Kids-for-cash’ scandal judges ordered to pay more than $288 million to nearly 300 victims

Two former Pennsylvania judges who ran a scheme to send children to for-profit prisons for kickbacks have been ordered to pay more than $US200 million ($288 million) to their victims in one of the worst judicial scandals in US history.

Article HERE

Murphy

A friend of ours was gassing up her SUV at the Murphy’s gas station one morning when she heard a kitten crying. She and the station attendant searched for the kitten, including opening the engine compartment of the vehicle, but they couldn’t find it and then it went silent, After a few more minutes of not finding the cat she gave up and drove 14 miles to home.

When she got home and turned off the vehicle, she could hear the kitten crying again. Once again searched and this time found a five week old kitten in the spare wheel under the rear of the SUV. Happily the little booger had not fallen out on the way home.

She had a lot of dogs and didn’t think it would go well for the kitten at her house, so she called my wife and asked if we wanted it. We did but we were 50 miles away in another city at medical appointments and couldn’t come fetch it right away.

So we called our veterinarian and asked if our friend could drop the kitten at the clinic and would the vet examine it and treat necessary, and we would pick it up and pay on our way home from the other city.

We have several critters already and it’s been pointed out to me that there are low cost Vaccination clinics in our town That would make it cheaper for us to get our animals their annual shots. But I always take them to our vet for annual exams as well as the shots because I want to maintain medical history of the animals and the relationship with the veterinarian in case I ever need “something extra,“ and this was one of those times. The vet readily agreed to have the cat dropped off without payment in advance and he would take care of it.

When we got to the vet’s office in late afternoon he was holding the kitten with a big grin on his face. I don’t want to post his photo on the Internet without his permission, but we got a great picture of him and the kitten. He told us it was in good shape overall, he gave it fluids for dehydration, it was negative for feline leukemia, and he treated some scratches on its nose. When our friend had dropped it off the staff asked for a name to put on its records; she came up with “Murphy” after the gas station.

x
x

We kept the name and took Murphy home to meet his new sister, another five-week-old kitten that we had found under a bush at my office a couple weeks earlier. The two instantly bonded and grew up together playing and raising hell around the house like kittens do. After his 14 mile ride under the SUV, Murphy turned into a Joe-Cool-nothing-really-bothers-me kind of cat. Except aerosol sprays. Those are scary.

How the Taiwan lobby helped pave the way for Pelosi’s trip – Responsible Statecraft

US Chip 4 plan hands South Korea a devil’s choice

Washington puts Seoul on the spot with proposed chip making alliance, which ultimately threatens to torpedo Korea’s trade relations with China

SEOUL – Samsung Electronics heir and de facto leader Lee Jae-yong was today (August 12) granted a presidential pardon in a corruption case, lifting a legal cloud that has hung over his head since 2017.

Though Lee has been out of prison and conducting executive duties since last year, the head of South Korea’s national flagship firm might, feasibly, wish he could take a lower profile just now.

He is back on the front pages just as Korea Inc’s worst nightmare is becoming manifest: It is being forced into choosing between key trade partner China and strategic ally the United States.

Washington is seeking a decision from Seoul, within weeks, on whether to join the nascent “Chip 4” semiconductor alliance that would bind together American, Japanese, South Korean and Taiwanese chipmakers while deliberately excluding China.

DID NOT WORK. -MM

South Korea strives to secure China ties amid US pressure on chips, missile system

Biden admin unlikely to force Seoul to sever ties with Chinese market: expert
Published: Aug 08, 2022 11:32 PM
.

With South Korean Foreign Minister Park Jin set to kick off a three-day visit to Qingdao in East China’s Shandong Province on Monday, the first high-level official to travel to China since South Korean President Yoon Suk-yeol took office in May, on the same day, South Korean media cited an unnamed presidential official as saying that the country has decided to attend a preliminary meeting for “Chip 4” – an alliance created by the US that some believe aims to set up a semiconductor barrier against the Chinese mainland.

The simultaneous message also illustrated South Korea’s political dilemma in striking a balance between China and the US in the face of increasing pressure from Washington, analysts said, warning that South Korea may face more losses if it totally sides with the US to counter China.

Chinese State Councilor and Foreign Minister Wang Yi will meet with Park during his stay in China, according to information from the Chinese Foreign Ministry. China is willing to take Park’s visit as an opportunity to strengthen communication, focus on cooperation and promote the healthy and stable trend of bilateral relations.

Before coming to China, Park told a press conference that his trip would be a chance to reduce misunderstandings and boost cooperation in areas including trade, health and the environment, Reuters reported.

Park’s visit to China has drawn close attention in China and South Korea, as the two countries are about to celebrate the 30th anniversary of the establishment of diplomatic relations. At the same time, there are many new and old issues that need to be urgently discussed, and compared to its more frequent interactions with the US, Japan and Europe, the new South Korean government has had fewer exchanges with China, Wang Junsheng, a research fellow of East Asian studies at the Chinese Academy of Social Sciences in Beijing, told the Global Times.

Wang noted that Korean Peninsula issues, the chip pact among the US, Japan, South Korea and the island of Taiwan, and the deployment of the Terminal High Altitude Area Defense (THAAD) missile system will be covered in bilateral meetings between senior officials from the two sides.

Li Kaisheng, a research fellow at the Shanghai Academy of Social Sciences, pointed out that Park’s visit was made against the backdrop of the China-US tensions after US House Speaker Nancy Pelosi’s provocative visit to the island of Taiwan and the Biden administration actively pulling China’s neighbors into a small circle to counter China.

Despite China’s stern warnings, Pelosi made a highly provocative visit to the island of Taiwan last week. When she traveled to South Korea after the Taiwan visit, South Korean President Yoon had a phone conversation instead of meeting her in person. This arrangement was interpreted by some analysts as an attempt to avoid the diplomatic fallout of Pelosi’s visit to the island of Taiwan.

However, Li told the Global Times that the Yoon government has taken a value-oriented diplomatic approach, and given the differences between China and South Korea in their political systems and ideologies, many challenges to bilateral relations remain.

Li noted that the deployment of THAAD and whether South Korea will cooperate with the US in deploying more missiles are all uncertainties hampering China-South Korea relations.

China had urged the Yoon government to keep the preceding Moon Jae-in government’s “Three No’s” policy on the THAAD issue and act prudently on major issues concerning its neighbors’ security, after Park said at the National Assembly in July that the “Three No’s” policy is not a commitment to China.

Analysts warned that the Yoon government should understand the seriousness of the THAAD issue, as it had sparked outrage among Chinese people and pushed bilateral relations to their lowest in decades, adding that  South Korea should not sacrifice its interests to follow the US.

However, the US has always wanted South Korea to be the bridgehead to counter China and will put more pressure on the Yoon government, analysts said, adding that given the closeness in trade relations between China and South Korea, leaning to the US will not fit South Korea’s interests.

Balancing its relations with China and the US amid a more complicated geopolitical situation has and will be one of the major challenges for Yoon, said Xiang Haoyu, a research fellow at the China Institute of International Studies, noting that the heated discussions in South Korea over whether to join the Chip 4 alliance with the US, Japan and the island of Taiwan is one example reflecting South Korea’s plight.

Dilemma for South Korea

On the same day that Park is scheduled to start his visit to China, South Korean media reported that Seoul has agreed to hold a preliminary meeting to lay down the ground rules for joining the Chip 4 alliance with Washington next month. Yoon said on Monday that the government is looking into the issue in the light of national interests.

Facing pressure from the US, the South Korean government has shown a tendency to sit on the fence, as it doesn’t want to offend the US by refusing to be part of the Chip 4 alliance, but at the same time does not want to provoke China’s anger, which might hurt their economic interests in the vast mainland market, analysts said.

Xiang Ligang, an independent tech analyst, told the Global Times that there is a  high chance that South Korea will eventually become a member of the alliance, but the country is very likely to become a counterforce to the US within the alliance on many issues, in that it will object to many requirements raised by the US to crack down on China’s chip market.

South Korea has massive interests related to the mainland market when it comes to the semiconductor industry. For example, it exports a vast amount of chips to China annually, including mobile phone chips and memory chips.

The Chinese mainland and Hong Kong accounted for 60 percent of South Korea’s semiconductor exports last year, a report of the Korea Times noted.

Observers pointed out that South Korea may also raise more requirements on reducing the negative impact on its chip exports to China, for example on export and technology restrictions.

Xiang Ligang said that it’s unlikely that the Biden administration will use very tough measures to force South Korea or other regions to sever themselves from the Chinese market, as it doesn’t have enough political influence to control its allies at will.

Moreover, South Korea knows that cutting its chip business ties with China might prompt the latter to speed up its chip technological development. And once China manages to produce high-end chips at very low prices, those products will easily flood the South Korean market, as cars and home appliances have done in the past, Ma Jihua, a veteran semiconductor observer, told the Global Times.

Besides, friction on the chip issue could also trigger backlash on other products such as daily consumption products, which would bring huge economic losses to South Korea, whose economic development in recent years has been hugely dependent on business interactions with the Chinese market.

South Korean public opinion also differs on topics related to the chip pact, with many people opposed to cutting the chip business or decoupling with China, as such moves do not meet South Korea’s interests, Xiang Haoyu pointed out, noting that although the Yoon government is aligned with the US, the latest drop in Yoon’s approval rating showed that conservative forces in South Korea cannot fully represent the public will.

The conservative party may lean toward the US but South Korea’s overall national strategy and public opinion will not overwhelmingly follow. This is also why China can work with South Korea to expand cooperation and shared interests, said Xiang Haoyu, noting that South Korea should also know that due to its own long-term strategic interests, it has little room to play the geopolitical card of closely following the US in countering China.

Solomon Islands moving ahead with contentious plan to build Huawei mobile phone towers with $100 million loan from Beijing

The Permanent Secretary of the Ministry of Finance and Treasury McKinnie Dentana told reporters in Honiara on Wednesday that the towers would expand and improve mobile coverage across the country.

He also confirmed it would be funded by a concessional loan from China, the first time the government of Solomon Islands has borrowed from one of Beijing’s main overseas lending institutions.

“The project will be fully funded with a concessional loan facility under the EXIM Bank of China of approximately CNY448.9 million ($96 million) at a rate of 1 per cent interest rate for a period of 20 years,” he said.

Mr Dentana said the government would roll out the project over the next three years, and wanted to complete almost half of the towers ahead of the Pacific Games, which will be held in Honiara in November next year.

“This will help people in rural areas to enjoy the Games, even if they don’t come to Honiara,” he said.

He also claimed external advisers had told the government they would be able to repay the loan with revenue generated from the towers.

“The independent review of the project shows the project would generate sufficient revenue for the government to fully repay both the principal loan amount and the interest cost within the loan period,” he said.

From HERE

The article continues to describe what a bad and terrible deal the Solomon's are getting using inferior Chinese equipment, "debt trap", and all the rest. Ah. Standard boilerplate anti-China stuff, don't you know. -MM

Big shout out to officer Mike Carpinelli

x
x

Here is a photo that captures the character of of a great police officer.

Almost 2 years ago a father took this photo when he was driving his son to rehab in St Lawrence.

His son decided he didn’t want to go and took the father’s phone and called 911 and told them he was being kidnapped.

When the man got pulled over, his son got out of the vehicle and the state trooper had stood on the side of the road with his addict son for about an hour patiently convincing him to let him drive him to rehab.

The father was astounded at the Sheriff and Trooper’s dedication to the cause of addiction. Officer’s successfully got the son in a vehicle without force and drove him to St Lawrence from Lowville about an hour and a half drive.

He literally walked in with him to the rehab. Here is a tribute to great, loving public servants that truly care.

Big shout out to officer Mike Carpinelli

Taiwan biggest underground society leader openly pledges to take action to overthrow the DPP regime if the PLA begin military action.

He said, we are not going to allow the DPP behaviour resulted in many died in bombing. As far as my knowledge is concerned, He is the second one openly make such statement.

Umbry

x
Umbry

This is Umbry, the love of my life.

I took him into my care 2 years ago because his owner didn’t want him.

I wasn’t a cat person before, I was in fact scared of cat.

I took him in out of pity.

He was skinny, with flu and full of fleas. My initial plan was actually to nurse him to health and put him up for adoption.

But I grew fond of him and eventually decided not to.

Last year, Umbry was diagnosed with Wet Neuro FIP.

Almost every cat owner knows this is an almost always a fatal disease.

When I got the news, I was bawling my eyes out at the clinic but I didn’t want to give up on him. I did some research and found that there is an antiviral treatment by Dr Pederson.

The fact that the treatment is still not approved makes it harder to source and even more expensive.

I had to fork out all of my savings and took a part time job to fund his treatment.

He had to go through 84 days of daily injection which was very painful to watch.

It really was a difficult time for both of us.

But it has been over 5 months now since his last day of treatment and Umbry is looking as healthy and as happy as ever.

I hope he continues to stay healthy for a very long time and grow old with me.

Rufus saves a kitten

x
x

As any New Yorker will tell you, you see a lot of unexpected stuff on the subway. But one woman saw something that left her truly inspired.

Gillian Rogers is the founder of Pet Rescue Squad Inc, and is passionate about helping animals. And last week, she saw something that gave her hope.

She was riding the 1 train home when she spotted a man on the other side of the car, with something in his lap.

She was amazed to realize it was a tiny kitten, wrapped in a towel. Not only that, but the man was bottle-feeding the cat.

“You could see the caring,” Gillian told The Dodo

. “My heart felt like it was going to explode to see someone with that much caring. He was so in the moment.”

“The kitten was looking up at him as he fed her. It was so heartwarming.”

Rainwater everywhere on Earth unsafe to drink due to ‘forever chemicals’, study finds

Rainwater almost everywhere on Earth has unsafe levels of ‘forever chemicals’, according to new research by scientific experts.

Per- and poly-fluoroalkyl substances (PFAS) are a large family of human-made chemicals that don’t occur in nature. They are known as ‘forever chemicals’ because they don’t break down in the environment.

They have non-stick or stain repellent properties so can be found in household items like food packaging, electronics, cosmetics and cookware.

But now researchers at the University of Stockholm have found them in rainwater in most locations on the planet – including Antarctica. There is no safe space to escape them.

Article found HERE

“A week ago I saw a post on Facebook from a young boy in my town: ‘Hi I’m an autistic 19-year-old living on my own and my bike was stolen opposite the McDonalds by High Street. My Grandma got me this bike for Christmas. I have been feeling really down about this as there aren’t many things I enjoy in life. My bike is one of those things; thank you to anyone that may be able to help I really do appreciate any information.’

I shared it on Facebook and Twitter but suspected deep down that the chance of him getting his bike back were slim. I had had my own one stolen a few months ago and now the weather was nicer I had been thinking about replacing it. I set myself a budget and had started researching what kind of bike to get.

I went to bed that night so sad that someone would steal his bike that was so precious. I woke up wondering about a GoFundMe but thought it would take too long to do and I didn’t want to waste any time. So I decided to use the money I’d set aside for my shiny new folding Carrera Hybrid and get him a new bike instead. Because life is short and kindness can be paid forward. I’m only HERE because of countless random acts of kindness, and I try to do what I can to repay them into the world. I can save up and get myself another bike, another day. AND I got an excellent cuddle and they’re priceless.

I didn’t just do this for Harvey. I did it for the people who donated to the food bank for me. I did it for the friend who bought SB some shoes when his rubbed sores onto his feet but I had no money for a new one. I did it because a stranger replaced my buggy when it was stolen off my doorstep. I did it for the friend who paid my rent instead of having work done on her house when I was under threat of eviction.

I did it for the church who left 2 bags for life of food on my doorstep when I wrote Hunger Hurts. For the firefighter who left me a mini Christmas tree with decorations on my back porch a week before Christmas having clocked during a routine safety check that we had nothing at all. I did it for the friend that drove me to a party to have a good time when I was freezing and starving and hadn’t seen anyone for days because I was hiding away. Kindness saved my life. Again and again. I have some to spare.

Do good things. Smile at people. Hug your kids. Phone your family. Check on your neighbours. Give your gloves to that freezing cold homeless person. Hold doors open. Ask the crying person if they are okay instead of looking awkward. Rebuild your communities by looking out for each other. Think of others. Connect. Respond. Love. In the end only kindness matters.”

Great Links for the Greenville Post

You will find one or two MM posts in the mix. -MM

 

SPECIAL MESSAGE FROM THE EDITORTHE EDITOR—TGP has long been regarded as one of the finest sources of anti-imperialist news and commentary around the world. We strive for a world of peace grounded in individual…
The Allies Second Front in World War II: Why Were Canadian Troops Sacrificed at Dieppe?JACQUES PAUWELS—The political motivation for Dieppe would explain why the lambs that were led to the slaughter were not American or British, but Canadian. Indeed, the Canadians constituted the perfect…
The U.S. Government’s Frauds About TaiwanERIC ZUESSE—America’s aristocracy don’t care about “democracy” (other than — like any aristocracy does — to defeat democracy everywhere), but they do want to weaken any country that they are…
Why is Amnesty International FINALLY Reporting on Kiev’s War Crimes Months Later?BRIAN BERLETIC—Amnesty International has a long track record of selling lies to the public to help justify US military aggression both direct and by proxy all under the guise of…
The Second Coming of the HeartlandPEPE ESCOBAR—The Collective West Self-Justification Show staged to obliterate its ritualized suicide offers no hint of transcending sacrifice implied in a ceremonial seppuku. All they do is to wallow in…
China has just now released a “white paper” on the Taiwan reunification issueMETALICMAN—China has just now released a “white paper” on the Taiwan reunification issue China has just laid down the “rules of engagement” regarding Taiwan reunification. It’s being ignored or minimized…
Creativity, Entrepreneurship, and Other American MythsLARRY ROMANOFF—Americans are not “inventive”. They are greedy and self-serving, interested much more in commercial domination and control than in creativity. Creativity is defined by art, not by money and,…
RUSSIA IS IN NO HURRY TO TAKE TOWNS & CITIES. THE GOAL IS TO EFFECT THE DEMILITARISATION OF UKRAINEAEARNUR—Only today, apparently through a photograph taken of the headquarters of the Wagner Group, British intelligence was able to send a precise location to HIMARS operators in Ukraine which allowed…
Essential Truths, w. Jimmy Dore: Trump Lowered Insulin Prices – Biden Just REVERSED IT!Near the end of his administration, Donald Trump issued an executive order that would provide government assistance to reduce the cost of insulin for low-income diabetics. Before the order could…
Essential Truths w. Jimmy Dore: Ron Paul Told The Truth About The FBI 40 YEARS AGO!The FBI was thus born primarily as a national security agency, charged with the domestic front. Over the ensuing decades, the agency accumulated a voluminous record of underhanded and controversial…
Truth about the Ukraine continues to seep out, to the embarrassment of the Western lying machine and its sponsorsUkraine asks French Canal+ TV to take ‘wrong’ Maidan” documentary off air. Ukraine’s authorities have urged a French broadcaster to take a documentary titled ‘Masks of Revolution’ off the air.…
Only NATO Could De-Militarise Itself!JAMES TWEEDIE—Poland has sent 232 T-72 main battle tanks, almost half its entire tank fleet, over the border into the Ukraine. The Donbass militias have already captured some examples with…
Producing New Enemies for No Reason WhatsoeverPHILIP GIRALDI—Fear of China, sometimes dubbed in racist language as the “Yellow Peril,” has a long tradition in the United States and in Europe. In the current context, the US…
All Our Systems Are Built To Elevate ViciousnessCAITLIN JOHNSTONE—The United States put an exclamation point at the end of the second world war by dropping two nuclear bombs on Japan, not because it needed to (it didn’t),…
From nurseries to NazisDEBORAH ARMSTRONG—The Azov Battalion, the notorious neo-Nazi group, even has a camp called “Azovets,” for children and teenagers, on the outskirts of Kiev. In Odessa, you can find “Camp Chota,”…
David Chu: Why I LOVE China and the CPCDAVID CHU—Why do I love China and the Communist Party of China (CPC)? It was not always the case. In fact, for the majority of my life, some 48 years,…
What’s Happening with Cuba’s Energy Crises?GUSTAVO A MARANGES—Many people might question the action of those Cubans who protested, although it is a genuine claim understood by the country’s authorities at all levels. Our hands on…
Frank Scott: Eco-Socialism, Democratic Communism: Common SenseFRANK SCOTT—While the U.S. conducts a proxy war against Russia, killing thousands and spending billions, and moves closer to a greater direct war with China with the threat of nuclear…
Ben Tóth: The Long March Of New ChinaBEN TOTH—Decommunization is not only a process in which history is rewritten and new folklore for nation states is built, it is a continuous process of any land to blame…
The US empire will NEVER stop making trouble (Mao’s wisdom)“Calm down?” Let me quote you Mao Zedong: //Make trouble, fail, make trouble again, fail again . . . until their doom- that is the logic of the imperialists and…

A “clear the shelter” day

Locally, we have a “clear the shelter” day when they waive all of the fees normally associated with an adoption. It’s a great opportunity, though of course the reason is unfortunate – too many abandoned/homeless animals.

I had decided to go to my local shelter to look for a cat – we had shop cats at work for years, and the last one, who was extraordinary, lived the life of Riley after he had kidney issues, commuting to work with me every day, and living it up at home. After he died, I wasn’t really ready to jump back in, but something told me this was an opportunity that I shouldn’t miss.

So, off to the shelter on Saturday afternoon. As I wheeled in, there was a crowd of almost 100 people standing outside, waiting to go in, where the shelter was going to assign you a “pet counselor” to guide you to all the animals. I’d been there before, and this was an incredibly awkward way to look at the animals, and I found out some people had been waiting over an hour just to take a look. Disappointed, I thought, “Well, maybe next time.”

Then I remembered that PetSmart was listed as an alternate location for this event, and there was one between the shelter and my house, so I decided to stop by. I looked into the cat area, and this little guy was there.

x
x

His breathing rate was about 110, and he looked miserable. His shelter name was Sebastian, and I walked around the store to check out the price of litter and supplies, then walked back over and looked at him again.

He didn’t even really look at me, but he needed someone who wouldn’t mind that. The volunteer said, “He’s really sweet, would you like to hold him?” I told her that I didn’t need to. He needed the kind of home I was ready to give him, and I’d come back after I bought the food and litter to pick him up.

She said, “He might hiss, but he won’t bite you, I promise.

A lot of people have looked at him, but no one wants him because he’s so scared.” I told her that was fine, and that if she could get his paperwork ready, I’d be back in a moment for him.

This medium haired guy was in his box when I got back, and I took as little time as possible to file his paperwork, pick up his tags, and take him to the car.

When I got him inside, I slowly turned the carrier on its side. And this is what I saw.

x
x

He was breathing so fast, and I could see his heart racing, but when I moved to scratch him on the chest, he started purring, but he didn’t want to come out at all.

I left him in peace, in a darkened room, and when I came back, the box was empty.

He had fled to some secret place under the bed, so I set out food, water and the litter box right next to the edge of the bed and left him to settle in.

Then, as luck would have it, this happened –

x
x

Straight line winds of about 80 mph tore through the back yard, and this poor little terrified guy was stuck right inside the room where a freight train and explosive sounding tree destruction happened. Not two hours after getting home.

I felt so bad for him, and honestly, didn’t see him for about a day and a half, but food was gone, and water was gone, and the litter box was used, so he must have been OK.

He progressed for a week at a time, first, not running off when I looked at him if he was eating, then walking around if I was in the room, and one day he jumped onto the bed, and let me pet him.

Three weeks of letting him do it his way, changing from a scared little cat into one with more and more confidence.

He kept his shelter name, though there’s no easy way to shorten it, and it turns out that it doesn’t matter anyway – he is the most cat like cat I have ever had. He is capable of ignoring me completely if he wants to, no matter what he is doing.

It’s infuriating, and hilarious, because he’s also so happy to hang out now. Most of the time, this is his general posture.

x
x

Belly up, and sleeping so he can race around at 3 in the morning like his tail is on fire. And that medium hair description at the shelter?

Nope, long, long, fluffy, flies through the air in tufts no matter how much you brush him hair. He is literally with me in some form no matter where I go, no matter how great a lint brush I might have.

I was taken by a fearful cat that needed someone who didn’t mind. Best decision I ever made.

Italian Meatball Pasta

This Italian Meatball Pasta is an easy-to-make skillet dinner with Hamburger Helper™ Beef Pasta, precooked Italian-style meatballs and juicy tomatoes. Serve up a heaping plate of meatball pasta topped with melty cheese for a deliciously flavorful weeknight meal. And don’t be surprised if people start asking for seconds after a single bite!

x
Italian Meatball Pasta

Ingredients

  • 1 tablespoon vegetable oil
  • 1/2 cup chopped onion
  • 2 cups hot water
  • 1/2 cup milk
  • 1 can (14.5 oz) petite diced tomatoes, drained
  • 1 box (5.9 oz) Hamburger Helper™ Beef Pasta
  • 32 frozen cooked Italian-style meatballs (1/2 oz each)
  • 1 cup shredded Italian cheese blend (4 oz)
  • Chopped fresh parsley leaves, if desired

x
x

x
x

x
x

How The Threat Of China Was Made In The USA

A fantastic video.

Western mainstream media are spreading a great deal of anti-China propaganda disinformation. They’re literally brainwashing Westerners into hating China.

Sorry to say but you are one of the victims. You’ve been taken for a fool; you are being manipulated.

Second, whether or not you care about China is entirely up to you. Perhaps China isn’t at all important to you, to your daily life.

Third, there is no reason to be afraid of China. China is a peaceful and benevolent nation.

  • China hasn’t fought a single war since 1979.
  • China is pursuing diplomacy throughout the Middle East rather than bombing the shit out of the region. Recently, China signed a 25-year cooperation deal with Iran.
  • China is negotiating with the Taliban instead of invading and occupying Afghanistan for 20 years.
  • China is leading the ASEAN countries in peaceful trade through RCEP, the world’s largest free trade bloc.
  • China is helping countries in the Global South, as well as in Europe, build their infrastructure through the Belt and Road Initiative (BRI). Over 149 countries have already signed up; that’s 3/4 of the world’s nations!
  • China is helping countries in the Global South vaccinate their population as the rich Western nations abandoned them through vaccine hoarding.
  • China is inviting all nations to participate in its space station program, including the United States. Recall that USA banned China from the ISS.
  • China is trying to broker peace between Russia and Ukraine, countries with whom China has excellent relations. What is USA doing? Sending arms to Ukraine, throwing fuel to the fire and prolonging the war.
  • China is forging powerful economic and security alliances in BRI, BRICS, RCEP, SCO, etc. China is trying to unite the world, not divide it (as the Americans are doing).

You have more reasons to be afraid of America, which wages endless wars around the world, which sanctions numerous countries that don’t comply with US foreign policy, which interferes in foreign elections and politics, which overthrows foreign regimes it doesn’t like.

Fourth, if you really care to know the truth about China, there is only one way: go visit China. See China with your own eyes. Bypass Western mainstream media.

Your own eyes will confirm that Western media have been lying to you. China is not evil. Life in China is very good. The people are happy. The country is peaceful and safe.

  • You can visit Xinjiang and learn about the Uyghurs.
  • You can visit Hong Kong and see how well the city is doing now that the violent protesters have been booted out of China.
  • You can visit Tibet and witness the beauty of its culture.
  • You can visit Shenzhen and Chengdu and Shanghai and see the technological wonders.

China is the fourth most popular country in the world for tourism!

The future looks beautiful!

From Chua…

China patiently extenting massive goodwill to the Taiwanese people over the decades to win heart and mind. As a result, there are more than 2 million Taiwanese living and working in China (but they are not allow to vote outside Taiwan) 

And the Taiwanese economy is growing with massive trade surplus:

Mainland China and Hong Kong accounted for 42% of Taiwan's exports last year, while the U.S. had a 15% share, according to official Taiwan data accessed through Wind Information.

About 22% of Taiwan's imports last year came from mainland China and Hong Kong, versus 10% from the U.S., official data showed.

Many Taiwan-based companies operate factories in mainland China. In 2021, Taiwan businesses received $200.1 billion in U.S. export orders, according to the U.S. Congressional Research Service. (note: their factories in China make even more money from the rest of the world including the Chinese Market)

Taiwan’s Trade with China is FAR LARGER than trade with the USA

However, the US and Japan offer Taiwanese politicians personal gain in speech fees, kick back.... So, today, China have to get rid of these handful of low quality, selfish, me-only foreign puppets controlling the island through the capitalist democratic system by withdrawing the massive goodwill to cause pain across Taiwan, and use massive military to surround the island and cause further pains and soon may do it by restricting their energy supply etc.

Within the next few weeks, if the US fail to carryout the threat of sending its aircraft carrier through the Taiwan Straits, the will in the island US puppets will collapsed.

And...

The PLA will definitely won't allow the crusader warships the freedom of navigation across their inner water this time. 

US will be proven a paper tiger 🐯 soon.

When live become harder and harder in Taiwan, the power of the patriotic forces will growth, a colour revolution will be in the making. A peaceful reunification will be ensured.

We should have a little gathering in Taiwan on the day of reunification to celebrate the day that spell the drastic and permanent decline of the world most war monger crusader nations in the past 2 century.

When the world most evil crusader is down, the Palestinian liberation day will no longer be a dream, Latin America will have their freedom back and the economy will growth through the win win belts and roads, NATO may be dismantle and Europe will be back to a lot of small countries completing with each other to trade with the outside world.

Once they (Europe) don't act collectively against the rest of the world, they will become less aggressive and learn to deal with the world through mutual respect, and trade through value for money.

Europe will learn that collective bullying and looting for profit have no future. They will learn to work hard and living within their means.

Russian - Ukraine conflict will be resolved with Ukraine surrender and begin rebuilding as part of Russia. The flow of Russian gas to Europe will resume

The world will abandon the US currency and the US economy will collapsed, followed by the military, and a with drawal of US overseas military bases will be a must to cut expenses. The country will be split into a few parts. The rich states would like to keep their tax money to themselves by declaring independent, the black, the white, the Latino etc with no love with each other will form gangs and split their territory and power base.

Once the crusader nations across the world can no longer threaten the world, The world can spend less in military and use the money to improve the environment and standard of living of the average population.
The 21st century world already looks beautiful to me.

The world is full of interesting stories, but we are living in tumultuous times

This article contains the full text of the Chinese white paper on the Taiwan reunification issue. We also cover various other interesting and curious side issues, stories and themes that have become a staple on MM, such as food and ambulatory fungus. I hope you all enjoy this article.

Muesli

x
Muesli

This is my cat. His name is Muesli. He may look cute with his heart shaped blob on his nose, but in reality he is a South London geezer cat who wants to bite me all of the time.

He doesn’t, but he wants to.

He is my boy & I prefer him to most people.

He is also a hopeless cheese addict & even becomes temporarily strokable if given lightly fried fresh mackerel.

He is very spoilt but he deserves to be as he had a rough start in life & would have no doubt been put down at an early age due to his “challenging” behaviour.

I have been his dad for over 10 years now & would not swap him for anything.

Harsh life truth

x
x

A taste of America

Check out these views of America…

x
x

x
x

x
x

Evaldas Rimasauska

The story of Evaldas Rimasauska’s crazy – but surprisingly successful – plan to steal $120 million from Google and Facebook is worth telling.

x
Evaldas Rimasauska

At the start of 2013, Rimasauska registered a company in Latvia called Quanta Computer, which is identical in name to that of a Hardware manufacturing company from Taiwan. A bit suspicious, right?

Then, over a period of two years, he repeatedly sent invoices (complete with fraudulent stamps, signatures, and a very large amount of corporate and legal jargon) to google and Facebook for products from his fake company that he had never sold. Sounds like a pretty stupid idea. Surely a company with some of the best legal and financial minds would just discard these fake invoices?

Well, as you probably guessed, they did not.

Seemingly without questioning it, two of the biggest tech giants around transferred millions and millions to Rimasauka. He’d keep sending them fake invoices, and they would always pay up. Eventually, Rimasauska racked up a lot of cash, taking $23 million from Google and $99 million from Facebook.

He didn’t stay rich for long though.

Almost inevitablely, people soon caught on to him, and he is now spending five years behind bars for wire fraud.

People will always associate financial fraud with large scale, complicated and elaborate schemes — perhaps hacking into servers, or stealing confidential documents. But the interesting thing about this case is it shows that huge companies can still fall victim to such simplistic methods.

Be the Rufus

On a day in 2005, the fifth year in to our fruitful career after a hard time of the past 10 years.

A man called me informing that a very good piece of land was on urgent sale. After I heard about the location I headed towards it, as by coincidence my wife and I were in the city and just nearby. We visited the land.

It was one of many empty lands around there.

We decided to meet the owner, though the price seemed to be a bit of high. But the land seemed potential.

The man was a retired high ranked public servant and genuinely a gentleman, living in his rented apartment. He looked tired and mentally distressed, but my eyes did not miss that he was pretending “all is ok.” The plot on sale is what he got allotted by the government to make his home, as a reward for his lifelong services to the nation.

At the opening on the deal, I asked, “What’s the best price you can offer us, sir?” Very impatiently he responded, “No, I can’t reduce the price at all! You can think over and call me someday later.”

To make the situation easy and light, I curiously asked, “That’s a lot of money, what are you going to do with that much money, sir?”

He could not keep it undisclosed any longer. Very restlessly he uttered, “My 11-year old son is a cancer patient, at early stage. My younger daughter is in Uni. I need lot more than this money.”

We were not ready for such an answer.

My wife and I got just mute, like we had no word to say again. Looked at each other, stunned. Then we got into an awkward silence for few long moments, but that made the man seemingly more impatient.

My wife, a graduate in Marketing in contrast to my liberal arts background, is extra-careful on spending as I occasionally make mistakes (in her definition!). She had warned me on the way that it was she who would negotiate the price and I should just keep quiet! Yeah, it was obviously a big money for us at that stage. Decision had to be very calculative.

Now I set my eyes onto her. But this time she held on in a confused, stubborn silence. A silence I was familiar with, though.

Well, silence is approval, as I took it to be. I turned to the man.

“Well sir, alright, we confirm the deal at your price.”

The gentleman, and the broker at his beside, seemed to be having difficulty to understand my words. He tried to end the meeting up here, “Okay, think and let me know later.”

Now my wife took the lead, “Sir, it’s confirmed. We’ll get the deal go through tomorrow morning itself. Give me your bank details.” He seemed to have fallen from the sky and found the world to be a joke on the ground.

We instructed the broker to prepare the papers the next day and confirmed that we would go to the Registry Office after lunch.

We accomplished the deal that day, as planned.

Almost 13 long years had passed by. As we live in overseas and were very occupied with our occupations, we did not think much about that land through all those years.

During a visit in 2017 to our home country we went to see the land.

All the surrounded lands were now filled with high rise (6–7 stories) residential blocks, the area was now within the Capital City’s extended diplomatic zone.

x
x

Then US$85,000 land, now valued at more than US$3.5 million.

It is like a holy dust turned to diamond to our luck.


Readers, by the way, you might be anxiously waiting to know what happened with that man and his children at last?

Despite we were busy in overseas, I have kept in touch with him. But I never let him know what led us to make a hasty purchase that day at that high price he demanded. And I really do not wish to spell it here as well. I’d like to let it remain as it has been— a deal, from which wenhave barely benefited, and my two growing children will benefit in their life.

His son recovered from cancer. The daughter completed her university. They are all fine now. The gentleman, Brig. Gen. Khijir Mohammad (rtd.), is now at his 80’s and spends the mornings walking with his friends and most of the rest of his time in gardening.

We wish him a long and healthy life, and all the best for his family.

Brandon Grimshaw

x
Brandon Grimshaw

For 13 thousand dollars, Englishman Brandon Grimshaw bought a tiny uninhabited island in the Seychelles and moved there forever. When the Englishman Brandon Grimshaw was under forty, he quit his job as a newspaper editor and started a new life.

By this time, no human had set foot on the island for 50 years. As befits a real Robinson, Brandon found himself a companion from among the natives. His Friday name was René Lafortin. Together with Rene, Brandon began to equip his new home. While René came to the island only occasionally, Brandon lived on it for decades, never leaving. By oneself.

For 39 years, Grimshaw and Lafortin planted 16 thousand trees with their own hands and built almost 5 kilometers of paths. In 2007, Rene Lafortin died, and Brandon was left all alone on the island.

He was 81 years old. He attracted 2,000 new bird species to the island and introduced more than a hundred giant tortoises, which in the rest of the world (including the Seychelles) were already on the verge of extinction. Thanks to Grimshaw’s efforts, the once deserted island now hosts two-thirds of the Seychelles’ fauna. An abandoned piece of land has turned into a real paradise.

A few years ago, the prince of Saudi Arabia offered Brandon Grimshaw $50 million for the island, but Robinson refused. “I don’t want the island to become a favorite vacation spot for the rich. Better let it be a national park that everyone can enjoy.”

And he achieved that in 2008 the island was indeed declared a national park.

Quick Chicken Quesadillas

If you’ve been on the hunt for an easy chicken and cheese quesadilla recipe, congratulations—you’ve found it! With a few simple shortcut ingredients, you can have warm, cheesy and easy chicken quesadillas on the table in less than half an hour. Talk about a fuss-free dinner!

x
Quick Chicken Quesadillas

Ingredients

  • 6 oz refrigerated cooked Southwest-flavor chicken breast strips (from 9- or 12-oz package)
  • 1/2 cup Old El Paso™ Thick ‘n Chunky salsa
  • 1 package (11 oz) Old El Paso™ Flour Tortillas for Burritos (8 Count)
  • Cooking spray
  • 2 cups finely shredded Colby-Monterey Jack cheese blend (8 oz)
  • 1/4 cup sour cream

Steps

  • 1
    Cut chicken into bite-size pieces. In small bowl, mix chicken and salsa.
  • 2
    Spray 1 side of 1 tortilla with cooking spray; place sprayed side down on work surface. Layer with one-fourth of the chicken mixture and 1/2 cup of the cheese. Top with another tortilla; spray top of tortilla with cooking spray.
  • 3
    Cook in 10-inch nonstick skillet over medium heat 4 to 6 minutes, carefully turning after 2 minutes, until golden brown. Repeat with remaining tortillas, chicken mixture and cheese. To serve, cut quesadillas into wedges. Serve with sour cream and, if desired, additional salsa.

x
x

The Stermer Family

x
The Stermer Family

The Germans invaded Ukraine in 1941, and they began to order Jews to the ghettos. Esther Stermer, the matriarch of her family, refused to bring her family into the ghettos. The Stermers lived in Korolowka when the Nazis arrived in fall of 1942. The Gestapo began to force the Jews of the city into trucks to transport them to the concentration camps. Over the next few weeks, the Germans found the remaining Jews left in the city and forced them to dig their graves before killing them.

The Stermers and five families fled the town in the middle of the night and found shelter in a cave. For a year and a half, the families lived underground, hiding from the Germans. In all, thirty-eight people were living in the cave. They stayed hidden during the day, and they would come out at night for food and supplies. Eventually, the Germans found the cave in which they were hiding.

When the Germans found the cave, Esther confronted the soldiers. She reportedly said, “What are you afraid of here? The Fuhrer is going to lose the war because we live here?” The German SS soldiers left the cave and never came back. When the Russians liberated Ukraine in 1944, the families were able to come out of hiding.

The Stermers and the five other families successfully remained in hiding for eighteen months, the longest underground survival event in history. After the war, Esther Stermer wrote a memoir of their experiences called We Fight to Survive.

Photos: The Stermer Family

Bottom: The cave they hid in

Ilha da Queimada Grande

x
x

Have a look at Ilha da Queimada Grande. Located in the Atlantic off the coast of Brazil. Looks like a normal island, right? Until I say something.

This island has snakes (it is also called “Snake Island”). And, I mean a lot of snakes; one snake to every metre square. It’s like walking 3 feet and discovering another snake.

What makes it more intimidating is this creature:

x
The Golden lancehead viper.

The Golden lancehead viper. They are found nowhere else on Earth but here. It is estimated that there are 2,000 to 4,000 of them. Its venom is so strong that human skin can melt when it comes in contact with it.

There is a lighthouse in the island and it is believed that a family used to control it. But, one day the snake entered through open window and the family were bitten to death. Terrifying story just to think of.

Humans are barred to go into the island which is absolutely relevant and valid. I believe we shouldn’t be trying to intrude into the island and disturb their ecosystem. They’re there as they are and so it should be.

Harsh life truth

x
x

Growing old

The  social worker in charge of my mother’s case told us we had to either place my mother in a locked memory care facility, or she would be involuntarily committed to the state mental hospital.

Mom had tried to run over two police officers with her car and had violently resisted arrest.

She was a danger to herself and to others.

This is Mom during her modeling days.

x
x

It all started with a call from a neighbor in the small mountain town where Mom had lived for almost 25 years. Mom’s beautiful home and garden, which had been a feature on the local garden club’s spring tour for years, had become neglected and cluttered in the space of a few months. I live about 4 hours drive from where Mom lived. I visited with my husband and kids or on my own every few months. My mother had always been eccentric. So, when she started behaving in a way that would have been strange for other people, we just thought it was standard Mom eccentric behavior.

I always thought that dementia presented as forgetfulness and confusion. But my mother had a form of dementia called frontotemporal dementia (FTD), that presents with personality changes rather than memory problems. By the time someone with FTD becomes forgetful, the disease has progressed to phase 2. FTD starts much younger than Alzheimer’s or other forms of dementia. Basically, what happens is that the frontal lobe of the brain, which acts kind of like the adult voice in our brain, starts to atrophy. The frontal lobe also plays an important role in creating our personality. The stuff that makes you you in your brain, is destroyed by FTD.

It is difficult to diagnose because it can manifest as early as the forties. Most doctors start considering dementia as a diagnosis only in people over 65, and only look for Alzheimer’s symptoms. People with FTD can look like someone going through a mid-life crisis.

The behaviors FTD produces are so dangerous and often violent, many don’t survive the first phase. If my mother had been anyone but an attractive upper middle class older white woman, I do not know if she would have survived her violent encounter with the cops, or any of the other dangerous situations her FTD created.

After the neighbors called to let me know what was happening, I visited and immediately realized something was wrong. Mom agreed to move close to us. We found her a house nearby, and I somehow convinced her to give me financial power of attorney so I could take care of the real estate transactions. My mother was a widow and I’m an only child. Other than me, her only family is her brother in Kansas.

It took many trips to the emergency room after she moved to her house near us before a doctor finally recommended we see a neuropsychiatrist. Mom had a CT Scan after a fall that showed significant atrophy of the frontal lobe. By the time we finally got a diagnosis about a year after she moved, Mom was in the advanced phase of FTD. The doctor who diagnosed her told us the following:

  • Someone with the level of FTD my mother had was incapable of making adult decisions.
  • telling her about her diagnosis would be counter productive. Her memory was still intact, so she would remember the diagnosis and be upset and confused by it.
  • She needed daily care, which would quickly turn into 24/7 care. At that point, I would not consider memory care or assisted living. But the doctor said that was coming, and coming fast.
  • as bad as things were at that moment, they were about to get a million times worse.

My beautiful mother who had been a renowned hostess and fundraiser for charity, had turned into a kleptomaniac who cursed like a sailor and had a thing for handsome Black guys in their twenties. I cannot imagine how awful it must have been for these young guys who are just doing their jobs or walking down the street to be sexually harassed by someone’s grandma. She was violent, mean, profane, and inappropriate on every level.

I want to note here that even as our country and society seems to be devolving into nastiness, everyone we encountered while Mom was in her FTD crazy town phase as I called it, was wonderful. The cashiers at Walmart who understood when I brought back plants she had stolen. The young man who worked in hospital billing who was just trying to do his job while Mom hit on him, was kind and understanding. The sales lady who did not make a fuss when I returned the $200 cashmere scarf Mom had stolen. The cops who picked her up wandering the country roads in our town. The staff and customers in the coffee shop where Mom let loose a string of profanity at me in front of my kids and other families that would make a gangster rapper blush, were so kind when I explained about FTD.

Even the young police officer who cried on my shoulder about how hard he tried not to hurt her while she attacked him, was wonderful. He was covered with bruises and scratches and my mother did not have a scratch on her. He and his partner had pulled over Mom for driving erratically. She tried to run them over with her car when they asked her to get out. Then when she finally got out, she fought them hard, biting and scratching and kicking.

After the trying to kill the cops incident, the social workers at UNC told us it was 2 weeks of memory care or the state hospital. She was in the UNC psychiatric ER for 72 hours on an involuntary hold and released to memory care. I still didn’t want to take her out of her home after her mandated two weeks in memory care were over. I know. Who’s the crazy one here? So, we hired 24/7 nurses. Mom was so violent and aggressive and sexual, I could not have her living with us and our young children. The nurses lasted a couple of months. But Mom was violent with them and snuck out while they were sleeping multiple times.

Finally, here is the answer to the question about how I made my decision to send Mom to a facility:

  • the social worker at UNC kept following up and telling me she needed locked memory care.
  • the social worker assigned to us in Chatham county where her house was told us Mom needed locked memory care.
  • the 911 dispatcher called me after our fourth wandering pickup and said we needed locked memory care.
  • the cops who dropped her off after she had been wandering told us she needed locked memory care.
  • I started seeing a therapist at the recommendation of Mom’s neuropsychiatrist. She told me Mom would not be safe without memory care. She also told me that I needed to prioritize the health and safety of my children and myself. Mom was taking all my energy, emotional bandwidth and time.

Honestly, it was not until the therapist told me how this was hurting my children that things finally clicked for me. Mom’s care took so much out of me. I was a mess and unable to parent well. Looking back, I wish I had placed her in memory care once we had the diagnosis. But I don’t know how I could have done it. She would have acted out violently if I tried. And I still wanted to keep her in her home. Mom was fiercely independent and always needed a lot of alone quiet time. Being in a group facility would have been her worst nightmare before the FTD hijacked her brain. By the time she got there, I’m not sure she understood where she was or why.

After 18 months in a lovely memory care facility that cost $9,400/month, Mom passed this April. I try to remember the beautiful eccentric brilliant person who raised me. FTD took her away years before she died.

I don’t have any advice beyond remembering that you have to value your kids and your family and yourself as much as you value your loved one who needs care beyond what can be provided at home. Safety is the first priority for everyone. I hope she would approve of the decisions I made. None of it easy. None of it.

Some views of America

x
x

x
x

x
x

x
x

Full text: China’s white paper on Taiwan and reunification
By Xinhua

BEIJING – The Taiwan Affairs Office of the State Council and the State Council Information Office of the People’s Republic of China published a white paper titled “The Taiwan Question and China’s Reunification in the New Era” on Wednesday.

The following is the full text of the white paper:

The Taiwan Question and China’s Reunification in the New Era

The People’s Republic of China

The Taiwan Affairs Office of the State Council and The State Council Information Office

August 2022

Contents

Preamble

I. Taiwan Is Part of China - This Is an Indisputable Fact

II. Resolute Efforts of the CPC to Realize China's Complete Reunification

III. China's Complete Reunification Is a Process That Cannot Be Halted

IV. National Reunification in the New Era

V. Bright Prospects for Peaceful Reunification

Conclusion

Preamble

Resolving the Taiwan question and realizing China’s complete reunification is a shared aspiration of all the sons and daughters of the Chinese nation. It is indispensable for the realization of China’s rejuvenation. It is also a historic mission of the Communist Party of China (CPC). The CPC, the Chinese government, and the Chinese people have striven for decades to achieve this goal.

The 18th National Congress of the CPC in 2012 heralded a new era in building socialism with Chinese characteristics. Under the strong leadership of the CPC Central Committee with Xi Jinping at the core, the CPC and the Chinese government have adopted new and innovative measures in relation to Taiwan. They have continued to chart the course of cross-Straits relations, safeguard peace and stability across the Taiwan Straits, and promote progress towards national reunification. However, in recent years the Taiwan authorities, led by the Democratic Progressive Party (DPP), have redoubled their efforts to divide the country, and some external forces have tried to exploit Taiwan to contain China, prevent the Chinese nation from achieving complete reunification, and halt the process of national rejuvenation.

The CPC has united the Chinese people and led them in fulfilling the First Centenary Goal of building a moderately prosperous society in all respects as scheduled, and in embarking on a new journey towards the Second Centenary Goal of building China into a modern socialist country.

The Chinese nation has achieved a historic transformation from standing upright to becoming prosperous and growing in strength, and national rejuvenation is driven by an unstoppable force. This marks a new starting point for reunification.

The Chinese government has published two previous white papers on Taiwan. One was The Taiwan Question and Reunification of China in August 1993, and the other was The One-China Principle and the Taiwan Issue in February 2000. These two white papers provided a comprehensive and systematic elaboration of the basic principles and policies regarding the resolution of the Taiwan question. This new white paper is being released to reiterate the fact that Taiwan is part of China, to demonstrate the resolve of the CPC and the Chinese people and their commitment to national reunification, and to emphasize the position and policies of the CPC and the Chinese government in the new era.

I. Taiwan Is Part of China – This Is an Indisputable Fact

Taiwan has belonged to China since ancient times. This statement has a sound basis in history and jurisprudence. New archeological discoveries and research findings regularly attest to the profound historical and cultural ties between the two sides of the Taiwan Straits. A large number of historical records and annals document the development of Taiwan by the Chinese people in earlier periods.

The earliest references to this effect are to be found, among others, in Seaboard Geographic Gazetteer compiled in the year 230 by Shen Ying of the State of Wu during the Three Kingdoms Period. The royal court of the Sui Dynasty had on three occasions sent troops to Taiwan, called Liuqiu at that time. Starting from the Song and Yuan dynasties, the imperial central governments of China all set up administrative bodies to exercise jurisdiction over Penghu and Taiwan.

In 1624, Dutch colonialists invaded and occupied the southern part of Taiwan. In 1662, General Zheng Chenggong, hailed as a national hero, led an expedition and expelled them from the island. Subsequently, the Qing court gradually set up more administrative bodies in Taiwan. In 1684, a Taiwan prefecture administration was set up under the jurisdiction of Fujian Province. In 1885, Taiwan’s status was upgraded and it became the 20th province of China.

In July 1894, Japan launched a war of aggression against China. In April 1895, the defeated Qing government was forced to cede Taiwan and the Penghu Islands to Japan. During the Chinese People’s War of Resistance Against Japanese Aggression (1931-1945), China’s Communists called for the recovery of Taiwan. Talking with American journalist Nym Wales on May 15, 1937, Mao Zedong said that China’s goal was to achieve a final victory in the war – a victory that would recover the occupied Chinese territories in Northeast China and to the south of the Shanhai Pass, and secure the liberation of Taiwan.

On December 9, 1941, the Chinese government issued a declaration of war against Japan, and proclaimed that all treaties, conventions, agreements, and contracts regarding relations between China and Japan had been abrogated, and that China would recover Taiwan and the Penghu Islands.

The Cairo Declaration issued by China, the United States and the United Kingdom on December 1, 1943 stated that it was the purpose of the three allies that all the territories Japan had stolen from China, such as Northeast China, Taiwan and the Penghu Islands, should be restored to China.

The Potsdam Proclamation was signed by China, the United States and the United Kingdom on July 26, 1945, and subsequently recognized by the Soviet Union. It reiterated: “The terms of the Cairo Declaration shall be carried out.” In September of the same year, Japan signed the instrument of surrender, in which it promised that it would faithfully fulfill the obligations laid down in the Potsdam Proclamation. On October 25 the Chinese government announced that it was resuming the exercise of sovereignty over Taiwan, and the ceremony to accept Japan’s surrender in Taiwan Province of the China war theater of the Allied powers was held in Taibei (Taipei). From that point forward, China had recovered Taiwan de jure and de facto through a host of documents with international legal effect.

On October 1, 1949, the People’s Republic of China (PRC) was founded, becoming the successor to the Republic of China (1912-1949), and the Central People’s Government became the only legitimate government of the whole of China. The new government replaced the previous KMT regime in a situation where China, as a subject under international law, did not change and China’s sovereignty and inherent territory did not change. As a natural result, the government of the PRC should enjoy and exercise China’s full sovereignty, which includes its sovereignty over Taiwan.

As a result of the civil war in China in the late 1940s and the interference of external forces, the two sides of the Taiwan Straits have fallen into a state of protracted political confrontation. But the sovereignty and territory of China have never been divided and will never be divided, and Taiwan’s status as part of China’s territory has never changed and will never be allowed to change.

At its 26th session in October 1971, the United Nations General Assembly adopted Resolution 2758, which undertook “to restore all its rights to the People’s Republic of China and to recognize the representatives of its Government as the only legitimate representatives of China to the United Nations, and to expel forthwith the representatives of Chiang Kai-shek from the place which they unlawfully occupy at the United Nations and in all the organizations related to it”. This resolution settled once and for all the political, legal and procedural issues of China’s representation in the UN, and it covered the whole country, including Taiwan. It also spelled out that China has one single seat in the UN, so there is no such thing as “two Chinas” or “one China, one Taiwan”.

The specialized agencies of the UN later adopted further resolutions restoring to the PRC its lawful seat and expelling the representatives of the Taiwan authorities. One of these is Resolution 25.1 adopted at the 25th World Health Assembly in May 1972. It was clearly stated in the official legal opinions of the Office of Legal Affairs of the UN Secretariat that “the United Nations considers ‘Taiwan’ as a province of China with no separate status”, and the “‘authorities’ in ‘Taipei’ are not considered to… enjoy any form of government status”. At the UN the island is referred to as “Taiwan, Province of China”[1].

Resolution 2758 is a political document encapsulating the one-China principle whose legal authority leaves no room for doubt and has been acknowledged worldwide. Taiwan does not have any ground, reason, or right to join the UN, or any other international organization whose membership is confined to sovereign states.

In recent years some elements in a small number of countries, the US foremost among them, have colluded with forces in Taiwan, to falsely claim that the resolution did not conclusively resolve the issue of Taiwan’s representation. Puffing up the illegal and invalid Treaty of San Francisco[2] and disregarding the Cairo Declaration, the Potsdam Proclamation and other international legal documents, they profess that the status of Taiwan has yet to be determined, and declare their support for “Taiwan’s meaningful participation in the UN system”. What they are actually attempting to do is to alter Taiwan’s status as part of China and create “two Chinas” or “one China, one Taiwan” as part of a political ploy – using Taiwan to contain China. These actions in violation of Resolution 2758 and international law are a serious breach of political commitments made by these countries. They damage China’s sovereignty and dignity, and treat the basic principles of international law with contempt. The Chinese government has condemned and expressed its resolute opposition to them.

The one-China principle represents the universal consensus of the international community; it is consistent with the basic norms of international relations. To date, 181 countries including the United States have established diplomatic relations with the PRC on the basis of the one-China principle. The China-US Joint Communique on the Establishment of Diplomatic Relations, published in December 1978, states: “The Government of the United States of America acknowledges the Chinese position that there is but one China and Taiwan is part of China.” It also states: “The United States of America recognizes the Government of the People’s Republic of China as the sole legal Government of China. Within this context, the people of the United States will maintain cultural, commercial, and other unofficial relations with the people of Taiwan.”

The Constitution of the People’s Republic of China, adopted at the Fifth Session of the Fifth National People’s Congress (NPC) in December 1982, stipulates: “Taiwan is part of the sacred territory of the People’s Republic of China. It is the inviolable duty of all Chinese people, including our compatriots in Taiwan, to accomplish the great task of reunifying the motherland.”

The Anti-Secession Law, adopted at the Third Session of the 10th NPC in March 2005, stipulates: “There is only one China in the world. Both the mainland and Taiwan belong to one China. China’s sovereignty and territorial integrity brook no division. Safeguarding China’s sovereignty and territorial integrity is the common obligation of all Chinese people, the Taiwan compatriots included. Taiwan is part of China. The state shall never allow the ‘Taiwan independence’ secessionist forces to make Taiwan secede from China under any name or by any means.”

The National Security Law, adopted at the 15th meeting of the Standing Committee of the 12th NPC in July 2015, stipulates: “The sovereignty and territorial integrity of China brook no violation or separation. Safeguarding national sovereignty, unity and territorial integrity is the common duty of all Chinese citizens, including Hong Kong, Macao and Taiwan compatriots.”

We are one China, and Taiwan is part of China. This is an indisputable fact supported by history and the law. Taiwan has never been a state; its status as part of China is unalterable. Any attempt to distort these facts and dispute or deny the one-China principle will end in failure.

II. Resolute Efforts of the CPC to Realize China’s Complete Reunification

The CPC has always been dedicated to working for the wellbeing of the Chinese people and the rejuvenation of the Chinese nation. Soon after its founding in 1921, the CPC set itself the goal of freeing Taiwan from colonial rule, reuniting it with the rest of the country and liberating the whole nation, including compatriots in Taiwan. It has made a tremendous effort to achieve this goal.

The CPC is committed to the historic mission of resolving the Taiwan question and realizing China’s complete reunification. Under its resolute leadership, people on both sides of the Taiwan Straits have worked together to de-escalate tension across the Straits. They have set out on a path of peaceful development and made many breakthroughs in improving cross-Straits relations.

After the founding of the PRC in 1949, China’s Communists, under the leadership of Mao Zedong, proposed the essential guideline, underlying principle, and basic policy for peaceful settlement of the Taiwan question. The CPC prepared and worked for the liberation of Taiwan, thwarted the Taiwan authorities’ plans to attack the mainland, and foiled attempts to create “two Chinas” and “one China, one Taiwan”. Through their efforts, the lawful seat and rights of the PRC in the United Nations were restored and the one-China principle was subscribed to by the majority of countries, laying important groundwork for peaceful reunification. The CPC central leadership established high-level contact with the Taiwan authorities through proper channels in pursuit of a peaceful solution to the Taiwan question.

Following the Third Plenary Session of the 11th CPC Central Committee in 1978, with the establishment of diplomatic relations between the PRC and the United States, China’s Communists, led by Deng Xiaoping, defined the fundamental guideline for peaceful reunification in the vital interests of the country and the people and on the basis of the consensus for peaceful settlement of the Taiwan question. The CPC introduced the creative and well-conceived concept of One Country, Two Systems, and applied it first in resolving the questions of Hong Kong and Macao. It took action to ease military confrontation across the Taiwan Straits, restore contact, and open up people-to-people exchanges and cooperation, opening a new chapter in cross-Straits relations.

After the Fourth Plenary Session of the 13th CPC Central Committee in 1989, China’s Communists, led by Jiang Zemin, made eight proposals for the development of cross-Straits relations and the peaceful reunification of China[3]. The CPC facilitated agreement across the Straits on the 1992 Consensus, which embodies the one-China principle. It initiated cross-Straits consultations and negotiations, resulting in the first talks between heads of the non-governmental organizations authorized by the two sides of the Straits, and expanded cross-Straits exchanges and cooperation in various fields. The CPC took firm action against separatist activities led by Lee Teng-hui, and struck hard at the separatist forces seeking “Taiwan independence”. It ensured the smooth return of Hong Kong and Macao to China, and applied the policy of One Country, Two Systems, which had a constructive impact on the settlement of the Taiwan question.

After the 16th CPC National Congress in 2002, China’s Communists, led by Hu Jintao, highlighted the importance of peaceful development of cross-Straits relations. The CPC pushed for the enactment of the Anti-Secession Law to curb separatist activities in Taiwan, hosted the first talks between the leaders of the CPC and the Kuomintang in six decades since 1945, and defeated attempts by Chen Shui-bian to fabricate a legal basis for “independence”. The CPC effected profound changes in moving the peaceful development of cross-Straits relations forward by promoting institutionalized consultations and negotiations that produced fruitful results, establishing overall direct two-way links in mail, business and transport, and facilitating the signing and implementation of the Economic Cooperation Framework Agreement.

After the 18th CPC National Congress in 2012, China’s Communists, under the leadership of Xi Jinping, took a holistic approach to cross-Straits relations in keeping with changing circumstances, added substance to the theory on national reunification and the principles and policies concerning Taiwan, and worked to keep cross-Straits relations on the right track. The CPC developed its overall policy for resolving the Taiwan question in the new era, and set out the overarching guideline and a program of action.

At its 19th National Congress in October 2017, the CPC affirmed the basic policy of upholding One Country, Two Systems and promoting national reunification, and emphasized its resolve never to allow any person, any organization, or any political party, at any time or in any form, to separate any part of Chinese territory from China.

In January 2019, Xi Jinping, general secretary of the CPC Central Committee and president of China, addressed a meeting marking the 40th anniversary of the release of the Message to Compatriots in Taiwan. In his speech, Xi Jinping proposed major policies to advance the peaceful development of cross-Straits relations and the peaceful reunification of China in the new era. These are: first, working together to promote China’s rejuvenation and its peaceful reunification; second, seeking a Two Systems solution to the Taiwan question and making innovative efforts towards peaceful reunification; third, abiding by the one-China principle and safeguarding the prospects for peaceful reunification; fourth, further integrating development across the Straits and consolidating the foundations for peaceful reunification; fifth, forging closer bonds of heart and mind between people on both sides of the Straits and strengthening joint commitment to peaceful reunification.

The CPC and the Chinese government have thereby adopted a series of major measures for charting the course of cross-Straits relations and realizing China’s peaceful reunification:

– The CPC and the Chinese government have facilitated the first meeting and direct dialogue between leaders of the two sides since 1949, raising exchanges and interactions to new heights, opening up a new chapter, and creating new space for cross-Straits relations. This is a new milestone. The departments in charge of cross-Straits affairs on both sides have established regular contact and communication mechanisms on a common political foundation, and the heads of the two departments have exchanged visits and set up hotlines.

– Upholding the one-China principle and the 1992 Consensus, the CPC and the Chinese government have facilitated exchanges between political parties across the Straits, and conducted dialogues, consultations, and in-depth exchanges of views on cross-Straits relations and the future of the Chinese nation with relevant political parties, organizations, and individuals in Taiwan. These efforts have resulted in consensus on multiple issues, and promoted a number of joint initiatives exploring the Two Systems solution to the Taiwan question with all sectors of Taiwan society.

– Guided by the conviction that people on both sides of the Taiwan Straits are of the same family, the CPC and the Chinese government have promoted peaceful development of cross-Straits relations and integrated development of the two sides for the benefit of both the mainland and Taiwan. We have also refined the institutional arrangements, policies and measures to promote cross-Straits exchanges and cooperation, designed to advance the wellbeing of the people of Taiwan. These include the delivery of water from the coastal province of Fujian to Kinmen Island, electronic travel passes for Taiwan residents to enter or leave the mainland, residence permits for Taiwan residents, progressively ensuring that Taiwan compatriots have equal access to public services so as to facilitate their studying, starting businesses, working and living on the mainland, and an ongoing effort to pave the way for Taiwan to benefit first from the mainland’s development opportunities.

– While countering interference and obstruction from separatist forces, the CPC and the Chinese government have called on the people of Taiwan to promote effective and in-depth cooperation and people-to-people exchanges in various fields across the Straits. Having overcome the impact of COVID-19, we have held a number of exchange events such as the Straits Forum, and maintained the momentum of cross-Straits exchanges and cooperation.

– Resolute in defending state sovereignty and territorial integrity and opposing separatist activities and external interference, the CPC and the Chinese government have safeguarded peace and stability in the Taiwan Straits and the fundamental interests of the Chinese nation. We have taken lawful action against and effectively deterred separatist forces. We have handled Taiwan’s external exchanges in a sound manner, and consolidated the international community’s commitment to the one-China principle.

Under the guidance of the CPC, great progress has been made in cross-Straits relations over the past seven decades, especially since the estrangement between the two sides was ended. Increased exchanges, broader cooperation and closer interactions have brought tangible benefits to people across the Straits, especially of Taiwan. This fully demonstrates that cross-Straits amity and cooperation are mutually beneficial.

The volume of cross-Straits trade was only US$46 million in 1978. It rose to US$328.34 billion in 2021, up by a factor of more than 7,000. The mainland has been Taiwan’s largest export market for the last 21 years, generating a large annual surplus for the island. The mainland is also the largest destination for Taiwan’s off-island investment. By the end of 2021 Taiwan businesses had invested in almost 124,000 projects on the mainland, to a total value of US$71.34 billion[4].

In 1987 less than 50,000 visits were made between the two sides; by 2019 this number had soared to about 9 million. In the past three years, affected by COVID-19, online communication has become the main form of people-to-people interactions across the Straits, and the numbers of people participating in and covered by online communication are reaching new highs.

The CPC has always been the spine of the Chinese nation, exercising strong leadership in realizing national rejuvenation and reunification. Its consistent efforts over the decades to resolve the Taiwan question and achieve complete national reunification are based on the following:

First, the one-China principle must be upheld, and no individual or force should be allowed to separate Taiwan from China.

Second, it is imperative to strive for the wellbeing of all Chinese people, including those in Taiwan, and to realize the aspirations of all Chinese people for a better life.

Third, we must follow the principles of freeing the mind, seeking truth from facts, maintaining the right political orientation, and breaking new ground, and defend the fundamental interests of the nation and the core interests of the state in formulating principles and policies on work related to Taiwan.

Fourth, it is necessary to have the courage and skill to fight against any force that attempts to undermine China’s sovereignty and territorial integrity or stands in the way of its reunification.

Fifth, extensive unity and solidarity must be upheld to mobilize all factors to fight against any force that would divide the country, and pool strengths to advance national reunification.

III. China’s Complete Reunification Is a Process That Cannot Be Halted

Against a backdrop of profound and complex changes in the domestic and international situation, our cause of complete national reunification is facing new challenges. The CPC and the Chinese government have the strength and the confidence to deal with complexities and overcome risks and threats, and the ability to take great strides forward on the path to national reunification.

1. Complete Reunification Is Critical to National Rejuvenation

Throughout China’s 5,000-year history, national reunification and opposition to division have remained a common ideal and a shared tradition of the whole nation. In the modern era from the mid-19th century, due to the aggression of Western powers and the decadence of feudal rule, China was gradually reduced to a semi-feudal, semi-colonial society, and went through a period of suffering worse than anything it had previously known. The country endured intense humiliation, the people were subjected to great pain, and the Chinese civilization was plunged into darkness. Japan’s 50-year occupation of Taiwan epitomized this humiliation and inflicted agony on both sides of the Taiwan Straits. Our two sides face each other just across a strip of water, yet we are still far apart. The fact that we have not yet been reunified is a scar left by history on the Chinese nation. We Chinese on both sides should work together to achieve reunification and heal this wound.

National rejuvenation has been the greatest dream of the Chinese people and the Chinese nation since the modern era began. Only by realizing complete national reunification can the Chinese people on both sides of the Straits cast aside the shadow of civil war and create and enjoy lasting peace. National reunification is the only way to avoid the risk of Taiwan being invaded and occupied again by foreign countries, to foil the attempts of external forces to contain China, and to safeguard the sovereignty, security, and development interests of our country. It is the most effective remedy to secessionist attempts to divide our country, and the best means to consolidate Taiwan’s status as part of China and advance national rejuvenation. It will enable us to pool the strengths of the people on both sides, build our common home, safeguard our interests and wellbeing, and create a brighter future for the Chinese people and the Chinese nation. As Dr Sun Yat-sen, the great pioneer of China’s revolution, once said, “Unification is the hope of all Chinese nationals. If China can be unified, all Chinese will enjoy a happy life; if it cannot, all will suffer.”

In exploring the path to rejuvenation and prosperity, China has endured vicissitudes and hardships. “Unification brings strength while division leads to chaos.” This is a law of history. The realization of complete national reunification is driven by the history and culture of the Chinese nation and determined by the momentum towards and circumstances surrounding our national rejuvenation. Never before have we been so close to, confident in, and capable of achieving the goal of national rejuvenation. The same is true when it comes to our goal of complete national reunification. The Taiwan question arose as a result of weakness and chaos in our nation, and it will be resolved as national rejuvenation becomes a reality. When all the Chinese people stick together and work together, we will surely succeed in realizing national reunification on our way to national rejuvenation.

2. National Development and Progress Set the Direction of Cross-Straits Relations

China’s development and progress are a key factor determining the course of cross-Straits relations and the realization of complete national reunification. In particular, the great achievements over four decades of reform, opening up and modernization have had a profound impact on the historical process of resolving the Taiwan question and realizing complete national reunification. No matter which political party or group is in power in Taiwan, it cannot alter the course of progress in cross-Straits relations or the trend towards national reunification.

International Monetary Fund statistics show that in 1980 the GDP of the mainland was about US$303 billion, just over 7 times that of Taiwan, which was about US$42.3 billion; in 2021, the GDP of the mainland was about US$17.46 trillion, more than 22 times that of Taiwan, which was about US$790 billion.[5]

China’s development and progress, and in particular the steady increases in its economic power, technological strength, and national defense capabilities, are an effective curb against separatist activities and interference from external forces. They also provide broad space and great opportunities for cross-Straits exchanges and cooperation. As more and more compatriots from Taiwan, especially young people, pursue their studies, start businesses, seek jobs, or go to live on the mainland, cross-Straits exchanges, interaction and integration are intensified in all sectors, the economic ties and personal bonds between the people on both sides run deeper, and our common cultural and national identities grow stronger, leading cross-Straits relations towards reunification.

The CPC has united the Chinese people and led them in embarking on the new journey of building China into a modern socialist country in all respects. Following the path of socialism with Chinese characteristics, the mainland has improved its governance and maintained long-term economic growth; it enjoys a solid material foundation, a wealth of human resources, a huge market, strong resilience in development, and social stability. It therefore has many strengths and favorable conditions for further development, and these have become the driving force for reunification.

Grounding its effort in the new development stage, the mainland is committed to applying the new development philosophy, creating a new development dynamic, and promoting high-quality development. As a result, the overall strength and international influence of the mainland will continue to increase, and its influence over and appeal to Taiwan society will keep growing. We will have a more solid foundation for resolving the Taiwan question and greater ability to do so. This will give a significant boost to national reunification.

3. Any Attempt by Separatist Forces to Prevent Reunification Is Bound to Fail

Taiwan has been an integral part of China’s territory since ancient times. Moves to separate Taiwan from China represent the serious crime of secession, and undermine the common interests of compatriots on both sides of the Taiwan Straits and the fundamental interests of the Chinese nation. They will lead nowhere.

The DPP authorities have adopted a separatist stance, and colluded with external forces in successive provocative actions designed to divide the country. They refuse to recognize the one-China principle, and distort and deny the 1992 Consensus. They assert that Taiwan and the mainland should not be subordinate to each other, and proclaim a new “two states” theory. On the island, they constantly press for “de-sinicization” and promote “incremental independence”. They incite radical separatists in and outside the DPP to lobby for amendments to their “constitution” and “laws”. They deceive the people of Taiwan, incite hostility against the mainland, and obstruct and undermine cross-Straits exchanges, cooperation and integrated development. They have steadily built up their military forces with the intention of pursuing “independence” and preventing reunification by force. They join with external forces in trying to sow the seeds of “two Chinas” or “one China, one Taiwan”. The actions of the DPP authorities have resulted in tension in cross-Straits relations, endangering peace and stability in the Taiwan Straits, and undermining the prospects and restricting the space for peaceful reunification. These are obstacles that must be removed in advancing the process of peaceful reunification.

Taiwan belongs to all the Chinese people, including the 23 million Taiwan compatriots. The Chinese people are firm in their resolve and have a deep commitment to safeguarding China’s sovereignty and territorial integrity, and the fundamental interests of the Chinese nation, and this resolve and commitment will frustrate any attempt to divide the country. When Taiwan was invaded by a foreign power more than 100 years ago, China was a poor and weak country. More than 70 years ago, China defeated the invaders and recovered Taiwan. Today, China has grown into the world’s second largest economy. With significant growth in its political, economic, cultural, technological, and military strength, there is no likelihood that China will allow Taiwan to be separated again. Attempts to reject reunification and split the country are doomed, because they will founder against the history and culture of the Chinese nation as well as the resolve and commitment of more than 1.4 billion Chinese people.

4. External Forces Obstructing China’s Complete Reunification Will Surely Be Defeated

External interference is a prominent obstacle to China’s reunification. Still lost in delusions of hegemony and trapped in a Cold War mindset, some forces in the US insist on perceiving and portraying China as a major strategic adversary and a serious long-term threat. They do their utmost to undermine and pressurize China, exploiting Taiwan as a convenient tool. The US authorities have stated that they remain committed to the one-China policy and that they do not support “Taiwan independence”. But their actions contradict their words. They are clouding the one-China principle in uncertainty and compromising its integrity. They are contriving “official” exchanges with Taiwan, increasing arms sales, and colluding in military provocation. To help Taiwan expand its “international space”, they are inducing other countries to interfere in Taiwan affairs, and concocting Taiwan-related bills that infringe upon the sovereignty of China. They are creating confusion around what is black and white, right and wrong. On the one hand, they incite separatist forces to create tension and turmoil in cross-Straits relations. On the other hand, they accuse the mainland of coercion, pressurizing Taiwan, and unilaterally changing the status quo, in order to embolden these forces and create obstacles to China’s peaceful reunification.

The important principles of respecting state sovereignty and territorial integrity as enshrined in the Charter of the United Nations are the cornerstones of modern international law and basic norms of international relations. It is the sacred right of every sovereign state to safeguard national unity and territorial integrity. It goes without saying that the Chinese government is entitled to take all measures necessary to settle the Taiwan question and achieve national reunification, free of external interference.

Behind the smokescreens of “freedom, democracy, and human rights” and “upholding the rules-based international order”, some anti-China forces in the US deliberately distort the nature of the Taiwan question – which is purely an internal matter for China – and try to deny the legitimacy and justification of the Chinese government in safeguarding national sovereignty and territorial integrity. This clearly reveals their intention of using Taiwan to contain China and obstruct China’s reunification, which should be thoroughly exposed and condemned.

These external forces are using Taiwan as a pawn to undermine China’s development and progress, and obstruct the rejuvenation of the Chinese nation. They are doing so at the cost of the interests, wellbeing and future of the people of Taiwan rather than for their benefit. They have encouraged and instigated provocative actions by the separatist forces; these have intensified cross-Straits tension and confrontation, and undermined peace and stability in the Asia-Pacific region. This runs counter to the underlying global trends of peace, development and win-win cooperation, and goes against the wishes of the international community and the aspiration of all peoples.

Shortly after the PRC was founded, even though the country itself had to be rebuilt on the ruins of decades of war, China and its people won a resounding victory in the War to Resist US Aggression and Aid Korea (1950-1953). We defeated a powerful and well-armed enemy through gallantry and tenacity. In doing so, we safeguarded the security of the newly founded People’s Republic, reestablished the status of China as a major country in the world, and demonstrated our heroic spirit, our lack of fear, and our will to stand up against the abuse of the powerful.

China is firmly committed to peaceful development. At the same time, it will not flinch under any external interference, nor will it tolerate any infringement upon its sovereignty, security and development interests. Relying on external forces will achieve nothing for Taiwan’s separatists, and using Taiwan to contain China is doomed to fail.

Tranquility, development and a decent life are the expectations of our Taiwan compatriots, and the common aspiration of those on both sides of the Taiwan Straits. Under the strong leadership of the CPC, the Chinese people and the Chinese nation have stood upright, won prosperity, and grown in strength. A moderately prosperous society in all respects has been built on the mainland, where a large population once lived in dire poverty. We now have better conditions, more confidence, and greater capabilities. We can complete the historic mission of national reunification, so that both sides of the Straits can enjoy a better life. The wheel of history rolls on towards national reunification, and it will not be stopped by any individual or any force.

IV. National Reunification in the New Era

Taking into consideration the overall goal of national rejuvenation in the context of global change on a scale unseen in a century, the CPC and the Chinese government have continued to follow the CPC’s fundamental guidelines on the Taiwan question and implement its principles and policies towards Taiwan, and have made concrete efforts to promote peaceful cross-Straits relations, integrate the development of the two sides, and work towards national reunification.

1. Upholding the Basic Principles of Peaceful Reunification and One Country, Two Systems

National reunification by peaceful means is the first choice of the CPC and the Chinese government in resolving the Taiwan question, as it best serves the interests of the Chinese nation as a whole, including our compatriots in Taiwan, and it works best for the long-term stability and development of China. We have worked hard to overcome hardships and obstacles to peaceful reunification over the past decades, showing that we cherish and safeguard the greater good of the nation, the wellbeing of our compatriots in Taiwan, and peace on both sides.

The One Country, Two Systems principle is an important institutional instrument created by the CPC and the Chinese government to enable peaceful reunification. It represents a great achievement of Chinese socialism. Peaceful reunification and One Country, Two Systems are our basic principles for resolving the Taiwan question and the best approach to realizing national reunification. Embodying the Chinese wisdom – we thrive by embracing each other – they take full account of Taiwan’s realities and are conducive to long-term stability in Taiwan after reunification.

We maintain that after peaceful reunification, Taiwan may continue its current social system and enjoy a high degree of autonomy in accordance with the law. The two social systems will develop side by side for a long time to come. One Country is the precondition and foundation of Two Systems; Two Systems is subordinate to and derives from One Country; and the two are integrated under the one-China principle.

We will continue working with our compatriots in Taiwan to explore a Two Systems solution to the Taiwan question and increase our efforts towards peaceful reunification. In designing the specifics for implementing One Country, Two Systems, we will give full consideration to the realities in Taiwan and the views and proposals from all walks of life on both sides, and fully accommodate the interests and sentiments of our compatriots in Taiwan.

Ever since the One Country, Two Systems principle was proposed, certain political forces have been misrepresenting and distorting its objectives. The DPP and the authorities under its leadership have done everything possible to target the principle with baseless criticisms, and this has led to misunderstandings about its aims in some quarters of Taiwan. It is a fact that since Hong Kong and Macao returned to the motherland and were reincorporated into national governance, they have embarked on a broad path of shared development together with the mainland, and each complements the others’ strengths. The practice of One Country, Two Systems has been a resounding success.

For a time, Hong Kong faced a period of damaging social unrest caused by anti-China agitators both inside and outside the region. Based on a clear understanding of the situation there, the CPC and the Chinese government upheld the One Country, Two Systems principle, made some appropriate improvements, and took a series of measures that addressed both the symptoms and root causes of the unrest. Order was restored and prosperity returned to Hong Kong. This has laid a solid foundation for the law-based governance of Hong Kong and Macao and the long-term continuation of One Country, Two Systems.

To realize peaceful reunification, we must acknowledge that the mainland and Taiwan have their own distinct social systems and ideologies. The One Country, Two Systems principle is the most inclusive solution to this problem. It is an approach that is grounded in democratic principles, demonstrates good will, seeks peaceful resolution of the Taiwan question, and delivers mutual benefit. The differences in social system are neither an obstacle to reunification nor a justification for secessionism. We firmly believe that our compatriots in Taiwan will develop a better understanding of the principle, and that the Two Systems solution to the Taiwan question will play its full role while compatriots on both sides work together towards peaceful reunification.

Peaceful reunification can only be achieved through consultation and discussion as equals. The long-standing political differences between the two sides are the fundamental obstacles to the steady improvement of cross-Straits relations, but we should not allow this problem to be passed down from one generation to the next. We can phase in flexible forms of consultation and discussion. We are ready to engage with all parties, groups, or individuals in Taiwan in a broad exchange of views aimed at resolving the political differences between the two sides based on the one-China principle and the 1992 Consensus. Representatives will be recommended by all political parties and all sectors of society on both sides, and they will engage in democratic consultations on peaceful development of cross-Straits relations, integrated development of the two sides, and the peaceful reunification of our country.

2. Promoting Peaceful Cross-Straits Relations and Integrated Development

Peaceful cross-Straits relations and integrated development pave the way for reunification and serve to benefit our people on both sides. Thus, both sides should work together towards this goal. We will extend integrated development, increase exchanges and cooperation, strengthen bonds, and expand common interests in the peaceful development of cross-Straits relations. In this way, we will all identify more closely with the Chinese culture and Chinese nation, and heighten the sense of our shared future. This lays solid foundations for peaceful reunification.

We will explore an innovative approach to integrated development and take the lead in setting up a pilot zone for integrated cross-Straits development in Fujian Province, advancing integration through better connectivity and more preferential policies, and based on mutual trust and understanding. Both sides should continue to promote connectivity in any area where it is beneficial, including trade and economic cooperation, infrastructure, energy and resources, and industrial standards. We should promote cooperation in culture, education, and health care, and the sharing of social security and public resources. We should support neighboring areas or areas with similar conditions on the two sides in providing equal, universal, and accessible public services. We should take active steps to institutionalize cross-Straits economic cooperation and create a common market for the two sides to strengthen the Chinese economy.

We will improve the systems and policies to guarantee the wellbeing of Taiwan compatriots and ensure that they are treated as equals on the mainland, and we will protect their legitimate rights and interests here in accordance with the law. We will support our fellow Chinese and enterprises from Taiwan in participating in the Belt and Road Initiative, major regional development strategies, and the strategy for coordinated regional development. We will help them integrate into the new development dynamic, participate in high-quality development, share in more development opportunities, and benefit from national socio-economic development.

We will expand cross-Straits exchanges and cooperation in various fields and overcome any obstacles and obstruction. We will encourage our people on both sides to pass on the best of traditional Chinese culture and ensure that it grows in new and creative ways. We will strengthen communication among the general public and the younger generations on both sides, and encourage more fellow Chinese in Taiwan – young people in particular – to pursue studies, start businesses, seek jobs, or live on the mainland. This will help people on both sides to expand mutual understanding, strengthen mutual trust, consolidate a shared sense of identity, and forge closer bonds of heart and mind.

3. Defeating Separatism and External Interference

Separatism will plunge Taiwan into the abyss and bring nothing but disaster to the island. To protect the interests of the Chinese nation as a whole, including our compatriots in Taiwan, we must resolutely oppose it and work for peaceful reunification. We are ready to create vast space for peaceful reunification; but we will leave no room for separatist activities in any form.

We Chinese will decide our own affairs. The Taiwan question is an internal affair that involves China’s core interests and the Chinese people’s national sentiments, and no external interference will be tolerated. Any attempt to use the Taiwan question as a pretext to interfere in China’s internal affairs or obstruct China’s reunification will meet with the resolute opposition of the Chinese people, including our compatriots in Taiwan. No one should underestimate our resolve, will and ability to defend China’s sovereignty and territorial integrity.

We will work with the greatest sincerity and exert our utmost efforts to achieve peaceful reunification. But we will not renounce the use of force, and we reserve the option of taking all necessary measures. This is to guard against external interference and all separatist activities. In no way does it target our fellow Chinese in Taiwan. Use of force would be the last resort taken under compelling circumstances. We will only be forced to take drastic measures to respond to the provocation of separatist elements or external forces should they ever cross our red lines.

We will always be ready to respond with the use of force or other necessary means to interference by external forces or radical action by separatist elements. Our ultimate goal is to ensure the prospects of China’s peaceful reunification and advance this process.

Some forces in the US are making every effort to incite groups inside Taiwan to stir up trouble and use Taiwan as a pawn against China. This has jeopardized peace and stability across the Taiwan Straits, obstructed the Chinese government’s efforts towards peaceful reunification, and undermined the healthy and steady development of China-US relations. Left unchecked, it will continue to escalate tension across the Straits, further disrupt China-US relations, and severely damage the interests of the US itself. The US should abide by the one-China principle, deal with Taiwan-related issues in a prudent and proper manner, stand by its previous commitments, and stop supporting Taiwan separatists.

4. Working with Our Fellow Chinese in Taiwan Towards National Reunification and Rejuvenation

National reunification is an essential step towards national rejuvenation. The future of Taiwan lies in China’s reunification, and the wellbeing of the people in Taiwan hinges on the rejuvenation of the Chinese nation, an endeavor that bears on the future and destiny of the people on both sides. A united and prosperous China will be a blessing for all Chinese, while a weak and divided China will be a disaster. Only China’s rejuvenation and prosperity can bring lives of plenty and happiness to both sides. But it requires the joint efforts of both sides, as does the complete reunification of the country.

Separatist propaganda and the unresolved political dispute between the two sides have created misconceptions over cross-Straits relations, problems with national identity, and misgivings over national reunification among some fellow Chinese in Taiwan. Blood is thicker than water, and people on both sides of the Straits share the bond of kinship. We have great patience and tolerance and we will create conditions for closer exchanges and communication between the two sides, and to increase our compatriots’ knowledge of the mainland and reduce these misconceptions and misgivings, in order to help them resist the manipulation of separatists.

We will join hands with our fellow Chinese in Taiwan to strive for national reunification and rejuvenation. We hope they will stand on the right side of history, be proud of their Chinese identity, and fully consider the position and role of Taiwan in China’s rejuvenation. We hope they will pursue the greater good of the nation, resolutely oppose separatism and any form of external interference, and make a positive contribution to the just cause of China’s peaceful reunification.

V. Bright Prospects for Peaceful Reunification

Once peaceful reunification is achieved under One Country, Two Systems, it will lay new foundations for China to make further progress and achieve national rejuvenation. At the same time, it will create huge opportunities for social and economic development in Taiwan and bring tangible benefits to the people of Taiwan.

1. Taiwan Will Have a Vast Space for Development

Taiwan boasts a high level of economic growth, industries with distinctive local features, and robust foreign trade. Its economy is highly complementary with that of the mainland. After reunification, the systems and mechanisms for cross-Straits economic cooperation will be further improved. Backed up by the vast mainland market, Taiwan’s economy will enjoy broader prospects, become more competitive, develop steadier and smoother industrial and supply chains, and display greater vitality in innovation-driven growth. Many problems that have long afflicted Taiwan’s economy and its people can be resolved through integrated cross-Straits development with all possible connectivity between the two sides. Taiwan’s fiscal revenues can be better employed to improve living standards, bringing real benefits to the people and resolving their difficulties.

Taiwan’s cultural creativity will also enjoy a great boost. Both sides of the Taiwan Straits share the culture and ethos of the Chinese nation. Nourished by the Chinese civilization, Taiwan’s regional culture will flourish and prosper.

2. The Rights and Interests of the People in Taiwan Will Be Fully Protected

Provided that China’s sovereignty, security and development interests are guaranteed, after reunification Taiwan will enjoy a high degree of autonomy as a special administrative region. Taiwan’s social system and its way of life will be fully respected, and the private property, religious beliefs, and lawful rights and interests of the people in Taiwan will be fully protected. All Taiwan compatriots who support reunification of the country and rejuvenation of the nation will be the masters of the region, contributing to and benefitting from China’s development. With a powerful motherland in support, the people of Taiwan will enjoy greater security and dignity and stand upright and rock-solid in the international community.

3. Both Sides of the Taiwan Straits Will Share the Triumph of National Rejuvenation

The people of Taiwan are brave, diligent and patriotic, and have made unremitting efforts to improve themselves. They revere their ancestry and love their homeland. Working together and applying their talents, people on both sides of the Taiwan Straits will create a promising future. After reunification, we Chinese will bridge gaps and differences caused by long-term separation, share a stronger sense of national identity, and stand together as one. After reunification, we can leverage complementary strengths in pursuit of mutual benefit and common development. After reunification, we can join hands to make the Chinese nation stronger and more prosperous, and stand taller among all the nations of the world.

The people separated by the Taiwan Straits share the same blood and a common destiny. After reunification, China will have greater international influence and appeal, and a stronger ability to shape international public opinion, and the Chinese people will enjoy greater self-esteem, self-confidence and national pride. In Taiwan and on the mainland the people will share the dignity and triumph of a united China and be proud of being Chinese. We will work together to refine and implement the Two Systems solution to the Taiwan question, to improve the institutional arrangements for implementing the One Country, Two Systems policy, and to ensure lasting peace and stability in Taiwan.

4. Peaceful Reunification of China Is Conducive to Peace and Development in the Asia-Pacific and the Wider World

Peaceful cross-Straits reunification is of benefit not only to the Chinese nation, but to all peoples and the international community as a whole. The reunification of China will not harm the legitimate interests of any other country, including any economic interests they might have in Taiwan. On the contrary, it will bring more development opportunities to all countries; it will create more positive momentum for prosperity and stability in the Asia-Pacific and the rest of the world; it will contribute more to building a global community of shared future, promoting world peace and development, and propelling human progress.

After reunification, foreign countries can continue to develop economic and cultural relations with Taiwan. With the approval of the central government of China, they may set up consulates or other official and quasi-official institutions in Taiwan, international organizations and agencies may establish offices, relevant international conventions can be applied, and relevant international conferences can be held there.

Conclusion

Over its 5,000-year history, China has created a splendid culture that has shone throughout the world from past times to present, and has made an enormous contribution to human society. After a century of suffering and hardship, the nation has overcome humiliation, emerged from backwardness, and embraced boundless development opportunities. Now, it is striding towards the goal of national rejuvenation.

Embarking on a new journey in a new era, the CPC and the Chinese government will continue to rally compatriots on both sides of the Taiwan Straits, and lead the efforts to answer the call of the times, shoulder historic responsibilities, grasp our fate and our future in our own hands, and work hard to achieve national reunification and rejuvenation.

The journey ahead cannot be all smooth sailing. However, as long as we Chinese on both sides of the Taiwan Straits devote our ingenuity and energy to the same goal, let there be no doubt – we will tolerate no foreign interference in Taiwan, we will thwart any attempt to divide our country, and we will combine as a mighty force for national reunification and rejuvenation. The historic goal of reuniting our motherland must be realized and will be realized.

Notes

[1] United Nations Juridical Yearbook 2010, p. 516.

[2] Between September 4 and 8, 1951, the United States gathered a number of countries in San Francisco for what they described as the San Francisco Peace Conference. Neither the PRC nor the Soviet Union received an invitation. The treaty signed at this meeting, commonly known as the Treaty of San Francisco, included an article under which Japan renounced all rights, title and claim to Taiwan and the Penghu Islands. This treaty contravened the provisions of the Declaration by United Nations signed by 26 countries – including the United States, the United Kingdom, the Soviet Union and China – in 1942, the fundamental principles of the UN Charter, and the basic norms of international law. The PRC was excluded from its preparation, drafting and signing, and its rulings on the territory and sovereign rights of China – including the sovereignty over Taiwan – are therefore illegal and invalid. The Chinese government has always refused to recognize the Treaty of San Francisco, and has never from the outset deviated from this stance. Other countries, including the Soviet Union, Poland, Czechoslovakia, the Democratic People’s Republic of Korea, Mongolia, and Vietnam, have also refused to recognize the document’s authority.

[3] In his speech titled “Continue to Promote the Reunification of the Motherland” on January 30, 1995, Jiang Zemin, then general secretary of the CPC Central Committee and president of China, made eight proposals for the development of cross-Straits relations and peaceful national reunification. He emphasized, “Adhering to the one-China principle is the basis and prerequisite for peaceful reunification”, and “in not promising to renounce the use of force, we are in no way targeting our Taiwan compatriots, but rather foreign forces conspiring to interfere in China’s peaceful reunification and bring about Taiwan independence”. (See Selected Works of Jiang Zemin, Vol. I, Eng. ed., Foreign Languages Press, Beijing, 2009, pp. 407-412.)

[4] This figure does not include reinvestment by Taiwan investors through a third place.

[5] From the statistics of the April 2022 edition of the World Economic Outlook databases of the International Monetary Fund.

READ MORE: China releases white paper on Taiwan question, reunification

A fine Rufus

x
x

She told the receptionist she had no family or ride home. I was saddened to see some (not all) of the employees lack of concern as to how she would get home.

All of the sudden this AMAZING gentleman who had been waiting with his wife approached the lady and told her he would gladly take her home.

This man not knowing her or having a clue where she lived volunteered his time to care of this lovely woman.

She offered to pay but he kindly declined like any good man would. As he went to get his truck I wheeled her out and put her in the vehicle.

As I watched them drive away my only thoughts were ‘there are still great people in this world’ ‘and ‘We have to care for our elders like this nice man.’

Harsh Life Truth

x
x

Barry Kidston

x
Barry Kidston

Barry Kidston was a 22-year-old chemistry student in Maryland, USA, in 1976, and he had one major interest and life’s passion: making narcotics that he and his buddies could legally consume.

When a new recreational drug is manufactured, it does not become instantly illegal because it has not been classified yet. Even if a new drug produces the identical effects of an existing illegal narcotic, so long as its chemical structure is different, no laws are being broken if produced and sold.

There is, thus, a lag time for people to manufacture and sell “designer drugs” when they are first discovered, and Barry Kidston wanted to climb through that loophole.

Kidston knew about an opiate called desmethylprodine (also called MPPP) which was first discovered in the 1940s but never classed (and therefore not illegal), so he attempted to cook up a batch.

However, he made a mistake in the process and ended up manufacturing a substance we now call MPTP, a drug which is stripped of the anaesthetic properties of MPPP …. and also destroys the brain’s ability to produce dopamine.

Kidston injected himself with a dose of this drug. Within a few days he began experiencing symptoms of Parkinson’s disease, a neurological condition that is linked to lack of dopamine production.

Kidston was diagnosed with Parkinson’s disease and continued to struggle with the impact of the condition. Two years later, on 5 September 1978, he died of a cocaine overdose at age 24.

But something good did come from Barry’s experiment: MPTP has turned out to be an extremely valuable tool in Parkinson’s disease research.

Zhang Baige and her 75-year-old father

x
Zhang Baige and her 75-year-old father

One day in 1997, on his way home after work in Luoyang, Henan province, 50-year-old Zhang Shuangqi picked up an abandoned baby girl beside the road.

Unmarried and with no children of his own, Zhang took the girl home and raise her as his daughter. He named her Zhang Baige, taking the only early picture of her.

x
Zhang Baige

“My father’s family is not wealthy, to raise me actually added to his burdens,” Zhang Baige said. “He also did not have any experience raising a child. It was hard for him, but he insisted.”

The man took on several jobs simultaneously to make a living — collecting waste, digging plants to be sold, herding sheep and working at construction sites.

“As a teenager, I remember being ashamed of him collecting waste. To respect my feelings, my father would do it late at night so I wouldn’t know.”

Although Zhang Baige did not attend college after high school, she worked hard — as a waitress, a cashier and peddler — until she opened her own cosmetics company in Guangzhou and made a fortune.

To spend more time with her father, who is now 75 years old, Zhang Baige ended her business in Guangzhou to travel around the country with him. He always likes to see the world outside home.

x
Zhang Baige and her 75-year-old father

Zhang bought a motorhome with about 660,000 yuan ($97,500), and from May last year the two have journeyed from Henan province, traveling through Shaanxi and Sichuan provinces, an then to the Tibet and Xinjiang Uygur autonomous regions. They typically spend a month in a province.

People are touched by their story after watching a series about their journey, which has been shared by Zhang Baige online.

Netizens are impressed, with comments such as, “A kind father and a grateful daughter”, “You’re unfortunate but lucky at the same time.”

Back in Luoyang in February, Zhang Baige determine to settle down for a while and record more of the precious time she spends with her father.

x
x

Relief

x
x

This one absolutely gives me the chills and you won’t see many like it.

Any guesses on what you are seeing?

They are jewish prisoners being liberated from a death train in 1945. They were en route to concentration camps. They would have likely all been killed, many of them almost immediately.

Allied soldiers intercepted it and let them all free.

This train appears to be mostly women and children. Although 6 million Jews were killed, many millions more were also offed because of the insatiable hatred of one man and his supporters.

By the time this photo was taken, the passengers knew exactly what this train meant.

You are staring at “the moment” these people realized they wouldn’t be dying in a group execution.

It’s an incredible photo. And I can’t even fathom the relief they felt. Relief isn’t even a good enough word.

Presence of mind

Teacher addresses a student and asks: “How many kidneys do we have?”

“Four!”, The student responds.

“Four? Haha,” The teacher was one of those who took pleasure in picking on his students’ mistakes and demoralizing them.

“Bring a bundle of grass, because we have an ass in the room,” the teacher orders a front bencher.

“And for me a coffee!”, the student added.

The teacher was furious and expelled the student from the room.

The student was, by the way, the humorist Aparicio Torelly Aporelly (1895-1971), better known as the “Baron de Itararé”.

On his way out of the classroom, the student still had the audacity to correct the furious teacher:

"You asked me how many kidneys ‘we have’. We have four: two of mine and two of yours. ‘We have’ is an expression used for the plural. Enjoy the grass.”

Life demands much more understanding than knowledge. Sometimes people, because they have a little more knowledge or ‘believe’ that they have it, feel they have the right to underestimate others.

Son and cat relationship

x
x

Generally my cat and my son have a one-way relationship. My son tries to love the cat (a little too roughly) and the cat escapes his grasp and goes and sits up high in the cat tree while glowering. I try to run interference in case one day my cat loses patience but so far I had been in luck and my cat was relatively passive.

My son came down with the flu and had the hardest time falling asleep because he couldn’t breathe and would wake up crying every half hour.

My husband and I were exhausted and cranky and slowly losing our minds.

One day as I tried to put him down for a nap on our bed our cat jumped up too.

Not wanting to deal with another fur tussle with my son I tried to kick him off. He just sat there resisting all my attempts to shove him off.

My son sat up and wrapped his arms around my cat and instead of running off like he normally does, he lay flat and curled his tail around my son.

Thanks to the combination of warmth and purring, my son was asleep in 30 seconds.

My cat stayed in that position for two hours as my son slept for the first time in days.

It may not seem like such a huge deal to most but I know that this tired mom was eternally grateful to the love my cat showed my son.

Good Deeds Should Be Remembered

The incident occurred in Texas, at Viterford Prison. One of the guards who guarded the cells on that floor suffered a heart attack. As a result, eight prisoners managed to pick the lock and open the cell door to help him.

x
x

Handcuffed, some of them tried to help the guard with first aid, while others screamed and banged on the walls to draw attention to themselves . Finally they succeed, an ambulance arrived quickly and the man survives.

When asked why they did this, the prisoners said that the guard was a “good man.”

Fiasco Horror!

On Sunday our washing machine broke down. On Monday my husband went to Lowe’s and purchased this new front load washing machine. We thought it was the “new and cool” type of washing machine and didn’t think anything of it. We spent that evening installing it with the kids underfoot. We told them several times that they were not to touch it. They all replied “OK.”

Early Tuesday morning we were woken up by our four-year-old son who was crying so hard he could barely talk. As I was trying to understand what he was saying, my husband flew out of bed and down the stairs. It was then that the realization hit.

He had said: Kloe. Inside. Washer.

By the time we reached the laundry room in the basement, my three-year-old daughter Kloe was LOCKED inside the airtight washing machine. It was tumbling and filling with water. She was screaming but you couldn’t hear her.

We were able to quickly stop it and unlock the door and get her out. Aside from a couple of small bumps on her head and wet clothes, she was fine.

After going through all the “what if‘s” and “could have’s” we know we are very blessed and God had mercy on our sweet daughter.

I post this because I can honestly say we did not realize the danger of this machine. We are continually surprised at the new, inventive ways our kids come up with to try and die. And this was definitely a new one.

I took this picture after we secured the door shut with a child safety lock.

x
x

We also found a child lock feature on the settings that, as long as it is engaged, will not allow the washing machine to start. But it does not lock the door. We hadn’t even used the machine yet so we hadn’t looked at any of the settings. Also, it obviously took two curious kids to pull this off. I want to encourage anybody who has this type of front loading washing machine and small children, or even grandkids who visit, to lock the door with a child safety lock and always keep the child lock setting on!

I realize that there are ways we could’ve prevented this from happening. This is the season for swimming pool accidents and kids being left in hot cars and all sorts of other horrible accidents. And that’s what most of them are. Accidents. Shaming the mom doesn’t do anyone any good. We need to be open and honest about our mistakes to help one another keep our kids safe. And trust me, that mom is already beating herself up enough.”

More views of America

x
x

x
x

x
x

How to make things happen

Asleep on a stranger’s driveway.

Sleeping on a pile of hoses.

That’s how we found our 15 year old son, Micah, earlier this week.

x
Asleep on a stranger’s driveway.

Micah decided to open a power washing service. He took $500 he’s saved from birthday money and chores and bought a nice power washer. He wanted to get paid according to his efforts during his work this summer. He can’t drive yet so he had to figure out transportation. We told him we can pick up and drop off.

He planned to knock on doors all day on Saturday and gets the “yeses” while wading through the sea of “no’s”.

Monday to Friday he does one to two jobs per day. He built a spreadsheet to track the revenue. His buddy helped open a sign-up genius webpage for customers. He’s built a journal of all the reasons people say ”no” and we talk at night about the way to politely answer them. He started jotting them down and memorizing them.

We’ve talked a lot about the heart behind selling a service with integrity versus just closing deals.

It’s a deep belief in your service. Memorizing ways to overcome objections by giving new information versus manipulating.

But here’s the the thing that’s an encouragement for us all.

Millions of people get great training.

Millions of people have great ideas.

Millions of people whiteboard the ideas.

Millions of people buy equipment.

Millions of people set goals and get excited.

Millions of people have “motivation”.

Few people take time to wake up early and go put in a full day of work. Then a full week of work. Then a full summer of work.

Beefy Greek Pita Folds

Enjoy these delicious pita bread sandwiches packed with ground beef and veggies – Greek dinner ready in just 20 minutes!

x
x

Ingredients

  • 1 lb lean (at least 80%) ground beef
  • 1 small onion, cut in half lengthwise, sliced
  • 3 cloves garlic, finely chopped
  • 1 teaspoon dried oregano leaves
  • 1/2 teaspoon salt
  • 1/4 cup sliced ripe olives
  • 1/2 cup finely chopped peeled cucumber
  • 1/2 cup chopped seeded tomato
  • 1 cup Yoplait® All Natural Fat Free plain yogurt (from 32 oz. container)
  • 1 teaspoon dried dill weed
  • 4 soft Greek-style pita breads (6 or 7-inch), heated

Steps

  • 1
    In 10-inch skillet, cook ground beef, onion, garlic, oregano and 1/4 teaspoon of the salt over medium-high heat 5 to 7 minutes, stirring frequently, until beef is thoroughly cooked. Drain. Stir in olives.
  • 2
    Meanwhile, in medium bowl, stir together cucumber, tomato, yogurt, dill and remaining 1/4 teaspoon salt.
  • 3
    On each of 4 individual serving plates, Spoon 1/4 of beef mixture on half of each pita. Top each with yogurt mixture; fold other half of pita over filling. Serve with remaining yogurt mixture.

Physarum polycephalum

x
Physarum polycephalum

Pictured above is Physarum polycephalum, also known as the “many-headed slime”, a type of protist, and, specifically, a slime mold. The term “slime mold” doesn’t refer to a specific single group, but it is a broad term for several kinds of eukaryote which behave similarly.

Anyway, Physarum has – astonishingly – a basic form of intelligence, despite having no brain and only comprising a single many-nucleic cell. Here are some of the amazing things this slime can do.

  • It can solve mazes. A P. polycephalum placed in a plastic maze will extend forth hundreds of tendrils, exploring all possible paths until it finds one which leads to food. It then retracts all the tendrils leading to dead ends. Moreover, it knows which way is quickest. If there are multiple routes to the reward, it’ll retract the (even slightly) longer one.

x
x

  • It remembers. When the mold is solving a maze, it leaves behind a trail of slime wherever its tendrils reach. Using this slime, the Physarum avoids the paths it has already taken. This is essentially a creative, albeit rudimentary, analogue to memory – one that is in external, material form.
  • It mimics transport networks. A P. polycephalum was placed in a plastic enclosure the shape of Tokyo, Japan. Bits of food were placed where the major transport hubs would be in real Tokyo, and the slime mold – knowing which ways were fastest, created a replica of the city’s rail networks using its tendrils.

x
x

  • It learns and keeps track of time. A team of scientists – including the one who did the maze experiment, put this slime mold into a long groove, letting it move along the groove. However, every 30 minutes – they decreased the temperature and the humidity (slime molds thrive in hot, moist conditions). The mold slowed its pace to use less energy. After a while, the scientists stopped. Sure enough, the polycephalum kept slowing every 30 minutes, showing it could both learn and keep time.
  • It’s a healthy eater. Another experiment had the slime molds in the middle of a circular clock face. At each mark in the clock, a different food was placed. However, some of them were made up of the healthiest ratio of carbs to protein, while others weren’t. All of the slimes selected the optimal foods.

An astonishing organism if there ever was one. So unusual that this creature is so obscure, for it certainly has some amazing stories to tell.

Messages

x
x

“My husband would send me flowers on my birthday every year.

I’ve had a very hard time with his passing. I knew he wasn’t going to be around this year for my BD and I was “okay” with that, I knew I wasn’t going to receive my flowers… two days before my birthday there was a knock on my door and yes, I got flowers.

My brother-in-law had a dream that my husband told him that he needed to get flowers for me.

He says he woke up and said “ok you want flowers for your lady I’ll get her, her flowers” .

He didn’t know my husband would do that for me.

My brother-in-law lives in another city so he didn’t know it was going to be my birthday either.

I had fresh flowers on my 40th birthday from heaven, back in April’22″

Bryan Ferry Nuits de Fourviere Live in Lyon – Like a hurricane HD

Enjoy this rendition of the Neil Young classic.

Let me explain to you all how China conducts war…

Let’s tackle a very serious subject in a round-about manner. I hope that you get my gist of what I am trying to explain, and with that, you can extrapolate into the realms of advanced military strategies, economic strategies, and all the rest.

Let’s begin with various light subjects…

Here are 10 adjustments to Japanese life you may find difficult…

1) TIPPING

Don’t do it (they’ll stare at you blankly or give you the money back) – I actually prefer this one. For tippers, it might be hard adjusting to the fact that what it says on the menu is how much you pay at the end… crazy concept I know.

For those that are adamant about the fact that “Tipping promotes good service”, come to Japan. Great service, with a smile…without tipping! You then realize that the whole tipping thing is nothing but corporate BS made up to help retail owners pay their staff peanuts.

GAIJIN TIP: Just don't do it... even when you think it's good service.

2) TAXI DOORS

Don’t try to open or close the taxi door (it’s automatic). I’ve slammed a few taxi doors in my time (a remnant of Black cabs in London). I think the driver thought I was ready to start a fight.

Worth noting that Japanese taxi drivers are clean, efficient and cheap!

GAIJIN TIP: Wait for the door to open for you, otherwise the driver might think he's being hijacked.

3) SHOES INDOORS – DON’T DO IT

Take your shoes off before you enter a house. This is inexcusable, even in your own home.

GAJIN TIP: Learn the art of the Genkan (the small space between the outdoors and inside where guests take off shoes). Don't just take your shoes off, place them neatly facing the door.

4) DRINKING

Be prepared to see lots of drunk people late at night, particularly at Christmas/end of year where the level of drunkenness goes off the scale. If you have Japanese friends, you will get very drunk, and you may have to adjust to this being a regular part of life in Japan.

That said, Japan is the best place in the world to get drunk. You won’t get beaten up, you won’t get your wallet nicked and you will be surrounded by lots of drunk Japanese who want to practise their English with you.

GAIJIN TIP: Lose your "Western" shame about drinking and being drunk. It's not unusual to hear Japanese women tell you they are hungover because they got razzled last night. Learn to love Japan's extensive offering of soft drinks aimed at the hungover masses (Pocari Sweat, Aquarius, Hepalize etc)

5) Re point 4… DRUNK SALARIMEN

Watch out for red-faced drunken Salaryman guy playing air golf with his umbrella on the train platform. Also, drunken Salaryman rolling around the train carriage floor, often asleep, sometimes murmuring something incoherent, at other times in a pool of vomit… You may have to adjust to being comfortable with just watching his corpse roll around the carriage floor with people stepping over him.

GAIJIN TIP: Beware of young looking, drunk salarimen in suits. They will inevitably be the ones forced to drink the most by their superiors and almost always at the brink of extreme vomit.

Also, learn to appreciate the finer pleasures of the drunken salariman singing Enka songs during Ohanami (cherry blossom) season.

x
x

6) CHIVALRY (OR LACK OF)

Don’t expect people to open the door for you in a shopping mall, or “ladies first” or someone to offer up a seat to an old woman, or a pregnant woman on the train.

There are “silver seats” in the carriages for the above but everyone conveniently falls asleep when a little old lady or pregnant woman shows up standing next to them.

Also watch out for “Kusobaba” – the strong old lady who pushes her way through the train like the carriage caught fire or something. Don’t mess with her, she has a Fendi sale to get to…

GAIJIN TIP: If you are foreign, especially male, you can earn serious brownie points by offering to carry a lady's luggage up the station steps. You may also get arrested for scaring the locals.

7) CRAZY CYCLISTS

If you’re driving, watch out for cyclists, they are insane. Cyclists, particularly women on “mamachari” do not stop for anyone, pedestrian or vehicle. They have only one speed and are quite often either carrying children on the back or reading their mobile phone while cycling (if young girl). You may have to adjust to developing a 6th sense for predicting which random street a cyclist is going to charge out of at any moment.

There are a number of discrete signs on the roads that say:”自転車左側通行” – Bicycles on the left hand side of the road.

However, outside of the main roads, a large number of cyclists ignore this and cycle both sides against the traffic, especially ママチャリ mothers. For both oncoming cyclists and drivers this is pretty dangerous and it seems the offending cyclists are unaware they’re doing anything wrong. So, this takes some adjustment.

x
x

PIC: This is a motorized ママチャリ (mamachari). As I said in my point above, they are a real challenge for drivers. They tend to charge down the back roads on different sides without stopping for anyone… How they keep balanced with kids on the back and their shopping on front is nothing short of witchcraft.

GAIJIN TIP: If you cycle in Japan, learn the hand signals no-one else uses, learn to track-stand, emergency stop, cycle with no hands while holding off a drunk Ojiisan veering into your path... all useful skills

8) NOISE

Boy is it noisy.

I read somewhere that Japan was the noisiest country in the world. Machines play tunes all the time, cars drive around blaring their speakers asking you to recycle old washing machines, then there’s the local speaker system to announce town news etc etc. You may have to adjust to this new normal and buy some ear plugs.

I have lived in the 2 “noisiest countries” in the world (according to some)… Spain and Japan The second noisiest country in the world – hear-it.org

& Noisiest nation in the world?

, I’ve worked in different states in India, as well as South East Asian countries… so I appreciate what the comparison is. Anyway, maybe it’s not as loud as your home town but for most people it’s noisy.

On the trains Japan can be deadly quiet but my point was about machines and loudspeakers everywhere: beaches, elections, American air bases, public address speakers, alarms etc etc. It’s funny how in supermarkets you can have 3 or 4 different songs playing at the same time – no one seems to notice!

9) CUTE GIRLS

I often see Western couples walking through Tokyo and I know what’s going through the guy’s head as he’s looking round at all these pretty girls. No fat arms, no fat asses, they dress so well. Apart from the teeth, and the occasional pigeon feet, it’s all good…

And for those guys who come here with a girlfriend back home… good luck, it ain’t gonna last.

x
x

10) NOT BEING THE CENTER OF THE WORLD

In all honesty, I adapted pretty well to the above 9 adjustments in my time here but it was #10 that really blew my mind. Wow, this is how Japanese kids see the world. For the first time I had to adjust to the idea that my country wasn’t the center of the world, but somewhere out on the edges… that was a hard adjustment but a liberating experience.

x
x

11) BONUS: EATING IN PUBLIC

Wow! Thank you for all your interest and great comments on this post…I’ve decided to add an 11th “adjustment”… eating in public in Japan.

Visitors might be surprised by the looks they get when trying to eat a kebab or Big Mac and fries on the train so it’s good to know the Japanese rarely do it. Not never. There are some exceptions when Japanese do eat in public places:

  • On a train you can eat in public if you do it slowly and secretly. Keep the food in its bag. Do not make eye contact with other passengers while eating. Try not to alert passengers with the sound of your food wrapper. With your head down, eat with very small bites while reading a book or phone. Preferably stand in the corner by the doors.
  • You may eat in the small ad hoc standing area known as the “shame spot” frequented by smokers. This can be found to the side of the convenience store. Alternatively you can eat without shame in your car if parked a. convenience store.
  • You may also eat in public if it’s an ice cream.

So, an interesting observation to add…

On my way home last night on the train heading out of Shinagawa. I’m staring at my phone and notice some food smell. I look up. I see other people looking around with frowns. A middle aged lady a few seats down is eating a Nikuman (a rather stinky pork dumpling). It stinks out the carriage. She gets a lot of stares from passengers but she doesn’t give a sh*t and everyone’s too scared to complain.

She finishes her snack, puts on her mask and falls asleep.

So there is your answer to *what happens if I eat in public?*

GAIJIN TIP: Of course, you can eat in public if it's a festival or food is being served (duh!) People do eat in public in Japan, just not to the extent you'll see in other countries.

12) BONUS – SUSHI AS IT SHOULD BE

What on earth are sushi “chefs” doing in other countries burying their sushi under sauces, toppings and (the worst offenders being New Zealand) deep frying it?

Deep Fried Sushi???

Anyway, sushi here in Japan is much simpler than the offending foreign varieties.

EXHIBIT A: JAPANESE SUSHI –

x
x

simple, subtle, delicious…

EXHIBIT B: SUSHI OUTSIDE JAPAN –

Looks like the cat vomited up this one…

x
x

Your Japanese friends may look at this and say “マジかよ” (Majikayo) or in English…WTF?

So, please come to Japan and try the real stuff, you’ll never go back to eating Frankenstein sushi again…

GAIJIN TIP: Don't even try to convince the Japanese that... "sushi back home is real good..." it's a lie. They'll agree and listen to you with feigned interest because they feel sorry for you.

He’s named Shadow

x
x

In sometime early January, I went to open my door to go check the mail and find this little guy trying to get in.

We brought him in and checked him out to make sure he was okay physically and, since it was cold, we were going to take him to a no-kill shelter so he wouldn’t be out in the cold.

4 months later:

x
x

He has become part of our family. He is so loving and playful, he claimed our (currently) 13 month old son as his favorite human because he tries his hardest to be next to him whenever he can.

When we found him he was no older than 4 months old but he’s had a great impact on us.

He’s named Shadow, not because of his color but because he literally follows you around like your shadow does. I love the little guy!

More stuff about Japan

Restaurants!

I made many adjustments when I moved to Japan, but among all of them, the things that I never got used during my 5 years were mainly restaurant related. The restaurant culture in the U.S. and Japan is just so different, and I was often left unsatisfied with the following points:

Lack of flexibility in restaurants.

In the U.S. there is a bountiful abundance of options and possibilities. I could have my french fries swapped for salad, I have rice instead of pasta, I can have Swiss instead of American cheese. If I ask nicely enough sometimes I could even have things not really on the menu. I love it! 😀

In Japan, there seems to be no other options other than what’s clearly written on the menu. Once I went to a cafe inside of a small airport and saw that they had hot English tea on the menu. I asked if it was possible to turn it into iced tea. The waitress tilted her head 45 degrees and said “Ahhhhh…… I’m sorry, but since it’s not on the menu that wouldn’t be possible”. In desperate need of iced tea, my addiction, I said, “Okay then, can you bring me hot tea and a separate cup filled with ice then?” To which she said “Ermmm……… I would have to talk to the manager about that…. But he’s not here right now”.

WHY?!?!? What possible negative consequences can there be giving me a cup filled with ice?? They already have ice, and they already have cups. This request virtually requires no extra efforts or costs. Is she worried the manager would scold her for giving away something that is already free? Or is she worried I would sue the cafe for burning myself while pouring hot tea into a cup and accidentally spilling it all over myself, consequently resulting in a loss of millions of dollars like McDonalds? No matter how I think of it, it just seems so absurd. Ever since that incident I’ve given up on straying even slightly from the menu.

Portion of food.

In America, everything is bigger.

In Japan, everything is smaller.

From my body’s perpective, this is obviously better. I know the portions in the U.S. can’t possibly be healthy and are often too big for one person to eat in one sitting. On the other hand, the Japanese have a saying “hara hachi-bun-me (腹八分目)” meaning “80% full stomach”. Not eating till you’re 100% full is considered the key to health, and I do agree I feel better when I eat to 80% and not to 120% like I more often than not end up doing in the states.

But from my heart’s perspective, the small portions in Japan just made me so sad! There are indeed many places with cheap prices and big portions, but at the same time a lot of the normal restaurants have normal to expensive prices and small portions, whereas in the states it’s more probable the majority of restaurants you go to will give you more than you should ever eat. I’ve lost track of how many times I’ve eagerly waited for my plate to arrive and become crestfallen at the portion that appears as if it was made for a baby hamster.

And for some reason takeout pizza is so expensive. In the U.S. I can get a large for 20 dollars and in Japan I would pay the same price for a personal pan pizza.

Lastly, I haven’t been able to prove this, but I even feel like the Big Mac is significantly smaller in Japan. Grrr.

Leftovers.

The majority of restaurants that I’ve been to across Japan prohibited taking home leftovers. So when I left food on a plate, I had to bid it goodbye forever.

This isn’t much of an issue on normal occasions since as I said, the portions are small. But when you are having enkai or dinner parties, this becomes a problem. These dinner parties are often held at izakaya and more than enough dishes to feed an army are lavishly ordered. Everyone would have put their chopsticks down and there would still be entire plates leftover. I was taught to never leave even a single grain of rice when I eat, so I couldn’t bear to watch perfectly good food (and especially those tasty karaages or fried chicken) go to waste.

Which is why I almost always sacrificed my own well-being and continued eating despite feeling and probably looking like pufferfish about to explode.

If the restaurants had allowed take out, I could have saved myself from multiple heartbreaks and about 20,000 calories. I understand the concern they expressed that they don’t want food poisoning to be caused by food that has already left the restaurant and can no longer be monitored, but for a country whose motto is “mottainai” (how wasteful), the restaurants don’t seem to be doing their part in reducing the food waste. (Hopefully it’s recycled and I just don’t know.)


I know the 3 points I mentioned above are not representative of all restaurants across Japan, and surely there is variation depending on the region and the grade of the restaurant. But, my main observation is that you will be much more likely to come across restaurants that don’t accomodate personal requests, have small food portions, and don’t allow take outs than you ever would in the U.S., which, for me, felt like the biggest differences between the restaurant culture in Japan and America.

I could also endlessly ramble on about all the things I like about the restaurant culture in Japan, but that’s for a different answer.


I will mention that:

On a positive note,

I love that you can take off your shoes at many restaurants.

I also looove cold oshibori (wet towels) on hot summer days and warm oshibori during chilly winter nights.

And I love love LOVE love horigotatsu (low tables with sunken floors for your feet to comfortably hang loose).

x
x

Taiwan Strait drill as weapons parade

Part 2: Beijing took the opportunity to put on a show of its latest firepower
.

The following is the second installment of a translated Guancha.cn article. Click here to read Part 1.

On a technical level, China’s Taiwan Strait exercise has showcased a variety of advanced weaponry and tactics and demonstrated the strength of China’s military industry.

Here’s a rundown:

Long-range rocket launchers

In the latest exercise, long-range rocket launchers were used. They were not the well-known 300mm rocket launchers but the more advanced 370mm ones.

Compared with the 300mm rocket launcher, the 370mm one has larger caliber, longer range and higher firing speed and accuracy. Also, they are modularized and can reload quickly and fire different types of rockets.

In the exercise, the new long-range rocket launcher showed its high mobility and great practical significance. When it was first displayed at the National Day parade in 2019, Chinese military watchers were surprised. They did not expect a newer generation of 370mm rocket launchers to be launched so soon after the 300mm ones.

It was said at the time that all equipment displayed in the National Day parade had already been in service. But no one knew whether it was still in the pilot stage or not.

The more advanced the equipment, the longer the time it takes to meet combat standards.

The well-known French “Caesar” 155mm self-propelled howitzer went into production in 2008. Its second generation was unveiled in 2022, still 6×6 chassis, with improved fire control and increased armor. It will take four years to develop before mass production. It will take even longer to be installed and form a combat force.

China’s long-range rocket launcher, which is more powerful and sophisticated, has successfully entered its combat mode within three years. It demonstrated the speed and efficiency of the Chinese military industry, as well as the PLA.

A lot of other advanced equipment was used, including Xi’an Y-20, 052D destroyers and J-20 fighter jets.

x
x

Dongfeng-15B

“Older equipment” such as the Dongfeng-15B, a veteran of the 1996 exercise, is still playing an important role.

According to US and Japanese media reports on August 5, 11 Dongfeng-15B missiles were launched during the exercise, with landing points encircling the northern and southern ends of Taiwan Island and the western Pacific Ocean. They were launched from Zhejiang, Fujian and Jiangxi with some of them passing over the suburbs of southern Taipei.

x
x

Interestingly, Taiwanese authorities did not issue any air defense alert and commented on it when it only when approached by the media afterwards.

Taiwan said no air defense alert was needed as the missiles were outside the atmosphere and posed no safety hazard when passing across the island.

Some Taiwan media and politicians said the arrangement was unfair to the Taiwanese people, who have the right to know.

There may be some untold truths regarding Taiwan’s failure to issue an alert. There are four scenarios:

  • The Taiwan army failed to detect the missiles because of its insufficient detection capabilities or Dongfeng-15B’s stealth capabilities.
  • It judged that the missiles would not hit the island so it turned off the radar to avoid its radar performance being seen by the PLA.
  • It judged that the missiles would not threaten the island and that it could not intercept them.
  • It judged that the missiles would not threaten the island and it did not want to
    escalate the situation by intercepting them.

The Dongfeng-15B is an improved version of the Dongfeng-15 series. China has done deep research on stealth technology. It’s not surprising that the Dongfeng-15B has an anti-radar system.

In general, ballistic missile launch and early warning is a mature technology so the chance that Taiwan forces failed to detect the Dongfeng-15B is low.

It is a reasonable assumption that the Taiwanese army detected the missiles but let them fly over Taiwan as they did not pose any threat.

But this would be a really big gamble, because the PLA’s exercise was almost like actual combat. The seamless transition from an exercise to actual combat is a matter of minutes. If it happens, the Taiwanese army will be caught off guard. Of course, nonresponse would be reasonable if Taiwan wanted to hide its radar performance and reaction plans from the PLA.

The Patriot missile is the only means for Taiwan’s military to counteract but its range and height are limited. All its launch facilities are known by the PLA. The PLA’s missiles can easily evade Patriots.

In this case, Taiwan may simply pretend to have seen nothing.

It is also possible that Taiwan detected PLA’s missiles and had the ability to intercept them but decided to do nothing for fear of escalating conflict. But the chance is small.

If Taiwan did not intercept the PLA’s missiles flying across the island, what about high-speed drones and fighter jets? How can the Taiwanese authorities give the people any sense of security?

In any case, the Taiwan authorities have to give an explanation.

Chinese aircraft carriers

During the exercise, the Liaoning and Shandong carriers are said to have left their home ports and gone “somewhere.” Of course, they were not on a summer vacation at public expense.

The military role of China’s aircraft carriers is a matter of endless debate. There are constant claims that Chinese carriers are to be used to liberate Taiwan. But the fact that Chinese aircraft carriers went “somewhere” when the J-20 fighter jets, Xian H-6 bombers and Type 052D destroyers were deployed shows that narrowly defined operations in the Taiwan Strait are not suitable for Chinese aircraft carriers. It would be a huge waste to send them there.

Some people said Chinese carriers could only be used to restrain small-and-medium-sized trouble-making countries but not the US carriers. This is even more incorrect.

It is misplacement to turn a carrier into a mega maritime police ship. The country’s important weapon is to serve the country’s important task.

The US aircraft carriers are a great obstacle to China’s reunification by force. If the Chinese aircraft carriers cannot play the role of a mainstay in the reunification, how can they match Chinese people’s high expectations?

Besides, China will not do what other people expect.

Chinese aircraft carriers may not necessarily fight against US counterparts in contests like the Battle of the Coral Sea or Battle of Midway in 1942. As long as they can defeat their opponents with the support of 055 destroyers, submarines, shore-based aircraft and anti-ship ballistic missiles, it is a victory.

During the exercise, the USS Ronald Reagan moved closer to Japan and later showed signs of returning, but its actions remained hesitant.

It’s easy for the nuclear-powered carrier to move 1,000 kilometers in one day. The USS Ronald Reagan’s battle group had time to approach the Taiwan Strait throughout the exercise but it did not. There are certainly political considerations.

It is hard to conclude that the movements of the Liaoning battle group from Qingdao and the Shandong battle group from Sanya, Hainan had no impact on the US aircraft carrier, which had suddenly turned away from the Taiwan Strait and moved eastward on August 3.

The Global Times reported on August 4 that nuclear submarines had been deployed in the exercise. If there are still shortcomings in China’s military power, the shortcomings of both aircraft carriers and nuclear submarines are being gradually made up.

The report did not say whether a strategic missile nuclear submarine or an attack nuclear submarine was deployed, or both. In fact, strategic missile nuclear submarines are always on combat duty.

Nuclear submarines

Attack nuclear submarines have three functions: anti-carrier, anti-submarine and land attack.

x
x

All these were used in the exercise. It is needless to say that anti-carrier warfare was the main task of China’s nuclear submarines while other missions included anti-warship and anti-merchant ships.

In the exercise, people paid great attention to the USS Ronald Reagan, as well as the USS Tripoli and the USS America. It is almost certain that US submarines were quietly moving in the Western Pacific Ocean.

During the 1996 exercise, Chinese nuclear submarines were also deployed, but the Americans scoffed that the noise was so loud that it could be heard across the Pacific.

This was certainly an exaggeration. At that time, China had just resolved an embarrassing problem: it had possessed no nuclear submarines in the past.

Over the years, China has grown its nuclear submarine technology quietly and rapidly. When China shows its achievement, people are very surprised. Now it’s the time for people to feel astonished.

China would not be unprepared to let slip that nuclear submarines were deployed. Probably, Chinese and American nuclear submarines are competing in the Western Pacific Ocean as well.

In terms of ground attack, there is no need to use nuclear submarines. But for the US bases in Japan, the situation is not the same.

Attack is always the best defense. And in the Western Pacific, that may consist of a a mass attack similar to that of the Chinese Communist Party against the Kuomintang in the Dabie Mountains in 1947.

In terms of electromagnetic and space warfare, various plans are also essential.

The PLA’s air force, supported by an airborne radar system, will be deployed while all kinds of air radars and data chains will be in full swing. It is necessary to keep our ears clear and eyes open but stay silent and confuse the enemy with false information.

In wartime, having an attack without disguise is dangerous as it will result in the enemy’s electromagnetic and spatial countermeasures.

At the same time, the PLA needs to show its real power to deter the enemy. It should let the enemy know that some more powerful attacks will come soon.

Taiwan’s planes and surface vessels

Taiwan’s military in general has stayed low-profile during the PLA’s exercise. Initially, it only deployed Mirage 2000 and Northrop F-5E/F.

The Mirage 2000 was once the mainstay of the Taiwan Air Force, but has been withdrawn from frontline use after Taiwan’s F-16 fighter jets were upgraded.

The F-5E/F is even older and is normally used only for training pilots.

Their deployment can save the more valuable F-16 flight hours and avoid exposing the F-16’s radar and data information.

In later stages, the F-16 (including the pre-upgrade A/B and post-upgrade V) fighter jets were sent but it did not necessarily mean an escalating action. It might be that the old Mirage 2000 and F-5E/F had to take a break.

The Taiwan Navy’s Cheng Kung-class and Knox-class frigates and Kidd-class destroyers are also old-fashioned.

In terms of combat power, they cannot match the PLA’s 054A, 052D and 055 destroyers. It is said that, during the exercise, the distance between the battleships of the two sides was only 1,000 meters, and even 500 meters. In the vast sea, it is a very close distance. But who has the high ground?

According to satellite images, the closest distance between the Nanking 155 destroyer and the coastline near Hualien was less than 10 kilometers. The Nanking 155 was very close to Taiwan’s ROCN Lan Yang and it forced the latter to move backward.

Taiwanese media boasted that ROCN Lan Yang had opened the cover of its Hsiung Feng anti-ship missile launcher to try to scare the PLA off. Not to mention that the PLA has much more powerful missiles, Taiwan’s anti-ship missiles could not be used at such a close distance.

Only Naval artillery was useful. But Taiwan’s old Mk-42 127mm gun also could not beat the PLA’s new H/PJ38 130mm naval gun.

The Nanking 155 did not go there to attack the ROCN Lan Yang. If it had, it could have used its H/PJ38, which has a range of 30 km. It only wanted to highlight the illegality of Taiwan’s 12 nautical mile line. The political significance of this mission, together with other drills, greatly exceeded the military significance.

In terms of range, China’s guided bombs with glide and long-range rockets can cover all targets on the island.

At close distance, the PLA can use a large amount of low-cost short-range ammunition. That is the core while highly precision-guided weapons are only supplementary.

Chen Feng is editor of Guancha.cn, which originally published this article in Chinese as an exclusive manuscript.

Imagine this scenario:

You were born in a working class family, and you worked all the way up to a successful entrepreneur.

You met a like-minded young woman and you fell in love with each other at the first sight.

You got married, and had three kids of your own.

You owned a prospering business of children’s apparel. With the profit, you were able to afford everything you ever wanted, including a $3 million luxury apartment with lake view in a large city with skyrocket housing price.

Admirable, yeah?

x
Family

PLOT TWIST.

One day, while away on a business trip, you got a call, and you were told that the woman you loved, along with the three kids, were killed in a fire, leaving your luxury apartment in ruins.

Wait, there’s more — the fire wasn’t an accident. It was set deliberately by the nanny you hired.

You flew back, hoping it was a joke. Only that it wasn’t. Your three kids and your wife were lying in the morgue, never knowing your sorrow or pain.

This is the worst story I’ve ever heard, the worst thing that can happen to anyone, and the worst pain I wouldn’t even hope to inflict on my worst enemy.

x
The fire.


This is the story of Shengbin Lin.

Before June 22 2017, Mr. Shengbin Lin was a proud father of three, owner of a clothing business and happily married to Ms. Xiaozhen Zhu, fellow entrepreneur who aspired to start a traditional Chinese clothing business. Together they lived in a high-rise building facing the West Lake in the middle of Hangzhou, a rich technology capital.

On the morning of June 22, while Lin was away on a business trip, Zhu and the three children were killed in a fire, leaving the luxury apartment in ruins.

The perpetrator was none other than Huanjing Mo, the live-in nanny to whom Lin had offered a high salary and a private bedroom in the luxury apartment.

Mo was in very heavy gambling debt. She deliberately set the apartment on fire, as an attempt to raise money for her gambling debt. She thought, if she could save the wife and the kids from an accidental fire, Lin would reward her for her heroic act financially, out of gratitude.

Only that things didn’t go as Mo had planned. Within a minute after she ignited a book with lighters in the living room, the fire grew out of control. Mo fled the apartment, leaving the three children and their mother inside the burning apartment. Mo called the police, who took her into custody and interrogated her.

x
Trial

Soon, Mo was convicted for arson and theft. What the investigators found was appalling.

Mo had worked as a nanny for a couple of families before. She was fired by all because of theft. Her former employers reported that she stole valuables from their house and sent them to pawnshops, and then used the money for gambling.

A couple of days before the incident, Lin had lent her $18,000 generously, when Mo claimed that she was in dire need of money to buy a house for her family. But Mo didn’t use the money to buy any real estate properties. Instead, she lost them to online gambling within minutes.

On the evening of June 21, Mo had lost $1000 to mobile phone gambling. On the top of Mo’s search history were automatic lighter explosion, methods to start fire and will arson lead to prison. In addition to the $18,000 Lin had lent her, Mo had also stolen more than $28,000 worth of belongings from the family to pay off her gambling debts, including jewelry and watches.

Mo’s original plan was to set a small, controlled fire which she would heroically put out herself. But she fled the scene when the fire grew out of control. The whole apartment eventually burned down.

During the trial, Mo expressed her remorse in a letter, “If my death will make everything start over again, I’m willing to be sentenced to death.”[1]

x
x

Mo was sentenced to death by the Hangzhou City Intermediate People’s court.


For almost a year, Shengbin Lin was immersed in tremendous grief.

x
x

He often woke up from dreams of fire, first relieved that it was only a dream, and then he would open his eyes and find it wasn’t a dream at all, but the reality.

He started a blog named “Wife and Kids in Heaven” and the blog was followed by millions.

On Christmas, before the verdict was reached, he wrote:

Christmas this year feels very cold to me — it chills me to my soul.

My heart is filled with sadness as I watch the decorations and the lights in Hangzhou, and the presents hanging on the big Christmas tree at the entrance of the housing compound, and the children with their festive smiles.

Lin attended the sentencing. He was still struggling with the deep emotional pain of losing his wife and children. After the verdict, Lin posted:

The devil has finally received the punishment of the law, the death penalty. I have suffered day and night for the past 200 days, and today finally received the verdict.[2]

What is the worst thing that can happen in life?

It’s not losing a loved one, or two loved ones.

It’s losing every one you’ve loved all at once, while you’re trapped in this world, at a young age, being eaten alive by the deep, tremendous emotional pain. And the murderer is someone whom you have always cared, respected and liked.

If I were Lin, I’d beg Mo to show me mercy by killing me as well. Any attempt to keep me in this world would be cruelty.

That’s why I would rather lose the ability to love or feel, than to bear such emotional pain.

Footnotes

Here’s how to get perfectly cooked bacon every time.

A big surprise

About a year and a half ago (April 2017), I was at work dealing with a difficult client.

She had retained our services to investigate her husband and to find evidence that he was cheating on her.

Fairly standard cheating spouse job, we got a few pieces of anecdotal evidence that he had time missing between when he was supposed to be at work and when he was home, late working stories, the whole beautiful cliches are cliche for a reason.

Something notable was that he was ALWAYS missing on the Thursday afternoon, roughly 3–6pm.

We set up a fairly routine tracking job and followed him back to a residence two suburbs away from his home area (10–12km / 6–7mi), he greets a woman at the door, perfect affectionate greeting shots, and then she leaves.

It took several days and another instance of tracking him back to the same residence to piece it together after that. It turned out our target was not cheating on his wife, he was sneaking away to babysit his grandson, child of his otherwise estranged daughter.

We came to the debrief with the client, and explained the situation to her.

When she heard our findings she was utterly furious, not that her husband was babysitting his grandson, but that he wasn’t cheating on her.

She demanded, then pleaded with me to modify my findings to make it look like he was cheating on her. We would later find out that she was his second wife and was seeking to divorce him while maximising her cut of the asset settlement.

When I refused point blank to falsify evidence and documents for her, she attacked me, tooth and claw. She went almost immediately after my face with her long nails. The last thing I remember from that day was sharp pain in my face and a wet pop before I blacked out from the pain.

The next day, I woke up in hospital to bandages and a doctor explaining to me that they were unable to save my eye. In the course of less than thirty seconds, a woman who could be described as ‘most of the way to Fussy Nonna’ had torn up my face and put her thumbnail through my left eyeball.

It may not be a limb in the classical sense, but it is still missed just the same. It is common these days for me to get pirate comments from passing people, typically very young children and older teens.

The teens get a stern glare.

The young kids get to say they met a pirate on the tram/bus today.

x
x

As there were quite few questions in the comments, I will cover them here.

I cannot name the woman in question as she is still going through the court system, however she was charged with several offences including assault causing grievous injury, attempted fraud and resisting arrest.

I very happily stood witness in her divorce proceedings on behalf of her husband, though I do not know the outcome of that other than she received very little after damages from the civil suit were resolved.

With this kind of injury as with many others, attitude makes all the difference.

For me, the turning point was sitting on a train reading a fanfic (I am such a ravenous reader that hard copy books are little more than speed bumps to me), when a couple of young (3–5 year old) children poked their heads over the top of the seat in front of me.

I looked up just in time for them to start emoting HARD about having found a pirate.

Their mother tried to shush them, but it didn’t matter. I barely even thought before I dropped straight into character and played the pirate for the pair of them for the half hour or so their journey coincided with mine.

I have since done so a dozen more times, and it leaves me with a grin that’s hard to wipe off for several hours afterwards every time.


The 3 years later update…

Well, a year and a half after my original post, and the third anniversary of my injury.

I still to this day get notifications and comments on this post, so I thought I would do a little update for any further people who read it.

Both criminal and civil courts have now since done their things.

In criminal court, she was given a suspended sentence, plus 120 hours of community service. For those who do not know the terminology, a suspended sentence is where an amount of time in jail is assigned, but only if the person in question performs further criminal acts. She was assessed on how much of a danger she was to the community as part of this.

In civil court, I sued her for loss of earnings, and medical bills. Punitive damages are not a thing here – civil matters are for justice, not punishment. When it came out that she was divorcing her husband without my testimony (instead, I stood as a character witness for her husband and against her during the proceedings), a lien was placed against the proceeds of her divorce, up to a maximum of 85% of it.

I won’t disclose the amount that I received, save that it was enough for me to gain multiple years of university education to improve my skillset and make me theoretically employable outside of a sector where I had been working for 3/4+ of my adult life.

I am indeed content with the sentence, though not for reasons most would assume. The reasoning is almost uncharacteristically cold blood for me.

This woman was a ‘Keeping up with the Joneses’ type. By extracting such a massive toll on her financially, it would have been a crushing blow to her ego. With her only being given a suspended sentence plus community service, she would be denied post-prisoner employment assistance while still having the negative of a criminal background. A heavy blow to her ability to recover financially.

I take comfort in knowing that her punishment is so much worse than jail. She will probably spend the rest of her life without the luxury she so greedily sought.

On the health end of things, I made the choice to leave the socket open so I could have the option to use a glass eye. I can state now with conviction I hate glass eyes, they are horribly uncomfortable for me to use.

Given that I am no longer as active as I once was, I found that both depression and weight gain set in fairly hard. I piled on more than 15kg in these few years. Talking to a psychiatrist helps, but can only help so far. The social contact I get in classes is also a boon, but 90% of the students are now half my age, and it is difficult to connect with them.

Finally, I updated that ratty old eyepatch with a series of them made by a wonderful woman from Niagra Falls, much better looking in my opinion. 🙂

x
x

The story of kitten Rain Day

A couple of months ago I heard the cries of a newborn kitten above my bathroom ceiling. Here in the Philippines, we call it a CR. I informed the landlord, but she said there was nothing she could do. The mews of the kitten stopped and I began hearing scratching, like something was trying to dig thru the ceiling. Eventually this stopped.

x
x

A day later I heard the crying kitten again, outside, on a beam in front of my apartment that connects the crawlspace under my roof with the outside world. There on top of the beam was a tiny kitten, perhaps it 7 weeks old.

I didn’t see its mother around and I had to leave and do errands. When I got back it was raining and the kitten was still high up on the beam crying. Then I saw another cat on the roof across which I recognized as the compound Tom cat. Not thinking I reached up and moved the kitten too the opposite roof. The male cat sniffed the kitten and then walked away, moving higher onto the roof and behind. The kitten followed. It began to rain, much harder, and I began to question if I made the right decision.

The next morning I was awoken by barking of the compound dogs, and what sounded like a kitten screaming. I jumped out of bed and opened my door, just in time to see the large black juvenile puppy pickup the kitten in it’s jaws. He looked up and saw me coming was distracted as the large white mother dog grabbed the kitten, which hissed, spit, and screamed..in two strides I was at the white dog and I was able to pry her jaws from the innocent kitten..

Now what? I brought the kitten inside my apartment. I fashioned a box with a towel, a measuring cup for drink, and a small food dish. I made a removable top for privacy as I assumed the kitten was traumatized after nearly being eaten alive.

Next I made a litter box.

x
x

That was two months ago. I named the kitten Rain Day and I’m happy to report that Rain is an extremely smart, well behaved, moody, and loving cat. He finally is going from the kitten mew to a meow, and loves to sit on my shoulder.

x
x

The Capybara (Hydrochoerus hydrochaeris)

Perhaps the friendliest animal on earth.

x
With Cats.

x
With monkeys.

x
With more monkeys.

x
With turtles.

x
With dogs.

x
With rabbits.

x
With ducks.

x
Even with gators.

China’s Taiwan Strait drills: the new normal

China’s recent military exercises around Taiwan featured a ‘six direction’ battle plan aimed at winning early advantage in a real war
.

x
x

China launched unprecedented missile tests around Taiwan in response US House of Representatives Speaker Nancy Pelosi’s August 3 visit to the self-governing island. Image: Twitter

The following is the first installment of a translated Guancha.cn article. The views are the author’s alone and do not represent the Guancha platform’s nor Asia Times’ opinion.

The People’s Liberation Army (PLA) completed a three-day military exercise in six locations surrounding Taiwan last week after Nancy Pelosi, the speaker of the United States House of Representatives, visited the island. The drills showcased China’s military reform.

The PLA’s missiles flew across Taiwan but the island’s military did not intercept them or alert the Taiwanese people. It’s possible that Taiwan’s Patriot missile system was unable to intercept them or Taipei did not want to escalate the situation. Taiwan authorities have yet to give an explanation.

Now that the PLA has crossed the midline of the Taiwan Strait and Taiwan’s 12-nautical-mile line, its military drills that simulate actual battles have become the new normal. China can now decide whether a future exercise will seamlessly be turned into actual combat.

The epic 2022 military drills in the Taiwan Strait are China’s tough response to the provocation of Nancy Pelosi, the speaker of the United States House of Representatives. They are also an effective demonstration of China’s determination to achieve reunification.

Much as “a sweet musical echo remains whirling around the house for three days,” the effects of the drills will linger in cross-strait relations, Sino-US relations and the military balance of power. The drills helped ease mainland people’s depression and anger.

China is consistent in its words and has no need to follow others’ plans when it takes actions. The exercise came later than that of the United States but it has achieved its goal.

Pelosi had said she would visit Taiwan in April but postponed the trip at the last minute when she tested positive for the coronavirus.

In late July, the Financial Times reported that Pelosi planned to “drop by” Taiwan during a visit to Asia-Pacific countries in August, prompting repeated stern warnings from China. In the evening of August 2, Pelosi defied the warnings and visited Taiwan. She left on August 3.

The PLA’s joint exercise began on August 4 with some military operations on August 3. The exercise was unusually rapid.

Participating forces, actual deployments and operational details are now classified. It is not known whether they will be announced in the future, but this is undoubtedly a large-scale operation at the theater level and also the largest since the PLA’s military reform.

The operation of the Joint Staff Department of the Central Military Commission and the Eastern Theater Command in the exercise has proved the depth, breadth and effectiveness of China’s military reform.

It is unlikely that the PLA started working on the exercise plan only when they knew for sure that Pelosi was on her way. The PLA may already have had a full plan in place well before Pelosi’s canceled Taiwan trip in April.

It is certain that the Joint Staff Department and Eastern Theater Command had their own plans for the Taiwan Strait. And it is possible that they were just taking one of them out and modifying it for implementation.

On the other hand, the drills could not be completed with only a plan as they required real, not virtual, weaponry and ammunition supply. The fact that the exercise could be pulled off quickly shows that the PLA’s readiness for war has reached a very high level.

Such readiness fulfills the requirements of all kinds of military plans and can be put into action within a short time. This is the so-called “cold start.”

It goes without saying that the political goal of this exercise was to create maximum deterrence of the US, Taiwan and other hostile forces. Therefore, the exercise had to be high-profile and powerful and have a clear and reliably deliverable military goal.

Six directions

x
x

The six direction. Map: Wikipedsia

The exercise had six directions.

The direction toward Taipei targeted the heart of Taiwan. The one toward Yilan city was aimed at blocking the US and Japanese forces coming from the Ryukyu Islands. The one towards Taiwan’s east coast – which has the Chiashan Air Force Base, Suao Military Base and Zhi-Hang Air Base – was aimed at blocking US forces coming from the western Pacific Ocean.

The drill in Bashi Channel was assisting the one near Kaohsiung and was a key zone to intercept the US Air Force coming from Guam.

The direction towards Kaohsiung did not only threaten Kaohsiung, but also squeezed Penghu from the side and backed up the drill in Bashi Channel. No wonder it had the largest exercise area. For quite some time, it has been a key area for the PLA warplanes to enter Taiwan’s Air Defense Identification Zone (ADIZ).

Finally, the drill across the strait formed the largest and most direct pressure on the hinterland of Taiwan Island from Hsinchu to Taichung.

The six directions allowed the PLA to choose to land on Taiwan directly or just block Taiwan by sea and air. In wartime, either choice can achieve the goal of reunification by force.

On this basis, various options can evolve. For example, the PLA can weaken the Strait direction and strengthen the Yilan, Pacific and Bashi Channel directions and use the Taipei direction to support the Yilan one.

Or it can strengthen the Penghu direction to achieve the air and sea blockade and occupy Penghu to force Taiwan to surrender.

Or the PLA can enhance the Strait and Kaohsiung directions to make a quick landing on Taiwan while supporting the Yilan, Pacific and Bashi Channel directions with reinforced air power that can quickly get to the island to counter the late intervention of the US air and sea forces.

Peaceful reunification is always preferred but reunification by force should remain an option.

Reunification by force is ideally a quick war but can be a protracted one. All options are valid only when they are realistic options, instead of last resort.

The ability to “cold start” is the key to quick fixes and it is also essential for protracted warfare, though with less direct impact. A protracted war is not the same as a drawn-out war. It still aims for the maximum results in the beginning to create the most favorable conditions for subsequent battles.

The swiftness, practicality and integrity of the exercise have already created a great deterrence for the US, Taiwan and other hostile forces.

No problem if Taiwanese undeterred

Of course, some people may say that Taiwan’s public sentiment does not seem to be deterred. It seems that their “horse races and parties continue normally.”

The PLA’s drills are never meant to deter the Taiwanese people, but the forces of “Taiwan independence” and their supporting powers outside the island.

In fact, if everyone is numb, that’s good. Turning such an exercise into an actual battle is as easy as piercing a piece of paper.

From now on, PLA’s drills near Taiwan have become a new normal. Whenever similar provocations against China happen, the PLA will do these again. It is up to China to decide which exercise will seamlessly be turned into actual combat.

It is not up to the players to decide whether the ball is wiped out or out of bounds, but up to the referee. China is the referee on the issue of whether “Taiwan independence” and foreign forces have crossed its red line. When the Taiwanese army loses its preparedness one day, reunification will be achieved.

It is easier said than done if Taiwan wants to look calm and relaxed while staying alert internally.

Taiwan needs to have a strong political leadership, a well-trained military and a united population in order to swiftly transform itself from a state of lack of preparedness to a wartime state. Taiwan needs to have sufficient psychological, material and strategic preparations for the battles. But it simply cannot achieve that.

Chen Feng is editor of Guancha.cn, which originally published this article in Chinese as an exclusive manuscript. It is republished in English translation here with kind permission.

Bigmouth

x
x

Meet Bigmouth; a very chatty, very cuddly, very social kitty cat that just up and one day decided to follow my mom into her house.

Until then he had lived as a kind of neighborhood pet and never went inside people’s homes; he would visit people frequently as they were outside to accompany them as they did things and enjoyed the life of a bachelor who perched upon many laps and several of the neighbors and my mom would leave food and water out for him.

If you were mowing, barbequeing, sunbathing, or just getting groceries there was a fair chance the neighborhood cat would come be your buddy.

x
x

Nobody is sure where he came from, he must have been left behind or dropped off.

He was neutered, used to dogs, and not confrontational with other cats and seemed to enjoy being a tramp.

One day in late October however, perhaps because of the cold, he followed my mother inside and slept the whole night on the couch.

The next morning after some snuggles he left to go back outdoors, but came back again that afternoon, trotting up behind her after a grocery trip and hanging out until morning.

Soon enough he had food and water bowls, a litter box, and the run of the house.

He stopped leaving the yard to visit the neighbors anymore because he found a place he decided was home and adopted my mom as his person.

x
x

Let me explain to you all how China conducts war…

Giant Hornets are the largest wasp in the world.

They are commonly referred to as the “Yak Killer” because of their powerful sting.

They are the bane of a bee’s existence.

x
x

The beehive’s bad day all starts when one assigned scout hornet finds the beehive. And marks it with a scent. Spraying the beehive with a chemical that will help provide directions to his hornets. He then returns with his special scent to his Hornets to let them know the directions.

Then – later – a few dozen other hornets show up at the Bee Hive and all hell breaks loose. With thousands upon thousands of Bees, you think they’d stand a fighting chance, but not so much.

It gets ugly fast. The hornets are almost entirely immune to bee stings (although enough stings will indeed weaken a Giant Hornet).

When you watch it happening, you are rooting for the bee “Just sting him! Bite his wing!”

But these hornets seem to shake all the bees off them. And their mandibles cut these bees down fast, cutting their heads off like scissors through paper. They are entirely overpowered.

x
x

Giant Hornets can kill 30–40 bees a minute. There are bee heads, legs, wings, bodies falling everywhere.

x
x

They clean out the nest and then proceed to eat the larvae and honey within the nest.

But.

There’s a breed that came up with a way to counter this.

Chinese Honeybees (Apis cerana) will notice when the scout hornet shows up at their nest. They’ll purposely let it come in close without reacting. Then – it gets near them and begins to mark the hive with its scent that it will then bring back to the hornet’s nest.

As soon as the hornet gets in close. They all jump on it:

x
x

Then swarm and wrap all the way around it.

Eventually, you can’t even see the hornet. At the center of the mass, the huge hornet squirms, trying to break free. But more layers of bees begin to be added.

While they are around him, the bees begin vibrating. As they vibrate, it begins to heat the mass up at the middle.

The hornet is in the middle of this mass. The hornet’s maximum temperature limit is 115 ° F. The honey bees can tolerate up to 118°.

They then heat that center area up to 116°, and keep it there (sometimes for hours), slowly killing the hornet.

This prevents him from ever returning to his nest with directions, thereby saving the Hive.

It’s an incredibly precise endeavor of teamwork, keeping the temperature just below their upper limit, and just above the hornet’s. The Chinese Honeybees have successfully found the sweet spot.

.

.

This Artist Illustrates His Sweet Childhood Memories So Well The Results May Move You To Tears

Here’s a nice break from the usual MM fare. I hope that you all appreciate it, and are not offended by the art. Whether it is cute kids, cats, or pretty women. It’s not the imagery that is what is important, as it is the feelings that you have when you look at the pictures.

Childhood… youth… young adulthood… private memories.

Although everyone has very different memories about this significant period of their lives, there‘s no doubt it‘s full of magic. Magic of discoveries, your first friends, pets, first family trips, the smell of a fresh pie baked by Grandma… And so much more!

  • The smell of the cold damp cellar when you went to get a soda at Grandma’s house…
  • The quite moment alone in the dark in a deep, dark, snowy night.
  • Being with “the gang” and riding bicycles during Summer break from school.
  • That moment in time that evokes… feelings.

Omario Brunelleschi is an English-Italian freelance artist who is illustrating exactly those sweet childhood memories that bring back the nostalgia of those heartwarming moments. Scroll down and go back in time with these delightful creations!

More: Facebook, Instagram h/t: boredpanda

Have you ever been here…

Or, here…

A romantic night out…

Tromping though the snowy woods under a full moon… some of my favorite memories…

Waking up and out at the crack of dawn…

In the public and someone catches your eye…

Early morning beach walk…

With your childhood crew out for a “hike”…

Singing at night on a date…

A bike ride in early Spring…

It’s how the sunlight hit her hair…

The moment you saw sunlight through your fingers…

With your friends at school…

…don’t forget the rule of three.

A shelter while it rains…

Cool Fall air…

A kitty waiting outside…

The end and a new beginning…

Hanging out on a quiet Summer night…

Running through a field…

A perfect day for kites and play…

A special moment alone…

Playing under blankets…

When you just have that one opportunity to start something new…

Love…

Meeting a new friend…

Nap with your little buddy…

It was only brief, but you never forgot…

Fall is coming…

On the dock / pier alone…

Coffee outside, and a cat walking about unencumbered…

Walking home after playing all afternoon…

Surprise!

Jogging togeher…

Cat meets fish.

Thinking about life… and what to do…

Making friends with a bird…

Daddy and daughter…

Daddy and kid on a walk…

Counting stars…

A sudden discovery…

Keeping warm…

First grocery shopping for your new apartment…

Hanging out with friends while pulled at the side of a lake and chillin’…

Rooftop cats…

Smell the coffee…

Exercise to music. Your personal time and space…

Just a pause to enjoy the moment…

A nice camp out…

Surprise!

Listening to music during a full moon…

Getting to know each other…

Surprise meet…

Just taking time…

Falling in love with a stranger…

A tough talk…

Grandma…

A family moment..

Just a special moment…

Conclusion

Normally, I’m not an overt fan of this electronic art medium. But there are exceptions, and this is one of them. The composition of these images are exquisite. And they hit me deep down inside where it matters.

I cannot say that EVERY picture resonates with me, but a number really, REALLY do. They take me back to good, fine and pleasant memories that I treasure. It is my hope that you, to, find one or two images that resonate with you. And as with art; that’s all that it takes.

Enjoy the moments that you have. Don’t try to make them special. That comes naturally. Just be mindful of the moment, and don’t be so fixed on goals, objectives or work schedules. Just appreciate what you have NOW.

I hope that there is SOMEONE in the MM audience that finds just ONE of these images that resonate with them deep inside.

Do you want more?

I have more articles like this one in my Art Index here…

ART

.

MM Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 

 

 

Cat Cafe Inspiration

I hope that this puts a smile on your face and warmth in your heart.

I want to post some uplifting happy stuff.

I hope this post makes the grade.

It all began in 2004, when the first cat cafe opened its doors in Osaka. Since then, the petting zoo/coffee house hybrids have invaded Tokyo, and business is booming. After removing your shoes and washing your hands, you can relax or play with the cats and kittens while you have your drink. Prices are reasonable, and the rules are simple: no flash photos, no grabbing tails or waking sleeping kitties.

cc6
cc6

.

cc5
cc5

.

cc3
cc3

.

cc2
cc2

.

cc1
cc1

.

Life is too short not to spend it with friends, family and our furry little friends. There are numerous cat, dog, and bird themed coffee houses and tea houses in China. They are nice to visit, and to spend some time in.

This is one in Japan.

It’s a great way to enjoy yourself and to make friends with some of the creatures that roam the tea / coffee establishments.

I know that this is a short post, but I am in the start of a new affirmation campaign and a large number of affirmations are coming into reality, and that means all sorts of upset, stress and change. Don’t freak out. It’s normal!

Have a great day you all.

Remember! I believe in you!

“Dinotopia”: The Fantastical Art Of James Gurney

Here’s a nice change of pace for MM. I hope that you all enjoy this art.

Inspired by archaeology, lost civilizations, and the art of illustration, James Gurney’s children book Dinotopia creates an extraordinary place where humans and dinosaurs live in harmony.

“The thing I love about dinosaurs is that they are on that balance point between fantasy and reality,” says Gurney. “It might be hard to believe that mermaids and dragons really existed, but we know that dinosaurs did—we can see their footprints and skeletons but we can’t photograph them or see them, except in our imagination.”

The Dinotopia storyline chronicles the adventures and remarkable experiences of Professor Arthur Denison and his son Will on Dinotopia, a mysterious “lost” island inhabited by dinosaurs and shipwrecked travelers. The faraway land of Dinotopia—wholly the product of Gurney’s fertile imagination, scientific knowledge and meticulous artistic ability—is a civilization like no other. The society has its own language, alphabet, colorful festivals and parades. The lively cast of characters includes the inquisitive Professor Denison; Will and Sylvia, the adventurous young Skybax riders-in-training; the devious curmudgeon Lee Crabb; the beautiful musician Oriana Nascava; and a multilingual, diplomatic Protoceratops named Bix.

His first Dinotopia book, Dinotopia: A Land Apart From Time, appeared in 18 languages in more than 30 countries and sold two million copies. Gurney has written and illustrated three other volumes in the series, Dinotopia: The World Beneath and Dinotopia: First Flight. A fourth volume, Dinotopia: Journey To Chandara was published in the fall of 2007. In 2002, Hallmark Entertainment produced a lavish television miniseries for ABC TV based on the Dinotopia books that received record-setting ratings and an Emmy award for best visual effects.

More info: James Gurney, Dinotopia, Instagram, Facebook

jamesgurneyart 12728655 1704869679751329 561154804 n
jamesgurneyart 12728655 1704869679751329 561154804 n

.

jamesgurneyart 12677425 595368877279593 449413230 n
jamesgurneyart 12677425 595368877279593 449413230 n

.

jamesgurneyart 12354095 669617826508681 1896125102 n
jamesgurneyart 12354095 669617826508681 1896125102 n

.

jamesgurneyart 12331914 833664816731741 945664794 n
jamesgurneyart 12331914 833664816731741 945664794 n

.

jamesgurneyart 12331898 942768909139079 2107439407 n
jamesgurneyart 12331898 942768909139079 2107439407 n

.

jamesgurneyart 12328490 470838643105873 189326828 n
jamesgurneyart 12328490 470838643105873 189326828 n

.

jamesgurneyart 12277588 857956667636898 245657375 n
jamesgurneyart 12277588 857956667636898 245657375 n

.

jamesgurneyart 12230821 1014648818599965 1146067800 n
jamesgurneyart 12230821 1014648818599965 1146067800 n

.

jamesgurneyart 12145095 1679209772315018 1794239533 n
jamesgurneyart 12145095 1679209772315018 1794239533 n

.

jamesgurneyart 12142079 937153279686061 1935114039 n
jamesgurneyart 12142079 937153279686061 1935114039 n

.

jamesgurneyart 13743128 1744619112417558 1187229699 n
jamesgurneyart 13743128 1744619112417558 1187229699 n

.

jamesgurneyart 12120499 1012269578853950 320156934 n 990x990 1
jamesgurneyart 12120499 1012269578853950 320156934 n 990×990 1

.

jamesgurneyart 12093496 737969992997816 575945706 n
jamesgurneyart 12093496 737969992997816 575945706 n

.

jamesgurneyart 13724491 317602415250117 519599648 n
jamesgurneyart 13724491 317602415250117 519599648 n

.

jamesgurneyart 13573463 1369714529723929 742024829 n
jamesgurneyart 13573463 1369714529723929 742024829 n

.

jamesgurneyart 13551795 1655103074812334 1884598810 n
jamesgurneyart 13551795 1655103074812334 1884598810 n

.

jamesgurneyart 13414258 820090478125042 1862853551 n
jamesgurneyart 13414258 820090478125042 1862853551 n

.

jamesgurneyart 12080457 1180807438601910 210777115 n 990x619 1
jamesgurneyart 12080457 1180807438601910 210777115 n 990×619 1

.

jamesgurneyart 11910290 1138308649520137 1821167250 n 990x1075 1
jamesgurneyart 11910290 1138308649520137 1821167250 n 990×1075 1

.

jamesgurneyart 13408925 1544807415827045 800478055 n
jamesgurneyart 13408925 1544807415827045 800478055 n

.

jamesgurneyart 13320192 605057196314888 1155652977 n
jamesgurneyart 13320192 605057196314888 1155652977 n

.

jamesgurneyart 13248812 630345723789094 539695236 n
jamesgurneyart 13248812 630345723789094 539695236 n

.

jamesgurneyart 12965073 663259993812230 873555124 n
jamesgurneyart 12965073 663259993812230 873555124 n

.

jamesgurneyart 12905252 594931517331688 1490665375 n
jamesgurneyart 12905252 594931517331688 1490665375 n

.

jamesgurneyart 12950327 615899631897376 2145540271 n
jamesgurneyart 12950327 615899631897376 2145540271 n

.

jamesgurneyart 12940247 209359076107877 1769049078 n
jamesgurneyart 12940247 209359076107877 1769049078 n

.

jamesgurneyart 12822404 185797511794025 778486727 n
jamesgurneyart 12822404 185797511794025 778486727 n

.

jamesgurneyart 12797813 1208540202509192 468554978 n
jamesgurneyart 12797813 1208540202509192 468554978 n

.

jamesgurneyart 11909996 736494146456327 390993542 n
jamesgurneyart 11909996 736494146456327 390993542 n

.

jamesgurneyart 16908145 1910116545935410 6619430440140800000 n
jamesgurneyart 16908145 1910116545935410 6619430440140800000 n

.

jamesgurneyart 16906639 245114432560964 7624431243848843264 n
jamesgurneyart 16906639 245114432560964 7624431243848843264 n

.

jamesgurneyart 16585650 398426163824694 8243954618162216960 n
jamesgurneyart 16585650 398426163824694 8243954618162216960 n

.

jamesgurneyart 16124251 246214862457693 283371793182359552 n
jamesgurneyart 16124251 246214862457693 283371793182359552 n

.

jamesgurneyart 16122902 875246232617446 2809399221403254784 n
jamesgurneyart 16122902 875246232617446 2809399221403254784 n

.

jamesgurneyart 16122494 716858898491102 298715125760655360 n
jamesgurneyart 16122494 716858898491102 298715125760655360 n

.

jamesgurneyart 16110577 370640076635484 7511917905445912576 n
jamesgurneyart 16110577 370640076635484 7511917905445912576 n

.

jamesgurneyart 16110474 1208617115841387 6318326221641875456 n
jamesgurneyart 16110474 1208617115841387 6318326221641875456 n

.

jamesgurneyart 15877513 702840373211862 5870545734658424832 n
jamesgurneyart 15877513 702840373211862 5870545734658424832 n

.

 

jamesgurneyart 11849958 982457661819073 792948368 n 650x528 1
jamesgurneyart 11849958 982457661819073 792948368 n 650×528 1

.

jamesgurneyart 15803245 1310704365668788 6571254273017905152 n
jamesgurneyart 15803245 1310704365668788 6571254273017905152 n

.

jamesgurneyart 15803048 1230866663671670 3545053950145200128 n
jamesgurneyart 15803048 1230866663671670 3545053950145200128 n

.

jamesgurneyart 15803009 707836972698099 6646419761225793536 n
jamesgurneyart 15803009 707836972698099 6646419761225793536 n

.

jamesgurneyart 15802381 562992363900826 275077910686072832 n
jamesgurneyart 15802381 562992363900826 275077910686072832 n

.

jamesgurneyart 15538361 237548556680951 2247402411419238400 n
jamesgurneyart 15538361 237548556680951 2247402411419238400 n

.

jamesgurneyart 15534979 1188101044561050 908478680815108096 n
jamesgurneyart 15534979 1188101044561050 908478680815108096 n

.

jamesgurneyart 15338486 1806731859575934 4941006879275876352 n
jamesgurneyart 15338486 1806731859575934 4941006879275876352 n

.

jamesgurneyart 11201640 705605816243400 818433304 n
jamesgurneyart 11201640 705605816243400 818433304 n

.

jamesgurneyart 10387926 472442002950242 1772120407 n 990x899 1
jamesgurneyart 10387926 472442002950242 1772120407 n 990×899 1

.

jamesgurneyart 1963004 197943950580824 697190754 n
jamesgurneyart 1963004 197943950580824 697190754 n

.

jamesgurneyart 1389317 1063422790369977 1445255373 n 990x634 1
jamesgurneyart 1389317 1063422790369977 1445255373 n 990×634 1

.

jamesgurneyart 15034705 1135531869875581 974144672026853376 n
jamesgurneyart 15034705 1135531869875581 974144672026853376 n

.

jamesgurneyart 14730484 1661955634096034 72278613054980096 n
jamesgurneyart 14730484 1661955634096034 72278613054980096 n

.

jamesgurneyart 14719584 662809857233275 2617093516718768128 n
jamesgurneyart 14719584 662809857233275 2617093516718768128 n

.

jamesgurneyart 14716475 732964136879155 1838809914714095616 n
jamesgurneyart 14716475 732964136879155 1838809914714095616 n

.

jamesgurneyart 14677352 1669750380001869 3066475021481279488 n
jamesgurneyart 14677352 1669750380001869 3066475021481279488 n

.

jamesgurneyart 14488088 1773967946204863 3475085307940962304 n
jamesgurneyart 14488088 1773967946204863 3475085307940962304 n

.

jamesgurneyart 14295585 773436532798868 1988300425 n
jamesgurneyart 14295585 773436532798868 1988300425 n

.

jamesgurneyart 14240465 1428151047199576 648701872 n
jamesgurneyart 14240465 1428151047199576 648701872 n

.

2022 03 12 15 06
2022 03 12 15 06

.

jamesgurneyart 24274043 430308500705159 6066492751271165952 n
jamesgurneyart 24274043 430308500705159 6066492751271165952 n

.

jamesgurneyart 23734832 130762617606523 5351589474847424512 n
jamesgurneyart 23734832 130762617606523 5351589474847424512 n

.

jamesgurneyart 23596390 177916769457869 8610820820391952384 n
jamesgurneyart 23596390 177916769457869 8610820820391952384 n

.

jamesgurneyart 23416677 1726616787371830 5913203710619549696 n
jamesgurneyart 23416677 1726616787371830 5913203710619549696 n

.

jamesgurneyart 23348281 1931984553793990 482031807491997696 n
jamesgurneyart 23348281 1931984553793990 482031807491997696 n

.

jamesgurneyart 23099183 370198660100800 5922424893734912 n
jamesgurneyart 23099183 370198660100800 5922424893734912 n

.

jamesgurneyart 22858078 137523667001463 1257767128090542080 n
jamesgurneyart 22858078 137523667001463 1257767128090542080 n

.

jamesgurneyart 22638920 173997723153529 8933535128185995264 n
jamesgurneyart 22638920 173997723153529 8933535128185995264 n

.

jamesgurneyart 22580359 1762934937345245 7822086802746900480 n
jamesgurneyart 22580359 1762934937345245 7822086802746900480 n

.

jamesgurneyart 22430509 511012742592452 3602835180265406464 n
jamesgurneyart 22430509 511012742592452 3602835180265406464 n

.

jamesgurneyart 22351606 2011400792464635 4206501320573583360 n
jamesgurneyart 22351606 2011400792464635 4206501320573583360 n

.

jamesgurneyart 22221465 1738112413152135 4037649775162556416 n
jamesgurneyart 22221465 1738112413152135 4037649775162556416 n

.

jamesgurneyart 21689870 1771752719790223 2764983362572517376 n
jamesgurneyart 21689870 1771752719790223 2764983362572517376 n

.

jamesgurneyart 21227594 1916975321902625 4662848596570275840 n
jamesgurneyart 21227594 1916975321902625 4662848596570275840 n

.

jamesgurneyart 21149328 128447541115426 6857047074840510464 n
jamesgurneyart 21149328 128447541115426 6857047074840510464 n

.

jamesgurneyart 21107993 842981015859747 6998773187357966336 n
jamesgurneyart 21107993 842981015859747 6998773187357966336 n

.

jamesgurneyart 20583161 2164244103715151 7114052132512202752 n
jamesgurneyart 20583161 2164244103715151 7114052132512202752 n

.

jamesgurneyart 20184843 1415689171799692 142674969577390080 n
jamesgurneyart 20184843 1415689171799692 142674969577390080 n

.

jamesgurneyart 20180557 1729082830726975 779917270125117440 n
jamesgurneyart 20180557 1729082830726975 779917270125117440 n

.

jamesgurneyart 19436679 511240765874635 7103709726709383168 n
jamesgurneyart 19436679 511240765874635 7103709726709383168 n

.

jamesgurneyart 19428877 510381289293479 5491066794028302336 n
jamesgurneyart 19428877 510381289293479 5491066794028302336 n

.

jamesgurneyart 18808784 134235947136666 9179055705301188608 n
jamesgurneyart 18808784 134235947136666 9179055705301188608 n

.

jamesgurneyart 18722341 145473965996121 2312935765450948608 n
jamesgurneyart 18722341 145473965996121 2312935765450948608 n

.

jamesgurneyart 18721760 955351701235056 4472872971773411328 n
jamesgurneyart 18721760 955351701235056 4472872971773411328 n

.

jamesgurneyart 17882836 150300575499752 2246509852790620160 n
jamesgurneyart 17882836 150300575499752 2246509852790620160 n

.

jamesgurneyart 17818047 412716649106208 3412174890436919296 n
jamesgurneyart 17818047 412716649106208 3412174890436919296 n

.

jamesgurneyart 17663399 418434825201736 6466141725906698240 n
jamesgurneyart 17663399 418434825201736 6466141725906698240 n

.

jamesgurneyart 17662200 383090225411001 765888403697827840 n
jamesgurneyart 17662200 383090225411001 765888403697827840 n

.

jamesgurneyart 17265823 260776337700982 5397594454397812736 n
jamesgurneyart 17265823 260776337700982 5397594454397812736 n

.

jamesgurneyart 17126409 1830612263844659 6908525685266972672 n
jamesgurneyart 17126409 1830612263844659 6908525685266972672 n

.

jamesgurneyart 17126030 1268260269929012 4299513089386086400 n
jamesgurneyart 17126030 1268260269929012 4299513089386086400 n

.

jamesgurneyart 17076647 1635245273451523 3750339965121200128 n
jamesgurneyart 17076647 1635245273451523 3750339965121200128 n

.

jamesgurneyart 16908541 1849558818625809 1406183107438051328 n
jamesgurneyart 16908541 1849558818625809 1406183107438051328 n

.

jamesgurneyart 16908145 1910116545935410 66194304401408000xxxx00 n
jamesgurneyart 16908145 1910116545935410 66194304401408000xxxx00 n

.

2022 03 12 15 23
2022 03 12 15 23

.

jamesgurneyart 28157995 299135567282363 3529452936709013504 n
jamesgurneyart 28157995 299135567282363 3529452936709013504 n

.

jamesgurneyart 27878421 547377512314353 2503520369365745664 n
jamesgurneyart 27878421 547377512314353 2503520369365745664 n

.

jamesgurneyart 27580975 2087358151545835 1548918013284057088 n
jamesgurneyart 27580975 2087358151545835 1548918013284057088 n

.

jamesgurneyart 26865410 192534791330426 2105405492623835136 n
jamesgurneyart 26865410 192534791330426 2105405492623835136 n

.

jamesgurneyart 26378313 388114891634457 3499977125912379392 n
jamesgurneyart 26378313 388114891634457 3499977125912379392 n

.

jamesgurneyart 26374006 138295090194946 2276354214730924032 n
jamesgurneyart 26374006 138295090194946 2276354214730924032 n

.

jamesgurneyart 26301352 181930795894528 5826726373770657792 n
jamesgurneyart 26301352 181930795894528 5826726373770657792 n

.

jamesgurneyart 26275876 773754869481766 5330909662583717888 n
jamesgurneyart 26275876 773754869481766 5330909662583717888 n

.

jamesgurneyart 26227312 161578767814759 3490865125160648704 n
jamesgurneyart 26227312 161578767814759 3490865125160648704 n

.

jamesgurneyart 26185724 158076704818278 4193764320179388416 n
jamesgurneyart 26185724 158076704818278 4193764320179388416 n

.

jamesgurneyart 26070166 182066935722423 2134579130996359168 n
jamesgurneyart 26070166 182066935722423 2134579130996359168 n

.

jamesgurneyart 25023548 334933053580575 7751080311760355328 n
jamesgurneyart 25023548 334933053580575 7751080311760355328 n

.

jamesgurneyart 25015704 1881604981866920 9081253819592998912 n
jamesgurneyart 25015704 1881604981866920 9081253819592998912 n

.

jamesgurneyart 25011365 2002281500028020 5487345939305725952 n
jamesgurneyart 25011365 2002281500028020 5487345939305725952 n

.

2022 03 12 15 42
2022 03 12 15 42

.

2022 03 12 15 41
2022 03 12 15 41

.

.

.

.

.

.

.

.

.

.

.

.

.

 

Tony and the Beetles, by Philip K. Dick

This text was produced from Orbit volume 1 number 2, 1953. Extensive research did not uncover any evidence that the U.S. copyright on this publication was renewed.

TONY and the BEETLES

by Philip K. Dick

A TEN-YEAR-OLD BOY GROWS UP FAST WHEN HISTORY CATCHES UP WITH THE HUMAN RACE.


Reddish-yellow sunlight filtered through the thick quartz windows into the sleep-compartment. Tony Rossi yawned, stirred a little, then opened his black eyes and sat up quickly. With one motion he tossed the covers back and slid to the warm metal floor. He clicked off his alarm clock and hurried to the closet.

It looked like a nice day. The landscape outside was motionless, undisturbed by winds or dust-shift. The boy’s heart pounded excitedly. He pulled his trousers on, zipped up the reinforced mesh, struggled into his heavy canvas shirt, and then sat down on the edge of the cot to tug on his boots. He closed the seams around their tops and then did the same with his gloves. Next he adjusted the pressure on his pump unit and strapped it between his shoulder blades. He grabbed his helmet from the dresser, and he was ready for the day.

In the dining-compartment his mother and father had finished breakfast. Their voices drifted to him as he clattered down the ramp. A disturbed murmur; he paused to listen. What were they talking about? Had he done something wrong, again?

And then he caught it. Behind their voices was another voice. Static and crackling pops. The all-system audio signal from Rigel IV. They had it turned up full blast; the dull thunder of the monitor’s voice boomed loudly. The war. Always the war. He sighed, and stepped out into the dining-compartment.

“Morning,” his father muttered.

“Good morning, dear,” his mother said absently. She sat with her head turned to one side, wrinkles of concentration webbing her forehead. Her thin lips were drawn together in a tight line of concern. His father had pushed his dirty dishes back and was smoking, elbows on the table, dark hairy arms bare and muscular. He was scowling, intent on the jumbled roar from the speaker above the sink.

“How’s it going?” Tony asked. He slid into his chair and reached automatically for the ersatz grapefruit. “Any news from Orion?”

Neither of them answered. They didn’t hear him. He began to eat his grapefruit. Outside, beyond the little metal and plastic housing unit, sounds of activity grew. Shouts and muffled crashes, as rural merchants and their trucks rumbled along the highway toward Karnet. The reddish daylight swelled; Betelgeuse was rising quietly and majestically.

“Nice day,” Tony said. “No flux wind. I think I’ll go down to the n-quarter awhile. We’re building a neat spaceport, a model, of course, but we’ve been able to get enough materials to lay out strips for—”

With a savage snarl his father reached out and struck the audio roar immediately died. “I knew it!” He got up and moved angrily away from the table. “I told them it would happen. They shouldn’t have moved so soon. Should have built up Class A supply bases, first.”

“Isn’t our main fleet moving in from Bellatrix?” Tony’s mother fluttered anxiously. “According to last night’s summary the worst that can happen is Orion IX and X will be dumped.”

Joseph Rossi laughed harshly. “The hell with last night’s summary. They know as well as I do what’s happening.”

“What’s happening?” Tony echoed, as he pushed aside his grapefruit and began to ladle out dry cereal. “Are we losing the battle?”

“Yes!” His father’s lips twisted. “Earthmen, losing to—to beetles. I told them. But they couldn’t wait. My God, there’s ten good years left in this system. Why’d they have to push on? Everybody knew Orion would be tough. The whole damn beetle fleet’s strung out around there. Waiting for us. And we have to barge right in.”

“But nobody ever thought beetles would fight,” Leah Rossi protested mildly. “Everybody thought they’d just fire a few blasts and then—”

“They have to fight! Orion’s the last jump-off. If they don’t fight here, where the hell can they fight?” Rossi swore savagely. “Of course they’re fighting. We have all their planets except the inner Orion string—not that they’re worth much, but it’s the principle of the thing. If we’d built up strong supply bases, we could have broken up the beetle fleet and really clobbered it.”

“Don’t say ‘beetle,'” Tony murmured, as he finished his cereal. “They’re Pas-udeti, same as here. The word ‘beetle’ comes from Betelgeuse. An Arabian word we invented ourselves.”

Joe Rossi’s mouth opened and closed. “What are you, a goddamn beetle-lover?”

“Joe,” Leah snapped. “For heaven’s sake.”

Rossi moved toward the door. “If I was ten years younger I’d be out there. I’d really show those shiny-shelled insects what the hell they’re up against. Them and their junky beat-up old hulks. Converted freighters!” His eyes blazed. “When I think of them shooting down Terran cruisers with our boys in them—”

“Orion’s their system,” Tony murmured.

Their system! When the hell did you get to be an authority on space law? Why, I ought to—” He broke off, choked with rage. “My own kid,” he muttered. “One more crack out of you today and I’ll hang one on you you’ll feel the rest of the week.”

Tony pushed his chair back. “I won’t be around here today. I’m going into Karnet, with my EEP.”

“Yeah, to play with beetles!”

Tony said nothing. He was already sliding his helmet in place and snapping the clamps tight. As he pushed through the back door, into the lock membrane, he unscrewed his oxygen tap and set the tank filter into action. An automatic response, conditioned by a lifetime spent on a colony planet in an alien system.


A faint flux wind caught at him and swept yellow-red dust around his boots. Sunlight glittered from the metal roof of his family’s housing unit, one of endless rows of squat boxes set in the sandy slope, protected by the line of ore-refining installations against the horizon. He made an impatient signal, and from the storage shed his EEP came gliding out, catching the sunlight on its chrome trim.

“We’re going down into Karnet,” Tony said, unconsciously slipping into the Pas dialect. “Hurry up!”

The EEP took up its position behind him, and he started briskly down the slope, over the shifting sand, toward the road. There were quite a few traders out, today. It was a good day for the market; only a fourth of the year was fit for travel. Betelgeuse was an erratic and undependable sun, not at all like Sol (according to the edutapes, fed to Tony four hours a day, six days a week—he had never seen Sol himself).

He reached the noisy road. Pas-udeti were everywhere. Whole groups of them, with their primitive combustion-driven trucks, battered and filthy, motors grinding protestingly. He waved at the trucks as they pushed past him. After a moment one slowed down. It was piled with tis, bundled heaps of gray vegetables dried, and prepared for the table. A staple of the Pas-udeti diet. Behind the wheel lounged a dark-faced elderly Pas, one arm over the open window, a rolled leaf between his lips. He was like all other Pas-udeti; lank and hard-shelled, encased in a brittle sheath in which he lived and died.

“You want a ride?” the Pas murmured—required protocol when an Earthman on foot was encountered.

“Is there room for my EEP?”

The Pas made a careless motion with his claw. “It can run behind.” Sardonic amusement touched his ugly old face. “If it gets to Karnet we’ll sell it for scrap. We can use a few condensers and relay tubing. We’re short on electronic maintenance stuff.”

“I know,” Tony said solemnly, as he climbed into the cabin of the truck. “It’s all been sent to the big repair base at Orion I. For your warfleet.”

Amusement vanished from the leathery face. “Yes, the warfleet.” He turned away and started up the truck again. In the back, Tony’s EEP had scrambled up on the load of tis and was gripping precariously with its magnetic lines.

Tony noticed the Pas-udeti’s sudden change of expression, and he was puzzled. He started to speak to him—but now he noticed unusual quietness among the other Pas, in the other trucks, behind and in front of his own. The war, of course. It had swept through this system a century ago; these people had been left behind. Now all eyes were on Orion, on the battle between the Terran warfleet and the Pas-udeti collection of armed freighters.

“Is it true,” Tony asked carefully, “that you’re winning?”

The elderly Pas grunted. “We hear rumors.”

Tony considered. “My father says Terra went ahead too fast. He says we should have consolidated. We didn’t assemble adequate supply bases. He used to be an officer, when he was younger. He was with the fleet for two years.”

The Pas was silent a moment. “It’s true,” he said at last, “that when you’re so far from home, supply is a great problem. We, on the other hand, don’t have that. We have no distances to cover.”

“Do you know anybody fighting?”

“I have distant relatives.” The answer was vague; the Pas obviously didn’t want to talk about it.

“Have you ever seen your warfleet?”

“Not as it exists now. When this system was defeated most of our units were wiped out. Remnants limped to Orion and joined the Orion fleet.”

“Your relatives were with the remnants?”

“That’s right.”

“Then you were alive when this planet was taken?”

“Why do you ask?” The old Pas quivered violently. “What business is it of yours?”

Tony leaned out and watched the walls and buildings of Karnet grow ahead of them. Karnet was an old city. It had stood thousands of years. The Pas-udeti civilization was stable; it had reached a certain point of technocratic development and then leveled off. The Pas had inter-system ships that had carried people and freight between planets in the days before the Terran Confederation. They had combustion-driven cars, audiophones, a power network of a magnetic type. Their plumbing was satisfactory and their medicine was highly advanced. They had art forms, emotional and exciting. They had a vague religion.

“Who do you think will win the battle?” Tony asked.

“I don’t know.” With a sudden jerk the old Pas brought the truck to a crashing halt. “This is as far as I go. Please get out and take your EEP with you.”

Tony faltered in surprise. “But aren’t you going—?”

“No farther!”

Tony pushed the door open. He was vaguely uneasy; there was a hard, fixed expression on the leathery face, and the old creature’s voice had a sharp edge he had never heard before. “Thanks,” he murmured. He hopped down into the red dust and signaled his EEP. It released its magnetic lines, and instantly the truck started up with a roar, passing on inside the city.

Tony watched it go, still dazed. The hot dust lapped at his ankles; he automatically moved his feet and slapped at his trousers. A truck honked, and his EEP quickly moved him from the road, up to the level pedestrian ramp. Pas-udeti in swarms moved by, endless lines of rural people hurrying into Karnet on their daily business. A massive public bus had stopped by the gate and was letting off passengers. Male and female Pas. And children. They laughed and shouted; the sounds of their voices blended with the low hum of the city.

“Going in?” a sharp Pas-udeti voice sounded close behind him. “Keep moving—you’re blocking the ramp.”

It was a young female, with a heavy armload clutched in her claws. Tony felt embarrassed; female Pas had a certain telepathic ability, part of their sexual make-up. It was effective on Earthmen at close range.

“Here,” she said. “Give me a hand.”

Tony nodded his head, and the EEP accepted the female’s heavy armload. “I’m visiting the city,” Tony said, as they moved with the crowd toward the gates. “I got a ride most of the way, but the driver let me off out here.”

“You’re from the settlement?”

“Yes.”

She eyed him critically. “You’ve always lived here, haven’t you?”

“I was born here. My family came here from Earth four years before I was born. My father was an officer in the fleet. He earned an Emigration Priority.”

“So you’ve never seen your own planet. How old are you?”

“Ten years. Terran.”

“You shouldn’t have asked the driver so many questions.”

They passed through the decontamination shield and into the city. An information square loomed ahead; Pas men and women were packed around it. Moving chutes and transport cars rumbled everywhere. Buildings and ramps and open-air machinery; the city was sealed in a protective dust-proof envelope. Tony unfastened his helmet and clipped it to his belt. The air was stale-smelling, artificial, but usable.

“Let me tell you something,” the young female said carefully, as she strode along the foot-ramp beside Tony. “I wonder if this is a good day for you to come into Karnet. I know you’ve been coming here regularly to play with your friends. But perhaps today you ought to stay at home, in your settlement.”

“Why?”

“Because today everybody is upset.”

“I know,” Tony said. “My mother and father were upset. They were listening to the news from our base in the Rigel system.”

“I don’t mean your family. Other people are listening, too. These people here. My race.”

“They’re upset, all right,” Tony admitted. “But I come here all the time. There’s nobody to play with at the settlement, and anyhow we’re working on a project.”

“A model spaceport.”

“That’s right.” Tony was envious. “I sure wish I was a telepath. It must be fun.”

The female Pas-udeti was silent. She was deep in thought. “What would happen,” she asked, “if your family left here and returned to Earth?”

“That couldn’t happen. There’s no room for us on Earth. C-bombs destroyed most of Asia and North America back in the Twentieth Century.”

“Suppose you had to go back?”

Tony did not understand. “But we can’t. Habitable portions of Earth are overcrowded. Our main problem is finding places for Terrans to live, in other systems.” He added, “And anyhow, I don’t particularly want to go to Terra. I’m used to it here. All my friends are here.”

“I’ll take my packages,” the female said. “I go this other way, down this third-level ramp.”

Tony nodded to his EEP and it lowered the bundles into the female’s claws. She lingered a moment, trying to find the right words.

“Good luck,” she said.

“With what?”

She smiled faintly, ironically. “With your model spaceport. I hope you and your friends get to finish it.”

“Of course we’ll finish it,” Tony said, surprised. “It’s almost done.” What did she mean?

The Pas-udeti woman hurried off before he could ask her. Tony was troubled and uncertain; more doubts filled him. After a moment he headed slowly into the lane that took him toward the residential section of the city. Past the stores and factories, to the place where his friends lived.

The group of Pas-udeti children eyed him silently as he approached. They had been playing in the shade of an immense hengelo, whose ancient branches drooped and swayed with the air currents pumped through the city. Now they sat unmoving.

“I didn’t expect you today,” B’prith said, in an expressionless voice.

Tony halted awkwardly, and his EEP did the same. “How are things?” he murmured.

“Fine.”

“I got a ride part way.”

“Fine.”

Tony squatted down in the shade. None of the Pas children stirred. They were small, not as large as Terran children. Their shells had not hardened, had not turned dark and opaque, like horn. It gave them a soft, unformed appearance, but at the same time it lightened their load. They moved more easily than their elders; they could hop and skip around, still. But they were not skipping right now.

“What’s the matter?” Tony demanded. “What’s wrong with everybody?”

No one answered.

“Where’s the model?” he asked. “Have you fellows been working on it?”

After a moment Llyre nodded slightly.

Tony felt dull anger rise up inside him. “Say something! What’s the matter? What’re you all mad about?”

“Mad?” B’prith echoed. “We’re not mad.”

Tony scratched aimlessly in the dust. He knew what it was. The war, again. The battle going on near Orion. His anger burst up wildly. “Forget the war. Everything was fine yesterday, before the battle.”

“Sure,” Llyre said. “It was fine.”

Tony caught the edge to his voice. “It happened a hundred years ago. It’s not my fault.”

“Sure,” B’prith said.

“This is my home. Isn’t it? Haven’t I got as much right here as anybody else? I was born here.”

“Sure,” Llyre said, tonelessly.

Tony appealed to them helplessly. “Do you have to act this way? You didn’t act this way yesterday. I was here yesterday—all of us were here yesterday. What’s happened since yesterday?”

“The battle,” B’prith said.

“What difference does that make? Why does that change everything? There’s always war. There’ve been battles all the time, as long as I can remember. What’s different about this?”

B’prith broke apart a clump of dirt with his strong claws. After a moment he tossed it away and got slowly to his feet. “Well,” he said thoughtfully, “according to our audio relay, it looks as if our fleet is going to win, this time.”

“Yes,” Tony agreed, not understanding. “My father says we didn’t build up adequate supply bases. We’ll probably have to fall back to….” And then the impact hit him. “You mean, for the first time in a hundred years—”

“Yes,” Llyre said, also getting up. The others got up, too. They moved away from Tony, toward the near-by house. “We’re winning. The Terran flank was turned, half an hour ago. Your right wing has folded completely.”

Tony was stunned. “And it matters. It matters to all of you.”

“Matters!” B’prith halted, suddenly blazing out in fury. “Sure it matters! For the first time—in a century. The first time in our lives we’re beating you. We have you on the run, you—” He choked out the word, almost spat it out. “You white-grubs!”

They disappeared into the house. Tony sat gazing stupidly down at the ground, his hands still moving aimlessly. He had heard the word before, seen it scrawled on walls and in the dust near the settlement. White-grubs. The Pas term of derision for Terrans. Because of their softness, their whiteness. Lack of hard shells. Pulpy, doughy skin. But they had never dared say it out loud, before. To an Earthman’s face.

Beside him, his EEP stirred restlessly. Its intricate radio mechanism sensed the hostile atmosphere. Automatic relays were sliding into place; circuits were opening and closing.

“It’s all right,” Tony murmured, getting slowly up. “Maybe we’d better go back.”

He moved unsteadily toward the ramp, completely shaken. The EEP walked calmly ahead, its metal face blank and confident, feeling nothing, saying nothing. Tony’s thoughts were a wild turmoil; he shook his head, but the crazy spinning kept up. He couldn’t make his mind slow down, lock in place.

“Wait a minute,” a voice said. B’prith’s voice, from the open doorway. Cold and withdrawn, almost unfamiliar.

“What do you want?”

B’prith came toward him, claws behind his back in the formal Pas-udeti posture, used between total strangers. “You shouldn’t have come here, today.”

“I know,” Tony said.

B’prith got out a bit of tis stalk and began to roll it into a tube. He pretended to concentrate on it. “Look,” he said. “You said you have a right here. But you don’t.”

“I—” Tony murmured.

“Do you understand why not? You said it isn’t your fault. I guess not. But it’s not my fault, either. Maybe it’s nobody’s fault. I’ve known you a long time.”

“Five years. Terran.”

B’prith twisted the stalk up and tossed it away. “Yesterday we played together. We worked on the spaceport. But we can’t play today. My family said to tell you not to come here any more.” He hesitated, and did not look Tony in the face. “I was going to tell you, anyhow. Before they said anything.”

“Oh,” Tony said.

“Everything that’s happened today—the battle, our fleet’s stand. We didn’t know. We didn’t dare hope. You see? A century of running. First this system. Then the Rigel system, all the planets. Then the other Orion stars. We fought here and there—scattered fights. Those that got away joined up. We supplied the base at Orion—you people didn’t know. But there was no hope; at least, nobody thought there was.” He was silent a moment. “Funny,” he said, “what happens when your back’s to the wall, and there isn’t any further place to go. Then you have to fight.”

“If our supply bases—” Tony began thickly, but B’prith cut him off savagely.

“Your supply bases! Don’t you understand? We’re beating you! Now you’ll have to get out! All you white-grubs. Out of our system!”

Tony’s EEP moved forward ominously. B’prith saw it. He bent down, snatched up a rock, and hurled it straight at the EEP. The rock clanged off the metal hull and bounced harmlessly away. B’prith snatched up another rock. Llyre and the others came quickly out of the house. An adult Pas loomed up behind them. Everything was happening too fast. More rocks crashed against the EEP. One struck Tony on the arm.

“Get out!” B’prith screamed. “Don’t come back! This is our planet!” His claws snatched at Tony. “We’ll tear you to pieces if you—”

Tony smashed him in the chest. The soft shell gave like rubber, and the Pas stumbled back. He wobbled and fell over, gasping and screeching.

Beetle,” Tony breathed hoarsely. Suddenly he was terrified. A crowd of Pas-udeti was forming rapidly. They surged on all sides, hostile faces, dark and angry, a rising thunder of rage.

More stones showered. Some struck the EEP, others fell around Tony, near his boots. One whizzed past his face. Quickly he slid his helmet in place. He was scared. He knew his EEP’s E-signal had already gone out, but it would be minutes before a ship could come. Besides, there were other Earthmen in the city to be taken care of; there were Earthmen all over the planet. In all the cities. On all the twenty-three Betelgeuse planets. On the fourteen Rigel planets. On the other Orion planets.

“We have to get out of here,” he muttered to the EEP. “Do something!”

A stone hit him on the helmet. The plastic cracked; air leaked out, and then the autoseal filmed over. More stones were falling. The Pas swarmed close, a yelling, seething mass of black-sheathed creatures. He could smell them, the acrid body-odor of insects, hear their claws snap, feel their weight.

The EEP threw its heat beam on. The beam shifted in a wide band toward the crowd of Pas-udeti. Crude hand weapons appeared. A clatter of bullets burst around Tony; they were firing at the EEP. He was dimly aware of the metal body beside him. A shuddering crash—the EEP was toppled over. The crowd poured over it; the metal hull was lost from sight.

Like a demented animal, the crowd tore at the struggling EEP. A few of them smashed in its head; others tore off struts and shiny arm-sections. The EEP ceased struggling. The crowd moved away, panting and clutching jagged remains. They saw Tony.

As the first line of them reached for him, the protective envelope high above them shattered. A Terran scout ship thundered down, heat beam screaming. The crowd scattered in confusion, some firing, some throwing stones, others leaping for safety.

Tony picked himself up and made his way unsteadily toward the spot where the scout was landing.


“I’m sorry,” Joe Rossi said gently. He touched his son on the shoulder. “I shouldn’t have let you go down there today. I should have known.”

Tony sat hunched over in the big plastic easychair. He rocked back and forth, face pale with shock. The scout ship which had rescued him had immediately headed back toward Karnet; there were other Earthmen to bring out, besides this first load. The boy said nothing. His mind was blank. He still heard the roar of the crowd, felt its hate—a century of pent-up fury and resentment. The memory drove out everything else; it was all around him, even now. And the sight of the floundering EEP, the metallic ripping sound, as its arms and legs were torn off and carried away.

His mother dabbed at his cuts and scratches with antiseptic. Joe Rossi shakily lit a cigarette and said, “If your EEP hadn’t been along they’d have killed you. Beetles.” He shuddered. “I never should have let you go down there. All this time…. They might have done it any time, any day. Knifed you. Cut you open with their filthy goddamn claws.”

Below the settlement the reddish-yellow sunlight glinted on gunbarrels. Already, dull booms echoed against the crumbling hills. The defense ring was going into action. Black shapes darted and scurried up the side of the slope. Black patches moved out from Karnet, toward the Terran settlement, across the dividing line the Confederation surveyors had set up a century ago. Karnet was a bubbling pot of activity. The whole city rumbled with feverish excitement.

Tony raised his head. “They—they turned our flank.”

“Yeah.” Joe Rossi stubbed out his cigarette. “They sure did. That was at one o’clock. At two they drove a wedge right through the center of our line. Split the fleet in half. Broke it up—sent it running. Picked us off one by one as we fell back. Christ, they’re like maniacs. Now that they’ve got the scent, the taste of our blood.”

“But it’s getting better,” Leah fluttered. “Our main fleet units are beginning to appear.”

“We’ll get them,” Joe muttered. “It’ll take a while. But by God we’ll wipe them out. Every last one of them. If it takes a thousand years. We’ll follow every last ship down—we’ll get them all.” His voice rose in frenzy. “Beetles! Goddamn insects! When I think of them, trying to hurt my kid, with their filthy black claws—”

“If you were younger, you’d be in the line,” Leah said. “It’s not your fault you’re too old. The heart strain’s too great. You did your job. They can’t let an older person take chances. It’s not your fault.”

Joe clenched his fists. “I feel so—futile. If there was only something I could do.”

“The fleet will take care of them,” Leah said soothingly. “You said so yourself. They’ll hunt every one of them down. Destroy them all. There’s nothing to worry about.”

Joe sagged miserably. “It’s no use. Let’s cut it out. Let’s stop kidding ourselves.”

“What do you mean?”

“Face it! We’re not going to win, not this time. We went too far. Our time’s come.”

There was silence.

Tony sat up a little. “When did you know?”

“I’ve known a long time.”

“I found out today. I didn’t understand, at first. This is—stolen ground. I was born here, but it’s stolen ground.”

“Yes. It’s stolen. It doesn’t belong to us.”

“We’re here because we’re stronger. But now we’re not stronger. We’re being beaten.”

“They know Terrans can be licked. Like anybody else.” Joe Rossi’s face was gray and flabby. “We took their planets away from them. Now they’re taking them back. It’ll be a while, of course. We’ll retreat slowly. It’ll be another five centuries going back. There’re a lot of systems between here and Sol.”

Tony shook his head, still uncomprehending. “Even Llyre and B’prith. All of them. Waiting for their time to come. For us to lose and go away again. Where we came from.”

Joe Rossi paced back and forth. “Yeah, we’ll be retreating from now on. Giving ground, instead of taking it. It’ll be like this today—losing fights, draws. Stalemates and worse.”

He raised his feverish eyes toward the ceiling of the little metal housing unit, face wild with passion and misery.

“But, by God, we’ll give them a run for their money. All the way back! Every inch!”


	

Mr. Spaceship, by Philip K. Dick

This text was produced from Imagination Stories of Science and Fantasy, January 1953. Extensive research did not uncover any evidence that the U.S. copyright on this publication was renewed.

A human brain-controlled spacecraft would mean mechanical perfection. This was accomplished, and something unforeseen: a strange entity called—

Mr. Spaceship

By
Philip K. Dick

Kramer leaned back. “You can see the situation. How can we deal with a factor like this? The perfect variable.”

“Perfect? Prediction should still be possible. A living thing still acts from necessity, the same as inanimate material. But the cause-effect chain is more subtle; there are more factors to be considered. The difference is quantitative, I think. The reaction of the living organism parallels natural causation, but with greater complexity.”

Gross and Kramer looked up at the board plates, suspended on the wall, still dripping, the images hardening into place. Kramer traced a line with his pencil.

“See that? It’s a pseudopodium. They’re alive, and so far, a weapon we can’t beat. No mechanical system can compete with that, simple or intricate. We’ll have to scrap the Johnson Control and find something else.”

“Meanwhile the war continues as it is. Stalemate. Checkmate. They can’t get to us, and we can’t get through their living minefield.”

Kramer nodded. “It’s a perfect defense, for them. But there still might be one answer.”

“What’s that?”

“Wait a minute.” Kramer turned to his rocket expert, sitting with the charts and files. “The heavy cruiser that returned this week. It didn’t actually touch, did it? It came close but there was no contact.”

“Correct.” The expert nodded. “The mine was twenty miles off. The cruiser was in space-drive, moving directly toward Proxima, line-straight, using the Johnson Control, of course. It had deflected a quarter of an hour earlier for reasons unknown. Later it resumed its  course. That was when they got it.”

“It shifted,” Kramer said. “But not enough. The mine was coming along after it, trailing it. It’s the same old story, but I wonder about the contact.”

“Here’s our theory,” the expert said. “We keep looking for contact, a trigger in the pseudopodium. But more likely we’re witnessing a psychological phenomena, a decision without any physical correlative. We’re watching for something that isn’t there. The mine decides to blow up. It sees our ship, approaches, and then decides.”

“Thanks.” Kramer turned to Gross. “Well, that confirms what I’m saying. How can a ship guided by automatic relays escape a mine that decides to explode? The whole theory of mine penetration is that you must avoid tripping the trigger. But here the trigger is a state of mind in a complicated, developed life-form.”

“The belt is fifty thousand miles deep,” Gross added. “It solves another problem for them, repair and maintenance. The damn things reproduce, fill up the spaces by spawning into them. I wonder what they feed on?”

“Probably the remains of our first-line. The big cruisers must be a delicacy. It’s a game of wits, between a living creature and a ship piloted by automatic relays. The ship always loses.” Kramer opened a folder. “I’ll tell you what I suggest.”

“Go on,” Gross said. “I’ve already heard ten solutions today. What’s yours?”

“Mine is very simple. These creatures are superior to any mechanical system, but only because they’re alive. Almost any other life-form could compete with them, any higher life-form. If the yuks can put out living mines to protect their planets, we ought to be able to harness some of our own life-forms in a similar way. Let’s make use of the same weapon ourselves.”

“Which life-form do you propose to use?”

“I think the human brain is the most agile of known living forms. Do you know of any better?”

“But no human being can withstand outspace travel. A human pilot would be dead of heart failure long before the ship got anywhere near Proxima.”

“But we don’t need the whole body,” Kramer said. “We need only the brain.”

“What?”

“The problem is to find a person of high intelligence who would contribute, in the same manner that eyes and arms are volunteered.”

“But a brain….”

“Technically, it could be done. Brains have been transferred several times, when body destruction made it necessary. Of course, to a spaceship, to a heavy outspace cruiser, instead of an artificial body, that’s new.”

The room was silent.

“It’s quite an idea,” Gross said  slowly. His heavy square face twisted. “But even supposing it might work, the big question is whose brain?”

It was all very confusing, the reasons for the war, the nature of the enemy. The Yucconae had been contacted on one of the outlying planets of Proxima Centauri. At the approach of the Terran ship, a host of dark slim pencils had lifted abruptly and shot off into the distance. The first real encounter came between three of the yuk pencils and a single exploration ship from Terra. No Terrans survived. After that it was all out war, with no holds barred.

Both sides feverishly constructed defense rings around their systems. Of the two, the Yucconae belt was the better. The ring around Proxima was a living ring, superior to anything Terra could throw against it. The standard equipment by which Terran ships were guided in outspace, the Johnson Control, was not adequate. Something more was needed. Automatic relays were not good enough.

—Not good at all, Kramer thought to himself, as he stood looking down the hillside at the work going on below him. A warm wind blew along the hill, rustling the weeds and grass. At the bottom, in the valley, the mechanics had almost finished; the last elements of the reflex system had been removed from the ship and crated up.

All that was needed now was the new core, the new central key that would take the place of the mechanical system. A human brain, the brain of an intelligent, wary human being. But would the human being part with it? That was the problem.

Kramer turned. Two people were approaching him along the road, a man and a woman. The man was Gross, expressionless, heavy-set, walking with dignity. The woman was—He stared in surprise and growing annoyance. It was Dolores, his wife. Since they’d separated he had seen little of her….

“Kramer,” Gross said. “Look who I ran into. Come back down with us. We’re going into town.”

“Hello, Phil,” Dolores said. “Well, aren’t you glad to see me?”

He nodded. “How have you been? You’re looking fine.” She was still pretty and slender in her uniform, the blue-grey of Internal Security, Gross’ organization.

“Thanks.” She smiled. “You seem to be doing all right, too. Commander Gross tells me that you’re responsible for this project, Operation Head, as they call it. Whose head have you decided on?”

“That’s the problem.” Kramer lit a cigarette. “This ship is to be equipped with a human brain instead of the Johnson system. We’ve constructed special draining baths for the brain, electronic relays to catch the impulses and magnify them, a continual feeding duct that supplies the living cells with everything  they need. But—”

“But we still haven’t got the brain itself,” Gross finished. They began to walk back toward the car. “If we can get that we’ll be ready for the tests.”

“Will the brain remain alive?” Dolores asked. “Is it actually going to live as part of the ship?”

“It will be alive, but not conscious. Very little life is actually conscious. Animals, trees, insects are quick in their responses, but they aren’t conscious. In this process of ours the individual personality, the ego, will cease. We only need the response ability, nothing more.”

Dolores shuddered. “How terrible!”

“In time of war everything must be tried,” Kramer said absently. “If one life sacrificed will end the war it’s worth it. This ship might get through. A couple more like it and there wouldn’t be any more war.”

They got into the car. As they drove down the road, Gross said, “Have you thought of anyone yet?”

Kramer shook his head. “That’s out of my line.”

“What do you mean?”

“I’m an engineer. It’s not in my department.”

“But all this was your idea.”

“My work ends there.”

Gross was staring at him oddly. Kramer shifted uneasily.

“Then who is supposed to do it?” Gross said. “I can have my organization prepare examinations of various kinds, to determine fitness, that kind of thing—”

“Listen, Phil,” Dolores said suddenly.

“What?”

She turned toward him. “I have an idea. Do you remember that professor we had in college. Michael Thomas?”

Kramer nodded.

“I wonder if he’s still alive.” Dolores frowned. “If he is he must be awfully old.”

“Why, Dolores?” Gross asked.

“Perhaps an old person who didn’t have much time left, but whose mind was still clear and sharp—”

“Professor Thomas.” Kramer rubbed his jaw. “He certainly was a wise old duck. But could he still be alive? He must have been seventy, then.”

“We could find that out,” Gross said. “I could make a routine check.”

“What do you think?” Dolores said. “If any human mind could outwit those creatures—”

“I don’t like the idea,” Kramer said. In his mind an image had appeared, the image of an old man sitting behind a desk, his bright gentle eyes moving about the classroom. The old man leaning forward, a thin hand raised—

“Keep him out of this,” Kramer said.

“What’s wrong?” Gross looked at him curiously.

“It’s because I suggested it,” Dolores  said.

“No.” Kramer shook his head. “It’s not that. I didn’t expect anything like this, somebody I knew, a man I studied under. I remember him very clearly. He was a very distinct personality.”

“Good,” Gross said. “He sounds fine.”

“We can’t do it. We’re asking his death!”

“This is war,” Gross said, “and war doesn’t wait on the needs of the individual. You said that yourself. Surely he’ll volunteer; we can keep it on that basis.”

“He may already be dead,” Dolores murmured.

“We’ll find that out,” Gross said speeding up the car. They drove the rest of the way in silence.

For a long time the two of them stood studying the small wood house, overgrown with ivy, set back on the lot behind an enormous oak. The little town was silent and sleepy; once in awhile a car moved slowly along the distant highway, but that was all.

“This is the place,” Gross said to Kramer. He folded his arms. “Quite a quaint little house.”

Kramer said nothing. The two Security Agents behind them were expressionless.

Gross started toward the gate. “Let’s go. According to the check he’s still alive, but very sick. His mind is agile, however. That seems to be certain. It’s said he doesn’t leave the house. A woman takes care of his needs. He’s very frail.”

They went down the stone walk and up onto the porch. Gross rang the bell. They waited. After a time they heard slow footsteps. The door opened. An elderly woman in a shapeless wrapper studied them impassively.

“Security,” Gross said, showing his card. “We wish to see Professor Thomas.”

“Why?”

“Government business.” He glanced at Kramer.

Kramer stepped forward. “I was a pupil of the Professor’s,” he said. “I’m sure he won’t mind seeing us.”

The woman hesitated uncertainly. Gross stepped into the doorway. “All right, mother. This is war time. We can’t stand out here.”

The two Security agents followed him, and Kramer came reluctantly behind, closing the door. Gross stalked down the hall until he came to an open door. He stopped, looking in. Kramer could see the white corner of a bed, a wooden post and the edge of a dresser.

He joined Gross.

In the dark room a withered old man lay, propped up on endless pillows. At first it seemed as if he were asleep; there was no motion or sign of life. But after a time Kramer saw with a faint shock that the old man was watching them intently, his eyes fixed on them, unmoving, unwinking.

“Professor Thomas?” Gross said. “I’m Commander Gross of Security. This man with me is perhaps known to you—”

The faded eyes fixed on Kramer.

“I know him. Philip Kramer…. You’ve grown heavier, boy.” The voice was feeble, the rustle of dry ashes. “Is it true you’re married now?”

“Yes. I married Dolores French. You remember her.” Kramer came toward the bed. “But we’re separated. It didn’t work out very well. Our careers—”

“What we came here about, Professor,” Gross began, but Kramer cut him off with an impatient wave.

“Let me talk. Can’t you and your men get out of here long enough to let me talk to him?”

Gross swallowed. “All right, Kramer.” He nodded to the two men. The three of them left the room, going out into the hall and closing the door after them.

The old man in the bed watched Kramer silently. “I don’t think much of him,” he said at last. “I’ve seen his type before. What’s he want?”

“Nothing. He just came along. Can I sit down?” Kramer found a stiff upright chair beside the bed. “If I’m bothering you—”

“No. I’m glad to see you again, Philip. After so long. I’m sorry your marriage didn’t work out.”

“How have you been?”

“I’ve been very ill. I’m afraid that my moment on the world’s stage has almost ended.” The ancient eyes studied the younger man reflectively. “You look as if you have been doing well. Like everyone else I thought highly of. You’ve gone to the top in this society.”

Kramer smiled. Then he became serious. “Professor, there’s a project we’re working on that I want to talk to you about. It’s the first ray of hope we’ve had in this whole war. If it works, we may be able to crack the yuk defenses, get some ships into their system. If we can do that the war might be brought to an end.”

“Go on. Tell me about it, if you wish.”

“It’s a long shot, this project. It may not work at all, but we have to give it a try.”

“It’s obvious that you came here because of it,” Professor Thomas murmured. “I’m becoming curious. Go on.”

After Kramer finished the old man lay back in the bed without speaking. At last he sighed.

“I understand. A human mind, taken out of a human body.” He sat up a little, looking at Kramer. “I suppose you’re thinking of me.”

Kramer said nothing.

“Before I make my decision I want to see the papers on this, the theory and outline of construction. I’m not sure I like it.—For reasons of my own, I mean. But I want to look at the material. If you’ll do that—”

“Certainly.” Kramer stood up and went to the door. Gross and the two Security Agents were standing outside, waiting tensely. “Gross, come inside.”

 They filed into the room.

“Give the Professor the papers,” Kramer said. “He wants to study them before deciding.”

Gross brought the file out of his coat pocket, a manila envelope. He handed it to the old man on the bed. “Here it is, Professor. You’re welcome to examine it. Will you give us your answer as soon as possible? We’re very anxious to begin, of course.”

“I’ll give you my answer when I’ve decided.” He took the envelope with a thin, trembling hand. “My decision depends on what I find out from these papers. If I don’t like what I find, then I will not become involved with this work in any shape or form.” He opened the envelope with shaking hands. “I’m looking for one thing.”

“What is it?” Gross said.

“That’s my affair. Leave me a number by which I can reach you when I’ve decided.”

Silently, Gross put his card down on the dresser. As they went out Professor Thomas was already reading the first of the papers, the outline of the theory.

Kramer sat across from Dale Winter, his second in line. “What then?” Winter said.

“He’s going to contact us.” Kramer scratched with a drawing pen on some paper. “I don’t know what to think.”

“What do you mean?” Winter’s good-natured face was puzzled.

“Look.” Kramer stood up, pacing back and forth, his hands in his uniform pockets. “He was my teacher in college. I respected him as a man, as well as a teacher. He was more than a voice, a talking book. He was a person, a calm, kindly person I could look up to. I always wanted to be like him, someday. Now look at me.”

“So?”

“Look at what I’m asking. I’m asking for his life, as if he were some kind of laboratory animal kept around in a cage, not a man, a teacher at all.”

“Do you think he’ll do it?”

“I don’t know.” Kramer went to the window. He stood looking out. “In a way, I hope not.”

“But if he doesn’t—”

“Then we’ll have to find somebody else. I know. There would be somebody else. Why did Dolores have to—”

The vidphone rang. Kramer pressed the button.

“This is Gross.” The heavy features formed. “The old man called me. Professor Thomas.”

“What did he say?” He knew; he could tell already, by the sound of Gross’ voice.

“He said he’d do it. I was a little surprised myself, but apparently he means it. We’ve already made arrangements for his admission to the hospital. His lawyer is drawing up the statement of liability.”

Kramer only half heard. He nodded wearily. “All right. I’m glad. I suppose we can go ahead, then.”

 “You don’t sound very glad.”

“I wonder why he decided to go ahead with it.”

“He was very certain about it.” Gross sounded pleased. “He called me quite early. I was still in bed. You know, this calls for a celebration.”

“Sure,” Kramer said. “It sure does.”

Toward the middle of August the project neared completion. They stood outside in the hot autumn heat, looking up at the sleek metal sides of the ship.

Gross thumped the metal with his hand. “Well, it won’t be long. We can begin the test any time.”

“Tell us more about this,” an officer in gold braid said. “It’s such an unusual concept.”

“Is there really a human brain inside the ship?” a dignitary asked, a small man in a rumpled suit. “And the brain is actually alive?”

“Gentlemen, this ship is guided by a living brain instead of the usual Johnson relay-control system. But the brain is not conscious. It will function by reflex only. The practical difference between it and the Johnson system is this: a human brain is far more intricate than any man-made structure, and its ability to adapt itself to a situation, to respond to danger, is far beyond anything that could be artificially built.”

Gross paused, cocking his ear. The turbines of the ship were beginning to rumble, shaking the ground under them with a deep vibration. Kramer was standing a short distance away from the others, his arms folded, watching silently. At the sound of the turbines he walked quickly around the ship to the other side. A few workmen were clearing away the last of the waste, the scraps of wiring and scaffolding. They glanced up at him and went on hurriedly with their work. Kramer mounted the ramp and entered the control cabin of the ship. Winter was sitting at the controls with a Pilot from Space-transport.

“How’s it look?” Kramer asked.

“All right.” Winter got up. “He tells me that it would be best to take off manually. The robot controls—” Winter hesitated. “I mean, the built-in controls, can take over later on in space.”

“That’s right,” the Pilot said. “It’s customary with the Johnson system, and so in this case we should—”

“Can you tell anything yet?” Kramer asked.

“No,” the Pilot said slowly. “I don’t think so. I’ve been going over everything. It seems to be in good order. There’s only one thing I wanted to ask you about.” He put his hand on the control board. “There are some changes here I don’t understand.”

“Changes?”

“Alterations from the original design. I wonder what the purpose is.”

Kramer took a set of the plans  from his coat. “Let me look.” He turned the pages over. The Pilot watched carefully over his shoulder.

“The changes aren’t indicated on your copy,” the Pilot said. “I wonder—” He stopped. Commander Gross had entered the control cabin.

“Gross, who authorized alterations?” Kramer said. “Some of the wiring has been changed.”

“Why, your old friend.” Gross signaled to the field tower through the window.

“My old friend?”

“The Professor. He took quite an active interest.” Gross turned to the Pilot. “Let’s get going. We have to take this out past gravity for the test they tell me. Well, perhaps it’s for the best. Are you ready?”

“Sure.” The Pilot sat down and moved some of the controls around. “Anytime.”

“Go ahead, then,” Gross said.

“The Professor—” Kramer began, but at that moment there was a tremendous roar and the ship leaped under him. He grasped one of the wall holds and hung on as best he could. The cabin was filling with a steady throbbing, the raging of the jet turbines underneath them.

The ship leaped. Kramer closed his eyes and held his breath. They were moving out into space, gaining speed each moment.

Well, what do you think?” Winter said nervously. “Is it time yet?”

“A little longer,” Kramer said. He was sitting on the floor of the cabin, down by the control wiring. He had removed the metal covering-plate, exposing the complicated maze of relay wiring. He was studying it, comparing it to the wiring diagrams.

“What’s the matter?” Gross said.

“These changes. I can’t figure out what they’re for. The only pattern I can make out is that for some reason—”

“Let me look,” the Pilot said. He squatted down beside Kramer. “You were saying?”

“See this lead here? Originally it was switch controlled. It closed and opened automatically, according to temperature change. Now it’s wired so that the central control system operates it. The same with the others. A lot of this was still mechanical, worked by pressure, temperature, stress. Now it’s under the central master.”

“The brain?” Gross said. “You mean it’s been altered so that the brain manipulates it?”

Kramer nodded. “Maybe Professor Thomas felt that no mechanical relays could be trusted. Maybe he thought that things would be happening too fast. But some of these could close in a split second. The brake rockets could go on as quickly as—”

“Hey,” Winter said from the control seat. “We’re getting near the moon stations. What’ll I do?”

They looked out the port. The  corroded surface of the moon gleamed up at them, a corrupt and sickening sight. They were moving swiftly toward it.

“I’ll take it,” the Pilot said. He eased Winter out of the way and strapped himself in place. The ship began to move away from the moon as he manipulated the controls. Down below them they could see the observation stations dotting the surface, and the tiny squares that were the openings of the underground factories and hangars. A red blinker winked up at them and the Pilot’s fingers moved on the board in answer.

“We’re past the moon,” the Pilot said, after a time. The moon had fallen behind them; the ship was heading into outer space. “Well, we can go ahead with it.”

Kramer did not answer.

“Mr. Kramer, we can go ahead any time.”

Kramer started. “Sorry. I was thinking. All right, thanks.” He frowned, deep in thought.

“What is it?” Gross asked.

“The wiring changes. Did you understand the reason for them when you gave the okay to the workmen?”

Gross flushed. “You know I know nothing about technical material. I’m in Security.”

“Then you should have consulted me.”

“What does it matter?” Gross grinned wryly. “We’re going to have to start putting our faith in the old man sooner or later.”

The Pilot stepped back from the board. His face was pale and set. “Well, it’s done,” he said. “That’s it.”

“What’s done?” Kramer said.

“We’re on automatic. The brain. I turned the board over to it—to him, I mean. The Old Man.” The Pilot lit a cigarette and puffed nervously. “Let’s keep our fingers crossed.”

The ship was coasting evenly, in the hands of its invisible pilot. Far down inside the ship, carefully armoured and protected, a soft human brain lay in a tank of liquid, a thousand minute electric charges playing over its surface. As the charges rose they were picked up and amplified, fed into relay systems, advanced, carried on through the entire ship—

Gross wiped his forehead nervously. “So he is running it, now. I hope he knows what he’s doing.”

Kramer nodded enigmatically. “I think he does.”

“What do you mean?”

“Nothing.” Kramer walked to the port. “I see we’re still moving in a straight line.” He picked up the microphone. “We can instruct the brain orally, through this.” He blew against the microphone experimentally.

“Go on,” Winter said.

“Bring the ship around half-right,” Kramer said. “Decrease speed.”

They waited. Time passed. Gross looked at Kramer. “No change. Nothing.”

 “Wait.”

Slowly, the ship was beginning to turn. The turbines missed, reducing their steady beat. The ship was taking up its new course, adjusting itself. Nearby some space debris rushed past, incinerating in the blasts of the turbine jets.

“So far so good,” Gross said.

They began to breathe more easily. The invisible pilot had taken control smoothly, calmly. The ship was in good hands. Kramer spoke a few more words into the microphone, and they swung again. Now they were moving back the way they had come, toward the moon.

“Let’s see what he does when we enter the moon’s pull,” Kramer said. “He was a good mathematician, the old man. He could handle any kind of problem.”

The ship veered, turning away from the moon. The great eaten-away globe fell behind them.

Gross breathed a sigh of relief. “That’s that.”

“One more thing.” Kramer picked up the microphone. “Return to the moon and land the ship at the first space field,” he said into it.

“Good Lord,” Winter murmured. “Why are you—”

“Be quiet.” Kramer stood, listening. The turbines gasped and roared as the ship swung full around, gaining speed. They were moving back, back toward the moon again. The ship dipped down, heading toward the great globe below.

“We’re going a little fast,” the Pilot said. “I don’t see how he can put down at this velocity.”

The port filled up, as the globe swelled rapidly. The Pilot hurried toward the board, reaching for the controls. All at once the ship jerked. The nose lifted and the ship shot out into space, away from the moon, turning at an oblique angle. The men were thrown to the floor by the sudden change in course. They got to their feet again, speechless, staring at each other.

The Pilot gazed down at the board. “It wasn’t me! I didn’t touch a thing. I didn’t even get to it.”

The ship was gaining speed each moment. Kramer hesitated. “Maybe you better switch it back to manual.”

The Pilot closed the switch. He took hold of the steering controls and moved them experimentally. “Nothing.” He turned around. “Nothing. It doesn’t respond.”

No one spoke.

“You can see what has happened,” Kramer said calmly. “The old man won’t let go of it, now that he has it. I was afraid of this when I saw the wiring changes. Everything in this ship is centrally controlled, even the cooling system, the hatches, the garbage release. We’re helpless.”

“Nonsense.” Gross strode to the board. He took hold of the wheel and turned it. The ship continued on its course, moving away from the moon, leaving it behind.

 “Release!” Kramer said into the microphone. “Let go of the controls! We’ll take it back. Release.”

“No good,” the Pilot said. “Nothing.” He spun the useless wheel. “It’s dead, completely dead.”

“And we’re still heading out,” Winter said, grinning foolishly. “We’ll be going through the first-line defense belt in a few minutes. If they don’t shoot us down—”

“We better radio back.” The Pilot clicked the radio to send. “I’ll contact the main bases, one of the observation stations.”

“Better get the defense belt, at the speed we’re going. We’ll be into it in a minute.”

“And after that,” Kramer said, “we’ll be in outer space. He’s moving us toward outspace velocity. Is this ship equipped with baths?”

“Baths?” Gross said.

“The sleep tanks. For space-drive. We may need them if we go much faster.”

“But good God, where are we going?” Gross said. “Where—where’s he taking us?”

The Pilot obtained contact. “This is Dwight, on ship,” he said. “We’re entering the defense zone at high velocity. Don’t fire on us.”

“Turn back,” the impersonal voice came through the speaker. “You’re not allowed in the defense zone.”

“We can’t. We’ve lost control.”

“Lost control?”

“This is an experimental ship.”

Gross took the radio. “This is Commander Gross, Security. We’re being carried into outer space. There’s nothing we can do. Is there any way that we can be removed from this ship?”

A hesitation. “We have some fast pursuit ships that could pick you up if you wanted to jump. The chances are good they’d find you. Do you have space flares?”

“We do,” the Pilot said. “Let’s try it.”

“Abandon ship?” Kramer said. “If we leave now we’ll never see it again.”

“What else can we do? We’re gaining speed all the time. Do you propose that we stay here?”

“No.” Kramer shook his head. “Damn it, there ought to be a better solution.”

“Could you contact him?” Winter asked. “The Old Man? Try to reason with him?”

“It’s worth a chance,” Gross said. “Try it.”

“All right.” Kramer took the microphone. He paused a moment. “Listen! Can you hear me? This is Phil Kramer. Can you hear me, Professor. Can you hear me? I want you to release the controls.”

There was silence.

“This is Kramer, Professor. Can you hear me? Do you remember who I am? Do you understand who this is?”

Above the control panel the wall speaker made a sound, a sputtering static. They looked up.

“Can you hear me, Professor. This  is Philip Kramer. I want you to give the ship back to us. If you can hear me, release the controls! Let go, Professor. Let go!”

Static. A rushing sound, like the wind. They gazed at each other. There was silence for a moment.

“It’s a waste of time,” Gross said.

“No—listen!”

The sputter came again. Then, mixed with the sputter, almost lost in it, a voice came, toneless, without inflection, a mechanical, lifeless voice from the metal speaker in the wall, above their heads.

“… Is it you, Philip? I can’t make you out. Darkness…. Who’s there? With you….”

“It’s me, Kramer.” His fingers tightened against the microphone handle. “You must release the controls, Professor. We have to get back to Terra. You must.”

Silence. Then the faint, faltering voice came again, a little stronger than before. “Kramer. Everything so strange. I was right, though. Consciousness result of thinking. Necessary result. Cognito ergo sum. Retain conceptual ability. Can you hear me?”

“Yes, Professor—”

“I altered the wiring. Control. I was fairly certain…. I wonder if I can do it. Try….”

Suddenly the air-conditioning snapped into operation. It snapped abruptly off again. Down the corridor a door slammed. Something thudded. The men stood listening. Sounds came from all sides of them, switches shutting, opening. The lights blinked off; they were in darkness. The lights came back on, and at the same time the heating coils dimmed and faded.

“Good God!” Winter said.

Water poured down on them, the emergency fire-fighting system. There was a screaming rush of air. One of the escape hatches had slid back, and the air was roaring frantically out into space.

The hatch banged closed. The ship subsided into silence. The heating coils glowed into life. As suddenly as it had begun the weird exhibition ceased.

“I can do—everything,” the dry, toneless voice came from the wall speaker. “It is all controlled. Kramer, I wish to talk to you. I’ve been—been thinking. I haven’t seen you in many years. A lot to discuss. You’ve changed, boy. We have much to discuss. Your wife—”

The Pilot grabbed Kramer’s arm. “There’s a ship standing off our bow. Look.”

They ran to the port. A slender pale craft was moving along with them, keeping pace with them. It was signal-blinking.

“A Terran pursuit ship,” the Pilot said. “Let’s jump. They’ll pick us up. Suits—”

He ran to a supply cupboard and turned the handle. The door opened and he pulled the suits out onto the floor.

“Hurry,” Gross said. A panic seized them. They dressed frantically, pulling the heavy garments  over them. Winter staggered to the escape hatch and stood by it, waiting for the others. They joined him, one by one.

“Let’s go!” Gross said. “Open the hatch.”

Winter tugged at the hatch. “Help me.”

They grabbed hold, tugging together. Nothing happened. The hatch refused to budge.

“Get a crowbar,” the Pilot said.

“Hasn’t anyone got a blaster?” Gross looked frantically around. “Damn it, blast it open!”

“Pull,” Kramer grated. “Pull together.”

“Are you at the hatch?” the toneless voice came, drifting and eddying through the corridors of the ship. They looked up, staring around them. “I sense something nearby, outside. A ship? You are leaving, all of you? Kramer, you are leaving, too? Very unfortunate. I had hoped we could talk. Perhaps at some other time you might be induced to remain.”

“Open the hatch!” Kramer said, staring up at the impersonal walls of the ship. “For God’s sake, open it!”

There was silence, an endless pause. Then, very slowly, the hatch slid back. The air screamed out, rushing past them into space.

One by one they leaped, one after the other, propelled away by the repulsive material of the suits. A few minutes later they were being hauled aboard the pursuit ship. As the last one of them was lifted through the port, their own ship pointed itself suddenly upward and shot off at tremendous speed. It disappeared.

Kramer removed his helmet, gasping. Two sailors held onto him and began to wrap him in blankets. Gross sipped a mug of coffee, shivering.

“It’s gone,” Kramer murmured.

“I’ll have an alarm sent out,” Gross said.

“What’s happened to your ship?” a sailor asked curiously. “It sure took off in a hurry. Who’s on it?”

“We’ll have to have it destroyed,” Gross went on, his face grim. “It’s got to be destroyed. There’s no telling what it—what he has in mind.” Gross sat down weakly on a metal bench. “What a close call for us. We were so damn trusting.”

“What could he be planning,” Kramer said, half to himself. “It doesn’t make sense. I don’t get it.”

As the ship sped back toward the moon base they sat around the table in the dining room, sipping hot coffee and thinking, not saying very much.

“Look here,” Gross said at last. “What kind of man was Professor Thomas? What do you remember about him?”

Kramer put his coffee mug down. “It was ten years ago. I don’t remember much. It’s vague.”

He let his mind run back over the years. He and Dolores had been at Hunt College together, in physics and the life sciences. The  College was small and set back away from the momentum of modern life. He had gone there because it was his home town, and his father had gone there before him.

Professor Thomas had been at the College a long time, as long as anyone could remember. He was a strange old man, keeping to himself most of the time. There were many things that he disapproved of, but he seldom said what they were.

“Do you recall anything that might help us?” Gross asked. “Anything that would give us a clue as to what he might have in mind?”

Kramer nodded slowly. “I remember one thing….”

One day he and the Professor had been sitting together in the school chapel, talking leisurely.

“Well, you’ll be out of school, soon,” the Professor had said. “What are you going to do?”

“Do? Work at one of the Government Research Projects, I suppose.”

“And eventually? What’s your ultimate goal?”

Kramer had smiled. “The question is unscientific. It presupposes such things as ultimate ends.”

“Suppose instead along these lines, then: What if there were no war and no Government Research Projects? What would you do, then?”

“I don’t know. But how can I imagine a hypothetical situation like that? There’s been war as long as I can remember. We’re geared for war. I don’t know what I’d do. I suppose I’d adjust, get used to it.”

The Professor had stared at him. “Oh, you do think you’d get accustomed to it, eh? Well, I’m glad of that. And you think you could find something to do?”

Gross listened intently. “What do you infer from this, Kramer?”

“Not much. Except that he was against war.”

“We’re all against war,” Gross pointed out.

“True. But he was withdrawn, set apart. He lived very simply, cooking his own meals. His wife died many years ago. He was born in Europe, in Italy. He changed his name when he came to the United States. He used to read Dante and Milton. He even had a Bible.”

“Very anachronistic, don’t you think?”

“Yes, he lived quite a lot in the past. He found an old phonograph and records, and he listened to the old music. You saw his house, how old-fashioned it was.”

“Did he have a file?” Winter asked Gross.

“With Security? No, none at all. As far as we could tell he never engaged in political work, never joined anything or even seemed to have strong political convictions.”

“No,” Kramer, agreed. “About all he ever did was walk through the hills. He liked nature.”

“Nature can be of great use to a scientist,” Gross said. “There wouldn’t be any science without it.”

“Kramer, what do you think his plan is, taking control of the ship  and disappearing?” Winter said.

“Maybe the transfer made him insane,” the Pilot said. “Maybe there’s no plan, nothing rational at all.”

“But he had the ship rewired, and he had made sure that he would retain consciousness and memory before he even agreed to the operation. He must have had something planned from the start. But what?”

“Perhaps he just wanted to stay alive longer,” Kramer said. “He was old and about to die. Or—”

“Or what?”

“Nothing.” Kramer stood up. “I think as soon as we get to the moon base I’ll make a vidcall to earth. I want to talk to somebody about this.”

“Who’s that?” Gross asked.

“Dolores. Maybe she remembers something.”

“That’s a good idea,” Gross said.

Where are you calling from?” Dolores asked, when he succeeded in reaching her.

“From the moon base.”

“All kinds of rumors are running around. Why didn’t the ship come back? What happened?”

“I’m afraid he ran off with it.”

“He?”

“The Old Man. Professor Thomas.” Kramer explained what had happened.

Dolores listened intently. “How strange. And you think he planned it all in advance, from the start?”

“I’m certain. He asked for the plans of construction and the theoretical diagrams at once.”

“But why? What for?”

“I don’t know. Look, Dolores. What do you remember about him? Is there anything that might give a clue to all this?”

“Like what?”

“I don’t know. That’s the trouble.”

On the vidscreen Dolores knitted her brow. “I remember he raised chickens in his back yard, and once he had a goat.” She smiled. “Do you remember the day the goat got loose and wandered down the main street of town? Nobody could figure out where it came from.”

“Anything else?”

“No.” He watched her struggling, trying to remember. “He wanted to have a farm, sometime, I know.”

“All right. Thanks.” Kramer touched the switch. “When I get back to Terra maybe I’ll stop and see you.”

“Let me know how it works out.”

He cut the line and the picture dimmed and faded. He walked slowly back to where Gross and some officers of the Military were sitting at a chart table, talking.

“Any luck?” Gross said, looking up.

“No. All she remembers is that he kept a goat.”

“Come over and look at this detail chart.” Gross motioned him around to his side. “Watch!”

Kramer saw the record tabs moving furiously, the little white dots racing back and forth.

 “What’s happening?” he asked.

“A squadron outside the defense zone has finally managed to contact the ship. They’re maneuvering now, for position. Watch.”

The white counters were forming a barrel formation around a black dot that was moving steadily across the board, away from the central position. As they watched, the white dots constricted around it.

“They’re ready to open fire,” a technician at the board said. “Commander, what shall we tell them to do?”

Gross hesitated. “I hate to be the one who makes the decision. When it comes right down to it—”

“It’s not just a ship,” Kramer said. “It’s a man, a living person. A human being is up there, moving through space. I wish we knew what—”

“But the order has to be given. We can’t take any chances. Suppose he went over to them, to the yuks.”

Kramer’s jaw dropped. “My God, he wouldn’t do that.”

“Are you sure? Do you know what he’ll do?”

“He wouldn’t do that.”

Gross turned to the technician. “Tell them to go ahead.”

“I’m sorry, sir, but now the ship has gotten away. Look down at the board.”

Gross stared down, Kramer over his shoulder. The black dot had slipped through the white dots and had moved off at an abrupt angle. The white dots were broken up, dispersing in confusion.

“He’s an unusual strategist,” one of the officers said. He traced the line. “It’s an ancient maneuver, an old Prussian device, but it worked.”

The white dots were turning back. “Too many yuk ships out that far,” Gross said. “Well, that’s what you get when you don’t act quickly.” He looked up coldly at Kramer. “We should have done it when we had him. Look at him go!” He jabbed a finger at the rapidly moving black dot. The dot came to the edge of the board and stopped. It had reached the limit of the chartered area. “See?”

—Now what? Kramer thought, watching. So the Old Man had escaped the cruisers and gotten away. He was alert, all right; there was nothing wrong with his mind. Or with his ability to control his new body.

Body—The ship was a new body for him. He had traded in the old dying body, withered and frail, for this hulking frame of metal and plastic, turbines and rocket jets. He was strong, now. Strong and big. The new body was more powerful than a thousand human bodies. But how long would it last him? The average life of a cruiser was only ten years. With careful handling he might get twenty out of it, before some essential part failed and there was no way to replace it.

And then, what then? What would he do, when something failed and there was no one to fix it for him? That would be the end. Someplace,  far out in the cold darkness of space, the ship would slow down, silent and lifeless, to exhaust its last heat into the eternal timelessness of outer space. Or perhaps it would crash on some barren asteroid, burst into a million fragments.

It was only a question of time.

“Your wife didn’t remember anything?” Gross said.

“I told you. Only that he kept a goat, once.”

“A hell of a lot of help that is.”

Kramer shrugged. “It’s not my fault.”

“I wonder if we’ll ever see him again.” Gross stared down at the indicator dot, still hanging at the edge of the board. “I wonder if he’ll ever move back this way.”

“I wonder, too,” Kramer said.

That night Kramer lay in bed, tossing from side to side, unable to sleep. The moon gravity, even artificially increased, was unfamiliar to him and it made him uncomfortable. A thousand thoughts wandered loose in his head as he lay, fully awake.

What did it all mean? What was the Professor’s plan? Maybe they would never know. Maybe the ship was gone for good; the Old Man had left forever, shooting into outer space. They might never find out why he had done it, what purpose—if any—had been in his mind.

Kramer sat up in bed. He turned on the light and lit a cigarette. His quarters were small, a metal-lined bunk room, part of the moon station base.

The Old Man had wanted to talk to him. He had wanted to discuss things, hold a conversation, but in the hysteria and confusion all they had been able to think of was getting away. The ship was rushing off with them, carrying them into outer space. Kramer set his jaw. Could they be blamed for jumping? They had no idea where they were being taken, or why. They were helpless, caught in their own ship, and the pursuit ship standing by waiting to pick them up was their only chance. Another half hour and it would have been too late.

But what had the Old Man wanted to say? What had he intended to tell him, in those first confusing moments when the ship around them had come alive, each metal strut and wire suddenly animate, the body of a living creature, a vast metal organism?

It was weird, unnerving. He could not forget it, even now. He looked around the small room uneasily. What did it signify, the coming to life of metal and plastic? All at once they had found themselves inside a living creature, in its stomach, like Jonah inside the whale.

It had been alive, and it had talked to them, talked calmly and rationally, as it rushed them off, faster and faster into outer space. The wall speaker and circuit had become the vocal cords and mouth, the wiring the spinal cord and nerves, the hatches and relays and circuit breakers the muscles.

 They had been helpless, completely helpless. The ship had, in a brief second, stolen their power away from them and left them defenseless, practically at its mercy. It was not right; it made him uneasy. All his life he had controlled machines, bent nature and the forces of nature to man and man’s needs. The human race had slowly evolved until it was in a position to operate things, run them as it saw fit. Now all at once it had been plunged back down the ladder again, prostrate before a Power against which they were children.

Kramer got out of bed. He put on his bathrobe and began to search for a cigarette. While he was searching, the vidphone rang.

He snapped the vidphone on.

“Yes?”

The face of the immediate monitor appeared. “A call from Terra, Mr. Kramer. An emergency call.”

“Emergency call? For me? Put it through.” Kramer came awake, brushing his hair back out of his eyes. Alarm plucked at him.

From the speaker a strange voice came. “Philip Kramer? Is this Kramer?”

“Yes. Go on.”

“This is General Hospital, New York City, Terra. Mr. Kramer, your wife is here. She has been critically injured in an accident. Your name was given to us to call. Is it possible for you to—”

“How badly?” Kramer gripped the vidphone stand. “Is it serious?”

“Yes, it’s serious, Mr. Kramer. Are you able to come here? The quicker you can come the better.”

“Yes.” Kramer nodded. “I’ll come. Thanks.”

The screen died as the connection was broken. Kramer waited a moment. Then he tapped the button. The screen relit again. “Yes, sir,” the monitor said.

“Can I get a ship to Terra at once? It’s an emergency. My wife—”

“There’s no ship leaving the moon for eight hours. You’ll have to wait until the next period.”

“Isn’t there anything I can do?”

“We can broadcast a general request to all ships passing through this area. Sometimes cruisers pass by here returning to Terra for repairs.”

“Will you broadcast that for me? I’ll come down to the field.”

“Yes sir. But there may be no ship in the area for awhile. It’s a gamble.” The screen died.

Kramer dressed quickly. He put on his coat and hurried to the lift. A moment later he was running across the general receiving lobby, past the rows of vacant desks and conference tables. At the door the sentries stepped aside and he went outside, onto the great concrete steps.

The face of the moon was in shadow. Below him the field stretched out in total darkness, a black void, endless, without form. He made his way carefully down the steps and along the ramp along the  side of the field, to the control tower. A faint row of red lights showed him the way.

Two soldiers challenged him at the foot of the tower, standing in the shadows, their guns ready.

“Kramer?”

“Yes.” A light was flashed in his face.

“Your call has been sent out already.”

“Any luck?” Kramer asked.

“There’s a cruiser nearby that has made contact with us. It has an injured jet and is moving slowly back toward Terra, away from the line.”

“Good.” Kramer nodded, a flood of relief rushing through him. He lit a cigarette and gave one to each of the soldiers. The soldiers lit up.

“Sir,” one of them asked, “is it true about the experimental ship?”

“What do you mean?”

“It came to life and ran off?”

“No, not exactly,” Kramer said. “It had a new type of control system instead of the Johnson units. It wasn’t properly tested.”

“But sir, one of the cruisers that was there got up close to it, and a buddy of mine says this ship acted funny. He never saw anything like it. It was like when he was fishing once on Terra, in Washington State, fishing for bass. The fish were smart, going this way and that—”

“Here’s your cruiser,” the other soldier said. “Look!”

An enormous vague shape was setting slowly down onto the field. They could make nothing out but its row of tiny green blinkers. Kramer stared at the shape.

“Better hurry, sir,” the soldiers said. “They don’t stick around here very long.”

“Thanks.” Kramer loped across the field, toward the black shape that rose up above him, extended across the width of the field. The ramp was down from the side of the cruiser and he caught hold of it. The ramp rose, and a moment later Kramer was inside the hold of the ship. The hatch slid shut behind him.

As he made his way up the stairs to the main deck the turbines roared up from the moon, out into space.

Kramer opened the door to the main deck. He stopped suddenly, staring around him in surprise. There was nobody in sight. The ship was deserted.

“Good God,” he said. Realization swept over him, numbing him. He sat down on a bench, his head swimming. “Good God.”

The ship roared out into space leaving the moon and Terra farther behind each moment.

And there was nothing he could do.

So it was you who put the call through,” he said at last. “It was you who called me on the vidphone, not any hospital on Terra. It was all part of the plan.” He looked up and around him. “And Dolores is really—”

“Your wife is fine,” the wall speaker above him said tonelessly.  “It was a fraud. I am sorry to trick you that way, Philip, but it was all I could think of. Another day and you would have been back on Terra. I don’t want to remain in this area any longer than necessary. They have been so certain of finding me out in deep space that I have been able to stay here without too much danger. But even the purloined letter was found eventually.”

Kramer smoked his cigarette nervously. “What are you going to do? Where are we going?”

“First, I want to talk to you. I have many things to discuss. I was very disappointed when you left me, along with the others. I had hoped that you would remain.” The dry voice chuckled. “Remember how we used to talk in the old days, you and I? That was a long time ago.”

The ship was gaining speed. It plunged through space at tremendous speed, rushing through the last of the defense zone and out beyond. A rush of nausea made Kramer bend over for a moment.

When he straightened up the voice from the wall went on, “I’m sorry to step it up so quickly, but we are still in danger. Another few moments and we’ll be free.”

“How about yuk ships? Aren’t they out here?”

“I’ve already slipped away from several of them. They’re quite curious about me.”

“Curious?”

“They sense that I’m different, more like their own organic mines. They don’t like it. I believe they will begin to withdraw from this area, soon. Apparently they don’t want to get involved with me. They’re an odd race, Philip. I would have liked to study them closely, try to learn something about them. I’m of the opinion that they use no inert material. All their equipment and instruments are alive, in some form or other. They don’t construct or build at all. The idea of making is foreign to them. They utilize existing forms. Even their ships—”

“Where are we going?” Kramer said. “I want to know where you are taking me.”

“Frankly, I’m not certain.”

“You’re not certain?”

“I haven’t worked some details out. There are a few vague spots in my program, still. But I think that in a short while I’ll have them ironed out.”

“What is your program?” Kramer said.

“It’s really very simple. But don’t you want to come into the control room and sit? The seats are much more comfortable than that metal bench.”

Kramer went into the control room and sat down at the control board. Looking at the useless apparatus made him feel strange.

“What’s the matter?” the speaker above the board rasped.

Kramer gestured helplessly. “I’m—powerless. I can’t do  anything. And I don’t like it. Do you blame me?”

“No. No, I don’t blame you. But you’ll get your control back, soon. Don’t worry. This is only a temporary expedient, taking you off this way. It was something I didn’t contemplate. I forgot that orders would be given out to shoot me on sight.”

“It was Gross’ idea.”

“I don’t doubt that. My conception, my plan, came to me as soon as you began to describe your project, that day at my house. I saw at once that you were wrong; you people have no understanding of the mind at all. I realized that the transfer of a human brain from an organic body to a complex artificial space ship would not involve the loss of the intellectualization faculty of the mind. When a man thinks, he is.

“When I realized that, I saw the possibility of an age-old dream becoming real. I was quite elderly when I first met you, Philip. Even then my life-span had come pretty much to its end. I could look ahead to nothing but death, and with it the extinction of all my ideas. I had made no mark on the world, none at all. My students, one by one, passed from me into the world, to take up jobs in the great Research Project, the search for better and bigger weapons of war.

“The world has been fighting for a long time, first with itself, then with the Martians, then with these beings from Proxima Centauri, whom we know nothing about. The human society has evolved war as a cultural institution, like the science of astronomy, or mathematics. War is a part of our lives, a career, a respected vocation. Bright, alert young men and women move into it, putting their shoulders to the wheel as they did in the time of Nebuchadnezzar. It has always been so.

“But is it innate in mankind? I don’t think so. No social custom is innate. There were many human groups that did not go to war; the Eskimos never grasped the idea at all, and the American Indians never took to it well.

“But these dissenters were wiped out, and a cultural pattern was established that became the standard for the whole planet. Now it has become ingrained in us.

“But if someplace along the line some other way of settling problems had arisen and taken hold, something different than the massing of men and material to—”

“What’s your plan?” Kramer said. “I know the theory. It was part of one of your lectures.”

“Yes, buried in a lecture on plant selection, as I recall. When you came to me with this proposition I realized that perhaps my conception could be brought to life, after all. If my theory were right that war is only a habit, not an instinct, a society built up apart from Terra with a minimum of cultural roots might develop differently. If it failed to absorb our outlook, if it  could start out on another foot, it might not arrive at the same point to which we have come: a dead end, with nothing but greater and greater wars in sight, until nothing is left but ruin and destruction everywhere.

“Of course, there would have to be a Watcher to guide the experiment, at first. A crisis would undoubtedly come very quickly, probably in the second generation. Cain would arise almost at once.

“You see, Kramer, I estimate that if I remain at rest most of the time, on some small planet or moon, I may be able to keep functioning for almost a hundred years. That would be time enough, sufficient to see the direction of the new colony. After that—Well, after that it would be up to the colony itself.

“Which is just as well, of course. Man must take control eventually, on his own. One hundred years, and after that they will have control of their own destiny. Perhaps I am wrong, perhaps war is more than a habit. Perhaps it is a law of the universe, that things can only survive as groups by group violence.

“But I’m going ahead and taking the chance that it is only a habit, that I’m right, that war is something we’re so accustomed to that we don’t realize it is a very unnatural thing. Now as to the place! I’m still a little vague about that. We must find the place, still.

“That’s what we’re doing now. You and I are going to inspect a few systems off the beaten path, planets where the trading prospects are low enough to keep Terran ships away. I know of one planet that might be a good place. It was reported by the Fairchild Expedition in their original manual. We may look into that, for a start.”

The ship was silent.

Kramer sat for a time, staring down at the metal floor under him. The floor throbbed dully with the motion of the turbines. At last he looked up.

“You might be right. Maybe our outlook is only a habit.” Kramer got to his feet. “But I wonder if something has occurred to you?”

“What is that?”

“If it’s such a deeply ingrained habit, going back thousands of years, how are you going to get your colonists to make the break, leave Terra and Terran customs? How about this generation, the first ones, the people who found the colony? I think you’re right that the next generation would be free of all this, if there were an—” He grinned. “—An Old Man Above to teach them something else instead.”

Kramer looked up at the wall speaker. “How are you going to get the people to leave Terra and come with you, if by your own theory, this generation can’t be saved, it all has to start with the next?”

The wall speaker was silent. Then it made a sound, the faint dry chuckle.

“I’m surprised at you, Philip. Settlers can be found. We won’t need many, just a few.” The speaker  chuckled again. “I’ll acquaint you with my solution.”

At the far end of the corridor a door slid open. There was sound, a hesitant sound. Kramer turned.

“Dolores!”

Dolores Kramer stood uncertainly, looking into the control room. She blinked in amazement. “Phil! What are you doing here? What’s going on?”

They stared at each other.

“What’s happening?” Dolores said. “I received a vidcall that you had been hurt in a lunar explosion—”

The wall speaker rasped into life. “You see, Philip, that problem is already solved. We don’t really need so many people; even a single couple might do.”

Kramer nodded slowly. “I see,” he murmured thickly. “Just one couple. One man and woman.”

“They might make it all right, if there were someone to watch and see that things went as they should. There will be quite a few things I can help you with, Philip. Quite a few. We’ll get along very well, I think.”

Kramer grinned wryly. “You could even help us name the animals,” he said. “I understand that’s the first step.”

“I’ll be glad to,” the toneless, impersonal voice said. “As I recall, my part will be to bring them to you, one by one. Then you can do the actual naming.”

“I don’t understand,” Dolores faltered. “What does he mean, Phil? Naming animals. What kind of animals? Where are we going?”

Kramer walked slowly over to the port and stood staring silently out, his arms folded. Beyond the ship a myriad fragments of light gleamed, countless coals glowing in the dark void. Stars, suns, systems. Endless, without number. A universe of worlds. An infinity of planets, waiting for them, gleaming and winking from the darkness.

He turned back, away from the port. “Where are we going?” He smiled at his wife, standing nervous and frightened, her large eyes full of alarm. “I don’t know where we are going,” he said. “But somehow that doesn’t seem too important right now…. I’m beginning to see the Professor’s point, it’s the result that counts.”

And for the first time in many months he put his arm around Dolores. At first she stiffened, the fright and nervousness still in her eyes. But then suddenly she relaxed against him and there were tears wetting her cheeks.

“Phil … do you really think we can start over again—you and I?”

He kissed her tenderly, then passionately.

And the spaceship shot swiftly through the endless, trackless eternity of the void….

Cycles that repeat, neocon freakouts, USA dependency on China, inflation and food shortages, and delicious food

There’s a giant steam-roller of change moving forward, and a combination of bubbles, and systems are starting to explode, pop, collapse, and shake. Many things are “up in the air”, the the historical paths are clear, and the elements are plain to see. Mainsteam American and British narratives openly argue that this is a global recession the world is moving towards, but that is not true. It is the collapse of the West. And if you live in the West you are witnessing this collapse. If, however, you live in the East (Russia, India, Iran, China, Africa, and South America) you are experiencing something else (if anything). Here, we review what is going on as a snapshot of this true unique period of time.

Have some fun and browse around.

Alice Cooper – “Big Apple Dreaming”

A classic for all of us small town America, high schoolers in the early 1970s.

Forgotten Architectural Beauty

Inside an abandoned and decaying ruin.

7 33
7 33

Pizza Hut is dying inside the United States

But thriving inside of China.

Pizza Hut went “woke” inside the USA, and alienated it’s customer base. Meanwhile, in China, it’s against the law to be “woke” as that goes against the fundamentals of traditional Chineses culture. No one in America would dare vocalize it, but China is doing things right. From HERE.

2022 05 10 19 25
2022 05 10 19 25

Pepe Escobar
April 29, 2022
.

The only antidote to propaganda dementia is served by sparse voices of reason, which happen to be Russian, thus silenced and/or dismissed.

Especially since the onset of GWOT (Global War on Terror) at the start of the millennium, no one ever lost money betting against the toxic combo of hubris, arrogance and ignorance serially deployed by the Empire of Chaos and Lies.

What passes for “analysis” in the vast intellectual no-fly zone known as U.S. Think Tankland includes wishful thinking babble such as Beijing “believing” that Moscow would play a supporting role in the Chinese century just to see Russia, now, in the geopolitical driver’s seat.

This is a fitting example not only of outright Russophobic/Sinophobic paranoia about the emergence of peer competitors in Eurasia – the primeval Anglo-American nightmare – but also crass ignorance about the finer points of the complex Russia-China comprehensive strategic partnership.

As Operation Z methodically hits Phase 2, the Americans – with a vengeance – have also embarked on their symmetrical Phase 2, which de facto translates as an outright escalation towards Totalen Krieg, from shades of hybrid to incandescent, everything of course by proxy. Notorious Raytheon weapons peddler reconverted into Pentagon head, Lloyd Austin, gave away the game in Kiev:

“We want to see Russia weakened to the degree that it can’t do the kinds of things that it has done in invading Ukraine.”

So this is it: the Empire wants to annihilate Russia. Cue to War Inc.’s frenzy of limitless weapon cargos descending on Ukraine, the overwhelming majority on the road to be duly eviscerated by Russian precision strikes. The Americans are sharing intel 24/7 with Kiev not only on Donbass and Crimea but also Russian territory. Totalen Krieg proceeds in parallel to the engineered controlled demolition of the EU’s economy, with the European Commission merrily acting as a sort of P.R. arm of NATO.

Amidst the propaganda dementia cum acute cognitive dissonance overdrive across the whole NATOstan sphere, the only antidote is served by sparse voices of reason, which happen to be Russian, thus silenced and/or dismissed. The West ignores them at their own collective peril.

Patrushev goes Triple-X unplugged

Let’s start with President Putin’s speech to the Council of Legislators in St. Petersburg celebrating the Day of Russian Parliamentarism.

Putin demonstrated how a hardly new “geopolitical weapon” relying on “Russophobia and neo-Nazis”, coupled with efforts of “economic strangulation”, not only failed to smother Russia, but impregnated in the collective unconscious the feeling this an existential conflict: a “Second Great Patriotic War”.

With off the charts hysteria across the spectrum, a message for an Empire that still refuses to listen, and doesn’t even understand the meaning of “indivisibility of security”, had to be inevitable:

“I would like to emphasize once again that if someone intends to interfere in the events taking place from the outside and creates threats of a strategic nature unacceptable to Russia, they should know that our retaliatory strikes will be lightning fast. We have all the tools for this. Such as no one can boast of now. And we won’t brag. We will use them if necessary. And I want everyone to know about it – we have made all the decisions on this matter.”

Translation: non-stop provocations may lead Mr. Kinzhal, Mr. Zircon and Mr. Sarmat to be forced to present their business cards in select Western latitudes, even without an official invitation.

Arguably for the first time since the start of Operation Z, Putin made a distinction between military operations in Donbass and the rest of Ukraine. This directly relates to the integration in progress of Kherson, Zaporozhye and Kharkov, and implies the Russian Armed Forces will keep going and going, establishing sovereignty not only in the Donetsk and Luhansk People’s Republics but also over Kherson, Zaporozhye, and further on down the road from the Sea of Azov to the Black Sea, all the way to establishing full control of Nikolaev and Odessa.

The formula is crystal clear: “Russia cannot allow the creation of anti-Russian territories around the country.”

Now let’s move to an extremely detailed interview by Secretary of the Security Council Nikolai Patrushev to Rossiyskaya Gazeta, where Patrushev sort of went triple-X unplugged.

The key take away may be here: “The collapse of the American-centric world is a reality in which one must live and build an optimal line of behavior.” Russia’s “optimal line of behavior” – much to the wrath of the universalist and unilateralist hegemon – features “sovereignty, cultural and spiritual identity and historical memory.”

Patrushev shows how “tragic scenarios of world crises, both in past years and today, are imposed by Washington in its desire to consolidate its hegemony, resisting the collapse of the unipolar world.” The U.S. goes no holds barred “to ensure that other centers of the multipolar world do not even dare to raise their heads, and our country not only dared, but publicly declared that it would not play by the imposed rules.”

Patrushev could not but stress how War Inc. is literally making a killing in Ukraine: “The American and European military-industrial complex is jubilant, because thanks to the crisis in Ukraine, it has no respite from order. It is not surprising that, unlike Russia, which is interested in the speedy completion of a special military operation and minimizing losses on all sides, the West is determined to delay it at least to the last Ukrainian.”

And that mirrors the psyche of American elites: “You are talking about a country whose elite is not able to appreciate other people’s lives. Americans are used to walking on scorched earth. Since World War II, entire cities have been razed to the ground by bombing, including nuclear bombing. They flooded the Vietnamese jungle with poison, bombed the Serbs with radioactive munitions, burned Iraqis alive with white phosphorus, helped terrorists poison Syrians with chlorine (…) As history shows, NATO has also never been a defensive alliance, only an offensive one.”

Previously, in an interview with the delightfully named The Great Game show on Russian TV, Foreign Minister Sergei Lavrov had once again detailed how the Americans “no longer insist on the implementation of international law, but on respect for the ‘rules-based world order’. These ‘rules’ are not deciphered in any way. They say that now there are few rules. For us, they don’t exist at all. There is international law. We respect it, as does the UN Charter. The key provision, the main principle is the sovereign equality of states. The U.S. flagrantly violates its obligations under the UN Charter when it promotes its ‘rules’”.

Lavrov had to stress, once again, that the current incandescent situation may be compared to the Cuban Missile Crisis: “In those years, there was a channel of communication that both leaders trusted. Now there is no such channel. No one is trying to create it.”

The Empire of Lies, in its current state, does not do diplomacy.

The pace of the game in the new chessboard

In a subtle reference to the work of Sergei Glazyev, as the Minister in Charge of Integration and Macroeconomics of the Eurasia Economic Union explained in our recent interview, Patrushev hit the heart of the current geoeconomic game, with Russia now actively moving towards a gold standard: “Experts are working on a project proposed by the scientific community to create a two-circuit monetary and financial system. In particular, it is proposed to determine the value of the ruble, which should be secured by both gold and a group of goods that are currency values, to put the ruble exchange rate in line with real purchasing power parity.”

That was inevitable after the outright theft of over $300 billion in Russian foreign reserves. It may have taken a few days for Moscow to be fully certified it was facing Totalen Krieg. The corollary is that the collective West has lost any power to influence Russian decisions. The pace of the game in the new chessboard is being set by Russia.

Earlier in the week, in his meeting with the UN secretary-general Antonio Guterres, Putin went as far as stating that he’d be more than willing to negotiate – with only a few conditions: Ukrainian neutrality and autonomy status for Donbass. Yet now everyone knows it’s too late. For a Washington in Totalen Krieg mode negotiation is anathema – and that has been the case since the aftermath of the Russia-Ukraine meeting in Istanbul in late March.

So far, on Operation Z, the Russian Armed forces have used only 12% of its soldiers,10% of its fighter jets, 7% of its tanks, 5% of its missiles, and 4% of its artillery. The pain dial is set to go substantially up – and with the total liberation of Mariupol and the resolution one way or another of the Donbass cauldron there is nothing the hysteria/propaganda/weaponizing combo deployed by the collective West can do to alter facts on the ground.

That includes desperate gambits such as the one uncovered by SVR – Russian foreign intel, which very rarely makes mistakes. SVR found out that the Empire of Lies/War Inc. axis is pushing not only for a de facto Polish invasion to annex Western Ukraine, under the banner of “historical reunification”, but also for a joint Romanian/Ukrainian invasion of Moldova/Transnistria, with Romanian “peacekeepers” already piling up near the Moldova border.

Washington, as the SVR maintains, has been plotting the Polish gambit for over a month now. It would “lead from behind” (remember Libya?), “encouraging” a “group of countries” to occupy Western Ukraine. So partition is already on the cards. Were that ever to materialize, it will be fascinating to bet on which locations Mr. Sarmat would be inclined to distribute his business card.

Beautiful Life Of Florida In The 1950s

12 12
12 12

Will You Starve to Death This Year?

Maybe. Maybe not. It’s all up to you.

A video with a flood of charts and data. It’s not for everyone. But if you love that kind of stuff, this video helps explain why there is a “perfect storm” approaching. Yikes!

A perfect storm for famine…

Yes. In the United States.

You see, John Boltona nd Donald Trump tried to create famine inside of China from 2017 through 2019. It failed, but the Chinese documented a carpet-bombing of livestock, and all kinds of genetically-modified insects that started to decimate Chinese crops.

But, you know, China survived, but did not forget.

And China does “tit for tat”.

My personal email is being flooded with all sorts of reports on fires hitting food warehouses, viruses attackign livestock, and all kinds of insects attacking crops and trees. All you need to do is be aware…

A coincidence, or something else?

Forgotten Architectural Beauty

9 30
9 30

Stone Temple Pilots – Plush (Unplugged)

I had just moved from Kentucky to Mississippi, and we were living in Pervis and commuting to my job in Hattiesburg. This was the music of that time. It was fried catfish, boudin, hush puppies, and heavy scented pine trees going on forever…

Forgotten Architectural Beauty

10 2rrr9
10 2rrr9

A True Angel Indeed

good best bosses 121 626108e3c21ef 700
good best bosses 121 626108e3c21ef 700

What did a big Wall Street banker say to Alan that spooked him and should spook every RV owner.

An insight into domestic America.

Transforming Subway Passengers Into Renaissance Paintings

1 116
1 116

Other Countries Warning STOCK UP NOW | Buy Food NOW | Prepping SHTF

He’s right. I can tell you that the Chinese government has told all of us to stock up on food. He’s also saying that the United States isn’t doing this. Why?

Beautiful Life Of Florida In The 1950s

11 12
11 12

‘Is someone out there using Orwell’s work as an owner’s manual?’ says Neil Oliver

A decent discussion. Check it out.

The destruction of a second Russian ship was a lie

What a surprise! (Not!)

2022 05 10 19 32
2022 05 10 19 32

H.I. Sutton, an independent journalist focusing on naval warfare, has spotted more than a dozen of the Russian Black Sea Fleet’s remaining warships, intact and underway.

They include Admiral Makarov, one of the fleet’s three frigates and arguably the top target for Ukraine’s drones and anti-ship missile batteries.

Sutton’s analysis of new commercial satellite imagery seems to confirm that last week’s rumors about a successful Ukrainian attack on Admiral Makarov were just that—rumors. The frigate survives.

But it’s worth noting where Sutton found Admiral Makarov on or before Monday: sailing near Sevastopol in the Russian-occupied Crimean Peninsula. In other words, close to home.

Indeed, Sutton narrowed the locations of most of the underway Black Sea Fleet to a narrow swathe of ocean off the west coast of Crimea. Just two ships—a landing craft and one unidentified vessel—were near Snake Island, the current locus of naval combat between Ukraine and Russia.

Near to Crimea, Russian ships enjoy the protection of shore-based S-400 surface-to-air missile batteries and the Russian navy’s Su-30 fighter jets. Closer to Snake Island—which sits astride the main shipping route to Ukraine’s strategic port Odesa, 80 miles to the north—ships are at greater risk of coming under attack from Ukrainian TB-2 armed drones and whatever Neptune anti-ship missiles Ukraine has left.

From HERE.

Forgotten Architectural Beauty

xxxxxxxx
xxxxxxxx

15 Survival Foods Every Prepper Should Stockpile before they Run Out – Food Shortage Preps

I do not want MM to become a “prepper blog”, but everyone SHOULD have a supply of these 15 items in storage.  It’s a good video.

Roasted Greek Chicken Drumsticks

Roasted Greek Chicken Drumsticks are delicious, tender, and full of flavor right out of the oven. 

Roasted Greek Chicken Drumsticks5 1200x1800 1
Roasted Greek Chicken Drumsticks5 1200×1800 1

Greek chicken is by far one of my favorite things to eat.

The combination of oregano, lemon, olive oil, and tons of garlic – it just goes so well with delicious, crunchy chicken.

We’ve been eating a lot of chicken thighs and drumsticks lately (they are so economical and easy!), and I wanted to make a good riff off a Whole Roasted Grecian Chicken  – but with drumsticks!

Roasted Greek Chicken Drumsticks0 683x1024 1
Roasted Greek Chicken Drumsticks0 683×1024 1

These drumsticks are incredibly delicious, super easy, and a great dinner party meal since they aren’t fussy and are inexpensive for a group!

Roasted Greek Chicken Drumsticks3 2 683x1024 1
Roasted Greek Chicken Drumsticks3 2 683×1024 1

Ingredients

  • 4 lb chicken , drumsticks
  • 2 tbsp olive oil
  • 2 tbsp lemon juice
  • 2 tbsp greek oregano
  • 1 tbsp sage
  • 1 tbsp basil
  • 2 tbsp garlic powder
  • 2 tbsp onion powder

Instructions

  1. Preheat oven to 300 degrees.
  2. Thoroughly pat chicken dry with a paper towel.
  3. In a small bowl, mix together spices and chicken.
  4. Coat chicken in oil and spice mix, let sit 30 minutes to overnight.
  5. Add chicken to oven on a cooling rack sitting atop a baking sheet.
  6. Cook chicken for ½ hour, then flip. After an hour, turn the heat up to 400 degrees.
  7. Flip chicken drumsticks every 10 minutes to ensure it crisps evenly on all sides.
  8. When chicken is ready, fat will be rendered and skin will be crispy. If it needs a bit more crunch, turn on broiler and broil for two minutes on each side to give a little extra crunch to chicken skin.
  9. Serve immediately.

Beautiful Life Of Florida In The 1950s

1dwdw0 14
1dwdw0 14

Finian Cunningham
April 9, 2022
.

The West has sown the wind in sanctioning Russia; Russia will not reap the whirlwind, says Scott Ritter in an interview with the Strategic Culture Foundation.

Scott Ritter is a former U.S. Marine Corps intelligence officer who has gained international respect for his independence and integrity as a commentator on conflicts and foreign relations. This week, he was banned on the Twitter social media platform for challenging Western claims of a massacre in Bucha, Ukraine, allegedly carried out by Russian troops. Moscow denies the claims, as have other independent analysts who point to evidence that the incident was a false-flag provocation perpetrated by NATO-backed Ukrainian Nazi regiments to undermine Russia internationally and bolster Western objectives. It is a foreboding sign of the times that Ritter should be banned for daring to question dubious narratives. (He was later reinstated following a public outcry against censorship.)

In the following interview for Strategic Culture Foundation, he makes the crucial point that Russia’s intervention in Ukraine is exposing the involvement of the U.S. and NATO in the training and weaponizing of that country’s dominant Nazi regiments. That is why Western media have been so vehement in trying to distort the conflict and blame Russia. The truth about Western dirty involvement in Ukraine would be too much to bear for the Western public.

When Ritter served as a UN weapons inspector in Iraq during the 1990s he later challenged Western media and government claims that Iraq was harboring WMDs. Those claims were used as a pretext for the U.S.-British war on Iraq launched in 1993 that cost over one million lives, destroyed a nation, created millions of displaced and millions of casualties, as well as spawned international terrorism. It later turned out that the WMD claims were based on deliberate lies for which no Western leader has been held accountable. Scott Ritter was vindicated in his warnings against that war and it is one reason why he is widely respected among international public opinion.

Ritter is a critical commentator on U.S. conflicts and foreign relations. He is a former Marine Corps intelligence officer who served in the Soviet Union implementing nuclear arms control treaties, in the Persian Gulf during Operation Desert Storm, and as a UN inspector in Iraq (1991-98) overseeing the disarmament of weapons of mass destruction. He is the author of Scorpion King: America’s Suicidal Embrace of Nuclear Weapons from FDR to Trump (Clarity Press, 2020).

Interview

Question: Do you think that Russia has a just cause in launching its “special military operation” in Ukraine on February 24?

Scott Ritter: I believe Russia has articulated a cognizable claim of preemptive collective self-defense under Article 51 of the UN Charter. The threat posed by NATO expansion, and Ukraine’s eight-year bombardment of the civilians of the Donbass fall under this umbrella.

Question: Do you think Russia has legitimate concerns about the Pentagon sponsoring biological weapons programs in laboratories in Ukraine?

Scott Ritter: The Pentagon denies any biological weapons program, but admits biological research programs on Ukrainian soil. Documents captured by Russia have allegedly uncovered the existence of programs the components of which could be construed as having offensive biological warfare applications. The U.S. should be required to explain the purpose of these programs.

Question: What do you make of allegations in Western media that Russian troops committed war crimes in Bucha and other Ukrainian cities? It is claimed that Russian forces summarily executed civilians.

Scott Ritter: All claims of war crimes must be thoroughly investigated, including Ukrainian allegations that Russia killed Ukrainian civilians in Bucha. However, the data available about the Bucha incident does not sustain the Ukrainian claims, and as such, the media should refrain from echoing these claims as fact until a proper investigation of the evidence is conducted, either by the media, or unbiased authorities.

Question: Do you think the alleged Russian bombing of a hospital and an art theater in Mariupol were false-flag provocations?

Scott Ritter: Both locations are available for detailed forensic examination that would either confirm or refute Ukrainian allegations that these locations were struck by Russian aerial bombs. Other data, such as the existence of any NATO radar data that would put Russian aircraft over these two locations at the time of the alleged attack, should be collected. A detailed forensic examination of each site would go a long way in proving or disproving the Ukrainian claims through the collection of weapons fragments and the evaluation of environmental samples which would show the chemical composition of any explosive used, thereby allowing a better idea of what weapon or explosive was used to destroy the sites.

Question: Western governments and mainstream media have denigrated Russian objectives to “demilitarize and deNazify” Ukraine. The West says Russia has invented or grossly exaggerated these problems as a pretext for invasion. Do you think this Western denialism is because it doesn’t want to acknowledge that Russia may indeed have legitimate concerns, and secondly that to acknowledge would mean admitting that the West is part of the problem in the current war?

Scott Ritter: The irony is that the West had thoroughly documented the extent of the Nazi ideology in Ukraine’s civil, political, and military structures during and after the 2014 Maidan coup. This documented reality was deliberately obscured by the same sources that had previously documented its existence once the Russian invasion occurred. To acknowledge the existence of this odious ideology by NATO would require NATO to acknowledge the role it played in training and equipping Azov regiment personnel since 2015. The Russian documentation of its ongoing de-Nazification effort in Ukraine is a source of continual embarrassment to NATO, as it exposes the scope and scale of NATO’s role in empowering the militarization of Nazi ideology in Ukraine.

Question: For about four months before the Russian intervention in Ukraine, the Biden administration was asserting non-stop that Moscow was planning an invasion. Do you think this is a case of great intelligence on the part of Washington or the culmination of provocation by Washington resulting in Russian military action in Ukraine?

Scott Ritter: We now know that the U.S. intelligence community under the Biden administration is committed to a policy of haphazardly “declassifying” intelligence for the purpose of shaping public opinion (so-called “getting ahead of the story”). There is no evidence that the intelligence regarding potential Russian military action was based upon anything other than politicized speculation derived from a crude analysis of Russian military dispositions void of any context. Any genuine intelligence assessment regarding the timing of any Russian military action would have incorporated the domestic political imperative of getting Duma [Russian parliamentary] approval for the deployment of Russian forces outside the borders of Russia, which carries with it the requirement of a cognizable justification for this military action under the UN Charter. This required political steps such as Donetsk and Lugansk declaring independence, and then petitioning the Russian parliament to recognize this independence, so that Russia could legitimately invoke Article 51. None of these factors was knowable when the Biden administration was issuing its warnings of imminent attack, thereby certifying the “intelligence” as being derived from fact-free speculation, and not intelligence at all.

Question: The Western media are reporting that the Russian military operation in Ukraine is floundering because it has not over-run Ukraine entirely. As a military expert, how do you see the Russian operation proceeding?

Scott Ritter: Russia is fighting a very difficult campaign hampered by its own constraint designed to limit civilian casualties and damage to infrastructure and the fact that Ukraine possesses a very well-trained military that is well led and equipped. Russia deployed some 200,000 troops in support of this operation. They are facing some 600,000 Ukrainian forces. The first phase of the Russian operation was designed to shape the battlefield to Russia’s advantage while diminishing the size and capacity of the Ukrainian ability to wage large-scale conflict. The second phase is focused on destroying the main Ukrainian force concentration in eastern Ukraine. Russia is well on its way to accomplishing this task.

Question: Do you see danger from Ukraine being turned into a proxy war by the United States and NATO partners against Russia in a way that attempts to repeat the West’s covert war in Syria or the Afghanistan war (1979-89) with the Soviet Union? There are reports of foreign legions being sent to Ukraine via NATO countries. Do you think there is a Western plan to embroil Russia in a proxy war that is aimed at sapping Russia politically, economically, and militarily?

Scott Ritter: The Ukrainian conflict is a proxy war, but one which Russia is poised to win decisively. While there appears to be a NATO/western plan to embroil Russia in a “new Afghanistan”, I don’t see any risk of this conflict dragging on for more than a few more weeks at the most before Russia accomplishes a strategic victory over Ukraine.

Question: There is an arrogant assumption among Western governments that they can impose crippling economic sanctions on Russia in a similar way to what they did on Iran, Venezuela, and North Korea among others. But would you agree that if Russia begins to impose its own counter-sanctions by restricting oil and gas exports then the Western states may end up reaping a whirlwind that is devastating to their societies?

Scott Ritter: Russia was warned well in advance about the scope and scale of U.S.-led sanctions that would be imposed if Russia were to invade Ukraine. Russia has prepared its own counter-sanction strategy which will not only defeat the Western sanctions but further strengthen Russia’s economy by decoupling it from the West and Western control/influence. We see evidence of the effectiveness of this counter-campaign as the Russian ruble is strengthened, the Russian stock market enjoys positive traction, and Europe and the U.S. flounder economically. The West has sown the wind in sanctioning Russia; Russia will not reap the whirlwind.

The Restaurant I Work For Is Closing Until Further Notice. My Manager Gave Me A Gift

good best bosses 208 62503109b6f2a 700
good best bosses 208 62503109b6f2a 700

Beautiful Life Of Florida In The 1950s

8 13
8 13

Oasis – Live Forever (Official HD Remastered Video)

OMG! My all-time favorite song to sing at the KTV. Of course the rent-a-chicks don’t understand what I’m singing about, but they clap enthusiastically, and I usually get some great nuggies later on.

I Work Construction In The Midwest And My Boss Just Delivered All Of These Food Goodies To Our Home In Time For The Holiday

624ab843eaada jr1jr1xhil681 700
624ab843eaada jr1jr1xhil681 700

U.S. Hospitals RUNNING OUT of CT-Scan Contrast Dye

A reader provided the following email he received from his hospital, concerning them running-out of Contrast Dye used for medical CT Scans.  This shortage of Dye is now global in scope due to the COVID lockdown of Shanghai, China.

Here is the e-mail:

Many people rely on Cat scans. Guess what? The US President and these fricking liberal politicians are letting us die on the vine. Need proof you say? Trinity here you go- real doom for a change

I just received this by email below from my doctors. Fricken Biden better do something or many of our family members and us could die. The contrast media is used in every part of the body- from the brain and heart to the feet. Its time to stop these asshole politicians from talking about social fricking issues and concentrating on keeping our families alive.

Dear Patient,
Due to unprecedented COVID-related supply chain disruptions in
China, all hospitals in the United States are experiencing a shortage of contrast media (sometimes referred to as X-ray dye) used to perform CAT or CT scans. This may affect ERCP procedures as well. As at all U.S. hospitals, LVHN and other imaging providers’ ability to perform these scans in all but the most critical cases will be limited until these supply chain issues are corrected. This shortage has been caused by the Chinese government’s COVID lockdown of Shanghai, where most of the world’s supply of contrast media is manufactured and then subsequently distributed by GE Healthcare and Bracco. This disruption is expected to last until at least the end of June.

LVHN is exploring various strategies to conserve contrast media, including using other imaging technologies and materials and postponing non-emergency scans. As always, we are 100% committed to providing the best possible care to our patients. If you have any questions or concerns about a scheduled test at LVHN, please contact the scheduling department at LVHN at 610-402-8378.

Facebook
LinkedIn
Website
Copyright © 2022 Eastern Pennsylvania Gastroenterology & Liver Specialists, All rights reserved.
You are receiving this email because you opted in via our website.

Our mailing address is:
Eastern Pennsylvania Gastroenterology & Liver Specialists
1501 N Cedar Crest Blvd
Allentown, PA 18104-2309

Stanford Scientists Reverse Engineer Moderna Vaccine, Post Code on Github

Stanford scientists saved drops of the COVID-19 vaccine destined for the garbage can, reverse engineered them, and have posted the mRNA sequence that powers the vaccine on GitHub for all to see.

The GitHub post is four pages long. The first two are an explanation by the team of scientists about the work, the second two pages are the entire mRNA sequence for the Moderna COVID-19 vaccine. “RNA vaccines have become a key tool in moving forward through the challenges raised both in the current pandemic and in numerous other public health and medical challenges,” the scientists said on GitHub. “Despite their ubiquity, sequences are not always available for such RNA. Standard methods facilitate such sequencing.”  The GitHub posting is HERE  (We have a download of the posting in case GitHub deletes it.)

According to Stanford scientists Andrew Fire and Massa Shoura, this isn’t technically “reverse-engineering” a vaccine. “We didn’t reverse engineer the vaccine. We posted the putative sequence of two synthetic RNA molecules that have become sufficiently prevalent in the general environment of medicine and human biology in 2021,” they told Motherboard in an email. “As the vaccine has been rolling out, these sequences have begun to show up in many different investigational and diagnostic studies. Knowing these sequences and having the ability to differentiate them from other RNAs in analyzing future biomedical data sets is of great utility.”

The scientists were light on details about how they acquired the Moderna sample. “For this work, RNAs were obtained as discards from the small portions of vaccine doses that remained in vials after immunization; such portions would have been required to be otherwise discarded and were analyzed under FDA authorization for research use,” they said.

Fire and Shoura explained that none of what they studied came from usable vaccines. “This project did not waste vaccine material or reduce in any way the number of vaccine doses available to the public,” they told Motherboard. “None of the residual ‘dregs’ that we used for this work came from vaccines that could have been otherwise administered. Think of the thin layer of milk coating a carton that had been fully used and emptied yesterday and sitting on the kitchen counter—if we sequenced that, we’d get a full picture of the cow genome even though the small quantity of milk would be of no use.”

The scientists requested permission from the FDA to keep emptied vials that were going to be discarded at Stanford and Veteran’s Affairs vaccination sites. “Given  the ability of Next Generation Sequencing technology to detect even minuscule amounts of RNA, this was more than sufficient to assemble a coding region for the two vaccine RNAs,” they said.

The scientists told Motherboard they felt that their peers working at Moderna/NIH and BioNTech/Pfizer had done the world a great service and that releasing the RNA sequences will help continue to benefit humanity. “While anyone interested could data-mine and filter these sequences out later, there is a substantial economy of scale and educational value in having the sequences available ASAP and in not having to guess where they have come from,” they said.

This isn’t the first time a COVID-19 vaccine has been reverse-engineered and shared online. On December 25, 2020, PowerDNS founder Bert Hubert used publicly available information about the BioNTech/Pfizer vaccine to figure out its mRNA sequence.

According to Shoura and Fire, the FDA cleared the Stanford project’s decision to share the sequence with the community. “We did contact Moderna a couple of weeks ago to indicate that we were hoping to include the sequence in a publication and asking if there was anything that we should reference with respect to this… no response or objection from them, so we assume that everyone is busy doing important work.”

This is all exciting, and it’s great for the public to have access to the mRNA code that’s part of a vaccine many of us are injecting into our bodies. It also probably won’t make more people get the vaccine. The supply chain behind this kind of medicine is complicated and isn’t easily DIYed. It’s worth noting, however, that this sort of research makes information about the vaccine more accessible to everyone, which is a big deal considering the patents that big pharma has on many of the vaccines, and the fact that the world has a massive task ahead of it in trying to distribute the vaccine to billions of people around the world.

“Nobody will be making an mRNA vaccine in their garage any time soon,” engineer Jason Neubert said in a blog post about the reverse-engineered Pifzer vaccine.

COVERT INTEL – France Has Sudden (and Dramatic) Change of tune . . .

World Hal Turner

French President Emmanuel Macron made a startling series of statements today, and my former colleagues in the Intelligence Community conveyed to me exactly why.  It TERRIFIED Macron!

Welcome To The Greatest Energy Crisis In History – Things Will Only Get Much More Painful From Here

by Michael

Just as the western world was accelerating the transition away from traditional forms of energy, the COVID pandemic caused the most epic supply chain crisis in history, and now the largest land war in Europe since World War II has thrown global energy markets into a state of complete and utter chaos.  And if you think that things are bad now, just wait until a huge war erupts in the Middle East.  Energy prices are ridiculously high now, but they will eventually go much higher than this.  Needless to say, skyrocketing energy prices will have a catastrophic impact on worldwide economic conditions during the troubled months and years ahead of us.

Do you remember when Joe Biden promised that he would do all that he possibly could to drive down the price of gasoline?

Yeah, that isn’t exactly working out too well

Retail gasoline prices in the United States rose on Tuesday and hit another all-time record, surpassing one set in March, as global refineries grappled with a bottleneck that has sent prices soaring ahead of driving season.The average cost of a retail gallon of gasoline hit $4.374 early Tuesday, according to the American Automobile Association, surpassing the former record of $4.331.

 

Ultimately, it really is a matter of supply and demand.

We need more drilling, we need more refineries, and we need less regulation on traditional forms of energy all over the western world.

But with the leaders that are currently in place, you shouldn’t expect any significant changes any time soon.

So the price of gasoline will continue to rise.

And actually the price of diesel has been going up even faster

Tom Kloza, head of global energy research at OPIS, said that in years past a barrel of diesel typically sold for $10 above the price of crude oil. Today, that differential – known as the crack spread – has surged to a record high above $70.“It’s become untethered, unmoored, a little bit unhinged. These are prices we’re not used to seeing,” he said, adding that there are large price differences across the U.S.That is really bad news, because our economy runs on diesel fuel.

As I pointed out yesterday, our trains and our trucks are powered by diesel, and so rapidly rising diesel prices are going to have a huge economic impact.

In addition, most farm equipment uses diesel as well, and this is yet another factor that is putting an enormous amount of financial stress on America’s farmers.

One farmer that was asked about this admitted that he is “really concerned how bad it can get this next year”

“My family is preparing now and stocking up our freezers and pantry because we are really concerned how bad it can get this next year.”He estimates that fertilizer prices near him have increased 200 or even 300 percent, “dependent on what program you are running.”The rise in diesel prices has hurt him the most. “Farm equipment runs on diesel,” he pointed out.According to AAA’s gas price website, diesel in Texas is running at an average of $5.231, up from $2.820 a year ago.

 

Needless to say, you should be stock up too, because things are going to look completely different a year from now than they do today.

Of course things are already getting quite crazy.  Earlier this week, the Wall Street Journal warned that widespread electricity shortages in the U.S. could be coming as early as this summer

From California to Texas to Indiana, electric-grid operators are warning that power-generating capacity is struggling to keep up with demand, a gap that could lead to rolling blackouts during heat waves or other peak periods as soon as this year.California’s grid operator said Friday that it anticipates a shortfall in supplies this summer, especially if extreme heat, wildfires or delays in bringing new power sources online exacerbate the constraints.

 

I am stunned that things have gotten this bad already.

And the Ukrainians have decided to make things even worse for the western world by cutting off a key source of Russian natural gas that Europe depends upon

Ukraine’s state-owned gas grid operator GTSOU said May 10 it had declared force majeure on the transit of Russian gas entering the Ukrainian system at Sokhranivka and would not accept gas at the entry point from May 11.The force majeure declaration, the first of its kind since the Russian invasion of Ukraine on Feb. 24, sent European gas prices sharply higher.

As energy prices rise, so will prices for everything else.

Because it takes energy to produce and transport virtually everything that we buy and sell.

So the inflation spiral that we are currently enjoying is likely to intensify even more in the months ahead.

At this point, economic conditions are already shifting so rapidly that some restaurants have decided to put stickers on their menus so that they can be easily changed

It’s not the prices on the menu so much that’ll shock you. They’re actually still very cheap (and the tacos really good). It’s the fact that the prices were scrawled in pen on stickers slapped on the menu. Those stickers are a tell-tale sign that prices are going up at such a rapid-fire clip that the staff is struggling to print new menus fast enough. Rewriting prices on old menus is easier and cheaper, too.A quick scan of the restaurant’s Facebook posts lays out the increases. The special, offered every Wednesday and Friday, had been $1 per taco for years. That changed in February of last year, when it was raised to $1.25. A month later, it went to $1.50. This January, it shot up to $1.75. And now it’s $2.00.

 

This is the sort of thing that happens in Venezuela.

And now it is happening in the United States of America.

When I bring up the years 1929 and 2008, what do you immediately think about?

The answer to that question is obvious.

Now we stand on the precipice of another major financial disaster, but this time around there will not be a “return to normal”.

The entire western world has been on a suicidal path for decades, and now a day of reckoning has finally arrived.

And since the U.S. and Europe are the two core pillars of the global economy, the whole world will feel the pain of the coming collapse.

So I would encourage you to buckle your seatbelts, because the road ahead is going to be exceedingly bumpy.

Tears For Fears – Head Over Heels (Official Music Video)

It’s the 1980s, and I am working as a short order cook in San Louis Obispo waiting, waiting, waiting for a call-up to get my MAJestic training. This song is playing everywhere, all the time.

Matthew Ehret
April 27, 2022
.

Time is ticking away, and the doors to a bright future of cooperation close faster with every passing minute.

Every day, the American and European public is subjected to a barrage of information telling them what they should think about the events in Ukraine now moving into the 3rd month of conflict since Putin launched his military intervention on February 24th.

From CNN, BBC, Fox News and everything in between, streams of talking heads perform spin while pictures of gruesome horror are flashed in front of our eyes. Narrative framing ensures that watchers of mainstream media are kept in a constant state of fear, hysteria and repulsion over Russia’s supposed crimes against humanity. Where evidence was once a precondition for judgement, under the careful management of Five Eyes ‘perception managers’, mere accusation and repetition are sufficient. Viewers doubting the trustworthiness of those same media sources that lied about weapons of mass destruction, chemical weapons by Assad or Russia gate crockery, have increasingly found themselves cheerleading the very empire which many a liberal had protested and despised for years.

Despite the tendency to doubt the credibility of CIA-infested media outlets, recent Pew Surveys published on April 6 tell us not only that “seven-in-ten Americans now see Russia as an enemy” but also that “NATO is seen in an increasingly favorable light” by the majority of Americans. It is rare in this day in age to find agreement from both sides of the political aisle on anything, but on the issue of Russia being America’s enemy, an exception has been found with 72% of Democrats and 69% of Republicans falling into lockstep. Such numbers were not even approximated during the days of America’s illegal assault on Iraq or Libya which saw nearly a million deaths and great cultures driven back into the stone age by U.S.-tax payer money.

And so we find ourselves careening ever more quickly towards an abyss, as members of the UK special forces have officially entered Kiev with “boots on the ground” on April 15 in order to provide training to Ukrainian forces. This obviously increases the risk of military exchanges with Russian forces (and thus activating NATO’s Article 5 collective suicide pact).

As a “good ally to the UK”, the USA is feeling much pressure to follow suite.

The British journalist Michael Tracey recently noted that “Chris Coons, the Democratic Senator from Delaware who occupies Joe Biden’s old seat, and basically serves as a personal emissary to the Administration, started making the rounds to float the next phase of U.S. intervention. Again: Coons isn’t just some Senator, he’s the Senator who basically operates as a conduit directly to Biden. And so for Coons to all the sudden start going around declaring that it’s high time Congress and Biden figure out when they’ll be willing to send “not just arms but troops to the aid in defense of Ukraine” — that’s very telling. “Putin will only stop when we stop him,” warned Coons on TV this past Sunday.”

Some assert that this is no big deal since the western alliance has already been supplying lethal weapons and training for years to prepare Ukrainian cannon fodder for their inevitable war with Russia, so what difference does it make if NATO-affiliated troops enter the fray now while a hot war is in play? Russia is, after all, the modern Hitler and poor Zelensky is the greatest human being since Martin Luther King Jr so it seems like we are morally obliged to do everything we can right?

Moreover, other nations like Finland and Sweden are now making their intention to be absorbed into NATO known and why shouldn’t they? Russia did intervene militarily into Ukraine over the danger that the Kiev would join NATO, so it stands to reason that the best protection for other countries sharing borders with Russia should be to… join NATO?

Despite the fact that ISIS-affiliated groups from Syria have been deployed into Ukraine to continue their jihad against Russia, and despite the fact that even mainstream press agencies have had to begrudgingly admit the presence of neo Nazi groups in Ukraine, westerners barely blink an eye brushing off such uncomfortable facts with the statement “there will always be some bad apples”.

Sanctions continue to drive new fissures between east west relations and breakdowns of the already fragile supply chains which require vast inputs of Russian oil, coal and natural gas, not to mention minerals, wheat, and fertilizers to keep from collapsing. Millions of Europeans and Americans are already suffering after 2+ years of lockdowns with the danger of new “health crises” looming on the horizon. Job insecurity, inflation, and exploding gas prices are what most Americans and Europeans truly care about, but online survey systems like Pew are always ready with new statistics to help people re-calibrate their wrong thinking according to more “acceptable values”.

We are assured by these same media spin doctors that there was never a solution to this crisis beyond a military confrontation with Russian villains in some abstract fight to the death over liberty and democracy. We are constantly told that the blame of the terrible events of the past two months of war falls squarely on the shoulders of Putin who, we are assured by streams of experts, truly desires to overturn the western order, undermine democracy and restore a neo-Soviet authoritarian empire upon the world.

These claims are of course bunk. The fact is that Putin had done everything imaginable to avoid the military escalation now underway starting from his 2008 Munich Security speech calling out the designs for military containment of his nation and demanding the west respect Russia’s existential security concerns.

From the moment that Victoria Nuland installed a puppet regime in 2014, the predominant ethnic Russian populations of east Donbass watched their fellow citizens in Odessa burn alive by hoards of Nazis and wasted no time to vote for their secession from Kiev in the form of two breakaway republics.

Putin could have quickly recognized these newly aspiring states in 2014 but chose to go the way of Minsk II instead, doing everything possible to keep the Donetsk and Lugansk Peoples’ Republics integral members of an undivided Ukraine. For eight years we saw Russian diplomats try everything imaginable to keep Minsk II alive and for eight years we saw only the abrogation of this peace process and over 14,000 lives of eastern Ukrainians lost in turn.

By December 2021, the fact of a planned military intervention into in the East Donbass and Crimea was impossible to ignore, and Putin made it clear that all conflict could easily be avoided by simply obeying the Minsk II treaty while signing binding agreements to keep Ukraine a neutral territory outside of any anti-Russian military bloc. Simple.

The benefits to such an elegant solution are infinitely more favorable than the accelerated breakdown of supply chains, food production, energy supplies which will hurt Europeans, Americans and most importantly Ukrainians even more than they will hurt Russians who are finding new markets hungry for their bountiful resources in Asia. The obvious avoidance of thermonuclear war is also no small win for those who chose to think with clear heads during this time of existential crisis.

But time is ticking away, and the doors to a bright future of cooperation close faster with every passing minute. It is thus important to take the opportunity of the anniversary of Elbe Day (April 25) to recall and revive the spirit of U.S.-Russian brotherhood that jointly put down the Nazi machine in WWII and whose joint sacrifices created the possibility for an age of cooperation and brotherhood that even at this late stage may possibly be revived.

Boss Being An Absolute Bro Over A Mistake

1128937017587453952 png 700
1128937017587453952 png 700

My Boss Asked Me If I Play Games And Gave Me This

good best bosses 206 62502f4d4fbce 700
good best bosses 206 62502f4d4fbce 700

Forgotten Architectural Beauty

15 20
15 20

 

Pork Schnitzel

Here's a tender, juicy pork schnitzel recipe of thin, breaded pork cutlets that are sautéed and served with a creamy dill sauce. Ideal for a quick dinner, it's ready in just 30 minutes!

2022 05 10 21 31
2022 05 10 21 31

“The first time I traveled to the land of my Austrian ancestors I was taken to a restaurant where I saw “schnitzel” on the menu.

Of course, I ordered it, thinking I could use a good German sausage in a bun. (You have my permission to pound your head on the wall now.)

I mean, who in America didn’t grow up with those fast food joints with the giant hot dogs on the top of them? That was schnitzel, right?

When the order came, I was stunned by how far off it was from what I was expecting; even my gracious hosts had a hard time believing me when I told them that in America, a wiener schnitzel was a hot dog (at least where I was from in suburban California).”

2022 05 10 21 33
2022 05 10 21 33

How To Make Schnitzel That Shines

To ensure your schnitzel turns out well, follow these tips.

  • For less pounding, start out with thin cutlets, no more than 1/2 inch thick.
  • Pat the cutlets dry with a paper towel. The flour will stick to dry cutlets better.
  • If you’re concerned about the breading staying on the cutlets, bread them an hour before cooking. Put them in a single layer, uncovered, on a tray in the refrigerator; the breading will adhere better. The cooking time may increase a minute or two for cutlets straight out of the refrigerator.
  • If you’re cooking the cutlets in batches, place a metal rack on a baking sheet, and keep the cooked cutlets in a preheated 180°F oven on the rack. Placing the cutlets directly on the baking sheet may result soggy breading.

 

The Best Cuts of Meat for This Schnitzel Recipe

While this recipe calls for pork chops, you can substitute other meats.

  • Veal cutlets
  • Chicken cutlets
  • Turkey cutlets
  • Round steak

Pork Schnitzel or “Cutlet”

Curses! Yes, we tend to distort some traditional dishes here in America, but this one? We weren't even close.

"Schnitzel", for the uninitiated, is German for "cutlet" which is usually made with veal and thinly pounded, breaded and fried.

As for this schnitzel recipe, it is made with thinly pounded pork cutlets. Those of you looking for a quick, mid-week dinner may be happy with this one. I love it.

The sauce alone is worth making this pork schnitzel for, and could easily be used on chicken, for turkey meatballs, or over fish.

Craig Morgan – That’s What I Love About Sunday – Nashville Connection Heroes Salute

One of my all-time favorite Country songs. I am my fellow inmates sang this together while doing time.

How to Make Homemade Cream of Chicken Soup

Cream Cheese Chicken Soup exps14118 CW143433C03 21 2b RMS
Cream Cheese Chicken Soup exps14118 CW143433C03 21 2b RMS

You may never buy cream of chicken soup at the grocery store again!

I love nothing more than a comforting soup, especially when snow is falling outside. I’m also all about cooking with simplicity. As a busy mother of two—and with one on the way—I need quick, easy recipes that are also delicious. Of all the different types of soup, cream of chicken ticks off the all the boxes.

Now, what comes to mind when you think of cream of chicken soup? Is it a can of glop? What if I told you that this substitute for canned cream of chicken soup will change your life? You can whip it up in 30 minutes, including prep. It’s true!

How to Make Homemade Cream of Chicken Soup

Kathleen Rappleye, from Mesa, Arizona likes to serve this soothing cream cheese chicken soup on a chilly winter night with a crusty French bread.

Ingredients

  • 1 small onion, chopped
  • 1 tablespoon butter or margarine
  • 3 cups chicken broth
  • 3 medium carrots, cut into 1/4-inch slices
  • 2 medium potatoes, peeled and cubed
  • 2 cups cubed cooked chicken (Use rotisserie chicken!)
  • 2 tablespoons minced fresh parsley
  • Salt and pepper to taste
  • 1/4 cup all-purpose flour
  • 1 cup milk
  • 1 package (8 oz.) cream cheese (This is the trick!)

Directions

Step 1: Saute

Get out your favorite large saucepan and heat the butter on medium heat, then toss in the onion and saute. Now add your broth, carrots and potatoes. Hike up the heat and bring to a boil.

Reduce the heat; cover and simmer for about 15 minutes, until veggies are tender. The beauty of this stage is that you can leave it to simmer while you go break up the fight over Legos in the next room!

Step 2: Add the chicken

Now you’re going to add the chicken, parsley, salt and pepper until heated through.

Step 3: Cream cheese it

OK, here’s where the real magic happens. In a bowl, whisk the flour and milk until smooth, then stir it into your pan of vegetables. Bring the mixture to a boil, cook and stir for about 2 minutes (until soup has thickened). Reduce the heat to a simmer and add the entire block of cream cheese (psst…here’s more ways to use cream cheese in recipes). Stir until melted and heated through. Ladle into eight of your favorite soup bowls—or save some for later—and sprinkle on any fresh herbs you like.

Let’s Eat!

You can pair this substitute for canned cream of chicken soup with a loaf of crusty French bread. This recipe is truly love at first spoonful (even for the pickiest of eaters) and will be your homemade go-to.

Beautiful Life Of Florida In The 1950s

6 15
6 15

Dark Shadows – Alice Cooper

A funny scene from the Johnny Depp movie “Dark Shadows” where Alice Cooper sings at the mansion.

Transforming Subway Passengers Into Renaissance Paintings

12 50
12 50

Filter – Hey Man, Nice Shot (Official Music Video)

Based off of a true story about an American councilman who killed himself in front of his staff.

Transforming Subway Passengers Into Renaissance Paintings

11 54
11 54

China Accelerates Nuclear Buildup, Military Modernization; Biden Speeding US To Defeat

Wednesday, May 11, 2022 – 11:25 AM

By Judith Bergman of The Gatestone Institute

Neocons are FREAKING OUT over China. Check out this article. -MM
  • “The PRC likely intends to have at least 1,000 warheads by 2030, exceeding the pace and size the DoD projected in 2020.” — Military and Security Developments Involving the People’s Republic of China 2021, US Dept. of Defense.
  • “In space, China is putting up satellites at twice the rate of the United States and “fielding operational systems at an incredible rate.” — General David Thompson, the Space Force’s first vice chief of space operations, quoted in The Washington Post, November 30, 2021.
  • “Look at what they [CCP) have today…. We’re witnessing one of the largest shifts in global geostrategic power that the world has witnessed.” — General Mark Milley, Chairman of the US Joint Chiefs of Staff, breakingdefense.com, November 4, 2021.
  • “[T]he Chinese are building up their military capabilities in space, cyberspace, and in the conventional force. It’s all happening at the same time.” — Timothy Heath, senior international and defense researcher at Rand Corporation, Business Insider, January 4, 2022.
  • “To fully assess the China threat, it is also necessary to consider the capability of the associated delivery system, command and control, readiness, posture, doctrine and training. By these measures, China is already capable of executing any plausible nuclear employment strategy within their region and will soon be able to do so at intercontinental ranges as well.” ­­ — Admiral Charles Richard, Commander of U.S. Strategic Command, Senate Committee on Armed Services, April 20, 2021.
  • There is now as well the added probability of China and Russia engaging in military coordination…. a strategic partnership of “no limits” and with “no forbidden areas” in an agreement that they said was aimed at countering the influence of the United States.
  • This cooperation has already seen China undermining Western sanctions on Russia and supplying Russian President Vladimir Putin with the lifeline he needs to continue his war in Ukraine.
  • “The friendship between the two peoples is iron clad.” — Chinese Foreign Minister Wang Yi, Associated Press, March 7, 2022.
  • “For the first time in our history, the nation is on a trajectory to face two nuclear-capable, strategic peer adversaries at the same time, who must be deterred differently.” ­­ — Admiral Charles Richard, Senate Committee on Armed Services, April 20, 2021.
  • [T]his is NOT the time for the US to cancel the sea-launched nuclear cruise missile (SLCM-N), as President Joe Biden plans to do.
  • Meanwhile, Biden’s proposed defense budget risks speeding the US to defeat by insufficiently taking into account the current skyrocketing inflation, as acknowledged in early April by Chairman of the Joint Chiefs of Staff Gen. Mark Milley, Defense Secretary Lloyd Austin and Pentagon comptroller Mike McCord. “This budget assumes an inflation rate of 2.2%, which is obviously incorrect because it’s almost 8%,” said Milley. “Because the budget was produced quite a while ago, those calculations were made prior to the current inflation rate.”
  • “Nearly every dollar of increase in this budget will be eaten by inflation. Very little, if anything, will be left over to modernize and grow capability.” — Representative Mike Rogers, (R-Ala.) House Armed Services Committee, Defense News, April 5, 2022.

When the Pentagon assessed China’s nuclear arsenal in its annual report to Congress on China’s military power in November 2020, it projected that China’s nuclear warhead stockpile, which the Pentagon then estimated to be in the low 200s, would “at least double in size” over the next decade. The Pentagon also estimated that China was “pursuing” a “nuclear triad”, meaning a combination of land-, sea- and air-based nuclear capabilities.

Just one year later, in November 2021, the Pentagon found itself acknowledging that China’s nuclear buildup was taking place at an astonishing speed, with the nuclear warhead stockpile now possibly quadrupling from the estimated low 200s in 2020 over the next decade:

"The accelerating pace of the PRC's nuclear expansion may enable the PRC to have up to 700 deliverable nuclear warheads by 2027. The PRC likely intends to have at least 1,000 warheads by 2030, exceeding the pace and size the DoD projected in 2020."

In addition, China is no longer merely “pursuing” a nuclear triad but appears to have already achieved the basics of it:

"The PRC has possibly already established a nascent 'nuclear triad' with the development of a nuclear-capable air-launched ballistic missile (ALBM) and improvement of its ground and sea-based nuclear capabilities."

China, according to the report, is also “constructing the infrastructure necessary to support this force expansion, including increasing its capacity to produce and separate plutonium by constructing fast breeder reactors and reprocessing facilities,” while “building hundreds of new ICBM silos, and is on the cusp of a large silo-based ICBM force expansion comparable to those undertaken by other major powers.”

The accelerating pace of China’s nuclear buildup is concerning in itself, but even more so given that the military buildup constitutes just one, but significant, part of China’s general military buildup and modernization. Last summer, for instance, China tested its first hypersonic weapon. In space, China is putting up satellites at twice the rate of the United States and “fielding operational systems at an incredible rate,” according to General David Thompson, the Space Force’s first vice chief of space operations. China and Russia’s combined in-orbit space assets grew approximately 70% in just two years, following a more than 200% increase between 2015 and 2018 according to Kevin Ryder, Defense Intelligence Agency senior analyst for space and counterspace in the U.S.

According to General Mark Milley, chairman of the U.S. Joint Chiefs of Staff:

"If you look at, again, 40 years ago, they had zero satellites...They had no ICBMs...They had no nuclear weapons... They had no fourth or fifth-generation fighters or even more advanced fighters, back then... They had no navy...They had no sub-force. Look at what they have today... So if you look at the totality, this test [of a hypersonic weapon] that occurred a couple weeks ago, is only one of a much, much broader picture of a military capability with respect to the Chinese. That is very, very significant. We're witnessing one of the largest shifts in global geostrategic power that the world has witnessed."

According to Timothy Heath, a senior international and defense researcher at the Rand Corporation think tank:

"It's important to see the modernizing nuclear arsenal as part of the bigger picture, in which the Chinese are building up their military capabilities in space, cyberspace, and in the conventional force. It's all happening at the same time."

On April 20, 2021, U.S. Strategic Command’s chief Admiral Charles Richard made it clear in testimony to the Senate Armed Services Committee that China is no longer a lesser nuclear threat than Russia:

"While China's nuclear stockpile is currently smaller (but undergoing an unprecedented expansion) than those fielded by Russia and the United States, the size of a nation's weapons stockpile is a crude measure of its overall strategic capability. To fully assess the China threat, it is also necessary to consider the capability of the associated delivery system, command and control, readiness, posture, doctrine and training. By these measures, China is already capable of executing any plausible nuclear employment strategy within their region and will soon be able to do so at intercontinental ranges as well. They are no longer a 'lesser included case of the pacing nuclear threat, Russia." (Emphasis in original).

China’s nuclear acceleration is not all, however. There is now as well the added probability of China and Russia engaging in military coordination: In February, the two powers declared that they were entering into a strategic partnership of “no limits” and with “no forbidden areas” in an agreement that they said was aimed at countering the influence of the United States.

This cooperation has already seen China undermining Western sanctions on Russia and supplying Russian President Vladimir Putin with the lifeline he needs to continue his war in Ukraine. China has not only supplied material support through a variety of deals with Russia, it has also refrained from condemning Russia’s invasion and has criticized the sanctions.

In March, Chinese Foreign Minister Wang Yi called Russia the “most important strategic partner” for China.

"No matter how perilous the international landscape, we will maintain our strategic focus and promote the development of a comprehensive China-Russia partnership in the new era... The friendship between the two peoples is iron clad."

On April 19, China reassured Russia that it will continue to increase “strategic coordination.”

China-Russia cooperation is going to affect US strategic deterrence. Admiral Richard told the Senate Armed Services Committee in early March that the US needs to have plans for scenarios in which the two powers cooperate militarily, adding:

"I'm very concerned about what opportunistic aggression looks like. I'm worried about what cooperative aggression looks like... We do not know the endpoints of where either of those other two are going either in capability or capacity. We're just now starting to work out what three-party stability looks like, what three-party deterrence dynamic works out."

In his April 20, 2021 testimony to the Senate Armed Services Committee, Richard said:

"For the first time in our history, the nation is on a trajectory to face two nuclear-capable, strategic peer adversaries at the same time, who must be deterred differently. We can no longer assume the risk of strategic deterrence failure in conflict will always remain low."

In the light of China’s accelerating nuclear buildup — and the nuclear threat that Russia poses with its thousands of tactical nuclear weapons — this is NOT the time for the US to cancel the sea-launched nuclear cruise missile (SLCM-N), as President Joe Biden plans to do.

The missile, according to the Wall Street Journal, “is considered a ‘tactical’ nuclear weapon that has a lower yield than ‘strategic’ options and might be used on battlefield targets. The missile could be launched from submarines or destroyers” and “is needed to deter Russia and others” and, according to the article, would also be useful “in dissuading China from using a nuke on Taiwan, without the longer and fraught debate of, say, putting American nuclear weapons on Japanese soil… [and] reduce proliferation at a volatile moment.”

The acceleration of China’s nuclear and military modernization, and the new situation of tri-polar deterrence that the U.S. finds itself in for the first time, necessitate increases in US military research and development, acquisition and procurement. Meanwhile, Biden’s proposed defense budget risks speeding the US to defeat by insufficiently taking into account the current skyrocketing inflation, as acknowledged in early April by Gen. Milley, Defense Secretary Lloyd Austin and Pentagon comptroller Mike McCord. “This budget assumes an inflation rate of 2.2%, which is obviously incorrect because it’s almost 8%,” Milley noted. “Because the budget was produced quite a while ago, those calculations were made prior to the current inflation rate.”

“Nearly every dollar of increase in this budget will be eaten by inflation,” Representative Mike Rogers (R-Ala), a member of the House Armed Services Committee, said. “Very little, if anything, will be left over to modernize and grow capability.”

Transforming Subway Passengers Into Renaissance Paintings

13 43
13 43

“People DON’T KNOW What’s Coming!” Prepare For The CHANGING WORLD ORDER | Ray Dalio

Things are going on. Pay attention.

Beautiful Life Of Florida In The 1950s

7 14
7 14

Planting POTATOES In FREE Containers SIMPLE and EASY

Really easy to do, and fun. Grep prep skill.

I Started A New Job A Few Months Ago And I Have Never Felt More Appreciated And Valued. Here Is A Christmas Card From My Boss

624ac12a573d0 elbj5bdoez481 700
624ac12a573d0 elbj5bdoez481 700

NEW CRISIS That Will Affect EVERYONE In 1 to 2 WEEKS

Pay attention! By early June 2022 the USA is going to experience some serious issues.

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings 4

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 

The death of the Ukraine, and the cheer-leading of the lemmings on their march of death

Scott Ritter is on fire. You have to watch the video here and he tears into Finland and Sweden. Yeah. They want to join the death march of the lemmings following the Nazi’s and cheer led by the American neocons drinking their Carmel coffee latte’s in Starbucks. LOL. It’s over, folks.

Let’s continue on the “news” during this period of insanity.

The Anti-China fear-mongering has begun

All from the front page of Zero Hedge this morning (11May22) …

2022 05 11 12 0ddr0
2022 05 11 12 0ddr0

2022 05 11 12 0dd0
2022 05 11 12 0dd0

2022 05 11 12 00
2022 05 11 12 00

Transforming Subway Passengers Into Renaissance Paintings

4 84
4 84

This week’s “must-read”…

B names Return of the King by Wolfgang Streek as this week’s must-read. I agree: the article is very good on the Ukraine’s recent past, its present predicament, and its likely future.

One sentence really caught my eye:

Rumours have it that the numerous wargames commissioned in recent years from military thinktanks by the American government involving Ukraine, NATO and Russia have one way or other all ended in nuclear Armageddon, at least in Europe.

It’s a rumor but a very plausible one. Nuclear armaggedon, at least in Europe, seems likely if the neocons in Washington keep doubling down, as is their wont. This leads to another thought: the US knows that Europe will probably be destroyed, yet it continues to stoke the conflict. Therefore, the death of a large economic competitor, namely Europe, is what the US — or a least a faction of the US — wants!

To Europeans: is Europe’s death what YOU want? Not importing energy from Russia will destroy your economy, but you won’t need an economy if you are dead.

To the nutcases in Washington DC: How would a dead Europe help you in the fight against China?

I do agree it is worth reading, so would encourage others.

I have a ‘however’ however — would like very much those who would agree with the essay to give me some proof of the veracity of the following statement near the end of the piece:

“…Very likely, what Europe can deliver to the United States would exceed what Russia can deliver to China, so that a loss of Russia to China would be more than compensated by the gains from a tightening of American hegemony over Western Europe…”

 

I’m not seeing that as ‘very likely’ at this point; but who am I to know?

Forgotten Architectural Beauty

1 42
1 42

Greece formally rejects US proposal to supply Ukraine with additional Russian-made weapon systems

The USA wants every nation to throw away their weapons to Ukraine, and then buy fresh new, expensive ones from the United States.

Article HERE

Sheet Pan Greek Chicken

This Sheet Pan Greek Chicken recipe has been one of the most popular recipes on this site for over 3 years, and with good reason! It really couldn’t be any easier to make with less than 10 minutes of hands on prep. It’s a great all in one meal and is loaded with roasted peppers, tomatoes, artichokes and olives, all tossed in a yummy sauce and cooked with pieces of chicken. Whether you are doing a Whole30, Paleo, Gluten Free or just love food, this Greek Chicken Traybake is a great crowd pleasing meal. 

-Everylastbite

Sheet pan recipes have become the star of my weeknight dinners. I am all about the low maintenance midweek meals that can be prepared with the absolute minimum amount of effort, taste good, and ideally be eaten as leftovers over the following days. This Sheet Pan Greek Chicken ticks all those boxes and more. It’s packed with beautiful healthy ingredients including red and yellow peppers, cherry tomatoes, red onions, wedges of lemon and loads of garlic and basil.

2022 05 10 21 10
2022 05 10 21 10

WHY YOU ARE GOING TO LOVE THIS SHEET PAN GREEK CHICKEN

  1. It requires just 10 minutes of hands on prep! After that place the tray in the oven and sit back and relax. It really couldn’t be any more low maintenance to make! This is the perfect recipe to try if you are new to cooking, it’s basically impossible to mess up!
  2. I have received so many comments from people who love making this dish for guests, it can all be prepared in advance and guests are always wow’d by how pretty it looks
  3. It’s naturally Gluten Free, Grain Free and Specific Carbohydrate Diet Legal, and with a few modifications you can make it comply with many other diets too (see details below)!
  4. There is so much flavour! The mix of herbs, garlic and oil seems simple but really gives the veggies and chicken a wonderful Greek flavour.
  5. Minimal dishes to wash, need I say more!

2022 05 10 21 12
2022 05 10 21 12

CAN I USE A DIFFERENT CUT OF CHICKEN?

Absolutely! While I prefer using boneless skinless chicken breasts or thighs, bone in also works well. If using bone in cuts of chicken remember to increase the cook time by 15 minutes

I DON’T EAT CHICKEN, ANYTHING ELSE I CAN USE?

Swap the chicken for salmon or a white fish such as cod or halibut. Bake the veggies in the oven for 15 minutes before adding the fish on top and then baking for another 15 minutes until cooked through.

HOW TO MAKE IT DAIRY FREE? 

If you are on a dairy free diet such as Paleo or Whole30, skip the feta and add in small slices of potato

CAN I ADD OTHER VEGETABLES? 

Definitely! Other vegetables that would be a great addition to this recipe include asparagus, wedges of zucchini, cubed eggplant or baby potatoes.

2022 05 10 21 13
2022 05 10 21 13

WHAT SHOULD I SERVE WITH THIS SHEET PAN GREEK CHICKEN?

I love serving this sheet pan meal with tzatziki, it really ups this meal to the next level. You can use store bought tzatziki, or if you are looking for a dairy free tzatziki, try making this absolutely delicious one which you can quickly whip up with the sheet pan is cooking, and its vegan, whole30 and paleo too!

You can keep things simple and serve this sheet pan meal with a salad for a light meal. Alternatively you can serve it with roast potatoes or rice (or cauliflower rice). The chicken and veggies have so much flavour you can keep everything else simple.

CAN I PREP THIS SHEET PAN GREEK CHICKEN IN ADVANCE?

This Sheet Pan Greek Chicken is a great make ahead dish. You can chop all of the veggies and put them on the tray with the chicken up to a day in advance. 30 minutes before serving simply pour the sauce overtop and bake in the oven, it doesn’t get much easier than that.

WHAT TO DO WITH LEFTOVERS

Leftovers will last for up to 4 days in the fridge. I like to cut up the leftover vegetables and add them into a salad along with the chicken. You can even use any excess sauce from the bottom of the tray as dressing.

2022 05 10 21 14
2022 05 10 21 14

Equipment

  • 1 21x15inch Sheet Pan or Baking Dish

Ingredients

  • 1 red pepper cut into 2 inch pieces
  • 1 yellow pepper cut into 2 inch pieces
  • 1 red onion cut into eighths
  • 2 cups cherry tomatoes
  • 1/2 cup artichoke hearts
  • 1 lemon
  • 2 large chicken breasts, cut in half or 4 chicken thighs
  • 2 cloves garlic crushed
  • 1/4 cup olive oil
  • 1 1/2 tbsp balsamic vinegar
  • 1/2 tsp smoked paprika
  • 1 tsp dried oregano
  • 1/4 tsp salt
  • 1/2 tsp pepper
  • 2 tbsp chopped fresh basil
  • 2/3 cup kalamata olives
  • 1/4 cup chopped feta (omit for Paleo/Whole30)

Instructions

  • Preheat the oven to 400 degrees Fahrenheit (200 degrees Celsius)
  • In a large baking sheet or roasting tray, add the chopped peppers, red onion slices, artichoke hearts, lemon wedges and tomatoes.
  • In a bowl whisk together the garlic, olive oil, vinegar, paprika and oregano. Pour 1/3 of the sauce over the veggies, sprinkle with salt and pepper and toss until well coated. Place the chicken pieces on top of the veggies and brush sauce. Bake in the oven for 25 minutes.
  • After 25 minutes, add in the feta, chopped basil and olives. Pour the remaining sauce over the tray and return to the oven to bake for another 5-10 minutes. Check the chicken to ensure its no longer pink. Sprinkle with basil and serve.

Whoa!

2022 05 11 11 17
2022 05 11 11 17

BioLabs

Matthew Ehret on bio labs on rumble.

This week, I was invited to speak on the Mel K Show in order to shed some light on the strategic roots of the Pentagon's global bioweapons complex running 320+ biolabs across the world.

How did this opaque and dangerous network grow out of the 2001 Anthrax attacks which began on Sept. 18, 2001 and the earlier Dark Winter exercises? 

How is this connected to the absorption of General Hiro Ishii's Unit 731 bio terror network into Fort Detrick after WWII? How were plans for a post-war age of win-win cooperation sabotaged by the same machine that funded and directed the rise of fascism both prior to and even during WWII?

During the interview, a sober assessment of the growth of the US full spectrum “containment” policy encircling both Russia and China, and the various US military satraps of the Pacific whose sovereignty is in name only. Among those military colonies, we discuss South Korean, Japan, Taiwan, Guam and even increasingly the Philippines.

REPORTS: U.S. Admiral Surrenders to Russia in Mariupol, Ukraine

World Hal Turner

2022 05 16 13 41
2022 05 16 13 41

Numerous reports have been circulating much of today (Sunday, May 15, 2022) claiming that a U.S. Military Commander surrendered to Russian forces from the Azovstal Steel Mill in Mariupol, Ukraine.   As of 6:17 PM EDT, a photo purported to be U.S. Navy Admiral Eric Olson, has been released allegedly showing the Admiral under arrest by Russian troops.

The photo, featured above, is claimed to be Admiral Eric T. Olsen.

From Wikipedia:

Eric Thor Olson (born January 24, 1952) is a retired United States Navy admiral who last served as the eighth Commander, U.S. Special Operations Command (USSOCOM) from July 2, 2007 to August 8, 2011.
His official US Military photo (Years old) appears on Wikipedia as shown below:

2022 05 16 13 43
2022 05 16 13 43

He previously served as Deputy Commander, U.S. Special Operations Command from 2003 to July 2007. Olson was the first Navy SEAL ever to be appointed to three-star and four-star flag rank, as well as the first naval officer to be USSOCOM’s combatant commander.

He took command from Army General Bryan D. Brown in 2007.[1] Brown and Olson had served together at the SOCOM headquarters in Tampa for four years.
He retired from active duty on August 22, 2011 after over 38 years of service. He relinquished command of SOCOM to Admiral William H. McRaven the same day.
Below is a more recent photo of Admiral Olson, taken in March, 2020:

2022 05 16 13 44
2022 05 16 13 44

Along with U.S. Admiral Olson (Ret’d), British Lieutenant Colonel John Bailey and 4 NATO military instructors also allegedly surrendered to Russia.

There is also news of Canadian, French, and Turkish military officers still hiding inside tunnels beneath the Azovstal Steel Mill in Mariupol.

What these men were allegedly doing there and who sent them there, is not yet known.

If confirmed by the Pentagon, the massive question this raises is why are U.S. military officers, and the military officers from other NATO countries, inside Ukraine at all, and who authorized them to participate in waging undeclared war upon Russia?

UPDATE 7:02 PM EDT —

There is a dispute as to WHERE this photo was taken.   There is a claim it was taken in Luhansk, and not in Mariupol.  There is also a dispute as to WHEN the photo was taken, with claims that it was taken on April 14.

The “where” and “when” arguments do not take issue with WHO is allegedly shown in the photo.

RELATED: Reports Seven (7) Other Americans KILLED in Ukraine

Seven (7) Americans were killed in Rubizhnoye, who participated in the battles on the side of Kyiv, according to Alaudinov, an assistant to the head of Chechnya,.

He showed a document of one of them with the name Joseph Ward Clark from Washington state.

2022 05 16 13 46
2022 05 16 13 46

Beautiful Life Of Florida In The 1950s

24 5
24 5

Warning to the people of Finland

Scott Ritter has a stark warning for Finland: Join NATO and you will get nuked!

2 41 2 41[/caption]

Brett Eldredge – Raymond (Official Music Video)

A long time favorite.

US State Department site deletes ‘Taiwan is part of China’ | Taiwan News

Playing with fire.

Article HERE.

Beautiful Life Of Florida In The 1950s

23 6
23 6

Saudi Aramco Has Cut Prices From Record Highs for Asia

When the world no longer afraid of the United States, the revenge has begun…

The only difference is that , unlike the West, habitually killing and looting the world at will, the world will simply not going to give the West special prices anymore .

That is enough to keep the Western economies uncompetitive compared to the rest of the world.

Article HERE.

Beautiful Life Of Florida In The 1950s

22 7
22 7

Huawei smartphone production capacity has been restored

No surprises here.

ARTICLE.

Forgotten Architectural Beauty

12e 25
12e 25

Manager Helps His Employee Get A Raise

good best bosses 203 625029a356d5f 700
good best bosses 203 625029a356d5f 700

The last billboard in Poland has been torn down

The proliferation of advertising in public spaces has long been a controversial issue around Poland, with many referring to it as “reklamoza” (“advertosis”), likening it to a disease eroding the fabric of Polish cities.

Advertising is not free speech; obscenity is not free speech. Being able to express any viewpoint in the correct form in a correct venue is free speech, especially anti-egalitarian ideas because they are always unpopular, and while our society has encouraged a proliferation of advertising and obscenity, it has crushed actual free speech. Democracy has not only failed, but reversed itself.

From HERE.

Beautiful Life Of Florida In The 1950s

21 8
21 8

Forgotten Architectural Beauty

3 40
3 40

China orders government, state firms to replace all foreign computers

China has ordered central government agencies and state-backed corporations to replace foreign-branded personal computers with domestic alternatives within two years, marking one of Beijing’s most aggressive efforts so far to eradicate key overseas technology from within its most sensitive organs.

The West will (eventually) do the same, once domestic manufacture of computers returns. However, the difficulties are many. Not just the lack of manufacturing, but unions, selfish and parasitic organizations are fundamental elements that need to be corrected before a change in policy can be implemented. It is, after all, that situation that drove all of Western manufacturing to China in the first place.

From HERE.

Beautiful Life Of Florida In The 1950s

20 8
20 8

The End of Socialized Insurance in the West

Last year, program trustees projected that, beginning in 2033, Social Security’s trust fund will be depleted and insufficient to pay retirement benefits. Medicare faces an even more immediate crisis, with its trust fund projected to run out in 2026.

Neither the US nor Europe can afford these social benefits programs while funding an enormous military. Something must change. What will change is unknown, but it will be a grand “arm wrestle” between the lobby of retired people, against the military-industrial lobby. The outcome will be nasty, and contentious.

Forgotten Architectural Beauty

4 40
4 40

STP – Acoustic – Interstate Love Song

Declan Hayes
May 7, 2022
.

Russia should ignore Zelensky and the Finnish biker and let the EU know what its choices are.

Although Satan 11, Russia’s latest super‐heavy RS-28 Sarmat intercontinental ballistic missile (ICBM), packs a lethal punch, it is not of itself a game changer. Because leopards don’t change their spots, NATO won’t stop its war mongering until it is defanged, disarmed and demobbed. Though Satan 11 is necessary to deter NATO’s aggression, it is not nearly sufficient to alter the NATO jingoistic mind set that now has middle aged Swedish and Finnish women clambering to kick start Armageddon.

If these Swedish and Finnish mamasans want Santa’s Lapland to be nuked, fair enough. On the positive side, pre-emptive nuclear strikes would end Sweden’s rampant urban terrorism and enable future entrepreneurs to build back better after Assa Abloy, Electrolux, Ericcson, Essity, H&M, Ikea, Skanska, Spotify, Vattenfall, Volvo, Abba and Greta Thunberg dissolve in palls of nuclear smoke, with Finnish firms Nordia, Nokia, Neste and UPM tagging along for the one-way ride.

Though all sane Swedes and Finns, like all sane Norwegians and Danes, don’t want to be NATO’s sacrificial lambs, they don’t have a vote in this. Scandinavia is not Switzerland. And they are certainly not democracies which are dependent upon large and well-informed electorates which would quickly discern that Sweden’s pretty Prime Minister and Finland’s prettier Prime Minister are just tools of Empire, lipstick on the war pig that is NATO.

NATO membership would mean for Finland and Sweden, as it means for Norway and Denmark, that its more pliable generals would get cushy numbers pushing pens in Brussels and its prettier politicians would get their five minutes of fame talking tough on the international stage against NATO’s latest baddie. But for the ordinary Swedes and Finns, just like for the ordinary Greeks, it would mean huge military expenditures, with nothing but increased American arms’ profits and more Greek-like poverty to show for it. If Finland and Sweden join NATO, then they should pay the penalties worldwide, just as little Lithuania is currently being taught some basic manners by China.

On the subject of manners, it wasn’t very nice of Sweden to pretend to broker peace between Iran and Iraq, even as they sold arms to both sides. Though one can understand Sweden’s need to mob up with NATO to retain its toxic arms exports, Sweden should not be all that surprised if Satan 11 or some gentler messenger of death calls it to account for its duplicity; President Putin keeps saying as much about Sweden and Perfidious Albion.

When one deals with the NATO devil, one needs a very long spoon as global blowback is a given. H&M has already suffered much well earned blowback in China and Bangladesh promises much more of that until Sweden backs off or Satan 11 ends Malmö’s mayhem.

Though Einstein famously quipped that World War 4 would be fought with sticks and stones, that would be fine with NATO’s Nordic pimps if there was money to be made by cudgelling Armageddon’s survivors to death; after all, that is how their ISIS proxies dispatched their victims.

The United States and its British bulldog, the two leeches at the heart of NATO, are its financial command, control and co-ordination centers, far more concerned with profiteering from global supply lines’ disruption than with making their own countries, or any others, at peace with themselves and with others.

England, once the world’s work horse is, beyond its semi-autonomous City of London, a social and economic waste land, whose citizens pay fortunes to watch foreigners kick balls in its foreign-owned football clubs. Its foreign owned media relay those matches to its masses in between informing them how the Queen and the vast cast of her Royal Family, even down to the Queen’s youngest great grand children, are faring and what they are wearing. Kate Middleton, the Queen’s grand daughter in law, is a media staple, Britain’s bonniest Barbie doll if you will. When she is not holding a tennis racket, swinging a cricket bat or throwing a rugby ball, she eyes up squaddies, ogles at natives, smiles at toddlers, and far out-shines Meghan Markle, the Royals’ Chief Witch-in-Exile. In between the endless column inches devoured by these D-Listers, the media, which scoff at North Korea’s leader for doing similar photo shoots, shriek that “Putin must be stopped” before his armies invade England’s drug infested council estates where even England’s own police forces fear to tread. If you want to have a rational argument with those coke heads, Deus vult.

America, meanwhile, is the reborn Roman Empire of bread and circuses, the supposedly eternal empire, where over 50% of Rome’s citizens subsisted well below the bread (and circuses) line and where, much like America today, conscripts had to serve 25 years in their legions so they could boast Civis Romanus Sum, Ich Bin Ein Römer.

America has its Johnny Depp-Amber Heard defamation trials and its Silicone Valley porno industry, which turns more coin than all of America’s sports’ industries combined. Silicon Valley‘s power brokers, meanwhile, are at the core of America’s, and thus NATO’s, perpetual wars whereas, just an hour’s drive away, homelessness is rife. America, the land of the free, is a land of coke and Botox, celebrity tittle tattle, poverty and endless foreign wars to externalise their own internal contradictions. Europe would be infinitely better off without them both.

Although dropping Satan 11 nuclear calling cards on London, Helsinki and Washington would dampen NATO’s war enthusiasm, it would not extinguish it. That is because both London and Washington are primed for plunder and not for peaceful co-existence. The bankers, industrialists and oligarchs, who are at the heart of those hubs, know no other way.

As their legions ransack Syria, Ukraine, Iraq, Yemen and Libya, their media must forever divert their Barbie doll-buying, sport, Botox and porno fixated citizens to look over there at what Putin, Asma Assad, Xi and the Pakistanis, Venezuelans, Indians and Solomon Islanders are doing to subvert the American way of suppressing life, liberty and the pursuit of happiness.

Germany is no better. Though Vice Admiral Kay-Achim Schoenbach‘s warning Germany against another Stalingrad by the Dnieper would be heeded in a sane world, to career minded German generals with an eye on future consultancies, it was not what they wanted to hear and so Schoenbach had to fall on his ceremonial sword.

Germany can choose Hitler’s way or Bismarck’s way. They can either choose diplomacy or once again see the world, via Satan 11, thunder down around them. They cannot have both. Bismarck’s way would have been to continue importing Russian oil and exporting German goods that are dependent upon adding German craftsmanship to Russian raw materials. Germany is again opting for Wagner’s Götterdämmerung, the Ragnarök’s Twilight of the Gods, where Odin, Thor, Týr, Freyr, Heimdallr, Loki and billions of us lesser mortals perish.

Russia’s President Putin and Foreign Affairs Minister Lavrov, no trivial men, have repeatedly made it clear that this is where NATO’s rock scissors paper game ends, perhaps even before this year’s mid-term elections where Irish Joe Biden’s war party stands to get a pasting. If Germany and Denmark cannot see that they deserve massive retaliatory blowback for continuing to pour weapons of mass destruction into Ukraine, then their collective mental functions have gone the same way as Biden’s, who cannot even control his bowel movements in front of the Pope.

The end choice is clear. The first is Satan 11, a nuclear High Noon and all that entails for the City of London, Brussels, Copenhagen’s Little Mermaid, the New York and Chicago stock markets, Disneyland and California’s Silicon and Silicone Valleys. The second is for negotiations between Russia, on the one hand and any sane Westerners on the other. As that rules out the Americans, the first priority would be to agree that the seven or so peace treaties that ended World War One and the very many others which followed over the following 100 years have left many territorial related issues unresolved and, even leaving the Second World War’s carnage to one side, that far too many tens of thousands have needlessly died as a result of Europe’s disputes, which must, under option 2, be resolved this side of Armageddon.

Regarding the Ukrainian issue at hand, Russia’s President and Foreign Minister should negotiate with people of equivalent stature on the other side and, as they say, seal a deal. But there is no one of equivalent stature on the other side. Although Ukraine’s President is history’s richest comedian, his propensity to “perform” stark naked, his plagiarized speeches to European Parliaments and his off-shore bank accounts all show he is not his own man. For Finland’s Prime Minister to think dressing up as a biker absolves her from embroiling Finland in nuclear war shows she too has nothing this side of a lunatic asylum to offer.

Once we remove the Ukrainian and Finnish onion layers, we just get more of the same, the same bland politicians serving the EU’s unelected power brokers, who are bumbling us into Armageddon, just as Austro Hungary’s leaders bombardment of Belgrade bumbled us into The Great War, that war to end all wars.

Instead of nth dimensional chess, it is time to get back to basics with simpler strategies. Russia should ignore Zelensky and the Finnish biker and let the EU know what its choices are. In Satan 11, it has a big nuclear stick and in Lavrov, it has the greatest diplomatic voice of our age. If the Belgian, British, Danish, Dutch, Spanish and Swedish Royal Families, the Vatican, the incontinent clowns in the White House and their enforcers at the heart of NATO’s machinations wish to continue in their wanton ways, they should choose one or the other, left hand or right hand, thermo nuclear war or peace with justice, reparations, respect and co-operation. It is time to call time on NATO’s 73 years of thugocracy. Let Germany, which reneged on the Nordstream2 Oil deal and which is always at Russia’s throat or Albion’s feet, choose. Left hand or right hand, Satan 11 or Oktoberfest?

Forgotten Architectural Beauty

5 38
5 38

From the Streek article:

"Ukrainian politics apart, an American proxy war for Ukraine may force Russia into a close relationship of dependence on Beijing, securing China a captive Eurasian ally and giving it assured access to Russian resources, at bargain prices as the West would no longer compete for them. Russia, in turn, could benefit from Chinese technology, to the extent that it would be made available."

Is it just me or does this statement sound naive as to the already publicly stated cooperation and special status between China and Russia?

The USA already and long since had anything, zero, zippo to say or do relative to cooperation between China and Russia. All this talk of driving Russia into China’s arms is just more out of touch American exceptionalism, it seems to me.

The author’s conclusions may be right about the EU and USA but I do not think this is a time in history to be banking on the empire’s success anywhere in the world for much longer.

Steak Gaucho-Style with Argentinian Chimichurri Sauce

This recipe is also excellent for grilled fish and chicken.

2022 05 10 21 23
2022 05 10 21 23

Ingredients

  1. Argentinian Chimichurri sauce
  2. 1 cup Lightly packed chopped parsley (ideally, flat leaf “Italian” parsley)
  3. 3 clove To 5 cloves of garlic, minced
  4. 1 tsp salt
  5. 1/2 tsp Fresh ground pepper
  6. 1/2 tsp Chili pepper flakes
  7. 2 tbsp Fresh oregano leaves (optional)
  8. 2 tsp Shallot or onion, minced
  9. 3/4 cup Vegetable or olive oil (I prefer the latter)
  10. 3 tbsp Sherry wine vinegar, or red wine vinegar (I prefer the sherry)
  11. 3 tbsp Lemon juice
  12. steak
  13. 1 tbsp Cayenne pepper
  14. 3 tbsp salt
  15. 2 1/2 lb Any grillable Steak. New York Strip, skirt steak, London Broil, etc
  16. 1 cup hot water

Directions

  1. Preheat a grill.
  2. Place all chimichurri sauce ingredients in a blender or food processor and pulse until well chopped, but not pureed. Reserve.
  3. Dissolve cayenne pepper and salt in 1 cup hot water. Transfer to a squeeze container.
  4. Place the steak directly over a hot grill, baste with the chimichurri grilling sauce, and grill until the outer portion of the meat reaches the desired degree of doneness. Remove the steak from the grill and slice long strips from the outer edges of the steak. Instruct guests to pick up a steak slice from the cutting board with their fingers, place it on a slice of baguette, and enjoy. Return the remaining steak to the grill, baste, and grill until more of the steak is cooked. Remove and repeat the slicing and serving procedure until steak is consumed. For extra spicy steak, baste 2 or 3 additional times with the cayenne pepper mixture during grilling process. Spoon chimichurri sauce over steak. (Also brilliant on any grilled fish or chicken)
  5. Recommended beverage: Argentinian Malbec (red)

When You Had A Bad Day And Your Boss’s Boss Leaves This On Your Desk

624eaee4c92b4 uvys17ja6wx71 700
624eaee4c92b4 uvys17ja6wx71 700

Sanity Check

"A dead Europe would help the US by] not being part of the Chinese BRI. In effect a dead Europe would not be part of an integrated Eurasia."

A dead Europe would hurt the US far more than China.

At the moment, the US and EU have roughly the same GDP. Let G be that amount. Assume China’s economy grows to 2G, double the size of the US’s present GDP (the Middle Kingdom has already zoomed past G in PPP terms). In the same timeframe, assume the US and EU both grow to 1.2G.

  • A dead Europe would cost a US+EU alliance 1.2G / (1.2G + 1.2G) = 50% of its potential GDP.
  • A dead Europe would cost a China+EU alliance 1.2G / (2G + 1.2G) = 37.5% of its potential GDP.

So if Europe died in a nuclear armageddon, the US would be at a greater disadvantage relative to China than if Europe thrived.

Of course, I’m assuming that China’s growth stopped at twice the US’s current GDP. There’s no reason for the Middle Kingdom to stop there. If China’s GDP grew to 3G, the loss of Europe would cost the China+EU alliance only 1.2G / (3G + 1.2G) = 28.6% of its potential GDP.

The US would be even more crippled in comparison.

What I Would Do To Have A Boss Like This

1248717238636408832 png 700
1248717238636408832 png 700

Pee-wee’s Big Adventure (1/10) Movie CLIP – Pee-wee’s Breakfast (1985) HD

Back to my training days at China Lake NWC. LOL.

The Psychology of Manipulation: 6 Lessons from the Master of Propaganda

From HERE

1. If you manipulate the leader of a group, the people will follow
2. Words are powerful: the key to influencing a group is the clever use of language
3. Any medium of communication is also a medium for propaganda
4. Reiterating the same idea over and over creates habits and convictions
5. Things are not desired for their intrinsic worth, but rather for the symbols that they represent
6. One can manipulate individual actions by creating circumstances that modify group customs

Beautiful Life Of Florida In The 1950s

16 9
16 9

Maps of Destruction

I am also a native of Washington State (Seattle), but I live in Philadelphia.

I remember well a map of Washington we studied in 9th-grade science during the Cuban missile crisis which showed all the projected targets in Washington in a nuclear war; even Aberdeen and Hoquiam (small cities) were targeted, and it looked like most of the population would be killed by blast damage.

The remark I made about Kalaloch was kind of a joke, because, as my father said at the time in declining to build an underground shelter, “Who would want to survive a nuclear war?.”

Still, only the ocean front would not be immediately affected by blast or fallout.

I know that Bangor would be the first target in the state, but the blast would likely be blocked by the Olympic Mountains on the west, and the fallout would also be blown east. That it, assuming that no stray missiles fell west of the Olympics.

I don’t believe longterm survival in any of those places would be easy, and life would become immediately unpleasant and desperate, so that dying earlier of blast or radiation might be preferable.

As production would cease, people surviving underground in shelters or in areas not damaged directly would face starvation when their food ran out.

This would be a main problem.

Growing one’s own food after not knowing how to do it would be hard.

As for radiation, some longterm radiation would spread over the world, but, as it became reduced through radioactive decay, it would not be enough to kill people directly by acute radiation disease in places not irradiated early.

However, longlasting isotopes would probably cause a lot of later cancer, and there would be no medical treatment available.

There is a set of maps showing targets in the US at Modern Survival Blog/ ; if anything, these maps are too conservative in their picture of destruction.

A comment also at that site that I would endorse, after my editing of it, states:

“All 12000 missiles would be launched, many to Europe and other strategic places. Military and possible sources of retaliation would not get one or two but twenty missiles to ensure complete destruction and if at all possible preventing counter launch. Then, the cities, airports and what not are all secondary. The attack…would read like this:…0300 AM while most are asleep and military has less brass awake, cyber attack nationwide. 0301 missiles launch in massive salvo. 0302 massive EMP from orbit. [In US,] nuclear sites pounded with two dozen missiles each, some shot down, some burnt in atmosphere, some just didn’t work, most intended targets annihilated. Minor targets…civilian airports, small to medium cities may escape damage from the random missiles interception. Power out, welcome to primitive living. 200 million dead. 100 million burnt and dying. 20 million lucky sheltered, bunkered, mostly West Texas [except what about El Paso?], Oregon [meaning part of Oregon, mainly ocean front], and a few scattered small towns.

“Meanwhile, in the rest of the world… either by man, or by computer-operation, spoil sport begins. Everything from Germany to Moscow is leveled, burnt, and massive fires consume all to bedrock… China no longer exists either except the high mountains.

So then, as fallout encompasses the globe, the global population falls to a few million in a week, a million in three weeks, and perhaps 100,00 globally in a year. Nuclear winter is very exaggerated, but it does exist. The biggest problem is long lasting strontium [90] or whatever is sprayed about. Thirty to one hundred years later, a few tribes living like American Indians from remote and untouched parts of the globe walk a new world and only the stories of the old world remain.”

The only caveat is that possibly some Southern Hemisphere places might fare better, but they would hurt too and also suffer mass death from starvation.

-Cabe

How Many Nuclear Weapons In The World

The approximate official world score…

12,000 (Russia)
9,400 (USA)
300 (France)
240 to 1000+ (China)
225 (UK)
90 (Pakistan)
80 (India)
80 (Israel)
10 (N. Korea) (More? recent reports say 60)
? (Iran?)

Beautiful Life Of Florida In The 1950s

18 8
18 8

30 Ways To Cut Your Monthly Expenses

From HERE.

A while ago, for one month, myself and a then work colleague lived money-free—I cut expenses by 100%, pretty much! The job I was in at the time paid for my accommodation and transport, so all I had to worry about was food. On the second day of the experiment, however, we met a ‘freegan’ who regularly collected food thrown out by all the supermarkets in town. So, following her lead, we went along, filled up her truck with perfectly packaged food, including toothpaste and other essentials, and lived money-free for the month.

Now, I’m not suggesting you go and rummage around in supermarket bins for a month to cut expenses, because, for one, I think it’s illegal in many parts of the world! Still, there are many other ways you can cut your expenses and have lots of your monthly income left to spend, save and enjoy.

1. Write down all of your expenses

How many times do you hand over $1 or £1 for something and think, “Oh, it’s only a dollar,” and then repeat the same process every day for a month? Be mindful of where you’re spending your pennies and write down everything you spend for a month—you can then see where to cut costs in the future. Trust me, this one really does work.

2. Cut out the takeaway coffees

Get yourself a nice flask and make your own coffee. If you’re serious about cutting your expenses and you still buy a takeaway coffee every morning, buying a flask will save you at least $80 a month.

3. Cycle or walk to work

I know many of you probably have long train or car commutes, but 10km is still do-able on a bike, right? And if you’re a little on the lazy side, invest in an electric bike to help you up those hills in the morning. Ditching the train or car for a bike is a serious money saver; plus, you’re getting fitter at the same time!

4. Shop in thrift stores (at least some of the time)

You can get designer items for pennies; you can find cheap tat and upcycle it for next to nothing, and find one-off clothes you’d never find on the high street. So, if you’re looking to update your wardrobe or buy new stuff for your home, check out the cheaper alternatives first.

5. Buy the unbranded products in the supermarket

You may only be saving pennies per item, but there really isn’t much difference in the taste—do not be seduced by pretty branding! The only difference, for example with unbranded tinned tomatoes and branded ones, is the lack of salt and sugar and you can add that yourself. Why pay loads extra for it?

6. Take your own lunch to work

Yes, it’ll take up a few extra minutes of your evening, but wouldn’t you prefer to have an extra $100 at the end of each month instead? Over the course of a year, that’s a saving of over $1,000.

7. Bulk cook your meals

Set aside a few hours on Sunday and make a load of different dishes to prepare you for the whole week. Pop them in the freezer and you won’t be tempted with takeaways or packaged meals midweek.

8. Compare gas and electricity prices

Are you really getting the best deal with your gas and electricity? It only takes a few minutes to compare deals on an online comparison site.

9. Cut out the pricey drinks

Perhaps you don’t drink much, but for a lot of people, spending a good proportion of their monthly salary on expensive boozy nights out is part of their monthly regime. If this rings true, try cutting back or going alcohol-free for a month to see how much you save.

10. Keep a penny/cent collection

Throw your loose change into a jar, then count it up at the end of each month and see how much you’ve saved—over time, you’ll be surprised by how much money you’ll make.

11. Use Freecycle

In the UK there’s a scheme called Freecycle, where you can give away your unwanted furniture, or anything else you no longer need, for free. Obviously, in return, if you need any household essentials—kitchen table, bicycle, bookshelf … you can just log on to the site and see what’s available.

12. Ditch the large overdrafts

If you’ve got an overdraft of $1,000, chances are you’ll spend it each month if you’re not careful with your money. If you’re scared to lose the overdraft completely, halve it and see if it makes you any more cautious with your money.

13. Clear out your unwanted stuff

Have a car boot sale, sell your unwanted things on eBay … if you’ve been living in the same house for over a year, chances are that unless you’re super-organized, you’ll have at least a few things that are worth selling to make some extra money.

14. Share car journeys

If you drive to work alone every day, see if there are any other people who live near you so you can share journeys and cut your costs on petrol. It’ll pay off in the long run.

15. Re-evaluate your insurance

Whether it’s life, health, or travel insurance, shop around, compare prices and make sure you’re getting the best deal for the least amount of money. Remember though, that cheapest does not always equal the best.

16. Change your phone deal

Do you really need all those minutes and extras? Is there a cheaper phone deal that will save you money in the long run? Shop around and see what other phone deals are out there.

17. Do not take out any pay day loans

The adverts may be appealing, but the interest rates on pay day loans make these companies no better than con artists!

18. Dry clean at home

If you’re a regular at your dry cleaners, cut costs by buying a home dry cleaning kit and a spot remover pen.

19. Take another look at your internet bills

Can you get a better deal elsewhere? Do you live in an apartment block? If so, perhaps you can share an internet connection with those around you—providing you trust them.

20. Make more gifts for people

Now that we’re coming up to the festive season, rather than splashing out on expensive gifts for people, cut expenses and make your own cards and presents—at least for some people. The gesture won’t be forgotten. Plus, everyone knows the gift of time far outweighs the gift of money.

21. Cut back on expensive cleaning products

There are loads of ways you can make your own cleaning products; for example, vinegar and baking soda work wonders for cleaning your drains; spray lemon juice on surfaces to get rid of stains and streaky grease marks; and use vinegar to scrub up wooden flooring.

22. Simplify your beauty regime

We can all be seduced by fancy creams claiming to minimize pores, get rid of wrinkles and leave our skin looking healthy and plump; but, in reality, all your skin needs is a good diet, plenty of water and hydration. Try ditching expensive creams for almond or coconut oil. It’s really cheap and will leave your skin looking incredible.

23. Cancel your gym membership

Get on your bike, jog round the park, and lift weights at home. You could even organize regular work out sessions for free with others who want to ditch the gym. You don’t need to pay to be fit!

24. Turn your lights off

When you leave a room, flick the switch and cut expenses on your electricity bills. If you live with forgetful people, place little stickers by the switch to give people helpful reminders.

25. Replace bulbs with energy saving ones

Cut expenses and save the environment at the same time. It’s a no-brainer!

26. Pay for things with cash only

Allow yourself a certain amount of money each week and spend only that amount. Unless you’re constantly checking your finances, there’s no way you can keep up-to-date with what you’ve really spent if you keep paying for things with your credit or debit cards.

27. Tell your friends and family that you want to cut expenses

If you’re ever tempted to spend more than you intended, tell everyone you spend time with what you’re doing. Or even better, arrange for a group of you to all cut expenses at the same time.

28. Get savvy with deals

Sign up to Groupon, or get Amazon deals sent straight to your inbox, and save heaps of money on theater tickets, holidays, restaurant meals, and much more.

29. Take care of your teeth

Brush your teeth twice a day and don’t forget to floss. If you don’t take care of your teeth now, you’ll pay the price in expensive dental bills years down the line.

30. Be grateful for the money you have

Change your attitude towards money and be grateful for what you have rather than complaining about not earning enough or having enough of it. You’ll be surprised by how much a simple change of attitude can help you to manifest more money and help you cut expenses.

Beautiful Life Of Florida In The 1950s

17 9
17 9

Isaac Hayes performs “Shaft” at the 2002 Rock & Roll Hall of Fame Induction Ceremony

Great performance. I love it.

Store Manager Being A Bro

good best bosses 201 6250187672af3 700
good best bosses 201 6250187672af3 700

Mazzy Star – Fade Into You – 10/2/1994 – Shoreline Amphitheatre

Classic. She’s unique and very special.

Creamy Broccoli Cheddar Soup

This hearty broccoli cheddar soup is loaded with mouthwatering flavors, yet uses everyday ingredients, and is ready in about 30 minutes!


No need to go out to a well known bread company, this copycat broccoli cheddar soup is incredibly smooth, rich, and full of great broccoli cheese flavors!  The best part, is that it’s made in just 30 minutes on your stovetop!

2022 05 10 21 43
2022 05 10 21 43

I’ve been tweaking this recipe for years you guys. So. Many. Bowls. Of. Soup! Some form of this recipe has been on the blog since 2014, and this version takes the cake! Deliciously creamy, thick and rich, with the perfect mixture of smoothness and texture and loaded with broccoli cheddar flavors. You simply can’t go wrong!

This broccoli cheddar soup is sort of a copycat recipe from Panera Bread, but I honestly haven’t had that soup from there in years, so I can’t say for absolute certain if the flavors are spot on or not.

2022 05 10 21 45
2022 05 10 21 45

HOW CAN YOU MAKE BROCCOLI CHEDDAR SOUP THICKER?

I’ve found this soup thickens up very well with the roux created by the butter and flour, but if you’re wanting to thicken it up even more, you have a few options.

  1. You can mix equal parts butter and flour to form a thick paste, then stir that into the soup.
  2. You can whisk some cornstarch into cold water or broth until the cornstarch is dissolved, and stir that into the soup.
  3. You can add a bit more cheese.

HOW LONG DOES BROCCOLI CHEDDAR SOUP LAST IN THE REFRIGERATOR?

If you have any leftovers, which doesn’t happen to us very often, they’ll keep in the refrigerator about 3 days.

2022 05 10 21 46
2022 05 10 21 46

CAN BROCCOLI CHEESE SOUP BE MADE IN THE SLOW COOKER?

Sure can!  You’ll want to wait to add the dairy until the end, to prevent any curdling though.  I’ll have the directions below the recipe below.  The Instant Pot directions will be there as well.

CAN BROCCOLI CHEDDAR SOUP BE FROZEN?

Yes, but just like with the slow cooker, you’ll want to make this soup without adding the dairy, since dairy doesn’t generally freeze well.  Just wait and add the dairy when you’re reheating the soup.

2022 05 10 21 47
2022 05 10 21 47

HOW DO YOU PUREE BROCCOLI CHEESE SOUP?

Honestly you don’t even have to puree the soup if you like it chunkier, but if you like it smoother, again, you have a few options.  My personal favorite method is to use an immersion blender, which you can use right in the pot!  Or you can transfer some of the soup to a traditional blender, just be careful, since the soup is hot.

PRO TIPS FOR MAKING BROCCOLI CHEDDAR SOUP:

  1. Make sure to chop the broccoli into small florets, otherwise they won’t be tender in time.  
  2. Alternatively, you can par-cook the broccoli (and the carrots) in the microwave for a few minutes, which will help them soften in less time in the soup.
  3. To prevent a grainy soup, add the cheese one handful at a time, mixing well between handfuls, and have the heat turned off when you’re adding the cheese.
  4. For best results, shred your own cheese.  Not only is it cheaper, it melts SO much better than the pre-shredded kind.
  5. Serve this broccoli cheese soup with some crusty bread, caesar salad, or some ham and cheese sliders!
  6. I like to garnish this soup with a sprinkle of black pepper, some extra cheddar cheese and sometimes some crunchy croutons.  Sounds weird, but croutons in soup are amazing!

2022 05 10 21 48
2022 05 10 21 48

his hearty broccoli cheddar soup is loaded with mouthwatering flavors, yet uses everyday ingredients and is ready in just 30 minutes!

Ingredients

  • 1 Tbsp butter
  • 1 medium yellow onion, finely chopped
  • 1/4 cup salted butter
  • 1/4 cup all-purpose flour
  • 2 cups chicken broth
  • 2 cups half and half
  • 12 oz fresh broccoli (I use a 12 oz bag of florets) , roughly chopped
  • 2 carrots, peeled and chopped
  • 1/2 tsp kosher salt
  • 1/4 tsp paprika
  • 1/4 tsp garlic powder
  • 1/4 tsp dry mustard (can substitute with about 1/2 tsp regular mustard)
  • 1/4 tsp black pepper
  • 2 cups cheddar cheese

Instructions

  • Add 1 Tbsp butter to dutch oven and heat over MED heat.  Add chopped onion and saute until onions are soft and translucent, about 2-3 minutes.  Add 1/4 cup butter to dutch oven, still over MED heat. When melted, add flour and whisk together. Cook mixture about 1 minute, whisking often.
  • Slowly pour in about a cup of chicken broth, whisking as you pour.  Continue with remaining chicken broth and half and half, pouring slowly and whisking as your pour.
  • Let broth/half and half mixture cook for a minute or two, whisking often, making sure no flour lumps remain.
  • Add broccoli and carrots and stir to combine.  Add paprika, garlic powder, dry mustard, salt and pepper, stir.  Reduce heat to LOW/MED LOW and simmer about 10-15 minutes or until thickened and broccoli/carrots are fork tender.  If soup gets too thick for your liking, add a splash of chicken broth or half and half to loosen it up.
  • Blend soup until desired texture is reached, using either immersion blender or by transferring soup to traditional blender.
  • Add grated cheddar cheese a handful at a time, stirring to melt the cheese into the soup after each handful.  Taste soup and season with additional salt and pepper if needed.
  • Serve hot with additional black pepper and shredded cheese on top if desired.

Chef Tips

SLOW COOKER INSTRUCTIONS:

  1. Omit butter from the recipe, add onions, broccoli, carrots, salt, paprika, garlic powder, mustard powder, and black pepper to the slow cooker.
  2. Whisk flour and chicken broth together until no lumps remain, then add to slow cooker and stir to combine all ingredients.
  3. Cover and cook on LOW for 6-8 hours, or HIGH for 3-4 hours.
  4. About 30 minutes before serving, add half and half and cheese, stirring well.
  5. Cover and continue cooking until cheese is melted.
  6. Blend to desired texture and serve.

 INSTANT POT INSTRUCTIONS:

  1. Press Saute and cook butter and onion until onions are soft.
  2. Add additional butter and flour, then whisk.  Cook about 1 minute.
  3. Add broccoli, carrots, salt, paprika, garlic powder, mustard powder, and black pepper to pot.  Pour in chicken broth.
  4. Secure lid and move valve to the sealing position.  Set on Manual/Pressure cook on high for 8 minutes.
  5. Let the pressure release naturally, then stir in half and half and cheese until cheese is melted.
  6. Blend to desired texture and serve.

Earth, Wind & Fire (9/16) – Thats the way of the world

One of my faves from my university days. Still love it. The song is so rich, and has so many deep levels of melody. Ugh! I just love it.

I’m A Programmer, And My Boss Handed Me This Piece Of Paper On My First Day At Work

624aea2e4fbae 700
624aea2e4fbae 700

10 energy-saving life hacks: How to save on electricity bills & more

Boss Bought Burgers To Celebrate Portugal Win Last Week

62597f61d6ed2 m49aG4K 700
62597f61d6ed2 m49aG4K 700

Forgotten & Abandoned Architectural Beauty

6 34
6 34

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings 4

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 

The nightmare of Ukraine gas supply, visions of 1950, delicious food, the beauty of ruins, and American insanity.

On May 11, 2022, the government of Ukraine stopped all gas supplies to Europe through the Sohranivka station. This station is located in the Luhansk region. (The GTS Operator of Ukraine did not offer additional transit capacity for April through the gas distribution stations Sudzha and Sokhranivka, that action was cancelled.) Thus, in the move, Ukraine declared that Europe needs to seriously think about where it will get gas during the current condition where Nord  Stream-2 is blocked for Europe until 2028. Keep in mind that the Yamal-Europe gas pipeline does not pump gas to Germany through Poland, and Ukraine has now begin to blackmail Europe by cutting off gas supplies through its pipelines.
.
The problem is not that unexpected, it was strange that Ukraine did not immediately take up this, in the conditions of military operations, continuing to ensure the pumping of gas to Europe.
.
They could have raised the question long ago – if you won’t help us, you’ll lose your gas. Force majeure, gentlemen, we have a war, we cannot help you live in warmth and prosperity. War is war for everyone, Ukraine is Europe! Therefore, let’s share not only goodies, but also it’s problems.
.
Not reported in the “West”. Very interesting.

.

Transforming Subway Passengers Into Renaissance Paintings

2 89
2 89

Beautiful Life Of Florida In The 1950s

1 19
1 19

How to Reduce Food Costs and Save Money

If you’re an avid cook or baker, you’ve probably noticed how much scrap and waste you produce when crafting your next dinner masterpiece.

The average American family throws away $1,600 worth of produce each year, leaving a large area for improvement. Not only is there a financial impact of this extreme wastefulness, but an environmental impact as well.

Plan, Plan, Plan

The best way to reduce food costs and save money is by planning. By creating a plan for every meal for the week, you’ll know exactly what and how much of each ingredient you need.

The best way to plan your meals is by [1] using a calendar for the week mapping out your meals each day. If there are certain nights you plan to eat out at a restaurant, you can opt to remove these days from your meal plan strategy.

Once you’ve planned your meals, you’ll want to create a grocery list detailing every ingredient needed. To maximize your trip to the grocery, try to find meals that utilize some of the same ingredients.

Create a Shopping List – And Stick to It!

Whenever you visit the grocery store, you are subliminally bombarded with marketing messages encouraging you to spend more money and make unnecessary purchases.

Have you ever noticed that many “essential” items like milk and butter are placed towards the rear of the store? This encourages you to walk through the store, increasing the chances of you grabbing other items like chips, fruit snacks, etc.

By [2] creating a shopping list and only purchasing foods you need, you can cut food waste and save money.

Keep it Simple

Some dishes will require many more ingredients than others. Aim to [3] find meals that are easy to cook and use just a few common ingredients to save money.

For example, a pasta dish that contains chicken and some form of pasta will only require a few ingredients. However, a dish that uses a rare type of produce and seasonings will often lead to more waste.

There is a common misconception that meals requiring fewer ingredients have less flavor than their complex counterparts. This is not always the case. Simple meals can have great flavor and nutrition when prepared the right way.  For example, using a smoker griller combo can help you to save space and money!

Buy and Cook in Bulk

[5] Buying ingredients in bulk can help you to save an additional 15% to 20% on your food costs. But you’ll want to consider the ingredients before buying.

Perishable items should be avoided when purchasing in bulk as many times they will spoil before use. Some perishable items can be frozen to extend their life.

Some common ingredients and foods that you’ll want to consider purchasing in bulk include:

      • Rice
      • Beans
      • Canned goods
      • Oats
      • Pasta
      • Some Oils
      • Frozen fruits or vegetables

When cooking in bulk, it will also help to reduce the costs to run your appliances. For example, you can reduce the costs to run an air fryer by filling it up instead of using it for just one item.

Make Frequent Trips to the Grocery

Provided that it is nearby and within walking distance.

When buying fresh produce or meats, making frequent trips to the grocery or butcher is an easy way to save money by avoiding throwing away spoiled foods.

Plan to go to the grocery a couple of times per week to purchase fresh produce and meats. You’ll want to plan your cooking around your trips to the grocery so you can cook with the freshest ingredients.

It’s common to visit the grocery once on the weekends and once mid week.

Find Other Ways to Use Scraps

If you have leftover scraps when cooking, see if there are other ways you can use them other than throwing them in the trash.

This might mean using them as food for your pets, other dishes, or a variety of other ways.

If you don’t have any other use for your waste, consider starting a compost pile to reduce the environmental impacts of food waste.

Grind Your Own Meats

[6] Grinding your own meat not only can save you money but it also provides superior taste over meat ground days ago. You’ll need to have a meat grinder to get started, but these can be purchased for less than $100.

Use the Freezer

[7] Freezing leftovers and bulk ingredients is an excellent way to save money when cooking and reducing food waste.

Most foods can be frozen to preserve their life. Meats, vegetables, and fruits are the most common foods that get frozen, but there are other options including bread, bananas, tomato paste, and even coconut milk that freeze well. Check out these other uncommon foods that freeze well.

[8] By purchasing a vacuum sealer, you can extend the life of your frozen goods even longer while simultaneously preserving its flavor. You can find a vacuum sealer for less than $50.

There are many cheap foods that you can store in the freezer to last.

Start a Garden for Fresh and Cheap Vegetables

If you live in an area with a moderate climate, [9] starting a vegetable garden can be a fun idea to grow your own ingredients. Not only will this help to lower your food costs, but it has a positive environmental impact and it allows you to cook with the freshest ingredients.

You’ll need to consider the types of vegetables you plan to grow when determining the size of your garden.

To get started, you’ll need to have soil that works for your plants. Depending on where you live, you might consider getting your soil tested to ensure it will allow your plants to grow properly.

Next, you’ll need to decide which plants to grow. For moderate climates, here are some ideas on vegetables, fruits, and spices you might consider growing:

      • Tomatoes
      • Strawberries
      • Peppers
      • Cumin
      • Mint
      • Dill
      • Arugula
      • Sage
      • Cucumbers
      • Onions or garlic

Don’t want to start your own garden at home? Consider using a local community garden. These gardens are shared by several members and give you many of the same benefits.

Utilize Local Farmers Markets

If you want to reduce food costs, [10] finding a local farmers market can be a fun way to do so.

You’ll have your chance to purchase local eggs, honey, and other common ingredients from local farmers at a discount.

This has many benefits other than saving you money! You’ll also reduce the negative environmental impacts of shopping at a nation grocery chain as well as helping boost your local economy.

Store Foods Appropriately

[11] Knowing how to store foods the right way is critical to reducing food waste and saving money.

Some foods might require airtight storage containers, while others might be capable of being left out in the open air. Research how to store your foods to extend their life and save you money.

Final Thoughts on Reducing Food Waste at Home

There’s a good chance you end up throwing away a nice portion of leftover ingredients when cooking.

By utilizing some of the tips above, you’re sure to reduce your food waste and start saving money in no time.

Between cooking in bulk, visiting the grocery more frequently, planning meals ahead of time, and storing foods the right way, you can easily cut your food waste, save money, and do good for the environment.

Now it’s your turn. Starting avoiding food waste and saving money today!

Forgotten Architectural Beauty

16 19
16 19

Star Trek seems to believe that World War III started with Trump

From HERE.

2022 05 10 19 29
2022 05 10 19 29

Greek Classic Moussaka

Moussaka has so many delicious layers of flavor in one dish that you’ll want to make an extra for the freezer!

WEB Close Side plate 1024x683 1
WEB Close Side plate 1024×683 1

Moussaka is classic Greek comfort food. It’s one of the most popular dishes in Greece and one of my all-time favorites. You can make it ahead for easy serving at a dinner party or to be frozen for a delicious meal any day you choose.

WEB Pan 1 1 1024x683 1
WEB Pan 1 1 1024×683 1

The eggplant

Japanese eggplant is perfect for this dish because of its size and shape, but regular eggplant is traditional and the one I can find most often. Make sure the eggplant is soft, not squishy, and the skin is shiny.

Cut into ½ inch thick round slices and layer in a colander. As you layer, generously salt each layer to help draw out the bitter water that’s inside the eggplant. Set aside for 20-30 mintues.

The Potatoes

Traditionally, the potatoes in Greek moussaka are deep-fried, but I like the taste of oven-roasted potatoes much better. Plus, while they’re roasting, I can make the meat sauce. So, cut the potatoes into ¼ – ½ inch thick round slices. Place them all on a baking tray and drizzle with olive oil. Season on both sides with salt, pepper, and oregano, then bake for 20-25 minutes or until fork-tender.

The Meat Sauce

  • Finely chopped onions
  • Grated garlic cloves
  • Olive oil
  • Lean ground beef –lean ground meat works best, and you can sub any ground meat
  • Salt
  • Freshly ground black pepper
  • Crushed tomatoes
  • Water
  • Dried crushed oregano

I make this meat sauce at least once a week, and I usually have a batch or two in the freezer. It freezes for months and can be used in a lot of the dishes on my site.

Traditionally, the meat mixture in Greek moussaka has cinnamon, nutmeg, and sometimes even allspice. I don’t add these to my moussaka because my kids won’t eat it that way. If you’d like to try the traditional meat sauce, add ¼ teaspoon cinnamon and ¼ teaspoon nutmeg when you add salt and pepper.

Use a big enough pot to hold all of the meat and onions. Place the onion in a large skillet with olive oil and sprinkle with a pinch of salt. Cook the onions over medium heat for 10 minutes or until soft and golden. Add the garlic and warm through for a few seconds, then add the ground beef, salt, pepper, and crushed tomatoes. Rinse the can with a cup of water and add it to the skillet. Cover and cook for about 20 minutes or until the sauce is very thick. When it looks ready, taste and adjust the seasoning if needed. Add the oregano and set it aside.

WEB Top Table 3 683x1024 1
WEB Top Table 3 683×1024 1

Why will this become a favorite in your house?

  • Melt in your mouth eggplant
  • Hearty Meat sauce
  • Creamy Cheese sauce
  • It can be made ahead for easy entertaining!

Back to the Eggplant

While the meat sauce is simmering, it’s time to pan-fry the eggplant. The eggplant should have released the bitter water, so pat the slices with a paper towel to dry them as much as possible. I prefer the pan fry method over roasted eggplant because there are a few issues with the roasted method.

First, it may be a little healthier, but you will have to use so many trays that there’s a lot of clean up. Secondly, the eggplant never gets as soft ad tender as it does when you fry. So make an effort to pan-fry your eggplant.

Make sure your oil is nice and hot so your eggplant doesn’t absorb too much. Cook the eggplant rounds until golden brown on both sides and soft in the center. Then, place them on a paper towel to absorb any excess oil.

The Béchamel sauce:

  • Whole milk –whole milk works best, but you can use what you have on hand
  • Olive oil –you can also use the exact same amount of butter
  • All-purpose flour
  • Salt and pepper
  • Nutmeg
  • Grated parmesan cheese
  • Whole eggs
  • Egg yolks

Bechamel sauce is basically a creamy custard, also known as a white cheese sauce that’s so delicious.

Make the béchamel sauce by combining the flour and oil in a saucepan over medium heat. Whisk well while cooking a few minutes until the flour smells toasted. Try not to add the milk all at once because doing so will create lumps. Instead, slowly add the milk in a few batches, whisking to incorporate so that the mixture is smooth and creamy. Cook until it comes to a boil and thickens, then season with salt, pepper, and nutmeg. Remove from heat.

Whisk the eggs together in a bowl, then add a small amount of the hot cream sauce into the eggs while whisking to temper them. Tempering will prevent the eggs from scrambling when added to the cream sauce.

After the eggs have tempered, add them to the cream sauce and whisk well. Then, add the parmesan cheese and stir to combine.

What is Greek moussaka?

Moussaka is a layered Greek casserole-style dish made with layers of vegetables and meat. There are variations of this classic, but my version of moussaka is made with delicious layers of potato, eggplant, cheese, hearty meat sauce, and creamy béchamel sauce.

Moussaka takes a little more work but is absolutely worth every minute. And since it freezes well, you can make two at a time… one to eat and one to freeze.

There are several steps, so let’s break it all down into simple steps for a delicious Moussaka!

Web Wide table 1 1024x683 1
Web Wide table 1 1024×683 1

Pulling your Greek moussaka together

Using a 9×13 casserole dish, I like to start by adding a thin layer of unseasoned bread crumbs. It’s a trick I learned to soak up any extra moisture that may make your moussaka watery. Here’s how to layer the dish:

  1. Bread crumbs
  2. Roasted potato slices
  3. Grated cheese
  4. Roasted eggplant slices
  5. Grated cheese
  6. Meat sauce spread evenly
  7. Roasted eggplant slices
  8. Top with béchamel sauce

Sprinkle any remaining cheese over the béchamel sauce if you’d like. ​Adjust the oven temperature to 400 °F, 200 °C, and bake for 45 minutes – 1 hour. The bechamel sauce will be golden brown on top.

You can let the moussaka cool a bit and scoop it out, but it’s messy. So, allow it to rest for about 45 minutes before slicing. It will be hard to wait, but you’ll be able to cut pieces, and they’ll hold their shape.

Freezing your Greek moussaka

If you’ve made a double batch or want to freeze the one you made for later, the best way is to freeze it before you bake it. It will freeze for up to two months, and you can thaw it the night before you want to serve it. Then bake as usual.

I’m not a fan of freezing the béchamel sauce, so I freeze my moussaka without béchamel. I make a fresh béchamel the day I bake it, and I think it’s the best way to serve it. However, my aunt in Greece freezes the whole thing, so it works! Let me know what you like best.

Serving

Since Greek moussaka can be made ahead, it’s perfect for dinner parties. You can make it earlier in the day, then pre-slice it for the party. It will stay warm for a couple of hours, so you can enjoy your guests as they arrive. Serve it with a nice side salad that you’ve also made ahead, and you’ll have a delicious meal ready whenever your guests are.

Ingredients

  • ​3 eggplants, cut into 1/2 inch slices
  • 3 potatoes, peeled and sliced
  • 2 cups parmesan cheese or kefalotiri
  • olive oil, for brushing on vegetables
  • salt and black pepper to taste
  • ​dried oregano

For the Béchamel sauce:

  • 5 cups whole milk
  • 3/4 cup olive oil
  • 3/4 cup all purpose flour
  • salt and pepper
  • 1/4 teaspoon nutmeg
  • 1 cup grated parmesan cheese
  • 2 whole eggs
  • 2 egg yolks

For the Meat Sauce:

  • 1 onion, finely chopped
  • 5-6 garlic cloves, grated
  • 1/4 cup olive oil
  • 2 pounds lean ground beef
  • 1 teaspoon salt
  • Freshly ground black pepper to taste
  • 1 (15-ounces) can crushed tomatoes
  • 1 cup water
  • 1 teaspoon dried crushed oregano

Instructions

  1. Preheat the oven to 425°F, 220 °C.
  2. Place the potato slices on a baking tray. Drizzle 3-4 tablespoons of olive oil over them and season both sides with salt, pepper, and oregano.
  3. ​Bake 20-25 minutes or until fork-tender and golden.
  4. Slice the eggplants and layer them in a colander or on a tray. Sprinkle salt on top of each of the layers of eggplant and set aside for 20-30 minutes.
  5. Prepare the meat sauce: Place the onion in a large skillet along with the olive oil and sprinkle with a pinch of salt. Cook over medium heat for 10 minutes or until soft and golden. Add the garlic and warm through for a few seconds. Add the ground beef, salt, pepper, and crushed tomatoes. Rinse the can with a cup of water and add it to the skillet. Cover and cook for about 20 minutes or until the sauce is very thick. Taste and adjust the seasoning. Add the oregano and set aside.
  6. Cook the eggplant: Pat the eggplant dry with. a paper towel. Heat a large skillet over medium-high heat and add a layer of olive oil to cover the bottom of the pan. Pan-fry the eggplant slices until soft and golden on all sides. Drain them on a tray that has been lined with paper towels.
  7. Make the béchamel sauce by combining the flour and oil in a saucepan over medium heat. Whisk well while cooking a few minutes until toasted.
  8. ​Slowly add the milk in a few batches, whisking to incorporate so that it is smooth and creamy. Adding the milk all at once will create lumps.
  9. ​Cook until it comes to a boil and thickens. Season with salt, pepper and nutmeg. Remove from heat.
  10. ​Whisk the eggs together in a bowl. Add some of the hot milk mixture into the eggs and whisk to temper them so that they don’t scramble when added to the cream sauce.
  11. ​Add the eggs to the sauce and whisk well.
  12. Add the parmesan cheese and mix to combine.
  13. ​Place the roasted potato slices on the bottom of a 9 x 13 inch baking pan. Use a deep pan. Sprinkle grated cheese over the potatoes.
  14. Top with a layer of roasted eggplant slices and sprinkle grated cheese over them.
  15. ​Top with the meat sauce and spread.
  16. ​Make a final layer of roasted eggplant over the meat sauce and top with the béchamel sauce.
  17. Sprinkle any remaining cheese over the sauce if desired.
  18. ​Adjust oven temperature to 400 °F, 200 °C.
  19. ​Bake 45 minutes – 1 hour until the cream is golden brown on top.
  20. ​Allow to rest for about 45 minutes before slicing so that the pieces hold their shape.
  21. Serve with a nice salad and enjoy!

Forgotten Architectural Beauty

17 17
17 17

POPA CHUBBY – “LITTLE WING”

I love his rendition of the Jimi Hendrix song. One of the best blues guitarists alive.

April 29, 2022
.

Our enemy is the Western system of U.S.-led imperialism, its capitalist elite, and their political flunkies like Joe Biden and Ursula von der Leyen. 

The United States and its NATO and European Union allies have imposed unprecedented economic sanctions on Russia that amount to economic warfare. This warfare has been going on, discernibly, since the CIA-backed coup in Ukraine in 2014 on the back of allegations of Russian wrongdoing, for example, the alleged annexation of Crimea. It’s the logic of a poacher posing as the gamekeeper.

For eight years, the U.S.-led economic war against Russia has been pursued without relent. The self-professed “exceptional nation” presumes the privileged, exclusive use of economic terrorism against others who do not bend the knee. In hock to its Washington master, the European Union has imposed round after round of restrictions on trade with Russia in full compliance with American orders. The European compliance to self-inflict damage is astounding especially given that the U.S. economy is not as reliant on Russia as the EU’s and therefore has not been impacted as badly, at least not directly. But the presumed American “free lunch” is beginning to change, as our columnist Declan Hayes cogently surveyed this week.

Now that the proxy war against Russia has escalated into “Total War” – the historically sinister phrase used by France’s economy minister Bruno Le Maire – the full nefarious scope of the Western objective has become even more explicit. The U.S. and its NATO partners want to achieve the complete collapse of the Russian economy leading to regime change in Moscow. The eruption of violence in Ukraine following Russia’s military intervention on February 24 is but the opportunity to ramp up the U.S.-led war campaign against Russia.

The explicitly stated objective of cutting off Russia’s vital energy trade and the theft of the country’s foreign monetary reserves can only be interpreted as part of a wider imperial plan to crush the Russian nation, subjugate it and conquer its vast natural wealth.

Eight years of NATO-backed military aggression by the Neo-fascist Kiev regime against Russian-speaking populations has gone hand-in-hand with the installation of U.S. strategic weapons across Europe, including Dark Eagle hypersonic missiles in Germany and biological weapons of mass destruction in Ukraine. The military threat to Russia has been in tandem with the relentless economic warfare from sanctions. In addition, there is the intransigence by the U.S. and its NATO partners to engage with Moscow in resolving security concerns through diplomacy. All of this culminated in the present war in Ukraine. The concerted and rapid imposition of further draconian sanctions on the Russian economy from the blockade on virtually its entire banking system as well as the extreme censorship of Russian international media – all of that indicates that the U.S. and its partners were already on a war footing and ready to escalate hostilities.

In this context, ominously, Ukraine is resembling Bosnia-Herzegovina and the pre-World War One assassination of Archduke Franz Ferdinand as a fatal flashpoint.

The reckless flooding of weapons into Ukraine over recent weeks by the United States, NATO, and the European Union is also proof of a premeditated pent-up war agenda. This week, U.S. President Joe Biden is calling for his Congress to release $33 billion in “emergency aid” for Ukraine to “defend against Russian aggression”. This represents a tenfold increase in the record military support that the Biden administration has already plowed into the Kiev regime. This is tantamount to stoking a powder-keg.

The ludicrous, bitter laugh about this is that when Russia seeks to defend itself and Russian-speaking people, then Moscow is accused of “aggression”.

The latest twist in this Western duplicity and rank hypocrisy comes with the accusations that Russia is using “blackmail” by warning it will cut off its prodigious gas supplies to Europe. Moscow has simply and reasonably demanded that all European importers must henceforth pay for their gas supplies in the Russian currency, the ruble, as opposed to dollars or euros. The move was prompted in part because the Western countries had seized Russia’s foreign reserves and have banned most Russian banks from the international payment system. In other words, it is they who have politicized their currencies as weapons. So what is Russia supposed to do? Give away its vast natural gas wealth for free? To countries that are waging an economic war and increasingly a military proxy war against it?

This week, Russia’s state-owned energy industry Gazprom announced it was suspending the supply of gas to Poland and Bulgaria. The two EU and NATO member states had bluntly refused to pay for their vital energy needs in Russian currency. In that case, Russia has the right to withhold the selling of its commodity.

The move to mandate payment for gas in ruble was an essential counter-measure that has succeeded in defending the Russian currency and economy from collapse. That collapse was being deliberately orchestrated by Western sanctions aimed at strangling Russia. And yet when Russia acts to defend its vital existential interests it is accused of using “blackmail”. One of the shrill voices was that of European Commission President Ursula von der Leyen. The former German defense minister is a rabid Russophobe. Her logic of accusing Russia of wrongdoing is like a Third Reich minister lambasting the Warsaw Ghetto uprising as an insolent insurrection.

Von der Leyen and her elite, unelected Brussels bureaucracy are calling for all EU members to refuse payments to Russia. They are effectively endorsing the theft of Russia’s wealth. Their arrogance is not surprising. But that arrogance is leading to rebellion across Europe from the economic damage and unbearable cost-of-living crisis hitting the majority of the EU’s 500 million population. Bulgarian and Polish workers are demanding their governments resume trade with Russia to prevent a crash to their livelihoods.

A further mockery in this absurd scenario is that anti-Russia hawks in the United States and Europe have been vociferously jeering for all energy and other trade with Russia to be cancelled. Of course, this mania is all about propping up U.S. capitalism, hegemony over Europe, the weapons industry, and the transatlantic feeding trough for effete European lackeys.

Then, when Russia cuts off the energy supplies because of non-payment, there is an uproar about Moscow “weaponizing trade”.

The Western accusations of economic blackmail are analogous to perverse claims of military blackmail. The criminally reckless aggression that the United States and its NATO partners have pursued against Russia has escalated into war in Ukraine. As a British government minister demonstrated this week, the NATO powers are now directing their proxy Kiev regime to launch attacks on Russian territory. Yet when Russia warns of the dangerous risks of world war veering into a nuclear conflagration, the Western powers and their dutiful media turn around and accuse Russia of using “nuclear blackmail”.

America and Europe’s dubious political “leadership” is exposing itself as delusional, duplicitous, and criminally insane. They are insanely willing to push the world into a catastrophic war. And when Russia stands up to their madness, it is accused of being a reprobate.

In a funny sort of way, such farcical Western leadership is good. For it only further exposes how utterly unhinged and corrupt the Western elite rulers are in the eyes of their increasingly restive, angry populations.

It is Western callous, sociopathic leaders who are the ones blackmailing their own citizens and indeed the rest of the world. Their ultimatum is: destroy Russia or we will destroy everything. This is the mindset of totalitarianism.

The Western public’s enemy is not Russia, and it’s not China nor Iran, Syria, Venezuela, North Korea, Cuba, or some other designated foreign foe. All our enemy is the Western system of U.S.-led imperialism, its capitalist elite, and their political flunkies like Joe Biden and Ursula von der Leyen.

Jacksonville Mother: I “Cannot Feed My Son” Due To Baby Formula Shortages

Food shortages are starting. Here is baby formula. It’s a breakdown in supply line management, and engineered shortages. here in China, there are no shortages.

Meanwhile in Russia

"Real men and women here teaching kids about pride in country, nothing wrong with that, at least they are not grooming the kids in mental illness to believe they are what they are not or have drag queen clowns bouncing kids on their boners, or teaching the boys to think they have a period or to get B-hole pap-smears."

2022 05 10 19 56
2022 05 10 19 56

Transforming Subway Passengers Into Renaissance Paintings

4 84 1
4 84 1

Rory Gallagher – Tattoo’d Lady (Live At Montreux)

This has to be one of the best “jams” between a lead guitarist and a fella on keyboard that I have ever seen. Outstanding.

Wholesome

1105110156310925313 png 700
1105110156310925313 png 700

Spinach & Feta Stuffed Chicken Breast

This dish tastes like juicy chicken stuffed with spanakopita (Greek spinach pie) and is ready in under an hour. I love recipes like this one that are quick and easy, can be made ahead, and are good for a busy weeknight yet, elegant enough for a dinner party. What could be better?

This recipe is a great one for your Thanksgiving table. Maybe for a dinner for 2 or if you’re just not a fan of turkey. When I was recipe testing, I couldn’t decide between the tomato sauce or the lemon caper sauce so, I made both. They were both flavorful yet different. The tomato sauce added a hint of sweetness and the lemon caper sauce brightened everything up and added another layer of flavor. Both of the sauces are listed. Enjoy!

2022 05 10 21 18
2022 05 10 21 18

Ingredients

  • 5 chicken breasts, boneless skinless
  • Salt
  • Pepper
  • Cumin
  • Dried oregano

For the filling:

  • 1-pound baby spinach leaves, roughly chopped
  • ¼ cup olive oil
  • 4-5 scallions, finely chopped
  • 2-3 garlic cloves, grated
  • Salt and pepper, to taste
  • 6 ounces feta cheese
  • 4 ounces ricotta cheese
  • 2 tablespoons finely chopped mint

For the tomato sauce:

  • 1 jar of your favorite marinara sauce

For Homemade Marinara Sauce:

  • 1 small onion
  • 2 garlic cloves, grated
  • 28 ounces canned tomatoes, pureed
  • ½ teaspoon sugar or honey
  • 1 teaspoon dried oregano
  • Salt and pepper, to taste
  • Pinch of crushed red pepper flakes

For the Lemon-Caper Sauce:

  • Pan drippings
  • 2 tablespoons of butter
  • 2 garlic cloves, grated
  • 2-4 tablespoons fresh lemon juice
  • 2-3 cups chicken broth
  • 2 tablespoons capers, or less

Instructions

  1. Preheat the oven to 475 °F, 250 °C.
  2. Cut a pocket into each chicken breast. Season the chicken all around and inside the pocket with salt, pepper, a dusting of cumin, and oregano. Set aside in a baking tray.
  3. Place the scallions with the olive oil in a pan over medium-high heat and cook until they soften. About 3 minutes.
  4. Add the garlic and warm through about 30 seconds.
  5. Add the spinach and cook until wilted and the liquid is mostly evaporated. About 8 minutes.
  6. Place the feta in a large mixing bowl and mash it with a fork. Add the ricotta cheese and mix together. Season with some black pepper and add the mint along with the spinach mixture and mix well. Taste and adjust seasoning, if needed.
  7. Stuff each breast with about a half cup of the filling.
  8. To make your own homemade marinara sauce heat the olive oil with the onions over medium heat and cook until soft and golden. Add the garlic and warm through. Add all of the remaining ingredients and simmer for 10 minutes. Taste and adjust seasoning if needed.
  9. Place the chicken in an ovenproof pan such as a cast-iron skillet and cook over medium-high heat for 5 minutes. Flip the chicken over and remove from the heat.
  10. If you are making this dish with the tomato sauce, pour as much of the marinara sauce as desired into the pan. It should cover the chicken 1/3-1/2 of the way up from the bottom of the pan.
  11. Bake in the preheated oven until the internal temperature of the chicken reaches 165 °F. Time depends on the thickness of the chicken cutlets. Thicker chicken takes about 18 minutes to bake.
  12. Remove from the oven and allow to rest 5 minutes before serving.
  13. If you are serving these with the lemon-caper sauce, just bake them in the oven without any sauce and as soon as they are ready, take them out of the oven, transfer the chicken to a plate and cover with foil.
  14. Make the lemon caper sauce: Place the skillet that the chicken baked in over medium heat and add the butter. Once it melts add the garlic and immediately add the lemon juice. Pour the chicken broth into the skillet and bring to a boil. Add the capers and simmer for 3-5 minutes until the sauce reduces a bit. Pour the sauce over the chicken and serve.
  15. To make this ahead:
  16. Assemble and fill the chicken and place the tray in the refrigerator covered in plastic wrap. This can be done a day ahead.
  17. Allow the chicken to come to room temperature before cooking. About 1-2 hours.
  18. If you’re making a big batch (8-12, or more) you may skip the step of pan-frying one side of the chicken and just bake it in a large pan (or 2) until the internal temperature of the chicken reaches 165 °F.
  19. Serve this with some toasted bread, pasta, or roasted vegetables. Enjoy!

2022 05 10 21 1d8
2022 05 10 21 1d8

Luigi, The Boss Of Bosses

good best bosses 09 626668fe408a9 700
good best bosses 09 626668fe408a9 700

Squeeze * Last Time Forever

Takes me back to the 1980s. I had this album. I love the transitions and that jazzy keyboard work is just precious. This is a real treat. I am so very glad that I rediscovered this tune…

I Didnt Have Thanksgiving With My Family This Year So My Boss Made Me A Plate And Said That I’m Part Of Her Family

good best bosses 08 6266675baa942 700
good best bosses 08 6266675baa942 700

VICTORIA, AUSTRALIA: Premier Dan Andrews is passing a bill that prohibits people from growing their own food.

From HERE

2022 05 11 14 31
2022 05 11 14 31

Unbelievable. But Australia seems to be the cutting edge of the totalitarian thrust threatening to engulf us all. This thrust is the big story right now, far bigger than what's happening in Ukraine. I still can't shake off the suspicion that Russia and China are on board with this thrust somehow. Or maybe the rest of the multipolar world will be relatively free and open but the wicked West will be a gulag archipelago for a while until we've learned our collective lesson?

-Scorpion
Transforming Subway Passengers Into Renaissance Paintings

6 77
6 77

Beautiful Life Of Florida In The 1950s

5 15
5 15

Finian Cunningham
April 14, 2022
.

The corporate-dominated media (that lied us into the 2003 “shock and awe” attack on Iraq over non-existent WMD) is trotting out the same strategy again to sell war and deceive the public

American peace activist, documentary filmmaker and author Bruce Gagnon analyses the current war in Ukraine with a critical bigger picture of political and strategic context that is so woefully – and deliberately – missing in Western media.

In the following interview, Gagnon points out that the Russian military intervention in Ukraine that began on February 24 can only be properly understood by viewing it as a response to eight years of relentless military assault by the NATO-backed Kiev regime against the ethnic Russian population of the Donbass region. Nearly 14,000 people were killed by the NATO-backed Kiev regime and its Russian-hating Nazi regiments. Where were Western government and media condemnations?

The current expansion of the war, he contends, is really just the front line in a bigger war that the United States and its NATO-European allies are waging against Russia. The ultimate objective is regime change in Moscow. This objective is to satisfy Western corporate interests and includes the eventual targeting of China. In this way, the U.S. and its imperialist allies are trying to thwart the emergence of a multipolar world and to offset the historic decline of Western corporate power. As he says: “China is also on the regime-change list of the West, and due to the new economic and military pact between Russia and China, it becomes essential to take out Russia first before going after China. The United States is currently using Taiwan in a similar way to how it has used Ukraine as a tool of destabilization.”

Therefore, the stakes are profoundly vested in the outcome of the war in Ukraine. The U.S. and NATO want this war to continue in order to sap, destroy and subjugate Russia. Hence the reckless, criminal flow of weaponry from the NATO bloc into Ukraine to derail any political settlement. Gagnon also points out that the U.S.-NATO-Kiev axis is likely to resort to more false-flag atrocities in order to win the information war against Russia – a war that the Western so-called news media are openly waging under the conceited and deceptive guise of “journalism”.

Bruce Gagnon is based in Maine, United States of America. He is founder and coordinator of the Global Network Against Weapons & Nuclear Power in Space. He also publishes incisive commentaries on international developments in his Organizing Notes blog. Gagnon is a Vietnam War-era veteran, has worked as a labor rights activist, and has traveled to dozens of countries to give public speeches and seminars to peace, anti-war, and justice organizations.

 

Interview

Question: The U.S. Congress is set to pass a Lend-Lease Act that will greatly increase the supply of weapons to Ukraine purportedly to help defend that country from “Russian aggression”. This is while negotiations are underway between Ukraine and Russia to find a peace settlement to the conflict. Is Washington trying to strengthen Kiev’s negotiating hand or is the United States aiming to prolong the war?

Bruce Gagnon: Using the 2019 Rand Corp study called ‘Overextending and Unbalancing Russia’ as a guide, the U.S.-NATO obviously do not want negotiations between Ukraine and Russia to flourish. Their interest is in creating a festering sore along Russia’s border forcing Moscow to spend more of its national treasury on the military and on rebuilding the massively destroyed Russian-ethnic Donbass region in eastern Ukraine. The Donbass destruction was largely due to Ukrainian army shelling for over eight years since the U.S.-orchestrated coup in Kiev in 2014.

Question: In passing the Lend-Lease Act, the U.S. Senate cited allegations of genocide and the massacre of civilians in the Ukrainian city of Bucha by Russian troops as justification for the legislative go-ahead for more American weapons to Ukraine. Russia categorically denies the allegations while several independent analysts have pointed to evidence that the gruesome killings were a false-flag provocation carried out by Ukrainian forces in order to incriminate Russia. What is your view of the Western media reports on the Bucha massacre?

Bruce Gagnon: I have studied the Bucha story quite closely and it is more than obvious that this was one more false flag by the U.S.-NATO-Ukrainian axis. The timelines point to this being such. Russian troops left Bucha on March 30. On March 31, the mayor of Bucha put out a video where he excitedly and proudly proclaimed that Russian troops had left. On April 1, a woman who serves as a Bucha City Council Deputy made a similar video proclaiming victory over the Russians. Neither of those two city leaders made any reference to a massacre or bodies in the streets which would have been more than obvious at that point. On April 2, Ukrainian forces retook control of Bucha. On April 3, Western media began reporting on the alleged massacre.

There have been repeated attempts by the U.S.-NATO-Ukrainian side to claim that Russia was killing civilians but each story has turned out to be lacking a factual basis. The latest attempt was the Ukrainian army shelling Kramatorsk using a Tochka-U missile on April 8. Dozens of civilians were killed and up to 100 wounded. Ukrainian President Vladimir Zelensky was quick to blame Russia although the Tochka-U missile is an outdated technology that is no longer used by Russia and ample evidence shows that it has been a favorite weapon used by the Nazi-led Kiev regime’s army to repeatedly shell the Donbass region.

Additionally, Kramatorsk is in the Russian-ethnic region of eastern Ukraine that Russia is trying to liberate from the Nazi-led army of Kiev. It makes no sense that Russia would kill their own people.

It is said that every criminal has a Modus Operandi (MO) – a way of repeating their bad behavior. I think it is safe to say that Ukraine is losing this war and has resorted to an MO to create false flags in order to turn public opinion against Russia with hopes that U.S.-NATO will then fully enter the war on the Kiev regime’s side. So Ukraine has replaced actual offensive military operations (which they are no longer really capable of) with false-flag events as their primary strategy to vilify and defeat Russia.

Question: You have said that the war in Ukraine is not merely about Ukraine and Russia in isolation, but rather represents a front line in a bigger confrontation between the U.S.-led NATO bloc on one hand and Russia and China on the other. Are you saying this is a proxy war?

Bruce Gagnon: There can be no doubt that this war is being waged on behalf of a larger mission – regime change in Russia that the West hopes would allow for the breaking up of Russia into smaller nations similar to what U.S.-NATO did to Yugoslavia following the 1999 American-led attack on Belgrade. The goal is for Western resource extraction corporations to take control of Russia’s vast landmass and most importantly its huge resource base that includes natural gas, oil, timber, agricultural lands and vital mineral deposits. With the melting of the Arctic ice, it will increasingly become possible to “drill-baby-drill” for offshore resources under the sea that has long been covered in thick layers of ice. It’s no coincidence that at the same time this war started, the U.S.-NATO began holding a war game called ‘Cold Response’ in the north of Norway which borders Russia’s Arctic region.

U.S. President Joe Biden, in one of his confused moments, blurted out in a recent speech in Warsaw that “Putin had to go”. I am more than certain that during many of the National Security Council meetings in Washington this has long been a key agenda item.

In the past 500 years, Russia has been invaded several times from the West. The Poles came across the European Plain in 1605, followed by the Swedes under Charles XII in 1707, the French under Napoleon in 1812, and the Germans twice, in both world wars, in 1914 and 1941. Every 100 years, the West makes its move and fails.

China is also on the regime-change list of the West, and due to the new economic and military pact between Russia and China, it becomes essential to take out Russia first before going after China. The United States is currently using Taiwan in a similar way to how it has used Ukraine as a tool of destabilization.

Question: Russia has said it hopes that the war in Ukraine will be finished soon. But from what you are saying, there is a real danger that the conflict could be spun out for much longer due to U.S., British and NATO arms being supplied to Ukraine. Is this prolongation of the war what galvanizes Washington and London’s calculus and policies?

Bruce Gagnon: The vested interests inside the U.S.-EU military-industrial complex stand to make massive profits if this war can be extended for months, or even years. I believe that this is the intent of Washington-Brussels. By getting NATO members to ship outdated military hardware to Ukraine, the Western military manufacturers are salivating as they imagine replacing those stocks of weapons with the latest technologies that must be “interoperable” with Pentagon space-directed war-fighting capabilities. What this translates to over time is a vast high-tech global war machine. Don’t forget that NATO is also going international as they sign up “partners” in Australia, New Zealand, South Korea, Japan and others in the Asia-Pacific. In this “mission vision”, the U.S. would be in charge of the “tip of the spear” and will have had NATO members and partners help pay for it all. NATO’s job is to ultimately force submission to Western corporate demands. They declare they are a “peace alliance” yet their history is nothing but endless war.

Question: Do you think there is an even bigger picture to the U.S.-led NATO confrontation with Russia? That is, the bigger battle is to stave off the historic decline in the American-dominated Western economic system? Russia and China have consistently hailed the advent of a multipolar world based on cooperation and partnership. The United States seems deeply opposed to this vision, claiming that Moscow and Beijing undermine a so-called “rules-based global order”. Why does Washington object to a multipolar vision? What is it about American power that demands unipolar dominance, fealty, or war?

Bruce Gagnon: The U.S. and NATO are an insecure and desperate military war machine. They are the muscle for the Western-run corporate globalization syndicate. They know their days are numbered as the dominant Western imperial powers that have ruled most of the world for hundreds of years.

It reminds me of a drunken riverboat gambler rolling the dice – knowing that he has lost everything but still going for broke one last time. Washington and the EU clearly understand that the rising multipolar world is unstoppable – when you add up the population numbers this rising tide is the vast majority of the world’s population. So the U.S.-NATO know this is their last-ditch chance to try to remain in control of the planet. Washington-London-Paris-Berlin-Brussels want total power and control and are willing to burn any nations to the ground that stand in their way.

Obviously, China, Russia, Iran, India, and others across the global South understand all of this. They have suffered at the hands of the U.S.-Europe long enough. They are moving to help create this multipolar world – what one Russian leader recently called a “Fair World Order” not run by Wall Street, the Bank of England, the IMF and World Bank. The question for all of us is: will the U.S.-NATO be willing to take this drive to remain in control to World World III that could then quite possibly turn nuclear? Those so-called “peaceniks” out in the streets waving Ukrainian flags ought to ask themselves if they are in fact inadvertently helping to push things in that horrific direction.

Question: In the reporting on the Ukraine war and the prelude to it, would you agree that Western news media seem to have more openly embraced the function of a propaganda system, peddling intelligence disinformation to distort the nature of the conflict with Russia?

Bruce Gagnon: The Western media is all in when it comes to demonizing Russia and helping to escalate this war. Just days ago while driving, I turned on NPR (National Public Radio) and heard one “correspondent” claim that Russian troops had raped young girls in Bucha.

Have we forgotten the groundbreaking U.S. Senate committee hearings in 1975 on the CIA’s control of the media? Those hearings were led by Senator Frank Church (D-ID). At the time, it was disclosed that 400 journalists worldwide were submitting news stories on behalf of the CIA. Operation Mockingbird it was called. Look it up on the internet. I don’t think the videos from those congressional hearings have been removed yet on YouTube. I’d bet my life that the so-called “Bucha rape story” was produced by the agency.

Just last week we learned from an NBC-TV report that U.S. intelligence agencies were putting out false stories about Russia in order to “preempt” Moscow “from doing something bad”. The corporate-dominated media (that lied us into the 2003 “shock and awe” attack on Iraq over non-existent WMD) is trotting out the same strategy again to sell war and deceive the public. And when you factor in all the efforts of corporate-owned social media outlets to take down alternative views on the Ukraine war it becomes clear that the Number One goal is the brainwashing of the public. Modus Operandi – every criminal syndicate has one.

My Old Boss Has Been 3D-Printing Reusable Masks And Delivering Them To Medical Facilities Amidst A Shortage

624ea69c91cea O2Mkqpu 700
624ea69c91cea O2Mkqpu 700

Forgotten Architectural Beauty

18 13
18 13

UKRAINE SHUTS-OFF 1/3rd of **ALL* EUROPEAN NATURAL GAS

UKRAINE IS SHUTTING OFF THE FLOW OF ALL NATURAL GAS TO EUROPE, claiming a “force majeure” due to the presence of “Russian Occupiers.”

Russian gas conglomerate Gazprom has received no confirmation of force majeure or any obstacles to continued transit of gas through a junction in Lugansk Region, the company said on Tuesday, after Ukraine’s operator OGTSU announced it would halt further deliveries starting May 11, due to the presence of “Russian occupiers.”

Gas Transit Services of Ukraine (OGTSU) declared force majeure on Tuesday, saying that it was impossible to continue the transit of gas through a connection point and compressor station located in the Lugansk area. As OGTSU personnel “cannot carry out operational and technological control” over the Sokhranovka connector point and Novopskov compressor station, the company cannot continue to fulfill its contract obligations, it said.

Gas from this connection will not be accepted into the transit system of Ukraine starting at 7 am on Wednesday, OGTSU said. Sokhrankovka accounts for almost a third of the Russian gas that transits through Ukraine to Europe – up to 32.6 million cubic meters per day – according to the operators.

Gazprom has received no confirmation of force majeure or disruption of operations at Sokhranovka or Novopskov, company spokesman Sergey Kupriyanov said on Tuesday. He added that Ukrainian specialists have had full access to both facilities all along, and there had been no complaints about it previously.

Kupriyanov also said that Gazprom has been notified by Ukraine’s gas company Naftogaz that if Russia continues to supply gas through Sokhranovka, Kiev will reduce the volume at the point of exit by the same amount, effectively confiscating the gas.

While OGTSU has proposed to reroute the gas to Sudzha, a connector located in the Sumy region and controlled by the Ukrainian government, Kupriyanov said this was “technologically impossible.” 

“The distribution of volumes is clearly spelled out in the cooperation agreement dated December 30, 2019, and the Ukrainian side is well aware of this,” he said.

Gazprom is fulfilling all of its obligations to its European customers, with all the transit services in accordance with the terms of the contract and paid in full, Kupriyanov pointed out. Moscow has continued gas deliveries to Europe, including transit through Ukraine, regardless of the ongoing military operation and the embargoes against Russia imposed by the US and its allies in the EU.

HAL TURNER COMMENTARY

Why Ukraine is doing this is beyond my understanding. On its face, it doesn’t seem to make any sense at all.  In fact, it’s literally ABSURD! You can’t even make up shit like this!

The Ukrainians are cutting their own throats; shutting off gas to the very countries keeping them afloat.

But one thing appears instantly clear: Any support Ukraine has from Europe, will likely dry-up as fast as the gas flow.

Europe will collapse economically without Russian gas, and the fact that Ukraine is the reason the gas flow is being halted will not be well received by its European “partners.”

If Europe is smart, they will tell Ukraine, no more weapons, no more money, no more military intel.  Europe and the USA should then tell Russia “We’re very sorry that this whole thing happened, we had no idea Ukrainians were so crazy.   We’re ending all sanctions immediately, returning all seized funds and property, turning back on your access to SWIFT.  As for Ukraine, go ahead and have-at them,  it’s not our concern anymore.   Oh, and by the way, can you start turning on the Nordstream 2 pipeline, we need to get that up and running as fast a s possible.”

Russia will grind the Ukraine into dust within days.

The Ukrainian government won’t exist anymore.

This whole debacle will be over and the grown-ups in the room can start to rebuild relations that have been so soured over this thing.

This is a gigantic development for Europe, and very bad, too.

Could Ukraine being twisting European arms for more money and more weapons?   Could Ukraine actually be blackmailing Europe, maybe withholding gas flows until Ukraine gets the money and weapons they want?  Only time will tell.

Strange Happenings in North Korea – Immediate National Lockdown!

Something is going on in Pyongyang, North Korea.

Multiple sources are reporting that people have been ordered indoors IMMEDIATELY due to a “national problem.”

Large lines of people seen suddenly rushing home at around 2pm local time this afternoon.

It is not yet known what this “national problem” might be which would require the whole country to go home and remain inside.

Nonprofit Watchdog Uncovers $350 Million In Secret Payments To Fauci, Collins, Others At NIH

An estimated $350 million in undisclosed royalties were paid to the National Institutes of Health (NIH) and hundreds of its scientists, including the agency’s recently departed director, Dr. Francis Collins, and Dr. Anthony Fauci, according to a nonprofit government watchdog.

“We estimate that up to $350 million in royalties from third parties were paid to NIH scientists during the fiscal years between 2010 and 2020,” Open the Books CEO Adam Andrzejewski told reporters in a telephone news conference on May 9.

“We draw that conclusion because, in the first five years, there has been $134 million that we have been able to quantify of top-line numbers that flowed from third-party payers, meaning pharmaceutical companies or other payers, to NIH scientists.”

The first five years, from 2010 to 2014, constitute 40 percent of the total, he said.

“We now know that there are 1,675 scientists that received payments during that period, at least one payment. In fiscal year 2014, for instance, $36 million was paid out and that is on average $21,100 per scientist,” Andrzejewski said.

“We also find that during this period, leadership at NIH was involved in receiving third-party payments. For instance, Francis Collins, the immediate past director of NIH, received 14 payments. Dr. Anthony Fauci received 23 payments and his deputy, Clifford Lane, received eight payments.”

Collins resigned as NIH director in December 2021 after 12 years of leading the world’s largest public health agency. Fauci is the longtime head of NIH’s National Institute for Allergies and Infectious Diseases (NIAID), as well as chief medical adviser to President Joe Biden. Lane is the deputy director of NIAID, under Fauci.

(HT Remark:  So Fauci and company PUSHING the "COVID vaccines" now seems to have a very real profit motive for Fauci personally!  Isn't THAT interesting?)

GATES: “Low Fatality Rate”

On May 5, no less than Bill Gates, was interviewed about COVID Vaccines and made this utterly shocking admission: “We didn’t understand that it’s a fairly low fatality rate & that it’s a disease mainly in the elderly, kind of like flu is, although a bit different than that.”

WHOA!   “Low fatality rate?”   Wasn’t it people like Bill Gates telling the whole world they HAD to get the COVID Vax or they would get sick and die?   Wasn’t the world repeatedly told this was like the 1918 Spanish FLu Pandemic and that “Millions will die” unless they vaccinated everyone?

Beautiful Life Of Florida In The 1950s

4 18
4 18

Transforming Subway Passengers Into Renaissance Paintings

7 73
7 73

My Boss Is Unreal. He Knows I’ve Been Trying To Get A 3080 And We’ve Had Them In Stocks For Systems We Build

Today he walked up to me and said, “it’s yours, Merry Christmas”.

good best bosses 207 62502fdd78108 700
good best bosses 207 62502fdd78108 700

The Letterheads on Lemonman -“It’s a Shame About Ray”

A classic. This WAS the 1990s. Ah, it takes me back.

Beautiful Life Of Florida In The 1950s

3 18
3 18

Buchanan: Is Ukraine’s War Now America’s War?

Wednesday, May 11, 2022 – 04:25 AM

Authored by Pat Buchanan,

Last week, sources leaked to The New York Times that, in Ukraine’s targeting and killing of Russian generals and the sinking of Russia’s Black Sea flagship, the Moskva, U.S. intelligence played an indispensable role.

Apparently, our intel people identified and located for the Ukrainian forces what became the targets of their deadly attacks.

Why U.S. intelligence would do this seems inexplicable.

By claiming credit for Ukraine’s most visible military successes, we diminish the achievements of that country’s own forces.

By bragging publicly that we helped engineer the killing of Russian generals and the sinking of the cruiser Moskva, we taunt Russian President Vladimir Putin. We provoke him into retaliating in kind against us, thereby raising the possibility of a wider U.S.-Russia war that could escalate into World War III.

Moreover, U.S. boasting like this plays right into Putin’s narrative that Russia is facing and fighting in Ukraine a U.S.-led alliance that is out to crush Russia.

Indeed, why are we going beyond assistance to the Ukrainians in defending themselves, into making this American’s war?

When Speaker Nancy Pelosi arrived in Poland following her visit to Kyiv, she virtually embraced the idea of the Ukraine-Russia war as now being America’s war, declaring, “America stands with Ukraine. We stand with Ukraine until victory is won.”

Accompanying Pelosi to Kyiv was a delegation of House Democrats, one of whom, Rep. Jason Crow of Colorado, echoed Pelosi in Poland:

“The United States of America is in this to win.”

Their visit followed that of Defense Secretary Lloyd Austin, who came out of Kyiv and declared the U.S. strategic goals in Ukraine’s war:

“We want to see Russia weakened to the degree that it can’t do the kind of things it has done in invading Ukraine.”

These statements by U.S. leaders reinforce Putin’s line that Russia is besieged by a U.S.-led Western alliance that fears and detests Mother Russia and wishes to see her defeated and diminished.

Our enemies in the West who seek to destroy Russia are like those we fought in the Great Patriotic War of 1941-1945, Putin now claims. And intervention in Ukraine was necessary to prevent today’s neo-Nazis from dragging Ukraine into their larger conspiracy to destroy Russia.

Consider Putin’s words of a week ago:

“The forces that have always pursued a policy of containing Russia … do not want such a huge and independent country that is too big for their ideas … They believe it endangers them simply by the fact of its existence, although this is far from reality. It is they who endanger the world.”

We are hated for who and what we are, says Putin. And our military operation is an act of legitimate self-defense against the same kind of “Nazi filth” we fought in the Great Patriotic War.

Russian Foreign Minister Sergey Lavrov describes the recent surge in heavy Western weapons shipments to Ukraine as “NATO … going to war with Russia through a proxy and arming that proxy.”

By cutting Republicans out of her delegation to Kyiv, Pelosi appears to want to make the war not only America’s war, but her party’s cause.

That seems to be a motive as well behind Biden’s consciously exceeding any Western leader in the language he uses on Putin, calling him a “killer,” a “murderous dictator,” a “pure thug,” a “butcher,” a “war criminal,” guilty of “genocide,” who “for God’s sake … cannot remain in power.”

Such language is designed to showcase Biden as the world’s leading anti-Putinist and the most morally outraged of all the world’s leaders at what Russia is doing in Ukraine.

But, again, like the public boasting of U.S. intel agents over our role in the sinking of the Moskva and killing of the Russian generals, the effect is to disqualify the U.S. president from any role in negotiating a truce or an end to this war.

How do we benefit from having no leader-to-leader communication with the Kremlin, which President John F. Kennedy retained in the Cuban missile crisis to end it?

NATO Europe, which is supporting the Ukrainian resistance, is not on board with the U.S. plans to cripple Russia permanently.

America needs to recognize that our objectives in this war are not the same as Ukraine’s.

President Volodymyr Zelenskyy would like to have the U.S. plunge in and fight alongside Kyiv, devastate and defeat the Russian army, and expel Russia not only from the regions invaded this year but also from Crimea, which Putin annexed in 2014 .

America’s vital interests in this war, however, are to prevent it from becoming a U.S.-Russia war or a third world war or a nuclear war.

The U.S. goal of imposing a crushing defeat of Russian aggression is secondary to our far more vital interest in avoiding a U.S.-Russia war.

America’s interests are best served by an early and negotiated peace. Such a goal rules out imposing humiliating terms on Russia, which cause Moscow and Putin to escalate militarily — to survive politically.

But that is not going to happen. – MM

Transforming Subway Passengers Into Renaissance Paintings

8 66
8 66

Taco Bell Goes Woke: Launches ‘Drag Brunch’ Events At US Locations

Wednesday, May 11, 2022 – 07:05 AM

Taco Bell is the latest company to embrace woke activism by rolling out “Taco Bell Drag Brunch” at select Taco Bell Cantinas across the US.

"Each show will be hosted by the fabulous drag performer and taco extraordinaire, Kay Sedia, and feature performances from local queens and kings that will transform any morning from Mild to Fire!" according to a recent press release from the largest fast-food Tex-Mex restaurant chain. 

"As a brand that brings people together, the Taco Bell Drag Brunch experience is rooted in celebrating the LGBTQIA+ community and creating safe and welcoming spaces for all," the press release continued. 

2022 05 11 14 10
2022 05 11 14 10

The first drag event was held at a Taco Bell Cantinas in Las Vegas on May 1. Here are the upcoming events:

  • Chicago, Wrigleyville Cantina: Sunday, May 22
  • Nashville Cantina: Sunday, May 29
  • New York, Times Square Cantina: Sunday, June 12
  • Fort Lauderdale Cantina: Sunday, June 26

“We understand the importance of creating safe spaces for the LGBTQIA+ community and are thrilled to provide a unique experience that spotlights and celebrates the wonderful artform of drag and its influence in culture with their chosen families,” Taco Bell global chief brand officer Sean Tresvant said in a statement.

"Taco Bell Drag Brunch was concepted by Live Más Pride, Taco Bell's LGBTQIA+ Employee Resource Group, which has played a major role in driving awareness of and meaningfully supporting LGBTQIA+ communities both within Taco Bell and the communities we serve and operate in," Tresvant added. 

Taco Bell’s drive to create “spaces for the LGBTQIA+ community” is another example of woke corporations meddling in divisive political issues and risk sparking a backlash.

If CEOs learned anything so far in 2022, it’s that woke corporate America is  getting push-back for the promotion of this narrative. The latest example was Gov. Ron DeSantis (R-Fla.) signed a bill that strips Disney of its special tax status in Florida after defaming the governor’s “Don’t Say Gay” bill.

Corporations diving into woke activism can result in severe consequences — if that’s losing special tax status or even a customer base.

Some Taco Bell customers lost their appetite over the announcement of taco drag brunches.

Beautiful Life Of Florida In The 1950s

2 18
2 18

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings 4

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 

Deep, dark and misty English forests, 1960s New York City, delicious food, and Ukraine war with Russia

I watched a recent video by Gonzalo Lira today, and of course, I completely agree with it. You can watch this video here…

Now, that you have watched this, my statement is what I have always said, but there is a a REAL urgency right now.

Transportation

You need to provide ways to cut your dependence on expensive means of transportation, realizing that walking is often impractical.
  • Get a bicycle. Walking sucks. Bicycle isn’t so bad. Don’t be so damn dependent on gas vehicles for transportation. Make sure the bike has a basket, and a lock. Use it to cut your gasoline expense. It will work! A used bike is fine. You can get them really cheap. Don’t wait. do it NOW.
  • Electric Charging. If you have an electric vehicle, expect charging rates to increase. Figure on setting up your own charging station. Solar panels will take a long time, but it’s free. Using a gas generator uses gas, but it will work to charge up your car. There’s wind and hydro methods as well. You want to find ways to cut dependency on public utilities.
  • Conversion kits. You can add a small electric motor or gas motor to your bicycle that will enable you to travel huge distances for pennies. Consider having a handiman install one of these on your bicycle. Go HERE.

Heating

Sure Summer is coming. Now is the time to prepare for Winter. Do not hesitate. To to antique stores and all the rest and find old wood or coal stoves. make sure you have one and install it. Go "old school".
  • Get a wood burning stove. They can be small. Used ones are cheap. You can heat up your house for pennies using it. Place it in the room that is central to your home. With it, you need two (2x) “cords” or wood. One cord of wood is one pickup truck full of cut up wood.  It will last all winter, and will cost from $50 to $150. Imagine only paying $50 for heat all Winter, eh? Don’t wait. Do it NOW.
  • Get some coal. If you live in a coal-bearing region, get some coal. Just find the old local mines, and drive your car over, and pick up some chunks. They are everywhere alongside the road, and in open coal licks. Coal lasts much longer than wood, and burns much hotter. Use it to suppliment your wood burning stove. Do it NOW, if you live in a coal-bearning area.

Food

Just some basic notes. It doesn't hurt to have extra.
  • Bags of rice. Lasts near forever. Is cheap (right now). Stock up with a minimum of five bags. You all don’t want to have to carry them over 30 Km in your arms from the store to the house. Do it NOW.
  • Bags of flour. Same thing. You’ll need to strain out the mill worms, but make sure that you have a couple of boxes of yeast to go along with it. without yeast, you’ll be stuck with flat breads. You need yeast. You cannot have too much flour. Just make sure that you store it properly. Do it NOW.
  • Potatoes. Bags of potates can last up to 9 months. What you cannot eat, you can plant. they are healthy, full of starch and minerals.
  • Canned Tuna. Cases. Get as many cases as you can. Get other canned vegitables as well. But having tuna, or other canned meats will be important.
  • Cooking oil. Get a lot. It’s a heavy buttle to carry, and much comes from Ukraine.

Garden

  • You need to start a garden. Tomatoes, lettice, onions, cucumbers, zuccini, carrots, potatoes. It can be small, a mini-tomato bush with keep you well supplied with daily tomatoes.
  • Beware of night raids. Those that are unprepaired can raid your garden. If you cannot put up a fence, or keep it hidden, take steps to make it secure. Nothing is worse than spending the time and effort to make a garden, only to have some lazy people steal everything.
  • Potatoes. This is a basic and simple plant that is easy to grow, lies hidden from view, and full of easy nutrition. Plant potatoes away from the main garden, and remember, most people don’t know what potato plants look like.

Chickens

  • Get some chickens. Three chickens will keep a family of four well fed daily. Select egg layers. Not decorative, or meat hens.
  • Build a chicken coop. Have a handiman do it if you cannot, or buy one. They are not expensive. Small ones are the size of a dog house.
  • Get some chicken food. Don’t rely on rice to feed chickens. You need special seed or bird seed.

Fishing

  • Learn to fish. Yes, you can get a pole and try to fish. I advise geting a fish trap and let the fish enter the trap and then take them home. It’s easier, less work and you get more fish that way.
  • Shellfish. If you live near an ocean, learn how to harvest shellfish. It’s not hard, you just need a small hammer, and a pick.

Guard Dogs and Cats

  • Guard Dogs. They scare bandits, theves, and criminals away. They are worth their weight in gold.
  • Cats. They get rid of mice, rodents and cockroaches that feed on your stored dry goods. They are a great investment.

Cost Cutting Measures

  • Clothes Line. Use of a clothes dryer in your home is a major contributor to your energy expenses. Start using a clothes line instead. Start with it to suppliment your load requirements, and then eventually use it entirely. Especially in the Summer.
  • Expenses. I do not advocate that you completely change your lifestyle. I only suggest that you cut back. Instead of three starbucks coffee a week, reduce it to once a week.  Just start to be moderate in your actions, and mindful of your expenses.

Let’s continue on geopolitics…

Australia to threaten China with the United States

Australia will work with its allies to ensure China does not set up a military base in the Solomon Islands, Prime Minister Scott Morrison has pledged during a heated pre-election debate.

From HERE

Scott Morrison has responded to a leaked draft MoU between China and the Solomon Islands outlining how they will further deepen ties including cooperation on building wharves and ship yards.
Those investments are set to include “port wharves, submarine optimal cable construction, shipbuilding and ship repair and ocean transportation”, as well as “exploration and development of offshore oil, gas and mineral resources”.

The RESPONSE article here

Demon Comic

Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39df9adeb 626a3d8ab6367 880
Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39df9adeb 626a3d8ab6367 880

In Chicago

Chicago still has a few wooden water mains. Worse than that, they are busy terminating for cause all the old guys who know how to work on those mains, or slightly newer and important mains, because the old guys have pensions coming that can’t be paid. As it becomes plain to everyone it is a fools errand to work for Chicago Water more than a few years there is not much hope for keeping that infrastructure alive. And no way to pay for full replacement. Feels to many of us the plan is to flush the whole city. Even ten years ago I was in the civic frame of mind that thought this was the worlds greatest city. No one is planning for this place to be here another ten years.

- Oldhippie

A Chart Illustrating Gerrymandering In Its Most Basic Form

BkddKFQBUnK png 700
BkddKFQBUnK png 700

Nausea Rules


The way financial markets puked this week, they must have started reading the news. Let’s face it, the headlines are a little short of reassuring. The $6.49 price on a gallon of diesel is enough alone to tell you that the nation can’t do business the way it’s set up to do, and there isn’t a new model for running things ready to launch — not even Klaus Schwab’s utopia of robots and eunuchs.

What’s out there, rather, is a model of breakdown and collapse which the Woked-up, globalist neo-Jacobins are doing everything possible to hasten. US-inspired sanctions on Russia have quickly blown-up in America’s face. How’s that ban on Russian oil working?

Do you understand that US shale oil — the bulk of our production — is exceptionally light in composition, meaning it contains not much of the heavier distillates like diesel and aviation fuel?  ‘Tis so, alas. Truckers just won’t truck at $6.49-a-gallon, and before long they’ll be out of business altogether, especially the independents who have whopping mortgages on their rigs that won’t be paid. The equation is tearfully simple: no trucks = no US economy.

Europe, the old original homeland of Western Civ, isn’t just losing face, it’s blowing its head clean off going along with “Joe Biden’s” economic war. Are Germany, France, and the rest of that bunch really so dead-set on jamming Ukraine into NATO that they’re willing to go full medieval for it? By which I mean sitting in the cold and dark with empty plates. That’s a hard way to go just to prove somebody else’s point.

The war in Ukraine itself was apparently losing its sex appeal for the click-hungry news media. No matter which way The New York Times and friends tried to spin it, they failed to grok both Russia’s determination to neutralize Ukraine and its ability to get the job done, even if it takes a longer-than-expected grind to finish.

That’s how important it was to Russia that Ukraine not become a forward missile base and bio-weapons lab for its adversaries.

When that operation concludes, the West will be left economically crippled and humiliated — which are conditions that historically portend regime change. Will America cough up “Joe Biden” like a hairball to get those trucks running again? Might the Dems themselves resort to releasing the kraken known as Hunter’s laptop just to send the old grifter packing?

In the meantime, the leaked Roe v Wade cancellation ruling shoved the Ukraine fiasco offstage so as to provoke more useful histrionics for the dreaded midterm elections upcoming. The poorly-understood truth is that said ruling will only send the abortion question back to the individual states.

But let’s get real: places like New York, Massachusetts, Maryland, and California are not going to enact any new anti-abortion laws, and that’s where most of the people having hebephrenic breakdowns over the issue live. Which is to say there’s little danger that the shrieking denizens of these Blue states will lack abortions. So, how much has the party only been pretending that Roe v Wade is its primal touchstone?

The strange parallel question has been raised: might laissez-fair abortion be a cover for the evident new problem that Covid-19 vaccines have made a shocking number of birthing people incapable of reproducing?

There’s a buzz about it, anyway.

It’s a fact that Pfizer excluded pregnant and breastfeeding women from all phases of its mRNA trials. Among the various harms now ascribed to the mRNA shots are infertility, miscarriage, and newborn abnormalities. But, of course, that sort of rumor — here coming from cases among vaccinated military personnel and not so easily hushed up — is just what the many lurking censors want to slap down in any forum where ideas could be exchanged. It’s misinformation!

And so, the derangement volume knob over Twitter changing ownership stays up at eleven. Imagine what will happen if the supposedly 70-odd percent of Americans who got vaxxed learn in a re-liberated Twitter Zone that the Covid-19 vaccines are not “safe and effective.”

According to Zero Hedge, twenty-six globalist NGOs with ties to George Soros signed a letter saying,

“Elon Musk’s takeover of Twitter will further toxify our information ecosystem and be a direct threat to public safety, especially among those already most vulnerable and marginalized.”

They are, as usual, projecting — since what is a greater threat to public safety than inducing tens of millions of frightened citizens to accept multiple shots of a poorly-tested pharmaceutical cocktail that can kill you six ways to Sunday? The folks in-charge (and others who would like to be the boss-of-you) don’t want you to know any of this. The pharma companies, the doctors, the hospital administrators, and the politicians must be frantic with terror of being found out.

Altogether, the scene looks like a multi-dimensional nightmare. Broken economy… sinking Western Civ… police state tyranny… vaccine death and injury… starvation…. So, there it is. Oh, look, those markets… they’re puking again!

The Many Flags Inside Norway’s Flag

BeVMo7iFoiI png 700
BeVMo7iFoiI png 700

True story

Has actually happened.

Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39e711ab1 880
Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39e711ab1 880

Chinese Orbital Power Station

China aims to build an orbital solar collection/transmission station. This is a recent article about the project in Chinese and more can be found.

Worsening . . .

.

Russia just announced it is withdrawing its ambassador from Poland and is EXPELLING the Polish ambassador.

Details if they become available . . . 

Girl taking a nap on a bench in the 1960s

new york 1966 1967 kodachrome 02
new york 1966 1967 kodachrome 02

Canadian fighting in Ukraine describes the ‘hell’ he witnessed

18 Signs That Food Shortages Will Get A Lot Worse As We Head Into The Second Half Of 2022

If you think that things are bad now, just wait until we get into the second half of this year.  Global food supplies have already gotten very tight, but it is the food that won’t be produced during this current growing season in the northern hemisphere that will be the real problem.  Worldwide fertilizer prices have doubled or tripled, the war in Ukraine has greatly reduced exports from one of the key breadbaskets of the world, a nightmarish bird flu pandemic is wiping out millions of chickens and turkeys, and bizarre weather patterns are absolutely hammering agricultural production all over the planet.  I have often used the phrase “a perfect storm” to describe what we are facing, but even that phrase really doesn’t seem to do justice to the crisis that we will be dealing with in the months ahead.  The following are 18 signs that food shortages will get a lot worse as we head into the second half of 2022…

#1 The largest fertilizer company on the entire planet is publicly warning that severe supply disruptions “could last well beyond 2022”

The world’s largest fertilizer company warned supply disruptions could extend into 2023. A bulk of the world’s supply has been taken offline due to the invasion of Ukraine by Russia. This has sparked soaring prices and shortages of crop nutrients in top growing areas worldwide; an early indication of a global food crisis could be in the beginning innings.

Bloomberg reports Canada-based Nutrien Ltd.’s CEO Ken Seitz told investors on Tuesday during a conference call that he expects to increase potash production following supply disruptions in Russia and Ukraine (both major fertilizer suppliers). Seitz expects disruptions “could last well beyond 2022.”

#2 The world fertilizer price index has skyrocketed to absurd heights that have never been seen before.

#3 It is being reported that global grain reserves have dropped to  “extremely low” levels…

“Global grains stocks remain extremely low, an issue that has become amplified because of Russia’s invasion of Ukraine. 

“We think it will take at least 2-3 years to replenish global grains stocks,” Illinois-based CF Industries Holdings Inc.’s president and chief executive officer Tony Will said in a statement in Wednesday’s earnings report.

#4 Due to the war, agricultural exports from Ukraine have been completely paralyzed

Nearly 25 million tonnes of grains are stuck in Ukraine and unable to leave the country due to infrastructure challenges and blocked Black Sea ports including Mariupol, a U.N. food agency official said on Friday.

The blockages are seen as a factor behind high food prices which hit a record high in March in the wake of Russia’s invasion of Ukraine, before easing slightly in April, the FAO said on Friday.

#5 The out-of-stock rate for baby formula in the United States has now reached 40 percent

The out-of-stock rate for baby formula hovered between 2% and 8% in the first half of 2021, but began rising sharply last July. Between November 2021 and early April 2022, the out-of-stock rate jumped to 31%, data from Datasembly showed.

That rate increased another 9 percentage points in just three weeks in April, and now stands at 40%, the statistics show. In six states — Iowa, South Dakota, North Dakota, Missouri, Texas and Tennessee — more than half of baby formula was completely sold out during the week starting April 24, Datasembly said.

#6 In six U.S. states, the out-of-stock rate for baby formula has actually risen to 50 percent or greater.

#7 Searches for the phrase “how to make homemade formula for babies” on Google have spiked 120 percent.

#8 We are being told that this is a “perfect storm” as shelves become increasingly bare at food banks all around the nation.

#9 In Canada, more than 1.7 million chickens and turkeys have already been lost in recent months due to the global bird flu pandemic.

#10 In the United States, more than 37 million chickens and turkeys have already been wiped out due to the global bird flu pandemic.

#11 The two largest reservoirs in California, Shasta Lake and Lake Oroville, have both fallen to “critically low levels”.

#12 Some communities in southern California won’t be able to make it through the coming summer months without “significantly cutting back” on their water usage.

#13 Many of the largest lakes around the world are currently in the process of disappearing because they are rapidly drying up.

#14 Wildfires continue to absolutely devastate agricultural land all across the western half of the United States.  This weekend, it was New Mexico’s turn to be hit the hardest

After a few days of calm that allowed some families who had fled wildfires raging in northeast New Mexico to return to their homes, dangerous winds picked up again Sunday, threatening to spread spot fires and complicate work for firefighters.

More than 1,500 firefighters were on the fire lines at the biggest blaze east and northeast of Santa Fe, which grew another 8 square miles (20 square kilometers) overnight to an area more than twice as large as the city of Philadelphia.

#15 We are being told that steak prices in the United States will “keep rising” in the days ahead.

#16 Due to hail and frost, the Spanish apricot crop is going to be way below expectations

In Spain, the latest forecasts suggest production will not reach 60,000 tonnes, compared with 110,000 tonnes in 2019 and 100,000 tonnes in 2020 and 90,000 tonnes in 2021.

In Murcia, where around two-thirds of Spain’s apricot production is located, farmers in the Mula River and northwest regions have been forced to write off the entire season following a severe hailstorm on Monday which not only resulted in the loss of the fruit, but also caused widespread damage to trees.

#17 Overall, Spanish fruit production is expected to drop to the lowest level in 40 years.

#18 Kansas Senator Roger Marshall is openly warning that a horrifying worldwide famine is coming

The war in Ukraine will lead to a worldwide famine in the next two years, warned Sen. Roger Marshall (R-Ky.), who serves on the Senate Agriculture Committee, warned on Tuesday.

“You know I’m a big agriculture guy. Twelve, 15 percent of the agriculture products – corn and wheat, sunflower oil – come through that Black Sea, so— and fertilizers come from that area as well, so there actually is going to be a famine one to two years from now. I think two years from now will be even worse,” he told Fox Business’s “Mornings with Maria Bartiromo” on Tuesday.

The alarm bells are ringing.

Are you listening?

In all of the years that I have been writing, I have never seen anything even close to this, and this crisis is only going to intensify as the months roll along.

MM Comments

As I have often said, and I will repeat, Americans and those in the West seem to think that if inflation, shortages, or tax increases happen inside America that they will ALSO happen globally. That is not true.

Keep that in mind.

Teenagers in the 1960s

new york 1966 1967 kodachrome 04
new york 1966 1967 kodachrome 04

PLAY THE FORBIDDEN NOTE!

Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39c2a9c2b 880
Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39c2a9c2b 880

Supply Chains

Fragile supply chains are facing a new headwind amid Russia’s invasion of Ukraine and a new COVID-19 lockdown in one of China’s manufacturing hubs.

Shipping giants including Switzerland-based MSC, Denmark’s Maersk, and France’s CMA CGM announced this month that they would halt cargo bookings to and from Russia until further notice, joining the growing list of companies to shun Moscow over its invasion of Ukraine.

And on Tuesday, after Russia signed a law enabling domestic airlines to keep planes leased from foreign companies, Maersk announced that it’s trying to retrieve tens of thousands of shipping containers from the country.

We have about 50,000 of our containers in Russia today,” Maersk Chief Executive Soren Skou said. “Most of them are empty, they are our property. We need them, and we are very reluctant to leave them in Russia. For this reason, we still have some port calls in Russia.”

Article HERE

Real Polish Meat Pierogi – Recipe Video by Polish Your Kitchen

Car trouble in New York

new york 1966 1967 kodachrome 05
new york 1966 1967 kodachrome 05

Tod…Nooooo!

Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a399524077 626a4311abe5e 880
Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a399524077 626a4311abe5e 880

A selection of links

DOCUMENTARIES

“Ukraine on fire” An Oliver Stone documentary
LINK

Link Vimeo
LINK

“Donbass I’m Alive!” – 50 min
LINK

MILITARY VIEWPOINTS

Former US Marine and UN weapons inspector Scott Ritter:

2022-04-28 – How to End the War Now – UN Chief Weapons Inspector Scott Ritter – 2 MINUTES LINK

2022-04-11 – Scott Ritter and the Battle of the Donbas (with Gonzolo Lira) – 1hr 17min
LINK

2022-04-08 – SCOTT RITTER with Gerald Celente – Ukraine War Could Have Been Avoided but Here’s Why Putin Will Achieve His Goals – 54 min
LINK

Col. Douglas Macgregor on Tucker Carlson – The American Military is in Trouble: MacGregor – 4 min
LINK

Col. Richard Black – After serving 31 years in the Marines and in the Army, Black then served in the Virginia House of Delegates from 1998 to 2006, and in the Virginia Senate from 2012 to 2020. U.S. Leading World to Nuclear War – 1hr 10 min
LINK

LIVE COVERAGE FROM UKRAINE

Gonzalo Lira – A Chilean citizen married to a Ukrainian who’s been reporting live from Kyiv and Karkhiv since the beginning of the war.

Gonzalo started live reporting from his hotel in Kiev at the beginning of the invasion. He relocated to Kharkiv after the first week. This is his original channel, with videos from March 3 to April 12 (his videos from Feb 26 to March 1 have been deleted).
LINK

Gonzolo Lira II – After being detained by Ukrainian secret police, he lost access to his original channel. He’s now posting short daily updates here:
LINK

INDEPENDENT JOURNALISTS

Alex Christoforou – Daily updates and news commentary from Athens, Greece
LINK

The Duran – Panel discussions of current events with Alexander Mercouris, Alex Christoforou, and Gonzolo Lira, plus guests like Scott Ritter:
LINK

Redacted with Natali and Clayton Morris
LINK

The Dive with Jackson Hinkle
LINK

The Jimmy Dore Show
LINK

The New Atlas – Former marine Brian Berletic (aka Tony Cartalucci) reports on Eurasian geopolitics from Bangkok, Thailand.
LINNK

LIVE WARZONE COVERAGE

Patrick Lancaster – LIVE IN UKRAINE
LINK

John Mark Dougan – LIVE IN Ukraine.
LINK
LINK

Graham Phillips – LIVE IN Ukraine
E-MAIL
LINK

iEarlGrey – LIVE IN Saint Petersburg, Russia. News from the ‘other side’ from an English ExPat.
LINK

Diner in New York in 1960s

new york 1966 1967 kodachrome 09
new york 1966 1967 kodachrome 09

Update those damned spam filters (pun intended)

Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39d80cf1a 626a3e0482bb7 880
Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39d80cf1a 626a3e0482bb7 880

China ‘Deeply Alarmed’ By SpaceX’s Starlink Capabilities That Is Helping US Military Achieve Total Space Dominance

China doesn’t simple hold it’s collective dick in it’s hands. China takes action. I am sure that things are in process.

A recent commentary in the official newspaper of the Chinese armed forces suggested that the international community should be on high alert for the risks associated with the Starlink satellite internet system, as the US military could potentially use it for dominating outer space.

The commentary came one day ahead of SpaceX’s launch of the Falcon 9 rocket that took off on May 6 from Launch Complex 39A at Kennedy Space Center, carrying 53 Starlink internet satellites to the low-earth orbit (LEO).

“SpaceX has decided to increase the number of Starlink satellites from 12,000 to 42,000 – the program’s unchecked expansion and the company’s ambition to use it for military purposes should put the international community on high alert,” said the article on China Military Online, the official news website affiliated with the Central Military Commission (CMC), China’s highest national defense organization headed by President Xi Jinping himself.

Article HERE

Aussie Meat Pie Recipe – Authentic Recipe | Aussie Pie’s

This simple food dish revolutionized my ideas of what food is. Here’s how to make it.

Girls of 1960s NYC

new york 1966 1967 kodachrome 13
new york 1966 1967 kodachrome 13

Must be a german shepard

Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39d67de01 880
Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39d67de01 880

Speech by the President of Russia at the military parade

From HERE. Not reported in Western media…

Speech by the President of Russia at the military parade

Vladimir Putin: Dear citizens of Russia!

Dear veterans!

Comrade soldiers and sailors, sergeants and foremen, midshipmen and ensigns!

Comrade officers, generals and admirals!

I congratulate you on the Great Victory Day!

The defense of the Motherland, when its fate was being decided, has always been sacred. With such feelings of genuine patriotism, the militia of Minin and Pozharsky rose for the Fatherland, went on the attack on the Borodino field, fought the enemy near Moscow and Leningrad, Kyiv and Minsk, Stalingrad and Kursk, Sevastopol and Kharkov.

So now, these days you are fighting for our people in the Donbass. For the security of our Motherland - Russia.

May 9, 1945 is forever inscribed in world history as a triumph of our united Soviet people, their unity and spiritual power, an unparalleled feat at the front and in the rear.

Victory Day is near and dear to each of us. There is no family in Russia that was not scorched by the Great Patriotic War. Her memory never fades. On this day, in the endless stream of the "Immortal Regiment" - children, grandchildren and great-grandchildren of the heroes of the Great Patriotic War. They carry photographs of their relatives, fallen soldiers who have remained forever young, and veterans who have already left us.

We are proud of the unconquered, valiant generation of victors, that we are their heirs, and it is our duty to keep the memory of those who crushed Nazism, who bequeathed us to be vigilant and do everything so that the horror of a global war does not happen again.

And therefore, despite all the disagreements in international relations, Russia has always advocated the creation of a system of equal and indivisible security, a system that is vital for the entire world community.

In December last year, we proposed to conclude an agreement on security guarantees. Russia called on the West to an honest dialogue, to search for reasonable, compromise solutions, to take into account each other's interests. All in vain. The NATO countries did not want to hear us, which means that in fact they had completely different plans. And we saw it.

Openly, preparations were underway for another punitive operation in the Donbass, for an invasion of our historical lands, including Crimea. In Kyiv, they announced the possible acquisition of nuclear weapons. The NATO bloc has begun active military development of the territories adjacent to us.

Thus, a threat that is absolutely unacceptable to us was systematically created, moreover, directly at our borders. Everything indicated that a clash with neo-Nazis, Bandera, on whom the United States and their younger partners staked, would be inevitable.

I repeat, we have seen how the military infrastructure is unfolding, how hundreds of foreign advisers began to work, there were regular deliveries of the most modern weapons from NATO countries. The danger grew every day.

Russia gave a preemptive rebuff to aggression. It was a forced, timely and only right decision. The decision of a sovereign, strong, independent country.

The United States of America, especially after the collapse of the Soviet Union, started talking about its exclusivity, thereby humiliating not only the whole world, but also its satellites, who have to pretend that they do not notice anything and meekly swallow it all.

But we are a different country. Russia has a different character. We will never give up love for the Motherland, faith and traditional values, the customs of our ancestors, respect for all peoples and cultures.

And in the West, these thousand-year-old values, apparently, have decided to cancel. Such moral degradation became the basis for cynical falsifications of the history of the Second World War, inciting Russophobia, praising traitors, mocking the memory of their victims, erasing the courage of those who won and suffered the Victory.

We know that American veterans who wanted to come to the parade in Moscow were effectively banned from doing so. But I want them to know that we are proud of your exploits, your contribution to the common Victory.

We honor all the soldiers of the allied armies - Americans, British, French - participants in the Resistance, brave soldiers and partisans of China - all who defeated Nazism and militarism.

Dear comrades!

Today, the militiamen of Donbass, together with the fighters of the Russian Army, are fighting on their own land, where the combatants of Svyatoslav and Vladimir Monomakh, the soldiers of Rumyantsev and Potemkin, Suvorov and Brusilov, fought the enemy, where the heroes of the Great Patriotic War - Nikolai Vatutin, Sidor Kovpak, Lyudmila Pavlichenko fought to the death.

I am now addressing our Armed Forces and the Donbass militia. You are fighting for the Motherland, for its future, so that no one forgets the lessons of the Second World War. So that there is no place in the world for executioners, punishers and Nazis.

Today we bow our heads before the blessed memory of all whose lives were taken by the Great Patriotic War, before the memory of sons, daughters, fathers, mothers, grandfathers, husbands, wives, brothers, sisters, relatives, friends.

We bow our heads before the memory of the martyrs of Odessa, who were burned alive in the House of Trade Unions in May 2014. Before the memory of the elderly, women and children of Donbass, civilians who died from ruthless shelling, barbaric strikes of neo-Nazis. We bow our heads before our comrades-in-arms, who died the death of the brave in a righteous battle - for Russia.

A moment of silence is announced.

(Moment of silence.)




The death of each of our soldiers and officers is a grief for all of us and an irreparable loss for relatives and friends. The state, regions, enterprises, public organizations will do everything to provide such families with care and help them. We will give special support to the children of the dead and wounded comrades. The Presidential Decree on this was signed today.

I wish the wounded soldiers and officers a speedy recovery. And I thank the doctors, paramedics, nurses, medical staff of military hospitals for their selfless work. A low bow to you for fighting for every life - often under fire, on the front line, not sparing yourself.

Dear comrades!

Now here, on Red Square, soldiers and officers from many regions of our vast Motherland stand shoulder to shoulder, including those who arrived directly from the Donbass, directly from the combat zone.

We remember how Russia's enemies tried to use bands of international terrorists against us, tried to sow national and religious enmity in order to weaken and split us from within. Nothing succeeded.

Today, our fighters of different nationalities are together in battle, covering each other from bullets and shrapnel like brothers.

And this is the strength of Russia, the great, indestructible strength of our united multinational people.

Today you are defending what your fathers and grandfathers, great-grandfathers fought for. For them, the highest meaning of life has always been the well-being and security of the Motherland. And for us, their heirs, devotion to the Fatherland is the main value, a reliable support for the independence of Russia.

Those who crushed Nazism during the Great Patriotic War showed us an example of heroism for all time. This generation of winners, and we will always look up to them.

Glory to our valiant Armed Forces!

For Russia! For victory!

Hooray!

A victim of the telephone

oprisco 13423540 961304323968683 1632288027 n
oprisco 13423540 961304323968683 1632288027 n

A Misty English Wood

1 31
1 31

Beef Pasties (Esfiha fechada)

This is the Brazilian version of “meat pies”. OMG! Unique taste. Nice size. Yum! This recipe doesn’t seem to be anything more than a basic meat pie… plain. You can consider this to be the basics for future meat pie exploations. And this version is very compatable with Western diets; read “American tastes”.

Anyone doing this in 2015…

Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39ba8e81b 880
Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39ba8e81b 880

“US watched its colonies slipping away.”

The US gains nothing from holding Europe captive but loses EVERYTHING from losing Europe. Largest EU trading partner is China. Trains run from Shanghai and Wuhan into Germany every week carrying laptops and other goods across Russia. It is far faster than by ship.

That is now halted.

That is the whole purpose of the Ukraine War. Now China intends to use Mariupol as a port at the end of the train line and avoid Malucca Straits and Suez Canal.

Merkel signed an Investment Treaty with China during German presidency of European Council and US was incandescent under Trump. Conte as PM in Italy signed wide-ranging treaties with China including Yellow Brick Road Treaty -

US watched its colonies slipping away.

-Paul Greenwood

Rome right after world war II

10 29
10 29

The atmosphere and photographic challenge of the English forest…

2 3zzz1
2 3zzz1

Brazilian Pastel – Easy Meat Pie Recipe

But, yeah. This is even BETTER! Note the VERY UNIQUE ingredients that really KICK the flavors and uniqueness! I especially love the green olives and hard boiled eggs mixed with the ground hamburger.

The Devil made Him do it!

Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39d360d2d 880
Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39d360d2d 880

Beautiful forest

3 29
3 29

Rome after world war II

19 12
19 12

Scott Ritter

Scott Ritter has penned An open letter to the American people, as Russia celebrates its WW2 victory over the Nazis that’s part autobiographical and thus informs us of his behavior. He concludes with words that are hard for people to swallow but must be soberly faced:

"Unlike the Germans, the Russian people don’t forget.

"Sadly, I cannot say the same thing about the American people. There will be no Victory in Europe celebration in the United States this year, just as there hasn’t been for years past. We have forgotten our “Greatest Generation” and the sacrifices they made for our future. There is no American “Immortal Regiment” of family members marching proudly down the main streets of US towns and cities honoring the cause for which these young men and women served.

"We have forgotten what they even fought for....

"Instead, the United States is providing succor to the present-day adherents of Bandera, and by extension, Hitler; their hateful ideology disguised as Ukrainian nationalism. American military personnel, whose traditions are born from the heroic sacrifices made by hundreds of thousands of their fellow soldiers, sailors, and airmen who gave their lives to defeat Nazi Germany, are today providing weapons and training to Ukrainians whose bodies and banners bear the markings of Hitler’s Third Reich.

"On May 9, Russia will celebrate Victory Day, marking the 77th anniversary of the defeat of Nazi Germany. Unfortunately, the struggle against Nazi ideology continues to this day and, sadly, the United States finds itself on the wrong side of history, supporting those whom we once were sworn to defeat, while fighting against those whom we once called allies."

The Deep, Dark history documenting decades of such “succor” Ritter omits although he knows it, but I don’t condemn him for the omission as very few of those his letter addresses will be provided an opportunity to read it since Free Speech and Press are cancelled. Perhaps barflies might share his letter with those still able to appreciate its contents since standing up for your country–in my case the USA–means being very much opposed to those aiding Fascists & Nazis while destroying their own people and lying about it all every step of the way.

It’s not just Russia. It’s China as well.

They HAVEN’T forgotten.

So very stunning!

3 1 2
3 1 2

How to Make Beef Shawarma at Home

A Middle Eastern classic street food, shawarma can be made with beef, chicken, lamb or a combination, but it is all about the spice mix to give the authentic flavor.

MurderBOT

Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39cc120b6 880
Artist Makes His Characters Have Unexpected Twists And It Works Very Well 626a39cc120b6 880

The deep, dark and moist misty forest

4 29
4 29

The Stock Market Carnage That You Have Been Waiting For Is Here

A lot of people out there have been waiting for this stock market bubble to implode for a really long time.  Well, the wait is now over.  Stock prices have been falling for months, but what we have witnessed within the past couple of weeks has been absolutely breathtaking.  Trillions upon trillions of dollars worth of paper wealth has been suddenly wiped out, and many investors are panic selling in a desperate attempt to lock in profits before the market completely collapses.

On Monday, the Dow lost another 600 points, but the really big news continues to be the staggering declines for both the S&P 500 and the Nasdaq

The Dow Jones Industrial Average dropped 653.67 points to 32,245.70, or 1.99%. The S&P 500 fell 3.2% to settle at 3,991.24, while the Nasdaq Composite lost 4.29% to 11,623.25.

It has been stunning to see these sorts of numbers day after day.

One long-time market veteran actually used the word “violent” to describe what we have been witnessing…

“I’ve been in the markets for 25 years and I’ve never seen anything like this,” said Danielle DiMartino Booth, CEO and chief strategist for Quill Intelligence, a Wall Street and Federal Reserve research firm. “It’s violent not just volatile.”

Sadly, she is right on target.  Things have already gotten really crazy, but many believe that the most chaotic chapters of this market implosion are still ahead of us.

The S&P 500 just dropped below 4,000 for the first time in more than a year, and I am already seeing people talk about what will happen when it falls to 3,000.

As for the Nasdaq, it has already plummeted 27 percent from the all-time record high.

Needless to say, that puts the Nasdaq well into bear market territory.

Tech stocks were crushed once again to begin the week, with some of the biggest names leading the way

Rising rates continued to crush technology names such as Meta Platforms and Alphabet, which lost 3.7% and 2.8%, respectively. Amazon, Apple and Netflix all fell more than 5%, 3% and 4%, respectively, while Tesla and Nvidia plunged more than 9% each.

Ouch!

And check out these numbers for all of 2022 so far…

Tesla: down 25 percent

Disney: down 30 percent

Amazon: down 34 percent

Facebook: down 41 percent

Uber: down 45 percent

Snap: down 50 percent

Netflix: down 71 percent

Of course Palantir has them all beat

Palantir Technologies, which went public in September 2020 via a direct listing amid enormous hype and hoopla, has now earned a much coveted spot in my Imploded Stocks column.

Today, Palantir reported another huge loss, this time $101 million, on $446 million in revenues, bringing its total loss over the past four years, to $2.86 billion. Its revenue outlook for Q2 was below what Wall Street expected. Shares [PLTR] kathoomphed 22% so far today, and 84% from the peak in January 2021, to $7.40 a new all-time low.

Investors are starting to figure out that trendy “tech companies” that regularly lose hundreds of millions of dollars are not actually good investments.

Cryptocurrencies have been falling dramatically as well.

Bitcoin and other cryptos were hammered really hard once again on Monday, and at this point Bitcoin is down almost 55 percent from the all-time high…

Bitcoin is off nearly 55% from its November peak, and 40% of holders are now underwater on their investments, according to new data from Glassnode.

That percentage is even higher when you isolate for the short-term holders who got skin in the game in the last six months when the price of bitcoin peaked at around $69,000.

Those that bought Bitcoin low and got out in time ended up making a killing.

But those that got in at or near the top of the market and just kept holding on are going to get absolutely eviscerated.

Of course that is how the market works.  You either eat or you get eaten.

As for what is ahead, many of the experts are fearing the worst.  Here is just one example

“We expect markets to remain volatile, with risks skewed to the downside as stagflation risks continue to increase,” wrote Barclays’ Maneesh Deshpande. “While we cannot discount sharp bear market rallies, we think upside is limited.”

In the short-term, you would think that things should stabilize at some point.

The fact that the Fed recklessly raised interest rates last week really shook a lot of people up, but that wave of panic should soon subside.

The much bigger issue is the outlook for the U.S. economy moving forward.  Interestingly, even corporate media outlets are now adopting a very negative tone about what is coming…

Inflation is at a 40-year high. Stock prices are sinking. The Federal Reserve is making borrowing much costlier. And the economy actually shrank in the first three months of this year.

Is the United States at risk of enduring another recession, just two years after emerging from the last one?

Even without another unexpected “trigger event”, the U.S. economy should get progressively worse throughout the remainder of 2022.

But as I have detailed in my last couple of books, I believe that we have entered a time in history when unexpected “trigger events” will come fast and furious.

Keep a close eye on the Middle East for the rest of this year, and we will all want to carefully watch how the global food crisis develops.

When people don’t have enough food, violence tends to erupt.  We saw this during the Arab Spring of 2011, and we will undoubtedly see similar eruptions in the months ahead.

Only this time around, the food shortages that we are facing threaten to develop into a long-term phenomenon.

In all my years of writing, I have never been more concerned about what is in front of us.

We have already been hit by crisis after crisis so far in 2022, but it looks like the second half of this year is going to be even crazier than the first half.

Deep, dark and lovely

Glorious

5 26
5 26

Is Ukraine’s War Now America’s War?

.

Last week, sources leaked to The New York Times that, in Ukraine’s targeting and killing of Russian generals and the sinking of Russia’s Black Sea flagship, the Moskva, U.S. intelligence played an indispensable role.

Apparently, our intel people identified and located for the Ukrainian forces what became the targets of their deadly attacks.

Why U.S. intelligence would do this seems inexplicable.

By claiming credit for Ukraine’s most visible military successes, we diminish the achievements of that country’s own forces.

By bragging publicly that we helped engineer the killing of Russian generals and the sinking of the cruiser Moskva, we taunt Russian President Vladimir Putin. We provoke him into retaliating in kind against us, thereby raising the possibility of a wider U.S.-Russia war that could escalate into World War III.

Moreover, U.S. boasting like this plays right into Putin’s narrative that Russia is facing and fighting in Ukraine a U.S.-led alliance that is out to crush Russia.

Indeed, why are we going beyond assistance to the Ukrainians in defending themselves, into making this American’s war?

When Speaker Nancy Pelosi arrived in Poland following her visit to Kyiv, she virtually embraced the idea of the Ukraine-Russia war as now being America’s war, declaring, “America stands with Ukraine. We stand with Ukraine until victory is won.”

Accompanying Pelosi to Kyiv was a delegation of House Democrats, one of whom, Rep. Jason Crow of Colorado, echoed Pelosi in Poland:

“The United States of America is in this to win.”

Their visit followed that of Defense Secretary Lloyd Austin, who came out of Kyiv and declared the U.S. strategic goals in Ukraine’s war:

“We want to see Russia weakened to the degree that it can’t do the kind of things it has done in invading Ukraine.”

These statements by U.S. leaders reinforce Putin’s line that Russia is besieged by a U.S.-led Western alliance that fears and detests Mother Russia and wishes to see her defeated and diminished.

Our enemies in the West who seek to destroy Russia are like those we fought in the Great Patriotic War of 1941-1945, Putin now claims. And intervention in Ukraine was necessary to prevent today’s neo-Nazis from dragging Ukraine into their larger conspiracy to destroy Russia.

Consider Putin’s words of a week ago:

“The forces that have always pursued a policy of containing Russia … do not want such a huge and independent country that is too big for their ideas … They believe it endangers them simply by the fact of its existence, although this is far from reality. It is they who endanger the world.”

We are hated for who and what we are, says Putin. And our military operation is an act of legitimate self-defense against the same kind of “Nazi filth” we fought in the Great Patriotic War.

Russian Foreign Minister Sergey Lavrov describes the recent surge in heavy Western weapons shipments to Ukraine as “NATO … going to war with Russia through a proxy and arming that proxy.”

By cutting Republicans out of her delegation to Kyiv, Pelosi appears to want to make the war not only America’s war, but her party’s cause.

That seems to be a motive as well behind Biden’s consciously exceeding any Western leader in the language he uses on Putin, calling him a “killer,” a “murderous dictator,” a “pure thug,” a “butcher,” a “war criminal,” guilty of “genocide,” who “for God’s sake … cannot remain in power.”

Such language is designed to showcase Biden as the world’s leading anti-Putinist and the most morally outraged of all the world’s leaders at what Russia is doing in Ukraine.

But, again, like the public boasting of U.S. intel agents over our role in the sinking of the Moskva and killing of the Russian generals, the effect is to disqualify the U.S. president from any role in negotiating a truce or an end to this war.

How do we benefit from having no leader-to-leader communication with the Kremlin, which President John F. Kennedy retained in the Cuban missile crisis to end it?

NATO Europe, which is supporting the Ukrainian resistance, is not on board with the U.S. plans to cripple Russia permanently.

America needs to recognize that our objectives in this war are not the same as Ukraine’s.

President Volodymyr Zelenskyy would like to have the U.S. plunge in and fight alongside Kyiv, devastate and defeat the Russian army, and expel Russia not only from the regions invaded this year but also from Crimea, which Putin annexed in 2014 .

America’s vital interests in this war, however, are to prevent it from becoming a U.S.-Russia war or a third world war or a nuclear war.

The U.S. goal of imposing a crushing defeat of Russian aggression is secondary to our far more vital interest in avoiding a U.S.-Russia war.

America’s interests are best served by an early and negotiated peace. Such a goal rules out imposing humiliating terms on Russia, which cause Moscow and Putin to escalate militarily — to survive politically.

Patrick J. Buchanan is the author of “Nixon’s White House Wars: The Battles That Made and Broke a President and Divided America Forever.”

Sergey Glazyev: For those who still don’t understand

Source:  HERE

Machine Translation and then checked and finessed by the Saker Blog Translators

I will try to briefly explain and justify the necessary measures to achieve Victory

A special military operation (SVO) revealed a plan prepared in advance by the US power and financial elite to seize power in Russia. It includes the following components and stages.

1. Wear out the Russian armed forces in a war with well-trained and directly controlled by the Pentagon fighters of the Armed Forces of Ukraine, “stitched” by the Nazis with a vertical of officers appointed by the US and British special services. Turn the population of Ukraine into zombies infected with Russophobia. At the same time, incite the international community against Russia, making accusations of war crimes and genocide against its leadership. On this basis, confiscate Russia’s foreign currency assets and impose total sanctions against it, causing the maximum possible damage. This stage is actually completed.

2. Terrorize the Russian population with shelling of border settlements and military infrastructure, sabotage of transport, and hacker attacks. Hit the public consciousness with a flood of negative fake news and anti-government propaganda through social networks. To impose, through their agents of influence in the financial and economic authorities, an economic policy that blocks the mobilization of resources, including: inflating interest rates, continuing the export of capital, encouraging currency and financial speculation, manipulating the ruble exchange rate, and inflating prices. Thus, the sanctions can be repeatedly aggravated and provoke a collapse in production and a decline in living standards. This stage is in full swing.

3. Provoking protest moods and destructive socio-political actions aimed at overthrowing the legitimate authorities against the background of falling living standards and losses in the course of their activities. The use of the entire arsenal of methods for organizing “color revolutions” financed by the Comprador oligarchy under the promise of unfreezing assets seized in the US-European jurisdiction. At the same time, we are preparing the organizational and ideological foundations for separatist actions in the regions. This stage is under active development.

This plan also provides for the following tasks::

  • consolidation of US control over the European Union and NATO countries;
  • use of the armed forces of Poland, Romania and the Baltic states, as well as mercenaries from the West, the Middle East and the Middle East in combat operations against Russia;
  • the destruction of the male population and the actual enslavement of women and children of Ukraine for the subsequent development of this territory in the interests of the power and financial elite of the United States, Britain and Israel.

The implementation of this plan, in fact, is aimed at destroying the Russian world, followed by the American “deep state” plans to destroy Iran and block China.

Due to the objective laws of global economic development, this plan is doomed to failure. The United States will not be able to win the global hybrid war it has unleashed to maintain its global hegemony. They are irrevocably losing it to China, which is rapidly strengthening as a result of anti-Russian sanctions.

Washington, London, and Brussels played their main trump cards in an effort to inflict maximum possible damage on Russia: a monopoly on the issue of world currencies, an image of an exemplary legal democratic state, and a belief in the “sacred” right of private property. Thus, they have put all independent countries in front of the need to find new global currency instruments, risk insurance mechanisms, restore the norms of international law and create their own economic security systems.

Anti-Russian sanctions did not strengthen, but, on the contrary, undermined the global dominance of the United States and the EU, which the rest of the world began to treat with distrust and apprehension. They dramatically accelerated the transition to a new world economic order and the shift of the center of the world economy to Southeast Asia. Russia needs to stand up to the United States and NATO in its confrontation, bringing IT to its logical conclusion, so as not to be torn between them and China, which is irrevocably becoming the leader of the world economy.

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings 4

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 

“Sci-fi drink” stories by Kingsley Amis

These two unusual and very original stories [1] are examples of a rare genre invented by the brilliant author of Lucky Jim: “SF-drink”. They had me chuckling and even hooting, an enjoyable and all-too-rare experience indeed, and I dare say that they will have you doing the same!


1. The 2003 Claret (1958)
A scientific team in 1970 is anxiously awaiting the return of a member of their team who had been sent on man’s first exploratory mission into the future, to 2010 to report on the social and political situation then. But what intersts the scientific team most is the wine situation in those far-off days, and what the time-traveler has to tell them about the reversal of tastes that has occurred is quite a shock indeed.

2. The Friends of Plonk (1964)
Where people in 2145 after a terribly dsstructive atomic war try to recreate the fabled drinks of the past with no documentation at all apart from some garbled descriptions of the ceremonies surrounding the consumption of fine wines and liqueurs. With astonishing results.

 

THE 2003 CLARET (1958)

’How long to go now?’ the Director asked for the tenth time.
I compared the main laboratory chronometer with the dial on the TIOPEPE (Temporal Integrator, Ordinal Predictor and Electronic Propulsion Equipment). ’He should be taking the trance-pill in a few seconds, sir,’ I said. ’Then there’s only the two minutes for it to take effect, and we can bring him back.’
’Supposing he hasn’t taken the pill?’
’I’m sure he’d survive the time-shift even if he were fully conscious, sir. It’s instantaneous, after all.’
’I know, but being snatched back from fifty years in the future can’t do a man’s mind any good, can it? We just don’t know what we’re up against, Baker. I wish those blasted politicians had let us go slow on this project. But no, there mustn’t be any delay or the Russians will have developed time-travel before the Atlantic Powers, so we bundle Simpson off to the year 2010 and if we lose him or he turns up a raving lunatic it’s our fault.’ The Director sat moodily down on a work-bench. ’What happens if he gets tight?’
’He won’t have done that, sir. Simpson’s one of the Knights of Bordeaux. They never get drunk — isn’t it a rule of the society?’
’I believe so, yes.’ The Director cheered up a little. ’He’ll probably have a good deal to tell us, with any luck. The Douro growers are saying that last year was the best since 1945, you know, Baker. Imagine what that stuff must be like where Simpson is. Just one glass —
’Did you actually tell Simpson to sample the wines in 20I0 ?’
The Director coughed. ’Well, I did just make the suggestion to him. After all, part of our terms of reference was to report on social conditions, in addition to the political situation. And drinking habits are a pretty good guide to the social set-up, aren’t they? Find out how people treat their port and you’ve found out a lot about the kind of people they are.’
’Something in that, sir.’ I’m a beer man myself, which made me a bit of an outsider in the team. There were only the four of us in the lab that night — the VIPs and the press boys had been pushed into the Conference Room, thank heaven — and all the other three were wine-bibbers of one sort or another. The Director, as you will have gathered, was fanatical about port; Rabaiotti, my senior assistant, belonged to a big Chianti family; and Schneider, the medical chap, had written a book on hock. Simpson was reputedly on the way to becoming a sound judge of claret, though I had sometimes wondered whether perhaps tactical considerations played their part in his choice of hobby. Anyway, I considered I was lucky to have got the job of Chief Time-Engineer, against competition that included a force-field expert who doubled as an amateur of old Madeira and an electronics king named Gilbey [2] — no relation, it turned out, but the Director couldn’t have known that at the time.
’The receiver is tuned, Dr Baker.’
’Thank you, Dr Rabaiotti. Would you like to operate the recall switch, sir?’
’Why, that’s extremely kind of you, Baker.’ The Director was shaking with excitement. ’It’s this one here, isn’t it?’ His hand brushed the trigger of a relay that would have sent Simpson shooting back to about the time of Victoria’s accession. This may have been half-deliberate: the Director often got wistful about what pre-phylloxera stuff might or might not have tasted like.
’No, this one, sir. Just press it gently down.’
The switch clicked and instantly the figure of Simpson — tallish, forty-ish, baldish — appeared in the receiver. We all gave a shout of triumph and relief. Rabaiotti killed the power. Schneider hurried forward and there was tension again. `I’d give a case of Dow 1919 to see him conscious and mentally sound,’ the Director muttered at my side.
’Everything all right so far,’ Schneider called. ’I’ve given him a shot that’ll pull him round in a minute or two.’
We lit cigarettes. ’Pity conditions wouldn’t allow of him bringing anything back,’ the Director said. ’Just think of a forty-year-old 1970 all ready to drink. But I suppose it would have cost too much any­way. Next time we must find a better way of handling the currency problem. Very risky giving him raw gold to pawn. And we’re res­tricted to a lump small enough not to arouse too much suspicion. Oh, well, he should have been able to afford a few glasses. I hope that champagne’s all right, by the way?’
’Oh, yes, I put it in the molecular-motion-retarder myself, with the setting at point-three. It’ll be nicely chilled by now.’
’Splendid. I do want the dear boy to get a decent livener inside him before he faces all those cameras and interviews. I should have preferred a dry port myself, or possibly a Bittall, but I know what the occasion demands, of course. It’s a Lambert 1952 I’ve got for him. I don’t understand these things myself, but the Director of Lunar Projectiles swears by it.’
’He’s coming round now,’ Schneider shouted, and we all pressed forward.
There was an intense silence while Simpson blinked at us, sat up and yawned. His face was absolutely impassive. Very slowly he scratched his ear. He looked like a man with a bad hangover.
’Well?’ the Director demanded eagerly. ’What did you see?’
’Everything. At least, I saw enough.’
’Had there been a war? Is there going to be a war?’
’No. Russia joined the Western Customs Union in 1993, China some time after 2000. The RAF’s due to be disbanded in a few months.’
Then everyone hurled questions at once: about flying saucers, the Royal Family, the sciences, the arts, interplanetary travel, climatic conditions in the Rheingau — all sorts of things. Simpson seemed not to hear. He just sat there with the same blank look on his face, wearily shaking his head.
’What’s the matter?’ I asked finally. ’What was wrong?’
After a moment, he said in a hollow voice, ’Better if there had been a war. In some ways. Yes. Much better.’
’What on earth do you mean?’
Simpson gave a deep sigh. Then, hesitantly, to a silent audience and with the bottle of champagne quite forgotten, he told the following story.

The landing went off perfectly. Hyde Park was the area selected, with a thousand-square-yard tolerance to prevent Simpson from materialising inside a wall or halfway into a passer-by. Nobody saw him arrive. He changed his gold into currency without difficulty, and in a few minutes was walking briskly down Piccadilly, looking into shop-windows, studying dress and behaviour, buying newspapers and magazines, and writing busily in his notebook. He had several fruitful conversations, representing himself according to plan as a native of Sydney. This brought him some commiseration, for England had just beaten Australia at Lord’s by an innings and 411 runs. Yes, everything seemed normal so far.
His political report and much of his social report were complete by six-thirty, and his thoughts started turning to drink: after all, it was a positive duty. As he strolled up Shaftesbury Avenue he began looking out for drink advertisements. The beer ones had much in common with those of 1960, but were overshadowed in prominence by those recommending wines. MOUTON ROTHSCHILD FOR POWER, BREEDING AND GRANDEUR, one said. ASK FOR OESTRICHER PFAFFENBERG – THE HOCK WITH THE CLEAN FINISH, enjoined another. MY GOLLY, MY ST GYOERGHYHEGYI FURMINT, bawled a third. Well, practical experiment would soon establish what was what. Simpson slipped quietly through the doorway of an establishment clearly devoted to drink.
The interior was surprising. If some French provincial cafe had not been gutted of decor and furnishings to get this place up, then a good job of duplication had been done. Men in neat, sombre clothing sat at the tables talking in low tones, wine-glasses and wine-bottles before them, while aproned waiters moved silently about. One of them was decanting a red wine from a bottle that was thick with dust and cobwebs, watched critically by all the nearby drinkers. Simpson crept to a seat in an unfrequented part of the room.
A waiter approached. ’What can I bring you, monsieur?’
Here it must be explained that Simpson was not quite the claret-fancier the Director thought him. He enjoyed claret all right, but he also enjoyed other French wines, and German wines, and Italian wines, and Iberian wines, and Balkan wines, and fortified wines, and spirits, and liqueurs, and apéritifs, and cocktails, and draught beer, and bottled beer, and stout, and cider, and perry— all the way down to Fernet Branca. (There were some drinks he had never drunk — arak, kava, Gumpoldskirchner Rotgipfler, methylated spirits — but they were getting fewer all the time.) Anyway, feeling dehydrated after his walk round the streets, he unreflectingly ordered a pint of bitter.
’I’m sorry, monsieur, I don’t understand. What is this bitter?’
’Bitter beer, ale; you know. Haven’t you got any?’
’Beer, monsieur?’ The waiter’s voice rose in contempt. ’Beer? I’m afraid you’re in the wrong district for that.’
Several men turned round, nudged one another and stared at Simpson, who blushed and said, ’Well. . . a glass of wine, then.’
’France, Germany, Luxembourg, Austria . . .’
Simpson tried to think. ’A claret, please. Let’s say — a nice St Emilion.’
’Château Le Couvent, Château Puyblanquet, Château Bellefore Belcier, Château Grand Corbin d’Espagne . ..’
’Oh . . . I leave it to you.’
’Bien, monsieur. And the year? Will you leave that to me too?’
’If you don’t mind.’
The waiter swept away. Conscious that all eyes were upon him, Simpson tried to sink into his chair. Before he could compose himself, a middle-aged man from a nearby table had come over and sat down next to him. ’Well, who are you?’ this man asked.
’A — a traveller. From Sydney.’
’These days that’s no excuse for not knowing your wines, friend. Some of them Rubicons and Malbecs are as firm and fully rounded as all bar the greatest Burgundies. And I found a Barossa Riesling on holiday this year that was pretty near as gay as a Kreuznacher Steinweg. You well up on the Barossas, friend?’
’No, not really, I’m afraid.’
’Thought not, somehow. Otherwise you wouldn’t stalk in here and screech out for beer. Ger, ought to be ashamed of yourself, you ought.’
’I’m awfully sorry.’
’Should hope so and all. Now, I’m an honest working man, see? I’m a DRIP, I am.’
’A drip?’
’Domestic Reactor Installation Patentee. Don’t they go in for them down under? Now you listen to me. When I come in here to meet my colleagues and crack a bottle or two after the daily round, I don’t want my palate soured by some toff yelling out about beer, especially not when we got a really elegant Gevrey Chambertin or Chambolle Musigny or something of that in front of us. It’s psychosomatic, like. Just the idea of beer’s enough to cut off some of the subtler overtones, get me?’
’I’m sorry,’ Simpson said again. ’I didn’t realise. But tell me: don’t you eat while you’re drinking these wines?’
’What, and foul up the taste-buds with fat and sauces and muck? You got a nerve even mentioning food in a place like this. We’re oenophiles in here, I’ll have you know, not a bunch of pigs. Ah, here’s your claret.’ The stranger held the glass up to the light, then sniffed it delicately. ’Right, now let’s see what you got to say about this. And get on with it.’
Simpson drank. It was the most wonderful wine he had ever known, with a strange warm after-taste that seemed to seep upwards and flood his olfactory centres. He sighed deeply. ’Superb,’ he said at last
’Come on, come on, we want more than that; you got to do better than that. Give us a spot of imagery, kind of style, a reference to art, that type of stuff.’
’It’s — I don’t know — it’s the richness of summer, all the glory of . . . of love and lyric poetry, a whole way of life, profound and . . . some great procession of — ’
‘Ah, you turn me up,’ the man said violently. ’This is a 2003 Chateau La Bouygue, reconstituted pre-phylloxera of course. Now, light and free, not rich in association but perfectly assured without any insincerity, instrumental where the ’01s are symphonic, the gentleness of a Braque rather than the bravura of a Matisse. That’s as far as you can go with it. Love and lyric poetry indeed. I never heard such slop in my life. You aren’t fit to come in here, friend. You get off out to one of the pubs with your boss-class pals, that’s where you belong.’
Simpson threw down some coins and ran, a gust of ill-natured laughter sounding in his ears. He felt like walking the streets for the two hours in 2010 that still remained to him, but a nagging curiosity emboldened him to ask to be directed to a pub.
The place he finally made his way to was on the corner of a narrow street on the edge of Soho. It was a red-brick affair like a miniature grammar school or a suburban bank. As he approached, a bus drew up and a crowd of young people got off, chattering loudly to one another in what Simpson made out as a version of the upper-class tones current in his own time. He was more or less swept in through the front door of the pub, and had no time to puzzle out the significance of a notice above the entrance, painted by hand with what seemed deliberate inelegance, and bearing the legend: CRACKED UP BY THE WALLOP AND SCOFF MOB.
He found himself in a large, ill-lighted and crowded room of which the main feature was a long counter that ran from end to end zig­zag-wise, as if to accommodate as many as possible of the tall stools that were closely packed along it. What were evidently glass sandwich cupboards stood every couple of feet along the red plastic top. A group of people, half-crowd, half-queue, was clustered round the entrance, and Simpson mingled with them. He noticed that most of the stools were occupied by persons drinking beer or some such liquid out of pint glasses and eating rolls or sandwiches. Conversa­tions were bawling away around him.
’My dear, simply nobody goes to the Crown these days. Simon and I were given fresh crisps the last time we went.’
’It doesn’t surprise me. We had some mustard that couldn’t have been more than a day old.’
’The wallop’s first-class down at the George, and as for the scoff— the bluest piece of ham you ever saw. A really memorable thrash. I’m getting the secretary of the Mob to crack them up in the next issue of the Boozer Rag.’
’Have you bagged stools, sir?’
’I beg your pardon?’
’Sorry, mate. Have you bagged, mate?’
’No, I’m afraid not. May I see the head potman?’
’I’ll get him over directly, mate.’
’Shall we start thinking about what we’re going to have? Pickled onions to start? With a glass of mild?’
’Nuts for me. Mixed and salted.’
’Right, that’s three onions, one nuts. And then I can recommend the cheese rolls. They know me here and always see that I get the three-day-old, with plenty of rind.’
After some time, Simpson obtained a stool and ordered a pint of bitter from the grubby barmaid.
’Certainly, love. A fresh barrel has just come on.’
`Oh, I’ll have mild instead, then.’
’By all means, love, if you wish for it. Your taste is your own. And what will you have in the way of scoff, love?’
’Oh, er — nothing to eat, thank you.’
`If I may say so, love, with all due respect, you might perhaps do better at the wine-bar if you don’t wish for any scoff. We have standards to maintain here, love.’
’I’m awfully sorry. What. . . scoff do you recommend?’
’Our gherkins have frequently been cracked up, love. Not a dish is sold till it’s two days old.’
’They sound delightful. One dish, please.’
’Very good, love. With cigarette-ash garnishings, of course.’
The beer came. It was horrible. The gherkins came. Simpson took no notice of them. Dazedly he watched and listened to those around him. A kind of ritual seemed to be being enacted by a group of four immediately next to him. The two couples raised their pints in concert, intoned the word ’Cheers’ in a liturgical manner, poured a few drops on to the front of their greasy pullovers, and sank their drinks in one swallow. Afterwards they all sighed loudly, wiped their mouths with their hands, banged the empty glasses down on the counter, and spoke in turn.
’Lovely drop of wallop.’
’First today.’
’I needed that.’
’Lays the dust.’
’You can’t beat a decent pint.’
’Full of goodness.’
’Keeps your insides working.’
’It’s a real drink.’
When this point was reached, all four shouted ’Let’s have another’ in unison, and were immediately served with fresh drinks and small plates of sandwiches. The bread on these was curled up at the cor­ners, revealing purple strips of meat criss-crossed with gristle. One of the men felt the texture of the bread and nodded approvingly. ’I told you this place was good,’ his friend said. Then the party got down to what was clearly the pièce de résistance, alternately biting at the sandwiches and taking pulls of beer, chewing the resulting mush with many a belch of appreciation. Simpson lowered his head into his hands. The talk went on.
’What’s the fighting like here?’
’Oh, excellent. The governor of the boozer gets it under way at ten-thirty sharp, just outside on the corner. I did hear a whisper that he’s going to allow broken bottles for the last five minutes tonight. The police should be with us by then. They’re very keen round here.’
’At the Feathers, you know, they kick off at ten-fifteen inside the bar. Don’t know whether I agree with that.’
’No. After all, it’s only the finale of the evening.’
’Absolutely. Shouldn’t make it too important.’
’Definitely not. Getting tight’s the object of the exercise.’
’Quite. By the way, who’s that fellow next to you?’
’No idea. Wine-bar type, if you ask me.’
’Hasn’t touched his gherkins. Refused fresh bitter. Shouldn’t be here at all.’
’Couldn’t agree more. I mean, look at his clothes.’
’Wonder how long since they were slept in.’
`If they ever have been.’
’Disgusting.’
’And what would you like to follow, love?’
This last was the barmaid. Simpson raised his head and gave a long yell of fury, bewilderment, horror and protest. Then he ran from the room and went on running until he was back at the point where the TIOPEPE was to pick him up. With shaking fingers he put the trance-pill into his mouth.

The Director broke the silence that followed the end of Simpson’s story. ’Well, it’s a long time ahead, anyway,’ he said with an attempt at cheerfulness.
’Is it?’ Simpson shouted. ’Do you think that sort of situation develops in a couple of weeks? It’s starting to happen already. Wine-snobbery spreading, more and more of this drinking what you ought to drink instead of what you like. Self-conscious insistence on the virtues of pubs and beer because the wrong people are beginning to drink wine. It’ll be here in our time, don’t you worry. You just wait.’
‘Ah, now, Simpson, you’re tired and overwrought. A glass of champagne will soon make you see things in a different light.’
’Slip away with me afterwards,’ I murmured. ’We’ll have a good go at the beer down in town.’
Simpson gave a long yell — much like the one, probably, he vented at the end of his visit to 2010. Springing to his feet, he rushed away down the lab to where Schneider kept the medical stores.
’What’s he up to?’ the Director puffed as we hurried in pursuit. ’Is he going to try and poison himself?’
’Not straight away, sir, I imagine.’
’How do you mean, Baker?’
’Look at that bottle he’s got hold of, sir. Can’t you see what it is?’
’But . . . I can’t believe my eyes. Surely it’s . . .’
’Yes, sir. Surgical spirit.’


 

THE FRIENDS OF PLONK (1964)

The (technical) success of Simpson’s trip to the year 2010 encouraged the authorities to have similar experiments conducted for a variety of time-objectives. Some curious and occasionally alarming pieces of information about the future came to our knowledge in this way; I’m thinking less of politics than of developments in the domain of drink.
For instance, let me take this opportunity of warning every youngster who likes any kind of draught beer and has a high life-expectancy to drink as much of the stuff as he can while he can, because they’re going to stop making it in 2016. Again, just six months ago Simpson found that, in the world of 2045, alcoholic diseases as a whole accounted for almost exactly a third of all deaths, or nearly as many as transport accidents and suicide combined. This was universally put down to the marketing, from 2039 onwards, of wines and spirits free of all the congeneric elements that cause hangovers, and yet at the same time indistinguishable from the untreated liquors even under the most searching tests — a triumph of biochemitechnology man had been teasingly on the brink of since about the time I was downing my first pints of beer.
Anyway, by a lucky accident, the authorities suddenly became anxious to know the result of the 2048 Presidential election in America, and so Simpson was able to travel to that year and bring back news, not only of the successful Rosicrucian candidate’s impending installation at the Black House, but also of the rigorous outlawing of the new drink process and everything connected with it. After one veiled reference to the matter in conversation, Simpson had considered himself lucky to escape undamaged from the bar of the Travellers’ Club.
For a time, our section’s exploration of the rather more distant future was blocked by a persistent fault in the TIOPEPE, whereby the projection circuits cut off at approximately 83.63 years in advance of time-present. Then, one day in 1974, an inspired guess of Rabaiotti’s put things right, and within a week Simpson was off to 2145. We were all there in the lab as usual to see him back safely. After Schneider had given him the usual relaxing shots, Simpson came out with some grave news. A quarrel about spy-flights over the moons of Saturn had set Wales and Mars — the two major powers in the Inner Planets at that period — at each other’s throats and precipitated a system-wide nuclear war in 2101. Half of Venus, and areas on Earth the size of Europe, had been virtually obliterated.
Rabaiotti was the first to speak when Simpson had stopped. ’Far enough off not to bother most of our great-grandchildren, anyway,’ he said.
’That’s true. But what a prospect.’
’I know,’ I said.
’Well, no use glooming, Baker,’ the Director said. ’Nothing we can do about it. We’ve got a full half-hour before the official confer­ence — tell us what’s happened to drink.’
Simpson rubbed his bald head and sighed. I noticed that his eyes were bloodshot, but then they nearly always were after one of these trips. A very conscientious alcohologist, old Simpson. ’You’re not going to like it.’
We didn’t.

Simpson’s landing in 2145 had been a fair enough success, but there had been an unaccountable error in the ground-level estimates, conducted a week earlier by means of our latest brain-child, the TIAMARIA (Temporal Inspection Apparatus and Meteorological-Astronomical-Regional-Interrelation Assessor). This had allowed him to materialise twelve feet up in the air and given him a nasty fall — on to a flower-bed, by an unearned piece of luck, but shaking him severely. What followed shook him still further.
The nuclear war had set everything back so much that the reconstructed world he found himself in was little more unfamiliar than the ones he had found on earlier, shorter-range time-trips. His official report, disturbing as it was, proved easy enough to compile, and he had a couple of hours to spare before the TIOPEPE ’s field should snatch him back to the present. He selected a restaurant within easy range of his purse — the TIAMARIA’s cameras, plus our counterfeiters in the Temporal Treasury, had taken care of the currency problem all right — found a vacant table, and asked for a drink before dinner.
’Certainly, sir,’ the waiter said. ’The Martian manatee-milk is specially good today. Or there’s a new delivery of Iapetan carnivorous-lemon juice, if you’ve a liking for the unusual. Very, uh, full- blooded, sir.’
Simpson swallowed. ’I’m sure,’ he said, ’but I was thinking of something — you know — a little stronger?’
The waiter’s manner suffered an abrupt change. ’Oh, you mean booze, do you?’ he said coldly. ’Sometimes I wonder what this town’s coming to, honest. All right, I’ll see what I can do.’
The ’booze’ arrived on a tin tray in three chunky cans arranged like equal slices of a round cake. The nearest one had the word BEAR crudely stamped on it. Simpson poured some muddy brown liquid from it into a glass. It tasted like last week’s swipes topped up with a little industrial alcohol. Then he tried the can stamped BOOJLY. (We all agreed later that this must be a corruption of ’Beaujolais’.) That was like red ink topped up with a good deal of industrial alcohol. Lastly there was BANDY. Industrial alcohol topped up with a little cold tea.
Wondering dimly if some trick of the TIOPEPE had managed to move him back into some unfrequented corner of the 1960s, Simpson became aware that a man at the next table had been watch­ing him closely. When their eyes met, the stranger came over and, with a word of apology, sat down opposite him. (It was extraordinary, Simpson was fond of remarking, how often people did just this sort of thing when he visited the future.)
’Do excuse me,’ the man said politely, ’but from your expression just now I’d guess you’re a conozer — am I right? Oh, my name’s Piotr Davies, by the way, on leave from Greenland Fruiteries. You’re not Earth-based, I take it?’
’Oh . . . no, I’m just in from Mercury. My first trip since I was a lad, in fact.’ Simpson noticed that Piotr Davies’s face was covered by a thick network of burst veins, and his nose carried the richest growth of grog-blossom Simpson had ever seen. (He avoided look­ing at the Director when he told us this.) ’Yes,’ he struggled on after giving his name, am a bit of a connoiss — conozer, I suppose. I do try to discriminate a little in my — ’
’You’ve hit it,’ Piotr Davies said excitedly. ’Discrimination. That’s it, the very word. I knew I was right about you. Discrimination. And tradition. Well, you won’t find much of either on Earth these days, I’m afraid. Nor on Mercury, from what I hear.’
’No — no, you certainly won’t.’
’We conozers are having a hard time. The Planetary War, of course. And the Aftermath.’ Davies paused, and seemed to be sizing up Simpson afresh. Then: ’Tell me, are you doing anything tonight? More or less right away?’
’Well, I have got an appointment I must keep in just under two hours, but until then I — ’
’Perfect. Let’s go.’
’But what about my dinner?’
’You won’t want any after you’ve been where I’m going to take you.’
But where are you — ?’
’Somewhere absolutely made for a conozer like you. What a bit of luck you happened to run into me. I’ll explain on the way.’
Outside, they boarded a sort of wheelless taxicab and headed into what seemed to be a prosperous quarter. Davies’s explanations were copious and complete; Simpson made full use of his supposed status as one long absent from the centre of things. It appeared that the Planetary War had destroyed every one of the vast, centralised, fully automated distilleries of strong liquors; that bacteriological warfare had put paid to many crops, including vines, barley, hops and even sugar; that the fanatical religious movements of the Aftermath, many of them with government backing, had outlawed all drink for nearly twenty years. Simpson shuddered at that news.
’And when people came to their senses,’ Davies said glumly, ’it was too late. The knowledge had died. Oh, you can’t kill a process like distillation. Too fundamental. Or fermentation, either. But the special processes, the extra ingredients, the skills, the tradition — gone for ever. Whisky — what a rich, evocative word. What can the stuff have tasted like? What little there is about it in the surviving literature gives a very poor idea. Muzzle — that was a white wine, we’re pretty sure, from Germany, about where the Great Crater is. Gin — a spirit flavoured with juniper, we know that much. There isn’t any juniper now, of course.
`So, what with one thing and another, drinking went out. Real, civilised drinking, that is — I’m not talking about that stuff they tried to give you back there. I and a few like-minded friends tried to get some of the basic information together, but to no avail. And then, quite by chance, one of us, an archaeologist, turned up a primitive two-dimensional television film that dated back almost two hundred years, giving a full description of some ancient drinks and a portrayal of the habits that went with them — all the details. The film was called ’The Down-and-Outs’, which is an archaic expression referring to people of limited prosperity, but which we immediately understood as being satirically or ironically intended in this instance. That period, you know, was very strong on satire. Anyway, the eventual result of our friend’s discovery was . . . this.’
With something of a flourish, Davies drew a pasteboard card from his pocket and passed it to Simpson. It read:

THE FRIENDS OF PLONK
Established 2139 for the drinking of
traditional liquors in traditional
dress and in traditional surroundings

Before Simpson could puzzle this out, his companion halted the taxi and a moment later was shepherding him through the portals of a large and magnificent mansion. At the far end of a thickly carpeted foyer was a steep, narrow staircase, which they descended. When they came to its foot, Davies reached into a cup­board and brought out what Simpson recognised as a trilby hat of the sort his father had used to wear, a cloth cap, a large piece of sacking and a tattered brown blanket. All four articles appeared to be covered with stains and dirt. At the same time Simpson became aware of a curious and unpleasant mixture of smells and a subdued grumbling of voices.
In silence, Davies handed him the cap and the blanket and himself donned the sacking, stole-fashion, and the trilby. Simpson followed his lead. Then Davies ushered him through a low doorway.
The room they entered was dimly lit by candles stuck into bottles, and it was a moment before Simpson could take in the scene. At first he felt pure astonishment. There was no trace here of the luxury he had glimpsed upstairs: the walls, of undressed stone, were grimy and damp, the floor was covered at random with sacks and decaying lumps of matting. A coke stove made the cellar stiflingly hot; the air swam with cigarette smoke; the atmosphere was thick and malodorous. Against one wall stood a trestle table piled with bottles and what looked like teacups. Among other items Simpson uncomprehendingly saw there were several loaves of bread, some bottles of milk, a pile of small circular tins and, off in a corner, an old-fashioned and rusty gas-cooker or its replica.
But his surprise and bewilderment turned to mild alarm when he surveyed the dozen or so men sitting about on packing-cases or broken chairs and squatting or sprawling on the floor, each wearing some sort of battered headgear and with a blanket or sack thrown round his shoulders. All of them were muttering unintelligibly, in some instances to a companion, more often just to themselves. Davies took Simpson’s arm and led him to a splintery bench near the wall.
’These blankets and so on must have been a means of asserting the essential democracy of drink,’ Davies whispered. ’Anyway, we’re near the end of the purely ritualistic part now. Our film didn’t make its full significance clear, but it was obviously a kind of self-preparation, perhaps even prayer. The rest of the proceedings will be much less formal. Ah . . .’
Two of the men had been muttering more loudly at each other and now closed physically, but their blows and struggles were symbolic, a mime, as in ballet or the Japanese theatre. Soon one of them had his adversary pinned to the floor and was raining token punches upon him. (We’re rather in the dark about this bit,’ Davies murmured. ’Perhaps an enacted reference to the ancient role of drink as a sequel to physical exertion.’) When the prostrate combatant had begun to feign unconsciousness, a loud and authoritative voice spoke.
’End of Part One.’
At once all was animation: everybody sprang up and threw off his borrowed garments, revealing himself as smartly clad in the formal dress of the era. Davies led Simpson up to the man who had made the announcement, probably a member of one of the professions and clearly the host of the occasion. His face was sprayed with broken veins to a degree that outdid Davies’s.
’Delighted you can join us,’ the host said when Simpson’s presence had been explained. ’A privilege to have an Outworlder at one of our little gatherings. Now for our Part Two. Has Piotr explained to you about the ancient film that taught us so much? Well, its second and third sections were so badly damaged as to be almost useless to us. So what’s to follow is no more than an imaginative reconstruction, I fear, but I think it can be said that we’ve interpreted the tradition with taste and reverence. Let’s begin, shall we?’
He signed to an attendant standing at the table; the man began filling the teacups with a mixture of two liquids. One came out of something like a wine-bottle and was red, the other came out of something like a medicine bottle and was almost transparent, with a faint purplish tinge. Courteously passing Simpson the first of the cups, the host said: ’Please do us the honour of initiating the proceedings.’
Simpson drank. He felt as if someone had exploded a tear-gas shell in his throat and then sprayed his gullet with curry-powder. As his own coughings and weepings subsided he was surprised to find his companions similarly afflicted in turn as they drank.
’Interesting, isn’t it?’ the host asked, wheezing and staggering. ’A fine shock to the palate. One might perhaps say that it goes beyond the merely gustatory and olfactory to the purely tactile. Hardly a sensuous experience at all – ascetic, almost abstract. An invention of genius, don’t you think?’
’What — what’s the . . . ?’
’Red Biddy, my dear fellow,’ Piotr Davies put in proudly. There was reverence in his voice when he added: ’Red wine and methylated spirits. Of course, we can’t hope to reproduce the legendary Empire Burgundy-characters that used to go into it, but our own humble Boojly isn’t a bad substitute. Its role is purely ancillary, after all.’
’We like to use a straw after the first shock.’ The host passed one to Simpson. ’I hope you approve of the teacups. A nice traditional touch, I think. And now, do make yourself comfortable. I must see to the plonk in person — one can’t afford to take risks.’
Simpson sat down near Davies on a packing-case. He realised after a few moments that it was actually carved out of a single block of wood. Then he noticed that the dampness of the walls was main­tained by tiny water-jets at intervals near the ceiling. Probably the sacks on the floor had been specially woven and then artificially aged. Pretending to suck at his straw, he said nervously to Davies: ’What exactly do you mean by plonk? In my time, people usually. . .’ He broke off, fearful of having betrayed himself, but the man of the future had noticed nothing.
`Ah, you’re in for a great experience, my dear friend, something unknown outside this room for countless decades. To our ancestors in the later twentieth century it may have been the stuff of daily life, but to us it’s a pearl beyond price, a precious fragment salvaged from the wreck of history. Watch carefully — every bit of this is authentic.’
With smarting eyes, Simpson saw his host pull the crumb from a loaf and stuff it into the mouth of an enamel jug. Then, taking a candle from a nearby bottle, he put the flame to a disc-shaped cake of brownish substance that the attendant was holding between tongs. A flame arose; liquid dropped on to the bread and began to soak through into the jug; the assembled guests clapped and cheered. Another brownish cake was treated in the same way, then another. ’Shoe-polish,’ Simpson said in a cracked voice.
’Exactly. We’re on the dark tans this evening, with just a touch of ox-blood to give body. Makes a very big, round, pugnacious drink. By the way, that’s processed bread he’s using. Wholemeal’s too permeable, we’ve found.’
Beaming, the host came over to Simpson with a half-filled cup, a breakfast cup this time. ’Down in one, my dear chap,’ he said.
They were all watching; there was nothing for it. Simpson shut his eyes and drank. This time a hundred blunt dental drills seemed to be working at once on his nose and throat and mouth. Fluid sprang from all the mucous membranes in those areas. It was like having one’s face pushed into a bath of acid. Simpson’s shoulders sagged and his eyes filmed over.
’I’d say the light tans have got more bite,’ a voice said near him. ’Especially on the gums.’
’Less of a follow-through, on the other hand.’ There was the sound of swallowing and then a muffled scream. ’Were you here for the plain-tan tasting last month? Wonderful fire and vehemence. I was blind for the next four days.’
’I still say you can’t beat a straight brown for all-round excoriation. Amazing results on the uvula and tonsils.’
’What’s wrong with black?’ This was a younger voice.
An embarrassed silence, tempered by a fit of coughing and a heartfelt moan from different parts of the circle, was ended by someone saying urbanely: ’Each to his taste, of course, and there is impact there, but I think experience shows that that sooty, oil-smoke quality is rather meretricious. Most of us find ourselves moving tanwards as we grow older.’
`Ah, good, he’s . . . yes, he’s using a tin of transparent in the next jug. Watch for the effect on the septum,’
Simpson lurched to his feet. ’I must be going,’ he muttered. ’Important engagement.’
’What, you’re not staying for the coal-gas in milk? Turns the brain to absolute jelly, you know.’
’Sorry . . . friend waiting for me.’
’Goodbye, then. Give our love to Mercury. Perhaps you’ll be able to start a circle of the Friends of Plonk on your home planet. That would be a magnificent thought.’

’Magnificent,’ the Director echoed bitterly. ’Just think of it. The idea of an atomic war’s too much to take in, but those poor devils . . . Baker, we must prepare some information for Simpson to take on his next long-range trip, something that’ll show them how to make a decent vodka or gin even if the vines have all gone.’
I was hardly listening. ’Aren’t there some queer things about that world, sir? Shoe-polish in just the same variants that we know? Wholemeal bread when the crops are supposed to have — ’
I was interrupted by a shout from the far end of the lab, where Rabaiotti had gone to check the TIAMARIA. He turned and came racing towards us, babbling at the top of his voice.
’Phase distortion, sir! Anomalous tracking on the output side! Completely new effect!’
’And the TIOPEPE’s meshed with it, isn’t it?’ Schneider said.
’Of course!’ I yelled. ’Simpson was on a different time-path, sir! An alternative probability, a parallel world. No wonder the ground-level estimate was off. This is amazing!’
’No nuclear war in our time-path — no certainty, anyway,’ the Director sang, waving his arms.
’No destruction of the vines.’
’No Friends of Plonk.’
’All the same,’ Simpson murmured to me as we strolled towards the Conference Room, ’in some ways they’re better off than we are. At least the stuff they use is genuine. Nobody’s going to doctor bloody shoe-polish to make it taste smoother or to preserve it or so that you’ll mistake it for a more expensive brand. And it can only improve, what they drink.’
’Whereas we . . .’
’Yes. That draught beer you go on about isn’t draught at all: it comes out of a giant steel bottle these days, because it’s easier that way. And do you think the Germans are the greatest chemists in the world for nothing? Ask Schneider about the 1972 Moselles. And what do you imagine all those scientists are doing in Bordeaux?’
’There’s Italy and Spain and Greece. They’ll — ’
’Not Italy any more. Ask Rabaiotti, or rather don’t. Spain and Greece’ll last longest, probably, but by 1980 you’ll have to go to Albania if you want real wine. Provided the Chinese won’t have started helping them to get the place modernised.’
’What are you going to do about it?’
’Switch to whisky. That’s still real. In fact I’m going to take a bottle home tonight. Can you lend me twenty-five quid?’

Do you want more?

You can find more articles related to this in my Fictional Story Index here…

Fictional Stories

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 


Snapshot of geopolitical events and changes

As I have discussed on my you-tube video, the United States assaults on the united Asia continues. All you need to do is see the big picture, and look at the overviews. It’s really crystal clear.

  • The United States provoked a Russian military action in Ukraine.
  • The United States failed at a color revolution in Pakastan.
  • The United States failed at a color revolution in Kazakhstan.
  • The United States is provoking a Chinese military action in Taiwan.
  • The United States failed at a color revolution in Belarus.

This article collects a host of loose-end articles associated with this particular time event sequence. Just hop on in and wander around. I hope that you find one or two things of interest.

Updated on

Finland has seized more than 42 million euros ($46 million) worth of art en route to Russia under European Union sanctions after President Vladimir Putin’s invasion of Ukraine.

The art was being returned from museums in Italy and Japan where the works had been on loan, the Finnish Customs told reporters in Helsinki on Wednesday. They arrived in three shipments and were taken into custody at the Finnish-Russian border on April 2 and 3.

Article

.

Glorious

6233227a983b7 HqjxzpN 700
6233227a983b7

The World need to up it’s game

This is from London. Seriously, let the rest of the world be STS, you must be the STO Rufus.

People Acting Like Jerks 3
People Acting Like Jerks

Pretty Chinese Girl

She’s a healthy lass. Robust. Fine.

video 1MB

A Lifetime Of Regret

Heads up! Don’t be like this man.

From <redacted>

I was born into a normal family. I wasn’t abused. I wasn’t dirt poor, I didn’t grow up devoid of opportunities.

Physically I am a perfectly average man, around six feet tall, normal physique. I have all my hair still, got all my teeth and everything.

You could walk past me on the street and you wouldn’t have a clue that I’m a lonely man. You wouldn’t know I’m miserable.

You wouldn’t know I’m deathly shy, because if you talked to me, I could make some small talk, but if you never approached me, I’d never dare to speak up.

For all my life I have been so terribly scared of making mistakes, that I tried desperately to avoid making any, ever. I didn’t want to make any form of social faux pas, I didn’t want to do anything I would be ashamed of remembering.

The only times I could ever let go, was when I was intoxicated… that’s when I would feel human. It’s when I go the courage to actually make jokes, be funny, be friendly, be an actual person for a moment until I sobered up.

I’ve only approached very few women in my life with romantic intentions, and when I did, I would fail inevitably.

I did not even manage to befriend any, not really anyway… I had a female friend, once, who I drifted away from. She was married and her husband was also my friend, also briefly. He was a seaman and a great guy. We had some drinks together when both of us were in our twenties.

It now seems like a lifetime away, but I remember how I envied his life… he had a wife who was an intelligent and charming young woman, he himself was a handsome, tall, robust fellow. They had a lovely young daughter who was mildly disabled intellectually due to a lack of air at birth, but who was so sweet and wonderful and ended up largely growing over her issues.

Eventually this couple, my only two friends, moved far away. After five years of working for a foreign shipping company as an officer, my friend was able to relocate to another country where he moved his wife and daughter. We tried to meet several more times. But it never materialized. Just two days ago I received the news that my only friend has died. It’s been almost twenty-five years since we last had a beer together in his backyard… I loved that man and I miss him.

That’s my entire social life of sixty-four years right there. Besides my brother and his family, there is no one I interact with anymore. Co-workers and I were always cordial at best, distant, professional but reserved.

That’s my entire life… reserved. Controlled. Never let my emotions show.

Three decades ago my friend and I, we drank and talked of the good things in life… but we never let go of our emotions. I never shed a tear. I never gave him a manly hug. I wish I did. I wish I had shed a tear. I wish I had let go of my emotions. But I never did.

As I am writing this I am realizing that I really loved my friend. He was a great man and a wonderful man and he was sweet. He was the only one, in my life, who was truly sweet… his wife didn’t treat him right. I remember my friend would come to my apartment and bring food he had made.

He would prepare fish, meat, delicious dishes. The barbeque gatherings we had in his backyard were always wonderful. I wish on that one last night we shared in the house of his in-laws, when the other guests had left and it was just the two of us sitting there… I wish I had told him, right there and then, as he told me in tears of his father’s mistreatment of him and the rejection he felt, that I loved him. Because I realize now did I did.

I married at the age of fifty. I was a virgin when I married. And perhaps underuse of equipment causes malfunction, because I was unable to have a child with her. Which is why she decided to leave me. I understand it. I was broken, truly, and she saw it. I’ve been alone for too long to be with anyone, really.

And besides, to be completely honest, I did not love her and I am pretty sure she did not love me either. She loved the idea of me. Of what I could, in theory, have offered her. A house. Family. Stability and a future. But the family part was important, too important for her to give up on. She would have resented me had she stayed with me. So she didn’t.

I never loved my wife. She left me within two years, and I have never been with another soul since. Never tried, either. The will to try has left me long ago. The only one I think I ever truly loved was my friend. But he was a married man and he moved away to Europe.

Now I just want to die in peace. But my health is decent and I am not suicidal so I will have to wait a little while longer.

When I do, I hope I will see my friend again. I find the idea of an afterlife soothing, although I am not fully sold on it, I would love it to be true, so I could actually tell him what I have always felt and never could bring myself to say.

The fact that I never did will always haunt me forever. But if I had told him and he felt it too, what good would it have been for me to end a marriage? His daughter deserved better. She is married now and has a family. She never knew. And she never will. But now you do.

Don’t do what I did. Don’t be so scared to be yourself. Don’t be so terrified to take risks. Don’t wait endlessly for life to put something good on your path, because life won’t do any such thing.

Please do not grow old and let the years pass by uneventfully. Don’t wait for golden years to arrive without effort, without risk, without ups and downs and struggles.

I tried so hard to avoid struggles out of that crippling fear and anxiety I always felt, and it got me nowhere.

Gordon if you can read this from wherever you are now, I love you and I am sorry I was such a coward.

First grade military training

China first grade. I think the kids are enjoying it. video 9MB

The world needs people who act like Rufus’s

You must make the world a better place. Don’t allow the perpetually ill, selfish and mean to be part of your reality. You ahve the power of changing things. So make it so.

People Acting Like Jerks 8
People Acting Like Jerks

Shanghai Residents Rebel As Cases Surge, Lockdown Extended ‘Indefinitely’

This article is so typical of the lies that spew out of the West regarding China. It’s so much bullshit. It’s amazing.

"To be sure, the surge in cases is partially a factor of the latest mass-testing regime, but that hasn't stopped the CCP from imposing the most draconian lockdown since Wuhan (as we explained earlier, backing down would be an intolerable capitulation for President Xi and local authorities, whose careers are now in jeopardy due to factors that are completely out of their control).

Following an unceasing torrent of scandals, including separating COVID positive children from their parents, covering up nursing home deaths and failing to address shortages of food and medicine, the population of Shanghai has reached its breaking point."

Bullshit Article

And my rebuttal to it…

MM Rebuttal

.

Pretty Chinese Girly outfit

Nice, comfortable and easy outfit. I like it. It looks fresh and clean. This video is a Chinese clothing advertisement on Douxing.

video 3MB

The Global Fertilizer Shortage Means That Far Less Food Will Be Grown All Over The Planet In 2022

From HERE.

I never imagined that I would be writing so much about fertilizer in 2022.  When I was growing up, there were only two things that I knew about fertilizer.  I knew that it helped stuff grow and I knew that it smelled bad.  But these days, experts are telling us that a global shortage of fertilizer could result in horrifying famines all over the world.  Right now, to a very large degree we are still eating food that was produced in 2021.  But by the end of the year, to a very large degree we will be eating food that was produced in 2022.  Unfortunately for all of us, it appears that a lack of fertilizer will mean that far less food is grown in 2022 than originally anticipated.

Thanks to an unprecedented explosion in energy prices, we were already facing a fertilizer crisis even before the war in Ukraine, but now that war has definitely taken things to the next level.

Under normal conditions, a great deal of the world’s fertilizer comes from either Russia, Belarus or Ukraine

A fertilizer shortage has added to growing concerns about the Ukraine war’s impact on the price and scarcity of certain basic foods.

Combined, Russia and Belarus had provided about 40% of the world’s exports of potash, according to Morgan Stanley. Russia’s exports were hit by sanctions. Further, in February, a major Belarus producer declared force majeure — a statement that it wouldn’t be able to uphold its contracts due to forces beyond its control.

Russia also exported 11% of the world’s urea, and 48% of the ammonium nitrate. Russia and Ukraine together export 28% of fertilizers made from nitrogen and phosphorous, as well as potassium, according to Morgan Stanley.

Global hunger rose significantly in both 2020 and 2021, but what we are going to be dealing with in the months ahead is going to be completely unlike anything that we have dealt with in the past.

In fact, one commodity expert that was interviewed by CNBC is extremely pessimistic about what is ahead…

“All of this is a double whammy, if not a triple whammy,” said Bart Melek, global head of commodity strategy at TD Securities. “We have geopolitical risk, higher input costs and basically shortages.”

We have never seen anything like this before.

Since the beginning of 2021, some fertilizer prices have “more than doubled”, and some fertilizer prices have more than tripled

Some fertilizers have more than doubled in price. For instance, Melek said potash traded in Vancouver was priced at about $210 per metric tons at the beginning of 2021, and it’s now valued at $565. He added that urea for delivery to the Middle East was trading at $268 per metric ton on the Chicago Board of Trade in early 2021 and was valued at $887.50 on Tuesday.

And in some parts of the globe it is even worse.

In Peru, fertilizer prices have experienced an “almost fourfold” increase

The global fertilizer squeeze exacerbated by Russia’s invasion of Ukraine is imperiling rice production in Peru, where the seed is a staple for tens of millions of people.

Prices of the crop nutrient urea have surged almost fourfold amid supply scarcities, adding to cost inflation for growers, according to the Peruvian Association of Rice Producers.

That same article goes on to explain that many farmers in Peru won’t be able to afford to plant crops at all this year.

If that sounds familiar, that is because this is something that I have been warning about for months.

In particular, here in the United States it simply is not going to be profitable for many farmers to grow corn this year, because corn needs a high amount of fertilizer.

All over the world, far less fertilizer will be used in 2022, and that means that far less food will be grown.

There will be famines, and one expert is even warning that food scarcity will “touch people in the lower income distribution in North America”

“We’re talking about an erosion of food security on a scale we have not seen for a long time, and I think it will touch people in the lower income distribution in North America,” he added.

But as long as you have a decent income, you will still be able to go to the store and buy food in the months ahead.

It just might cost you a lot more.

During a recent interview with Tucker Carlson, farmer Ben Riensche warned that Americans could soon be paying a thousand dollars more a month for their groceries…

“Soaring fertilizer prices are likely to bring spiked food prices. If you’re upset that gas is up a dollar or two a gallon, wait until your grocery bill is up $1,000.00 a month, and it might not just manifest itself in terms of price. It could be quantity as well. Empty Shelf syndrome may be starting.”

Can you afford to pay $1,000 more for groceries every month?

If not, you better stock up now while prices are still relatively reasonable.

Of course there are certain things that you will not be able to stock up on because they simply aren’t there.

Shortages are intensifying all over the country, and in particular we have seen an alarming shortage of pasta begin to happen in certain stores.  The following comes from an article that was just posted on All News Pipeline

First, it was Eggs and now it’s also Pasta.

The eggs have been missing for well over a week now and yesterday morning I was surprised to see the pasta was also mostly bare. Also, some of the shelves have the old COVID trick of pushing everything together and up to the front of the shelf!

This is Sioux Fall SD!

No eggs for over a week!

Very little pasta left!

Of course the shortage of eggs is related to the shortage of pasta, because eggs are used in making pasta.

I have been trying to explain to my readers that this new bird flu pandemic is going to be a really, really big deal.  As I mentioned yesterday, 28 million chickens and turkeys are already dead in less than two months, and things are already so bad that pasta is starting to disappear from our store shelves.

If things are this crazy already, what will conditions be like six months from now?

You might want to think about that.

I have been trying to sound the alarm about a coming global famine for years, and now it is here.

Global food riots have already started, but what we have seen so far is just the tip of the iceberg.

Like I said at the top of this article, for now we are still eating food that was produced last year to a large degree.

Just wait until we get to the end of this year and beyond.

It won’t be pretty.

Unprecedented times call for unprecedented measures, and I hope that this article will give you a sense of urgency to take action.

Unfortunately, most people still assume that everything will turn out just fine somehow, and so they won’t do anything to get prepared until it is far too late.

Behaviors of Service-to-self people

They don’t care, and they make it difficult for the rest of society. It’s all me-me-me like spoiled three year old children.

People Acting Like Jerks 12
People Acting Like Jerks

Rest stop in China

This is how China does highway Rest-stops. Pretty typical. Soak it in. video 40MB

The “Doomsday Preppers” Were Right

.

For years, there was a great debate about what the future of our society would look like.  The irrational optimists kept assuring us that we would never suffer any serious consequences for decades of incredibly foolish decisions, and they kept promising that a new golden age of peace and prosperity for humanity was just around the corner.  Meanwhile, others were warning that humanity would soon be plunging into an abyss filled with endless nightmares.  Instead of a utopian new chapter in our history, we were warned that war, hunger, pestilence and relentless economic problems were on the horizon.

Prior to 2020, to a lot of people it seemed like the irrational optimists might be right after all.

Yes, there were lots of serious problems simmering in the background, but overall life seemed to be rolling along pretty good for most of the population.

But then 2020 came along, and everything started to change.

As I write this article in April 2022, war, hunger, pestilence and relentless economic problems have all materialized.  In fact, things are already so bad in Europe that rationing has now been instituted in some areas…

Russia’s invasion of Ukraine has threatened the supply of critical commodities in Europe and thrown global supply chains, which were already struggling amid COVID-19, into complete chaos.

As a result, the prices of everything from wheat to oil have soared, leading to multi-decade high inflation rates in places like Germany and Spain. The supply crunch in Europe is now so bad it’s causing governments to begin laying the groundwork for rationing, with some stores already limiting supplies.

This isn’t Africa that we are talking about.

If rationing is already taking place in Europe, how bad is it going to be for the poorer nations in the months ahead?

Well, UN Secretary-General António Guterres is telling us that “the world’s most vulnerable people and countries” are heading into a “hurricane of hunger”

UN Secretary-General António Guterres warned urgently of the global consequences of the war as early as mid-March. The breadbasket is being bombed and a “hurricane of hunger” is threatening, he stated. Given Ukraine’s great importance as a food exporter, the invasion was “also an attack on the world’s most vulnerable people and countries.”

Sadly, he is not exaggerating one bit.

As I discussed yesterday, at this point even Joe Biden is admitting that the coming food shortages are “going to be real”.

But even though global leaders are openly telling us that things are going to get really bad, most people still don’t seem very alarmed.

This greatly frustrates me, because this is not a false alarm.

There are 45 different nations that normally get “at least one-third of their wheat from Ukraine or Russia”

The world’s 45 least developed countries import at least one-third of their wheat from Ukraine or Russia, and 18 countries among them import more than 50 percent. These include Egypt, Democratic Republic of the Congo, Libya, Somalia, Sudan and Yemen. These are all countries that are already dependent on humanitarian aid and food supplies because millions of people are currently suffering from massive hunger.

How are all of those countries supposed to feed their people without that wheat?

I keep asking that question, and not a single person has been able to answer it.

Just look at the crisis that has erupted in Lebanon.  They normally get approximately 75 percent of their wheat from either Russia or Ukraine, and so far they have been unable to procure supplies from alternate sources…

Lebanon, which obtains 75 percent of its wheat from Russia and especially Ukraine, is also desperately seeking other wheat exporters, but so far without success. The government turned to the international community with a call for help. There are now fears of rationing and sharp price increases, which will hit the already hard-pressed population hard.

Meanwhile, the global bird flu plague just continues to intensify.

Here in the United States, the total death toll is now just short of 28 million

The new cases mean that across the nation, farmers have had to kill about 22 million egg-laying chickens, 1.8 million broiler chickens, 1.9 million pullet and other commercial chickens, and 1.9 million turkeys.

It has taken less than two months to go from the first confirmed case in the U.S. to nearly 28 million dead.

So what will the death toll look like six months from now?

And can you imagine what this will do to food prices?

It is being reported that the price of a dozen eggs has already risen 52 percent since the start of this new pandemic…

Egg prices are skyrocketing as a bird-flu outbreak ravages commercial chicken flocks in the U.S., with the price of a dozen large eggs spiking more than 52% in just under two months.

For much more on this crisis, please see the article that I posted yesterday entitled “20 Facts About The Emerging Global Food Shortage That Should Chill You To The Core”.  I wish that I had sufficient words to properly convey the urgency that we should all be feeling in this hour.  We are heading into a complete and total nightmare, and I wish that I could get more people to understand this.

Mike Adams is sounding the alarm too.  The following comes from an article that was published a few days ago in the Epoch Times

Food scarcity. Food vouchers. Food riots and flash mobs.

All of that’s coming—and soon, says Texas-based food scientist and “Health Ranger” podcaster Mike Adams, who sees dire events unfolding in America in the short term.

His advice: people need to get prepared now.

Of course he is right on target.

In fact, I have specifically been warning for years that all of these things were coming.

At this point, it is clear that the “great debate” is over.

The irrational optimists were wrong.  There will be no golden new era of peace and prosperity for humanity.

Instead, we have entered a “perfect storm” of pain, suffering and horror.

For many years, society laughed at the “doomsday preppers”, but they were right.

And if you plan to make it through the extremely chaotic times that are coming, I would recommend that you become a “doomsday prepper” too.

Pretty Chinese Girl

Playing Majong with her son. It’s very popular in China. video 1MB

Waynes World Window shade

Fans of the movie rejoyce! Party On!

awesome photos 13
awesome photo

Here Is How The Pentagon Comes Up With Code Words And Secret Project Nicknames

By Tim McMillan August 9, 2019 HERE

If there’s one place one can find plenty of nicknames, it’s within the sprawling landscape of the armed forces. When it comes to the greater civilian world, there’s no historical precedent or agreed upon social norm for how someone or something gains a substitute informal title. However, given the Department of Defense’s fondness of rigid structure, it should be no surprise that when it comes to nicknames, there’s a policy for them, too.

Meet NICKA

Prior to 1975, names for military operations and projects were exclusively chosen at the behest of military commanders. As a result, within the annals of American military history one can find a diverse range of interesting titles from Operation Killer—a major 1951 counter-offensive during the Korean War—to Operation Beaver Cage—a U.S. Marine Corps operation that occurred during the spring of 1967 as part of the Vietnam War.

However, shortly after the close of the Vietnam War, the Joint Chiefs of Staff (JCS) decided it was time to formalize the use of code words and nicknames by unveiling the Code Word Nickname and Exercise Term System, colloquially known as NICKA.

For the Department of Defense (DoD), NICKA is both a set of policies governing the selection of defense monikers and a military-wide computer system that archives and prevents duplication of terms.

Important to note, NICKA is primarily used for Department of Defense-related endeavors. Many operations or programs emerging from within the intelligence community use their own separate naming system.

For example, the Central Intelligence Agency uses the Cryptonym system for developing code words and names. It is also worth noting that the National Security Agency (NSA), National Geospatial-Intelligence Agency (NGA), Defense Intelligence Agency (DIA), and National Reconnaissance Office (NRO) all use the NICKA system

NICKA outlines three distinctive types of monikers that can be used within the DoD:

  • Code Words
  • Nicknames
  • Exercise Terms

Code Words

In NICKA, a code word is a single word that’s assigned to any program or operational plan that’s classified confidential or higher. Each component agency in the Department of Defense are assigned blocks of code words by the Joint Staff. When needed, the NICKA computer program will randomly select and assign a code word from the originating agency’s allocated block of terms.

For example, in the lead up to the Gulf War, when the Combat Aviation Brigade of the 1st Armored Division needed a code word for their forward assembly area, the NICKA computer system pulled from one of the Army’s predetermined block designations and selected the amusingly mundane code word—LARRY.

Essentially a password for entry in an exclusive club, the preeminent role of code words is to restrict access to sensitive national security information to only those who have a need to know. Assisting security, a code word itself will be safeguarded by being classified by one of the three security classifications—confidential, secret, or top secret—based on the security level of the associated program.

Virtually anything conceivably classified, including programs, projects, locations, operations, objectives, missions, or plans, can be assigned a code word. One particular area code words can be highly prevalent is with Special Access Programs (SAP). As mentioned in The War Zone’s in-depth look at Special Access Programs, multiple components, sub-components, and projects can sprawl out from a single SAP “umbrella.” In this compartmentalized system of security, each of the different appendages of one SAP can potentially be assigned their own specific code word.

Once NICKA assigns a code word, it’s considered active. An active code word will remain unchanged for the life of a program and cannot be altered by its users. The one exception being if there’s a concern a code word had been compromised. In this instance, a new code word would be issued. Equally, in certain situations, an unclassified cover term may get applied to a program for counterintelligence purposes.

In addition to the obvious security and oversight reasons, the principal reason for a code word’s permanence relates to the significant role NICKA serves as an archive of all active and inactive code words. The system uses its database of terms to prevent any potential conflicts that could arise due to similarities or duplication of previous code words.

As a Department of Defense system, NICKA will only assign single-word code words. However, in some instances, the Pentagon may take over a project or program that originated outside of the DoD, such as from the Central Intelligence Agency (CIA), Department of Energy (DOE), commercial companies, or even foreign governments. In these instances, already assigned code words may not follow NICKA guidelines. In these occurrences, a program may be reassigned a new NICKA code word or the previous unregulated code name may be maintained.
Regardless, of whether it’s kept or not, the non-NICKA code name may still be added to the program’s database to stem off any future confusion or conflict.

For example, technically before NICKA’s time and not a DoD project, the 1960s A-12 reconnaissance plane was developed and operated under the CIA code word “OXCART”. However, Kelly Johnson and his pioneering team of engineers at Lockheed Skunk Works used the code word “ARCHANGEL” for the A-12. Furthering the spy plane’s eventual identity crisis, flight crews would nickname the A-12 “Cygnus.”

Though OXCART was the only officially assigned government code word, under NICKA, both the contract code word, “ARCHANGEL” and the A-12’s nickname “Cygnus,” would equally be archived to prevent duplicate use.

When it comes to code words. Many real-world military operations and programs, for example, “HAVE BLUE,“ “ACID GAMBIT,” “AUTUMN RETURN,” “SENIOR TREND,” or the infamous “YELLOW FRUIT,” are often reported as being the “code word” for a classified operation or program. However, per DoD and the Joint Chiefs NICKA policy, a code word always consist of just a single word. By NICKA, the above named examples would be “nicknames” and not code words.

This does not mean that multiple code words cannot apply to a certain entity. Any intelligence product that contains Top-Secret NATO information would carry the code word “COSMIC” in addition to any other applicable ones. Certain categories of sensitive activities can even involve code words that become intrinsically linked and enter common usage linked together. “TALENT,” an overarching code word for aerial intelligence-gathering assets, such as the U-2 Dragon Lady and SR-71 Blackbird spy planes, and “KEYHOLE,” which covers intelligence-gathering satellites, are no longer treated as separate from each other officially and one will routinely see documents marked “TALENT KEYHOLE,” or using the abbreviation “TK,” as a single term.

Nicknames

As we briefly mentioned, when it comes to the designation of nicknames, NICKA offers some flexibility and gives military commanders the ability to be a little more creative.

Whereas NICKA only assigns single-word code words, by policy, nicknames must be comprised of two separate words. Similar to the code word process, each DoD component agency is assigned a set of designated numerical block assignments by NICKA. In turn, the agency’s numerical block assignment will correspond to “alphabetical assignment list,” which is a range of two-letter alphabetical sets. The first word of any nickname must come from within an agency’s assigned alphabetical range.

For example, using the now obsolete and unclassified NICKA block assignments, if a program within the Defense Intelligence Agency (DIA) needed to come up with a nickname, one would start by identifying the four numerical blocks assigned to the DIA (15, 33, 51, and 76).

2022 04 07 15 25
2022 04 07 15 25

The next step would be identifying what ranges of two-letter combinations would be associated with an agency’s assigned blocks. Following along with the previous example, based on the alphabetical assignment list, the first word of a DIA program nickname would have to start with:

Block 15 – Letters DM – DR

Block 33 – Letters IA – IF

Block 51 – Letters MM-MR

Block 76 – Letters SS – SZ

2022 04 07 15 26
2022 04 07 15 26

Once the alphabetical block assignments are determined, for military commanders, the process then becomes a word-search of sorts in order to come up with an appropriate first word for a nickname that fits within the designated letter combinations. In our example, the words “DOOM,” “IDEAL,” “MOON,” or “STEREO” would all fit the criteria as being acceptable first words for a DIA nickname.

Below is a more specific set of examples straight from the U.S. Central Command’s (CENTCOM) regulation regarding NICKA:

2022 04 07 15 27
2022 04 07 15 27

When it comes to the second portion of the two-word requirement for nicknames, military planners have the unrestricted ability to get creative, provided phrases are not “improper” or “counterproductive.”

By NICKA guidelines, improper nicknames would be terms that are:

  • Inconsistent with traditional American ideals or current foreign policy.
  • Offensive to good taste or derogatory to a particular group, sect, or creed.
  • Offensive to U.S. allies or other free world nations.

Additionally, NICKA forbids nicknames from being:

  • Any two-word combination voice call sign found in the Joint Army Navy Air Force Publication Call Sign Book (JANAP-119).
  • Include the words, “Project, Exercise, or Operation.”
  • Words that may be used correctly either as a single word or as two words, such as “moonlight.”
  • Exotic words, trite expressions or well-known commercial trademarks.

By military standards—where one can often find rules for rules—NICKA guidelines on nicknames are fairly limited and debatably common sense. Thanks to the tempered flexibility NICKA gives to nicknames, the system still affords for some bellicose poetry like “Beast Master”—a 2006 Army operation to clear the Baghdad suburb of Ghazaliya—an area itself (unofficially) nicknamed “IED Alley East,” or “Viking Snatch”—a 2007 counterinsurgency operation in Iraq. Still, especially at lower levels of command and for short-duration operations, one still often sees nicknames that do not comply with NICKA, including ones with single words.

2022 04 07 15 28
2022 04 07 15 28

In contrast to code words, nicknames, including their descriptions, meanings, and relationship, are also, by policy, supposed to remain unclassified, though the branches of the U.S. military still routinely classify them on the ground of national security. In addition, NICKA guidelines stipulate nicknames are not required, but can be assigned to actual real-world events, projects, or activities. One caveat to “not required” being with Special Access Programs, which are required to have an unclassified nickname assigned to them.

Exercise Terms

Rounding out NICKA’s trifecta of officially sanctioned phrases, are exercise terms. As the name implies, exercise terms are monikers assigned to tests, drills, or exercises, which are assigned for the purpose of emphasizing the event is not an actual real-world operation. That said, the military has a bad habit of not sticking to DoD rules when it comes to publicizing or describing training exercises, often describing them as “operations.”

For example, “Llama Fury” was a week-long Explosive Ordnance Disposal (EOD) training exercise at Seymour Johnson Air Force Base in late summer of 2015. By NICKA policies, Llama Fury should have carried the “exercise” moniker. However, in press releases, multiple Air Force Public Affairs Offices described the event as “Operation Llama Fury.”

Though technically their own classification, exercise terms are more or less an extension of NICKA nicknames, with their selection and regulation falling under the same agency assigned alphabetical block system as the nicknaming process.

Since some military training evolutions are regularly repeated, certain specific exercises will carry the same name with an added numerical postfix indicating the month or year the event occurs. For example, held annually from 2006 to 2018, some of the largest U.S. military war games ever performed in the Pacific Ocean were all conducted under the exercise term “Valiant Shield.” Since this training event was repeated for twelve-years, a four-digit identifier for the year training maneuvers were performed would accompany the exercise term, producing “Exercise Valiant Shield 2017,” and so on and so forth.

For exercises that occur multiple times in a fiscal year, like “Swift Response,” a large training event between the U.S. and its European allies, the second iteration of the exercise in 2017 would be called “Swift Response 17-2.”

Least ambiguous of NICKA’s trio, code words play an essential role safeguarding extremely sensitive secrets. However, when it comes to nicknames and exercise terms, this aspect of NICKA is arguably more significant.

For example, “Enduring Freedom,” (the U.S. Global War on Terrorism, though often applied solely to operations in Afghanistan), “Iraqi Freedom,” (the 2003 invasion of Iraq and subsequent occupation), or “Inherent Resolve,” (the U.S. military intervention in Iraq and Syria to combat ISIS), are not merely nicknames, these phrases are meant to inspire and express the overarching intent of military involvement.

More than just iconic inscriptions on military ribbons, medals, and service records, the perceptions associated with a few major military nicknames or terms become ingrained in the public’s collective conscious and often end up being enduring aspects of American history.

So, there you have it. All those cool-sounding program nicknames, secretive code words, and intense sounding military exercises you probably heard of over the years, all likely came from a highly structured, yet obscure Department of Defense system puzzlingly named NICKA.

Rufus police rescues a little baby

He helps those in need like a real Rufus. video 3MB

Perception vs. Reality

.

If you only get your news from the mainstream media, you would be tempted to believe that global conditions are relatively stable right now.  Yes, there is a war between Russia and Ukraine, but the mainstream media is assuring us that Ukraine is winning that war.  Other than that, the mainstream media seems to think that everything is just fine.  Of course the truth is that our planet is facing a whole host of extremely challenging problems at the moment.  The UN has warned that we are entering the worst global food crisis since World War II, inflation has started to spiral out of control all over the world, the war in Ukraine is making our supply chain nightmares even worse and an absolutely horrifying bird flu plague is killing millions upon millions of chickens and turkeys.

But if you flip on one of the corporate news channels tonight, they will be focusing on other things.

And you probably won’t even hear them talk about the food riots that have suddenly begun erupting around the world at all.

For example, a “curfew” has just been imposed on the capital of Peru after a series of extremely passionate protests that were sparked by rapidly rising fuel and food prices…

Peruvian President Pedro Castillo announced a curfew for Tuesday in the capital Lima and neighboring port city Callao, after demonstrations across the country over fuel prices caused roadblocks and “acts of violence”.

Protests had erupted across Peru in recent days due to a hike in fuel prices and tolls, during a time of rising food prices.

Is this the first time that you have heard about this?

For many of you it will be, and that is because the mainstream media in the U.S. is largely ignoring this.

In Sri Lanka, severe shortages of “food, medicine and fuel” have caused a full-blown economic collapse and tremendous chaos in the streets…

In Sri Lanka, where an economic crisis is growing, more than 40 lawmakers walked out of the ruling coalition today. That leaves the government of President Gotabaya Rajapaksa in the minority in Parliament. There have been new calls today for both the president and prime minister to step down after the entire Cabinet resigned on Sunday. Shortages of food, medicine and fuel have sparked countrywide protests, and security forces have fired tear gas and water cannons at protesters marching on the president’s home.

Most of you have probably not heard about that either, and that is because our largest news outlets are being really quiet about it.

But USA Today wants to make sure that you know about a new promotion that McDonald’s is running: “McDonald’s brings back Spicy Chicken McNuggets to select restaurants for a limited time”.

More than ever before, our perception of the world around us is shaped by the corporate elite.  Americans get more than 90 percent of the “television news” that they consume from just five giant media corporations, and so that gives those corporations an incredible amount of influence over how our society views reality.

For example, far more Americans are talking about “the slap” at the Academy Awards than about the fact that North Korea just threatened South Korea with nuclear war

North Korea opposes war but would use nuclear weapons if South Korea attacked, Kim Yo Jong, the powerful sister of leader Kim Jong Un, said on Tuesday, in a warning that analysts said is probably aimed at the South’s incoming conservative president.

Kim Yo Jong, a senior official in the government and ruling party, said it was a “very big mistake” for South Korea’s minister of defence to make recent remarks discussing attacks on the North, state news agency KCNA reported.

The war in Ukraine is not going to be the last war that erupts.  I believe that China is very strongly considering an invasion of Taiwan in the not too distant future, and a major war between Israel and Iran could literally start at any time.

But instead of alarming the American people about such things, CNN wants you to know that Coke has a brand new flavor: “Coke’s latest flavor is here. And it’s a weird one”.

I suppose that we should be thankful to CNN, because I probably never would have heard about that new flavor unless they ran that story.

Meanwhile, the number of poultry flocks in Minnesota that have been hit by the new bird flu pandemic just doubled

The Minnesota Board of Animal Health on Tuesday reported the latest outbreak of highly pathogenic avian influenza in the state is now affecting a total of 15 poultry flocks — up from seven last Friday.

Minnesota is the number one state for turkey production, and so this is a really big deal.

Overall, the national death toll just continues to climb.  The first case at a commercial facility in the United States was confirmed less than two months ago, and now the death toll has risen to nearly 28 million

The new cases mean that across the nation, farmers have had to kill about 22 million egg-laying chickens, 1.8 million broiler chickens, 1.9 million pullet and other commercial chickens, and 1.9 million turkeys.

Will MSNBC lead with this story tonight?

Of course not.

But I did find the following story on MSNBC’s homepage earlier today: “Garlic cloves up your nose? What to know about the health trends taking TikTok by storm”.

What a bunch of nonsense.

I am so grateful for the alternative media, because they often cover stories that the mainstream media never talks about.

For example, our friends at Zero Hedge have informed us that the price of jet fuel in New York has risen “more than 162% since mid-March”

Wholesale jet fuel prices in New York have risen more than 162% since mid-March, as buyers at some of the world’s busiest airports, located on the US East Coast, anticipate dwindling supplies as Western sanctions shun Russian energy exports.

On Monday, jet fuel prices jumped 93 cents to $7.61 a gallon, a new record high, according to Bloomberg data going back to 1988.

That is crazy.

We are seeing so much inflation all throughout the system right now.  A few hours ago, I came across a post by a supermarket employee on a very popular Internet forum that really got my attention.  According to this employee, workers at this particular store were given 52 pages of price changes just this week…

Tyson Chicken strip jumped up $3
Eggs went up to $3.50 they were 2.25
32 pack of water went to $5.50 originally 3.75
There was 35 pages of price changes on the dry side and 17 pages in freezer and cooler they are planning to have that many pages or more next week also

A trip to the grocery store is going to become very, very painful in the months ahead.

But just be thankful that you don’t live in one of the poorest countries on the planet.

At this point, even Vladimir Putin is telling us that the food shortages that we are now witnessing are going to get even worse

Putin said higher energy prices and fertilizer shortages would mean Western nations would have to print more money to buy supplies, which would cause food shortages in poorer countries.

They will inevitably exacerbate food shortages in the poorest regions of the world, spur new waves of migration, and, in general, drive food prices even higher,” Putin said in a meeting on developing food production, Reuters reported.

A full-blown global meltdown has now begun, and it is going to go to an entirely new level in the months ahead.

But the mainstream media will try to distract you with stories about Will Smith, Kourtney Kardashian and other celebrities for as long as they can.

Personally, I don’t really care that Kourtney Kardashian just married Travis Barker in Las Vegas.  What I do care about is the fact that our society is coming apart at the seams all around us.

The news that you get from the corporate media has been carefully designed to promote certain narratives, and these days much of it is wildly inaccurate.

But most of the population will continue to blindly believe whatever they are told to believe by our “professional journalists”, and that is extremely unfortunate.

Video in front of MM office

This is what it is like on the road right in front of my office.  video 14MB

Confessions of a Woman Who Suffers From Dissociative Identity Disorder (Multiple Personality)

When did you first learn or suspect that you had DID?

The first signs that something was wrong were that she was losing track of big chunks of time, people were telling her that she had said or done things that she couldn’t remember doing, people she didn’t know were acting like they knew her, and she was finding journals, poetry, and art that she didn’t recognize.

The first signs that something was wrong were that she was losing track of big chunks of time, people were telling her that she had said or done things that she couldn’t remember doing, people she didn’t know were acting like they knew her, and she was finding journals, poetry, and art that she didn’t recognize.

What causes someone to have DID?

DID is a trauma-based disorder.

The most popular explanation for the etiology of DID is that when a child experiences truly horrific trauma, they invent other identities to cope with that trauma. The child essentially says to themselves, “That didn’t happen to me. That happened to another little girl. It wasn’t me.”

Dissociation during traumatic events is fairly common. You’ll hear survivors of car crashes say that it all felt surreal, like it was in slow motion, like they remember it as if they were detached from their body or viewing it from a detached perspective. Now imagine being in a car crash over and over, every single day. If you enter that detached state over and over again at a young age when your sense of self and your concept of identity is being formed, you develop a fragmented sense of self. Being a child, you give names to those fragments. Over time, the fragments develop their own sense of self.

Have you been diagnosed by a professional? What was that process like? 

I feel really fortunate that the diagnosis process for us was shorter than most. DID is a very stigmatized disorder so it can be a slow process for most people.

At 14 we were referred to therapy because of problems at school. Our initial diagnosis was PTSD, but our therapist quickly began to suspect a dissociative disorder. Because of our young age, she chose to formally diagnose us with Dissociative Disorder Not Otherwise Specified rather than DID. She wanted to take a “wait and see” approach to diagnosis. Unfortunately, we weren’t able to continue therapy with her for long because we lost our insurance.

In college we were formally diagnosed, but by that point it was not a surprise at all. By then, we were very aware of each other and had been working on improving our communication and working together.

How do you feel about the fact that you have DID?

I guess acceptance is the best way to describe it. I don’t know anything else, so this is normal for me.

How many alters do you have? Are you comfortable describing them or any of their traits? How are they different from you?

I’ll start with myself. My name is Quin. I am not the original identity, but I think I have been around the longest. I currently do most of the fronting. I keep everyone organized and try to keep this system running smoothly.

Morgan is our original identity. Until we moved away from our family of origin, she was the one fronting most of the time. Ever since we moved away, she stopped fronting. Right now we don’t know if that’s a temporary thing or if it’s permanent, but it seems like the best decision for everyone.

Emma is a childlike alter who will tell you that she is four years old. She likes to play with toys and play Facebook games like Candy Crush.

Hailey is our other childlike alter. We think that she is emotionally about eight. She likes to watch Disney movies, but also likes to watch upsetting TV shows that are way too mature for her.

Storm has the emotional maturity of a teenager. I have previously joked about her being a little edgelord with a name to match, but that’s a bit mean. I honestly don’t know what she’s into at the moment.

Caden is a little ball of sunshine, according to one of our friends. I don’t actually know how old Caden is? He gets along with everyone. He’s silly and friendly and impossible to dislike, even when he’s being a bit of a jerk. I think he does it so that he can get away with doing whatever he wants to do.

Zoe is creative and smart. I’ve previously said she wasn’t very friendly, but that’s not very accurate. She’s not very friendly to me and she’s not very trusting, but she’s actually very social and more interested in socializing than I am. Zoe is very emotional and a little hot-headed.

Hannah is one of the most mature alters in our group. For a long time I couldn’t get a read on her and I didn’t know what was going on with her. She kept herself closed off from me for some reason, but I’ve gotten to know her more recently. She holds a lot of our memories and seems to be trying to figure out what to do with them. When she fronts, she takes care of lots of self-care type tasks and household things. She seems kind of like the mom of the group.

Carrie is an alter that I know exists, but I haven’t interacted with in a long time. I don’t really know much about her.

Arlo is one of our newest alters. They still haven’t told us if they are are a boy or a girl, but maybe they aren’t either? Arlo fronts when we are overwhelmed. They like to play video games. Arlo is extremely stubborn.

We also have an unnamed alter who exists mainly to harass and persecute us, but since they don’t front, I won’t go into detail about them.

Describe your relationship with your alters.

Our relationships with each other vary quite a bit, but I think we are a lot like a family. There’s some occasional friction and tension, but everyone has the same goal. We’re all just trying to survive.

What does it feel like to switch to another alter?

I absolutely hate answering this question every time it’s asked, so I’m going to skip it.

Do you always change clothes/hair/makeup/hats when you switch?

No, that’s really more of a media thing. I think it’s done in film and tv so that the audience can tell which alter is present. In reality, it would be exhausting to run to our closet for a wardrobe change every time there was a switch.

That said, we do have some different clothing preferences. If Zoe is planning on being in control all day long, she might dress more feminine than I would normally dress. If Arlo is fronting, they are almost always wearing their favorite hoodie. But it’s not like wearing that hoodie is a for sure indication that Arlo is currently fronting.

Do you have any abilities or skills that your alters don’t, or vice versa?

Only myself and a few others are able to do our work tasks. Hannah is a better cook than most. Only Hailey knows how to play the flute. Zoe is a creative writer.

Do different alters have different physical conditions or traits (for example, different eyesight, allergies or hand preference)?

No, and others may disagree with me on this but I personally believe that this is (for the most part) a media myth. The physical body is the physical body. The only physical differences that you can have between alters are the ones that can be impacted by emotional/psychological state, like placebo and conversion disorders. It’s not like the movie Split where one alter can be diabetic when the others aren’t. However, if the body has diabetes then different alters could have different blood sugar levels because your stress levels can cause your blood sugar to go up and down.

How frequently do you experience gaps in your memory? What is that like? How do you cope with it on a daily basis?

This really depends on how well we are coping with our current life stress. When we’re doing well, memories are shared and co-consciousness is common. When the stress level rises and we’re struggling to cope, amnesia and memory gaps become more common.

Amnesia can be really frightening, especially “waking up” some place you don’t expect to be. It’s not so bad if I’m just at home and I’ve lost a few hours, but if I’m suddenly at the grocery store and the last thing I remember is being at home in bed, it’s pretty alarming.

I cope with it by trying to stick to a schedule, journaling, using notes and calendars to keep track of everything. I try to stay really organized to compensate for everything.

How do you communicate with your alters?

This sounds ridiculous, but internal communication is as simple as “thinking at” the other alters. When internal communication breaks down, we use journals and things like Google Keep to talk to each other.

Do your alters have different relationships, i.e. friendships or romantic partners? If you’re married or in a relationship, how do your alters feel about your SO?

We basically have the same friends, but we have different relationships with those friends.

All of us have a good relationship with our SO.

Are you co-conscious with any/all of your alters? What does co-consciousness feel like?

Most of us are able to experience co-consciousness with each other. Not all of us are “drift compatible” with each other, to borrow a term from Pacific Rim.

Are you aware of an internal world or inside space?

No, we have never experienced an internal world.

Have you told friends/family about your diagnosis? Why or why not?

When we were in our early 20s we were more open about our diagnosis, but we experienced some real negative consequences because of that. People tend to see us only as our diagnosis. It’s very difficult for people to understand. It’s hard to live a normal life when people know. We much prefer that people don’t know.

What do you wish everyone without DID knew or understood better about you?

It’s nothing like (most of) the media depictions. When it’s what you’ve lived with your whole life, it just feels normal.

What is the worst or most embarrassing thing to ever happen to you as the result of an alter’s actions?

I won’t embarrass myself by going into details, but it can be hard having childlike alters. It was a bigger problem when we were younger, and things are much better controlled now, but there were some embarrassing moments.

Describe a time when one of your alters saved your ass.

I don’t give her enough credit, so I’ll use this opportunity to talk about Storm. We’ve been joking lately about how Storm is a “fire alarm” that goes off when something isn’t right, but she’s kind of a shitty fire alarm because if you don’t pay attention to her fast enough she’ll just spray gasoline in the whole building and burn the whole place down (metaphorically, of course) to make sure you are really aware of the fire.

But the truth is, Storm probably has saved my ass dozens of times and she would have saved my ass dozens more if I had just listened to her more. She’s really good at knowing when situations are unsafe and knowing when something is wrong. She’s one of the few of us who is brave enough to use her voice and really scream and stand up for herself. I’m sure that at least a few of the times she’s screamed “Get the fuck away from me!” could have turned out really badly if she hadn’t.

Has an alter ever done something illegal or immoral?

Illegal? No. Immoral? Depending on your standards of morality, absolutely. We have disagreements about moral behavior all the time. Zoe constantly does things that I find unacceptable.

Have you experienced bullying, discrimination or stigma because of your DID?

When we were open about it, yes. That’s why we have chosen not to tell most people.

Does DID interfere with your ability to have a family, a career, or to achieve the kind of life you want?

This isn’t the feel good answer people probably want, but yes.

We are childfree mostly because of DID. There are alters in our system who wanted children very badly, but we felt that having children was the wrong choice for us because of our condition.

DID also interfered with our education throughout high school and college. We were able to finish our undergraduate degree, but ultimately it did stop us from completing our masters program and working in the field that we intended to work in.

At our current level of functioning, I don’t think we could hold down a traditional 9 to 5 job. We currently work from home and are really happy with our career, but we are lucky that this is an option for us.

I don’t know if this is the case for everyone else with DID, but we choose not to drive because of the severity of our dissociation. The risk of dissociating while driving is just too much for us, so we are reliant on other people for transportation.

What are your biggest challenges living with DID?

Honestly, it’s not the DID itself, it’s working through the underlying issues that caused the DID. Unpacking all of that trauma can be exhausting and disruptive. Just when you think you’ve found homeostasis with your system, someone finds a bunch of new baggage to unpack.

What are some of the positives that have come out of having DID?

We survived.

A cat sized couch…

2022 04 07 18 52
2022 04 07 18 52

Places where birthright Citizenship is based on land and places where it is based on blood

Very interesting.

iu80ec4rcx171
iu80ec4rcx171

Pretty Chinese Girl

Very nice desert scene. China has some very large and beautiful deserts.

video 1MB

Spandau Ballet – True (HD Remastered)

This should teleport you all to the 1980s. With all the shit going on in the world today, I think we all need a vacation eh?

Total News Blackout: US General Captured Leading Azov Nazis in Mariupol (confirmations coming in)

.

2022 04 07 19 15
2022 04 07 19 15

.

Warning: A NATO/Internet wide National Security Letter has been issued blocking all reporting of the alleged capture of an American general in Mariupol.

Our sources on the ground report that the last two helicopters trying to evacuate foreign VIPs from Mariupol were shot down this morning. They were sent on a suicide mission to collect Lt.General Coultier, who was, we are told, hiding in a huge industrial complex with some Special Forces staffers and about 30 Ukrainian Army, not Azov, soldiers. This hours old story from Tass is below.

2022 04 07 19 16
2022 04 07 19 16

From KP.Ru:

On the morning of April 5, another attempt by the Kiev regime to evacuate the leaders of the Azov nationalist battalion and “others” was thwarted near Mariupol. Two Ukrainian Mi-8 helicopters, which tried to break through to the city from the sea, were shot down from man-portable anti-aircraft missile systems, Igor Konashenkov, spokesman for the Russian Defense Ministry, said at a briefing.

Note that this is the third attempt by Kyiv to pull its war criminals from the crime scene. But it ended the same as the previous ones: the helicopters did not reach Mariupol.

Читайте на WWW.KP.RU: https://www.kp.ru/daily/27375/4568448/

Reports from our friends in the Pentagon say “something’s up” and panic has set in at the White House.

Minutes ago, the general showed up on his Linkdin account and we are told that the ranks of retirees and crisis actors (yes they exist) are being scoured for fat bald types that can be green-screened into a show and tell with Zelensky, believed to be hiding in Poland.

2022 04 07 19 19
2022 04 07 19 19

Twitter, YouTube and Facebook are busy erasing all posts tied to this, even faster than usual.  We await broader confirmation but, if Russia is holding him, they are unlikely to announce it but rather use it as a secret bargaining chip to hold over Biden’s head.

Based on this, and having seen similar issues where an Israeli general was captured in Syria (confirmed, they sent us his ID card)…and VT helped negotiate his return to his family (for millions paid to Shiite militias).

We have sources that claim Cloutier had been in and out of Syria through Turkey, working with ISIS and al Qaeda there as well and with terrorist groups in Africa.

We say “no denial can be believed…we are now in ‘new territory’”

There are numerous reports currently circulating claiming that U.S. Army Lieutenant General Roger L. Cloutier was captured by Russian forces in or near Mariupol, Ukraine, where reports claim he was assisting the Azov battalion, which is the official Nazi unit of Ukraine.

Confirmation that as early as March 7, US Lieutenant General Roger Cloutier was in Ukraine. pic.twitter.com/0eiJYrAcHZ

— TheRepublic (@_TheRepublic_) April 5, 2022

According to Wikipedia, Lt. Gen. Roger L. Cloutier:

Roger L. Cloutier Jr. is a lieutenant general in the U.S. Army and commander of Allied Land Command . He previously served as commander of the United States Army in Africa.

Allied Land Command (LANDCOM) formerly Allied Land Forces South East Europe

Oh boy. US General Roger Cloutier was most definitely involved in training Ukrainian soldiers, as this photo attests.

Two days ago, a Bulgarian news source claimed he was visiting Bulgaria — pic.twitter.com/gOMBDRgmTQ
— OldGuyOnTheMove (@old_move) April 5, 2022

(LANDSOUTHEAST) is a permanent headquarters for NATO land forces that can be appointed as needed. The commander of LANDCOM is the Alliance’s chief ground warfare advisor. When directed by the Supreme Allied Commander Europe, he provides the core of the headquarters responsible for ground operations.

The command is based at Shirinya (Buka), Izmir in Turkey.

Unconfirmed sources from #Russia Military:

The #Russian army captured the U.S Major General Roger L. Cloutier Jr. Maj. Gen. Roger L. Cloutier, the #USA Africa Command HQ Chief of Staff in the besieged Ukrainian Azov camp in Mariupol !https://t.co/7Y8Jd2qU9X

— Su-57 5th Gen Fighter (@5thSu) April 5, 2022

A letter to the Pentagon sent a few hours ago went unanswered, which, from a media perspective, is very unusual. If the message had been false, the Pentagon would have denied it immediately. They did NOT deny it. But there has been no official response from the Pentagon as of 6:10 p.m. EDT on Monday.

Links:

Lieutenant General Roger L. Cloutier Jr. assumed command of Allied Land Command in August 2020. Prior to this he served as the commander of U.S. Army Africa / Southern European Task Force. https://lc.nato.int/about-us/biographies/commander


Russian Defense Ministry: two more Mi-8s sent to evacuate Azov leaders were shot down near Mariupol

According to the department, this happened on the morning of April 5.

MOSCOW, 5 April. /TASS/. Two Ukrainian Mi-8 helicopters sent to evacuate the leaders of the Azov nationalist battalion were shot down near Mariupol on Tuesday morning.

Igor Konashenkov, official representative of the Russian Defense Ministry, told reporters about this.

“On the morning of April 5, another attempt by the Kiev regime to evacuate the leaders of the Azov nationalist battalion was thwarted in the Mariupol region. Two Ukrainian Mi-8 helicopters that tried to break through to the city from the sea were shot down from man-portable anti-aircraft missile systems,”

Collecting Uranium Glass

2022 04 07 19 04
2022 04 07 19 04

Rufus police helps girl.

No time to waste. Be the Rufus. video 12MB

Russia’s Unfriendly List

rnnx8yo2e0m81
rnnx8yo2e0m81

First Grade Roll Call

This is in China. Not in the United States. This is how China teaches it’s students. video 10MB

Rufus Cat protects the child on the porch

I really love this kitty. Good cat. video 2MB

The Dead Don’t die

I got a big kick when my home town; East Brady was mentioned in the movie as one of the sources of the zombie outbreaks. LOL.

awesome 1
awesome 1

Pretty Chinese Girl

Nice girl in a white top.

video 2MB

Breakfast sandwich

Oh my!

awesome photos 9
awesome photos 9

Demons exist

I worked at a Juvenile Detention Facility in New Mexico. The absolute scariest thing I ever saw was a young boy, 9 years old, booked in for murdering both of his parents. There was nothing there. I fail to call this thing even human. I looked into this child’s eyes and felt more fear than I ever have to this day. This was no child, it was a monster. Pure evil, condensed and given human form.

And to clarify: I have booked and looked after murder suspects before, it was nothing new. But this kid was different. Very different. He never broke any rules and always followed commands but never, ever spoke unless directly asked something. And then it was curt, short. Just to answer a question. He never cried, either. Which is highly unusual for a 9 year old kid in jail. He was eventually tried and transferred to mental facility. But I’ll never forget the kid’s eyes. It haunts me to this day.

High school track meet

1960s obviously. But that hair! Can you just imagine?

bnse3z2rhkq81
bnse3z2rhkq81

For those who want to contribute to their community

I always tell everyone to become part of their community. Volunteer. Smile. Contribute and to have a skill or a resource that they can contribute to the rest of the community when times get hard. Like being a handiman, or a medical tech. Well, how about a home craft beer beermeister?

That could be a great skill…

270817685 1034658263764632 3536859058856973969 n
Make your own home craft beer.

An image for inspiration

What is stopping you?

6rl4w6l2ifn81
6rl4w6l2ifn81

A Flock Of Seagulls – Space Age Love Song

The scenes are from one of my long-forgotten mid-1990s movies. Brings back a flood of memories. Jennifer Connelly was 20 years old in this video, and it’s been 30 years since. But, yes, she was absolutely stunning here. Falling in love with her would have been the easiest thing in the world. The Flock of Seagulls song was perfect.

Scenes are from the movie Career Opportunities (1991). At that time, she was one of the most beautiful young woman on the planet and this movie captures her innocence in a very beautiful manner. She mesmerizes with her raw charm and superb natural talent throughout the film.

Conclusions

There’s a higher than average prevalence of “ready to leave” this prison complex  than in the general human population. This need,; this desire, is very strong, but it is most especially difficult at this point of time of great change.

Nothing is guaranteed. Remember that the things that you desire are a function of your thoughts. COntrol your thoughts and you can control your reality. This is true whether you are in the physical reality or elsewhere.

Control your thoughts. You will control your life.

The world seems to be going down the drain. Seems to… Maybe so. Maybe not. But it’s all a perception. You must change that perception to achieve your goals.

So, just be good. Be calm. And maybe go to a yard sale or thrift store and find a treasure or two. It’s time, don’t you think?

2022 04 07 20 19
“The Perfect Yardsale Find $13.00 In Kerrville Tx .. Beetlejuice meets Mary Poppins”

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings 3

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

The slow slide to destiny

International relations can be likened to a game of 3D chess. 

In this great game Putin, in the finest Russian tradition, or like Mr. Spock in Star Trek, is a grand master. 

I don’t believe the chess players in the U.S. State Department, such as Victoria Nuland (wife of the Robert Kagan mentioned above) and the other neocons who are at the helm, are quite at Putin’s level, but they had the great advantage of being able to make a series of aggressive moves (e.g., the series of NATO expansions and the 2014 Ukraine coup) before Putin was able (i.e., was strong enough) to make a counterplay in response. 

By comparison, the general run of media journalists, commentators and even supposed analysts are tiddlywinks players. 

-UNZ "Russia is back"

Ok, the peak of the event sequences for this phase of “bumpiness” has passed. We are an a momentary adjustment period. See? It wasn’t all that bad. just your fears screeching towards you, eh? Well, you will be fine. Just stay calm.

Let’s go this array of odds and ends at this particular point in time.

Spaceballs – They’ve gone into plaid

We start with a funny clip of a parody of Star Wars known as “Spaceballs”. It’s a Mel Brooks classic. I hope to remind everyone not to get too serious. It’s really cramping our happiness, don’t you know.

Cool find

2022 04 13 19 46
2022 04 13 19 46

US aircraft carrier & strike group to enter East Sea as ‘show of force’

North Korean news agency Yonhap quoted on Monday sources as saying that US nuclear-powered aircraft carrier USS Abraham Lincoln will access the international waters of the East Sea this week as a "show of force" movement to fend off any possible North Korean provocations. 

 The aircraft carrier along with a strike group will stay in the sea for about 5 days, Yonhap reported, adding that it will be the first incident of its kind since November 2017.  

The news agency quoted the spokesman for US Forces Korea, Lee Peters, as saying that "as a matter of operational security," he refuses to comment on the matter.

2022 04 12 21 24
2022 04 12 21 24

Article

.

A strange find

1644842972 e46hz4jday
1644842972 e46hz4jday

Now for something funny…

Father of 5-year-old pterodactyl: It’s not easy to get species-affirming care in America

Don't be too serious, folks -MM

Local man and father of a 5-year-old trans pterodactyl Bradley Mingastank is struggling to find the medical care his young dinosaur son needs, as it is very difficult to find species-affirming care in the United States.

2022 04 12 21 35
2022 04 12 21 35

“Seriously, it’s 2022, and we still haven’t figured out how to provide adequate medical care to children who think they’re extinct flying lizards,” said Mingastank. “I do my best to raise my son Madison as a pterodactyl per his wishes, which is important for his self-esteem. I only communicate to him in ear-piercing dinosaur screeches, I feed him small rodents and fish, and every once in a while I push him off the roof of the garage so he can try flying. But no other doctors seem to be willing to help him get the ultraviolet heat lamps and lizard medicine his pterodactyl body desperately needs.”

According to sources within the family, Madison first discovered his true pterodactyl identity when he put on a Halloween costume and then refused to take it off. It was then his parents knew he was a dinosaur trapped in a human child’s body.

“According to science, If someone thinks they are something, then they are automatically that thing, and the whole world must pretend they are that thing or they’ll die. That’s just proven science,” said Mingastank as he called a veterinarian to set up an appointment for his son.

UPDATE: Madison has changed his mind and has decided he is now a sea lion. His parents are currently looking for a giant aquarium to keep him in.

Dracula meets Lucy Westenra – “Dracula: Dead and Loving It”

One of my favorite scenes. Funny but sensual. I really like those old 1960s style vampires and their attractive female companions.

How To Make 1950’s good wife’s guide

I found this. It's really very dated. I found it interesting, but I do not recommend that anyone follow the guidelines. Never the less, I do think that this is good advice for either mean or women in regards to talking to strangers, friends, or family.

Don't shoot the messenger. -MM
  • Food – Dinner

    Have dinner ready. Plan ahead, even the night before, to have a delicious meal ready on time for his return. This is a way of letting him know that you have be thinking about him and are concerned about his needs. Most men are hungry when they get home and the prospect of a good meal is part of the warm welcome needed.
  • Prep

    Prepare yourself. Take 15 minutes to rest so you'll be refreshed when he arrives. Touch up your make-up, put a ribbon in your hair and be fresh-looking. He has just been with a lot of work-weary people.
  • Be interesting and happy

    Be a little gay and a little more interesting for him. His boring day may need a lift and one of your duties is to provide it.
  • No clutter

    Clear away the clutter. Make one last trip through the main part of the house just before your husband arrives. Run a dustcloth over the tables.
  • Fire

    During the cooler months of the year you should prepare and light a fire for him to unwind by. Your husband will feel he has reached a haven of rest and order, and it will give you a lift too. After all, catering to his comfort will provide you with immense personal satisfaction.
  • Peace and quiet

    Minimize all noise. At the time of his arrival, eliminate all noise of the washer, dryer or vacuum. Encourage the children to be quiet.
  • Welcoming

    Be happy to see him.
  • Smile

    Greet him with a warm smile and show sincerity in your desire to please him.
  • Listen

    Listen to him. You may have a dozen important things to tell him, but the moment of his arrival is not the time. Let him talk first - remember, his topics of conversation are more important than yours.
  • Be positive

    Don't greet him with complaints and problems.
  • No complaining

    Don't complain if he's late for dinner or even if he stays out all night. Count this as minor compared to what he might have gone through at work.
  • Comfort

    Make him comfortable. Have him lean back in a comfortable chair or lie him down in the bedroom. Have a cool or warm drink ready for him.
  • Shoes and pillow

    Arrange his pillow and offer to take off his shoes. Speak in a low, soothing and pleasant voice.
  • No questions

    Don't ask him questions about his actions or question his judgment or integrity. Remember, he is the master of the house and as such will always exercise his will with fairness and truthfulness. You have no right to question him.
    Yes, it’s dated advice.
    .
    But if you look at it closely, just about all of the advice pertains to cats and their “owners”.
    .
    And you know, both of my grandparents were happy folk and had functioning families. Perhaps they knew something that we have forgotten over the years.

A very strange find

1644842968 77wxuhdy3j
1644842968 77wxuhdy3j

Reports: Chemical Weapons Dropped in Mariupol (False Flag???)

.

Numerous reports are coming in claiming an unmanned aerial vehicle dropped some type of chemical or nerve agent against a target in Mariupol, Ukraine, killing several and injuring 100’s.

We have no OFFICIAL confirmation, but the reports are flooding-in anyway.

This could be the exact, precise, FALSE FLAG that the USA and NATO have been “warning” the Russians “might use” which could spark a formal NATO military response into Ukraine.

It was back on March 24 that NATO publicly stated a Chemical attack would prompt their intervention (Story HERE)

The headline to that story looked like this:

2022 04 12 21 54
2022 04 12 21 54

More info as it becomes available.   Check back.

UPDATE 4:59 PM EDT —

One (a single, isolated report) claims the following:

"Russian forces used a poisonous substance of unknown origin against Ukrainian military & civilians in the city of Mariupol, which was dropped from an UAV. The victims have respiratory failure and vestibulo-atactic syndrome."

Now, there are real problems with this report.

1) It does not say WHERE in Mariupol the attack allegedly took place.

The _only_ major fight zone where any type of chemical weapon would prove useful, is at Asovstal Steel Mill, where thousands of AZOV nazis are scattered through vast catacombs beneath the sprawling plant.  It is in these catacombs, where a reported Biolab exists, consisting of allegedly 8 full floors deep, all beneath the plant, and initial rumors (not confirmed) claim this lab was working on genetic-specific bioweapons in violation of treaties.

2) The decision to FLOOD those underground catacombs by using fire trucks to pump water into the air shafts, to force out the Nazis, was already publicly reported (Story HERE)

Thus, it makes no sense at all to turn around and use a chemical weapon or nerve agent against the very target they intend to flood-out.

3) NATO has been itching for an excuse to enter the war inside Ukraine, and has, on more than one occasion, said the use of chemical weapons would meet that excuse.

Interesting timing now that a Biolab has been proved to be under that steel mill, and if Russia successfully takes over that Biolab, they will find PROOF that the US and others were working on Biological weapons in violation of Treaties.  Or, worse, that they were working on race-specific bioweapons, designed to wipe out . . .  only . . . . Russians.

4) The report cites “vestibulo-atactic syndrome”

Pathogenesis

In the practice of a neuropathologist, the vestibulo-atactic syndrome is most often observed in patients with cerebral ischemia, when the brain receives insufficient oxygen because of a violation of blood flow.

The pathogenesis of this pathology includes the lack of blood flow in the vertebro-basilar system, which unites the vertebral and central (base) arteries of the brain, the violation of blood circulation in the brain stem structures, as a result of which their energy supply and connections with other parts of the central nervous system (CNS) are disrupted.

Stem brain formations are sensitive to hypoxia (oxygen starvation of systems and organs), which causes a large prevalence of vestibular-ataxic syndrome and also the variety of forms and manifestations of this pathology in cerebral ischemia.

The clinical picture can vary depending on the cause of the disease, age and condition of the patient. For example, in elderly patients, the disruption of the central part of the vestibular analyzer is often combined with the lesion of its peripheral part, which forms a peculiar picture of the pathological disorder.

This pathology – a combination of motor and vestibular disorders due to violations of the general and cerebral circulation. It is quite common, many of its symptoms have been noticed in itself, without giving them much importance. If, when walking, a person begins to feel dizzy, he throws from side to side, coordination of movements is disturbed – this is an occasion to immediately consult a doctor.

What constitutes a vestibulo-atactic syndrome and what are its causes we have figured out. What are the signs and complaints of patients that enable the neurologist to diagnose ataxia?

Ischemic disorders in the work of the brain are dangerous because in the early stages they can simply not be noticed, since the first symptoms that appear can correspond to various disorders of the patient’s health and condition. A person can simply not pay attention to individual cases of malaise. This makes it difficult to timely diagnose and treat diseases that are the companions of the vestibulo-atactic syndrome.

The first signs of the initial stage of the disease are:

  • Frequent dizziness, especially when walking.
  • Flicker and “flies” before the eyes.
  • Nausea and vomiting.
  • Headache.

In later stages, motor disorders appear:

  • Loss of balance.
  • Throwing from side to side.
  • Falls.
  • Involuntary twitching of the eyelid

Hal Turner Analysis / Take-away: 

If NATO officially asserts that chemical weapons WERE used (and that’s a big “if”) and that NATO is entering the war, Russia has already said publicly it will use its nuclear missiles.

UPDATE 5:28 PM EDT —

Kira Rudik, a member of the Ukrainian Parliament, confirms recent reports about Mariupol attack: “The unknown substance was sprayed by Russians in Mariupol.  People are suffocating.”

UPDATE 5:42 PM EDT —

The mass-media is starting to “run” with the story of a “Chemical attack” in Mariupol.   They would only be running with it if their government masters told them to.  And Government would only tell them to, if the plan is for NATO to announce they are intervening.   Here, from the London “Mirror” newspaper (Link)

This is getting worse by the hour.

UH OH . . . 5:46 PM EDT — Now NEWSWEEK in the USA is carrying the story . . . . (Link)

5:49 PM EDT — Microsoft Network (MSN) now carrying the story too . . . (Link)

Whatever and whomever is in that lab is worth potentially destroying the world to them.

UPDATE 6:08 PM EDT —

UK'S FOREIGN MINISTER TRUSS TWEETS: REPORTS THAT RUSSIAN FORCES MAY HAVE USED CHEMICAL AGENTS IN AN ATTACK ON THE PEOPLE OF MARIUPOL. ANY USE OF SUCH WEAPONS WOULD BE A CALLOUS ESCALATION IN THIS CONFLICT AND WE WILL HOLD PUTIN AND HIS REGIME TO ACCOUNT.

6:52 PM EDT –

All the latest info TONIGHT at 9:00 PM Eastern (8:00 Central, 7:00 Mountain, 6:00 Pacific, 5:00 Alaska, 4:00 Hawaii) on the Hal Turner Radio Show.  Set a REMINDER in your cell phone!

You can tune-in FREE as follows:

WBCQ Shortwave on Frequencies 7.490 and 6.160 AM

WRMI Shortwave on Frequency 5.950 AM

KYAH – 540 AM, Utah’s Talk Authority

or here on the Internet at this link:  http://stream.halturnerradioshow.com:8000/ (Click LISTEN)

NOTE: This link does not go active until about one hour BEFORE showtime.  During that hour, it streams commercial-free music until the show begins.

FINAL UPDATE —

It turns out that the “reports” of this “Chemical attack” originated from . . . . wait for it . . . . the Azov Battalion which is stuck in the Asovstal Steel Mill, and under siege from Russian forces.   It appears to have been a blatantly fraudulent claim, designed to instigate the entry of NATO into the Ukraine situation.

The claim was repeated and re-circulated by the press and PR machine backing Ukraine.  That’s why reports “flooded-in.”

Here’s the “rub.”   If NATO, which appears to be run by sociopaths and psychotics, CHOOSES to treat this report as legitimate and CHOOSES to enter Ukraine, then it’s war with Russia and the nuclear missile will fly.

None of us knows right now if NATO sociopaths and psychotics will try to put lipstick on this pig of a report, and treat it as legitimate.  Until we know, there is very real danger that this will be the match that ignites world war.

Isn’t the Iraq/Saddam trick with the “chemical weapons” a little out of date?

Or they are hoping that we don’t remember how the fake war started over there?

Well, some of us remember.

A decent thrift store find

thrift shops 19
A thrift shop find.

INTEL: U.S. Delta Forces and U.K. “SAS” Fighting inside Ukraine since February!

.

A source in the French intelligence community reportedly informed a Le Figaro reporter last week that elite special forces from the UK and the US have been deployed in Ukraine since the start of hostilities with Russia in late February.

The claim was made public by the newspaper’s senior international journalist Georges Malbrunot on Saturday, the same day that British Prime Minister Boris Johnson paid an unexpected visit to Kiev. Although this information has not been officially confirmed, the British leader was reportedly accompanied by special SAS guards.

SAS units “have been present in Ukraine since the beginning of the war, as did [sic] the American Deltas,” Malbrunot tweeted, citing a French intelligence source. He went on to say that Russia was well aware of the “secret war” waged against its troops by foreign commandos, according to the source. His information was mentioned in Le Figaro’s Ukraine updates.

The French journalist who returned from Ukraine after arriving with volunteer fighters told broadcaster CNews that “Americans are directly “in charge” of the war on the ground.”

The United Kingdom and the United States have been among Kiev’s most ardent military supports. Johnson is said to have personally urged his Ukrainian colleague, Volodymyr Zelensky, to continue fighting Russia until better terms are presented.

It seems that the United States and Europe lost their bet on Ukraine and Zelensky. They will not receive anything for the supplied weapons

Something tells me, dear readers, that, in the end, the Anglo-Saxons will get nothing from Ukraine but losses.

These losses will become the operating costs of the West.

There are several reasons for Western operating losses:

- the current power of the Nazis is illegitimate, even if the state of Ukraine will exist due to the succession of the DPR and LPR;

- it is not a fact that the state of Ukraine will exist after the completion of the special military operation of the Russian Armed Forces;

- to save the Nazi regime in Ukraine, Russia must suffer a military defeat and disappear as a state. This is the "wet" dream of the West, which is not destined to come true. From the word "never".

With a probability of up to 97%, in my opinion, instead of the state of Ukraine, the Southwestern Federal District of Russia may appear on the map.

Variants of names - Little Russia, Malorossia

After the victorious completion, of course, of the special military operation of the Russian Armed Forces on the territory of Ukraine.

All the enslaving "rights" of the West, to the best of my understanding, exist exactly until the moment when, instead of today's illegitimate government, legitimate successors come to govern Ukraine - the DPR and LPR.

That's when the United States and the rest of the West will get a "donut hole", nothing more.

All their costs will become their sunk costs.

Similar to the Nazis, who armed the collaborators, during the war with the USSR.

I don’t remember that in the history of the wars of the West against Russia, the Republic of Ingushetia, the USSR, such issues were resolved somehow differently.

And this will happen after the cleansing of the current Nazi regime in Ukraine.

With a high degree of probability, even shameful for the part of the Russian people living there, the name "Ukraine", invented by the Poles, will cease to exist.

Unfortunately, or fortunately, "soon the fairy tale is told, but the deed is not done soon."

Social processes, in comparison with the life expectancy of people, are slow.

For them, 100-300 years is not a period.

But, to the best of my understanding, this time. all the main events that cleanse the part of the Russian people living there from Nazism (constituting, somewhere, at least 80% of the total population), will occur much faster.

It will work out the social law of three generations, at least there are signs of this.

In addition, dear readers, as far as I know, Ukraine did not find time to register the 1991 treaty borders with the UN.

It directly follows from this that the entire territory of Ukraine was and is, from the point of view of the UN and international law, under the administrative control of Russia, as the legal successor of the USSR.

(The Pridnestrovian Moldavian Republic, inhabited mainly by Russians, having not agreed to become part of Romania, has a similar legal status, similar to that of the DPR and LPR.)

This explains why Russia, in this case, legally, is not at war with another state.

This fact legally confirms that civilians who are not at war with the Russian Armed Forces are OWN for Russia.

Which he confirmed with his order of the Supreme Command of Russia.

Russia, in its own right, conducts a special military operation on the territory that is legally one of the administrative units of Russia.

Yes, a few specific units, but nothing more.

It should also be borne in mind that there can be no war, other than a civil one, between territories under common administrative control.

As well as no annexations, etc., etc.

But, administrative reforms on the territory of Ukraine, in my understanding, can and, perhaps, should be in the future.

Moreover, Russia has the right to carry out administrative and other reforms at its own discretion, and, of course, in its own interest.

On the above basis, there is the only internationally recognized state western border of the USSR with the countries of Eastern Europe.

The rights of Russia, as the successor of the USSR, are registered with the UN.

So, Russia paid the debts of the USSR for all the republics, including for Ukraine, in accordance with international law.

Thus, from the point of view of international law, no one has any grounds for a dispute with Russia about the territorial affiliation of Ukraine.

Author – Gennady Tsybanev

Cool thrift shop find…

thrift shops 34
thrift shops 34

Dr Lexus!

One of the best Scenes. Dr. Lexus! Idiocracy 2006 comedy film, directed by Mike Judge. Starring Luke Wilson and Maya Rudolph.

British Mercenary “CossackGundi” Surrenders To Russian Forces in Mariupol Ukraine

CossackGundi Merc SurrendersToRussia large
Cossack Gundi Merc SurrendersTo Russia

We have received reports that British “volunteer” Aiden Aslin, better known as “CossackGundi”, and other international “volunteers” in the Ukrainian Marines, have chosen to lay down their arms to Russian forces around Mariupol, Ukraine, after running out of food and ammunition.

A source close to Aiden, who previously served with him in the YPG (Syria) spoke with him by phone and told us “I just spoke with Aiden. His unit is out of food and ammo. They have no other option but to surrender. He said he loves you all.”

He is surrendering to Russians which is only slightly better than surrendering to Chechyns.

He’s upset.  Likely he knows he’s going to spend the rest of his life in some Russian prison.   Worse, for him, is that once Russia tells the world they have him, the country of Syria is likely to issue an arrest warrant for the mercenary role he played in THAT country.   This likely translates to life in prison not only in Russia, but in Syria as well.

Hal Turner Analysis

THIS is what happens to British forces who actually believe the mentally retarded British government.  The people inserted into government positions are such incredible fools, and such blatant liars, they will fabricate just about __any__ story to get people to go do things for them.   And when those things go bad . . . . the government fools who caused it all, are nowhere to be found.

British officials like Liz Truss are unimaginable liars; they deliberately falsify information to the public – and likely in private as well – to cause people to do things that are not in the interests of others.   Those others fight back and whoever volunteers to help the British, gets the consequences.  Just like CossackGundi is getting right now.

Same thing with the Americans.  Look at what they did to Afghans.  Pulled out of Kabul, and simply LEFT all the Afghans who helped them.  Those Afghans – what few were allowed to live — were dealt with by the Taliban.

DO NOT FIGHT FOR THE UK OR FOR THE USA.   If you choose to believe the lies of those government nitwits, liars, and sociopaths, you will likely get what CossackGundi is getting right now.

For what its worth, Ukraine is losing this conflict and is going to lose. Period. Full stop.

Ukraine never had a chance against Russia.  Only an idiot would think otherwise.

Ukraine lost when they decided to accept western money to overthrow the Democratically elected President, Viktor Yanukovich, in 2014.

They lost again when they elected a west-financed puppet government in Kiev.

They lost again when the people of Crimea voted in a referendum, to leave Ukraine and return to Russia.

They lost again when the people of Luhansk and DOnetsk decided they, too, were leaving Ukraine for Russia – but Ukraine said “no” and sent the Ukraine Army to bomb them.

Ukraine lost again when their army in Luhansk and Donetsk was confronted by “Little Green men” sent in by Russia to protect the civilians in Luhansk and Donetsk.

Ukraine lost again when they signed the Minsk Agreements, then did absolutely NOTHING to implement them for five years, and instead continued to bomb civilians in Luhansk and Donetsk.

Ukraine lost again when they openly incorporated actual NAZIS into their government, police, and military.

Ukraine lost again when Luhansk and Donetsk declared Independence and invited Russia in to protect them.

Ukraine lost again when the Kremlin called on February 23, and gave Ukraine five hours to accept the terms of the Minsk Agreement, accept that Crimea was now Russian territory, and allow Luhansk and Donetsk to be free, then IGNORED the Kremlin ultimatum at the behest of the British and Americans.   Once that five hour window expired, the Russian Army entered Ukraine.

Ukraine’s public relations machine would have people think that Ukraine is the victim; they are not.  They are the perpetrators . . .  they brought all this upon themselves and they are losing.

Discovery 1

2022 04 13 19 37
2022 04 13 19 37

The United States Begs China to help them deal with the American economic crisis

Translated from Chinese. This is from an article out of Hong Kong. I don’t know how accurate it is. While my factories and logistics carriers are seriously nervious about shipping to the USA, none of them has actually refused making products for Americans. -MM

2022-04-12 18:23 HKT
.
The trade war between China and the United States has been fought for several years, but judging from the current overall situation, it is clear that the outcome has been divided. The United States has fallen into a serious economic crisis, while China will enter a new round of golden age of economic development. Even when the United States has been forced to do nothing, it has repeatedly released goodwill hopes to China to soften China and get China's help to get out of the crisis.

.

According to a New York Times report, in mid-May, the U.S. Trade Representative made two requests for dialogue with senior leaders of the Chinese commerce sector, but both were rejected by China.

.

The current US economic crisis is indeed very serious.

.

 In 2020, the coronavirus pandemic has brought a huge blow to the US economic order, because the US government at that time did not consider to achieve effective control of the coronavirus, and in response to the 2020 election. .

.

The Trump administration's policy of only focusing on economic data has directly led to the loss of control and raging of the domestic epidemic in the United States, which also caused headaches for the Biden administration, which had just taken office only a few months ago.

.

Although the Biden administration has adopted a relatively clear epidemic prevention and control policy after taking office, it has also vaccinated the people on a large scale, hoping to achieve herd immunity. 

.

However, the epidemic in the United States cannot be completely controlled in just a few months. Under the influence of the epidemic, the unemployment rate in the United States has reached about 10%, and it is difficult for many Americans to maintain their basic survival. 

.

Moreover, the coronavirus pandemic is after all spreading throughout the world, which has also had a huge impact on the overall world trade. It is already difficult for the United States to export its goods to all parts of the world without hindrance as before, and the world It is still unknown when the economy can be fully restarted.

.

What's more terrible is that in order to restore the instability of the economic order, the Biden administration unilaterally began to seek economic recovery after taking office. The Biden administration proposed a trillion-dollar infrastructure construction plan, but the current U.S. finances clearly cannot support it. According to data released by the U.S. Department of Finance, the U.S. government debt ratio reached 137% in fiscal year 2020, which is a historic high.

.

If the US government wants to pay back the money, it will take at least 20 years, so the Biden administration can also be said to have completely abandoned its control of the inflation rate. The Biden administration implemented monetary easing and fiscal stimulus plans, requiring the Fed to step up printing trillions of dollars into the market to revitalize the US economy. From the perspective of existing economic indicators, this is indeed useful.

.

The U.S. government has given the people a lot of money for consumption, thereby promoting the stability of the U.S. economic order. Therefore, in the first few months of this year, U.S. economic growth has at least returned to a normal track, but what this has brought is The domestic inflation rate in the United States has increased as never before. (note : when the world stop buying US treasury debt and begin to sales those in hand, US lost its power of printing money without domestic inflation) 

.

In May of this year, the U.S. consumer price index rose to 5%, and the inflation rate was as high as 53%. This will bring more serious obstacles to the future economic development of the United States, and even now the United States is overdrafting its global economic hegemony. And the US dollar hegemony to temporarily stabilize the economic order.

.

The high inflation rate means that the domestic price level in the United States is rising rapidly, but the average salary of American residents has not followed up, and even has declined to a certain extent. After all, the coronavirus pandemic still exists, and various American companies want to guarantee themselves. The business interests of the United States have carried out large-scale layoffs and reduced employee salaries. Therefore, the economic harm caused by inflation to the United States has actually been hit on ordinary Americans, while the rich in the United States have entered the rotation of leeks. Carnival.

.

Because these wealthy people can also receive government financial subsidies, they have invested all the financial subsidies they received in the stock market to plunder the wealth of the American middle class. Therefore, we can clearly see that in the last half of the year, the top 10 wealthy individuals in the United States have completed their own rapid accumulation of capital, and their average asset ratio has increased by nearly 40%. Therefore, under the influence of the coronavirus pandemic, the inflation rate in the United States is rising rapidly, and the gap between the rich and the poor in the United States is becoming wider and wider.

.

The U.S. government must be responsible for all this. After the end of World War II, the U.S., as a capitalist country, has encountered economic crises more than once, but because of the existence of the Bretton Woods system and subsequent U.S. dollar hegemony and economic hegemony, the U.S. When suffering from an economic crisis, it is possible to transfer the crisis to the entire international community by investing a large amount of US dollars in the market. The facts have proved that such measures are indeed effective. The US economy protected by the international economic order has guaranteed its long-term prosperity, but now the US dollar hegemony has been challenged unprecedentedly.

.

The first point is that the global de-dollarization process is constantly being promoted. According to the data released by the IMF, the proportion of the US dollar in the world trade orientation system has dropped from 85% at its peak to 57%. Although it still has an advantage, But the advantage is far from what it used to be.

.

Moreover, in 2020, the entire world will be severely affected by the epidemic. .

.

The United States can indeed ensure its own economic order by transferring the economic crisis, but this has a premise that the world trade market must be stable, and all countries must buy American products. Products, or export products to the United States, but now obviously there is no such condition.

.

Because most countries are unable to maintain their trade stability under the influence of the epidemic. Vietnam, India and other well-known markets in the international community have begun to reduce their product imports. 

.

It is no longer possible for them to import products from the United States on a large scale. As the world's largest and most dynamic market, China is of course also It is impossible to allow American products to enter the Chinese market on a large scale. After all, the Sino-US trade war has not completely ended until now.

.


But for the current U.S. government, there is not much that can be found. The U.S. needs China. As we have mentioned above, the inflation rate in the United States is very huge, which has even reached a historic high. The domestic price level in the United States has risen by 31% in just three months

.

(note : apparently, China stop export subsidies and imposed export tariff on certain strategic products such as steel help to keep the domestic prices stable, while making US cost rise to counter US irresponsible money printing.)

.

In order to quell domestic prices in the United States, they must obtain goods from China, because China's industrial output is huge, and because we have the advantage of the entire industrial chain, Chinese goods are characterized by good quality and low prices. 

.

Once Chinese goods are acquired, the domestic price level in the United States will inevitably fall. Therefore, many American companies have begun to place orders with Chinese factories, but most Chinese factories have rejected orders from the United States. There are two main reasons for China's rejection of orders.

.

The first point is because of the coronavirus pandemic. Although the overall domestic epidemic in China has been brought under control, China's economic recovery level and factory resumption rate rank first in the world. However, the impact of the coronavirus pandemic on us still exists. Unhindered large-scale industrial production as before is obviously unrealistic, which is not conducive to our overall epidemic prevention and control.

.

Therefore, the main purpose of China's industrial operation is to ensure its own market demand, not to save the United States. It is obvious that the rejection of US orders by Chinese companies is understandable. After all, the catastrophe is imminent. 

.

Even if China is a responsible country, the first consideration is its own national interests and market needs. (note: still remember last winter, in the name of energy shortage and prices, China deliberately cut certain factory output? I believe it is deliberately cutting those output so that they can reject US order to avoid the dollar they received become useless in a foreseeable future. )

.

The second is that Sino-US relations have not yet returned to normal.

 .

Before, the United States initiated a full-scale trade war against China, but now the United States has taken the initiative to show its favor to China, hoping that Chinese goods will enter the United States and quell American inflation. .

How can this be possible? 

.

The initiative in the relationship between China and the United States is in China's hands. It is impossible for us to maintain the economic order of the United States by harming our own commercial and corporate interests.

.

And if Chinese factories do not accept US orders, US inflation may fall into an endless loop, because the US's own industrial manufacturing obviously cannot meet the needs of the market. 

.

The U.S. has a population of hundreds of millions, and the U.S. economy is also the world's No. 1, but we can see that in the total U.S. economy, manufacturing accounts for only 10% of the total, while U.S. manufacturing and industry are only about 27% of China's. , It is simply impossible to meet the domestic market demand in the United States.

.

Not to mention that most of the US manufacturing and industry are concentrated in military weapons and high-end information industry. 

.

These industrial categories and product categories can bring huge commercial benefits to the United States in peacetime, but they are severely affected in global trade. Under the circumstances, this does not have any positive effect on the United States' current idea of ​​consolidating economic order. After all, Americans can't eat bullets. It's not realistic to let them eat mobile phones.

.

Although the Biden administration has put forward a large-scale infrastructure construction plan and a US secondary industry plan since it came to power, it takes time and money. So now the US needs China to help. If there is no China, the US inflation rate will only be Progress expands.

.

And the economic crisis in the United States really tells us that the economy of this country is mainly based on manufacturing and industry. About 80% of the total US economy comes from the tertiary industry, and about 7% of the total US economy is created by the lawyer industry. 

.

That is to say, the total output value of the US manufacturing and industry is only more than that of the lawyer industry. The created GDP is 3% higher, and the US economy can be said to be built on castles in the air

.

In the past, the US economy was able to stabilize because the US possessed global hegemony and dollar hegemony. However, when US hegemony was challenged, their economic order did not have a solid foundation. China can certainly help the United States, but the premise is that the United States must respect our national sovereignty and give up unrealistic suppression and blockade of China. China is no longer an object that the United States can suppress at will.

.

Another cool thrift shop discovery

thrift shops 42
A must have!

China Firms Pulling-Out of USA, BRITAIN, CANADA

.

China’s top offshore oil and gas producer CNOOC Ltd. is preparing to exit its operations in Britain, Canada, and the United States, because of concerns in Beijing the assets could become subject to Western sanctions, industry sources said.

All in a Day’s Work

From <redacted> a fine MM follower…

Back when we were supposed to be locked down for some unknown period of weeks or months, Wifey and I stocked up on some barterable and essential slop that we both could tolerate in an emergency.

Booze, beans and bullets.

Yesterday I came in for lunch from my yardwork tasks in the swampy bitch that is our backyard; muddy, but wet enough that the soles of my boots were pretty clean. Wifey was rotating out some of the A-Bomb supplies and a large can (12oz) of tuna + greenery was my awaiting meal. The can said that it had expired
over a year ago like I give a shit what those lying planned-obsolescence assholes say. I pound down that mound of an enhanced salad in about fifteen minutes. I suited back up and headed to the quagmire to distribute Wifey’s latest “all natural” cure upon our weed farm that the neighbors love so. About fifteen
minutes into the task, I felt something gurgling down deep in my processing plant.

Fuck!

Read the rest HERE in glorious PDF.

Discovery 2

2022 04 13 19 38
2022 04 13 19 38

South Korea is on China’s “Hit List”

Article 9 of the South Korea-US Status of Forces Agreement (SOFA) stipulates that a custom’s examination “shall not be made” in case of “military cargo consigned to the US armed forces,” according to a document posted by the South Korean Foreign Ministry.
“According to the SOFA, the US military cargo is exempt from customs inspection, allowing (the USFK) to bring in whatever it wants … (South) Korea is a very friendly country for the United States to import germs and conduct tests,” Lee Jang-hie, emeritus professor at law school of Hankuk University of Foreign Studies, told Xinhua.
.
.

Russians aren’t that different from Americans

wtf russia 11
Russian fishing.

1950″s Brownie Recipe

This is the recipe we used in Home Economics in the l950’s.  It’s very moist and chewy, a super rich brownie. It goes great with pudding, ice cream, or fudge toppings.

And a fine brandy or hot coffee.

2022 04 12 21 50
So yummy!

Here’s the recipe:

1 cup softened butter
2 cups sugar
3 large eggs
1 teaspoon vanilla extract
4 ounces semi-sweet bar
1 1/4 cups of sifted all-purpose flour
(measure flour after sifting)
1/2 teaspoon salt

Optional: add 1 cup of chopped pecans or walnuts.
dark can be used

Preheat oven 350 degrees
Use 13″ x 9″ pan, greased and floured
Bake 40-45 minutes until toothpick inserted in center comes out clean.
Allow cool in pan before slicing.
These brownies freeze very well, they will keep months.

So Pun-ny

fails on snapchat 21
Booby trap.

Poland “attacks” Belarus border post

A few days ago—and I’m not sure it was the first time—Polish uniformed personnel “attacked” a Belarus border post.

In the short video clip below, one Polish serviceman shoots a slingshot (see around 0:27) and another one shines a stroboscopic lamp, towards the Belarussian position.

 

You might think this is not serious.

It is serious.  This is an international border.  Unless you think the Polish border guard (or army, or whoever this is) is a hooligan rabble, they would not be doing this without orders.

Imagine if Mexico’s army did this to the U.S. Border Guard, how would Americans feel?  (We know the cartels do much worse, but they’re not the government, so Mexico gets a pass.)

Next, the Poles may deploy a watermelon catapult, or sound warfare, or tear gas, or whatever, and someone on the Belarussian side will get hurt, or worse.

Poland will say, “It’s just a catapult!”, but if it breaks someone’s neck, Belarus would have to respond…..

…..And then it’s “NATO Article 5”, and the 10,000-plus U.S. military personnel in Poland, most located within 30 miles of the Ukrainian or Belarussian borders (for just such an eventuality), get drawn into it.  Not to mention, just now I got video of this U.S. or German (these are not in the Polish arsenal) howitzer train—at least two batteries’ worth—moving into Poland.

 

In short, the border actions are a provocation.

This is one step in a campaign of escalation.

Poland has been trying to pull off a regime change in Belarus since 2020.

Claims that Uncle Sam is directly behind the regime change efforts are mostly false.  It’s Poland, with Lithuania and Germany in second place.

In response, Belarus had been facilitating a wave of chaotic illegal migration of Iraqis and others into Poland, however, that more or less stopped, many months ago.

Recently, a team of railway saboteurs was arrested in Belarus; one of the three resisted and was shot (not killed.)  They were found to have a pistol and some professional radio gear.  I have videos of their apprehension and the damage they caused, but it’s not that interesting.

It’s likely they were operating under pay and orders from Polish intelligence.  The railway is a target because it moves Russian army gear.

Poland’s intelligence service has set up a virtual “front group” called BYPOL, allegedly consisting of Belarussian security personnel who want to see a democratic Belarus blah blah.

BYPOL might have some uniformed collaborators in its employ.  (We don’t know, because no one is identified—it’s made out like it’s an undercover network inside Belarus, probably imaginary.)

Even if it’s not 100-percent fake, it’s a front.  You know who is writing the checks and pulling the strings.

BYPOL “exists” so that any sabotage inside Belarus can be “plausibly denied” by Poland. “It wasn’t us, it was BYPOL.”

BYPOL’s English-language website is here.  It’s ridiculously slick, probably made in the USA, or with the help of an American PR firm.

Poland has been looking to expand its influence over its neighbors.

Much of Belarus and the Ukraine were controlled by Poland from the 1400s to the early 1700s, and then western Belarus and northwest Ukraine were occupied by Poland again from 1919 to 1939.

Now, Poland wants it back. 

Don’t just take my word for it.  Polish-American tweeter Jack Posobiec—1.7 million followers, and a regular on Steve Bannon’s show—has been calling for a renewed Polish empire for over a year.

A retired commander of Polish ground forces recently told Poland’s leading tabloid that Russia’s Kaliningrad province (taken from Germany in 1945) is rightfully Polish and must be conquered.

That’s the sort of crap that is going around in their media space.  Their public is being primed for intervention and expansion.

In recent weeks, Poland has mobilized an entire infantry division (with the help of U.S. trainers) to move into northwest Ukraine, to “protect” the Ukrainians, even though the Ukraine-Russia fighting is hundreds of miles away.

A few military targets in this part of the Ukraine have been bombed, but no one seriously thinks Russia wants—or would be able to—move its ground forces into the area anytime soon.  If the war comes here, unlikely as that is, it is still months away.

So far, the European Union and NATO Command have told Poland, “No!”

That may change if something heats up on the Poland-Belarus border.

If Poland and Belarus start fighting, that would be bad enough, it would involve NATO and possibly nuclear weapons.  But (if we’re still here), Poland would also have some pretext and political cover to move into northwest Ukraine and establish a long-term protectorate there.

(This “expeditionary force” would be supported by NATO Patriot missile batteries now deployed in Slovakia.)

That’s their goal.  That’s what this Belarus border drama is about.

Everyone must understand now, if the Russia-Ukraine war gets “out of control”, and turns into a broader Russia-NATO war, or a nuclear war, it won’t be thanks to Brandon.

It would be thanks to Poland.

It’s a common enough problem.

2022 04 13 20 25
2022 04 13 20 25

FLASH TRAFFIC: RUSSIA TO CONSIDER US/NATO VEHICLES BRINGING WEAPONS TO UKRAINE AS LEGITIMATE MILITARY TARGETS

.

Russia will consider US and NATO vehicles that transport weapons to Ukraine as legitimate military targets, Russian Deputy Foreign Minister Ryabkov said.

He did not elaborate on WHERE such vehicles would be treated as legitimate military targets.  While it may be normal to treat them as such INSIDE Ukraine, the statement seems deliberately ambiguous on that point.

In other news, however, there was no ambiguity:

The Russian Ministry of Defense today publicly warned Ukraine President Volodymyr Zelenski that if Ukraine continues to attack targets inside Russia, then Russia will commence targeting the “centers of decision making” in Ukraine.   Read that to mean, government buildings in the national capital, Kiev.

Earlier, the governor of the Kursk region in Russia reported several attacks at border crossings perpetrated on the Ukrainian side of the crossing. The attacks with mortars and small firearms have not yet caused any casualties, the governor said.

Russia’s Defense Ministry has warned that Russian armed forces will launch strikes on Ukrainian “decision-making centers”, including Kiev, if Ukraine doesn’t stop trying to hit objects on Russian territory. The ministry added that, so far, Moscow had avoided hitting these centers, but this policy might change.

Russia announced its decision drastically to scale down its military activities near Kiev after making such progress in the last in-person bilateral talks with Ukraine, which took place in Istanbul on 29 March. Moscow explained that key decision-makers, who can make the final call in peace talks, live in Kiev and hence the city should be spared any hostilities in the near future.

The defense ministry’s announcement comes in the wake of several incidents, in which the Ukrainian Armed Forces carried out attacks on Russian territory. Governor of the Kursk region, Roman Starovoit reported that a border crossing was shelled from the Ukraine side on 9 April and that the mortar position was suppressed when the Russian side returned fire. Starovoit also said that a group of Russian border guards came under small arms fire from the Ukrainian side on 13 April. There were no casualties among Russians in both incidents.

Discovery 3

2022 04 13 19 40
2022 04 13 19 40

4 Checkmate – Patrick McGoohan’s The Prisoner

This show should be watched in its entirity. This is a classic 1960s show about the retirement of “secret agents”. This is a really nice segment, and you really should watch the ENTIRE clip. It’s just… precious.

I hope you enjoy it. It seems very bizzare, but as you watch it you can see the beauty in the entire production.

Sweden Expects to Join NATO in June of this year

.

The Social Democrat party leadership in Sweden has allegedly decided on the NATO issue. Party Leader Magdalena Andersson‘s goal is for Sweden to join NATO in June this year, say sources in the party.

OIP C.eOONJwi3vNvHft1Bxr9yagHaE9
Magdalena Andersson

The application is planned to be submitted at the NATO meeting in Madrid on June 29-30.

The official neutrality of both Sweden and nearby Finland, has kept the peace with Russia for decades.

It is not yet known what Russia’s reaction might be to such a decision by Sweden, but the reaction by Russia over Finland joining is not in question: Russia has publicly stated that the only thing Finland would achieve by joining NATO would be the destruction of their country.

The America Competes Act of 2022

The America Competes Act of 2022 contains a proposal for a new outbound review process that would screen investments in offshore supply chains, notably in China
.
Though there is bipartisan political support for the investment regime, it is not yet clear what shape it will take or how it will impact US firms in China, say experts
.

.

Discovery 4

So you lift up this crappy old rug, and you find a crappy owld wooden floor. On closer inspection,  you see that it is real hardwood, and so you fix the holes and sand and clean it up then a fine layer of acrylic. Look at the transformation!

2022 04 13 19 41
2022 04 13 19 41

Russia’s role in space program is irreplaceable, Roscosmos boss says as EU suspends cooperation on Mars mission

Western countries are heavily sanctioning Russia’s space program. The European Union has decided to suspend cooperation with Russia on exploring Mars. But the head of Russia’s space program, known as “Roscosmos” told CGTN that Moscow’s role in space exploration is indispensable.

LI JIANHUA CGTN Reporter “Mr. Rogozin, thank you for accepting our interview. The EU has halted cooperation with Russia on ExoMars. How has this affected the Russian Space Agency and what is the impact on manned space exploration?”

DMITRY ROGOZIN Director General, Roscosmos “It’s a cooperative mission. If Russia doesn’t join, Europe won’t go ahead with the mission, because Russia’s contribution to the mission is huge. It is not only about the heavy rockets that send these instruments into orbit and to Mars. It’s also about the landing vehicles. These vehicles must help achieve a soft landing on Mars or the research rovers. The module itself is a research station. We have been waiting so long to realize this mission. If it is delayed, it will never happen. They may change Russia’s landing module, but that decision could take a lot of time and money.”

LI JIANHUA CGTN Reporter “Without international cooperation, will Russia be able to conduct the expedition research on its own?”

DMITRY ROGOZIN Director General, Roscosmos “The problem is when someone does it on their own, it costs a lot of money. It will be a huge burden on the budget. In the construction of ExoMars, the main element is the landing module. The Mars research rover is not the essential element. I think we can make this mission happen with another partner like China or someone else.”

LI JIANHUA CGTN Reporter “How about the International Space Station? NASA has said the Russia-US cooperation on the ISS will not be affected by the new sanctions. What’s your take on that?”

DMITRY ROGOZIN Director General, Roscosmos “The sanctions have been imposed on Russia to make our economy and high-tech companies suffer, to make our people’s lives more difficult, and to bring Russia to its knees. Clearly, it’s not possible because of the strength and will of our people and country.”

LI JIANHUA CGTN “So let’s say there are more sanctions on Russia and Russia decides to withdraw from the ISS programme. You previously warned that the ISS could collapse as a result of the sanctions. I’m quoting you as saying ‘if you stop cooperation with us, who will save the 500-ton ISS from going out of control, derailing and falling on Earth.’ What’s your take on that?”

DMITRY ROGOZIN Director General, Roscosmos “Russia’s role is vital. Only Russia’s Soyuz spacecraft can transport American, European, Canadian, Japanese astronauts and their guests. Soyuz is irreplaceable, because America doesn’t have any spacecraft. Russia helps the international space station avoid space rubbish and maintain orbital correction. The ISS is in a low earth orbit. It helps deliver fuels to the station. These are the main contributions from Russia and Roscomos in particular. So working without Russia is impossible, just like working without America. If they pull us out of this, the ISS wouldn’t exist anymore.”

LI JIANHUA CGTN Reporter “China’s Shenzhou-13 crew are set to come back to Earth in mid-April. What’s your comment on their mission? What do you think of China’s promise of international cooperation in the future?”

DMITRY ROGOZIN Director General, Roscosmos “We work well with our Chinese friends. We have Glonass, and China’s Beidou system was built in orbit not long ago. They are compatible, very compatible. The second project is interesting, which is the International Lunar Research Station. We have signed all the necessary documents with our Chinese friends. Regarding China’s space station, we can talk about creating new modules. To be friends in space, we must be friends on Earth. Russia and China are friends on Earth. I think China and Russia can work together in manned cosmonautics.”

Article

Discovery 5

You remove some drywall, and you discover windows that were covered up. Why?

2022 04 13 19 43
2022 04 13 19 43

Discovery 6

There’s all sorts of things lying around that are interesting.

2022 04 13 19 g43
2022 04 13 19 g43

The Inflation Crisis Of 2022 Is Now Worse Than Anything That We Experienced During The 1970s

America is going to be in for some trying times. -MM
.

Most Americans don’t realize this, but we truly have entered historic territory.  As you will see below, the inflation crisis of 2022 has now escalated to a level that is beyond anything that we experienced during the horrible Jimmy Carter era of the 1970s.  If you are old enough to have been alive back then, you probably remember the constant headlines about inflation.  And you also probably remember that it seemed like the impotent administration in power in Washington was powerless to do anything about it.  In other words, it was a lot like what we are going through today.  Unfortunately for us, this new economic crisis is still only in the very early chapters.

Of course the mainstream media would like us to believe that what we are experiencing today is not even close to what Americans went through in the late 1970s and early 1980s.  According to CNN, the U.S. inflation rate hit a peak of 14.6 percent in the first half of 1980…

The inflation rate hit a record high of 14.6% in March and April of 1980. It helped to lead to Carter’s defeat in that fall’s election. It also led to some significant changes in the US economy.

Compared to that, the numbers we have been given in early 2022 seem rather tame.  On Tuesday, we learned that the official rate of inflation in the U.S. hit 8.5 percent in the month of March…

Prices that consumers pay for everyday items surged in March to their highest levels since the early days of the Reagan administration, according to Labor Department data released Tuesday.

The consumer price index, which measures a wide-ranging basket of goods and services, jumped 8.5% from a year ago on an unadjusted basis, above even the already elevated Dow Jones estimate for 8.4%.

8.5 percent is much lower than 14.6 percent, and so to most people it would seem logical to conclude that we are still a long way from the kind of nightmarish crisis that our nation endured during the waning days of the Carter administration.

But is that the truth?

In reality, we can’t make a straight comparison between the official rate of inflation in 2022 and the official rate of inflation in 1980.  The way that the inflation rate is calculated has been changed more than 20 times since 1980, and every time it was changed the goal was to make the official rate of inflation appear to be lower.

What we really need is an apples to apples comparison, and fortunately John Williams over at shadowstats.com has done the math for us.

According to Williams, if the inflation rate was still calculated the way that it was back in 1980, the official rate of inflation would be somewhere around 17 percent right now.

17 percent!

That means that the inflation that we are seeing now is even worse than anything that Americans went through during the Jimmy Carter era.

And government figures for individual categories seem to confirm that inflation is now wildly out of control.  For example, the price of gasoline has risen by 48 percent over the past year…

The price of gasoline rose by 48.0 percent from March 2021 to March 2022, according to numbers released today by the Bureau of Labor Statistics.

In just one month—from February to March—the seasonally adjusted price of gasoline went up 18.3 percent.

Vehicle prices have escalated to absurd levels as well.  If you can believe it, the average retail selling price of a used vehicle at CarMax has risen by 39.7 percent in just 12 months…

CarMax experienced a slowdown in fourth-quarter used car sales volume as its average retail selling price jumped 39.7% year-over-year to $29,312, an increase of approximately $8,300 per unit.

And I discussed yesterday, home prices in the United States have jumped 32.6 percent over the past two years.

We have entered a full-blown inflationary nightmare, and the Biden administration is trying to blame Vladimir Putin for it.

Needless to say, that is extremely disingenuous of Biden, because prices were already skyrocketing even before the war in Ukraine started.

But it is true that the war is making economic problems even worse all over the globe, and that isn’t going to end any time soon.

A couple of weeks ago there was a bit of optimism that some sort of a ceasefire agreement could be reached, but now there appears to be no hope that there will be one any time soon.

On Tuesday, Putin told the press that peace talks have reached “a dead end”

Talks with Ukraine have reached “a dead end,” Russian President Vladimir Putin said in fresh Tuesday remarks. “We will not stop military operations in Ukraine until they succeed.” He explained that Ukraine has “deviated” from agreements and any possible prior progress reached during the Istanbul meetings, according to state-run RIA.

The strong remarks aimed at both Kiev and the West were given during a joint presser with his Belarusian counterpart Alexander Lukashenko. He further hailed that the military operations is still going “according to plan,” Bloomberg reports, however while admitting to the domestic population that “Russian logistics and payment systems remain a weakness and the long-term impact of western measures could be more painful.” But he also said the county has withstood the economic “blitzkrieg” from the West.

And Volodymyr Zelensky is now saying that the return of Crimea is a “red line” for him

Ukrainian President Volodymyr Zelensky named recognition of the annexation of the Crimea region as one of his red lines for Moscow in any potential peace talks with Russian President Vladimir Putin to end war between the two countries.

Russia annexed the southern region of Crimea in 2014. Russian-backed separatists and forces, as well Ukrainian soldiers, have since been fighting in the eastern region of Ukraine.

Russia will never, ever willingly give Crimea back to Ukraine.

Anyone that thinks otherwise is simply being delusional.

So unless someone changes their tune, this war between Russia and Ukraine is going to keep going until someone achieves total victory.

And that could take a really long time.

Meanwhile, global food supplies will get tighter and tighter, and global economic conditions will continue to rapidly deteriorate.

In other words, the kind of nightmare scenario that I have been warning about for years is now upon us.

And so what happens if another “black swan event” or two hits us later in 2022?

We are so vulnerable right now, and it wouldn’t take much at all to push us over the edge and into an unprecedented worldwide crisis of epic proportions.

.

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings 3

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

.

.

American general captured in Ukraine leading Nazi’s along with the influences of Robin Trower, pretty girls and chicken fried steak

Lots of stuff going on. Generally, the world feels strange. Like it’s  the “calm before the storm”, or that there is some kind of huge under-current going on that we all can feel, but cannot vocalize. Yeah. In all of this unusualness, I am throwing out some articles, thoughts, impressions and stuff that should assist one in  treading water during this great period of geopolitical upheaval.

US General

The big news is the capture of an American army general leading the Nazi Asov batallion in Ukraine. Obviously this nation was a NATO outpost in all ways and forms except “official”. We will cover this and the implications that it has for everyone later on in the body of this article.

Music, Girls, China, and other stuff

I mix up content in these articles. If I do not, then the articles and the venure is flagged for interruption, shadow banning, and other internet manipulations. Tired of trolls, add some food-related content to your articles. Watch them flee!

Trolls thwarted by content mixing

I will continue to proceed tracing the changes along with a mish-mash of other subjects. Why you might ask. Well, guys, I can positively affirm that I have 100% success in throwing off the trolls and DDOS attacks, and ‘bots since I have incorporated this methodology.

It breaks apart whatever system is in place. Whether it is a computer algorithm that matches trolls with targets, or whether it just  the simple minds of trolls, is unknown. All I know is that it works. And that is just hunky-dory for me.

I hope you enjoy this article. Have fun.

Why US’ ‘sanctions threat’ to India is counter-productive on the China front

Not just against Russia, but it’s China as well. It’s not in India’s best interests.

India says no!
.

Half scale models

Large scale models are simply diabolical.  Models of any scale can play with your imagination, but you always know what’s real and what’s fake.   When that train, plane, or car model is, say, 14 inches long–normal scale model size, more or less–your mind quickly adapts to the concept because it knows this is a model.  And your mind deposits what you’re seeing into its familiar, convenient file folder called “scale models.”

But when the scale is large, your cognition wavers on the real vs. fake question.  When your logical mind and eye look at a 1:2 (or 1/2, half) scale model, it will register the model as fake–but not as rapidly as with smaller scale models.  It takes a second, and in that second your mind falls into an uncanny valley of questioning.

Half scale models of large objects like tanks, trucks, and cars are not common, as Fred Heim himself admits.  Full scale (1:1) is common, but only with small items like guns.

Check this out…

Fred Heim Scale ModelFred Heim Scale Model

This half-scale Peterbilt truck was Fred Heim’s first large scale model. Frame is aluminum, sides are powder coated mahogany. Since half-sized truck parts are in short supply (as in: non-existent), Heim had to make practically everything by scratch.

Without Heim and the garage acting as measuring devices, this Peterbilt could easily be mistaken for the real thing.

Pretty Chinese girl

Robust with an EMORMOUS and inviting smile. (I tell you over and over. It’s your SMILE that opens up opportunities for you.) What’s not to love? And her outfit is nice, eh? video 5MB

Sanctions against Russia hit a brick wall

A country with huge natural resources and agriculture sector with the military ability to deter the temptation of the United States preemptive strike will be the last man stand in this NATO expansionism saga.
.
Putin should have realised by now his power to sanction the west is more powerful than western ability to sanction his country.
.
2022 will be remembered as a historic turning point of the United States losing their notorious sanction power against Russia, and suffered greatly from their victim (Russia) counter sanction policy.
.
.
.

Meanwhile in Mongolia

Yes. Mongolia is a very nice, if cold area. Nice people, and a beautiful landscape. video 5MB

Meet Nancy Kovack, Forgotten Siren of the Sixties

nancy kovack 2
Nancy Kovack

Nancy Kovack is long retired, no need to act anymore, and firmly married to conductor Zubin Mehta. But in her day, she graced both the big screen and the cathode ray screen with her elegantly sleek looks reminiscent of Honor Blackman.

Nancy Kovack is also the one who got away. With big-star quality looks and acting chops, she was destined for greatness. Instead, she chose a different path.

The first the world saw of Nancy Kovack was in 1955, as the 19-year-old Queen of the Romeo Peach Pie Festival, in Michigan. She was tasked with distributing 50 peach pies around the U.S. to various luminaries. One pie that she delivered went to 1600 Pennsylvania Avenue.

After that, Kovack made the usual round of Sixties-era TV shows: Love, American Style, Bewitched, Mannix, I Spy. If a casting director ever needed a beautiful face and a refined look, Kovack led the way in their Rolodex.

To compound matters–and it’s here where the faint-of-heart should clutch their pearls and avert their gaze–Miss Kovack owned a fantastically voluptuous body.

OIP C. Z4gooKZUxC6zCp8tW5hdwAAAA
Nancy Kovack

Directly or indirectly, it’s Mehta we have to blame for taking Nancy off the screen and out of circulation. After her marriage to him in 1969, her filmography drops off to only five or six more credits before disappearing altogether in 1976.

While it seems crazy that Zubie would cheat on Kovack, cheat he did. In 1991, when Mehta was 55 years old (and Kovack about the same age), Mehta fathered a child in Israel.

An article in the Jewish Telegraphic Agency relates the rumor of “a smattering of illegitimate children from various affairs.” Mehta admits to just that one boy.

Now 86, Nancy Kovack-Mehta and her husband Zubin Mehta live in Los Angeles, where he is Conductor Emeritus of the Los Angeles Philharmonic.

OIP C.BaifpEhATM 4afjY6lOy QHaEc
Nancy Kovack

No choice but to pay in rubber, rmb or gold.

Meanwhile on the geopolitical scene we have the USA sanctioning the entire globe (except for itself and its toadies).
.
There are few options. Not many choices. German politician warns that boycotting Russian gas could lead to mass poverty.
.
The right mentality is a win-win mentality. The world should move away from the United States desire to control and make easy money from being a parasites to all none western nations resources, labours, and low end economy (allowed by the crusaders) .

Everybody needs to learn to work hard, study hard and trade with each other from a win win, mutual prosperity economic model.

Life is not that difficult if capitalism with Western characteristics that allow 0.1% to control the livelihood of the 99.9% are destroyed.

Basic human rights such as housing, public transport, water, electricity, Internet, food, Medicare etc should become affordable that ensure every citizen with a job are able to enjoy.

The aging, and young, and the sick and handicap should be taken care of.

Notorious Capitalism with looting DNA is coming to an end.

-A Chinese perspective from <redacted>
.
.

A nice Chinese girl

She’s been making the rounds on Douxing. She’s very popular becuase of her fish-shaped body and nice eyes and smile. video. 6.4MB

The East is going Chinese OS; the West “hunkers down”…

Google continues to sanction, Russia begins to test Harmony system, Huawei HarmonyOS ushered in a good opportunity for development – iNEWS
When a door is shut by the United States, the other door is opened. The United States are in the process of sanctioning the world into self isolation.
.

Chinese girl with beautiful eyes

They are nice, don’t you think? video 3MB

Springtime as painted by a few unknown  Russian Painters

16592481
16592481

This next one really appeals to me…

16592502
16592502

And this one … well, you can just smell the fresh spring air…

16592498
16592498

Robin Trower “Too Rolling Stoned”

Robin Trower is a blues guitarist who came into the public light in the 1970s. He’s still going strong and has many followers.

Trower is 75 and still touring. And still playin' smokin' guitar. One of the greats, and under appreciated. His original bass man James Dewar had such a great voice. Sadly he's gone. You have to check out several of his other tracks. Bridge of Sighs is so good. (Most people have no idea what the song is about.) And of course Day of the Eagle.

-Zabbo Daborba

I (MM, don’t you know) was introduced to him back in 1976 when I smoked a joint of “Thai-stick” in the High School parking lot with a friend. We got high, and my first exposure to Robin Trower was the song “Too Rolling Stoned”. I’ve been a fan ever since.

Honestly, I really don’t listen to him very much these days. As I prefer Chinese pop music, and American Country and Western music. But that’s just me.

Anyways, here’s two You-tube videos of the song “Too Rolling Stoned”.

All the theory in the world doesn’t prevent you from being schooled by one of the great masters... Robin is about the most underrated rock guitarists ever.

-Eddie

The first is the entire song observed by a music critic. He is now a Robin Trower fan. The look on his face, as he jams to the music is priceless.

The second, is a breakdown of his musical style (of the exact same song in a different video clip).  Very interesting. You do not need to know the technical details to appreciate what he has to say.  This study is done by someone who has never listened to Robin Trower before. Indeed, it seems like Michael has just turned into a fan, and Robin Trower is growing a fan base just by being alive.

Michael, Welcome to the land of Trower. 

I'm 62 years old and a guitar player. I'm self-taught and first picked up the guitar and started teaching myself from cheat books and 45 records when I was around 8 years old. 

my first live performance was 1966/67 in front of my class in 4th grade with two other friends. 

I've played in cover bands all my life. Still do. 

I've covered Trower on and off over the years since the mid-'70s when I first heard him myself. 

I learned his "Day of the Eagle" classic hit from a 33rpm album (Live from Winterland} around 1974/75. Watching and learning from guitarist is just part of my DNA. 

I love watching you and learning, watching you unpack "Too Rolling Stone" from Trower on video was a treat for me, Trower in the wild no less, (Live), this has truly made my day. 

Your expressions in this video at times are priceless. 

You kind of started with a mild approach towards the whole "Trower" thing at the beginning, and I giggled at that. and as you listened and dissected not only the song but his body movements, the Wha Wha approach, then Robin hits what I call his Jazz "Drop dead chords", modulates up a half step, then the typical shifting of gears into "Trower mode" I call it, and drops the tempo of the song to a slow blues groove that he's typically and noted for. 

Such phrasings are his signature style, the look on your face and reaction was better than that first cup of coffee I was drinking for this morning. 

I said out loud with a big belly laugh, "Welcome to the land of Trower teacher". my wife wanted to know who the heck I was talking to. hahha. 

I say all this as someone who has done the same thing while learning Trower songs, only without YouTube video's which are worth their weight in gold themselves. 

Starting and stopping a song on a vinyl record over and over is something you just have had to live through to get. 

Anyway. Thank you for staying with us and continuing in sharing your passion which is also our passion. and for exploring Robin Trower. I hope you look into other great songs of his like: "Day of the Eagle" or Bridge of Sighs". any of his tunes are worthy of time.

- Charles Harshbarger 

What do you think of Robin Trowers blues?

Vietnam girls drinking beer during a factory lunch

A common enough scene all over South-East Asia. It’s nice to see people enjoying freedom, instead of that pretend socially-acceptable corporate-system freedom™ that is so prevalent in the West.  video 5MB

Why Propaganda is Vital In Upholding The Illusion of a Democracy

Cynthia Chung

“Whenever the government of the United States shall break up, it will probably be in consequence of a false direction having been given to public opinion. This is the weak point of our defenses, and the part to which the enemies of the system will direct all their attacks. Opinion can be so perverted as to cause the false to seem true; the enemy, a friend, and the friend, an enemy; the best interests of the nation to appear insignificant, and the trifles of moment; in a word, the right the wrong, the wrong the right. In a country where opinion has sway, to seize upon it, is to seize upon power. As it is a rule of humanity that the upright and well-intentioned are comparatively passive, while the designing, dishonest, and selfish are the most untiring in their efforts, the danger of public opinion’s getting a false direction is four-fold, since few men think for themselves.”

-James Fenimore Cooper

Democracy is something that has been completely taken for granted here in the West. There is an ongoing triumph over past laurels, without paying heed to the road we have strayed from.

We criticize others for failing to uphold a standard we consider ourselves the leaders of, but democracy is not something simply “acquired” and subsequently “retained,” it is not a “possession.” This is because a system of democracy is at every moment of its existence defined by the character of its citizenry. Democracy only exists if it is upheld, and if a citizenry fails to do so, it renders itself defenseless to an ever-creeping tyranny.

For such a “creeping tyranny,” control is conditional to whether the citizenry is satisfied with an ever-growing “illusion of democracy.” Such a construct needs to give its subjects the impression that they have “free choice” in what shapes their future and their way of life, including: who will be their “friends” and who will be their “foes.”

And thus, War has always depended on a reliable system to spread its propaganda.

The Arthashastra written by Chankya (350-283 BCE) who was chief advisor to the Emperor Chandragupta (the first ruler of the Mauryan Empire) discusses propaganda and how to disperse and apply it in warfare. It is one of the oldest accounts of the essentialism of propaganda in warfare.

Propaganda is vital in times of war because it is absolutely imperative that the people, who often need to make the greatest sacrifices and suffer the most, believe that such a war is justified.

Security

As such, they will believe that such a war will provide them security. To the degree that they believe this to be true, the greater the degree of sacrifice and suffering they are willing to submit themselves for said “promised security”.

Enemy is not human

It is crucial that when the people look at the “enemy” they see something sub-human, for if they recognise that said “enemy” has in fact humanity, the jig is up so to speak.

And thus we are bombarded day after day, hour after hour of reminders as to why the “enemy” is not human like us, not compassionate like us, not patient, just and wise like us.

No doubt, war has been a necessary response when tyranny has formed an army to fight for its cause, but I would put forth that most wars have been rather unnecessary and downright manipulated for the design of a small group of people.

During WWI, on Dec 25th 1914, something rather unexpected occurred and a series of widespread unofficial ceasefires along the Western Front took place between the French/British soldiers and the German soldiers. Some even ventured into “no man’s land”, given its name since none left it alive, to mingle with the “enemy” and exchange food and souvenirs. There were joint burial ceremonies and prisoner swaps. A game of football took place as well. It is said that these truces were not unique to the Christmas period but that they were much more widespread during the holiday season.

These fraternisations would understandably make it quite difficult to return to combat against one another…for no apparently good reason. Some units needed to be relocated since they had developed friendships with the opposing side and now refused to fight them.

The lesson was quickly learned and propaganda was heavily pumped down the throats of the Allied countries, and by the course of just a few years, they no longer viewed the Germans as human.

American infrastructure

This is not one isolated incident. It’s everywhere. The money is being used in wars, and in the wallets of the wealthy. Not on the American citizenry. video 1MB

A beautiful girl in Hong Kong

Beautiful girls are everywhere. I have to tell you that I really like how clean and crisp that this girl looks as she hangs out in Mong Kok. video 5MB

The Battle For Your Mind

“Politicians, Priests, and psychiatrists often face the same problem: how to find the most rapid and permanent means of changing a man’s belief…The problem of the doctor and his nervously ill patient, and that of the religious leader who sets out to gain and hold new converts, has now become the problem of whole groups of nations, who wish not only to confirm certain political beliefs within their boundaries, but to proselytize the outside world.”

– William Sargant “Battle of the Mind”

Mass propaganda is the very reason why in this so-called “age of information”, we are more confused and divided from each other than ever…

It had been commonly thought in the past, and not without basis, that tyranny could only exist on the condition that the people were kept illiterate and ignorant of their oppression. To recognise that one was “oppressed” meant they must first have an idea of what was “freedom”, and if one were allowed the “privilege” to learn how to read, this discovery was inevitable.

If education of the masses could turn the majority of a population literate, it was thought that the higher ideas, the sort of “dangerous ideas” that Mustapha Mond for instance expresses in “The Brave New World”, would quickly organise the masses and revolution against their “controllers” would be inevitable. In other words, knowledge is freedom, and you cannot enslave those who learn how to “think”.

However, it hasn’t exactly played out that way has it?

The greater majority of us are free to read whatever we wish to, in terms of the once “forbidden books”, such as those listed by The Index Librorum Prohibitorum. We can read any of the writings that were banned in “The Brave New World”, notably the works of Shakespeare which were named as absolutely dangerous forms of “knowledge”.

We are now very much free to “educate” ourselves on the very “ideas” that were recognised by tyrants of the past as the “antidote” to a life of slavery. And yet, today, there is a fear of that very thing, that to “know” will label you an outcast from a “healthy” society. That the simple desire to know is the beginning of rebellion.

It is recognised, albeit superficially, that who controls the past, controls the present and thereby the future. George Orwell’s book “1984”, hammers this as the essential feature that allows the Big Brother apparatus to maintain absolute control over fear, perception and loyalty to the Party cause, and yet despite its popularity, there still remains today a lack of interest in actually informing oneself about the past.

What does it matter anyway, if the past is controlled and rewritten to suit the present? As the Big Brother interrogator O’Brien states to Winston, “We, the Party, control all records, and we control all memories. Then we control the past, do we not? [And thus, are free to rewrite it as we choose…]”

Of course, we are not in the same situation as Winston…we are much better off. We can study and learn about the “past” if we so desire, unfortunately, it is a choice that many take for granted. And thus, by our failure to ask the right questions and seek the appropriate answers, we find ourselves increasingly in the unsettling position of a Winston…we are enslaved by the very lack of our own will.

In Orwell’s “1984”, there are three main super states in the world: Oceania, Eurasia and Eastasia that are in one combination or another constantly at war with each other and have been so for the last 25 years.

In the case of Winston, he has only known Oceania (the British commonwealths and U.S.), he knows essentially nothing of either Eurasia or Eastasia, except that sometimes Oceania is at war with Eurasia and sometimes it is at war with Eastasia. In fact, even this memory, that the enemy is not constant, is not something Winston is supposed to recollect or acknowledge. Just by doing this very thing, he is committing a “thoughtcrime”.

Winston’s experience begs the questions, if one were born into a fascist, totalitarian state would they know it? Of course, the state itself would not describe itself as such. How would you be able to compare your “freedom” with the “oppression” of the enemy, when all you were given was what the state chose to give to you?

How do you know that what has come to shape your convictions, your beliefs, your fears really belong to you, and were not placed there by another?

We are all very sensitive to this unsettling question because ironically, that has also been placed in us. It was what started this whole business of “mind control”, you see, it had to be done…for our “protection”.

 

Chinese girl inside of her apartment

Yeah. This is all pretty typical. The homes are clean cool, spotless. If they have pets, they might keep them locked up in their cages for a while while the owners are busy doing other things. And dancing in the living room is normal. video 4MB

Warfare in the 21st Century

For to win one hundred victories in one hundred battles is not the pinnacle of skill. To subdue the enemy without fighting is the pinnacle of skill.

– Sun Tzu

There are many different forms of warfare, but namely there is warfare that exists in the physical domain of aggression vs defense and warfare that exists in the mental domain of ideas.

The majority of tyrants from the ancient times to present day, have always had a network of powerful people behind them (whether they were aware of it or not) that opened up a path for them to sit on the throne so to speak. For example, we now know that there was a very direct support of Hitler coming from the Bank of England amongst other very influential institutions. That is, Hitler did not arise to power ‘naturally’ or by his mere merit.

The desperation of that economic environment in Germany was predictably formulated as a direct consequence of the Treaty of Versailles which was essentially a death sentence to the German people. And Hitler who had started to make a small name for himself was selected and endorsed as the ‘face’ of what had already been decided would be the fate of Germany.

Wars have almost always been the result of funding and organising from powerful groups with geopolitical interests, often of empire, who create an environment of disinformation and desperation amongst the people through economic and military warfare along with color revolutions.

However, once there was the creation of nuclear bombs, geopolitical warfare was changed forever.

Though we still use much of the same old strategies today, war is ever more located on the plane of ideas, and along with this the ever increasing focus on the manipulation of information and the populace’s perspective of who is good and who is bad.

The war that needs to be fought against the present tyranny is thus increasingly a mental war. In the case of the populace, all together they hold more power than they realise. The real crisis of today’s western thinking is that the people have forgotten how to think. Attention spans have gone down drastically along with a functional vocabulary. People are becoming more and more dominated by image based messages rather than content that requires more than a 10 minute attention span. Articles in the news keep getting shorter and shorter because people seemingly cannot be bothered with too much reading. Along with the serious decline in reading in replacement for quick entertainment (more successful than any book burning in history), people no longer bother to work for a comprehensive viewpoint. Information becomes an annoying barrage of ad campaigns, each yelling louder and more frequently than the other.

The solutions to our problems such as the oncoming economic collapse (in case you haven’t noticed we are doing everything the same as pre-2008), have their solutions in what Russia and China are presenting.

The initiation of war has almost always been presented as a false ‘necessity’, that is in response to the dominating geopolitical ‘balance’, which is basically meant to service the present system of empire, and the erroneous belief in zero sum game.

However, the idea that humans exist in a zero sum game, doomed to battle forever over a diminishing return of resources, was disproven time and again in modern history through the application of successful principles of national political economy. Notable examples of which include Colbert’s dirigisme of France’s 17th century (later revived during the presidency of Charles De Gaulle), the Hamiltonian system of America as exemplified by Abraham Lincoln’s Greenbacks, FDR’s New Deal, and JFK’s space program as well as its most recent expression of China’s Belt and Road Initiative.

This system understands that fast money is parasitical and acts in direct opposition to the long-term investments required for projects that will revolutionise a nation’s infrastructure, including science-driver programs.

That debt for such long-term projects is not qualitatively the same as the present debt we see accruing today, and that debt towards investing for the future will always yield a higher return than the cost over time. This is why debt towards long-term investment on infrastructure and science driver projects, such as space exploration, will always be sustainable with a massive return quantitatively and qualitatively. Whereas, the gambling of fast money will very predictably lead to a collapse as was clearly indicated by the 2008 financial crisis, and which insanely has yet to be addressed with a serious bank reform.

The higher battle ground is being fought on the plane of ideas and which proposed ‘new system’ will replace the current collapsing one we are presently in. On the one side the hegemonic rule of a one world government who thinks that they can use force and oppression to rule and on the other side a multi-polar system of cooperating nation states committed to progress that will offer a real qualitative return for the future.

Little girl wants a father

Her mother is a single mom. She doesn’t have a father. She wants a photo with this stranger on the bus as she thinks that this is what her father must look like. video 5MB

Chinese girl getting ready to cook

Pretty girl. Food. Cooking…. Sheech! Oh so sexy. video 2MB

Speaking of cooking and food, let’s talk about some Chicken Fried Steak. Personally, I love it with two over-easy eggs and rye toast (with a freshly brewed cup of coffee) don’t you know…

Chicken Fried Steak with Creamy Gravy Recipe

OIP C.5VIa C10K LWGRBB 9mNvwHaJV
Chicken Fried Steak

Steaks are a familiar dish on the dining table. Some people prefer their steaks roasted, and others prefer it prepared inside the oven like the classic oven-baked steak and potatoes. However, to some, steaks are a traditional culinary staple. The Southerners in America have a secret recipe, the chicken fried steak recipe. In this Southern cuisine, the steaks are deep-fried in a pan and served with creamy gravy.

But why is it called chicken fried steak when there’s no chicken? Well, the steak is cooked in a similar way to fried chicken, hence the dish’s name. This dish is made with a piece of tender beef steak that’s breaded with eggs, pan-fried, then topped with some creamy gravy.

There is nothing like this steak. People love serving it, and everyone loves to eat it! The best chicken fried steak is the one that’s cooked to golden perfection and topped with the most flavorful and creamy gravy. Using this recipe, the only difficult thing that comes is deciding whether to prepare it for lunch or dinner.

Skip the usual roasted steak. Prepare a fried steak that’s topped with creamy and flavorful gravy instead.

Ingredients

For Steaks:

  • 4 steaks (¼ lb each), pre-tenderized, preferably cube steaks
  • cups all purpose flour
  • 2 tsp salt
  • tsp garlic powder
  • ½ tsp cayenne
  • 2 eggs beaten
  • ½ cup milk
  • oil for frying

For Gravy:

  • 3 tbsp pan drippings
  • 3 tbsp all purpose flour
  • cup whipping cream
  • cups milk
  • salt and pepper

To Serve:

  • 2 sunny side-up eggs
  • ¾ cup mixed vegetables of your choice

To Garnish:

  • 2 tsp parsley chopped

Instructions

Steaks

  • Use a meat mallet, rubber mallet, or rolling pin to flatten and thin out the steaks until they are less than ¼ inches thick. Season each steak with a pinch of salt.
  • Heat the oven to 200 degrees F.
  • Put a wire rack over a baking sheet, and set it aside. Prepare two shallow baking dishes.
  • In a bowl, whisk the eggs and milk together. In another bowl, mix the salt, garlic powder, cayenne, and flour.
  • Dredge the steak in the flour mix one by one. Using your hands, press each side of the steak onto the flour mix.
  • Shake off the excess and dip the steak in the egg wash, coating every side. Repeat the process until all sides are well covered and coated. Set aside.
  • In a large pan, pour oil until it reaches ¼ inches deep for frying. Heat the oil until the oil sizzles when flour is dropped into it.
  • Lay the prepared steak one by one into the oil and cover the steak with oil. Fry for about 2 minutes until the edges turn golden brown.
  • When done, remove the steaks using a slotted spatula, and place them on the wire rack in the oven to keep warm.
  • Strain off any excess oil to get the drippings.

Gravy

  • Turn off the heat in the pan and pour out all but 3 tablespoons of oil and fat from the pan.
  • Whisk in 3 tablespoons of flour and stir constantly on medium heat for 4 to 5 minutes, or until the mixture turns light brown.
  • Slowly add the cream and milk, whisking continuously until the desired consistency is reached.
  • Season the gravy with a pinch of salt and pepper. Adjust accordingly.
  • Serve over the steak with eggs and mixed vegetables. Garnish.

OIP C.06OTBIt5OPyoYBHDqtfk1wHaHI
Chicken Fried Steak

The Art of Doublethink

“WAR IS PEACE, FREEDOM IS SLAVERY, IGNORANCE IS STRENGTH”

George Orwell’s “1984” (Big Brother Mantra)

A truly immersive system of propaganda, which necessarily will be full of contradictions to the truth, absolutely requires that its subjects are compliant with “doublethink,” that is, the ability to accept two contradictory thoughts in your mind without acknowledging that they are in fact opposites.

Orwell identifies this under two forms of “doublethink”, which are “crimestop” and “blackwhite”. “Crimestop” meaning the faculty of stopping short, as though by instinct, at the threshold of a dangerous thought.

Orwell further states

“It includes the power of not grasping analogies, of failing to perceive logical errors, of misunderstanding the simplest arguments…and of being bored or repelled by any train of thought which is capable of leading in a heretical direction. Crimestop in short, means protective stupidity.”

“Blackwhite”, is the act of contradiction of plain facts, applied to an opponent. And when applied to the Party, it is the willingness to say black is white when the Party discipline demands it so.

As Orwell describes it

“it means the ability to believe that black is white, and more, to know that black is white, and to forget that one has ever believed the contrary. This demands a continuous alteration of the past…The alteration of the past is necessary for two reasons…The subsidiary reason is that…he must be cut off from the past, just as he must be cut off from foreign countries, because it is necessary for him to believe that he is better off… [the precautionary reason] by far the more important reason for the readjustment of the past is the need to safeguard the infallibility of the Party.”

Orwell continues

“The splitting of the intelligence which the Party requires of its members, and which is more easily achieved in an atmosphere of war, is now almost universal, but the higher up the ranks one goes, the more marked it becomes. It is precisely in the Inner Party that war hysteria and hatred of the enemy are strongest.”

That is, it is the Inner Party members who are the most indoctrinated, the best at inducing “mind control” or “doublethink” on themselves, and at the same time believe that it is the best and right thing to do.

Orwell describes “doublethink” thus:

“The process has to be conscious , or it would not be carried out with sufficient precision, but it also has to be unconscious, or it would bring with it a feeling of falsity and hence guilt…To tell deliberate lies while genuinely believing them, to forget any fact that has become inconvenient, and then, when it becomes necessary again, to draw it back from oblivion for just so long as it is needed, to deny the existence of objective reality and all the while to take account of the reality which one denies – all this is indispensably necessary. Even in using the word doublethink it is necessary to exercise doublethink.”

What many fail to grasp when reading “1984” is that Orwell is not only the character Winston, he is also the character O’Brien. He is the Outer Party member-turned-revolutionary, and he is the Inner Party disciplinarian.

He is simultaneously the tormentor-programmer as well as the tormented-programmed.

Winston eventually breaks and releases the one thing that kept him human, his love and loyalty to Julia. In the end, an announcement is made that Oceania is ever nearer to winning the war and Winston looks up at a large poster of Big Brother and cries gin-filled tears of joy and relief, for he had finally come to love Big Brother.

He had become O’Brien.

Chinese girl in a Japanese sushi restaurant

These restaurants are very popular in China. Asian people do love their seafood. And China is no exception. You can see the little sushi dishes going round and round on the railroad tracks behind her. If you ever decide to go, might I suggest the warm saki with it. Yum! video 3MB

Thailand / Cambodia lunch time

A great view of a normal day in the rural inland areas outside of China and in the more impovershed South East Asian nations. The United States wants to give billions of dollars to the rulers of these nations to build American miltiary bases, and start importing all sort of weapons there.

These nations said “No!”.

Instead, the nations elected to go with China who are building trains, roads, hospitals, clinics, and setting up industry in these remote and tranquil rural areas. Sure, the Western propaganda is promoting the idea of “you’ll be sorry” for building those hopsitals, high speed trains, roads, tunnels, bridges, social and community centers, and communication infrastructure. But you know, it sure beats having the wealthy get wealthier while the people live in poverty next to American bases ready to shoot up villages, sheep and cabbage patches.

video 17MB

So Who is the Said “Enemy”?

The enemy is our lesser selves.

Our most base fears, desires and obsessions. The voice that whispers in our ears telling us not to believe in anything genuine or honest, that the world we live in will ultimately destroy itself and thus it is all about looking out for number one. That it is our fate to be the playthings of higher powers.

This is the voice of a prisoner of Plato’s cave, neck shackled and looking at only shadows on a wall. This is not reality. This is the voice of someone who has been enslaved for most of their life. The voice of someone who has become so disempowered that they wholly accept whatever ugly condition is imposed upon them and will even work to defend it as necessary.

There is a way out of all of this, but you will have to become an optimist in order to see the solution.

“We must not be enemies. Though passion may have strained, it must not break our bonds of affection. The mystic chords of memory will swell when again touched, as surely they will be, by the better angels of our nature.”

– Abraham Lincoln

Chinese girl on the street

Thin. Leggy with a nice Guicci purse. Very typical. video 3MB

Rural girls on a boat somewhere on the Laos-China border

It’s poor right now, but these times are changing. The Chinese BRI are bringing money, health and education to millions of people in South East Asia. video

China 1973

As strange as it seems, this is how many Americans and their leadership believe what China is today.

Yeah. It’s strange. But there you have it. Look at the pictures from fifty years ago, and you can easily see that this is the image that the Main Stram Media are busy promoting as to what China is. video 5MB

Rainbow – L. A. Connection (Live) HD

When I was attending university, I took a heavy course load. Seriously, 21 credits of all technical subjects is rough. Aerospace-Mechanical Engineering. And while my friends would half-heartedly attend class, and then party on, I would tromp through the Syracuse snow to go to class, and then study in the Law Library until it closed at 2am.

I had a grueling schedule. Up at six in the morning. Then, 200 push-ups, and a three-mile run, then to the house where I lived. Then it’s a nice Northern European breakfast of toast and coffee, followed by a bus ride to the campus where I would attend school. (When I wasn’t riding my motorcycle, that is.)

And even at that, I had my failures. For instance, I completely failed my “mechanics of deformable bodies” class and had to take it two times. Though, on the second time, I aced the class.

It’s called life.

You move forward, and fall on your face. Then you get up. You dust yourself off, and then try again.

If you are thick-headed like MM here, you might have to go through twenty or thirty times before you get it right.

Anyway, it was stressful. Aside from my weight-lifting, and drinking with my close group of friends, I didn’t really have much in the way of escape. I think all of us fall into this routine cycle from time to time. We work towards a goal, and we sacrifice other things to make it there. It doesn’t matter what it is, really. We push ourselves. And, you know, it’s stressful.

This video is one of the songs that I used to listen to, and do push-ups to, when I was a student attending university. I hope you enjoy my little snapshot into my life at that time.

Warning, it’s 70s era hard rock and the video is of 1970s quality. Just imagine me doing push-ups to this music, getting ready to be a Naval Aviator in a few months. Totally motivated. I had a nice body when I was in my early 20’s. I should have been using it in more sexual persuits, but at that time, I was a goal-oriented fellow, and sex with pretty girls was not on the agenda.

I missed out on a lot of fun. I’ll tell you what.

Again. Such is life.

Girl in white halter

She’s made a big impression on Douxing. Partly becuase of her following and partly for her fish shaped body. video 3MB

Be the Rufus

The answer to help you go through this period of change is to always be the Rufus. video 243MB

Western society controls us by fear

Its fear of change. It’s fear of the unknown. It’s fear of what others think. It’s fear of rejection. Don’t be afraid. Make your move. If they don’t like it, let them howl.

video 9MB

Chinese Military Swarm Drones

It’s pretty cool and the Chinese have mass produced these machines. video 2MB

NUMEROUS REPORTS: U.S. Army General CAPTURED in Mariupol with AZOV Nazis!

.

Numerous reports are now circulating claiming that United States Army Major General Roger L. Cloutier has been CAPTURED by Russian forces in or around Mariupol, Ukraine where, the reports claim, he was helping the AZOV Battalion which is Ukraine’s official NAZI unit.

2022 04 05 20 14
US Army General captured leading Nazis against Russians in Ukraine.

According to Wikipedia, Major General Roger L. Cloutier:

Roger L. Cloutier Jr. is a United States Army lieutenant general who serves as the Commander of the Allied Land Command. Previously, he served as the Commander of the United States Army Africa.

Allied Land Command (LANDCOM) formerly Allied Land Forces South-Eastern Europe (LANDSOUTHEAST) is the standing headquarters for NATO land forces which may be assigned as necessary. The Commander LANDCOM is the prime land warfare advisor to the Alliance. When directed by the Supreme Allied Commander Europe, it provides the core of the headquarters responsible for the conduct of land operations. The command is based at Şirinyer (Buca), İzmir in Turkey.

Email to the Pentagon sent several HOURS ago, has gone unanswered which, from a media perspective, is very unusual.  If this report was false, the Pentagon would deny it immediately.  They have NOT denied it.  But no official answer has come from the Pentagon either way as of 6:10 PM EDT Monday.

UPDATE 10:49 PM EDT, TUESDAY APRIL 5 —

I received an email tonight after my radio show, telling me that the Wikipedia page for General Roger L. Cloutier has been changed to say he DIED on March 28.  The images below were sent to me with that email:

Screenshot 20220405 004924
Screenshot 20220405 004924

And…

Screenshot 20220405 001125
Screenshot 20220405 001125

MM Notes

Everything that the Russians have dealt with in Ukraine, and all the systems that they are encountering is now present in Taiwan. Taiwan is a carbon copy of Ukraine.

All of this is going to create some very NASTY lashback from Asia.

Do not be afraid of change!

All the Western news is so filled with fear, and of course, here on MM, I also point out the various directions where the world can turn. But know this;

  • The primary driver for the world collapse are elites in the West (United States).
  • Their plans negate the influence of the collective East because their egos cannot admit that they are large, powerful, and smart.
  • This is dangerous as all their actions are now hurting the West, and we are just now collectively “watching the boat fill with water” in real time.
  • The East are lead with merit driven leaders who are determined and serious.
  • The East also has the backing of The Domain.
  • While there could be WMB detonations (keep in mind that The Domain did NOT stop any of the multiple bioweapon attacks), it will be geographically limited. It will not go full-on MAD.
  • The Deagal Report of the remote viewing of 2025 is approaching 100% validity.
  • The impression that I am getting from The Commander, is that in ten years, we all will collectively look back on this time and say “Phew! We just narrowly missed THE BIG ONE.” That’s the impression that I have, but I can be wrong.
  • And I want to tell everyone, the glimpses that I have of the future are not distopian.

It’s more like this… video 14MB. 

It will be a new start and a new beginning.

.

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings 3

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

Which kind of computer user are you?

I’ve been posting too many serious articles as of late. This has pretty much skewed the content. Just like it was skewed during the President Trump’s administration. This article and others, are my attempt at trying to reestablish balance in MM. Let’s put a big happy smile on our faces. And I hope this article helps facilitate that.

Now, that being said, let’s face the facts. Most people work in front of a computer, or use a cellphone. Or else how do you read MM, eh? And if you are one such person, then this article is for you…

Whether your desk is messy or tidy, your walls adorned with pop culture posters or neatly framed art pieces, you could see yourself in one of these delightfully stereotypical character illustrations.

The project was started by Serbian artist Dmitry Narozhny, who drew a new character each month for Devexperts’ company calendar, with designs ranging from the hipster to the hippy, goth to the geek.

So, can you spot someone resembling you among these geeky stereotypes?

More info: Behance (h/t: creativebloq)

Who are you?

The [1] artist / poet compared to the [2] wholly-organized creator.

6 4d9
6 4d9

Or, perhaps, you are the [3] Travel dreamer / adventurer, or the [4] fastidious organized worker…

5 5d0
5 5d0

Consider the [5] cellphone as the primary medium of communication, or the [6] busy and disorganized MM. (Yup! That’s me.)

4s 51
4s 51

Not found yourself yet? How about the [7] hedonistic or the [8] comfortable gamer.

3 a53
3 a53

Then there’s the [9] urban hipster, or perhaps the [10] hard-core designer type.

a2 54
a2 54

What ever you may be, I am sure that you have found comfort in your life. What ever it might be.

I will tell you that I would like to hear what kind of person you all are, and what archetype that you fill. It’s my curiosity, only. I’m not going to collect the information and sell it to some data-collection agency. LOL.

Do you want more?

I have more articles like this one in my Art Index here…

ART

.

MM Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

A collection of really eye-popping articles and stories from 1950s and 60s Men’s pulp magazines

Ah, they don’t write stories like they used to.

I once read an article. It’s maybe six years ago that said that SJW types were scouring old used bookstores for “sexist” and “racist” books. Buying them up in huge lots and burning them, and generally trashing them outright.

I don’t know if it was true or not.

I certainly hope not.

What I do know is that my brother told me that when he visits the used books stores, he simply cannot find science fiction anthologies or collections of short stories anywhere. He said that he visited perhaps fifteen stores in the Colorado region and none could be had.

It would be a shame to see my boyhood erased simply becuase some bight-eyed utopian wanted to make the world better though tyranny.

Sigh.

But that’s the United States today. The internet is a corporate-technologist controlled dictatorship-based white-board. Things pop up, and then are erased as new narratives take hold, develop, conduct their purposes and then die.

Then new narratives materialize.

Now, it’s Ukraine. It was Coronavirus. Before that it was China. Before that was 5G radiation, before that was…

I need a beer.

By the way. You do know that beer goes great with steaks, meats, potatoes, and all sort of fine delicious and tasty foods. How about a fine, fine pot roast, eh?

2022 03 15 11 53
Pot roast. Needs some thick gravy and some fine icy cold beer.

It’s an old clichéd joke to say you read adult magazines for the articles. However, if you’re talking about men’s mags from the 1950s and 60s, there might actually be some truth in your statement.

Magazines like Playboy, Adam, Jem, and Rogue often featured genuinely well-written articles and short fiction.

Getting published in a men’s magazine wasn’t the shameful smudge on an author’s reputation as it is today – in fact, it was a common stepping stone for soon-to-be-famous authors.

Like Ray Bradbury.

2022 03 15 14 58
2022 03 15 14 58

But it isn’t just the stories that deserve respect – it’s the artwork that complimented them. Often sleazy and purposefully outrageous, the illustrations were designed to entice you to read the story in a not-so-subtle way.

Here in this article, we are going to present some most excellent examples. Grab some snacks, pour a large bowl with potato chips, get some nice dip, and start reading.

2022 03 15 15 01
Chips and dip.

Oh, and don’t forget a tall frosty glass of beer. Or, if you are like MM, a fine bottle of wine , and share with your beloved pet.

2022 03 15 15 03
2022 03 15 15 03

Have fun.

Be with your kitties.

I mean, more like this…

R C.ebdbbc4a191b046a8f43997e9a33c323
Not shown is a book, magazine, and a beloved pet next to you.

Let’s begin our fun adventure…

They were two drunken lovers having an affair in her bed while her husband was away. But, was he away? Wasn’t that he – respected adviser to the President – they now overheard plotting to kill the man in the White House?

6335207469 fbd8c7ab54 b
6335207469 fbd8c7ab54 b

Lured by his smoldering eyes and magnetic personality, adoring women flocked to Rasputin. Peasant girls, prostitutes, princesses – they came, they saw, and they were conquered!

6335965054 16831a9e5b b
6335965054 16831a9e5b b

We got home about midnight. Shelia, the sitter, lay fast asleep on the couch. Maria woke her. Then I drove her home… an hour later, she’d been raped and strangled – and I was suspect number one!

6335207571 92a32a307f b
6335207571 92a32a307f b

Getting Avis pregnant, and other sinful shenanigans, proved that the passionate pastor simply didn’t practice what he preached…. it finally took the electric chair to deliver him from all evil.

6335207551 aa2d59503b b
6335207551 aa2d59503b b

As the whistling whip snaked across her back, the young woman writhed in pleasure. For this was the joy that she’d paid to feel and relish.

6335209837 0163dcd64b b
6335209837 0163dcd64b b

I could hear Ted’s screams, but I couldn’t get to him, the bull sea lions were surrounding me and the angry sea was at my back…

6335209925 f95c194b10 o
6335209925 f95c194b10 o

With a 2,000-horse-soldier combat team, the mad Russian set out to take all Asia for a harem. And he would have made it if he hadn’t touched the man millions call God.

6335210025 e6e955795a o
6335210025 e6e955795a o

The Sewer rats are the only ones you’ll share your secret with.

6335957032 89b11a4932 b
6335957032 89b11a4932 b

The couple’s honeymoon yacht turned into a craft of horrors when it was boarded by a lust-crazed psychopath who butchered anyone who dared invade his private inlet.

6335199403 d988020d40 b 1
6335199403 d988020d40 b 1

Big blondes in dime-store dresses, tender teenagers with eager smiles, they converge in front of fancy bars and good hotels in all the big towns bordering the hill country.

6335199515 cb7b935f43 b
6335199515 cb7b935f43 b

According to one automotive expert, these prestige-heavy imported hot rods are often badly made, unsafe, cash-eating tin cans perfectly designed for carrying you to the morgue.

6335957270 225bfa37ab b
6335957270 225bfa37ab b

A wanton, lush-bodied kitten of a blonde, she gave Mike Webster the sweetest, most loving hideout any murderer-on-the-run ever had.

6335957294 1d813a2ec3 b
6335957294 1d813a2ec3 b

Housemaids, heiresses, coeds and countesses – this brawling blackmailer sampled them all on a 75-year love binge so bawdy his memoirs still can’t be printed…

6335957438 5d13e53e01 b
6335957438 5d13e53e01 b

In life, she was a nymphomaniac with a very high taste in jewels and men. In death, she was Mike Shayne’s fourty-first murder case.

6335207369 2e0e0e3049 b
6335207369 2e0e0e3049 b

Laos was in flames, and in that bloody, steaming jungle hid a broken and dying pilot guarding a cargo the Reds would give their birthright to get…

6335964954 5c94d528e7 b
6335964954 5c94d528e7 b

In the waning days of world war two, Germany was in a state of utter collapse and chaos.

6335956456 353537a693 b
6335956456 353537a693 b

Angel terror across Dixie… Girl Rage Rampage!

6335956536 e505f2d888 b
6335956536 e505f2d888 b

In the grim violence of the Mate Grosso, two lusting men and two equally desire lashed females were stripped of all defenses before the furious onslaught of body-snatching banditoes…

6335956614 02143014e1 b
6335956614 02143014e1 b

…!

6335198935 316a37e27a b
6335198935 316a37e27a b

Jack Murphy primed her talents and showed her to the right people, all the while sating his desires on the sensuous blonde’s promise of passionate reward.

6335956662 cd3fe1e112 b
6335956662 cd3fe1e112 b

Roaring out of the back alleys of Los Angles on their souped-up hogs, four piston-fast “leather jacket looters” and their “desire debs” hatched a plot for the greatest armored truck robbery ever attempted.

6335199055 26b67f15bf b
6335199055 26b67f15bf b

…she didn’t even have time to…

6335956856 f575574372 b
6335956856 f575574372 b

A tough yank enforcer, two revenge-hungry nymphs vs. a crazed murder genius.

6335956980 df5ecf10ae b
6335956980 df5ecf10ae b

An Englishman who produced a .30 caliber carbine compact enough to fit in a cigarette pack…

6335199367 6e835443b8 b
6335199367 6e835443b8 b

Everyone in the third-floor room tried to laugh off what was happening to the girl on the bed – everyone but her boyfriend and the doctor, who found more in her stomach than just milk and cookies.

6335955266 e51bce0736 b
6335955266 e51bce0736 b

…he turned an island into a cross between Fort Knox and the sexiest Siegfried line ever built.

6335197545 e52c0cff20 b
6335197545 e52c0cff20 b

Those oriental Nazi dancing girls were all they were supposed to be… and more.

6335197885 b99fcf9651 b
6335197885 b99fcf9651 b

A murderer at the age of eleven, Ben Hogan led a life of crime and depravity that had no equal outside of Hell.

6335197901 eaa1d6c5f9 b
6335197901 eaa1d6c5f9 b

Blood was dripping from his slavering fangs…

6335956096 2128a66132 o
6335956096 2128a66132 o

With a bleching sound, the torrent gushed into the street. For tipplers it was a perfect way to die. For others it was an unheard of death.

6335956130 bacd9eccde b
6335956130 bacd9eccde b

…his wrist and ankles manacled to a steel bed.

6335198497 9824848f7e b
6335198497 9824848f7e b

Women, whiskey and dope made the Japanese town of Chitose “the wickedest city in the far east”.

6335198617 b9df26565e b
6335198617 b9df26565e b

They pumped two bullets into Al Cooke and left him for dead, but he wasn’t ready for the grave yet… not until he could get the laust laugh.

6335198639 e706f39136 b
6335198639 e706f39136 b

he was determined to even an old score. But the grim climax with the giant tusker was unexpected.

6335198699 339bccc8e1 b
6335198699 339bccc8e1 b

The trappers poured into camp hunting for their week of women…

6335956348 5ef0192172 b
6335956348 5ef0192172 b

Murderous females who were armed to the teeth tricked Fred hardin into stopping his car for them. Then, by threatening to slit the throat of his wife on a moment’s notice, forced him to accompany them on a journey through Hell.

6335198729 a1cbac7992 b
6335198729 a1cbac7992 b

To save his mate from a fate worse than death, the incredibly swift cat invaded a camp swarming with professional hunters, ready to kill or be killed if necessary…

2022 03 07 19 15
2022 03 07 19 15

Brother Briggs’s 3,300,00 members game kept his desert empire polygamy  happy until the day the disciples caught on to the reason behind the leaders 9-1 ratio.

6335967544 01eed0626b o
6335967544 01eed0626b o

The Reds were bleeding the West of vital defense secrets. And even after a seven-year manhunt, counterintelligence had only one clue – a case of nylon undies.

2022 03 07 19 21
2022 03 07 19 21

“Being a Lady of the Night in jolly old London is never easy – but when somebody wants to carve you up, it’s sheer murder”

Adam v10 n10 Oct 1966 13
Adam v10 n10 Oct 1966 13

“He writhed in agony as they tore at his wife’s clothing… Dan’s car was the only weapon which could avenge their heinous crime.”

Adam v04 n04 Apr 1960 18
Adam v04 n04 Apr 1960 18

“Trapped by the mafia’s maniacal sadist, Garry had only one chance to save himself and the woman he loved”….And by the looks of things, Garry had damn well better hurry up!

Adam v5 no2 1961 0037
Adam v5 no2 1961 0037

“Reilly was doomed to a life without women – unless he could force the leprechaun to lift its double-whammy”

Possibly my favorite of all time.

Black Magic v03 n04 Jan 1967 AAA 022
Black Magic v03 n04 Jan 1967 AAA 022

One moment of frenzied passion could destroy his only chance for a perfect future.

Adam v5 no2 1961 0015
Adam v5 no2 1961 0015

“The telephone had killed his wife – and the telephone offered the perfect revenge”

Adam v5 no2 1961 0019
Adam v5 no2 1961 0019

Until he saw with his own eyes, the refused to believe a United Nations report -40,000 girls to be kidnapped this year in Europe and Africa and marched across the Sarah for sale to wealthy Arabian harem owners!

042
042

In an age of charm and delicacy, Madame Laramie was a demon incarnate.

Adam v04 n04 Apr 1960 12
Adam v04 n04 Apr 1960 12

The gallows beckoned and even Rand’s woman couldn’t save him from the gambler’s double-cross.

Adam v03 n11 Nov 1959 39
Adam v03 n11 Nov 1959 39

One minute you’re there, the next… poof! you’re ashes. Never a dull moment for drinkers.

Adam v10 n10 Oct 1966 54
Adam v10 n10 Oct 1966 54

Threatened with ultimate degradation, Mira became a slave to the strangest of passions.

Adam v5 no2 1961 0003
Adam v5 no2 1961 0003

The farewell party was so wild, he almost missed the journey…

Adam v10no8 Aug1966 0061
Adam v10no8 Aug1966 0061

Parker knew he must kill his wife’s lover… but he had one growing problem…

2022 03 07 19 24
2022 03 07 19 24

“Was Marie Antoinette a victim of character assassination, or did she diddle?”

2022 03 07 19 39
2022 03 07 19 39

“It was no ordinary shipboard romance.  Her bull of a husband was along for the ride.  Yet Allen knew he had to have her”

2022 03 07 19 3e8
2022 03 07 19 3e8

“Telsa had to save the mission from destruction because of Heroq’s passion to remain a Homo sapien”

As this illustration from 1968 demonstrates, artists were free to stylize their work by the late sixties, rather than stick to the somewhat homogeneous look of the mid-century illustrations.

2022 03 07 19 38
2022 03 07 19 38

“With five sex-starved wives to satisfy, a man can have a myriad of problems”

2022 03 07 19d 38
2022 03 07 19d 38

“Dollar for dollar, corpse for corpse, Holmes might have become America’s most successful lady killer – if one pretty doll hadn’t talked out of turn and exposed the most shocking mass murder in history”

2022 03 07 19 37
2022 03 07 19 37

“There are so many physiological differences between men and women that it is hard to believe they belong in the same species, says this noted psychologist”

2022 03 07 19 4s9
2022 03 07 19 4s9

The hang-up on the telephone saved him from getting hung up on the couch.

2022 03 07 19 49
2022 03 07 19 49

“The night was cold – and so was his wife. All of which led to Pete Landon’s tantalizing adventure.”

2022 03 07 19 51
2022 03 07 19 51

“Telling others what a big make out artist you are could very well help you become one”

2022 03 07 1s9 50
2022 03 07 1s9 50

All the hush-hush planning for the Allied invasion of Europe almost went out the window of a beautiful Hungarian’s bedroom.

2022 03 07 19 50
2022 03 07 19 50

“Iona screamed as Peggy stripped for the two men…. only one desperate gamble could stop the crazed convicts”

2022 03 07 19 dww53
2022 03 07 19 dww53

With a quick slap of the hand, Joe sent the shake-down artist to the floor.

2022 03 07 19 d53
2022 03 07 19 d53

He was a hostage of the Orient’s most notorious fighting brigade – a band of torture-trained females currently terrorizing the border region of Vietnam.

2022 03 07 19 53
2022 03 07 19 53

“If sexy strategist Suzanne had been a general, the South might have won the Civil War”

2022 03 07 19e 56
2022 03 07 19e 56

Broom and Board; a Witches tale.

2022 03 07 19 56
2022 03 07 19 56

“Hollywood’s a bad influence”… with the sordid Harvey Weinstein stories in the news of late, a very appropriate title. We’ll end here. Until next time.

2022 03 07 19 58
2022 03 07 19 58

Fun huh?

Yes. It was.

Back in the day, these magazines were everywhere. Young guys like myself would take our shoe-shine box and earn a few quarters spit-shing shoes and then use the money for candy and other treats. I used to happily get those comic books that were on this wire revolving display.

OIP C.qWuaUWJrSeL7I7qHXweviwHaOM
Comic book heaven.

This was at the local corner drugstore.

I would ride my bike there, and just leave it outside. There was no crime. And even if someone stole it, the community would easily track down who stole it and bring it back. I’d park my banana-seat, long handlebars, Schwinn bicycle outside and go in. The mesh screen door would slam behind me with this little tiny brass bell ringing as I entered.

At that store was a selection of scant housewares, household good, woman’s cosmetics, and a pharmacy in the back. They always had this counter at the side where you could get a simple hamburger platter, eggs and toast, a milkshake or some other delicious treat. Though, as a boy who only had coins, I would get a soda out of the machine outside.

coke machineJ4
coke machine

These small town businesses have largely disappeared in America. The small towns are deserted. Big mega-retailers like Wal-Mart and other enormous “box stores” pretty much devistated the smaller communities. Which is a real shame.

A real shame.

a lunch counter in a five and dime store
A lunch counter in a five and dime store.

Oh, I’ll bet that you are all wonderign what these strange businesses might look like. Well, they came in many different sizes and shapes. Some were just standard brick storefronts, while others were standalone oeprations.

Here’s a very “modern” small-town drugstore.

2022 03 15 15 22
Small town drugstore.

And what’s more, there were many, many other establishments in the small towns throughout the United States.

Here’s The Krystal. It’s a “fast food” hamburger “joint” that popped up and existed before McDonald’s acted like “The Borg” and assimulated all of them.

2022 03 15 15 24
The Krystal.

And there were all sorts of establishments to eat.

Most people, having two hour lunches, would eat and then go home and take a nap before returning back to work. In those days, long lunches with naps were the norm.

Here’s a typical restaurant at lunch time.

2022 03 15 15 27
The Varsity.

My father had a routine that he would have a lunch at the tavern across from the mill where he worked, and on Thursdays, he would mosey over to the Barber Shop for a haircut and trip afterwards.

Those were the days.

When I entered the work force, they were busy removing benefits left and right, eventually asking us to dash for a 15 minute drive through burger, and return back to a “Lunch Meeting”. This continued though the 1990s. Eventually they eliminated technnical and engineering / manufacturing work completely.

It wasn’t profitable, they said.

Conclusion

We have to understand what we lost before we can understand what we need to change.

The United States today is in turmoil. This is at every level. But if you want to simplify everything, it’s really easy. Greed and the search for profits over all has bankrupted the nation. It hollowed it out, and destroyed the population and society in the process.

It will change.

But right now, most people have no idea what they lost, so how are they supposed to regain any true and real freedoms?

I’ll tell you what…

Real freedom is going into a Men’s-only Barber Shop, picking up a “Girlie Magazine” while a baseball game plays in the backgound. You light up a cigarette, and inhale it deeply.

Is it sexist? Yes.

Is it racist? I don’t know.

Is it unhealthy? Probably.

But so what? It’s no ones business except yours alone.

Freedom is absolute.

You either have it or you do not.

Bye Bye America. You were a dream; and ideal that was never truly possible.

Do you want more?

I have more posts in my Happiness Index here…

Life & Happiness

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

“When Time Was New” (1964) by Robert F. Young

Robert F. Young (1915-1986) was a prolific science-fiction writer whose 200-odd stories were published in all of the leading s-f magazines of his day as well as in Colliers, The Saturday Evening Post and Playboy. Although many of his stories were also published in book form, they are today almost all out of print and are unfortunately very hard to find, even in second-hand bookstores, on the Internet or elsewhere.

This charming and very inventive tale first appeared as the cover story of the December 1964 issue of Worlds of IF magazine.

It recounts with humor and brio, the adventures of a time-travelling explorer, and had me hooked from the beginning, had me smiling and chuckling throughout, and left me with a most agreeable warm feeling about having so well spent my reading time.

As an added bonus, the story solves a long-standing literary mystery as to the identity of the visitor who interrupted Cole­ridge in 1797 while the poet was writing down his masterpiece Kubla Khan, which he had just composed in his sleep. The visitor had hung around for an hour, and afterwards Coleridge hadn’t been able to remember the rest of the poem, which has thus remained unfinished. Now we know why!

It is I dare to say a fine example of the quality of the writing of an author of humble origins (science-fiction fans were astonished to learn, towards the end of his life, that he had been a full-time janitor in a Buffalo public school during most of his writing career) who is well worth discovering or rediscovering.

“When Time Was New” (1964) by Robert F. Young

The stegosaurus standing beneath the ginkgo tree didn’t surprise Carpenter, but the two kids sitting in the branches did. He had expected to meet up with a stegosaurus sooner or later, but he hadn’t expected to meet up with a boy and a girl. What in the name of all that was Mesozoic were they doing in the upper Cretaceous Period!

Maybe, he reflected, leaning forward in the driver’s seat of his battery-powered triceratank, they were tied in in some way with the anachronistic fossil he had come back to the Age of Dinosaurs to investigate. Certainly the fact that Miss Sands, his chief assistant who had cased the place-time on the tirnescope, had said nothing about a couple of kids, meant nothing. Timescopes registered only the general lay of the land. They seldom showed anything smaller than a medium-sized mountain.

The stego nudged the trunk of the ginkgo with a hip as high as a hill. The tree gave such a convulsive shudder that the two children nearly fell off the branch they were sitting on and came tumbling down upon the serrated ridge of the monster’s back. Their faces were as white as the line of cliffs that showed distantly beyond the scatterings of dogwoods and magnolias and live oaks, and the stands of willows and laurels and fan palms, that patterned the prehistoric plain.

Carpenter braced himself in the driver’s seat. “Come on, Sam,” he said, addressing the triceratank by nickname. “Let’s go get it!”

Since leaving the entry area several hours ago, he had been moving along in low gear in order not to miss any potential clues that might point the way to the anachronistic fossil’s place of origin – a locale which, as was usually the case with unidentifiable anachronisms, the paleontological society that employed him had been able to pinpoint much more accurately in time than in space. Now, he threw Sam into second and focused the three horn-howitzers jutting from the reptivehicle’s facial regions on the sacral ganglion of the offending ornithischian. Plugg! Plugg! Plugg! went the three stun charges as they struck home, and down went the a posteriori section of the stego. The anterior section, apprised by the pea-sized brain that something had gone haywire, twisted far enough around for one of the little eyes in the pint-sized head to take in the approaching tricer­atank, whereupon the stubby forelegs immediately began the herculean task of dragging the ten-ton, humpbacked body out of the theater of operations.

Carpenter grinned. “Take it easy, old mountainsides,” he said. “You’ll be on all four feet again in less time than it takes to say ’Tyrannosaurus rex’.”
After bringing Sam to a halt a dozen yards from the base of the ginko, he looked up at the two terrified child­ren through the one-way transparency of the reptivehicle’s skullnacelle. If anything, their faces were even whiter than they had been before. Small wonder. Sam looked more like a triceratops than most real triceratops did. Raising the nacelle, Carpenter recoiled a little from the sudden contrast between the humid heat of the midsummer’s day and Sam’s air-conditioned interior. He stood up in the driver’s compart­ment and showed himself. “Come on down, you two,” he called. “Nobody’s going to eat you.”

Two pairs of the widest and bluest eyes that he had ever seen came to rest upon his face. In neither pair, how­ever, was there the faintest gleam of understanding. “I said come on down,” he repeated. “There’s nothing to be afraid of.”
The boy turned to the girl, and the two of them began jabbering back and forth in a sing-song tongue that re­sembled Chinese, but only as the mist resembles the rain.

It had no more in common with modern American than its speakers had with their surroundings. Clearly they hadn’t understood a word he had said. But, equally as clearly, they must have found reassurance in his plain and honest face, or perhaps in the gentle tone of his voice. After talking the matter over for a few moments, they left their aerie and shinned down the trunk, the boy going first and helping the girl over the rough spots. He was about nine; she was about eleven.

Carpenter stepped out of the compartment, vaulted down from Sam’s steel snout and went over to where they were standing. By this time, the stego had recovered the use of its hind legs and was high-tailing – or rather, high-backing ­it over the plain. The boy was wearing a loose, apricot-colored blouse which was considerably stained and disheveled from his recent arboreal activities, a pair of apricot-colored slacks which were similarly stained and disheveled and which terminated at his thin calves and a pair of open-toe sandals. The girl’s outfit was identical, save that it was azure in hue and somewhat less stained and disheveled. She was about an inch taller than the boy, but no less thin. Both of them had delicate features, and hair the color of buttercups, and both of them wore expressions so solemn as to be almost ludicrous. It was virtually a sure bet that they were brother and sister.

Gazing earnestly up into Carpenter’s gray eyes, the girl gave voice a series of sing-song phrases, each of them, judg­ing from the nuances of pronunciation, representative of a different language.

When she finished, Carpenter shook his head. “I just don’t dig you, pumpkin,” he said. Then, just to make sure, he repeated the remark in Anglo-Saxon, Aeolic Greek, lower Cro-magnonese, upper-Acheulian, middle English, Iroquoian and Hyannis-Portese, smatterings of which tongues and dia­lects he had picked up during his various sojourns in the past. No dice. Every word he spoke was just plain Greek to the girl and the boy.

Suddenly the girl’s eyes sparkled with excitement, and, plunging her hand into a plastic reticule that hung from the belt that supported her slacks, she withdrew what ap­peared to be three pairs of earrings. She handed one pair to Carpenter, one to the boy, and kept one for herself; then she and the boy proceeded to affix the objects to their ear lobes, motioning to Carpenter to do the same. Com­plying, he discovered that the tiny disks which he had taken for pendants were in reality tiny diaphragms of some kind. Once the minute clamps were tightened into place, they fitted just within the ear openings. The girl regarded his handiwork critically for a moment, then, standing on tiptoe, reached up and adjusted each disk with deft fingers. Satisfied, she stepped back. “Now,” she said, in perfect idi­omatic English, “we can get through to each other and find out what’s what.”
Carpenter stared at her. “Well I must say, you caught on to my language awful fast!”

“Oh, we didn’t learn it,” the boy said. “Those are micro­translators – hearrings. With them on, whatever we say sounds to you the way you would say it, and whatever you say sounds to us the way we would say it.”

“I forgot I had them with me,” said the girl. “They’re standard travelers’ equipment, but, not being a traveler in the strict sense of the word, I wouldn’t have happened to have them. Only I’d just got back from foreign-activities class when the kidnapers grabbed me. Now,” she went on, again gazing earnestly up into Carpenter’s eyes, “I think it will be best if we take care of the amenities first, don’t you? My name is Marcy, this is my brother Skip, and we are from Greater Mars. What is your name, and where are you from, kind sir?”

It wasn’t easy, but Carpenter managed to keep his voice matter-of-fact. It was no more than fair that he should have. If anything, what he had to say was even more incredible that what he had just heard. “I’m Howard Carpenter, and I’m from Earth, A.D. 2156. That’s 79,062,156 years from now.” He pointed to the triceratank. “Sam over there is my time machine – among other things. When powered from an outside source, there’s practically no limit to his field of oper­ations.”

The girl blinked once, and so did the boy. But that was all. “Well,” Marcy said presently, “that much is taken care of: you’re from Earth Future and we’re from Mars Present.” She paused, looking at Carpenter curiously. “Is there some­thing you don’t understand, Mr. Carpenter?”

Carpenter took a deep breath. He exhaled it. “In point of fact, yes. For one thing, there’s the little matter of the difference in gravity between the two planets. Here on Earth you weigh more than twice as much as you weigh on Mars, and I can’t quite figure out how you can move around so effortlessly, to say nothing of how you could have shinned up the trunk of that ginkgo tree.”

“Oh, I see what you mean, Mr. Carpenter,” Marcy said. “And it’s a very good point, too. But obviously you’re using Mars Future as a criterion, and just as obviously Mars Future is no longer quite the same as Mars Present. I – I guess a lot can happen in 79,062,156 years. Well, anyway, Mr. Carpenter,” she continued, “the Mars of Skip’s and my day has a gravity that approximates this planet’s. Centuries ago, you see, our engineers artificially increased the existent gravity in order that no more of our atmosphere could escape into space, and successive generations had adapted themselves to the stronger pull. Does that clarify matters for you, Mr. Carpenter?”

He had to admit that it did. “Do you kids have a last name?” he asked.
“No, we don’t, Mr. Carpenter. At one time it was the custom for Martians to have last names, but when desentimen­talization was introduced, the custom was abolished. Before we proceed any further, Mr. Carpenter, I would like to thank you for saving our lives. It – it was very noble of you.”


“You’re most welcome,” Carpenter said, “but I’m afraid if we go on standing here in the open like this, I’m going to have to save them all over again, and my own to boot. So let’s the three of us get inside Sam where it’s safe. All right?”

Leading the way over to the triceratank, he vaulted up on the snout and reached down for the girl’s hand. After pulling her up beside him, he helped her into the driver’s compartment. “There’s a small doorway behind the driver’s seat,” he told her. “Crawl through it and make yourself at home in the cabin just beyond. You’ll find a table and chairs and a bunk, plus a cupboard filled with good things to eat. All the comforts of home.”

Before she could comply, a weird whistling sound came from above the plain. She glanced at the sky, and her face went dead-white. “It’s them!” she gasped. “They’ve found us already!”

Carpenter saw the dark winged-shapes of the pteranodons then. There were two of them, and they were homing in on the triceratank like a pair of prehistoric dive-bombers. Seizing Skip’s hand, he pulled the boy up on the snout, set him in the compartment beside his sister, and told them to get into the cabin fast. Then he jumped into the driver’s seat and slammed down the nacelle.

Just in time: the first pteranodon came so close that its right aileron scraped against Sam’s frilled head-shield, and the second came so close that its ventral fuselage brushed Sam’s back. Their twin tailjets left two double wakes of bluish smoke.

Carpenter sat up straight in the driver’s seat. Ailerons? Fuse­lage? Tailjets?
Pteranodons?

He activated Sam’s shield-field and extended it to a dis­tance of two feet beyond the armor-plating, then he threw the reptivehicle into gear. The pteranoclons were circling high overhead. “Marcy,” he called, “come forward a minute, will you?”

Her buttercup-colored hair tickled his cheek as she leaned over his shoulder. “Yes, Mr. Carpenter?”

“When you saw the pteranodons, you said, ’They’ve found us already!’ What did you mean by that?”

“They’re not pteranodons, Mr. Carpenter. Whatever pter­anadons are. They’re kidnapers, piloting military-surplus fly­abouts that probably look like pteranodons. They abducted Skip and me from the preparatory school of the Greater Martian Technological Apotheosization Institute and are hold­ing us for ransom. Earth is their hideout. There are three of them altogether – Roul and Fritad and Holmer. One of them is probably back in the spaceship.”

Carpenter was silent for several moments. The Mars of A.D. 2156 was a desolate place of rubble, sand and wind inhabited by a few thousand diehard colonists from Earth and a few hundred thousand diehard Martians, the former living beneath atmosphere-domes and the latter, save for the few who had intermarried with the colonists, living in deep caves where oxygen could still be obtained. But twenty- second century excavations by the Extraterrestrial Archaeol­ogical Society had unearthed unquestionable evidence to the effect that an ultra-technological civilization similar to that of Earth Present had existed on the planet over 70,000,000 years ago. Surely it was no more than reasonable to as­sume that such a civilization had had space travel.

That being the case, Earth, during her uppermost Mesozoic Era, must have presented an ideal hideout for Martian criminals, kidnappers included. Certainly such a theory threw considerable light on the anachronisms that kept cropping up in Cretaceous strata. There was of course another way to explain Marcy’s and Skip’s presence in the Age of Dinosaurs: they could be A.D. 2156 Earth children, and they could have come back via time machine the same as he had. Or they could have been abducted by twenty-second century kidnappers, for that matter, and have been brought back. But, that being so, why should they lie about it?
“Tell me, Marcy,” Carpenter said, “do you believe I came from the future?”

“0h, of course, Mr. Carpenter. And I’m sure Skip does, too. It’s – it’s kind of hard to believe, but I know that someone as nice as you wouldn’t tell a fib – especially such a big one.”

“Thank you,” Carpenter said. “And I believe you came from Greater Mars, which, I imagine, is the planet’s largest and most powerful country. Tell me something about your civilization.

“It’s a magnificent civilization, Mr. Carpenter. Every day we progress by leaps and bounds, and now that we’ve licked the instability factor, we’ll progress even faster.”

” ’The instability factor’? ”

“Human emotion. It held us back for years, but it can’t any more. Now, when a boy reaches his thirteenth birthday and a girl reaches her fifteenth, they are desentimentalized. And after that, they are able to make calm cool decisions strictly in keeping with pure logic. That way they can achieve maximum efficiency. At the Institute preparatory school, Skip and I are going through what is known as the ’pre-desentimentalization process.’ After four more years we’ll begin receiving dosages of the desentimentalization drug. Then —”

SKRRRREEEEEEEEEEK! went one of the pteranodons it sideswiped the shield-field.

Carpenter watched it as it wobbled wildly for a moment, and before it shot skyward he caught a glimpse of its occup­ant. All he saw was an expressionless face, but from its forward location he deduced that the man was lying in a prone position between the two twelve-foot wings.

Marcy was trembling. “I – I think they’re out to kill us, Mr. Carpenter,” she said. “They threatened to if we tried to escape. Now that they’ve got our voices on the ransom tape, they probably figure they don’t need us any more.”

He reached back and patted her hand where it lay light­ly on his shoulder. “It’s all right, pumpkin. With old Sam here protecting you, you haven’t got a thing to worry about.”

“Is – is that really his name?”

“It sure is. Sam Triceratops, Esquire. Sam, this is Marcy. You take good care of her and her brother – do you hear me?” He turned his head and looked into the girl’s wide blue eyes. “He says he will. I’ll bet you haven’t got any­body like him on Mars, have you?”

She shook her head – as standard a Martian gesture, ap­parently, as it was a terrestrial – and for a moment he thought that a tremulous smile was going to break upon her lips. It didn’t, though – not quite. “Indeed we haven’t, Mr. Carpenter.”

He squinted up through the nacelle at the circling pter­anodons (he still thought of them as pteranodons, even though he knew they were not). “Where’s this spaceship of theirs, Marcy? Is it far from here?”

She pointed to the left. “Over there. You come to a river, and then a swamp. Skip and I escaped this morning when Fritad, who was guarding the lock, fell asleep. They’re a bunch of sleepyheads, always falling asleep when it’s their turn to stand guard. Eventually the Greater Martian Space Police will track the ship here; we thought we could hide out until they got here. We crept through the swamp and floated across the river on a log. It – it was awful, with big snakes on legs chasing us, and – and – ”

His shoulder informed him that she was trembling again. “Look, I’ll tell you what, pumpkin,” he said. “You go back to the cabin and fix yourself and Skip something to eat. I don’t know what kind of food you’re accustomed to, but it can’t be too different from what Sam’s got in stock. You’ll find some square vacuum-containers in the cupboard – they contain sandwiches. On the refrigerator-shelf just above, you’ll find some tall bottles with circlets of little stars – they contain pop. Open some of each, and dig in. Come to think of it, I’m hungry myself, so while you’re at it, fix me something, too.”

Again, she almost smiled. “All right, Mr. Carpenter. I’ll fix you something special.”

Alone in the driver’s compartment, he surveyed the Cretaceous landscape through the front, lateral and rear viewscopes. A range of young mountains showed far to the left. To the right was the distant line of cliffs. The rear viewscope framed scattered stands of willows, fan palms and dwarf magnolias, beyond which the forested uplands, wherein lay his entry area, began. Far ahead, volcanos smoked with Mesozoic abandon.

79,061,889 years from now, this territory would be part of the state of Montana. 79,062,156 years from now, a group of paleontologists digging somewhere in the vastly changed terrain would unearth the fossil of a modern man who had died 79,062,156 years before his disinterment

Would the fossil turn out to be his own?

Carpenter grinned, and looked up at the sky to where the two pteranodons still circled. It could have been the fossil of a Martian.

He turned the triceratank around and started off in the opposite direction. “Come on, Sam,” he said. “Let’s see if we can’t find a good hiding place where we can lay over for the night. Maybe by morning I’ll be able to figure out what to do. Who’d ever have thought we’d wind up playing rescue-team to a couple of kids?”

Sam grunted deep in his gear box and made tracks for the forested uplands.

The trouble with going back in time to investigate anach­ronisms was that frequently you found yourself the author of the anachronism in question. Take the classic instance of Professor Archibald Quigley.

Whether the story was true or not, no one could say for certain, but, true or not, it pointed up the irony of time travel as nothing else could. A staunch Coleridge admirer, Professor Quigley had been curious for years – or so the story went – as to the identity of the visitor who had called at the farmhouse in Nether Stowey in the county of Somersetshire, England in the year 1797 and interrupted Cole­ridge while the poet was writing down a poem which he had just composed in his sleep. The visitor had hung around for an hour, and afterward Coleridge hadn’t been able to remember the rest of the poem. As a result, Kubla Khan was never finished. Eventually, Professor Quigley’s curiosity grew to such proportions that he could no longer endure it, and he applied at the Bureau of Time Travel for permission to return to the place-time in order that he might set his mind at ease. His request was granted, whereupon he handed over half his life-savings without a qualm in ex­change for a trip back to the morning in question. Emerging near the farmhouse, he hid in a clump of bushes, watching the front door; then, growing impatient when no one showed up, he went to the door himself, and knocked. Coleridge answered the knock personally, and even though he asked the professor in, the dark look that he gave his visitor was something which the professor never forgot to the end of his days.

Recalling the story, Carpenter chuckled. It wasn’t really anything for him to be chuckling about, though, because what had happened to the professor could very well hap­pen to him. Whether he liked it or not, there was a good chance that the fossil which the North American Paleontolog­ical Society had sent him back to the Mesozoic Era to inves­tigate might turn out to be his own.

Nevertheless, he refused to let the possibility bother him. For one thing, the minute he found himself in a jam, all he had to do was contact his two assistants, Miss Sands and Peter Detritus, and they would come flying to his aid in Edith the therapod or one of the other reptivehicles which NAPS kept on hand. For another, he had already learned that outside forces were at work in the Cretaceous Period. He wasn’t the only candidate for fossildom. Any­way, worrying about such matters was a waste of time: what was going to happen had already happened, and that was all there was to it.

Skip crawled out of the cabin and leaned over the back of the driver’s seat. “Marcy sent you up a sandwich and a bottle of pop, Mr. Carpenter,” he said, handing over both items. And then, “Can I sit beside you, sir?”

“Sure thing,” Carpenter said, moving over.

The boy climbed over the backrest and slid down into the seat. No sooner had he done so than another buttercup- colored head appeared. “Would – would it be all right, Mr.. Carpenter, if – if -”

“Move over and make room for her in the middle, Skip.”

Sam’s head was a good five feet wide, hence the driver’s compartment was by no means a small one. But the seat itself was only three feet wide, and accommodating two half-grown kids and a man the size of Carpenter was no small accomplishment, especially in view of the fact that all three of them were eating sandwiches and drinking pop. Carpenter felt like an indulgent parent taking his offspring on an excursion through a zoo.

And such a zoo! They were in the forest now, and around them Cretaceous oaks and laurels stood; there were willows, too, and screw pines and ginkgos galore, and now and then they passed through incongruous stands of fan palms.

hrough the undergrowth they glimpsed a huge and lumbering creature that looked like a horse in front and a kangaroo in back. Carpenter identified it as an anatosaurus. In a clearing they came upon a struthiomimus and startled the ostrich-like creature half out of its wits. A spike-backed ankylosaurus glowered at them from behind a clump of sedges, but discreetly refrained from questioning Sam’s right of way. Glancing into a treetop, Carpenter saw his first archaeopteryx. Raising his eyes still higher, he saw the circling pteranodons.
He had hoped to lose them after entering the forest, and to this end he held Sam on an erratic course. Obviously, however, they were equipped with matter detectors. A more sophisticated subterfuge would be necessary. There was a chance that he might bring them down with a barrage of stun-charges, but it was a slim one and he decided not to try it in any event. The kidnappers undoubtedly deserved to die for what they had done, but he was not their judge. He would kill them if he had to, but he refused to do it as long as he had an ace up his sleeve.
Turning toward the two children, he saw that they had lost interest in their sandwiches and were looking apprehen­sively upward. Catching their eye, he winked. “I think it’s high time we gave them the slip, don’t you? ”

“But how, Mr. Carpenter?” Skip asked. “They’re locked right on us with their detector-beams. We’re just lucky or­dinary Martians like them can’t buy super Martian weap­ons. They’ve got melters, which are a form of iridescers: but if they had real iridescers, we’d be goners.”

“We can shake them easy, merely by jumping a little ways back in time. Come on, you two – finish your sand­wiches and stop worrying.”

Their apprehension vanished, and excitement took its place. “Let’s jump back six days,” Marcy said. “They’ll never find us then because we won’t be here yet.”
“Can’t do it, pumpkin – it would take too much starch out of Sam. Time-jumping requires a tremendous amount of power. In order for a part-time time-machine like Sam to jump any great distance, its power has to be supplemented by the power of a regular time station. The station propels the reptivehicle back to a pre-established entry area, and the time-traveler drives out of the area and goes about his business. The only way he can get back to the present is by driving back into the area, contacting the station and tapping its power-supply again, or by sending back a dis­tress signal and having someone come to get him in an­other reptivehicle. At the most, Sam could make about a four-day round trip under his own power but it would burn him out. Once that happened, even the station couldn’t pull him back. I think we’d better settle for an hour.”

Ironically, the smaller the temporal distance you had to deal with, the more figuring you had to do. After directing the triceratank via the liaison-ring on his right index finger to continue on its present erratic course, Carpenter got busy with pad and pencil, and presently he began punching out arithmetical brain-twisters on the compact computer that was built into the control panel.

Marcy leaned forward, watching him intently. “If it will expedite matters, Mr. Carpenter,” she said, “I can do simple sums, such as those you’re writing down, in my head. For instance, 828,464,280 times 4,692,438,921 equals 3,887,518,032,130,241,880.”

“It may very well at that, pumpkin, but I think we’d better check and make sure, don’t you?” He punched out the first two sets of numerals on the calculator, and depressed the multiplication button. 3,887,518,032,130,241,880, the an­swer panel said. He nearly dropped the pencil.

“She’s a mathematical genius,” Skip said. “I’m a mechani­cal genius myself. That’s how come we were kidnaped. Our government values geniuses highly. They’ll pay a lot of money to get us back.”

“Your government? I thought kidnappers preyed on parents, not governments.”
“Oh, but our parents aren’t responsible for us any more, Marcy explained. “In fact, they’ve probably forgotten all about us. After the age of six, children become the property of the state. Modern Martian parents are desentimentalized, you see, and don’t in the least mind getting rid of – giving up their children.”

Carpenter regarded the two solemn faces for some time. “Yes,” he said, “I do see at that.”

With Marcy’s help, he completed the rest of his calcula­tions; then he fed the final set of figures into Sam’s frontal ganglion. “Here we go, you two!” he said, and threw the jumpback switch. There was a brief shimmering effect and an almost imperceptible jar. So smoothly did the transition take place that Sam did not even pause in his lumbering walk.

Carpenter turned his wristwatch back from 4:16 P.M. to 3:16 P.M. “Take a look at the sky now, kids. See any more pteranodons?”

They peered up through the foliage. “Not a one, Mr. Carpenter,” Marcy said, her eyes warm with admiration. “Not a single one!”

“Say, you’ve got our scientists beat forty different ways from Sunday!” Skip said. “They think they’re pretty smart, but I’ll bet they’ve never even thought of trying to travel in time. . . How far can you jump into the future, Mr. Carpen­ter – in a regular time-machine, I mean?”

“Given sufficient power, to the end of time – if time does have an end. But traveling beyond one’s own present is forbidden by law. The powers-that-be in 2156 consider it bad for a race of people to find out what’s going to hap­pen to them before it actually happens, and for once I’m inclined to think that the powers-that-be are right.”

He discontinued liaison control, took over manually and set Sam on a course at right angles to their present direction. At length they broke free from the forest onto the plain. In the distance the line of cliffs that he had noticed earlier showed whitely against the blue and hazy sky. “How’d you kids like to camp out for the night?” he asked.

Skip’s eyes went round. “Camp out, Mr. Carpenter?”

“Sure. We’ll build a fire, cook our food over it, spread our blankets on the ground – regular American Indian style. Maybe we can even find a cave in the cliffs. Think you’d like that?”

Both pairs of eyes were round now. “What’s ’American Indian style,’ Mr. Carpenter?” Marcy asked.

He told them about the Arapahoes and the Cheyennes and the Crows and the Apaches, and about the buffalo and the great plains and Custer’s last stand, and the Conestogas and the frontiersmen (the old ones, not the “new”), and about Geronimo and Sitting Bull and Cochise, and all the while he talked their eyes remained fastened on his face as though it were the sun and they had never before seen day. When he finished telling them about the settling of the west, he told them about the Civil War and Abraham Lin­coln and Generals Grant and Lee and the Gettysburg Ad­dress and the Battle of Bull Run and the surrender at Appomattox.

He had never talked so much in all his life. He won­dered what had come over him, why he felt so carefree and gay all of a sudden and why nothing seemed to matter except the haze-ridden Cretaceous afternoon and the two round-eyed children sitting beside him. But he did not waste much time wondering. He went on to tell them about the signing of the Declaration of Independence and the Amer­ican Revolution and George Washington and Thomas Jef­ferson and Benjamin Franklin and John Adams, and about what a wonderful dream the founding fathers had had and about how much better it would have turned out if oppor­tunistic men had not used it to further their own selfish end and about how relatively wonderful it had turned out anyway, despite the many crimes that had been com­mitted in its name. By the time he finished, evening was on hand. The white cliffs rose up before them, shouldering the darkening sky.

At the base of the cliffs they found a jim-dandy of an untenanted cave, large enough to accommodate both Sam and themselves and with enough room left over to build a campfire. Carpenter drove the reptivehicle inside and parked it in the rear; then he extended the shield-field till it in­cluded the cave, the side of the cliff and a large semi­circular area at the base of the cliff. After checking the “front yard” and finding that it contained no reptiles except several small and harmless lizards, he put the two children to work gathering firewood.

eanwhile, he generated a one-way illusion-field just within the mouth of the cave. By this time Skip, at least, had shed his reserve. “Can I help build the fire, Mr. Carpenter?” he cried, jumping up and down. “Can I – can I – can I?”

“Skip!” Marcy said.
“It’s all right, pumpkin,” Carpenter told her. “You can help, too, if you like.”

The walls of the cave turned red, then rosy, as young flames grew into full-fledged ones.

Carpenter opened three packages of frankfurters and three packages of rolls and showed his charges how to spear the frankfurters on the end of pointed sticks and roast them over the fire. Afterward he demonstrated how to place a frankfurter in a roll and smother it with mus­tard, pickle relish, and chopped onions. It was as though he had flung wide magic casements opening on enchanted lands that the two children had not dreamed existed. The last vestiges of solemnity departed from their faces, and dur­ing the next half hour they created and consumed six hot dogs apiece. Skip got so excited that he nearly fell into the fire, and the smile that had been trying all afternoon to break upon Marcy’s lips at last came through, teaching the flames to burn bright.

Carpenter had made a pot of cocoa in Sam’s kitchenette, and nothing more was needed to round out the cookout except marshmallows. Was it remotely possible, he wondered, that his efficient chief assistant had included such nostalgic delicacies among the various supplies in Sam’s tail-compart­ment? It was doubtful at best, but he took a look anyway. To his delight, he found a whole box of them.
Again, he performed a demonstration, while the two chil­dren looked on in open-mouthed awe. When the two marshmallows which he had speared on his stick turned golden brown he thought for a moment that Skip’s eyes were going to fall out of his head. As for Marcy, she just stood there and stared as though Carpenter had said, “Let there be light!” and the first day had come into being.

Laughing, he removed the marshmallows and handed one to each of them. “Skip!” Marcy said when the boy popped his into his mouth and dispatched it with a single gulp. “Where are your manners?” She ate hers daintily.

After the marshmallow roast, he went outside and cut enough laurel and dogwood branches for three mattresses. He showed the children how to arrange the branches on the cavern floor and how to cover them with the blankets which he took out of Sam’s tail-compartment. Skip needed no fur­ther invitation to turn in: exhausted from his enthusiastic activities and becalmed by his full stomach, he collapsed upon his blanket as soon as he had it in place. Carpenter got three more blankets, covered him with one of them and turned to Marcy. “You look tired, too, pumpkin.”

“Oh, but I’m not, Mr. Carpenter. Not in the least bit. I’m two years older than Skip, you know. He’s just a kid.”

He folded the remaining two blankets into impromptu pillows and placed them a few feet from the fire. He sat down on one of them; she sat down on the other. All evening, grunts and growls and groans had been coming sporadically from beyond the shield-field; now they were supplanted by an awesome noise that brought to mind a gigantic road-repair machine breaking up old pavement. The cavern floor trembled, and the firelight flickered wildly on the wall. “Sounds like old tyrannosaurus,” Carpenter said. “Probably out looking for a midnight snack in the form of a struthiomimus or two.”

“’Tyrannosaurus,’ Mr. Carpenter?”

He described the ferocious theropod for her. She nodded after he had finished, and a shudder shook her. “Yes,” she said, “Skip and I saw one. It was a little while after we crossed the river. We – we hid in a clump of bushes till he passed. What terrible creatures you have here on Earth, Mr. Carpenter!”

“They no longer exist in my day and age,” Carpenter said. “We have terrible ’creatures’ of another order – ’creatures’ that would send old tyrannosaurus high-tailing it for the hills like a flushed rabbit. I shouldn’t be complaining, though. Our technological debauchery left us with a cold-war hang­over – sure; but it paid off in quite a number of things. Time travel, for one. Interplanetary travel, for another.” At this point, the road-repair machine struck a bad stretch of pavement, and, judging from the ungodly series of sounds that ensued, blew a rod to boot. The girl moved closer to him. “Take it easy, pumpkin. There’s nothing to worry about. An army of theropods couldn’t break through that shield-field.”
“Why do you call me ’pumpkin,’ Mr. Carpenter? On Mars, a pumpkin is an unpleasant squashy vegetable that grows in swamps and midden-marshes.”

He laughed. The sounds from beyond the shield-field di­minished, then faded away, as the theropod thundered off in another direction. “On Earth, a pumpkin is quite a nice vegetable – or maybe it’s a fruit. Whichever, it’s quite re­spectable. But that’s beside the point. ’Pumpkin’ is what a man calls a girl when he likes her.”

There was a silence. Then, “Do you have a real girl, Mr. Carpenter?”

“Not actually, Marcy. You might say that figuratively speaking I worship one from afar.”

“That doesn’t sound like very much fun. Who is she?”

“She’s my chief assistant at the North American Paleon­tological Society where I work – Miss Sands. Her first name is ’Elaine,’ but I never call her by it. She sees to it that I don’t forget anything when I retro-travel, and she cases the placetimes over a time-scope before I start out. Then she and my other assistant, Peter Detritus, stand by, ready to come to the rescue if I should send back a can of chicken soup. You see, a can of chicken soup is our distress signal. It’s about as big an object as a paleontologivehicle can handle in most cases, and the word ’chicken’ in our language connotes fear.”

“But why do you worship her from afar, Mr. Carpenter?”

“Well you see,” Carpenter said, “Miss Sands isn’t just an ordinary run-of-the-mill girl. She’s the cool, aloof type – a goddess, if you know what I mean. Although I don’t see how you possibly could. Anyway, you simply don’t treat goddesses the way you treat mere girls – you keep your distance and worship them from afar and humbly wait for them to bestow favors upon you. I – I worship her so much, in fact, that every time I’m near her I get so frustrated that I can hardly say anything. Maybe after I get to know her better it’ll be different. So far, I’ve known her three months.”

He fell silent. Marcy’s hearrings twinkled in the firelight as she turned and looked gently up into his face. “What’s the matter, Mr. Carpenter – cat got your tongue?”

“I was just thinking,” Carpenter said. “Three months is quite a long time at that – long enough for a man to tell whether a girl is ever going to like him or not. And Miss Sands isn’t ever going to like me – I can see that now. Why, she doesn’t even look at me unless she absolutely has to, and she won’t say two words to me if she can possibly avoid it. So you see, even if I did stop worshipping her from afar and got up enough nerve to tell her that I love her, she would probably only be annoyed and tell me to get lost.”

Marcy was indignant. “She must be out of her mind, Mr. Carpenter – just plain out of her mind. She should be as­hamed of herself!”

“No, Marcy – you’ve got her all wrong. You can’t expect a girl as beautiful as she is to go for a good-for-nothing time-bum like me.”

“A good-for-nothing time-bum indeed! You know, Mr. Carpenter, I don’t think you understand women very well. Why, I’ll bet if you told her you love her, she’d throw herself into your arms!”

“You’re a romantic, Marcy. In real life, such things don’t happen.” He stood up. “Well, young lady, I don’t know about you, but I’m tired. Shall we call it a day?”
“If you wish to, Mr. Carpenter.”

She was asleep by the time he pulled her blanket up to her chin. As he stood there looking down at her, she turned on her side, and the firelight caught the buttercup-hue fuzz on the back of her neck, where her hair had been cut too short, and tinted it red-gold. All he could think of were buttercup-clad meadows in spring, and the warm clean sun rising and ushering in the dew-jeweled day . . .
After checking to see if Skip was all right, he went over and stood in the cave mouth and stared out into the dark­ness. With tyrannosaurus’ departure, the lesser Cretaceous creatures had come out of their hiding places and were making their presence known again. He glimpsed the gro­tesque shapes of several ornithopods; he saw an ankylosaurus standing immobile by a coppice of fan palms; he heard lizards scurrying both inside and outside the shield-field. A moon subtly different from the one he was most accus­tomed to was climbing into the prehistoric heavens. The difference lay in the number of meteorite craters. There were far fewer of them now than there would be 79,062,156 years in the future.

He realized presently that although he was still looking at the moon he was no longer seeing it. He was seeing the campfire instead, and the girl and the boy enthusiastically roasting marshmallows. Why hadn’t he gotten married and had children? he wondered suddenly. Why had he passed up all the pretty girls he had ever known, only to fall hopelessly in love at the age of thirty-two with a beautiful goddess who preferred not to know he was alive? What had given him the notion that the thrill derived from adventure was somehow superior to the contentment derived from lov­ing and being loved? – that getting the bugs out of historical and pre-historical times was more important than getting the bugs out of his own life? That a lonely room in a board­ing house was a man’s castle and that drinks drunk in dim-lit bars with fun-girls he could no longer remember the next day spelled “freedom”?

What treasure had he expected to find in the past that could equal the treasures he had passed up in the future?

The night had grown chill. Before lying down to sleep he added more wood to the fire. He listened to the flames crackle and watched their pale ffickerings on the cavern walls. A lizard regarded him with golden eyes out of pre­historic shadows. In the distance, an omithopod went Wa­roompf! Beside him in the Mesozoic night the two children breathed softly in their green-bough beds. Presently he slept.

The next morning, Carpenter wasted no time in getting the show on the road.
Marcy and Skip were all for remaining in the cave in­definitely, but he explained to them that, were they to stay in one place, the kidnappers would find them that much sooner, and that therefore it would be better if they kept on the move. Thus far, everything he had told them had rung a bell in their language just as everything they had told him had rung a bell in his, but this time, for some rea­son, he had a hard time getting through to them. Either that, or they just plain didn’t want to leave the cave. Leave it they did however – after ablutions performed in Sam’s compact lavatory and a breakfast of bacon and eggs cooked in Sam’s kitchenette – when he made it clear to them that he was still the boss.
He hadn’t as yet decided on a definite plan of action. While trying to make up his mind, he let the triceratank pick its own course over the plain – a feat for which its hypersensitive terrainometer more than qualified.

Actually, he had only two choices: (1) – continue to play big brother to the two children and elude the kidnappers until they gave up or until the cavalry, in the form of the Greater Martian Space Police, arrived on the scene, or (2) – return to the entry-area and signal Miss Sands and Peter Detritus to bring the triceratank back to the present. The second choice was by far the safer course of action. He would have settled for it without hesitation if it had not been for two things: (a) Marcy and Skip, while they undoubtedly would be able to adapt to a civilization as similar to their own as twenty-second century terrestrial civili­zation was, might never feel completely at home in it, and (b) sooner or later, they would come face to face with the demoralizing information that their own civilization of 79,062,156 years ago had long since turned to dust and that the technological dreams which they had been taught to re­gard as gospel had come to nothing. A possible third choice lay in taking them back to Earth Present, keeping them there until such time as the kidnappers gave up and left or until the Space Police showed up, and then returning them to Earth Past; but such a procedure would involve several round trips to the Cretaceous Period. Carpenter knew with­out having to ask that, owing to the fantastic expense in­volved, NAPS’ budget couldn’t support even one such non-paleontological round trip, to say nothing of several.

Pondering the problem, he became aware that someone was tugging on his sleeve. It was Skip, who had come for­ward and climbed into the driver’s seat. “Can I steer him, Mr. Carpenter? Can I?”

Carpenter surveyed the plain through the front, lateral, and rear viewscopes; then he raised Sam’s head and took a long look at the sky through the nacelle. A dark speck hovered high above the line of cliffs they had left less than an hour ago. As he watched, it was joined by two others. “Later on, Skip. Right now, I think we’ve got com­pany.”

Skip’s eyes had found the specks, too. “The pteranodons again, Mr. Carpenter?”
“I’m afraid so.”

The specks grew rapidly larger, resolved into winged shapes with narrow, pointed heads. Marcy had come for­ward, and her gaze, too, was directed at the sky. This time, she didn’t seem to be in the least bit frightened, and neither did Skip. “Are we going to jump back in time again, Mr. Carpenter?” she asked.
“We’ll see, pumpkin,” he said.

The pteranodons were clearly visible now. There was no question but what they were interested in Sam. Whether they would try attacking him again was another matter. In any event, Carpenter decided that, even though the tricer­atank’s shield-field was in operation, his best bet would be to head for the nearest stand of trees. It was a stand of palmettos, and about half a mile distant. He threw Sam into high, and took over the controls again. “Come on, Sam,” he said, to keep the kids’ morale from faltering, “show Marcy and Skip what you can do!”
Sam took off like a twentieth-century locomotive, his flex­ible steel legs moving rhythmically, his alloy-hoofs pound­ing the ground in a thunderous cadence. Nevertheless, he was no match for the pteranodons, and they overtook him easily. The foremost one swooped down a hundred yards Lead, released what looked like a big metal egg and soared skyward.

The metal egg turned out to be a bomb. The crater that it created was so wide that it took all of Carpenter’s skill to guide Sam around it without rolling the reptivehicle over. Instantly he revved up the engine and shifted into sec­ond. “They’re not going to get us that way, are they, old timer?” he said.
“URRRRRRRR!” Sam grunted.

Carpenter glanced at the sky. All of the pteranodons were directly overhead now. Circling. One, two, three, he counted. Three . . . yesterday there had been only two. “Marcy,” he said, suddenly excited, “how many kidnappers did you say there were?”

“Three, Mr. Carpenter. Roul and Fritad and Holmer.”

“Then they’re all up there. That means the ship is unguarded – unless there’s a crew.”

“No, Mr. Carpenter – there’s no crew. They did the piloting themselves.”

He lowered his gaze from the circling pteranodons. “Do you kids think you could get inside?”

“Easy,” Skip said. “It’s a military-surplus flyabout-carrier with standard locks, and standard locks are simple for someone with a little mechanical ability to disengage. That’s how come Marcy and I were able to escape in the first place. You just leave everything to me, Mr. Carpenter.”

“Good,” Carpenter said. “We’ll be there waiting for them when they come back.”

With Marcy doing the figuring, retro co-ordinate calculus was a breeze. Sam was ready for jump-back in a matter of seconds.

Carpenter waited till they were in the stand of palmettos, then he threw the switch. Again, there was a shimmering effect and a slight jar, and daylight gave way to pre-dawn darkness. Behind them in a cave at the base of the cliffs, another triceratank stood, and another Carpenter and another Marcy and Skip still slept soundly in their green- bough beds.

“How far did we jump back this time, Mr. Carpenter?” Skip asked.

Carpenter turned on Sam’s headlights and began guiding him out of the stand of palmettos. “Four hours. That should give us plenty of time to reach the ship and get set before our friends return. We may even reach it before they start out – assuming of course that they haven’t been searching for us round the clock.”
“But suppose they spot us in this time-phase?” Marcy objected. “Won’t we be in the same pickle we just got out of?”

“It’s a possibility, pumpkin. But the odds have it over­whelmingly that they didn’t spot us. Otherwise they wouldn’t have gone on searching for us – right?”
She gazed at him admiringly. “You know something, Mr. Carpenter? You’re pretty smart.”

Coming from someone who could multiply 4,692,438,921 by 828,464,280 in her head, it was quite a compliment. However, Carpenter managed to take it in his stride. “I hope you kids can find the ship now,” he said.

“We’re already on the right course,” Skip said. “I know, because I’ve got a perfect sense of direction. It’s camou­flaged as a big tree.”

For the second time that morning, the sun came up. As had been the case yesterday, Sam’s size and mien cowed the various Cretaceous creatures they met although whether tyrannosaurus would have been similarly cowed had they come upon him was a moot question at best. In any case, they didn’t come upon him. By eight o’clock they were moving over the same terrain that Carpenter had come to not long after leaving the forested uplands the day before. “Look!” Marcy exclaimed presently. “There’s the tree we climbed when the humpbacked monster chased us!”

“It sure is,” Skip said. “Boy were we scared!”

Carpenter grinned. “He probably thought you were some species of flora he hadn’t tried yet. Good thing for his di­gestive system that I happened along when I did.”

They looked at him blankly for a moment, and at first he thought that the barriers of two different languages and two different thought worlds had been too high for his little joke to surmount. Such, however, did not prove to be the case. First Marcy burst out laughing, and then Skip.

“Mr. Carpenter, if you aren’t the darndest!” Marcy cried.

They went on. The landscape grew more and more open, with coppices of palmettos and clusters of fan palms constituting most of the major plant-life. Far to the right, smoking volcanos added their discolored breath to the hazy atmosphere. In the distances ahead, mountains showed, their heads lost in the Mesozoic smog. The humidity was so high that large globules of moisture kept condensing on Sam’s nacelle and rolling down like raindrops. Tortoises, lizards, and snakes abounded, and once a real pteranodon glided swiftly by overhead.
At length they came to the river which Marcy had mentioned and which the increasing softness of the ground had been heralding for some time. Looking downstream, Carpenter saw his first brontosaurus.

He pointed it out to the kids, and they stared at it bug-eyed. It was wallowing in the middle of the sluggish stream. Only its small head, its long neck, and the upper part of its back were visible. The neck brought to mind a lofty rubbery tower, but the illusion was marred by the frequency with which the head kept dipping down to the ferns and horse tails that lined the river bank. The poor creature was so enormous that it virtually had to keep eating day and night in order to stay alive.

Carpenter found a shallows and guided Sam across the stream to the opposite bank. The ground was somewhat firmer here, but the firmness was deceiving, for the repti­vehicle’s terrainometer registered an even higher frequency of bogs. (Lord! Carpenter thought. Suppose the two kids had blundered into one!) Ferns grew in abundance, and there were thick carpets of sassafras and sedges. Palmettos and fan palms were still the rule, but there were occasional ginkgos scattered here and there. One of them was a veri­table giant of a tree, towering to a height of over one hundred and fifty feet.

Carpenter stared at it. Cretaceous Period ginkgos generally grew on high ground, not low, but a ginkgo the size of this one had no business growing in the Cretaceous Period at all. Moreover, the huge tree was incongruous in other first respects. Its trunk was far too thick, for one thing. For another, the lower part of it up to a height of about twenty feet consisted of three slender subtrunks, forming a sort of tripod on which the rest of the tree rested.

At this point, Carpenter became aware that his two charges were pointing excitedly at the object of his curios­ity. “That’s it!” Skip exclaimed. “That’s the ship!”

“Well, no wonder it caught my eye,” Carpenter said. “They didn’t do a very good job of camouflaging it. I can even see one of the fly-about-bays.”

Marcy said, “They weren’t particularly concerned about how it looks from the ground. It’s how it looks from above that counts. Of course, if the Space Police get here in time they’ll pick it up sooner or later on their detector-beams, but it will fool them for a while at least.”

“You talk as though you don’t expect them to get here in time.”

“I don’t. Oh, they’ll get here eventually, Mr. Carpenter, but not for weeks, and maybe even months. It takes a long time for their radar-intelligence department to track a ship, besides which it’s a sure bet that they don’t even know we’ve been kidnaped yet. In all previous cases where In­stitute children have been abducted, the government has paid the ransom first and then notified the Space Police. Of course, even after the ransom has been paid and the children have been returned, the Space Police still launch a search for the kidnappers, and eventually they find their hide­out; but naturally the kidnapers are long gone by then.”

“I think,” Carpenter said, “that it’s high time a precedent was established, don’t you?”

After parking Sam out of sight in a nearby coppice of palmettos and deactivating the shield-field, he reached in under the driver’s seat and pulled out the only hand weapon the triceratank contained – a lightweight but powerful stun-rifle specially designed by NAPS for the protection of time-travel personnel. Slinging it on his shoulder, he threw open the nacelle, stepped out onto Sam’s snout and helped the two children down to the ground. The trio approached the ship.
Skip shinned up one of the landing jacks, climbed some distance up the trunk and had the locks open in a matter of seconds. He lowered an aluminum ladder. “Everything’s all set, Mr. Carpenter.”

Marcy glanced over her shoulder at the palmetto coppice. “Will – will Sam be all right do you think?”

“Of course he will, pumpkin,” Carpenter said. “Up with you now.”

The ship’s air-conditioned interior had a temperature that paralleled Sam’s, the lighting was cool, subdued. Beyond the inner lock, a brief corridor led to a spiral steel stair­way that gave access to the decks above and to the engine rooms below. Glancing at his watch, which he had set four hours back, Carpenter saw that the time was 8:24. In a few minmutes, the pteranodons would be closing in on the Sam and Carpenter and Marcy and Skip of the “previous” timephase. Even assuming that the three kidnappers headed straight for the ship afterward, there was still time to spare – time enough, certainly, to send a certain message before laying the trap he had in mind. True, he could send the message after Roul and Fritad and Holmer were safely locked in their cabins, but in the event that something went wrong he might not be able to send it at all, so it was better to send it right now. “Okay, you kids,” he said, “close the locks and then lead the way to the communications-room.”

They obeyed the first order with alacrity, but hedged on the second. Marcy lingered in the corridor, Skip just behind her.

“Why do you want to go to the communications-room, Mr. Carpenter?” she asked.
“So you kids can radio our position to the Space Police and tell them to get here in a hurry. You do know how, I hope.”

Skip looked at Marcy. Marcy looked at Skip. After a moment, both of them shook their heads. “Now see here,” Carpenter said, annoyed, “you know perfectly well you know how. Why are you pretending you don’t?”

Skip looked at the deck. “We – we don’t want to go home, Mr. Carpenter.”

Carpenter regarded first one solemn face and then the other. “But you’ve got to be home! Where else can you go?”

Neither of them answered. Neither of them looked at him. “It boils down to this,” he proceeded presently. “If we suc­ceed in capturing Roul and Fritad and Holmer, fine and dandy. We’ll sit tight, and when the Space Police get here we’ll turn them over. But if something goes wrong and we don’t capture them, we’ll at least have an ace up our sleeve in the form of the message you’re going to send. Now I’m familiar with the length of time it takes to get from Mars to Earth in the spaceships of my day, but I don’t of course know how long your spaceships take. So maybe you two can give me some idea of the length of time that will elapse between the Space Police’s receipt of our message and their arrival here on Earth,” he asked.

“With the two planets in their present position, just over four days,” Marcy said. “If you like, Mr. Carpenter, I can figure it out for you right down to a fraction of a – “
“That’s close enough, pumpkin. Now, up the stairs with you and you too, Skip. Time’s a-wasting!”

They complied glumly. The communications-room was on the second deck. Some of the equipment was vaguely familiar to Carpenter, but most of it was Greek. A wide, deck-to-ceiling viewport looked out over the Cretaceous plain, and, glancing down through the ersatz foliage, he found that he could see the palmetto coppice in which Sam was hidden. He scanned the sky for signs of the returning pteranodons. The sky was empty. Turning away from the viewport, he noticed that a fourth party had entered the room. He unslung his stun-rifle and managed to get it half­way to his shoulder; then, ZZZZZZTTT! a metal tube in the fourth party’s hand went, and the stun-rifle was no more.
He looked incredulously down at his hand.

The fourth party was a tall, muscular man clad in clothing similar to Marcy’s and Skip’s, but of a much richer material. The expression on his narrow face contained about as much feeling as a dried fig, and the metal tube in his hand was now directed at the center of Carpenter’s forehead. Carpen­ter didn’t need to be told that if he moved so much as one iota he would suffer a fate similar to that suffered by his rifle, but the man vouchsafed the information anyway. “If you move, you melt,” he said.

“No, Holmer!” Marcy cried. “Don’t you dare harm him. He only helped us because he felt sorry for us.”

“I thought you said there were only three of them, pump­kin,” Carpenter said, not taking his eyes from Holmer’s face.

“That is all there are, Mr. Carpenter. Honest! The third pteranodon must have been a drone. They tricked us!”

Holmer should have grinned, but he didn’t. There should have been triumph in his tone of voice when he addressed Carpenter, but there wasn’t.

“You had to be from the future, friend,” he said. “Me and my buddies cased this place some time ago, and we knew you couldn’t be from now. That being so, it wasn’t hard for us to figure out that when that tank of yours disappeared yesterday you either jumped ahead in time or jumped back in it, and the odds were two to one that you jumped back. So we gambled on it, figured you’d try the same thing again if you were forced into it, and rigged up a little trap for you, which we figured you’d be smart enough to fall for. You were. The only reason I don’t melt you now is because Roul and Fritad aren’t back yet. I want them to get a look at you first. I’ll melt you then but good. And the brats, too. We don’t need them any more.”

Carpenter recoiled. The dictates of pure logic had much in common with the dictates of pure vindictiveness. Probably the pteranodons had been trying to “melt” Marcy, Skip, and himself almost from the beginning, and if it hadn’t been for Sam’s shield-field, they undoubtedly would have succeeded. Oh well, Carpenter thought, logic was a two-edged blade, and two could wield it as well as one.

“How soon will your buddies be back, Holmer?”

The Martian regarded him blankly. Carpenter tumbled to the fact that the man wasn’t wearing hearrings then.

He said to Marcy: “Tell me, pumpkin, if this ship were to fall on its side, would either the change in its position or its impact with the ground be liable to set off an explosion? Answer me with a ’yes’ or a ’no’ so that our friend here won’t know what we’re talking about.”

“No, Mr. Carpenter.”

“And is the structure of the ship sturdy enough to prevent bulkheads from caving in on us?”

“Yes, Mr. Carpenter.”

“How about the equipment in this room? Is it bolted securely enough to prevent its being torn loose?”

“Yes, Mr. Carpenter.”

“Good. Now, as surreptitiously as you can, you and Skip start sidling over to that steel supporting pillar in the center deck. When the ship starts to topple, you hold on for dear life.”

“What’s he saying to you, kid?” Holmer demanded.

Marcy stuck her tongue out at him “Wouldn’t you like to know!” she retorted.
Obviously, the ability to make calm, cool decisions strictly in keeping with pure logic did not demand a concomitant ability to think fast, for it was not until that moment that the desentimentalized Martian realized that he alone of the four persons present was not wearing hearrings.

Reaching into the small pouch that hung at his side, he withdrew a pair. Then, keeping his melter directed at Car­penter’s forehead with one hand, he began attaching them to his ears with the other. Meanwhile, Carpenter ran his right thumb over the tiny, graduated nodules of the liaison-ring on his right index finger, and when he found the ones he wanted, he pressed them in their proper sequence. On the plain below, Sam stuck his snout out of the palmetto cop­pice.
Carpenter concentrated, his thoughts riding the tele-cir­cuit that now connected his mind with Sam’s sacral gang­lion: Retract your horn-howitzers and raise your nacelle-shield, Sam. Sam did so. Now, back off, and get a good run, charge the landing-jack on your right, and knock it out. Then get the hell out of the way!

Sam came out of the coppice, turned and trotted a hun­ched yards out on the plain. There he turned again, aligning himself for the forthcoming encounter. He started out slow­ly, geared himself into second. The sound of his hoofbeats climbed into a thunderous crescendo and penetrated the bulkhead of the communications-room, and Holmer, who had finally gotten his hearrings into place, gave a start and stepped over to the viewport.

By this time Sam was streaking toward the ship like an ornithischian battering-ram. No one with an IQ in excess of 75 could have failed to foresee what was shortly going to happen.

Holmer had an IQ considerably in excess of 75, but some­times having a few brains is just as dangerous as having a little knowledge. It was so now. Forgetting Carpenter com­pletely, the Martian threw a small lever to the right of the viewscope, causing the thick, unbreakable glass to re­tract into the bulkhead; then he leaned out through the resultant aperture and directed his melter toward the ground. Simultaneously, Sam made contact with the landing jack, and Holmer went flying through the aperture like a jet-propelled Darius Green.

The two kids were already clinging to the supporting pillar. With a leap, Carpenter joined them. “Hang on, you two!” he shouted, and proceeded to practice what he preached. The downward journey was slow at first, but it rapidly picked up momentum. Somebody should have yelled, ’TIMBER!” Nobody did, but that didn’t dissuade the gink­go from fulfilling its destiny. Lizards scampered, tortoises scrabbled and sauropods gaped for miles around. KRRR­ERRUUUUUUMMMP! The impact tore both Carpenter and the children from the pillar, but he managed to grab them and cushion their fall with his body. His back struck the bulkhead, and his breath blasted from his lungs. Somebody turned out the lights.

At length, somebody turned them back on again. He saw Marcy’s face hovering like a small pale moon above his own. Her eyes were like autumn asters after the first frost.

She had loosened his collar and she was patting his cheeks and she was crying. He grinned up at her, got gingerly to his feet and looked around. The communications-room hadn’t changed any, but it looked different. That was be­cause he was standing on the bulkhead instead of the deck. It was also because he was still dazed.

Marcy, tears running down her cheeks, wailed, “I was afraid you were dead, Mr. Carpenter!”

He rumpled her buttercup-colored hair. “Fooled you, didn’t I?”

At this point, Skip entered the room through the now horizontal doorway, a small container clutched in his hand. His face lit up when he saw Carpenter. “I went after some recuperative gas, but I guess you don’t need it after all. Gee, I’m glad you’re all right, Mr. Carpenter!”

“I take it you kids are, too,” Carpenter said.

He was relieved when both of them said they were. Still somewhat dazed, he clambered up the concave bulkhead to the viewport and looked out. Sam was nowhere to be seen. Remembering that he was still in tele-circuit contact, he ordered the triceratank to home in, after which he climbed through the viewport, lowered himself to the ground and began looking for Holmer’s body. When he failed to find it he thought at first that the man had survived the fall and had made off into the surrounding scenery.

Then he came to one of the bogs with which the area infested, and saw its roiled surface. He shuddered. Well anyway, he knew who the fossil was.

Or rather, who the fossil had been.

Sam came trotting up, circumventing the bog in response to the Terrainometer’s stimuli. Carpenter patted the reptivehicle’s head, which was not in the least damaged from its recent collision with the landing-jack; then he broke off liaison and returned to the ship. Marcy and Skip were stand­ing in the viewport, staring at the sky. Turning, Carpenter stared at the sky, too. There were three specks in it.
His mind cleared completely then, and he lifted the two children down to the ground. “Run for Sam!” he said. “Hurry!”

He set out after them. They easily outmatched his longer but far-slower strides, gaining the reptivehicle and clambering into the driver’s compartment before he had covered half the distance. The pteranodons were close now, and he could see their shadows rushing toward him across the ground. Unfortunately, however, he failed to see the small tortoise that was trying frantically to get out of his way. He tripped over it and went sprawling on his face.

Glancing up, he saw that Marcy and Skip had closed Sam’s nacelle. A moment later, to his consternation the triceratank disappeared.

Suddenly another shadow crept across the land, a shadow so vast that it swallowed those cast by the pteranodons.

Turning on his side, Carpenter saw the ship. It was set­tling down on the plain like an extraterrestrial Empire State Building, and, as he watched, three rainbow-beams of light shot forth from its upper section and the three pteranodons went PFFFFFFTTT! PFFFFFFTT! PFFFFFFTTT! and were no more.

The Empire State Building came solidly to rest, opened its street doors and extended a gangplank the width of a Fifth Avenue sidewalk. Through the doors and down the sidewalk came the cavalry. Looking in the other direction, Carpenter saw that Sam had reappeared in exactly the same spot from which he had vanished. His nacelle had reopened, and Marcy and Skip were climbing out of the driver’s compartment in the midst of a cloud of bluish smoke. Carpenter understood what had happened then, and he kissed the twenty-second century good-by.

The two kids came running up just as the commander of the cavalry stepped to the forefront of his troops. Actually, the troops were six tall Martians wearing deep-purple togas and stern expressions and carrying melters, while the com­mander was an even taller Martian wearing an even purpler toga and an even sterner expression and carrying what looked like a fairy godmother’s wand. The dirty look which he accorded Carpenter was duplicated a moment later by the dirty look which he accorded the two children.

They were helping Carpenter to his feet. Not that he needed help in a physical sense. It was just that he was so overwhelmed by the rapid turn of events that he couldn’t quite get his bearings back. Marcy was sobbing.

“We didn’t want to burn Sam out, Mr. Carpenter,” she said, all in a rush, “but jumping back four days, two hours, sixteen minutes and three and three-quarter seconds and sneaking on board the kidnapper’s ship and sending a message to Space Police Headquarters was the only way we could get them here in time to save your life. I told them what a pickle you’d be in, and to have their iridescers ready. Then, just as we were about to come back to the present Sam’s time-travel unit broke down and Skip had to fix it, and then Sam went and burned out anyway, and oh, Mr. Carpenter, I’m so sorry! Now, you’ll never be able to go back to the year 79,062,156 again and see Miss Sands, and—”

Carpenter patted her on the shoulder. “It’s all right, pumpkin. It’s all right. You did the right thing, and I’m proud of you for it.” He shook his head in admiration. “You sure computed it to a T, didn’t you?”

A smile broke through the rain of tears, and the rain went away. “I’m – I’m pretty good at computations, Mr. Carpenter.”

“But I threw the switch,” Skip said. “And I fixed Sam’s time-travel unit when it broke down.”

Carpenter grinned. “I know you did, Skip. I think the two of you are just wonderful.” He faced the tall Martian with the fairy-godmother wand, noted that the man already had a pair of hearrings attached to his ears. “I guess I’m almost as beholden to you as I am to Marcy and Skip,” Carpenter said, “and I’m duly grateful. And now I’m afraid I’m going to impose on your good will still further and ask you to take me to Mars with you. My reptivehicle’s burned out and can’t possibly be repaired by anyone except a group of technological specialists working in an ultra-modern machine shop with all the trimmings, which means I have no way either of contacting the era from which I came, or of getting back to it.”

“My name is Hautor,” the tall Martian said. He turned to Marcy. “Recount to me, with the maximum degree of conciseness of which you are capable, the events beginning with your arrival on this planet and leading up to the pres­ent moment.”

Marcy did so. “So you see, sir,” she concluded, “in help­ing Skip and me, Mr. Carpenter has got himself in quite a pickle. He can’t return to his own era, and he can’t survive in this one. We simply have to take him back to Mars with us, and that’s all there is to it!”

Hautor made no comment. Almost casually, he raised his fairy-godmother wand, pointed it toward the kidnappers’ prostrate ship and did something to the handle that caused the wand proper to glow in brilliant greens and blues. Pres­ently a rainbow beam of light flashed forth from the Empire State Building, struck the kidnappers’ ship and relegated it to the same fate as that suffered by the three pteranodons. Turning, Hautor faced two of his men.

“Put the children on board the police cruiser and see to it that they are suitably cared for.” Finally, he turned back to Carpenter. “The government of Greater Mars is grateful for the services you have rendered it in the pre­serving of the lives of two of its most valuable citizens-to-be. I thank you in its behalf. And now, Mr. Carpenter, good-by.”

Hautor started to turn away. Instantly Marcy and Skip ran to his side. “You can’t leave him here!” Marcy cried. “He’ll die!”

Hautor signaled to the two Martians whom he had spoken to a moment ago. They leaped forward, seized the two children and began dragging them toward the Empire State Building. “Look,” Carpenter said, somewhat staggered by the new turn of events, but still on his feet, “I’m not begging for my life, but I can do you people some good if you’ll make room for me in your society. I can give you time travel, for one thing. For another—”

“Mr. Carpenter, if we had wanted time travel, we would have devised it long ago. Time travel is the pursuit of fools. The pattern of the past is set, and cannot be changed; and in it that has not already been done. Why try? And as for the future, who but an imbecile would want to know what tomorrow will bring?”
“All right,” Carpenter said. “I won’t invent time travel then, I’ll keep my mouth shut and settle down and be good solid citizen.”

“You wouldn’t and you know it, Mr. Carpenter – unless we desentimentalized you. And I can tell from the expression on your face that you would never voluntarily submit to such a solution. You would rather remain here in your prehistoric past and die.”

“Now that you mentioned it, I would at that,” Carpenter said. “Compared to you people, Tyrannosaurus rex is a Sal­vation Army worker, and all the other dinosaurs, saurisch­ians and ornithischians alike, have hearts of purest gold. But it seems to me that there is one simple thing which you could do in my behalf without severely affecting your desentimentalized equilibrium. You could give me a weapon to replace the one that Holmer disintegrated.”

Hautor shook his head. “That is one thing I cannot do, Mr. Carpenter, because a weapon could conceivably become a fossil, and thereby make me responsible for an anachro­nism. I am already potentially responsible for one in the form of Holmer’s irretrievable body, and I refuse to risk being responsible for any more. Why do you think I iri­desced the kidnappers’ ship?”

“Mr. Carpenter,” Skip called from the gangplank, up which two Martians were dragging him and his sister, maybe Sam’s not completely burned out. Maybe you can rev up enough juice to at least send back a can of chicken soup.”

“I’m afraid not, Skip,” Carpenter called back. “But it’s all right, you kids,” he went on. “Don’t you worry about me – I’ll get along okay. Animals have always liked me, so why shouldn’t reptiles! They’re animals, too.”

“Oh, Mr. Carpenter!” Marcy cried. “I’m so sorry this hap­pened! Why didn’t you take us back to 79,062,156 with you? We wanted you to all along, but we were afraid to say so.”

“I wish I had, pumpkin – I wish I had.” Suddenly, he couldn’t see very well, and he turned away. When he looked back, the two Martians were dragging Marcy and Skip through the locks. He waved. “Good-by, you kids,” he called. I’ll never forget you.”

Marcy made a last desperate effort to free herself. She al­most, but not quite, succeeded. The autumn asters of her eyes were twinkling with tears like morning dew. “I love you, Mr. Carpenter!” she cried, just before she and Skip were dragged out of sight. “I’ll love you for the rest of my life!”

With two deft movements, Hautor flicked the hearrings from Carpenter’s ears; then he and the rest of the cavalry climbed the gangplank and entered the ship. Some cavalry! Carpenter thought. He watched the street doors close, saw the Empire State Building quiver.

Presently it lifted and hovered majestically, stabbed into the sky just above the ground on a wash of blinding light. It rose, effortlessly, and became a star. It wasn’t a falling star, but he wished upon it anyway. “I wish both of you happiness,” he said, “and I wish that they never take your hearts away, because your hearts are one of the nicest things about you.”

The star faded then, and winked out. He stood all alone on the vast plain.
The ground trembled. Turning, he caught a great dark movement to the right of a trio of fan palms. A moment later, he made out the huge head and the massive, upright body. He recoiled as two rows of saberlike teeth glittered in the sun.
Tyrannosaurus!

A burned-out reptivehicle was better than no reptivehicle at all. Carpenter made tracks for Sam.

In the driver’s compartment, with the nacelle tightly closed, he watched the theropod’s approach. There was no question but what it had seen him, and no question but what it was headed straight for Sam. Marcy and Skip had retracted the nacelle-shield, which left Carpenter pretty much of a sitting duck; however, he didn’t retreat to Sam’s cabin just yet, for they had also re-projected the horn-howitzers.

Although the howitzers were no longer maneuverable, they were still operable. If the tyrannosaurus came within their fixed range it could be put temporarily out of action with a volley of stun-charges. Right now, it was approaching Sam at right angles to the direction in which the howitzers were pointing, but there was a chance that it might pass in front of them before closing in. Carpenter considered it a chance worth taking.

He crouched low in the driver’s seat, his right hand with­in easy reaching distance of the triggers. With the air-conditioning unit no longer functioning, the interior of the triceratank was hot and stuffy. To add to his discomfort, the air was permeated with the acrid smell of burnt wiring. He shut his mind to both annoyances, and concentrated on the task at hand.

The theropod was so close now that he could see its atrophied forelegs. They dangled down from the neck-width shoulders like the wizened legs of a creature one tenth its size. Over them, a full twenty-five feet above the ground Rod attached to a neck the girth of a tree trunk, loomed the huge head; below them, the grotesque torso swelled out and down to the hind legs. The mighty tail dragged over the landscape, adding the cracking and splitting noises of crushed shrubbery to the thunder thrown forth each time the enormous bird-claw feet came into contact with the terrain. Carpenter should have been terrified. He was at a loss to understand why he wasn’t.

Several yards from the triceratank, the tyrannosaurus came to a halt and its partially opened jaws began opening wider.

The foot-and-a-half-high teeth with which they were equipped could grind through Sam’s nacelle as though it was made of tissue paper, and from all indications, that was just what they were going to do. Carpenter prepared himself for a hasty retreat into Sam’s cabin; then just when things looked blackest, the therodon, as though dissatisfied with its present angle of attack, moved around in front of the reptivehicle, providing him with the opportunity he had been hoping for. His fingers leaped to the first of the trio of triggers, touched, but did not squeeze it. Why wasn’t he afraid?

He looked up through the nacelle at the horrendous head. The huge jaws had continued to part, and now the whole top of the skull was raising into a vertical position. As he stared, a pretty head of quite another nature appeared over the lower row of teeth and two bright blue a eves peered down at him.
“Miss Sands!” he gasped, and nearly fell out of the driver’s seat.

Recovering himself, he threw open the nacelle, stepped out on Sam’s snout and gave the tyrannosaurus an affectionate pat on the stomach. “Edith,” he said. “Edith, you doll, you!”

“Are you all right, Mr. Carpenter?” Miss Sands called down.

“Just fine,” Carpenter said. “Am I glad to see you, Miss Sands!”

Another head appeared beside Miss Sands. The familiar chestnut haired head of Peter Detritus. “Are you glad to see me too, Mr. Carpenter?”

“Well, I guess, Pete old buddy!”

Miss Sands lowered Edith’s lip ladder, and the two of them climbed down, Peter Detritus was carrying a tow cable, and presently he proceeded to affix it to Sam’s snout and Edith’s tail respectively. Carpenter lent a hand. “How’d you know I was in a pickle?” he asked. “I didn’t send back any soup.”

“We had a hunch,” Peter Detritus said. He turned to Miss Sands. “There, she’s all set, Sandy.”

“Well, let’s be on our way then,” Miss Sands said, She looked at Carpenter, then looked quickly away. “If, of course, your mission is completed, Mr. Carpenter.”
Now that the excitement was over he was finding her presence just as disconcerting as he usually found it. “It’s completed all right, Miss Sands,” he said to the left pocket of her field blouse. “You’ll never believe how it turned out, either.”

“Oh, I wouldn’t say that. Sometimes the most unbeliev­able things of all turn out to be the most believable ones. I’ll fix you something to eat, Mr. Carpenter.”

She climbed agilely up the ladder. Carpenter followed, and Peter Detritus brought up the rear. “I’ll take the controls, Mr. Carpenter,” the latter said, pulling the ladder. “You look bushed.”

“I am,” Carpenter said.

In Edith’s cabin, he collapsed on the bunk. Miss Sands went over to the kitchenette and put water on to boil for coffee and took a boiled ham down from the refrigerator-shelf. Up in the driver’s compartment, Peter Detritus closed the nacelle and threw Edith into gear.

He was a good driver, Peter Detritus was, and he would rather drive than eat. Not only that, he could take a paleon­tologivehicle apart and put it back together again blind-folded. Funny, why he and Miss Sands had never gone for each other. They were both so attractive, you’d have thought they would have fallen in love long ago. Carpenter was glad that they hadn’t of course – not that it was ever going to do him any good.

He wondered why they had made no mention of the Space Police ship. Surely, they must have seen it when it blasted off . . .

Edith was moving over the plain in the direction of the uplands now, and through the cabin viewport he could see Sam shambling along behind on motion-provoked legs. In the kitchenette, Miss Sands was slicing ham. Carpenter concentrated on her, trying to drive away the sadness he felt over his parting with Marcy and Skip. His eyes touched her slender shapely legs, her slender waist, rose to her cupreous head, lingering for a moment on the silken fuzz that grew charmingly on the back of her neck where her hair had been cut too short. Strange, how people’s hair got darker when they grew older –
Carpenter lay motionlessly on the bunk. “Miss Sands,” said suddenly, “how much is 499,999,991 times 8,003,432,111?”

“400,171,598,369,111,001,” Miss Sands answered.

Abruptly she gave a start. Then she went on slicing ham.

Slowly, Carpenter sat up. He lowered his feet to the floor. A tightness took over in his chest and he could barely breathe. Take a pair of lonely kids. One of them a mathematical genius, the other a mechanical genius. A pair of lonely kids who have never known what it is like to be loved in all their lonely lives. Now, transport them to another planet and put them in a reptivehicle that for all its practicability is still a huge and delightful toy, and treat them to an impromptu Cretaceous camping trip, and show them the first affection they have ever known. Finally, take these things away from them and simultaneously provide them with a supreme mo­tivation for getting them back – the need to save a human life – and include in that motivation the inbuilt possibility that by saving that life they can – in another but no less real sense – save their own.
But 79,062,156 years! 49,000,000 miles! It couldn’t be!

Why couldn’t it?

They could have built the machine in secret at the preparatory school, all the while pretending to go along with the “pre-desentimentalization process”; then, just before they were scheduled to begin receiving doses of the desenti­talization drug, they could have entered the machine and time-jumped far into the future.
Granted, such a time-jump would have required a vast amount of power. And granted, the Martian landscape they would have emerged on would have given them the shock of their lives. But they were resourceful kids, easily resourceful enough to have tapped the nearest major power source, and certainly resourceful enough to have endured the climate and the atmosphere of Mars Present until they located one of the Martian oxygen caves. The Martians would have taken care of them and have taught them all they needed to know to pass themselves off as terrestrials in one of the domed colonies. As for the colonists, they wouldn’t have asked too many questions because they would have been overjoyed to add two newcomers to their underpopulated community. After that, it would merely have been a matter of the two children’s biding their time till they grew old enough to work and earn their passage to Earth. Once on Earth, it would merely have been a matter of acquiring the necessary education to equip them for paleontological work.

Sure, it would have taken them years to accomplish such a mission, but they would have anticipated that, and have time-jumped to a point in time far enough in advance of the year A.D. 2156 to have enabled them to do what they had to do. They had played it pretty close at that, though. Miss Sands had only been with NAPS for three months, and as for Peter Detritus, he had been hired a month later. On Miss Sands’ recommendation, of course.

They had simply come the long way around – that was all. Traveled 49,000,000 miles to Mars Past, 79,062,100 years to Mars Present, 49,000,000 miles to Earth Present, and 79,062,156 years to Earth Past.

Carpenter sat there, stunned.

Had they known they were going to turn out to be Miss Sands and Peter Detritus? he wondered. They must have – or, if not, they must have gambled on it and taken the names when they joined the colonists. All of which created something of a paradox. But it was a minor one at best, not worth worrying about. In any event, the names certainly fitted them.

But why had they passed themselves off as strangers? Well, they had been strangers, hadn’t they? And if they had told him the truth, would he have believed them?

Of course he wouldn’t have.

None of which explained why Miss Sands disliked him.

But did she dislike him? Maybe her reaction to him resulted from the same cause that was responsible for his reaction to her. Maybe she worshipped him as much as he worshipped her, and became as tongue-tied in his presence as he did in hers. Maybe the reason she had never looked at him any longer than was absolutely necessary was that she had been afraid of betraying the way she felt before he learned the truth about her.

He found it suddenly hard to see.

The smooth purring of Edith’s battery-powered motor filled the cabin. For quite some time now there had been no other sound.

“What’s the matter?” Miss Sands said suddenly out of clear blue sky. “Cat got your tongue, Mr. Carpenter?” He stood up then. She had turned, and was facing him. Her eyes were misted, and she was looking at him gently, adoringly . . . the way she had looked at him last night, in one sense, and 79,062,156 years ago in another, by a Meso­zoic campfire in an upper Cretaceous cave. Why I’ll bet if you told her you loved her, she’d throw herself into your arms!

“I love you, pumpkin,” Carpenter said.

And Miss Sands did.

Do you want more?

I have more posts like this in my fictional Index here…

Fictional Stories

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 

This Japanese Artist DHK Imagined What Cats Would Look Like As Anime Girls

Here’s a nice distraction for a change. And Lordy do we all need it.

No talk about war today. We are going to shelve it.

Instead, We are going to play around with art and cats. As I know that many MM readers are pet lovers, and many of them love kitties. And you all know how special cats are. So we are just going to take a nice fun break and play around with cats and anime.

Japanese artist known as DHK recreated funny cat pictures in an anime style, turning the kittens into girls. The recreations are cute and professional. The artist manages to keep the resemblance to the original picture while creating stylish and beautiful characters that will probably make you wonder how your pets would look as humans.

More: Twitter h/t: boredpanda

A pinch of the cheek…

1 36 650x759 1
1 36 650×759 1

Now, how about a cat stretching…

2 35 650x697 1
2 35 650×697 1

Cute cat meme on the computer…

3 33 650x672 1
3 33 650×672 1

I guess you could say it’s a meme about a meme regarding a meme. And now how about a cat dazing out into space…

4 33 650x609 1
4 33 650×609 1

Or…

Perhaps a pensive tuxedo kitty…

5 31 650x813 1
5 31 650×813 1

Or a cat taking a playful bite out of an arm…

6 28 650x650 1
6 28 650×650 1

Or, how about this meme…

7 28 650x542 1
7 28 650×542 1

And my cat used to do this all the time…

8 27 990x1238 1
8 27 990×1238 1

As well as do this. In fact, I would always rub my hand across his back, up to his tail…

9 27 990x825 1
9 27 990×825 1Fun huh?

 

Fun huh?

Yeah. I think so.

I just wanted to give everyone a break. We all need it. Don’t you think? Now for some more fun with your little buddies…

If you have a cat, you’ll love this.

Cat guardians (that means youse guys) will do anything to get their feline friends happy and purring. Sometimes this means spending dough (also known as moola) on toys and treats.

But wait!

You don’t have to spend a ton of money when the DIY versions are just as fancy.

So, Come on! Take a look at some favorite DIY cat toys. And keep in mind that you don’t need to spend a lot of money to make much of these items. Just some creative skills and a desire to help your beloved kitties play around.

We think they’re pretty purr-worthy:

Tree Stump Scratch Pad

This scratch pad is too cute for words. This is DIY at its best. When I was a boy, we used to roll up cardboard like this and melt wax in the grooves. It makes for great fire starters. This one just wraps cardboard and then holds it in place with Hot-melt glue.

2022 03 04 15 19
2022 03 04 15 19

Peek-a-Boo Puzzle

2022 03 04 15 20
2022 03 04 15 20

You can replicate this adorable toy by grabbing a box that’s similar to the one in the above photo. Hum…? What kind of box? I suggest a pizza box. Or, perhaps the box that your laptop came in.

Anyway. No problem! If you don’t have a box, then no problem! Just order take out. Problem solved!

2022 03 06 07 42
2022 03 06 07 42

Then, after you eat the pizza, simply cut holes in it and grab a ball or two.  I suggest those little mini pom-poms that you can get at DIY hobby craft stores.

Your kitty’s mind will be stimulated, and his or her heart will be full!

DIY Cat Puzzle

No cardboard boxes, eh? Well, I’m sure that you have some old Tupperware lying around. Don’t you?

By making holes in the lid of your Tupperware container, you can transform a Tupperware container into a brain exercise for your cat! All you need is to add some different-sized and colored balls in the container and your kitty is good to go.

This DIY cat puzzle will be lots of fun for inquisitive felines. No more bored kitty!

2022 03 04 15 36
2022 03 04 15 36

DIY Cat Tree With Hammock

A simple stepladder gets a serious upgrade with this scratch-pad cat tree plus hammock. Just lay out an old towel, Tee-shirt, or cloth for your little buddy to lay upon. If you are creative, you can add cushions, zippers, thread, and all sorts of dangling things.

2022 03 04 15 23
2022 03 04 15 23

McDonald’s stirrers

Come on! What cat owner doesn’t know of this trick?

2022 03 05 08 26
2022 03 05 08 26

Double-Decker Cat Snug and Scratch Post

This is a bit more involved, but if you love your little buddies, perhaps you can throw something together. It doesn’t matter if it is made out of cardboard, or wood, or old furniture. Just make it cat-playful-friendly.

For me, here’s the big secret…

Just get cat-sized cardboard boxes. Don’t do anything else. Let the cats explore.

2022 03 04 15 24
2022 03 04 15 24

Aluminum foil ball

Take a piece of aluminum foil – Crinkle it up – And make it into a ball. Yup, that simple, of course. You can decide whether to have the ball very tightly packed in, or if you’d rather, it can be less packed and bigger. You can have a number to see which your cat prefers.

2022 03 04 15 38
2022 03 04 15 38

T-Shirt Cat Toy

Here’s another crafty way to repurpose that old T-shirt that you were planning to toss out. Cut the shirt into long strips and tie them into bows. What’s so difficult about that?

2022 03 04 15 27
2022 03 04 15 27

Cat Wand

You probably already know that cats love wand toys. The thing is, they destroy them, and you end up buying one after another. Stop the spending cycle with this easy DIY cat-wand tutorial.

2022 03 04 15 28
2022 03 04 15 28

A bag of DIY feathers

Heck! You don’t even need to open the bag up. Just throw it on the floor and let them “go to town”!

2022 03 05 08 30
2022 03 05 08 30

Ice Cube Tray Food Puzzle

All you need is an ice cube tray and some kibble to make a new food puzzle for kitty.

2022 03 04 15 29
2022 03 04 15 29

Wine Corks To DIY Kitty Toys

I have boxes of corks, don’t you know. I hope you’re saving your wine corks! In addition to these fun wine cork crafts, you can make some adorable wine cork kitty toys for your furry friend.

To make these adorable DIY cat toys, first, soften your wine corks by boiling and then make a hole in each of the softened wine corks. Using epoxy to make sure nothing comes loose, insert and stick some feathers, pipe cleaners, ribbon, jute, or anything else that will attract and keep your kitty busy for hours. Allow the toy to fully dry before giving it to your kitty.

2022 03 04 15 31
2022 03 04 15 31

DIY Cat Tent

While this DIY isn’t a cat toy, we know that every cat needs a place to hide — a little sanctuary to get away in when the house becomes too busy.

A DIY t-shirt cat tent is just the ticket! Simply make it with wire clothes hangers (to shape the tent) and an old t-shirt. DIY’ing cat toys and stuff for your kitty couldn’t get easier than this!

2022 03 04 15 33
2022 03 04 15 33

Some Cat Videos

Have some fun.

Video 1 – Bra thief. 1MB

Video 2 – Russian super cat -1MB

Video 3 – Cat furiously attacks dog – 2MB

Video 4 – Great Mouser – 7MB

Video 5 – Kung Fu Kitty – 4MB

Video 6 – Kitten has the makings of a great mouser – 4MB

Video 7 – Monkey hasn’t a chance – 7MB

Now, let’s end this article with some fun cat pictures…

With a doll.

2022 03 04 15 41
2022 03 04 15 41

The cat at play Painting by Henriette Ronner-Knip

2022 03 04 15 43
2022 03 04 15 43

And some purrrrr-fect inspiration…

2022 03 04 15 44
2022 03 04 15 44

Do you want more?

I have more articles like this one in my Cat Index,  which is part of my happiness index here…

Life & Happiness

.

MM Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 

China is breaking all barriers while the USA just is acting like a crazy senile old chicken without a head

The US is no longer the self-confident colossus that bestrode the world a few decades ago. America in 2022 is a financial, political, economic, military and social basket case, and its UK ally is in the same very leaky boat. 

The liberal Anglo world is further disturbed by the situation in Canada, which is now in the critical phase of a historic struggle between the Trudeau regime and a large and well-organised section of the working population. 

-UNZ

So I get up this morning, and after my normal routine, I grabbed my cup of coffee and checked my email, and all of that. After I responded to a few tight communications, I then headed towards the “Drudge Report”. It’s a “news” agreggator that collects daily “news” out of the United States. It’s routinely full of bullshit, and it’s one of the reasons why I just don’t read that bullshit any longer. I have a blood pressure issue, and anger just doesn’t help.

Today is day two since the Ukraine started shelling the pro-Russian Ukranian provences in Eastern Ukraine. And Putin and Russia has been very clear. They will not get involved in any of the “baloney” and nonsense out of NATO, the Ukraine and the United States. So they aren’t.

So what does the American “news” report?

Take a look…

Russia has put it’s foot down and stated boldly that there will be no fighting on it’s doorstep. So it sent in peacekeepers to stop the shelling.

That’s hardly an invasion!

But, the US media spins and spins and spins.

I don’t know about most of the other subjects, but I do follow the situation inside of China and with Russia. And I can POSITIVELY confirm that almost every American “news” report regarding them are lies. Or, at best detail omitted distortions.

There is no way that Russia wants Kiev. President Putin, and his foreign ministers have repeatedly stated so.

But they DO want [1] nuclear weapons, and [2] fighting off their borders. That’s a fact. It’s a reasonable fact. They also want America, NATO and the Ukraine to follow their treaty signitures.

What’s a document and a treaty is it is not ever being obeyed or followed? It has gotten so bad that I task the reader to find one treaty that the USA has followed to the letter. I cannot find one. And I looked.

The USA (and NATO) makes and breaks treaties at will.

What’s the point of having treaties at all?

You do know what Genghis Khan would do, right?

You do realize, just by looking at the map above, that Russia and China are the inheritors of the Genghis Khan empire. You DO know what that means. Right?

As far as American “news” goes…

So where in FUCK do they get the intel?

Conservative talk radio? Here’s from hal Turner that is pushing the “silent war” angle with “stealth invasion by Russia” narrative…

Where do they get this intel?

Oh, excuse me. They got it from un-named experts in the United States. That’s where.

Un-named “experts”

Here’s another…

The Washington Post newspaper is reporting that according to “U.S. Officials, U.S. intelligence intercepted an order given to Russian subordinates that said that they were to proceed with a full-scale attack on Ukraine.”

People, I cannot confirm this intel AT ALL.

According to the Washington Post newspaper:

The Biden administration has been warning of imminent attacks for days. Defense Secretary Lloyd Austin on Saturday said Russian forces “are now poised to strike,” bolstering President Biden’s warning on Friday that Russian President Vladimir Putin had “made the decision” to attack Ukraine. 

The White House said Biden would convene a rare Sunday meeting of the National Security Council to assess the developing situation in Ukraine.

However, some high-level European officials have expressed frustration that the United States had not shared the intelligence that led it to surmise Russia’s intentions with such certainty. 

U.S. intelligence that provided Biden with the confidence to make the assertion came from an order given to Russian subordinates to proceed with a full-scale attack, according to several people familiar with the matter, who spoke on the condition of anonymity because of the matter’s sensitivity. 

The United States obtained intelligence on the order as Russian military and security officials were taking steps to implement it, and did so very recently, the people said.

Oh. So it’s automatically truth, eh?

Long time readers of MM know the truth about all this.

Truth is evident in behavior.

Now look people. If you are doing well, if you are successful, and if you are happy and healthy you act that way. You do not lie. You do not apologize for your actions. You do not go around hiding behind others, or making false promises.

You do not.

You don’t.

Why?

Because you don’t NEED to.

It’s like people saying that China is lying or covering things up. Like torture, starvation, etc. etc.

Yada. Yada. Yada.

China, people! It’s a Communist country. They don’t NEED to lie. They tell you the way things are and you deal with it. Simple and painless.

And like China…

You become confident in your success and who you are. You act like Dwayne Johnson. You act like Clint Eastwood. You act like Bruce Willis in “Die Hard”. You become a bit proud and if you made a mistake, you say something like…

"Yea. I made a mistake. Do you have a problem with it?".

Dwayne Johnson (AT THE FAR RIGHT) in one of the movie Jumandi sequels that he starred in.

That’s not the case of losers, children, infants, and those with poor self esteem, or those that have things to hide. They must lie, and distort things.

Like in a “democracy” where the opinions of others determine your role in society and government. You have to lie, and make promises, and cover up truths.

These people, well, they come up with excuses and distortions. They act like Chris Tucker in “Rush Hour”. And when caught in a lie, they tend to ignore what you said or lie about it.

"It was not my fault. Besides, I was right and you are wrong. And what I am telling you, you must believe, and obey or else!"

Chris Tucker in “Rush Hour”.

And that is how human beings act.

Using basic human nature as a guide, how would you classify the “news” coming out of the United States today? As that of a healthy and proud nations, or as a losing, dying nation?

The fundamental targets of the NATO warmongers in this crisis are not Donbass, nor even Russia, but Germany, and China’s One Belt, One Road initiative. 

They are trying to keep Germany down, and China out; failure to do both means that the US will become an isolated rust-belt island thousands of miles away from the core economic block of the world.

The same development also spells the forthcoming end of the dollar as the world’s financial reserve currency, while America’s time as a sole military superpower has already clearly ended. 

This is a classic example of the Thucydides’ Trap, the moment when an emerging power threatens to displace an existing great power as the hegemon of the age, a transition which all too often is accompanied by a war of desperation.

-UNZ

The point

To Americans, the elite and the general population alike, wars are things that are fought in other peoples’ countries. Despite the fact that the US hasn’t won a war since the campaign against Japan in 1945, the general view in Washington, in particular, is that there is nothing to fear and plenty to gain from war. 

-UNZ

I argue that the United States is doing everything that it can to hide the face of it’s true and actual nature.

Not only to it’s citizenry, but also to other nations.

It has been bribing it’s toadies for compliance, and manipulating everyone else. It has also been involved in creeping antagonism at all levels against most every threat whether real or not.

Those in the West call it a “hybrid war”.

I call it what it is actually; the publicly exposed elements of a real, on-going, war. It’s the tip of the icebreg that is never reported.

The anti-China propaganda roars forward…

It’s from Bloomberg. Of course. Screenshot. The article itself isn’t worth your time to read.

I live in China and I didn’t even know there was a scandal. Imagine that!

So are girls, women and mothers “chained” inside of China?

Are you fucking serious?

Do you even know what a Chinese woman looks like?

Chinese girl in black

Most Chinese girls and women look like this. In fact, if your nation allows you to access Douxing, you can watch them all the time. Too bad that it is banned in the United States, Canada, The UK, and Australia. You know, for “national security”. Don’t you know.

video

The reality of what China is has been so massively distorted that no one in the West knows the truth any more…

This t-shirt *ISN’T* dirty!!

So what is going on inside of China? Well, consider this…

This is exactly what GOOD marketing is about:

Yujian Xiaomian 遇见小面 is a noodles brand that may not be known to all of you (especially abroad), but there’s a lot of marketers and brands can learn from them.

This t-shirt is such a simple idea, but it hits the nail on the head.

What’s everyone’s issue when eating soup noodles? The oil stains.

Happens. Every. Time.

So how do you fix that? They decided to create this limited-edition t-shirt to give out for free to anyone who gets their white t-shirt stained while eating at their store.

(+They also sell on WeChat)

So they’ve taken the MAIN thing that gets people worried and used it as a linchpin for their campaign. Brilliant.

Not only that, but the wordplay on the t-shirt is great too:

It makes clever use of the expression “Jia You” (literally: add oil) which is used figuratively to motivate others, like saying “Come on! You can do it!” and changing it to “add some oil AGAIN”.

The best part is that people expressed very mixed feelings about this online…

Some left comments like “this is gross” or “this makes me want to wash it” – while others thought it was very funny and creative.

BUT – that’s precisely what great marketing is about!

You want to spark conversation!

BE creative, BE divisive, get people expressing polar views – that’s what’s makes this whole thing so fun and engaging.

Yeah.

I kind of like the marketing aspect of this, and it’s unique and a good thought. Though whether or not I’d buy one is unknown. I’m of a different generation, don’t you know, and my tastes and ascetics are somewhere else.

But…

What about the chained up women? What about the horrible plight of the Uighur Muslims? What about the poor oppressed young Chinese yearning™ for freedom™ and democrac™y?

Chinese girl in a cute miniskirt

But, the ignorant, stupid, moronic American screeches… chained Uighurs! Chained Chinese women! Starving people pining away for freedom™ and democracy™!

Nope.

This is what Chinese women look like…

video

A new world order

Nope. It’s not the George Bush NWO.

It’s a REAL *new*, New World Order; its a revised world order.

Why is the United States in such a hysterical hissy-fit? Well, for the last 100 years (probably longer) it’s all be lies, built upon lies, constructed over lies, and now ruled by the best liers and the most corrupt individuals. It’s a “house of cards” that is falling down, and the rest of the world doesn’t want any part of it.

See…

Countries grouped around their most important trading partner.

1990 versus 2020

Chinese girl in a village

And when you point these truths out, there is this period of silence, and then the computerized megaphone yells out the preprogrammed narrative; “‘Merica! It is the best and the brightest! It is the house on the shining hill. Everyone wants to lvie in ‘Merica!”

Then followed shortly afterwards with…

“Chained Chiense women. Fake false flags. Olympic failures”.

Here’s the real deal. This is what is going on inside of China. This is the real picture.

video

But some technical folk do see. Maybe not the entire picture, but maybe their little part of it, and see that there are serious clamering bells and alrms a wailing…

China’s 5G Soars Over America’s

In some U.S. cities, it’s slower than the old 4G system. Washington should make it a priority.

At this point, football fans have seen so many ads from AT&T and Verizon claiming to have the fastest and most reliable 5G service on the planet that those without a 5G smartphone might think they are really missing something. Don’t be misled. Unless you are traveling internationally, you won’t enjoy faster speeds with a new 5G-enabled smartphone than you’d get on a 4G phone streaming games from New York, Los Angeles or many other U.S. cities. AT&T’s and Verizon’s new 5G networks are often significantly slower than the 4G networks they replace. America is far behind in almost every dimension of 5G while other nations—including China—race ahead.

America’s average 5G mobile internet speed is roughly 75 megabits per second, which is abysmal. In China’s urban centers 5G phones get average speeds of 300 megabits per second. Though that’s not quite the fastest 5G in the world—South Korea claims that title at over 400 Mbps—it’s still fast enough to download a high-definition movie in two minutes. Mobile internet speed is a central advancement of 5G, which enables a new domain of breakthrough applications with potent economic and national-security implications. American 5G upload speeds are slower than those of many developed countries, including Israel, Singapore and Canada. In Boston, Chicago and New York City, AT&T’s 5G speeds are at least 10% slower than its 4G; in Washington, Los Angeles and Austin, Texas, Verizon’s 5G speeds are at least 20% slower than the company’s 4G.

The U.S. also trails China in the global market for 5G-related services. Although American sanctions have hurt Huawei, China’s national champion is still the global leader in supplying 5G infrastructure with 30% of the market, while no U.S. firms sell 5G infrastructure abroad. Strategically significant countries including Russia, Saudi Arabia, South Africa and Turkey have installed Huawei infrastructure and are already using it to deliver 5G services.

While Beijing has prioritized broadening its 5G network, Washington has a dysfunctional relationship with the U.S. mobile industry—as typified by the Federal Aviation Administration’s hysterics over the proximity of American airports to 5G services, which operate near scores of airports around the world with no problem. For its part, China has been rapidly allocating the most efficient part of the wireless spectrum, called midband, to 5G service providers. China has deployed at least three times as much midband to 5G providers as the U.S. has. AT&T and Verizon are using the same spectrum bands for both their 4G and 5G networks. As a result, as one industry analyst aptly put it, their 5G networks are “just 4G with sprinkles on it.”

Washington’s dithering has left America well behind China in the race to build 5G infrastructure. Because 5G signals have short wavelengths, reliable service requires proximity to many wireless base stations. China has installed more than one million 5G base stations, while the U.S. has built only 100,000. The American fiber-optic network is also less dense than that of many developed countries like Japan, making it more difficult for mobile operators to deploy these small cell sites.

China’s investment in 5G also dwarfs America’s. The Innovation and Competition Act, which Senate Majority Leader Chuck Schumer hailed as “the key to preserving America’s position on the world stage as a current and future technological leader in the 21st century,” would authorize $1.5 billion in spending on 5G mobile networks through 2026. China has already spent $50 billion to build out its 5G network and is on track to spend an additional $100 billion on 5G over the next five years.

The pathetic U.S. performance in the 5G race is a sign of America’s larger failure to keep up with China on strategically important technologies. China is also ahead of America in high-tech manufacturing, green energy and many applications of artificial intelligence. On current trajectories, by 2030 it will likely lead the U.S. in the number of semiconductor chips it produces and in applications of biotechnology to defeat diseases like cancer.

In 2019 the Pentagon’s Defense Innovation Board tried to sound the alarm, stating bluntly: “China is on a track to repeat in 5G what happened with the U.S. in 4G.” The transition from 3G to 4G made possible a previously unimaginable world of mobile computing, smartphones and applications from Google Maps and Uber to Facebook and Instagram. The step up to real 5G speeds will lead to analogous breakthroughs in autonomous vehicles, virtual-reality applications like the metaverse, and other areas that have yet to be invented. Applications abound that could advantage a country’s intelligence agencies and enhance its military capabilities.

It will take far more than an additional $1.5 billion investment from Congress to change this. The Biden administration should make 5G a national priority and take the lead in building digital highways across the country as the government did in creating our national highway system. Otherwise, China will own the 5G future.

Saga of the chained Chinese girl…

Again. You saw what the Drudge Report said…

When you read the AP “report”, there is no evidence. Just an accusation that is repeated and treated like it is fact. Sheech!

AP screenshot.

And you know, it doesn’t look at all like what is really going on…

Chinese girl cute at home

video

The rest of the world is seeing this. And theya re starting to take action.

One of the Five Eyes has blinked over China

New Zealand has defied the wrath of its Anglosphere allies to sign a big new trade deal with Beijing

China and New Zealand this week completed the ratification of their upgraded free-trade agreement, which will come into force in April. Although the deal was a long time in the works, it expands the number of tariff-free goods New Zealand is able to export into China, securing what is its largest market and a key source of income for its farmers.

The agreement comes despite the growing tensions between Beijing, the United States and its allies, with Washington seeking to put the brakes on China’s expanding economic ties with its allies, in a view towards strategic containment.

This has led to claims that New Zealand, a member of the Five Eyes Anglosphere intelligence alliance involving Australia, Canada, the United Kingdom and the United States, has not been sufficiently ‘loyal’ to their agenda. Jacinda Ardern’s more cautious approach towards Beijing, as opposed to the absolutely relentless hostility to China seen in neighboring Australia, has seen her country mocked as ‘New Xi-land.’

So why is New Zealand acting differently to its partners when it comes to Beijing? And will the pressure to get it to take America’s side succeed?

New Zealand, for many reasons, is a country which is fundamentally different from the other Anglosphere nations; its world outlook is more moderate, less elitist and more progressive. While the other three countries, Australia, Canada and the United States, were once British colonies established through the near total, unapologetic destruction of indigenous inhabitants in the name of Anglo-Protestant settler supremacy, New Zealand was established as more of a compromise between the British and the native Māori population. The Maori were not entirely displaced, but instead remained a cultural and political force within the country.

In recent years, Maori culture has in fact been on the ascendency in New Zealand and unlike its Anglophone counterparts, the nation’s broader identity has absorbed it. This means that in foreign relations, New Zealand is less inclined towards the zealous imperialist and supremacist mindset seen in Washington, Canberra, London and Ottawa.

Wellington is considerably more peaceful and less contentious in its outlook, which in turn influences its attitude towards Beijing. As a nation of just five million people which relies significantly on agricultural exports, China’s market of 1.4 billion hungry mouths represents a trade bonanza that is simply not matched anywhere else in the world. Why, in such circumstances, should New Zealand subscribe to an aggressive anti-China agenda?

Yet for all intents and purposes New Zealand does, on paper, effectively take a side as part of the US-led security order in the Pacific, even if it is not considered a leading player or part of concentrated groups such as ‘The Quad’ strategic security grouping of the United States, India, Japan and Australia.

Whilst British and Australian media outlets are quick to accuse Ardern’s New Zealand of being subservient to China owing to trade, this is misleading. One may note that New Zealand has banned Huawei from its 5G networks, that it still engages in naval military drills against China in areas such as the South China Sea, still puts its name to anti-China statements pursued at the United Nations and elsewhere, and so on. But, significantly, this is done in a non-aggressive, discrete and non-confrontational way.

It might be said that Wellington ‘follows’ the US agenda in its own moderate way, but does not attempt to lead or put its head above the pack needlessly. For example, New Zealand has somewhat embraced the Xinjiang-focused human rights discourse, but has notably avoided the ‘genocide’ accusation as pushed by the most aggressive Anglosphere politicians. Likewise, when the AUKUS deal to provide nuclear submarines for Australia was declared last year, Ardern announced such subs would be banned from its waters as part of its anti-nuclear stance.

These distinctions remind us that New Zealand’s foreign policy is not ‘pro-China’ as such, but a careful balancing act not to frame itself as an explicit enemy to Beijing in the way Australia has done, a move that resulted in China banning numerous exports from that country throughout 2020 and 2021. New Zealand, were it to follow suit, arguably has far more to lose as a much smaller nation.

Yet this also alludes to the underlying reality: that Wellington is part of an economic order which increasingly revolves around China, albeit while simultaneously being part of the US-led security order. Whilst these conflicting modes of existence have caused more discomfort in Australia, owing to its much stronger sense of Anglophone exceptionalism and historical embrace of ‘yellow peril’ rhetoric, New Zealand seems to be deftly riding out the balance.

The upgraded trade agreement with Beijing illustrates this existence isn’t going to change anytime soon. Wellington is also part of the 15-member Asia-Pacific Regional Comprehensive Economic Partnership that also incorporates China and commenced this year.

While talk of diversification in international trade is often thrown around, this is superficial and easier said than done, for there are no other markets the size of China’s. This is something that the US and some of its closer allies have been unwilling to face: Beijing is and will continue to be the economic heart of an entire region by the realities of size, geography and economics. No amount of denial can change that, however much America wishes it, as set out in its “Indo-Pacific” strategy.

This might mark one final distinction between Wellington and the rest of the Five Eyes states. Whilst the others are in denial, hostile towards a changing world where China is rising, and frantically trying to assemble strategies based on nostalgia or past glories, New Zealand, while still technically on their side, is more pragmatic and realistic about it all. It has not switched allegiances, yet it has few qualms or anxiety or hesitation about integrating and trading with China to its own benefit.

But, you know, those who have the money, and who have travelled, do know what is going on inside of China.

How to pick stocks…

video

Meanwhile the Chiense are moving forward with all kinds of innovations…

A drone within a smartphone?

NICE! CAN I HAVE ONE?

The Chinese smartphone manufacturer VIVO caused a stir a few months ago. A video showing a drone within a smartphone went viral.

The phone appears to have a design similar to a modern smartphone but something is unique about the camera.

Instead of carrying large devices, the drone simply slides out of the phone, flying around and taking pictures.

With its own battery and three installed infrared proximity sensors, it will ensure that the camera drone will not fly against or hit anything.

Release date, price and other information are not yet available, as the product shown is only a patent so far.

But it will be the world’s first flying detachable phone camera.

Video

drone with a smartphone-2022-02-19_17.49.17

But you know…

There’s a ton-load of bullshit on all American platforms. And they are subtle. Most Americans wouldn’t catch the lies.

This one, I caught today on LinkedIN…

But it’s NOT.

Apple is NOT the most popular smartphone in China. It’s not even number four.

Thanks to President Trump, and a rising patriotism thoughtout China, it’s not even number four. It’s way, way down the list, and maybe it was (iPhone) tops in China in 2015, it is no longer.

As long as the anti-China narrative continues, the Chinese interest in buying American products will continue it’s long slide towards ZERO.

Chinese girl visiting Korea

Korea is a beautiful place, and they are Han race, just like the Han Chinese. In fact, many Chinese live inside of Korea. they work there, and live fine productive lives there. This one gal here is an attractive lass (one of my favorites) that lives there. Her videos makes me want to hop on a plane and visit.

video

I’ll bet that she’d be a lot of fun.

The master mind behind HK riots files for bankruptcy

Well, I argue that John Bolton was the mastermind behind the HK riots, but there is no quesiton that Guo Wengui played a signifigant role.

The exiled magnate Guo Wengui is seeking debt relief while he gets his finances together.

Exiled Chinese businessman Guo Wengui filed for bankruptcy on Tuesday night, days after a New York court ordered him to pay $134 million to a creditor for moving a yacht out of U.S. waters to prevent it from debt collection.

Guo, a real-estate developer also known as Miles Kwok, said he fled China in 2014 after learning a state security official linked to him was the subject of a corruption investigation. Beijing later said it was pursuing Guo on a slew of criminal charges, allegations the businessman has denied.

Guo has since lived in New York City and amassed a large following, particularly among Chinese Americans, by painting himself as a mega-rich dissident and freedom fighter who is trying to take down China’s ruling Communist Party. He had an estimated net worth of $1.1 billion in 2015, and the Fifth Avenue penthouse he lived in was worth $68 million.

The Chapter 11 filing indicates that Guo is seeking debt relief and to stop all collection efforts against him pending a reorganization of his finances. He declared that he now owned less than $100,000 of assets but owed dozens of creditors to the tune of $100 million to $500 million.

Guo said his debts came from “litigation expenses, claims, and judgments,” and included a list of entities that were in legal disputes with him.

Guo has become an influential player in the conservative media world in the U.S. after he allied with Steve Bannon, former President Donald Trump’s adviser. He’s an investor and active user of Gettr, a pro-Trump Twitter alternative that claims to be free of “cancel culture.”

Guo’s popular video streams regularly lash out at not only Chinese officials but also other pro-democracy activists from China. He has been promoting false information about the pandemic and called COVID-19 vaccines part of a conspiracy concocted by the Chinese Communist Party.

A 2021 report by network analysis company Graphika found that Guo is at the center of a vast social media network which amplifies online disinformation and promotes real-world harassment campaigns participated by his fans. Guo denies encouraging anyone to participate in harassment.

Guo’s bankruptcy attorney, William Baldiga, did not immediately respond to a request for comments.

The 15-room Manhattan condo on the 18th floor in the Sherry-Netherland hotel, where Guo has lived for years, is now on sale for $45 million, about $23 million less than it was bought for in 2015.

Last week, a New York judge ruled that Guo arranged for a boat called the Lady May to leave New York waters for the Bahamas to shield it from debt collection. Guo, who has maintained he doesn’t own the yacht, was ordered to pay $134 million to a creditor.

Bannon was arrested on the same boat in 2020 on unrelated federal charges.

Last year, three media companies tied to Guo agreed to pay $539 million, without admitting wrongdoing, to settle charges that the companies illegally sold cryptocurrencies.

Here is how to understand China

Bruce Lee explains how China does things. Not just in Covid, Kung Fu, but in education, energy, trade, and military.

video

Chinese girl on a horse

Here’s my gal from Korea again. Can you see why I find her so alluring? Chained up abused little waif? No. Not in the least.

video

To truly understand what all these hate-filled articles are all about, one must divorce themselves from the “reporting on a comment, reported by another, that suggests that maybe XXXX happened”, to actually seeing what is going on via video, and first-hand observations.

Chinese girl outside a local store

Here is a Chinese girl outside of a typical small town store. This is a pretty much typical scene throughout China. She has the same hair color that MM has, don’t you know. Therefore, there is an immediate affinity for this gal. Though, I must tell you all, seriously… she’s much prettier than I am.

video

China is just moving ahead, and allowing the rest of the world to howl.

And, you know…

Unhinged America

They are really, right now, totally unhinged.

It’s funny if it wasn’t so sad.

Alex Jones

China Makes Breakthrough Ahead of Other Nations in Crucial Fusion Reactor Technology That Promises Endless Source of Power

From HERE.
.

The race for advanced weaponry has been one-upped with the stride in fusion reactor technology that places a Chinese tokamak ahead of other nations as a crucial future development.

China, despite the claims of the US, is now way ahead in arms and energy research crucial to lead countries as pre-eminent powers exceeding the American lead.

A self-contained sun or ‘Tokamak’ will be providing power for China, with inner temperatures as high as 120 million degrees.

China advances with the power of its artificial sun

Experts say that Beijing’s push to advance technology has enabled the development of fusion power in a compact casing. Advanced countries are racing to beat China to pursue cutting-edge scientific developments, reported the Sun UK.

The artificial sun breakthrough will cement the lead of the Communist Party in a power-hungry world. Fusion can power with safe non-lethal energy that is clean and renewable, the holy grail which the west wants to have.

Research to contain the immense heat as a source of power for the earth and the sun at its core. Compared to fission, it offers more options since the atomic age started in the 1950s, cited Techno Trendz.

The goal is for the contestants in this race to get the most wins to complete a fully functional Tokamak. The rat race to see the finish line is what western and eastern powers are gunning for.

The recent announcement that the Chinese Tokamak started up as a next-generation fusion reactor that burned with the heat of a star for 20 minutes, the longest time on record.

What is shown to the western allies is that Beijing might be at a tipping point that will be a sign of Chinese dominance of fusion technology.

According to two analysts, Thomas Corbett and Peter Singer, who have agreed that the drive for China to push for advancement is more than the west ever thought possible.

In Defense One, they stressed all success in research and development is all in China, and they are strides that exceeded expectations.

Achieving an internal temperature of plasma heat of 120 million Celsius for about 20 seconds in 2021. Compared to the sun with an interior heat of 27 million is less hot than the quasi-sun at 120 million degrees.

China’s Tokamak can replace limited oil supply at wartime

Any country that perfects the Tokamak will have all the energy it needs to be steady and clean. The energy shortages of the past will be rare, for example, the EU gas and oil crises, remarked Dr. Daniel Jassa by the Bulletin Of Atomic Scientists.

China’s Experiential Advanced Superconducting Tokamak (EAST) is on trial to sustain longer operation times. For the record, the funds for the development is has reached £700 million is a lot, but Beijing is still putting in money, unlike the west.

One consideration to develop the Tokamak is that it can replace the endangered oil supply at wartime, with 14.3 million barrels a day being threatened. It assures fewer power supply problems.

Economic development will benefit from it and fuel development faster. China sees what it means to have it when faced with old powers like the US and Europe.

The Chinese Tokamak is the peak of advanced fusion reactor technology, giving it a quantum leap over the west.

Chinese girl in her car

But the Chinese are chained up! And the food in the Olympics was so bad that the people howled and tore at their hair in frustration!

So says the British tabloids…

I just cannot tell you how many times that I have experienced this scene. See. It’s just like in America, or Australia or the UK. We all are the same, you know. It’s only our societies that are different.

video

7 Times The Speed Of Sound, China Claims Breakthrough With ‘Hypersonic Gun’ That Can Shoot-Down Missiles, Fighter Jets

The emergence of hypersonic weapons has forced nations to look for countermeasures. Japan has reportedly decided to develop “railguns” in the face of emerging hypersonic threats and it is not the only country to do so.

A railgun is an electromagnetic weapon capable of firing projectiles at Mach 7 — seven times the speed of sound — and is said to be capable of destroying ships, missiles, and planes. But on the flip side, the fast-moving projectile and its high-voltage electric current could produce irreversible wear on the rail or inner wall, lowering the gun’s lifespan and accuracy.

Now, China appears to have found answers to some of the issues plaguing railguns. Wang Xiaohe, a researcher at the China Huayin Ordnance Test Centre in the northwestern province of Shaanxi, claims that the country’s researchers have come up with some viable solutions in recent years, reported SCMP.

China’s railgun program has to go through a number of certification processes before it can be used in future battles. Wang and his colleagues emphasized that the volume and intensity of these tests would considerably exceed any previous tests, stating that a significant number of shots would have to be fired “nonstop at top energy levels” to uncover and address issues.

China’s railgun program is not new. In 2018, some images emerged on social media showing a large, previously unknown weapon placed on the Type 072III-class landing ship, Haiyang Shan.

The possibility of a ship-mounted railgun was mentioned by a verified Weibo account belonging to a retired Chinese navy officer. He said that a project to build a ship-mounted railgun had been authorized years ago, possibly with the help of the Beijing Institute of Technology and a China Aerospace Science and Industry Corporation institute.

Does US Have Railguns?

Last July, the Pentagon declared that it had put a halt to its railgun program in order to free up resources for hypersonic weapon research. Aside from financial limitations, one of the main reasons was the need to replace a gun barrel after 20 shots due to wear and other problems.

The United States has been a pioneer in the development of railguns. It is said an American inventor had suggested the use of rail cannon to shell Havana from the coast of Florida during the Spanish-American War in the late nineteenth century. The Pentagon initiated the modern US railgun program during the Cold War period.

After decades of development and more than 1,000 rounds of tests, US researchers accomplished a variety of technological and engineering advancements that expanded the weapon’s operational range to over 100 nautical miles with bullets soaring at seven times the speed of sound.

However, the issue with the weapon is that its range would still expose American warships to enemy fire. This might be fatal in the face of an opponent (China) capable of deploying sophisticated missiles.

Wang claimed that research in the United States and other nations had benefited Chinese researchers a lot. China is using liquid metal in the rail to reduce wear. Chinese scientists also employed some of the models used by American railgun experts to simulate and analyze the occurrence of damage.

However, Chinese railgun had some unique designs that had never been seen before, Wang added. The Chinese design, unlike most railguns, would not have an additional mechanism attached to the muzzle to suppress an electric flash. To attain more consistent performance with minimal damage, it would employ a special coating technology.

In 2018, China undertook the world’s first live open-sea test with a railgun placed on a warship. A 25kg (55lbs) projectile was reportedly propelled to Mach 7.3 and hit a target 250 kilometers away with the prototype weapon. Chinese scientists are also working on hypersonic missiles that could be launched from a railgun and could search for targets, the report said.

China claims to have developed heat-seeking hypersonic missiles ahead of the United States, which could be used to attack aircraft carriers and moving vehicles. However, hypersonic weapons are expensive to operate. Railguns, according to the Chinese navy, might help defend the country’s shore due to its unrivaled firing range.

The plan is to add railgun interceptors to the existing missile defense system. Japan is also considering long-range missiles that would allow it to fire projectiles from afar. The three mechanisms would combine to provide a three-tier deterrent.

A total of 6.5 billion yen ($56 million) has been set out in the fiscal 2022 budget for the development of prototypes of military-use railgun technology. The system ought to be operational in the second part of the 2020s.

Moreover, the Japanese Defense Ministry will begin full-scale research and development of high-power microwave (HPM) weapons in the fiscal year 2022, which begins in April, according to Japan’s Yomiuri Shimbun newspaper. The news comes days after Chinese media reported that the country is working on laser weaponry for the J-20 Mighty Dragon stealth aircraft.

“The ministry plans to work on a prototype over the next five years and has earmarked 7.2 billion yen [US$62.5 million] in next year’s budget plan for that purpose,” officials told Japanese newspaper, Yomiuri Shimbun.

Japan’s Foray Into Railgun Domain

Last Month, it was reported that Japan has decided to develop an electromagnetic weapon system to intercept enemy hypersonic missiles. The decision to develop “railguns” came as Tokyo considers how to deal with the threat posed by hypersonic weapons from its neighbors such as China, North Korea, and Russia.

The rest of the world is getting angry at the USA

Oh, but NOT reported to Americans, don’t you know. Never report this stuff to Americans. They cannot handle the truth. Keep them living in fear and anger.

video

Chinese girl in blue near water

Oh yeah. She’s typical. You will notice that there are flowers and trees lining the road. This is normal. China respects the people and provides everyone a nice place to live in.  Especially in industrial areas like this one.

video

China set to achieve 14 nm breakthrough in 2022

An interview with Strategy Analytics
Tuesday 13 July 21

China consumes about 70% of global semiconductor production. But the country’s plans to mass produce 14 nm chips next year within the country is likely to make China the biggest semiconductor supplier globally.

A sudden surge in demand for electronics during the COVID-19 pandemic led to an unprecedented shortage of semiconductor chips worldwide.

Right from gaming consoles, laptops and desktop to even digital thermometers have been faced with an acute chip shortage due to a sudden increase in demand, bringing to fore the importance of self-sufficiency in semiconductor manufacturing.

China is taking a lead in addressing the global shortage of chips by accelerating the development and manufacturing of 14 nm chips, which are expected to go into mass production in the country in 2022.

While China is the world’s biggest consumer of semiconductors, a large part of that demand has been met through imports so far. However, the country is making rapid strides in becoming self-reliant and developing a strong ecosystem of semiconductor design and manufacturing.

China has a lot of work to do…

Chinese girl in a brown top

Is she sreeching and crying about being chained up?

I really like this girl. I like the brown and tan theme that seems to be her “thing”. It goes great with the rose sofa that she is sitting upon.

video

China eyes thorium breakthrough in Gobi desert

So far it has not proven to be cost-effective because its extraction is more expensive than uranium
.

On the edge of the Gobi Desert, at a place called Wuwei, China will soon test a safe, inexpensive nuclear energy, that will not need water to cool nuclear fuel rods nor uranium.

This experimental nuclear reactor uses thorium as a fuel and experts believe that China will be the first country to have a chance to commercialize the technology, German website Spektrum.de reported.

The reactor is unusual in that it has molten salts circulating inside it instead of water.

It has the potential to produce nuclear energy that is relatively safe and cheap, while also generating a much smaller amount of very long-lived radioactive waste than conventional reactors.

Operated by the Shanghai Institute of Applied Physics (SINAP), the reactor is designed to generate just two megawatts of thermal energy — enough to supply up to 1,000 households.

If the experiments are successful, however, China hopes to build a reactor with a capacity of 373 megawatts by 2030, which could supply hundreds of thousands of households with electricity.

According to the government of Gansu Province, construction was to be completed by early September and a trial run is slated later this month.

What exactly is thorium and why is it important?

A weakly radioactive, silvery metal that occurs naturally in rocks and is currently rarely used industrially, it is a by-product of the growing rare earth mining industry in China and is therefore an attractive alternative to imported uranium.

“Thorium is much more abundant than uranium, so using it would be a very useful technology for the next 50 or 100 years when uranium reserves run out,” said Lyndon Edwards, a nuclear engineer with the Australian Nuclear Science and Technology Organization in Sydney.

The reactor type is one of the “perfect technologies” that should help China achieve its goal of zero carbon emissions by around 2050, says energy modeler Jiang Kejun from the Energy Research Institute of the National Development and Reform Commission in Beijing.

The naturally occurring isotope thorium-232 cannot be fissioned, but when irradiated in a reactor it absorbs neutrons and forms uranium-233, a fissile material that generates heat.

Thorium has been tested as a fuel in other types of nuclear reactors in countries such as the US, Germany, and the UK, and is part of a nuclear program in India.

So far, however, it has not proven to be cost-effective because its extraction is more expensive than uranium and, unlike some naturally occurring uranium isotopes, it has to be converted into a fissile material.

Some researchers advocate thorium as a fuel because they believe that its waste products are less weapons-grade than uranium, making it safer and greener.

Unlike the uranium currently used in nuclear power plants, burning thorium does not create plutonium, a highly toxic chemical element.

There’s another advantage — this type of reactor does not need to be built near watercourses, since the molten salts themselves “serve as a coolant, unlike conventional uranium power plants that need huge amounts of water to cool their reactors.”

As a result, the reactors can be installed in isolated and arid regions… like the Gobi Desert.

Compared to light water reactors in conventional nuclear power plants, molten salt reactors, also known as molten salt reactors, work at significantly higher temperatures.

The result: You can generate electricity much more efficiently, says Charles Forsberg, a nuclear engineer at the Massachusetts Institute of Technology (MIT) in Cambridge.

According to Nature.com, China’s reactor will use fluoride-based salts, which melt into a colourless, transparent liquid when heated to about 450 ºC. The salt acts as a coolant to transport heat from the reactor core.

In addition, rather than solid fuel rods, molten-salt reactors also use the liquid salt as a substrate for the fuel, such as thorium, to be directly dissolved into the core.

Molten-salt reactors are considered to be relatively safe because the fuel is already dissolved in liquid and they operate at lower pressures than do conventional nuclear reactors, which reduces the risk of explosive meltdowns.

However, some critics say the feasibility of molten-salt reactors remains questionable as it creates further technical problems.

“At very high temperatures, the salt can corrode the reactor’s structures, which need to be protected in some manner,” said Jean-Claude Garnier, head of France’s Alternative Energies and Atomic Energy Commission (CEA).

When China starts up its pilot reactor, it will be the first molten salt reactor to have been in operation since 1969. At that time, US researchers shut down their reactor at Oak Ridge National Laboratory in Tennessee.

It will also be the first liquid salt reactor to run on thorium.

China’s reactor will be “a test bed to do a lot of learning,” says Forsberg, from analysing corrosion to characterizing the radionucleotide composition of the mixture as it circulates.

“We are going to learn so much new science,” agrees Simon Middleburgh, a nuclear materials scientist at Bangor University, UK. “If they would let me, I’d be on the first plane there.”

Molten-salt reactors are just one of many advanced nuclear technologies China is currently investing in.

In 2002, an intergovernmental forum identified six promising reactor technologies to fast-track by 2030, including reactors cooled by lead or sodium liquids. China has programs for all of them.

Some of these reactor types could replace coal-fuelled power plants, says David Fishman, a project manager at the Lantau Group energy consultancy in Hong Kong.

“As China cruises towards carbon neutrality, it could pull out [power plant] boilers and retrofit them with nuclear reactors.”

But even if China ends up claiming victory, they should not rejoice too quickly, said Francesco D’Auria, nuclear reactor technology specialist at the University of Pisa: “The problem with corrosive products is that you don’t realize their damage until five to 10 years after.”

If it is successful, series production could start as early as 2030, officials said.

Chinese girl at the piano

But… But…

China abuses it’s people, the American “news” reports say…

This gal is one of those girls that posts a lot of modeling photos on her DouXing. I can see why; she’s cute, attractive all in a small package. Her dress, don’t you know, fits her like a glove.

video

China’s new metaverse of product marketing

Check this out! This brand…

Used to be “LAME” when I was a kid:  When I saw this video my initial reaction was just wow – look how far we’ve come.

Not just in terms of marketing through the metaverse (can’t believe that’s a real sentence) and what that could entail once fully developed, but also just as a country.

To me, campaigns like these emphasise the importance + relevance of the Guochao (national pride/identity) trend.

When I was younger, you would try to avoid brands like Anta or Li-ning at ALL COSTS – tell your parents that you would get bullied if you wore their shoes to school. But those days are over!

These brands are coming in strong, and even taking advantage of the fact that brands like theirs used to be looked down upon (even by locals) for being Chinese.

They’re now playing up the fact that they’re home-made and can represent their country in a positive way.

Back to the metaverse – this is clearly just a vision / interpretation of how these experiences could look in the future. A lot of the stuff in this video isn’t possible yet. Everyone talks about the metaverse, but few understand it!

I think the first business to crack how to incorporate movement sensors / the appropriate hardware will see huge success in the coming years.

Did you like this video?

Would you want to try on clothes like this?

China branding -1-2022-02-18_20.41.57

E-Yuan implemented in Hong Kong

The digital yuan will connect with Hong Kong’s FPS mobile payment system making payments between Mainland and HK easy for the little guy!

This is big because it shows once again how all of the e-CNY’s cross-border activity will be focused on Hong Kong, and that the future is here now!

The link between the e-CNY and HK’s FPS system provides our first real example of how the e-CNY can be used to link retail payment systems.

FPS or “faster payment system” is Hong Kong’s new retail RTGS payment system which already handles some HK$ 5.2 bn daily. Hong Kong is China’s fourth largest trading partner and besides tourism there is a ton of e-commerce and SME trade with neighboring Guangdong. So this will potentially be a big help to many!

But if you really want to see where this tie-up is going look at Singapore!

e-CNY mobile cross border payments will be modeled after Singapore’s. Singapore’s mobile real-time payment system “PayNow” has connections with instant payment systems in India, Thailand, the Philippines, and Malaysia. (Did I miss any?) These systems make remittances and e-commerce a breeze.

It won’t be long after the national launch of the e-CNY before it will have similar connectivity within the region.  With the e-CNY making e-commerce a breeze throughout the region.

Understand that this will be a seamless experience. As these e-CNY payments are part of existing mobile payment systems like FPS in Hong Kong, e-commerce sites, retailers, and restaurants won’t have to do anything to accept and convert e-CNY payments. It will be a major benefit to SMEs within the region and Alibaba will get more business than ever!

It’s important to note that Hong Kong’s position as the leading international e-CNY center has been set in stone by the PBOC.

This is one of two critical projects that the PBOC is bringing to Hong Kong. Never forget that the PBOC and the HKMA are building mBridge the first dedicated global CBDC transfer system that will go into production this year.

What we don’t yet know is how the e-CNY payments will be handled by the banks setting up the system. Hong Kong users won’t have e-CNY UTXO style digital wallets so there will have to be a special link built to connect e-CNY tokens with accounts of FPS users. I’m curious to see how they do it.

Here’s what’s going to happen. The PBOC is going to call other central banks in the region and say: “Hi we want to connect your retail RTGS system with e-CNY.”  What country will say no given China’s commercial ties within the region?

That’s just one way the e-CNY revolution will start and before long you’ll see it on mobile payment apps throughout the region.

The future is here, most have no clue.

Hong Kong sets stage for e-CNY use, to launch pilot ‘soon after Spring Festival’

  • The pilot will strengthen Hong Kong’s role as an international offshore yuan trading centre, HKMA’s Eddie Yue says
  • ‘Restaurants and other shops in Lan Kwai Fong will like to join the test, because the e-CNY is the future of payments’: Allan Zeman

Thin and stacked Chinese girl in brown

But what of the Chained women?

Normally, for the longest time, I shied away from very thin girls. Now I know better. Everyone has these great attributes that lie under the skin in places that you just cannot see. Look at her. Look at her eyebrows. Lovely.

video

 

Such a very cute Chinese girl in the country

If I were a younger man…

Anyways, this girl is the kind of happy and pleasant person that I wouldn’t mind spending a dinner with. Maybe a nice light fish with some tasty vegitables and then perhaps a nice tea afterwards. Or maybe more.

Hunan Fish.

Such a cute gal.

video

Chinese girl with a nice chest.

Always a favorite. When I see her, I think of a fine robust wine. Some dancing, and some nice food snacks.

My oh my. Two glasses of wine. Obviously, you need someone to help you drink it. Don’t you know.

video

Chinese girl

Not chained up.

Not yearning for democracy™.

Not pining away for freedom™.

In a nice white dress while she is in the car. As I get older, I really, I mean REALLY, appreciate a fine nice patterned dress. There are so many, so so many styles and color and patterns. And OMG, the gals look so fine in them.

video

The model Chinese girl

I’ll bet that you all didn’t know that Chinese people have average and normal lives. Lives that did not include chains, whippings, and torture…

I do like this model, and the clothes that she models has appeal. They are thin, light and nicely tailored. I suspect they are some kind of polyester blend. All in all, it’s really nice to look at and watch. Notice the air conditioner behind her. This very common inside of China.

video

Chinese model – Outside slacks

You can bet that the photographer is standing nearby with one or two grips. When I go on a photoshoot, there’s literially from ten to twenty other people there with us. Sometimes there’s an entire buffet laid out so that we can snack during the shoot. They’ve got these large light umbrellas, and strobe generators, as well as all sorts of props.

Food spread.

But I will tell you that the table of food is the most delicious part of the shoot.

video

Chinese model – jiggle jiggle

Here she is again. Love her.

video

I just love these Chinese girls

I do. The “curtain wall” ceiling is something that I am well used to working with.

video

I do love these very cute Chinese girls

Don’t you? This video makes me feel young again.

video

Leggy Chinese girl

Like my mom.

video

Chinese girl in a stunning dress

Stunning. I love it. And she’s not “chained up”. Imagine that!

video

Chinese Girl in front of her apartment

So very typical. And not being in chains!

video

Chinese Girl in a lime top

She’s in a lime colored top and she isn’t in matching chains!

Oh, my goodness! Jeeze! Louise! She’s quite the stunner, don’t you think. By the way, this is what the interiors of what houses in China look like. Not like those squalor hovels that the American “news” provides the illusion of.

video

A beautiful Chinese Girl

Yes she is.

I wonder what her chains look like?

video

Chinese Girl at home

This is what it is like. It really is.

Do you see any chains?

No. It is not about be chained up in some kind of dingy cellar pining away for democracy™ and freedom™. For pete’s sakes.

video

Just today…

I often get a shit load of bullshit comments. Most I do not post. But I did post this one, and here’s an excerpt…

“All MAJesticmembers, are service to others sentience.”

–I doubt that far more than I doubt your story.

Don’t understand why, eh? Cannot understand why it is IMPERITIVE that The Domain only employ STO sentience? Obviously knows nothing about the nature of sentience and consciousness manifestation and sorting procedures.

Be the Rufus, as this fine upstanding African-American man so clearly defines.

video

Conclusion

It is difficult for me, being an American by birth, living inside of China. I read the American “news”. I follow the “American “politics”. Yet, I also see things with my own eyes first hand. And there is such a very profound difference between what is being reported “in the news” and what is actually going on.

Most Americans believe that China is a failed throw-back to old-world dictatorships and that the Chinese are just brainwashed. But thats wrong. It’s actually the other way around.

Meanwhile, China is plowing forward. It’s citizens are happy and doing well, and the entire world is adapting to the new power realignments.

But not America. And not Americans.

They are being a steady stream of lies and bullshit; designed for fear, terror and anger.

All the anger is directed to various targets. Whether by race, social economic targets, or foreign powers.

Thus making Americans, themselves, a very fearful and angry group of people.

I really need to trim down my American “news” feeds. I just cannot take the lies any longer. It’s not that good for my health don’t you know, and if someone wants to convince me that the United States is worth saving, please tell me.

As I see it from here, the most humaine thing to happen for the world and for the American people is to have everyone and everything associated with the United States government to simply die off and go away.

You would think.

And, you know, if you have been paying attention, the rest of the world has been reorganizing for a NEW; “New World Order”. Nope. It’s the the George Bush NWO. It’s something different.

It’s one where it is one where either [1] the United States sits it out, or [2] is the minor “player” that it deserves to be. A kind of “back water” off in its own hemisphere.

But America is fighting against the tide. It cannot be that stupid, you would think. There are many intelligent and capable people inside the United States. It is true. It’s just that they are not in leadership roles. They have been displaced for ideological reasons.

So, a redirection of interests and activity is seemingly not happening. They are pushing and pushing and pushing for WAR!

The rest of the world endured. It listened. It bid it’s time. And now the sand in the hourglass ran out.

Russia put it’s paw down. China stands right next to it.

What’s next?

Guess. It’s not what the American oligarchy thinks. “Some far away war while the American leadership enjoys safe haven from the conflict that it starts.” Nope.

The BIG boys are out with the BIG toys.

Big LINK.

And as for China…

I’m sticking with a fruitful and positive Asia. One that is serious. One that is STO sentience, and one that will launch a new beginning to end this fucking, freaking out turmoil set upon humanity by the rude, crude and evil clustered inside their enclaves in the United States.

video

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings 3

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

.

“Uncommon Sense” (1945) by Hal Clement

This is a great science fiction story.  This interesting tale of conflict and survival in a hostile and unknown land was first published in the September 1945 issue of Astounding Science Fiction, with the striking illustrations by Williams that we have reproduced here.

Its author Hal Clement (1922-2003) was a trained astrophysicist who brought an emphasis on the “science” part of science-fiction that was particularly effective, interesting and convincing in this quite perfect little story that has so well passed the test of time.

He was serving as a pilot in the US Air Force at the time of publication of this story, and had flown dozens of combat missions during the war in Europe. He later retired with the rank of Colonel.

“Uncommon Sense” (1945) by Hal Clement

“So you’ve left us, Mr. Cunning­ham!” Malmeson’s voice sounded rougher than usual, even allowing for headphone distortion and the ever-present Denebian static. “Now, that’s too bad. If you’d chosen to stick around, we would have put you off on some world where you could live, at least. Now you can stay here and fry. And I hope you live long enough to watch us take off—without you!”

Laird Cunningham did not bother to reply. The ship’s radio compass should still be in working order, and it was just possible that his erstwhile assistants might start hunting for him, if they were given some idea of the proper direction to begin a search. Cunningham was too satisfied with his present shelter to be very anxious for a change. He was scarcely half a mile from the grounded ship, in a cavern deep enough to afford shel­ter from Deneb’s rays when it rose, and located in the side of a small hill, so that he could watch the activities of Malmeson and his com­panion without exposing himself to their view.

In a way, of course, the villain was right. If Cunningham per­mitted the ship to take off without him, he might as well open his face plate; for, while he had food and oxygen for several days’ normal consumption, a planet scarcely larger than Luna, baked in rays of one of the fiercest radiating bodies in the galaxy, was most unlikely to provide further supplies when these ran out. He wondered how long it would take the men to discover the damage he had done to the drive units in the few minutes that had elapsed between the crash landing and their breaking through the con­trol room door, which Cunningham had welded shut when he had dis­covered their intentions. They might not notice it at all; he had severed a number of inconspicuous connections at odd points. Perhaps they would not even test the drivers until they had completed repairs to the cracked hull. If they didn’t, so much the better.

Cunningham crawled to the mouth of his cave and looked out across the shallow valley in which the ship lay. It was barely visible in the starlight, and there was no sign of artificial luminosity to sug­gest that Malmeson might have started repairs at night. Cunning­ham had not expected that they would, but it was well to be sure. Nothing more had come over his suit-radio since the initial outburst, when the men had discovered his departure; he decided that they must be waiting for sunrise, to en­able them to take more accurate stock of the damage suffered by the hull.

He spent the next few minutes looking at the stars, trying to ar­range them into patterns he could remember. He had no watch, and it would help to have some warning of approaching sunrise on succeed­ing nights. It would not do to be caught away from his cave, with the flimsy protection his suit could afford from Deneb’s radiation. He wished he could have filched one of the heavier work suits; but they were kept in a compartment for­ward of the control room, from which he had barred himself when he had sealed the door of the latter chamber.

He remained at the cave mouth, lying motionless and watching alter­nately the sky and the ship. Once or twice he may have dozed; but he was awake and alert when the low hills beyond the ship’s hull caught the first rays of the rising sun. For a minute or two they seemed to hang detached in a black void, while the flood of blue-white light crept down their slopes; then, one by one, their bases merged with each other and the ground below to form a connected landscape. The silvery hull gleamed brilliantly, the reflection from it lighting the cave behind Cunningham and making his eyes water when he tried to watch for the opening of the air lock.

He was forced to keep his eyes elsewhere most of the time, and look only in brief glimpses at the dazzling metal; and in consequence, he paid more attention to the de­tails of his environment than he might otherwise have done. At the time, this circumstance annoyed him; he has since been heard to bless it fervently and frequently.

Although the planet had much in common with Luna as regarded size, mass, and airlessness, its land­scape was extremely different. The daily terrific heatings which it un­derwent, followed by abrupt and equally intense temperature drops each night, had formed an excellent substitute for weather; and eleva­tions that might at one time have rivaled the Lunar ranges were now mere rounded hillocks, like that con­taining Cunningham’s cave. As on the Earth’s moon, the products of the age-long spalling had taken the form of fine dust, which lay in drifts everywhere. What could have drifted it, on an airless and consequently windless planet, struck Cunningham as a puzzle of the first magnitude; and it bothered him for some time until his attention was taken by certain other objects upon and between the drifts. These he had thought at first to be outcrop­pings of rock; but he was at last convinced that they were specimens of vegetable life—miserable, lichenous specimens, but nevertheless vegetation. He wondered what liquid they contained, in an environ­ment at a temperature well above the melting point of lead.

The discovery of animal life—medium-sized, crablike things, covered with jet-black integument, that began to dig their way out of the drifts as the sun warmed them—completed the job of dragging Cunningham’s attention from his immediate problems. He was not a zoologist by training, but the sub­ject had fascinated for years; and he had always had money enough to indulge his hobby. He had spent years wandering the Galaxy in search of bizarre life forms—proof, if any were needed, of a lack of scientific training—and terrestrial museums had always been more than glad to accept the collections that resulted from each trip and usually to send scientists of their own in his footsteps. He had been in physical danger often enough, but it had always been from the life he studied or from the forces which make up the interstellar trav­eler’s regular diet, until he had overheard the conversation which informed him that his two assistants were planning to do away with him and appropriate the ship for un­specified purposes of their own. He liked to think that the prompt­ness of his action following the discovery at least indicated that he was not growing old.

But he did let his attention wan­der to the Denebian life forms.

Several of the creatures were emerging from the dust mounds within twenty or thirty yards of Cunningham’s hiding place, giving rise to the hope that they would come near enough for a close ex­amination. At that distance, they were more crablike than ever, with round, flat bodies twelve to eighteen inches across, and several pairs of legs. They scuttled rapidly about, stopping at first one of the lichenous plants and then another, apparently taking a few tentative nibbles from each, as though they had delicate tastes which needed pampering. Once or twice there were fights when the same tidbit attracted the attention of more than one claim­ant; but little apparent damage was done on either side, and the victor spent no more time on the meal he won than on that which came un­contested.
Cunningham became deeply ab­sorbed in watching the antics of the little creatures, and completely for­got for a time his own rather pre­carious situation. He was recalled to it by the sound of Malmeson’s voice in his headphones.
“Don’t look up, you fool; the shields will save your skin, but not your eyes. Get under the shadow of the hull, and we’ll look over the damage.”

Cunningham instantly transferred his attention to the ship. The air lock on the side toward him—the port—was open, and the bulky fig­ures of his two ex-assistants were visible standing on the ground be­neath it. They were clad in the heavy utility suits which Cunning­ham had regretted leaving, and appeared to be suffering little or no inconvenience from the heat, though they were still standing full in De­neb’s light when he looked. He knew that hard radiation burns would not appear for some time, but he held little hope of Deneb’s more deadly output coming to his assistance: for the suits were sup­posed to afford protection against this danger as well. Between heat insulation, cooling equipment, ra­diation shielding, and plain mechan­ical armor, the garments were so heavy and bulky as to be an almost insufferable burden on any major planet. They were more often used in performing exterior repairs in space.

Cunningham watched and lis­tened carefully as the men stooped under the lower curve of the hull to make an inspection of the dam­age. It seemed, from their con­versation, to consist of a dent about three yards long and half as wide, about which nothing could be done, and a series of radially arranged cracks in the metal around it. These represented a definite threat to the solidity of the ship, and would have to be welded along their full lengths before it would be safe to apply the stresses incident to second-order flight. Malmeson was too good an engineer not to realize this fact, and Cunningham heard him lay plans for bringing power lines out­side for the welder and jacking up the hull to permit access to the lower portions of the cracks. The latter operation was carried out im­mediately, with an efficiency which did not in the least surprise the hid­den watcher. After all, he had hired the men.

Every few minutes, to Cunningham’s annoyance, one of the men would carefully examine the land­scape; first on the side on which he was working, and then walking around the ship to repeat the performance. Even in the low gravity, Cunningham knew he could not cross the half mile that lay between him and that inviting air lock, be­tween two of those examinations; and even if he could, his leaping figure, clad in the gleaming metal suit, would be sure to catch even an eye not directed at it. It would not do to make the attempt unless suc­cess were certain; for his unshielded suit would heat in a minute or two to an unbearable temperature, and the only place in which it was pos­sible either to remove or cool it was on board the ship. He finally decided, to his annoyance, that the watch would not slacken so long as the air lock of the ship remained open. It would be necessary to find some means to distract or—an unpleasant alternative for a civi­lized man—disable the opposition while Cunningham got aboard, locked the others out, and located a weapon or other factor which would put him in a position to give them orders. At that, he reflected, a weapon would scarcely be neces­sary; there was a perfectly good medium transmitter on board, if the men had not destroyed or dis­charged it, and he need merely call for help and keep the men outside until it arrived.

This, of course, presupposed some solution to the problem of getting aboard unaccompanied. He would, he decided, have to examine the ship more closely after sunset. He knew the vessel as well as his own home—he had spent more time on her than in any other home— and knew that there was no means of entry except through the two main locks forward of the control room, and the two smaller, emer­gency locks near the stern, one of which he had employed on his de­parture. All these could be clogged shut from within; and offhand he was unable to conceive a plan for forcing any of the normal entrances. The view ports were too small to admit a man in a spacesuit, even if the panes could be broken; and there was literally no other way into the ship so long as the hull re­mained intact. Malmeson would not have talked so glibly of welding them sufficiently well to stand flight, if any of the cracks incurred on the landing had been big enough to admit a human body—or even that of a respectably healthy garter snake.

Cunningham gave a mental shrug of the shoulders as these thoughts crossed his mind, and reiterated his decision to take a scouting sortie after dark. For the rest of the day he divided his attention between the working men and the equally busy life forms that scuttled here and there in front of his cave; and he would have been the first to ad­mit that he found the latter more in­teresting.

He still hoped that one would ap­proach the cave closely enough to permit a really good examination, but for a long time he remained unsatisfied. Once, one of the crea­tures came within a dozen yards and stood “on tiptoe”—rising more than a foot from the ground on its slender legs, while a pair of antennae terminating in knobs the size of human eyeballs extended themselves several inches from the black carapace and waved slowly in all directions. Cunningham thought that the knobs probably did serve as eyes, though from his distance he could see only a featureless black sphere. The antennae eventually waved in his direction, and after a few seconds spent, apparently in assimilating the presence of the cave mouth, the creature settled back to its former low-swung carriage and scuttled away. Cunningham wondered if it had been frightened at his presence; but he felt reasonably sure that no eye adapted to Denebian daylight could see past the darkness of his threshold, and he had remained motionless while the creature was conducting its inspec­tion. More probably it had some reason to fear caves, or merely darkness.

That it had reason to fear some­thing was shown when another creature, also of crustacean aspect but considerably larger than those Cunningham had seen to date, appeared from among the dunes and attacked one of the latter. The fight took place too far from the cave for Cunningham to make out many details, but the larger animal quickly overcame its victim. It then apparently dismembered the vanquished, and either devoured the softer flesh inside the black in­tegument or sucked the body fluids from it. Then the carnivore dis­appeared again, presumably in search of new victims. It had scarcely gone when another being, designed along the lines of a centi­pede and fully forty feet in length, appeared on the scene with the graceful flowing motion of its ter­restrial counterpart.

For a few moments the new­comer nosed around the remains of the carnivore’s feast, and devoured the larger fragments. Then it ap­peared to look around as though for more, evidently saw the cave, and came rippling toward it, to Cun­ningham’s pardonable alarm. He was totally unarmed, and while the centipede had just showed itself not to be above eating carrion, it looked quite able to kill its own food if necessary. It stopped, as the other investigator had, a dozen yards from the cave mouth; and like the other, elevated itself as though to get a better look. The baseball-sized black “eyes” seemed for sev­eral seconds to stare into Cunning-ham’s more orthodox optics; then, like its predecessor, and to the man’s intense relief, it doubled back along its own length and glided out of sight.

Cunningham again wondered whether it had de­tected his presence, or whether caves or darkness in general spelled danger to these odd life forms.

It suddenly occurred to him that, if the latter were not the case, there might be some traces of pre­vious occupants of the cave; and he set about examining the place more closely, after a last glance which showed him the two men still at work jacking up the hull.

There was drifted dust even here, he discovered, particularly close to the walls and in the corners. The place was bright enough, owing to the light reflected from outside ob­jects, to permit a good examination—shadows on airless worlds are not so black as many people believe—and almost at once Cunningham found marks in the dust that could easily have been made by some of the creatures he had seen. There were enough of them to suggest that the cave was a well-frequented neighborhood; and it began to look as though the animals were staying away now because of the man’s presence.

Near the rear wall he found the empty integument that had once covered a four-jointed leg. It was light, and he saw that the flesh had either been eaten or decayed out, though it seemed odd to think of decay in an airless environment suf­fering such extremes of tempera­ture—though the cave was less sub­ject to this affect than the outer world. Cunningham wondered whether the leg had been carried in by its rightful owner, or as a separate item on the menu of something else. If the former, there might be more relics about.

There were. A few minutes’ ex­cavation in the deeper layers of dust produced the complete exo­skeleton of one of the smaller crab-like creatures; and Cunningham carried the remains over to the cave mouth, so as to examine them and watch the ship at the same time.

The knobs he had taken for eyes were his first concern. A close examination of their surfaces revealed nothing, so he carefully tried to detach one from its stem. It finally cracked raggedly away, and proved, as he had expected, to be hollow. There was no trace of a retina in­side, but there was no flesh in any of the other pieces of shell, so that proved nothing. As a sudden thought struck him, Cunningham held the front part of the delicate black bit of shell in front of his eyes; and sure enough, when he looked in the direction of the brightly gleaming hull of the space­ship, a spark of light showed through an almost microscopic hole. The sphere was an eye, constructed on the pinhole principle—quite an adequate design on a world fur­nished with such an overwhelming luminary. It would be useless at night, of course, but so would most other visual organs here; and Cun­ningham was once again faced with the problem of how any of the crea­tures had detected his presence in the cave—his original belief, that no eye adjusted to meet Deneb’s glare could look into its relatively total darkness, seemed to be sound.

He pondered the question, as he examined the rest of the skeleton in a half-hearted fashion. Sight seemed to be out, as a result of his examination; smell and hearing were ruled out by the lack of at­mosphere; taste and touch could not even be considered under the cir­cumstances. He hated to fall back on such a time-honored refuge for ignorance as “extrasensory percep­tion”, but he was unable to see any way around it.

It may seem unbelievable that a man in the position Laird Cunningham occupied could let his mind become so utterly absorbed in a problem unconnected with his per­sonal survival. Such individuals do exist, however; most people know someone who has shown some trace of such a trait; and Cunningham was a well-developed example. He had a single-track mind, and had intentionally shelved his personal problem for the moment.

His musings were interrupted, be­fore he finished dissecting his speci­men, by the appearance of one of the carnivorous creatures at what appeared to constitute a marked dis­tance—a dozen yards from his cave mouth, where it rose up on the ends of its thin legs and goggled around at the landscape. Cunningham, half in humor and half in honest curiosity, tossed one of the dis­membered legs from the skeleton in his hands at the creature. It obviously saw the flying limb; but it made no effort to pursue or de­vour it. Instead, it turned its eyes in Cunningham’s direction, and pro­ceeded with great baste to put one of the drifts between it and what it evidently considered a dangerous neighborhood.

It seemed to have no memory to speak of, however; for a minute or two later Cunningham saw it creep into view again, stalking one of the smaller creatures which still swarmed everywhere, nibbling at the plants. He was able to get a better view of the fight and the feast that followed than on the pre­vious occasion, for they took place much nearer to his position; but this time there was a rather differ­ent ending. The giant centipede, or another of its kind, appeared on the scene while the carnivore was still at its meal, and came flowing at a truly surprising rate over the dunes to fall on victor and van­quished alike. The former had no inkling of its approach until much too late; and both black bodies dis­appeared into the maw of the crea­ture Cunningham had hoped was merely a scavenger.

What made the whole episode of interest to the man was the fact that in its charge, the centipede loped unheeding almost directly through a group of the plant-eaters; and these, by common consent, broke and ran at top speed directly toward the cave. At first he thought they would swerve aside when they saw what lay ahead; but evidently he was the lesser of two evils, for they scuttled past and even over him as he lay in the cave mouth, and began to bury themselves in the deepest dust they could find. Cunningham watched with pleasure, as an excellent group of specimens thus collected themselves for his convenience.

As the last of them disappeared under the dust, he turned back to the scene outside. The centipede was just finishing its meal. This time, instead of immediately wan­dering out of sight, it oozed quickly to the top of one of the larger dunes, in full sight of the cave, and deposited its length in the form of a watch spring, with the head rest­ing above the coils. Cunningham realized that it was able, in this position, to look in nearly all direc­tions and, owing to the height of its position, to a considerable dis­tance.

With the centipede apparently settled for a time, and the men still working in full view, Cunningham determined to inspect one of his specimens. Going to the nearest wall, he bent down and groped cau­tiously in the dust. He encountered a subject almost at once, and dragged a squirming black crab into the light. He found that if he held it upside down on one hand, none of its legs could get a purchase on any­thing; and he was able to examine the underparts in detail in spite of the wildly thrashing limbs. The jaws, now opening and closing futilely on a vacuum, were equipped with a set of crushers that sug­gested curious things about the plants on which it fed; they looked capable of flattening the metal fin­ger of Cunningham’s spacesuit, and he kept his hand well out of their reach.
He became curious as to the in­ternal mechanism that permitted it to exist without air, and was faced with the problem of killing the thing without doing it too much mechani­cal damage. It was obviously able to survive a good many hours with­out the direct radiation of Deneb, which was the most obvious source of energy, although its body tem­perature was high enough to be causing the man some discomfort through the glove of his suit; so “drowning” in darkness was im­practical. There might, however, he some part of its body on which a blow would either stun or kill it ; and he looked around for a suitable weapon.

There were several deep cracks in the stone at the cave mouth, caused presumably by thermal ex­pansion and contraction; and with a little effort he was able to break loose a pointed, fairly heavy frag­ment. With this in his right hand, he laid the creature on its back on the ground, and hoped it had some­thing corresponding to a solar plexus.

It was too quick for him. The legs, which had been unable to reach his hand when it was in the center of the creature’s carapace, proved supple enough to get a purchase on the ground; and before he could strike, it was right side up and de­parting with a haste that put to shame its previous efforts to escape from the centipede.

Cunningham shrugged, and dug out another specimen. This time he held it in his hand while he drove the point of his rock against its plastron. There was no apparent effect; he had not dared to strike too hard, for fear of crushing the shell. He struck several more times, with identical results and in­creasing impatience; and at last there occurred the result he had feared. The black armor gave way, and the point penetrated deeply enough to insure the damage of most of the interior organs. The legs gave a final twitch or two, and ceased moving, and Cunningham gave an exclamation of annoyance.

On hope, he removed the broken bits of shell, for a moment looked in surprise at the liquid which seemed to have filled the body cavi­ties. It was silvery, even metallic in color; it might have been mer­cury, except that it wet the organs bathed in it and was probably at a temperature above the boiling point of that metal. Cunningham had just grasped this fact when he was violently bowled over, and the dead creature snatched from his grasp. He made a complete somer­sault, bringing up against the rear wall of the cave; and as he came up­right he saw to his horror that the assailant was none other than the giant centipede.
It was disposing with great thor­oughness of his specimen, leaving at last only a few fragments of shell that had formed the extreme tips of the legs; and as the last of these fell to the ground, it raised the fore part of its body from the ground, as the man had seen it do before, and turned the invisible pin­points of its pupils on the space-suited human figure.

Cunningham drew a deep breath, and took a firm hold of his pointed rock, though he had little hope of overcoming the creature. The jaws he had just seen at work had seemed even more efficient than those of the plant-eater, and they were large enough to take in a human leg.

For perhaps five seconds both beings faced each other without mo­tion; then, to the man’s inexpress­ible relief, the centipede reached the same conclusion to which its pre­vious examination of humanity had led it, and departed in evident haste. This time it did not remain in sight, but was still moving rapidly when it reached the limit of Cunningham’s vision.

The naturalist returned some­what shakily to the cave mouth, seated himself where he could watch his ship, and began to ponder deeply. A number of points seemed interesting on first thought, and on further cerebration became positively fascinating. The centi­pede had not seen, or at least had not pursued, the plant-eater that had escaped from Cunningham and run from the cave.

Looking back, he realized that the only times he had seen the creature attack was after “blood” had been already shed —twice by one of the carnivorous animals, the third time by Cunning­ham himself. It had apparently made no difference where the vic­tims had been—two in full sunlight, one in the darkness of the cave.

More proof, if any were needed, that the creatures could see in both grades of illumination. It was not strictly a carrion eater, however; Cunningham remembered that car­nivore that had accompanied its vic­tim into the centipede’s jaws. It was obviously capable of overcom­ing the man, but had twice retreated precipitately when it had excellent opportunities to attack him. What was it, then, that drew the creature to scenes of combat and bloodshed, but frightened it away from a man; that frightened, indeed, all of these creatures?

On any planet that had a respect­able atmosphere, Cunningham would have taken one answer for granted—scent. In his mind, how­ever, organs of smell were associ­ated with breathing apparatus, which these creatures obviously lacked.

Don’t ask why he took so long. You may think that the terrific adaptability evidenced by those strange eyes would be clue enough: or perhaps you may be in a mood to excuse him. Columbus prob­ably excused those of his friends who failed to solve the egg prob­lem.

Of course, he got it at last, and was properly annoyed with himself for taking so long about it. An eye, to us, is an organ for forming images of the source of such radia­tion as may fall on it; and a nose is a gadget that tells its owner of the presence of molecules. He needs his imagination to picture the source of the latter. But what would you call an organ that forms a picture of the source of smell?

For that was just what those “eyes” did. In the nearly perfect vacuum of this little world’s surface, gases diffused at high speed—and their molecules traveled in practically straight lines. There was nothing wrong with the idea of a pinhole camera eye, whose retina was composed of olfactory nerve endings rather than the rods and cones of photosensitive organs.

That seemed to account for everything. Of course the crea­tures were indifferent to the amount of light reflected from the object they examined. The glare of the open spaces under Deneb’s rays, and the relative blackness of a cave, were all one to them—provided something were diffusing molecules in the neighborhood. And what doesn’t? Every substance, solid or liquid, has its vapor pressure; under Deneb’s rays even some rather un­likely materials probably evaporated enough to affect the organs of these life forms—metals, particularly. The life fluid of the creatures was obviously metal—probably lead, tin, bismuth, or some similar metals, or still more probably, several of them in a mixture that carried the sub­stances vital to the life of their body cells. Probably much of the make­up of those cells was in the form of colloidal metals.

But that was the business of the biochemists. Cunningham amused himself for a time by imagining the analogy between smell and color which must exist here; light gases, such as oxygen and nitrogen, must be rare, and the tiny quantities that leaked from his suit would be ab­solutely new to the creatures that intercepted them. He must have af­fected their nervous systems the way fire did those of terrestrial wild animals. No wonder even the cen­tipede had thought discretion the better part of valor!

With his less essential problem solved for the nonce, Cunningham turned his attention to that of his own survival; and he had not pon­dered many moments when he real­ized that this, as well, might be solved. He began slowly to smile, as the discrete fragments of an idea began to sort themselves out and fit properly together in his mind—an idea that involved the vapor pres­sure of metallic blood, the leaking qualities of the utility suits worn by his erstwhile assistants, and the bloodthirstiness of his many-legged acquaintances of the day; and he had few doubts about any of those qualities. The plan became com­plete, to his satisfaction; and with a smile on his face, he settled him­self to watch until sunset.
Deneb had already crossed a con­siderable arc of the sky. Cunning­ham did not know just how long he had, as he lacked a watch; and it was soon borne in on him that time passes much more slowly when there is nothing to occupy it. As the afternoon drew on, he was forced away from the cave mouth; for the descending star was beginning to shine in. Just before sunset, he was crowded against one side; for Deneb’s fierce rays shone straight through the entrance and onto the opposite wall, leaving very little space not directly illuminated. Cun­ningham drew a sigh of relief for more reasons than one when the upper limb of the deadly luminary finally disappeared.

His specimens had long since recovered from their fright, and left the cavern; he had not tried to stop them. Now, however, he emerged from the low entryway and went directly to the nearest dust dune, which was barely visible in the star­light. A few moment’s search was rewarded with one of the squirming plant-eaters, which he carried back into the shelter; then, illuminating the scene carefully with the small torch that was clipped to the waist of his suit, he made a fair-sized pile of dust, gouged a long groove in the top with his toe, with the aid of the same stone he had used be­fore, he killed the plant-eater and poured its “blood” into the dust mold.

The fluid was metallic, all right; it cooled quickly, and in two or three minutes Cunningham had a silvery rod about as thick as a pencil and five or six inches long. He had been a little worried about the centipede at first; but the creature was either not in line to “see” into the cave, or had dug in for the night like its victims.

Cunningham took the rod, which was about as pliable as a strip of solder of the same dimensions, and, extinguishing the torch, made his way in a series of short, careful leaps to the stranded spaceship. There was no sign of the men, and they had taken their welding equipment inside with them—that is, if they had ever had it out; Cunning­ham had not been able to watch them for the last hour of daylight. The hull was still jacked up, how­ever; and the naturalist eased him­self under it and began to examine the damage, once more using the torch. It was about as he had de­duced from the conversation of the men; and with a smile, he took the little metal stick and went to work. He was busy for some time under the hull, and once he emerged, found another plant-eater, and went back underneath. After he had fin­ished, he walked once around the ship, checking each of the air locks and finding them sealed, as he had expected.
He showed neither surprise nor disappointment at this; and with­out further ceremony he made his way back to the cave, which he had a little trouble finding in the star­light. He made a large pile of the dust, for insulation rather than bed­ding, lay down on it, and tried to sleep. He had very little success, as he might have expected.

Night, in consequence, seemed unbearably long; and he almost re­gretted his star study of the pre­vious darkness, for now he was able to see that sunrise was still distant, rather than bolster his morale with the hope that Deneb would be in the sky the next time he opened his eyes. The time finally came, how­ever, when the hilltops across the valley leaped one by one into bril­liance as the sunlight caught them; and Cunningham rose and stretched himself. He was stiff and cramped, for a spacesuit makes a poor sleep­ing costume even on a better bed than a stone floor.

As the light reached the spaceship and turned it into a blazing silvery spindle, the air lock opened. Cun­ningham had been sure that the men were in a hurry to finish their task, and were probably awaiting the sun almost as eagerly as he in order to work efficiently; he had planned on this basis.

Malmeson was the first to leap to the ground, judging by their conversation, which came clearly through Cunningham’s phones. He turned back, and his companion handed down to him the bulky di­ode welder and a stack of filler rods. Then both men made their way for­ward to the dent where they were to work. Apparently they failed to notice the bits of loose metal ly­ing on the scene—perhaps they had done some filing themselves the day before. At any rate, there was no mention of it as Malmeson lay down and slid under the hull, and the other began handing equipment in to him.

Plant-eaters were beginning to struggle out of their dust beds as the connections were completed, and the torch started to flame. Cun­ningham nodded in pleasure as he noted this; things could scarcely have been timed better had the men been consciously co-operating. He actually emerged from the cave, keeping in the shadow of the hil­lock, to increase his field of view; but for several minutes nothing but plant-eaters could be seen moving.

He was beginning to fear that his invited guests were too distant to receive their call, when his eye caught a glimpse of a long, black body slipping silently over the dunes toward the ship. He smiled in sat­isfaction; and then his eyebrows suddenly rose as he saw a second snaky form following the tracks of the first.

He looked quickly across his full field of view, and was rewarded by the sight of four more of the mon­sters—all heading at breakneck speed straight for the spaceship. The beacon he had lighted had reached more eyes than he had ex­pected. He was sure that the men were armed, and had never intended that they actually be overcome by the creatures; he had counted on a temporary distraction that would let him reach the air lock unop­posed.

He stood up, and braced himself for the dash, as Malmeson’s helper saw the first of the charging centi­pedes and called the welder from his work. Malmeson barely had time to gain his feet when the first pair of attackers reached them; and at the same instant Cunningham emerged into the sunlight, putting every ounce of his strength into the leaps that were carrying him to­ward the only shelter that now existed for him.

He could feel the ardor of De­neb’s rays the instant they struck him; and before he had covered a third of the distance the back of his suit was painfully hot. Things were hot for his ex-crew as well; fully ten of the black monsters had reacted to the burst of—to them—overpoweringly attractive odor—or gorgeous color?—that had resulted when Malmeson had turned his welder on the metal where Cun­ningham had applied the frozen blood of their natural prey; and more of the same substance was now vaporizing under Deneb’s in­fluence as Malmeson, who had been lying in fragments of it stood fight­ing off the attackers. He had a flame pistol, but it was slow to take effect on creatures whose very blood was molten metal; and his companion, wielding the diode unit on those who got too close, was no better off. They were practically swamped under wriggling bodies as they worked their way toward the air lock; and neither man saw Cun­ningham as, staggering even under the feeble gravity that was present, and fumbling with eye shield misted with sweat, he reached the same goal and disappeared within.
Being a humane person, he left the outer door open; but he closed and clogged the inner one before proceeding with a more even step to the control room. Here he un­hurriedly removed his spacesuit, stopping only to open the switch of the power socket that was feeding the diode unit as he heard the outer lock door close. The flame pistol would make no impression on the alloy of the hull, and he felt no qualms about the security of the inner door. The men were safe, from every point of view.

With the welder removed from the list of active menaces, he fin­ished removing his suit, turned to the medium transmitter, and coolly broadcast a call for help and his position in space. Then he turned on a radio transmitter, so that the rescuers could find him on the planet; and only then did he contact the prisoners on the small set that was tuned to the suit radios, and tell them what he had done.

“I didn’t mean to do you any harm,” Malmeson’s voice came back. “I just wanted the ship. I know you paid us pretty good, but when I thought of the money that could be made on some of those worlds if we looked for something besides crazy animals and plants, I couldn’t help myself. You can let us out now; I swear we won’t try anything more—the ship won’t fly, and you say a Guard flyer is on the way. How about that?”

“I’m sorry you don’t like my hobby,” said Cunningham. “I find it entertaining; and there have been times when it was even useful, though I won’t hurt your feelings by telling you about the last one. I think I shall feel happier if the two of you stay right there in the air lock; the rescue ship should be here before many hours, and you’re fools if you haven’t food and water in your suits.”

“I guess you win, in that case,” said Malmeson.

“I think so, too,” replied Cun­ningham, and switched off.

THE END

Do you want more?

I have more posts like this in my fictional Index here…

Fictional Stories

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 

Stepan is a sincere wine friend like me

The Italian fashion house Valentino bought advertising from a cat Stepan aged 13 that lives in Kharkiv, Ukraine. The pet posed next to the bag that cost 4 000 euros.

I personally believe that his expression encompasses the feeling of many an MM reader, and survivor in the total and complete fiasco that is, don’t you know, this planet earth.

Stepan is a celebrity cat. On most of its photos, it sits in a chair and looks thoughtfully at cocktails. People call the cat “the king of sad parties”. But the owner of the cat says it is not sad, it’s sleepy.

As he looks on dreamily at the wine, we can most certainly relate to his feelings, emotions and needs.

In ancient Greece a host at a dinner party would always take the first sip of wine to assure guests the wine was not poisoned and that is where the saying “drinking to one’s health.” comes from!

Stepan also got attention from Britney Spears who wrote in her Instagram…

“If I go quiet from time to time, you can catch me with this cat somewhere 😜😹🤪 !!!!⁣”

I guess Britney understands.

Wine tasters call the smell of a young wine an “aroma” while a more mature bottle of wine has a “bouquet.”

This darn cat communicates so friggin’ well.

Let’s talk about wine.

Wine and life

There are 2 types of people: Those who drink wine and those I hate so much I scratch!

I know…

… many MM readers are American and really don’t drink alcohol, nor smoke. It’s mandated by the government and enforced by laws and society. So most Americans believe that it’s their choice. You know, for a healthier life, and all that.

Well, I live in Zhuhai which is next door to Macau.

  • Life expectancy for men in the USA is 70 years. (HERE)
  • Life expectancy for men in Macau is 84.2 years. (HERE)

Yuppur!

Cigarette smoking, alcohol guzzling Macau, China has a life expectancy much larger than that for America. I’ll bet you all weren’t aware of it.

“Wine improves with age. The older I get, the better I like it!”

Like all things USA, good news out of China is suppressed, while bad news is promoted with loud megaphones. Video 7MB

The death of free media-1-2022-02-13_10.42.42

The banning of alcohol and cigarettes in the USA has more to do with control than any health reason. And if you cannot see that, then you are deluded. Just like in ancient Rome.

Back in the days of Early Roman (194 B.C) women were forbidden to drink wine, in fact, it was so illegal that any husband who found his wife drinking wine was free to kill her without fear of reprisal!

It’s about lifestyle. Stress. Family. Tradition.

You simply cannot try to change your recreational habits, while living in an oppressive, tyrannical environment full of stress, personal distress and bad unhealthy food. You just can’t.

Anyway…

…here we will chat about cats and wine.

You got a problem with that?

”99% of the world's problems could be solved with a glass of wine! Or 2,3 half a bottle.”

I sometime lurk in and around wine lover blogs, and extracted this treasure trove of information…

What Are The Most Popular Types of Wine?

You know, guys, wine is very, VERY versatile. It can taste like sweet liquid candy, all the way to oily melted butter and everything in between. It’s sort of like how there are all sorts of colas, and sodas. In fact, I will go as far is to say that there are more different flavors and tastes in wine than there are for beer. If you can believe that!

Want to find out what wine you like best?

Check out just 18 different grape varieties, commonly referred to as international varieties.

They include light sweet white wines like Moscato and Riesling to deep dark red wines like Syrah and Cabernet Sauvignon.

Once you’ve tried all 18, you’ll actually have a pretty good handle on the entire range of wine. You’ll also know more about your personal preferences.

What Are the Most Popular Wine Regions?

Knowing that Italy, France and Spain are the top three wine producing countries (as determined by the European council of wine) in the world tells you three things.

For one, they probably produce the majority of bulk wine in the world. Two, they also produce some of the best wine in the world. And three, France, Italy and Spain are the source of all of the most popular varieties of wine in the world.

But that has been a changing.

There’s some most excellent wine out of Chile, and Australian wines are outstanding, and let’s not forget Chinese wines. So, while the European wines are the oldest and best established, and thus they are considered the “most popular”, they are in fact no longer.

By bulk of wine produced, nothing can compete against the Chinese wine industry.

Cats and wines. Two of my favorite subjects.

Why Do Some Wines Taste More Tart Than Others?

“Come on! It is not like I have a problem, I only drink a glass or 2 in days ending in ‘Y'”

Now that you know what wine is and where it comes from, find out what are the basic characteristics of wine? And no, I’m not talking about color, or bottle size.

Some wines taste tart. The tartness of wine is called acidity.

Some wines will warm/burn the back of your throat, which is the alcohol level.

Finally, some wines leave a lingering bitter/dry taste in your mouth, which is called tannin. Learn the basic wine characteristics, so you can better describe what you like.

How Can a Wine With No Sugar Still Taste Sweet?

In the wine world, we call this trait fruit forward.

How is it that a completely dry (ie no residual sugar) wine can taste sweeter than other wines?

There are a few factors that go into this phenomenon including grape variety, region and oak aging. For instance, if you have a Malbec from France compared to a Malbec from Argentina, the latter tastes sweeter.

The region where the grapes grow greatly affects the flavor.

How To Ask For Wine You Like

The oldest bottle of wine in the World is located in Speyer, Germany, inside one of two Roman sarcophaguses and it is said to date back to A.D. 325!

Now that you’ve tried some wine and have opinions, how do you communicate to others what you like? Well, it helps to know what regions or varieties that you prefer, but what if there’s a better way?

For me, I just sample different budget wines and try them. If the price is right, and they taste good, I buy ten or so cases.

A little cat.

Look Like a Badass When You Drink Socially

A wine glass by uniform form has a gently curved rim at the top to help contain the aromas in the glass, as a general rule the thinner the glass and the finer the rim, the better the glass!

Wine is social. Learn about basic social wine etiquette. It will help you maintain cool and calm, even in the most intense dining situations… say, dinner at Per Se?

Tough wine drinking kitty.

When are Most Wines Meant To Be Drunk?

The best place to store wine is under the stairs! The worst place is usually in the kitchen because it is typically too warm or the fridge because they are too cold.

I suggest any time, all day. The more, the merrier.

Happy feline.

But seriously, though. 90% of wine is meant to be drunk in the year it’s released. This is a fact.

Some wines do, however, improve with age.

Want to know the traits of an age-worthy wine? There are four traits: Acidity, Tannin, Low Alcohol and Residual Sugar. Not what you thought eh?

Why Wine Doesn’t Taste the Same Year to Year

If a wine tastes watery or is said to taste “diluted.” then the chances are good that it was picked during a rainstorm! And thus no grape farm worth its salt would pick them during rain, the hottest, sunniest days are apparently best.

Does this ever happen to you? You find a stupid-awesome wine and you buy a ton of it.

Eventually you drink up your stash and buy more, except the new wine doesn’t taste the way you remember.

Relax. You’re not crazy.

Formal.

Check the vintage, more than likely you’re a victim of Vintage Variation. Vintage variation happens more often in cooler climate regions. So if you’re a Pinot Noir lover, pay attention to vintage.

What Should You Expect to Spend on Decent Wine?

We all hear about them.

Those amazing deals on fantastic wines; either declassified, relabeled or sold through a stressful 3-day sale site. Yes, some of these deals are great, but you can still find great wines without a discount tag.

What a cute little glass of wine!

How much should you expect to spend on a decent bottle?

And if you’re buying in a restaurant, how much does that bottle of wine really cost?

Which is why I find a good wine and buy in bulk for my daily bottle or three.

Drinking Wine is an Adventure

A study in Italy argues that women who drink at least two glasses of wine a day on average have much better sex than those who don’t drink at all!

Well…

There’s only one way to find out if this study has merit and their conclusions are true. Don’t you know?

If you’re drinking the same old crap just to get drunk then you’re not really enjoying all the uniqueness wine has to offer.

Wine is an accompaniment to life experiences; where you are, and who you’re with.

There will always be peaks and valleys.

Expand your understanding by being experimental and trying new things. If you ask a wine expert what their favorite wine is, they’ll never give you a straight answer because the truth is, they love it all.

Conclusion

So you see, there’s no mention on how wine is made, or the nuances of the color of wine, because those aren’t (as) important.

Remember, pay attention to what you’re drinking and use those observations to make educated guesses to seek out new wine.

Use wine as a vehicle to enjoy the moment…

Use wine as a vehicle to enjoy the moment

use wine to taste and savor the food…

“Meals without wine are not worth eating!”

Taste and savor the moment.

Use wine to rest and relax your mood and emotions…

The purring of a cat and a bottle of wine makes a great snuggle atmosphere of calm contentment.

Some final thoughts…

If you are so inclined, may I suggest a nice delicious meal with the wine, with bread, some light music and some friends and a cat or two…

Fondue and wine.

If you want guidance, there are all sorts of websites that offer suggestions for pairing wine and fondue. But here is my suggestion…

  • Go to a store and buy a couple of bottles of wine.
  • Make some fondue.
  • Share it with friends and family.

It’s more important to prioritize what is important in your life, then strive for perfection. So, just get started.

If you’ve got a cat, well, you are half-way there already.

Do you want more?

Well, this article can fall under cats under my cat index , found within my happiness index, here…

Life & Happiness

.

.

.

.

.

.

.

.

.

.

.

.

.

 

 

Groovy CB radios, bell-bottom trousers, and the astounding WeChat application

Groovy. You bet.

I come from a generation that had phones that were stuck on walls, and the idea of a cell phone was a science fiction adventure on Star Trek. In fact, our house had a rotary dial phone that was stuck on the wall in the kitchen.

It was yellow.

It fit in the orange decour with the olive colored refrigerator, and stove, and the red countertops.

Back in those days, I didn’t use the phone often. My sister was the one hogging up the phone all the time.

Oh, did she have a gift for chatting away. It got to be so bad that my father bought an extra one for her to use so that “she would get out of our hair” in the kitchen all the time.

She treated the telephone as her own private kingdom. Outside our local township, the phone rates were extremely enormous (this was before the breakup of “Ma Bell”) and to call a girlfriend in Pittsburgh (around a 2-hour drive away) would cost me more than what I could make a week in the mines and the grocery store combined.

So it was special.

Indeed, the two most important items in the household were the telephone and the television.

We got five channels on the television!

We were fortunate.

In Pittsburgh, my grandparents were lucky to get two. Or course, as a growing boy, the refrigerator and microwave fought for those top spots.

I must have eaten my weight in food many times a week. I was always scrounging in the ‘fridge for some leftover pot roast to make up a sandwich with cheese and microwave it in the “microwave oven” as we called it then.

A growing boy.

Then later, when I was around 16 years old or so, I discovered girls, FM music, cars and alcohol.

Not all at once, mind you, but all within months of each other. (Truth be told, I had an interest in the old throwaway Playboy magazines that I scrounged in the garbage cans since I was five years old, but it wasn’t until when I hit 16 did everything “come together”.)

My life has never been the same since.

You know, or should rightfully assume, I was a pretty groovy guy.

I had bell bottom pants, a choker necklace, a MIA braclet, and a big belt buckle with my astrological sign on the front. I had longish hair, and rockstar shoes.

I was the guy in the purple shirt. LOL.

Anyways, if we wanted to place a telephone call from outside our home, we would use these tiny little rooms called “phone booths”.

And they would frequently have this big yellow book inside. Where you could find the telephone numbers of everyone in the city that you were calling from.

A phone booth.

And if you were attending college, or were in a Navy barracks, you would use the line-up of phones at the end of the hallway.

Privacy was obtained by these little foot-sized dividers to provide the illusion of privacy.

They didn’t do anything more than that and often had graffiti on them colored by bored college students.

Rack of phones in a college dorm.

Times came and went. I began my teens with “muscle cars” and boy oh boy do I miss my GTO, but things merged in the haze of the 1970s.

We still drove those cars around, but we were starting to complain about the high cost of gas, and we were all afraid that it would break the $1 gallon ceiling.

1970 Dodge Charger.

Ah… When cars were cars!

When you went into a turn in these babies, boy oh boy could you feel it.

It was a time when people would take off all their clothes and go a “streaking” in public areas. It was a time whenpeople asked if President Jimmy Carter dropped acid, and if the cost of coffee would go back to being five cents a cup.

As time moved on, my GTO was replaced by an AMC Pacer (due to finances) and then that too was replaced with a 1974 Dodge tradesman minivan. I was so hip and so cool.

Dodge van.

My van was carpeted in lime green shag carpeting, and had a couple of sky roofs. I was proud of my pumped up shocks on it, and the state-of-the-art cassette player with FM radio!

No phone though.

I had a CB.

CB Radios

Mention ‘CB Radio’ to most people and they will instantly mime holding a mic and spew phrases like ‘breaker-breaker-9’, ‘big 10-4 rubber duck’ in a bad US accent or even start singing the theme tune to ‘Convoy’. Interestingly for a craze that burned out over 30 years ago, the social and linguistic paraphernalia of the CB world continues to live on strongly even today.

  • The CB radio was invented in 1945 by Al Gross, the inventor of the walkie-talkie and owner of the Citizens Radio Corporation.

The radio became popular with small businesses and blue collar workers like carpenters, plumbers, and electricians who used the radio as a tool to communicate with coworkers.

  • By 1960, the costs to produce the 23 channel radio were low enough that everyday Joes could afford to buy one.
  • By 1973, coinciding with the onset of the oil crisis, the CB Radio craze erupted.

FCC opens up CB radio channels to the public

When Al Gross invented the CB radio in 1945, the Federal Communications Commission (FCC) quickly opened up radio services for personal users of the radio.

Most countries have similar radio services. In the United States, Citizen’s Band Radios operate on the 27-Mhz band whereas in Canada it is known as General Radio Services and operates on the 26 Mhz and 28 Mhz bands.

CB Radio.

Unlike amateur radio, CB radio does not require a license (although at one time, they did require a license to operate). CB radio channels are shared by many users at the same time and other stations must listen and wait for the shared channel to be available.

By the 1960’s, the CB radio was popular with businesses and radio hobbyists. By the late 1960’s, advancements in solid state electronics allowed the size of the radio to be greatly reduced as well as the cost.

Suddenly, the general public had access to a communications medium that previously had only been available to specialists. CB radio clubs were formed and hobbyists developed their own unique CB slang language along with 10-codes similar to the codes used by emergency services.

The CB Radio Craze

By 1973, the oil crisis caused the cost of gasoline to skyrocket and shortages quickly developed. In response, the United States government issued a 55 MPH nationwide speed limit.

This caused an angry fury in the ‘States. “How dare the government tell us how to drive!”.

Smokey and the Bandit.

Drivers quickly learned that CB radios could be used to communicate with other drivers to inform them of gas stations that had gas and to notify speeders where police (smokeys) had speed traps set up.

The CB radio became so popular, by 1977 additional channels were opened up and 40 channel radios were introduced to the market.

Newsworthy events related to CB radios further added to the excitement. Truck drivers used the radios to organize convoys ( huge lines of trucks that travelled down the nation’s highways).

In several instances, blockades were organized using CB Radios where trucks would fill all available highway lanes in protest of the high gas prices and new trucking regulations.

CB Radios began to play prominent roles in movies such as Smokey and the Bandit and Movin’ On. Novelty songs about the new electronic toy, such as CW McCall’s Convoy and Cletus Maggard’s White Knight (see lyrics below), were played regularly on the radio.

Smokey and the Bandit

During the CB radio craze, citizens of Great Britain began illegally using American made CBs.

We deserve to live like Americans they demanded! The British government told its citizens that the CB radio would never be legalized on the 27 Mhz wavelength and instead, proposed a different technology on the 860 Mhz “open channel” instead.

The citizens of the United Kingdom took to the streets in high profile public demonstrations and UK government officials bent to the will of the people. Al Gross made the first British ceremonial CB radio call from Trafalgar Square in London.

Later the United Kingdom added more than 40 channels giving UK citizens 80 CB radio channels to work with.

Handles

Years prior, CB radios required a licensed to operate. The license cost about $20 in the early 1970’s and was reduced to $4 in the late 1970’s.

In addition, there were many rules and regulations concerning antenna height, distance restrictions, allowable transmitter power, and call sign rules. People ignored the laws and to hide their identity, developed “handles” or fake names to identify themselves on the radio.

After the FCC started receiving over 1,000,000 license applications a month, the license requirement was dropped entirely but as the culture had already developed, people continued using handles such as “Big Mama” or “Timberwolf” to identify themselves while on the air. Some famous celebrity handles include:

  • Betty Ford, a former First Lady of the United States, whose CB handle was “First Mama”.
  • Voice actor Mel Blanc , an active CB Radio operator, often used the CB handles Bugs or Daffy and talked over the air in the Los Angeles area using his many voices.

Channels

Channels evolved to fill specific purposes. For instance, channel 9 was kept open for emergency use and channel 19 was used for highway communication west of the Mississippi River.

Eventually channel 19 became the “trucker’s channel” and was used for highway communication all over the United States.

In the early days of the CB radio craze, channel 11 was used solely for the purpose of initiating communications (after which the two radio callers switched to a mutually agreed upon channel).

Towns that were close together often adopted a specific channel as their “home” channel so that they could communicate with each other.

Talking the Talk

CD etiquette developed and evolved during the craze. CB radios were intended to be used to warn other drivers of Smokeys up the road or to report roadside emergencies.

Chit chatting with other CB radio users is ok but it is not considered courteous to hold up a channel for more than a few minutes. Cursing is also frowned upon. It is common for CB radio operators to use hidden code or unique slang to communicate.

For instance, when giving a warning that a police officer is running a hidden speedtrap, they might say “smokey in the bush” or to warn truckers to watch out for a broken down school bus they might say “watch out for the kiddy car at mile marker 200″.

Many of the CB slang from the 1970’s hung around and became slang that continued to be used outside the realm of CB radio communications. Below is a large list of CB radio slang used during the 1970’s CB radio craze.

CD Radio slang from a to z
  • ACE – an important or well known CB radio operator
  • Apple – a person who is addicted to the CB radio
  • AF -Audio Frequency
  • Afterburner – Linear amplifier
  • ALERT – Affiliated League of Emergency Radio Teams
  • All the good numbers –  good luck and best wishes to all
  • Alligator – shredded tread from the tires of an 18 wheeler truck
  • Amigo – friend or good buddy
  • ANL – Automatic noise limiter
  • Ankle biter- a little kid
  • Antenna Farm- a CB radio ase station with many antennas strung up in the air
  • Antler Alley – an area known for deer crossings
  • Appliance Operator – degrading term for a non-technical person who barely knows how to turn on their radio
  • AM -Amplitude Modulation
  • Ancient Mariner –  someone who uses AM radio
  • Baby Bear – a rookie police officer
  • Backdoor – vehicle behind the one who is ahead of it.
  • Backdoor closed – the rear of a convey with trucks stacked across the lanes to keep the Smokeys out
  • Back em up – slow down or reduce speed
  • Back off the hammer – slow down or reduce speed
  • Backslide – return trip from a trucker’s run
  • Bad scene – a crowded CB radio channel
  • Ballet Dancer – a CB radio antenna that sways and bends in the wind
  • Base Station – a CB radio installed at a fixed location such as a house
  • Beast  -a very good CB radio rig
  • Beam – Directional Antenna
  • Bean House Bull –  trucker conversation carried on at a truck stop
  • Bear Bait – a speeding car
  • Bear Cage- police station or jail cell
  • Bear Cave – police station
  • Bearmobile – police car
  • Bear Trap – stationary police car running a radar trap
  • Bear in the air- police in their helicopter
  • Bear – police officer
  • Beat the bushes – driving ahead of the other truckers in an effort to draw the police out of hiding
  • Beaver – good looking female
  • Beaver Bear – female police officer
  • Beaver Fever – missing the wife or girlfriend
  • Beaver Palace – a club or bar known for loose female patrons
  • Beaver Patrol – looking for a good looking woman to spend time with
  • Big Charlie or Big Daddy – the Federal Communications Commission (FCC)
  • Big Mack – Mack truck
  • Big Slab – freeway or highway
  • Big 10-4-  hearty agreement.
  • Bit on the seat of the britches – pulled over and issued a speeding ticket
  • Black and White – police car
  • Black Ice – patch of iced over blacktop road
  • Bleeding/Bleedover – strong signals from a base station on another channel that interferes with another channel’s reception
  • Blew my doors off – car passed by at high speed
  • Blue Slip- speeding ticket
  • Boast Toastie – CB expert
  • Boat Anchor – an old, broken radio that can no longer be repaired
  • Bodacious- Awesome
  • Boy Scouts – State Police
  • Box -Tractor Trailer
  • Break (or breaker, break for) – request to use the channel
  • Breaking Up – CB radio reception is poor
  • Breaking the “˜ol needle – very strong CB radio signal
  • Bring it back – answer the question that was posed
  • Brown paper bag – unmarked Police car
  • Bubble gum machine- police car with flashing lights
  • Bucket Mouth – obnoxious radio operator or someone who cusses a lot on the air
  • Bug Out – signing off or leaving the radio channel
  • Bumper Lane – the left most passing lane
  • Button Pusher – another CB radio operator who is trying to breakup your communication with another station by keying the microphone
  • Camera -police radar
  • Candy Man – Federal Communications Commission (FCC)
  • Casa – house
  • Cash Register – toll booth
  • Catch you on the flip-flop – will talk to you on my return trip
  • Channel 25 – the telephone
  • Charlie – Federal Communications Commission (FCC)
  • Chew and choke – Restaurant or truck stop eatery
  • Checking My Eyelinds For Pin Holes – I am tired or sleepy
  • Check the seatcovers – look at that passengers in the passing car
  • Chicken Coup – weigh station
  • Chicken Coup is Clean – weigh station is closed.
  • Chicken Inspector – weigh station inspector
  • Chopped Top- a very short antenna
  • Christmas Card – speeding ticket
  • Chrome Dome – a mobile radio with a dome antenna on top of the car
  • Clean Cat – a unmodified CB radio
  • Clean Shot – the road ahead is free of obstructions, construction, and police
  • Cleaner channel – CB radio channel with less traffic on it
  • Clear – Final transmission “This is 505 and I’m clear”
  • Clear after you  – you are ending transmission after the other person finishes signing off
  • Coffee Bean – Waiter or waitress
  • Cold Rig – 18-wheeler pulling a refrigerated trailer
  • Collect Call – call for a specific CB radio operator
  • Colorado Kool Aid – beer
  • Come again – repeat your last transmission
  • Come Back – answer my call
  • Comic Book  -truckers log book
  • Coming in Loud ‘n Proud – loud and clear signal
  • Concrete Blonde – prostitute
  • Convoy – 2 or more vehicles traveling the same route in a row
  • Cooking – driving
  • Cooking Good – reached desired speed.
  • Copy – receiving a message
  • Copying the mail – listening to the communications on the channel
  • County Mountie – county police or sheriff
  • Covered Up – transmission was blocked by interference
  • Crack ’em Up – traffic accident
  • Cradle Baby – radio operator who is afraid to ask someone to stand by
  • Cup of Mud – cup of coffee
  • Cut Out – leaving the channel
  • Cut Some Z’s – get some sleep
  • Cut The Coax – turn off the radio
  • Daddy-O – Federal Communications Commission (FCC)
  • Dead Pedal – slow moving car or truck
  • Dead Key – keying the mike without talking
  • Decoy – empty or unmanned police car
  • Diesel Digit – cchannel 19
  • Diesel Juice – truck fuel
  • Dime Channel – channel 10
  • Dirty Side – Eastern Seaboard
  • Dixie Cup-  female operator with southern accent
  • Doing the Five-Five- traveling at 55mph
  • Doin’ it to it – Full speed
  • Doing our thing in the left-hand lane – full speed in the passing or left-hand lane
  • Do it to me – answer back
  • Do you copy? – Do you understand?
  • Don’t Tense – calm down
  • Don’t Feed The Bears – don’t get a ticket
  • Double key – two radio operators talking at the same time
  • Double L – telephone call
  • Double Nickel – 55mph (the speed limit during the 1970’s CB radio craze)
  • Down “˜n Out or Down and gone – signing off
  • Down and on the side – through talking but will continue listening
  • Drag Your Feet – wait a few seconds before transmitting to see if someone else wants to break in
  • Dream Weaver – sleepy driver who is weaving across the lanes
  • Dress For Sale – prostitute or dressed like a prostitute
  • Drop Out – fading signal
  • Drop Stop Destination – where freight will be dropped off
  • Drop the Hammer – drive fast
  • Dropped it off the shoulder – ran off the shoulder of the road
  • Dusted your britches – keyed up at the same time
  • Dusted my britches – passed me very fast
  • Dusted Your Ears- transmission interrupted
  • DX – Long Distance
  • Eager beaver – anxious young woman
  • Ears ON – CB radio turned ON
  • Eights or Eighty-eights – love and kisses
  • Eights and other good numbers – love and kisses, and best wishes
  • Eighty-eight’s around the house – good luck and best wishes to you and yours
  • Eyeball- Personal meeting
  • Everybody must be walking the dog – all channels are busy
  • Evil Knievel – motorcycle policeman
  • Fake brake – driver riding with his foot on the brake
  • Fat load – overweight or big truck load
  • Feed The Bears – paying a speeding fine
  • Fender bender – traffic accident
  • Fifty Dollar Lane – passing lane
  • First Sargent – wife
  • Flag waver – highway repair crew
  • Flaps down – slow down
  • Flappers -ears
  • Flip flop – return trip
  • Flip-Flopping Bears – police reversing direction or turning around
  • Flop it – turn around
  • Flop box – motel or room in truck stop
  • FM – Frequency Modulation
  • Follow the stripes home – have a safe trip
  • Footwarmer – Linear amplifier
  • Forty weight – coffee
  • Four Wheeler – cCar
  • Four lane parking lot – highway with traffic backed up
  • Four legged go-go dancers – ugly women
  • Fox – pretty female
  • Fox Charile Charlie – FCC
  • Fox hunt – FCC hunting for illegal operators
  • Fox jaws – Ffemale with nice voice, but not necessarily a body to match
  • Free Ride – prostitute
  • Freight Box – trailer for the truck
  • Friendly Candy Company – FCC
  • Front Door – the lead in a convoy
  • Full of vitamins – running all out
  • Full Bore – driving fast as you can
  • Full Throttle – driving fast as the truck will let you
  • Funny Candy Company – FCC
  • Funny channels – channels that are outside the legal band
  • Gallon – 1000 watts of power
  • Garbage – too much small talk on a channel
  • Gas Jockey – gas station attendant
  • Gear – overnight bag or supplies
  • Get horizontal – go to sleep
  • Get Trucking – start driving
  • Girlie Bear – female police officer
  • Give me a shout – call me on the radio
  • Glory Card – Class D License
  • Go Breaker – OK to go ahead and break into the channel
  • Go Ahead – your turn to talk or reply
  • Go Juice – truck fuel
  • Go to channel 41 – a joke to get someone off the radio (there is no channel 41)
  • Going Horizontal – going to sleep
  • Gone – leaving the channel
  • Gone 10-7 – permanently dead
  • Good Buddy – friend (modern day means homosexual)
  • Goon Squad – persons who do not share the channel
  • Got my shoes on – Switched the linear ON
  • Got your ears on? – are you listening on this channel
  • Got my eyeballs peeled – looking hard
  • Got my foot in it – speeding up
  • Go to 100 – go to the bathroom
  • Green Stamps – cash money
  • Green Stamp Collector – police with radar
  • Green Stamp lane – passing lane
  • Green Stamp Road – toll road.
  • Grease monkey – mechanic
  • Greasy Spoon – restaurant with bad food
  • Ground Clouds – fFog
  • Gypsy – trucker who drives for an independent company
  • Hack – taxi cab
  • Hag Feast – group of female CB radio operators on the channel
  • Haircut palace – bridge or overpass with low clearance
  • Hairpin – sharp curve
  • Hamburger helper – Linear Amp
  • Hammer – gas pedal
  • Hammer Off – slow down
  • Hammer Down – speed up
  • Hang it in your ear – that was a stupid comment
  • Handle – CB radio code name
  • Hay Shaker – truck transporting a mobile home
  • Heading for a hole – about to head into a low spot where radio transmission may not be possible
  • Heater – Linear amplifier
  • Hell bent for leather – driving fast
  • Hiding in the grass – police parked on a median strip
  • Hiding in the bushes, sitting under the leaves – hidden police car
  • Highball – drive non-stop to the destination
  • High Rise – large bridge or overpass
  • Hippie Chippie – female hitchhiker
  • Hip Pocket – glove box
  • Hit the cobblestones – hit the road
  • Hog – Harley Davidson
  • Home Twenty – location of your home
  • How tall are you? – How tall is your truck?
  • Hundred mile coffee – very strong coffee
  • Ice Box – Refrigerated trailer.
  • Idiot Box – TV set
  • In a short – soon
  • In a short-short – very soon
  • In the mud – noise on the channel
  • In the Pokey with Smokey – arrested
  • Jack – good friend
  • Jack Rabbit – police officers
  • Jam – deliberately interfere with another station.
  • Japanese toy – CB
  • Jargon – CB lingo
  • Jaw Jacking – talking, talking needlessly
  • Jewelry – lights on a rig
  • Jingle – call on the telephone
  • Johnny Law – police officer
  • Juke Joint – small or out-of-the-way place to eat
  • Jump Down – switch to a lower channel
  • Jump Up – switch to a higher channel
  • Keep “˜em Between the Ditches – have a safe trip
  • Keep the shiny side up and the greasy side down – drive safely
  • Keep the wheels spinning – drive safely
  • Keep your noise between the ditches and smokey out of your britches – drive carefully, lookout for police
  • Keying the mike – activating the microphone without speaking
  • Kicker – Linear amplifier
  • Kiddie car – school bus
  • Knock the stack out – speed up
  • Knuckle Buster – fight
  • Kojak – police officer
  • Kojak with a Kodak – policeman with a radar
  • Lady Bear – female police officer
  • Lady Breaker – Ffemale CB operator asking for a break.
  • Lame – broken down vehicle
  • Land Line – telephone
  • Land Yacht – mobile home or camper
  • Lane Flipper – car or truck that keeps changing lanes
  • Lane Lover – driver who will not get out of the lane
  • Latrine Lips – radio operator who cusses
  • Let the channel roll – it’s ok to break in and request use of the channel
  • Legal Beagle – person who always follows the rules
  • Lettuce – money
  • Lights green, bring on the machine – road is clear of police and other slowdowns
  • Linear – RF amplifier
  • Little Bear – local police officer
  • Little Beaver – daughter
  • Little Bit – prostitute
  • Little Brother – friend
  • Local Bear – local police officer
  • Local Yokel – small town police officer
  • Log some Z’s – get some sleep
  • Loot Limo – armored car
  • M20 – place to meet
  • Magic Mile – the end of a trip
  • Mama – girlfriend or wife
  • Mama Bear – female police officer
  • Man in White – doctor
  • Mashing the mike – keying the mike (usually without talking)
  • Meatwagon – ambulance.
  • Modulate – talk
  • Modulating – talking
  • Money Bus – armored truck
  • Motion Lotion – fuel
  • Motorcycle Mama – woman riding on a motorcycle
  • Muck Truck – cement truck
  • Nap Trap – hotel or other place to sleep
  • Negative – no
  • Negative Copy – did not hear
  • Neon, Freon, Ion Jockey – truck driver with many lights on his rig
  • Nightcrawlers – many police in the area
  • Niner – channel 9
  • Ninety Weight – alcohol
  • Oil burner – diesel truck
  • On the by or on the standby – listening but not talking.
  • One foot on the floor, one hanging out the door, and she just won’t do no more – driving as fast as I can
  • Other Half – girlfriend or wife
  • Out – through transmitting
  • Over – your turn to transmit
  • Over modulation – talking so loud that audio is distorted
  • Pack it in – ending transmission
  • Pair of sevens – no contact or answer
  • Papa Bear – state trooper with CB radio
  • Paper hanger – police giving ticket
  • Parking Lot – traffic jam
  • Pavement Princess – prostitute
  • Peanut butter in his ears – is not listening
  • Pedal to the metal – drive fast
  • Peeling Off – getting of the freeway
  • Plain Wrapper – unmarked police car
  • Play Dead – stand by
  • Picture taking machine – radar
  • Pit Stop – stop for a bathroom break
  • Popcorn – hal
  • Porcupine – cr with a lot of antennas on it
  • Pounding the pavement – waking
  • Press some sheets – slep
  • Pull the hammer back – slow down
  • Pull the plug – signoff and turn the radio off
  • Put an eyeball on him – saw or see
  • Put it on the floor and looking for some more – trying to drive as fast as possible
  • QSL Card – Personalized postcard sent to confirm a conversation
  • QSK – break
  • QRM – nise or interference
  • Q-R-Mary – nose or interference
  • QSY – changing channels/frequency.
  • QRT – signing off
  • QRX – wait
  • QSB – nise
  • QSO – conversation
  • QTH – location
  • Quasar – female
  • Radio Runt – child breaking in on a channel.
  • Rain Locker – shower
  • Rake the leaves – last vehicle in a convoy
  • Ratchet-Jaw – non-stop talker
  • REACT – Radio Emergency Associated Citizens Teams
  • Rebound – return trip
  • Red Lighted – pulled over by police
  • REST – Radio Emergency Safety Teams
  • RF – Radio Frequency
  • Road Jockey – truck driver
  • Road Ranger – police officer
  • Rock – slang for crystal
  • Rockin’ chair – car in the middle of a convoy
  • Roger – O.K.
  • Roller Skate – car
  • Rolling – driving
  • Rolling Bears – police officers driving
  • Rugrats – children
  • Rubberneckers – onlookers
  • Running Barefoot – using a radio at the legal output
  • Running on rags – driving a vehicle with little to no tread on the tires.
  • Running Shotgun – driving partner
  • San Quentin Jailbait – under age female hitch hiker
  • Seatcover – good looking female
  • Shaking the windows – loud and clear reception
  • Shim – illegally amplified transmitter
  • Shoot the breeze – casual conversation
  • Shovelling coal – speeding up
  • Show-off lane – passing lane
  • Skip – atmospheric conditions that cause signals to travel much farther than they normally would
  • Skippers – radio operators talking long distance
  • Sidedoor – oassing lane
  • Sitting in the saddle – middle truck in a convoy
  • “S” Meter – meter on your radio which which indicates the signal strength
  • Smokey – State Police
  • Smokey Bear – State Police
  • Smokey report – police location report
  • Smokey Dozing – police sitting in a parked car
  • Smokey’s thick – police are everywhere
  • Smokey with a camera – police with radar
  • Smokey with ears – policeman with CB radio in their car
  • Somebody stepped on you – someone transmitted while you were talking
  • Splatter – bleedover from another channel
  • Squelch – control on radio which silences the speaker until a signal of a certain strength breaks through it
  • Three’s and eights – signing off, best wishes
  • Thin – very weak signal
  • Twelves – I have company present
  • Twenty – Location
  • Two Stool beaver – very fat woman
  • Uncle Charlie – FCC
  • Walking on you – someone talking over you
  • Wall-to-wall and treetop tall – strong, clear signal
  • Wall-to-wall and ten feet tall – strong clear signal
  • Warden – girlfriend or wife
  • Watch the pavement – drive safely
  • Water hole – truck stop
  • Wear your bumper out – following too close
  • Wearing socks – has linear amplifier
  • What am I putting on you? – how strong is my signal
  • What’s your twenty? – what is your location
  • Whip – long cb antenna
  • Who do you pull for? – who do you work for?
  • Wooly Bear – female
  • Z’s – Sleep

In addition to CB radio slang, CB radio operators used a series of “10 codes” similar to the codes used by emergency radio operators.

The Complete CB 10 codes
  • 10-1 Receiving Poorly
  • 10-2 Receiving Well
  • 10-3 Stop Transmitting
  • 10-4 Ok, Message Received
  • 10-5 Relay Message
  • 10-6 Busy, Stand By
  • 10-7 Out of Service, Leaving Air
  • 10-8 In Service, subject to call
  • 10-9 Repeat Message
  • 10-10 Transmission Completed, Standing By
  • 10-11 Talking too Rapidly
  • 10-12 Visitors Present
  • 10-13 Advise weather/road conditions
  • 10-16 Make Pickup at…
  • 10-17 Urgent Business
  • 10-18 Anything for us?
  • 10-19 Nothing for you, return to base
  • 10-20 My Location is ……… or What’s your Location?
  • 10-21 Call by Telephone
  • 10-22 Report in Person too ……
  • 10-23 Stand by
  • 10-24 Completed last assignment
  • 10-25 Can you Contact …….
  • 10-26 Disregard Last Information/Cancel Last Message/Ignore
  • 10-27 I am moving to Channel ……
  • 10-28 Identify your station
  • 10-29 Time is up for contact
  • 10-30 Does not conform to FCC Rules
  • 10-32 I will give you a radio check
  • 10-33 Emergency Traffic at this station
  • 10-34 Trouble at this station, help needed
  • 10-35 Confidential Information
  • 10-36 Correct Time is ………
  • 10-38 Ambulance needed at ………
  • 10-39 Your message delivered
  • 10-41 Please tune to channel ……..
  • 10-42 Traffic Accident at ……….
  • 10-43 Traffic tie-up at ………
  • 10-44 I have a message for you
  • 10-45 All units within range please report
  • 10-50 Break Channel
  • 10-62 Unable to copy, use phone
  • 10-62sl unable to copy on AM, use Sideband – Lower (not an official code)
  • 10-62su unable to copy on AM, use Sideband – Upper (not an official code)
  • 10-65 Awaiting your next message/assignment
  • 10-67 All units comply
  • 10-70 Fire at …….
  • 10-73 Speed Trap at …………
  • 10-75 You are causing interference
  • 10-77 Negative Contact
  • 10-84 My telephone number is ………
  • 10-85 My address is ………..
  • 10-91 Talk closer to the mike
  • 10-92 Your transmitter is out of adjustment
  • 10-93 Check my frequency on this channel
  • 10-94 Please give me a long count
  • 10-95 Transmit dead carrier for 5 sec.
  • 10-99 Mission completed, all units secure
  • 10-100 Need to take a break
  • 10-200 Police needed at ……….

How to operate a CB radio

There it an etiquette that CB radio operators follow in order to be “polite” and courteous to the other CB radio users.  The following rules should always be followed.

  • When two or more people are talking on a channel they are said to “own the channel”.  FCC regulations require they give other users an opportunity to use the channel so they should not hold the channel hostage for more than several minutes.
  • CB radio users should not “step on” other units.  “Step on” means to transmit at the same time another radio operator is transmitting.  They should also never key over someone else.
  • If you hear one unit break for another unit, give some time for the unit to respond before you say anything yourself.  It may take a radio user time to grab the mic or get from the kitchen to the living room radio unit.
  • After your break has been acknowledged, keep the next transmission short.  For example, a break might go something like this: “Break one-nine for Super Trooper.  Super Trooper, do you have your ears on?”.  if Super Trooper does not answer after a minute or so, it is nice to acknowledge that you are finished by saying something like “thanks for the break”.
  • If you are carrying on a conversation and someone “walks over” you, you have one of two options.  You can ask the person you were speaking to to repeat.  For example, “10-9, you were stepped on.  Please repeat”.  Alternatively, you can hand the channel over to the breaker.
  • If your break is not acknowledged, wait several minutes before attempting to contact them again.

Enough of the CB craze in the 1970s in the USA…

Of course, today is quite different. There are all sorts of systems competing for our telecommunication needs. They vary from Skype to zoom, and everything in between.

Dilbert.

But I live in China, and EVERYONE uses WeChat.

Man oh man! 

WeChat is far more than I ever realized it was, and I have to tell you all that I am just blown away by some of the many features and functions that it has. And you all must realize that I have been using it for many, many years.

Over a decade.

So whether you have the APP, or are considering the APP, check out this “discovery tour” of WeChat.

First off, it’s a handy communication platform.

Duh! In fact, I will tell you that it is an all-in-one phone, instant messaging, video conference, and teleconference package.

All for free.

No costs to use.

You see, in China, the government has decreed that communication is a basic right and need. It should not be part of a for-profit model.

Sure, in the “old days” you used to have to pay for landlines, and maintenance, but now, since the infrastructure is in place, the costs to use this (and other applications) this APP is free to communicate with.

While my cell-phone certainly has telephony (telephone access), I find that it is often far easier to just  communicate back and forth with people using WeChat.

You just select your contact… and you can call, text, video immediately with zero charges anywhere in the world…

Connect with your friends.

But it’s more than that. You see you can have family, business, or friends groupings.

And while I am sure that it is available on other APP platforms, it’s just so deliciously easy to use on WeChat. You set up a group call, a group chat, a group message board, or a group video. Oh, and did I say that it’s all free?

The WeChat group chat, text, phone, or video are all so very easy to set up and use. It’s almost instinctive.

Now, these two aspects of the entire WeChat platform are reason enough to have it on your phone. If that’s all that you ever use your phone for, then it is most certainly worth it.

But there’s so much more.

You see, there’s all kinds of things that you can do when you are chatting on the phone using WeChat. It’s more than just chatting away.

You can text while chatting, video while chatting, translate things while chatting, read a text in Icelandic and have it instantly translated into English.

You can point your phone at a strange road sign in Afghanistan and have it instantly translated, and if you are unable to see the translation, it will read the translation out to you in English for you.

Translate Text

Sometimes you will get messages in Chinese and, unless you can read Chinese characters fluently, this can be a problem.

So, WeChat has added this feature that will translate messages for you. Press and hold on the message you want to translate and then select the right arrow and then press ‘Translate’ and it will automatically translate the message.

So there’s a message.

You click on it, and select translate.

Translate.

And low and behold, it will translate to your assigned default language on your phone. Pretty cool. I will tell you that living inside of China, I use this feature extensively. But also when I travel to Thailand, Japan, Korea, or Saudi Arabia it most certainly comes in handy.

Scan

One of the most used applications inside of China is the scan function. You scan for everything. You scan to enter buildings.

You scan to pay.

You scan to get information.

You scan to visit internet and government websites. All you need to is go to the top of the APP and click on Scan QR Code

.

And then scan the code. It’s just like this…

Scan the QR code.

Now…

Here’s a power tip.

Scan and Translate

Can’t read the instructions or menus in China?

China’s most popular social platform offers AR-based real-time translation.

This feature can be accessed from the scan feature in the upright corner, which is regularly used to scan QR codes.

To use the real-time translator, simply capture an image of anything with either Chinese or English text in it, operating on a point and translate model.

First, go to the + sign > Scan > Translate, Then take a photo to translate or select a photo from your gallery. Finally, wait for the text to be translated and understand the text in English.

The default is on the lower left. It will scan the QR code. However, if you click on “Translate”, something else happens… [1] You are prompted to take a photo.

Take a photo.
[2] It is translated for you.

Currently, WeChat Translate only supports Chinese and English, it works similarly to the Waygo App, which was designed to help non-Chinese speakers translate food menus and signs. An indication that WeChat wants to appeal to foreign users and tourists living in China.

WeChat’s trend of taking successful features from apps and integrating the technology into their platform shows their ambition to grow and compete with US tech giants: Google, Snapchat and Instagram.

However, WeChat still has a long way to go to reach the levels of Google Translate augmented reality feature, which now supports 30 languages.

Translate Image Text

“Translate Image Text” is another cool hidden feature that you will want to know. Instead of scanning and translating, you can now choose any image that you have in either your phone gallery or chat and long-press until the menu appears with the option of translate image to text. [1] Pull up the image. [2] Long press until the menu comes up. [3] Translate. Now, all this is really cool, but that all isn’t the really great stuff. Let’s get to some of the really cool things…

Voice messages to text

I use this all the time. It’s a dictation feature on the text messaging section of WeChat. You just click on the button and dictate. What you speak is automatically converted from voice to text, and you can send the message so easily. It sure beats the singular thumb method of typing on a little screen.

This voice input function allows users to speak into WeChat and immediately convert their words into text. All you have to do is to long-press the voice message button, say what you want to be translated to text and just before letting go, swipe up and right and let go when you reach the bubble on the right side. 

WeChat voice to text feature.

Shazam

Want to find the name for that TV show you’re watching? Under the Shake feature you can also select ‘TV show’ and, when you shake, WeChat will act like Shazam and tell you the name of the TV show you’re watching.

What’s that show, or that song?

It is also useful for Douxing videos, music and many other things. This is a great way to identify music you like on a video. Because when the answer comes up, a link is provided to the QQ application that allows you to put that particular song in your play list.

More, more and MORE!

There is so much more that you can do. From sharing videos to creating facebook like environments, to group collaborating to dressing up and editing presentations.

It’s an all inclusive complete platform. But I am really not up to go through all the nuiances of it. Others have, and they have been doing a better job than I.

Let me just say that time has changes, and the advances in technology are truly wonderful.

Let’s appreciate what they are and use them to the fullest, so that we can benefit from their use.

Becuase sooner or later they will go away and be replaced with something different. And you will long for the days that you have RIGHT NOW.

Enjoy what you have and eat it all up!

It’s a new world

As some of these meme’s attest to… Great cat, by the way. Some of these are just funny… I suppose there are many more… As I said. Some are really spot on…

Well all this talk about communicaiton makes me hungry…

Maybe something simple delicious and easy to make. Maybe something a little bit like this…

An easy to make, healthy and delicious meal.

It’s better than fast food, I’ll tell you what. However, if you really are in the mood, why not make a home made pizza? It’s not all that hard, and it’s cheap. If you make the dough from scratch a entire pizza is only a few dollars tops. Maybe something like this…

Homemade pizza.

Of course, while you are a smunching, you can go forth and invite some friends over to your porch and “shoot the breeze”… you know, talk a bit. It doesn’t matter what you talk about. Just chat. Everyone has things to say. Just listen. Maybe you can impress them with your local knowledge. Maybe something like this… .

Maybe if they are some neighborhood kids, you can teach them how to whittle, or something similiar.

Most kids these days need some real uncle-like behaviors in their neighborhoods.

Don’t wait for others to take action.

You go ahead and do it yourself. Whittle.

Whittling.

Just take the time and make friends.

Whittling.

.

And you know, it doesn’t hurt to smoke a cigarette, drink a beer, or share a pizza with some neighborhood friends.

Do you want more?

I have more posts in my Happiness Index here… Life & Happiness .

Articles & Links

Master Index . You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Koreans come to grips with the Chinese Ticktock videos

Ah.

I just read that wine is bad for you. It’s all over the Western “news” media. My guess is that soon there will be a temperance movement to rival the anti-smoking movement. For the “children” don’t you know.

It’s all so silly.

I really don’t think I want to live in a place that bans drinking wine.

Wine and cheese.

I mean, really! Wine is bad, but lard burgers deep fried are good. Sheech!

You know, the longer I stay outside the “West” the more insane it appears.

Dionysus cat agrees!

Dionysus cat.

It’s been accepted that the Western Bloc (in defiance of Donald Trump’s executive orders to the contrary) pretty much uses Tictok as an Application to make and share movies. It’s a division of the Chinese version known as Douxing. It is NOT the same thing.

In fact, most of the videos posted inside of China are banned by the non-Chinese versions.

Thus, because of that, the presentation of what China actually is; is withheld from the global readership. (It’s something that I do not fully understand why, but it offers strong hints of Geo-political manipulation for domestic consumption purposes on a regional basis.)

To get around this limitation, you must have a Chinese cellphone and install Douxing on it while you are in China.

Now, with that awkward introduction, let’s get to the meat of this article. Here is a South Korean group of video-blogers that take various Chinese Douxing videos and comment on them. I find that it is interesting, and amusing and telling on how the Korean society reacts to the Chinese society. Because, after all, they are both of the Han race.

Please enjoy this great peer into cross society insights.

2021 Overview

We start with this video.

At the end of 2021 were a bunch of videos that summarized the events of 2021. Some are actually quite good. Of course, most of the world outside of China has no idea what has been going on inside of China. Their government (especially if you are an America or Brit) won’t allow it. Here, the Koreans get to watch some of the videos and comment on them.

video 33MB

Chinese minorities on display

The Chinese honor, fund and keep the traditions and societies of all the minorities within China alive and prospering. Here is a selection of the various videos in this category.

The PRC officially recognizes 55 ethnic minority groups within China in addition to the Han majority. 

As of 2010, the combined population of officially-recognized minority groups comprised 8.49% of the population of mainland China. 

In addition to these officially-recognized ethnic minority groups, there are Chinese nationals who privately classify themselves as members of unrecognized ethnic groups, such as the very small Chinese Jewish, Tuvan, and Ili Turki communities, as well as the much larger Oirat and Japanese communities. 

-Wikipedia

video 40MB

CN Military

Of course, there are all sorts of videos about the Chinese military. Here, the Koreans get their first look at some fan, and government videos…

video 13MB

China VR

Chinese virtual reality similar to “Google Glass” is getting to be popular in the first tiered cities. video 38MB

Dancing to pop music done in traditional style

The song is from Jay Chou, but done traditionally. And the people dance to it in a traditional manner. video 14MB

Different personalities

This is a common theme inside Chinese culture. That you can have many different “faces” or side to your personalities. video 21MB

Girl Actor

A very famous girl actor. Perhaps you have heard of her or seen her in some movies, perhaps? video 10MB

Girl singing group

Here’s a group of girls that love to dress up and sing traditional Chinese opera. It’s kind of cool. video 10MB

Good vs Bad

It’s all about the Yin and the Yang. video 23MB

Gung Fu

What’s not to love? This is the real deal, with many Shaolin monks participating. video 26MB

Han Clothing

Very popular. On display here for thoughts and comments. video 13MB

Han Cosplay

These are videos of people dressed in Han Clothing and meeting in cosplay events and fashion shows. video 14MB

Honoring the family

This is a big thing. Not only does China have a firm belief in the importance of the traditional family life and culture, but it funds videos that are sprinkled in with all the other videos to remind people of the importance of family and community. It’s sort of how the LGBT “news” and “issues” are all being driven in the United States today. It’s a very big part of what China is all about. When was the last time that you saw a video or a commercial stressing the importance of family, community and care for others in America? video 14MB

I love how this scene mesmerized the movie critics.

Honoring the Rufus firefighters

In praise of Rufus. You just don’t see this kind of stuff in the West. video 14MB

In another time

In another time. Sigh. It reminds us, through these videos, that  while times, clothing and society has changed, we are still the same. We are still people who work, earn a living, have a family and participate within society. video 20MB

Little gung fu master

Great little guy! I love it. Video 29.5MB

Martial arts for morning exercises

I see this every morning. The people do these exercises all the time and everywhere starting at 5am. Here are some videos of them doing so. Great.

video 31MB

Stage dancing

Dancing and moves on the stage as part of opera and other venues. video 22MB

Sword dance

Sword dance. It’s a great way to limber up, and start the day, as well as manage a very good defensive posture in a battle. video 45MB

Sword exercise

Another group of sword exercises. Quite well done. video 24MB

Transformations

It’s all about change. Good stuff. video 11MB

Uighur Culture

And of course, the Chinese promote the Uighur culture and Xinjiang. And oh baby! The USA hates that. Great video 17MB

One last thought…

Dionysus is strongly associated with the satyrs, centaurs and sileni. He is often shown riding a leopard, wearing a leopard skin, or in a chariot drawn by panthers and has been called the god of cats and savagery. He may be recognized by the thyrsus he carries. 

-Dionysus - Crystalinks

Do you want more?

I have more posts like this in my China Music Index here…

CN Music

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

When Hollywood still knew how to make movies; It Came From Beneath The Sea (1955)

Enter Ray Harryhausen, who I discovered myself by accident. In many  ways, he changed my life. Harryhausen has told us, time and again, the  story of how he saw the original "King Kong" on the big screen when he  was just a kid, of how he was inspired by Willis O' Brien's pioneering  special effects and of how that lead him to where he is today. In case I  end up in the movies, I foresee myself telling people the story of how I  was inspired by Harryhausen's work. Hopefully, the chain will go on. I  am kidding, of course, but it's a nice thought anyway.

While most  kids in the 90's would be oblivious of stop-motion (with CGI growing  popular), I was in awe of it. There is a sense of life in stop-motion  animated creatures. It's the kind of life that much of CGI lacks. No  matter how smoothly or realistically your computerized monster moves,  there is something more subtle that stop-motion captures better.  Harryhausen's creations seem to be thinking, or feeling, not just  moving. They have personality, an attribute that so many of today's CG  monsters lack. 

...

Harryhausen deserved better films and higher budgets (his films were  so low budget that at several times, the full extent of his vision  wasn't realized. It is now popular trivia that the octopus in "It Came  From Beneath the Sea" actually had only six tentacles as they couldn't  afford to build a model with eight). Though the films have inspired  several of us, it was, in most cases, only the special effects that kept  the films from being mediocre B-movie fare. It is sad that he didn't  work with greater talents. Imagine what would have come out of such  collaborations.

This master of animation was snubbed by the  Academy year after year for each of his films, the films not even  getting nominations for their special effects, until, years after his  retirement; they gave him an honorary Oscar, which, I suspect, is more  of an apology than a token recognition. I've read somewhere that  Harryhausen reasons his films didn't get recognized by the Academy when  they were released because they were shot in Spain, and not in  Hollywood. It makes sense. 

-Great Movies of my Childhood

It Came From Beneath the Sea was the first of several fruitful collaborations between producer Charles H. Schneer and special-effects wizard Ray Harryhausen. He wasn’t yet at the top of his game, but what he did provide inspired all of us young boys to fight enormous radioactive tentacled octopus.

The major creature in this low budget movie is a giant, six-tentacled octopus, which is galvanized into action by an H-bomb test.

Worse still, the monster is highly radioactive, rendering useless the normal means of defense against it.

Yikes!

It came from beneath the sea.

Scientists Donald Curtis and Faith Domergue team with atomic-submarine commander Kenneth Tobey to halt the creature’s progress before it begins to attack major coastal cities. Alas, the monster manages to reach San Francisco, wreaking havoc on the Golden Gate. It’s sort of an American version of Godzilla.

Good Gosh and darn it!

Movie Review 1 

I’m a simple guy, I see a movie about a gigantic killer octopus and I immediately buy it on DVD. I love campy 1950’s Cold War Sci-fi flicks. I find them to be genuinely fun and engaging. However, just like every sub genre, there’s good and bad. “It Came from Beneath the Sea” is a middling film.

Anytime the giant Octopus wreaks terror on screen, the film becomes a whole lot of fun. However, when the film substitutes these moments for a half baked love triangle the film quickly loses interest.

As with every giant monster film of the ’50’s the film is filled with commentary about living in an active nuclear world. Radiation from military testing has brought about this devastating monster. It then falls to a couple of scientists and a Navy officer to defeat the monster before it brings about the total destruction of San Francisco.

Most of the characters in this film fall into pretty standard genre cliches. There’s really nothing noteworthy about them; they’re fine. Where this film works best is in the action scenes. For the most part they’re really well done, it’s pretty obvious that the filmmakers are using miniatures, but there’s an undeniable charm to them. The creature design is also well done, and the use of stop-motion is effective in bringing the monster to life.

It came from beneath the sea.

If you’re into goofy giant monster films, then this is the kind of film you’d enjoy. For a general audience, this is the type of film that’ll bring about a lot of eye-rolling. “It Came from Beneath the Sea” isn’t the best monster film I’ve ever seen, but it does an effective job. I just wished there was a little more monster action.

Movie Review 2

Released the year after the influential monster movie classic Godzilla, It Came from Beneath the Sea was one of several attempts from around the world to capitalize on the success of Godzilla.

It Came from Beneath the Sea is one of the more well known giant monster movies to come out from this period.

I didn’t really know much about this film apart from the fact that it was following on Godzilla’s coat tails and that special effects wizard Ray Harryhausen was involved. While It Came from Beneath the Sea is far from being perfect, I still found it to be a fairly entertaining film.

It came from beneath the sea.

The film sees a giant octopus, whose feeding habits have been affected by radiation from H-bomb tests, rises from beneath the Mindanao Deep to terrorize the Californian coast.

The plot for It Came from Beneath the Sea is pretty good, with some interesting moments. It is a plot that seems really exciting on paper, but the execution needed to be much stronger than it actually is.

Throughout the film, there is a bland and monotonous voice-over that insists on dumping needless exposition. It also focuses heavily on the military trying to decide what to do about the giant octopus. These scenes are really bland and drain any and all excitement out of the film.

It came from beneath the sea.

There is also a love triangle between a sexually aggressive naval officer played by Kenneth Tobey, who declares rather than acts, and two scientists. One of the scientists is Professor Joyce, played by Faith Domergue, who lights up every scene that she appears in and is easily the best thing about this film. Joyce is a strong female character, unusual for this type of film from this era. She is independent, progressive and quickly brushes off any advances as soon they are laid on her. An underrated feminist icon if ever there was one! The other main highlight of the film is, of course, Harryhausen’s special effects. The whole sequence involving the giant octopus’s attack on the Golden Gate Bridge is fantastic. Harryhausen’s effects may be a little dated, but they are wonderfully charming. The giant octopus may not be his best work but, as always, it is a highlight. The direction from Robert Gordon is really solid and holds the film together well.

Overall, It Came from Beneath the Sea is a solid enough giant monster film. The plot is fun, but I feel that the execution needed to be stronger in order to get the mot out of the concept. The short runtime, however, makes it watchable and the Golden Gate Bridge attack is a satisfying conclusion. The performances are fairly average, with Faith Domergue being a particular highlight. Gordon’s direction is good and Harryhausen’s effects are great. It Came from Beneath the Sea is an enjoyable old school monster movie. A fun, if rather unremarkable, film!

Movie Review 3

Some Ray Harryhausen films you watch solely because of Ray Harryhausen‘s “Dynamation” and little else. This isn’t just such a film, but it might be the best example of it.

This would be Ray‘s first collaboration with producer Charles H. Schneer and they would go on to make some of Harryhausen‘s most famous films together including Jason and the Argonauts and of course Clash of the Titans.

This being their first though, it ends up also being their weakest, but they obviously lived and learned as they also never worked with director Robert Gordon again. Don’t worry about him though, he went on to make Tarzan and the Jungle Boy so his legacy is cemented.

It came from beneath the sea.

All of Ray‘s effects are great fun, how could a giant octopus attacking the Golden Gate Bridge not be?

Everything else though is a bit wooden, unimaginative and in some cases just plain weird. There’s this odd love triangle between Kenneth Tobey, Faith Domergue and Donald Curtis that plays out less like two men fighting over a woman and more like they’re… well… possibly trying to get her at the same time? I’m not sure what the hell was going on there, but it does add to the weirdness of the film.

Movie Review 4

It Came From Beneath The Sea is the kind of giant monster movie I can enjoy mostly guilt free. No appliances glued or stapled onto animals – just good old fashioned, cruelty-free Ray Harryhausen stop-motion. It’s how Willis O’Brian did it – it’s how the movie industry had done, and at that point in the ‘50s it had worked pretty well so far.

The premise is your good ol’ fashioned ‘50s creature feature – atomic testing woke up something big from the depths. The creature is now menacing the world of humanity and must be stopped. In this case, the “something” is a giant octopus, and it’s discovered by the US Navy’s first nuclear attack submarine.

It came from beneath the sea.

The film also has the twist of “the scientist nobody believes until it’s too late” being a woman, and her claims being dismissed not due to lack of plausibility, but explicitly because of institutional misogyny.

It’s still problematic – the scientist, Leslie Joyce (Faith Domeregue) ends up romancing her sexual harasser, but at least the film had the stones to call out institutional misogyny as a systematic issue that is a big problem – demonstrated by it costing lives.

The film’s effects are great – the climactic rampage through San Francisco at the end of the movie is very wonderfully done. It’s not quite at the levels of Godzilla (which hasn’t been made yet), but it does get things across.

It came from beneath the sea.

Otherwise, the movie is fine – it’s a brisk 74 minutes that doesn’t overstay its welcome. It’s a movie that’s enjoyable in its own right and, were it to end up on the new MST3K (or, for that matter, on Rifftrax), it’d also be a very fun watch there.

Final Conclusion

Well, aren’t you all tired of the pandemic, the Russian ultimatium that wasn’t, the Uighurs, and everything else? I certainly am. I jsut spent the most part of this morning breaking down the USA Western Bloc failures to interrupt the BRI. I’ve got to tell youse guys. I want a break.

I’m off to smunch on some fine, fine Suechuan food.

Sichuan cuisine is one of the most famous local cuisines in China. It has a wide range of materials and a variety of dishes. It is famous for its good use of spicy, and its unique cooking methods and strong local flavor is famous at home and abroad. Sichuan cuisine has become a brilliant pearl in the history of Chinese food culture and civilization.

I really want to get back to some OOPART stuff. I’ve got about 30 or so in the pipe in various stages of readiness.

Not to mention some stuff on world-line travel, and affirmations, and some Domain stuff as well.

Let’s just have some fun. Put aside the great Geo-political changes and just have fun.

Here’s some ideas for fun…

Idea one – Food.

A nice sandwich in a diner that you smuch with a cup of coffee or a more interesting beverage of your choice.

A nice sandwich.

Idea two – Art.

Visiting an art museum. Go out for the day and then get an icecream, or a coffee or a nice dinner out.

Visit an art museum.

Idea three – Local historical Museum.

Art is not your thing, eh…

Go out to the local historical museums in your local area. They are there, you just need to figure out where. Check out all the interesting curiosities that you find there.

Go out to the local historical museums in your local area.

They are everywhere.

You just got to find them.

Go out to the local historical museums in your local area.

Idea four – Go outside.

When was the last time that you took a spin on a bicycle. I’ll bet that for many MM readers, it’s been a long time. But I will tell you that when you get back on that bike it will be a true joy (provided there’s no snow about). LOL.

But if there is, then how about a snowmobile ride, a cross-country ski trip, or some downhill sking. Do something outdoors.

Do something outside.

Idea Five – snuggle.

With a loved one, a cherished pet, or a new friend. Just go out and snuggle.

Snuggle.

Idea six – Watch old movies.

Surprise yourself. Dig up an old movie and watch it. Just do it.

A scene from the movie “The Last of Shela”.

Have fun everyone.

Make the best of your life. One last idea…

Idea Seven – Be Groovy

It’s NEVER too late to be groovy, baby.

Groovy.

Do you want more?

You can find more articles related to this in my Movie Index.

MOVIES

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

China’s Excellent, Very Good Year

I am so tired of trying to counter the mega-onslaught of hate being generated out of the United States towards China. The redirection is working. Most American hate China and blame all their troubles on it, instead of themselves and their (so called) “government”. Well, let’s just deal with things as they actually are and not what the American government wants others to believe.

2021 was China’s best year. Ever.

by Godfree Roberts*

Amidst global gloom, 2021 was the best year in modern Chinese history. Here’s what they accomplished:

  • Eliminated extreme poverty.
  • Reached 96% home ownership.
  • Kept Covid death rate at 0.6% of America’s.

  • Grew the economy $2 trillion PPP, the fastest growth ever.
  • Became the richest country on earth.
  • Became the world’s biggest overseas investor.
  • Became the world’s largest movie market.
  • Produced nearly one new billionaire and 300 millionaires every workday.
  • Completed new train lines in seven countries, including Laos’ first.
  • Ran 15,000 cargo trains to and from Europe, up 30% YoY.
  • Joined RCEP trade pact, with 30% of global GDP and of the world’s population.
  • Sold $140 billion retail online in 24 hours (Amazon’s record is $5 billion).
  • Launched the first central bank digital currency.
  • Dominated scientific research and issued the most patents of any country.

  • Built three exascale computers that won the Gordon Bell prize for high performance computing.
  • Built a programmable quantum computer 10,000x faster than Google’s Sycamore.
  • Operated the first integrated, 3,000-mile, commercial, quantum communications network.
  • Brought online two gas-cooled Pebble Bed nuclear power plants.
  • Fired up two thorium-fueled reactors, eliminating uranium from power generation.
  • Released a Covid treatment that reduces hospitalizations and deaths 78%.
  • Made 55% of global energy savings.
  • Generated 1 terawatt of renewable energy.
  • Installed one-million 5G base stations, giving Tibet better 5G service than New York.
  • Communicated between satellites via lasers, 1,000x faster than radio waves.
  • Operated the world’s most powerful solid rocket engine, with 500 tonnes thrust.
  • Flew three hypersonic missiles around the planet.
  • Released a fractional orbital bombardment missile from another missile at 17,000 mph.
  • Simultaneously commissioned three warships, becoming the world’s biggest navy.

Expect China to maintain this pace through 2022 by launching, among other things, the first, greenfield, automated, 21st century city for six million knowledge workers. With 70% woods and lakes, the loudest sound will be birdsongs.


* Godfree Roberts wrote Why China Leads the World: Talent at the Top, Data in the Middle, Democracy at the Bottom and publishes the newsletter Here Comes China.

Videos

Delicious Toufu. video 22MB

Delicious eggplant . video 32MB

A nice visit to the nearby park. video 34MB

Pre KTV meal. video 65MB

Hotels are advanced. video 85MB

Chinese girls walking for the “line up”. Get ready for fun! video 32MB

Chinese girl. Typical. video 3 MB

Massive booby juggle. That’s one thing that the Chinese gals like to do. If you all got the boobies, then you are allowed to jiggle them. It’s cute actually, and I always get a big kick out of it. Jiggle jiggle jiggle. video 25MB

Hotel Room after some KTV fun. video 158MB

Now, I know that China is bad. I read all about it in the comment sections from moronic Americans and Brits. Ah like this…

World's most advanced censorship and surveillance regime with no freedom and a punitive social credit scheme. I admire the work ethic and manufacturing prowess but would rather live in a cabin the woods than submit to such a regime. Sadly, while losing ground in technology, our elites are catching up with their own repression and Woke overthrow of Constitutional rights.

Posted by: Fran Macadam

and this…

A totally deluded and mostly inaccurate summary. China is currently self-destructing because it is the only country in the world still trying "zero COVID". Their entire real estate market is close to collapse. They had terrible floods and large destruction of farm lands. Several dams broke, and the huge Three Gorges dam almost broke. Everybody wants to leave China, from Hong Kong, Tibet, Xinjiang to Taiwan.

Posted by: Niall K.

Yah. The USA is exceptional. It has delicious “freedom” and “liberty” and all that wonderful “democracy”. You can see it everywhere…

video 50MB

But if that is what appeals to you. I say enjoy it. Me, I’m gonna stay here. eat delicious food. hang out in the beautiful sunshine, with the trees and the flowers. Eat delicious meals. Play with pretty girls and have lots and lots of fun.

Conclusion

I hope that you enjoyed this little update on China. Many things were left out. Such as the Space exploration accomplishments, and the energy accomplishments, and the environmental accomplishments.

Have a great day, and remember to always be the Rufus.

Do you want more?

You can find more articles related to this in my China Index…

China

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some glimpses of China in terms of society, fun, fashion and what not

I am so tired of trying to counter the mega-onslaught of hate being generated out of the Untied States towards China. The redirection is working. Most American hate China and blame all their troubles on it, instead of themselves and their (so called) “government”.

Instead, today, we will just go over some elements of Chinese society. These are little “snapshots” or culture. Isolated pictures, and images, as you will (understand). And taken together it’s a fun look at the great diversity of life that is colorfully presented in such a huge and enormous nation filled with a huge and enormous population.

We are not going to talk about Geo-politics, Biden, Trade wars, hybrid wars, Vaxx wars or another American-centered subjects. We are just going to talk about life, and in China that means videos and fun. We’re not going to get too serious here, at least I don’t intended to, anyways.

I’m presenting them in no particular order, because after all, life kind of throws things at us in a seemingly random order as well. Though, you do know that you have had an intelligent hand in the development of your life… don’t you know.

Bar Scene

Here’s a girl singing to the audience in a pretty typical bar. Video 8MB

A Park in China

I think that it is lovely. Most parks inside of China re quite nice, and the government is constantly expanding them, and improving them in so many little ways. video 3MB

College Dorm

Dorm rooms in the USA are usually two people affairs; two people share a room. In England it is one person gets their own room. In China, the dorm rooms are six people share a room. Here’s a group of girls in their dorm room. video 6MB

Death by cute (part 1)

It’s a fashion thingy that found it’s way from Japan. It’s called loli. I like it. So does my little girl. Video 6MB

Death by Cute (part 2)

So much cuteness. video 3MB

The Chinese love to dance

It’s very common to see people dancing all over China. I mean that you NEVER see this in the ‘Stats, but you see it all the time inside China. video 3MB

Incidentally, this kind of view… the buildings lit up in the background, the blue sky, the open plazas are so very typical of China. It’s everywhere.

Han fashion (1)

It’s a fashion trend throughout China. It’s pretty popular and there are girls and guys wearing these outfits in the malls, the parks and on the subways. video 8MB

Han Fashion (2)

This is a sort of Chinese version of a medieval festival, only with Chinese culture and clothing. Continues video 7MB

Han fashion (3)

Here’s some more images from a different festival. video 5MB

Han Fashion (4)

Couple runs into each others arms. I love the expression on the little girl to the left. Cute. video 4MB

Han fashion (5)

Mother and daughter in the park. video 5MB

Han fashion (5)

On the subway. video 5MB

Being part of a group

In America, everyone is a “lone wolf”. If you are fired from work, you are alone, and no one stands up for you. If you are in a car accident, you are alone and no one helps you. Most Americans eat alone, pay taxes alone, mow their yard alone, and travel alone.

Not so in China. China is the land of groups and communities. Everyone is part of something bigger than themselves. And if they individually make a mistake, the group absorbs the mistake and moves forward. video 7MB

Peng G3

When I first saw this video I thought that it was some kind of photoshop video manipulation. Then I went to a Peng show room, and that an actual car feature! This is how the Chinese introduce new features into the market. I wonder when Detroit will start copying China? Hum? video 5MB

Sending your dreams aloft

It’s a tradition for the Chinese to put their dreams and wishes on a scrap of paper and then light the balloon to send them into the sky. It’s very beautiful. video 2MB

Shanghai

Yeah. Everyone knows about Shanghai. But it’s really impressive when you are there in person. It makes New York City look like a small village. video 3MB

By Sweety Boy

Thai song adopted by the Chinese pop scene during 2019 / 2020. video 3MB

Time Machine

China has gone through so many wars, struggles, occupations, poisonings, humiliation, and growth. There is a sub-culture that memes this historical trend. Here’s one such video. I call it “time machine”. Video 4MB

Time Machine 2

A second video. Same theme. video 7MB

Time Machine 3

Here’s a third video of the same “time machine” theme. video 13MB

Wedding 1

Chinese wedding. This is in a tiny remote village. Here we see the ritual of presentation before the parents and the town elders.  video 3MB

Wedding 2

Chinese wedding. They don’t throw rice. It’s too wasteful and the Chinese just don’t understand that American ritual at all.  video 5MB

Wedding 3

Chinese wedding. Bride presentation with her brides’ maids prior to the groom entry to “steal her” from her parents home.  video 3MB

Xinjiang HST

High Speed Train in Xinjiang province. These are all Uighur staffed, and serving the Uighur people. Of course, you would never see anything positive about China in the American “news”. It’s all a 7 billion dollar funded hate-fest. video 9MB

Conclusion

I hope that you enjoyed this little travel vacation. Have a great day, and remember to always be the Rufus.

Do you want more?

You can find more articles related to this in my China Index…

China

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

And now on the light side; A look at the Pakistan military

Have you guys ever seen the pomp and ceremony that constitutes the army and military of Pakistan? Well you should. It’s a sight to behold. Here, we take a moment to watch some videos of the Pakistani military doing various things. I have found it very interesting. I hope that you do as well.

It’s not what you would think, and it looks like they are making things much more involved and difficult than they need to. But, perhaps that’s their culture. Don’t you know.

I think it’s all a hoot.

Firing Artillery

Impressive video. 3MB

Changing of the Guard

Also impressive, and a tad comical. So very British. Video 14MB

Military in action

Very organized. Must be very tiring. video 3.1MB

Conclusion

Watching the military conduct their procedures and maneuvers is far better than fighting them in a war. Don’t you think? What ever you might think of this bit and their actions, know that when it comes to fighting and using their weapons, they are nothing to take for granted. They are quite capable and serious. And I for one, applaud them.

I hope that you enjoyed this little glimpse at the nation wedged between India and Afghanistan.

Oh, and one more thing…

Be the Rufus

Here’s a compilation of videos where everyday people, show their appreciation to others. Mostly teachers, janitors, cooks, beggars, drivers, lorry-men, and so forth. Video 60MB

 

Do you want more?

I have more posts like this in my Happiness Index here…

Life & Happiness

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

.

 

News and stuff a collection of tidbits

Well, here’s some stuff for thought. I’m going to be all over the place here. With Scotland, China, the United States, food, girls, and being a Rufus all thrown together to make a disjointed point about being a human and showing humanity. So enjoy my ramblings and take a nice stroll in MM land here today. I hope you all enjoy it.

We start off with America

America’s Judges Are Putting My Life on the Line

Sara Nelson [New York Times, via Naked Capitalism 11-12-2021]

America needs more judges who understand and support the rights of workers. For too long, the courts have sided with corporations over labor, fundamentally and perniciously reshaping American law, life and liberty. Today, they are doing their part to unravel the American dream — and the social contract that has been in place since the 1940s, offering the working class a good life if they spend 40 hours on the job, the means to enjoy it in off hours and a secure retirement.

In one stark example, a judge in Alabama in October barred union mineworkers from picketing within 300 yards of mine entrances, even as the authorities there have failed to charge the drivers of vehicles that struck lawful picketers. In a more common infringement of free speech, a judge in Iowa limited United Auto Workers picket lines outside a John Deere plant in Davenport last month to just four people at each entrance to the plant….

This didn’t happen by accident. Republican presidents have stacked the federal courts with judges who hail from elite law schools, white-shoe law firms and corporate boardrooms. (More than a quarter of all federal judges on the bench in January had been appointed by Donald Trump.) As a result, the corporate win rate in American courts is sky-high.

This is especially true in cases heard by the Supreme Court, which has sided with the Chamber of Commerce 70 percent of the time since 2006. A study published in 2013 ranked Chief Justice John Roberts and Justices Samuel Alito and Clarence Thomas among the five most-corporate-friendly members of the court since 1946, and the pro-corporate voting rate of its conservative wing is only growing. According to one recent report, the court agrees with the Chamber of Commerce more now than it had at any other time in recent history….

This isn’t just an academic question, or even an economic one — it’s often a matter of life and death. Before he joined the Supreme Court, Neil Gorsuch, as a judge on the Court of Appeals for the 10th Circuit, voted to allow the firing of an employee who abandoned an unheated company truck in a blizzard to avoid freezing to death. This is just one example of the judicial callousness toward workers that has put our livelihoods and lives in real danger.

How Other Nations Pay for Child Care. The U.S. Is an Outlier.

[New York Times, October 6, 2021, via The Big Picture 11-7-2021]

Rich countries contribute an average of $14,000 per year for a toddler’s care, compared with $500 in the U.S.

GRAPH

The truth HURTS. Exceptional, my ass!

The carnage of mainstream neoliberal economics

Yves Smith, November 10, 2021 [Naked Capitalism]

Broken chain of supply

We chartered a boat with a logistics expert to look at port congestion up close and saw how American greed is leading to shortages and empty shelves

[Business Insider, via The Big Picture 11-7-2021]

A second tour of the The Ports of Los Angeles and Long Beach. “‘I blame 150 years of supply chain optimization,’ Nathan Strang, Flexport’s director of ocean trade lane management, told Insider of why ships are still steaming towards Los Angeles and Long Beach. ‘It’s a sign of their success, that’s causing the congestion.’”

….the ports can’t just stack up the containers until a driver comes to pick them up: “There’s no space,” Rice said…. “A lot of the congestion is due to the fact that there’s nowhere to put the empty containers.” Flexport’s Strang said. “Having some way to get those empties stored and off the chassis so that we can pick up live containers, that would actually go very far.”

American GREED. Stop blaming China.

How American leaders failed to help workers survive the ‘China Shock’

[NPR, via The Big Picture 11-7-2021]

But most economists, like most American leaders, had believed that workers would adapt somewhat smoothly to economic change and that they would find solid places to work in other sectors. “We had this notion that the American economy is this incredibly dynamic place,” says Hanson, an economist at Harvard Kennedy School. “We create millions of jobs every year, and we destroy millions of jobs every year. 

We thought we could handle moving a couple of million manufacturing workers from one sector to another.”

Autor, Dorn and Hanson’s first peer-reviewed papers from their China Shock saga were published in 2013. The economists found that between 1990 and 2007, trade with China killed about 1.5 million American manufacturing jobs, or about a quarter of all manufacturing jobs lost during that period. 

But what was even more startling: These losses were heavily concentrated in small- and medium-size communities dotting America’s heartland — and workers who lost their jobs in those areas struggled to find other work. The China Shock created what looked like miniature Great Depressions in these places.

Standard economic theory said that the non-college-educated workers who lost their jobs would move or retrain and find work in other places or sectors. But they didn’t. 

Most stayed put and were never fully employed again. “It ended up creating these pockets of distress,” Hanson says. “That was the surprising part. That’s what we economists didn’t know was going to happen.”

As Treasury Secretary Alexander Hamilton wrote in 1791: 

Experience teaches, that men are often so much governed by what they are accustomed to see and practice, that the simplest and most obvious improvements, in the [most] ordinary occupations, are adopted with hesitation, reluctance and by slow gradations … To produce the desirable changes, as early as may be expedient, may therefore require the incitement and patronage of government…

Too bad Hamilton is not required reading for economics students…

Bill Mitchell — When labour shortages just signal management caprice

[via Mike Norman Economics 11-9-2021]

I have been researching the so-called labor shortage that business types are talking about relentlessly as part of their on-going strategy to undermine the conditions of work and make more profit. 

In the course of that inquiry, I came across an interesting juxtaposition between two US companies that illustrate a lot of what we have known about for years but have allowed this relentless, neoliberal, race-to-the-bottom to obscure. 

Well-paid workers with job security, work better and are happy workers. 

Companies that pursue the ‘race-to-the-bottom’ strategy and seek to build profits by trashing the conditions they offer workers eventually struggle to prosper because their bad reputation undermines their ability to attract productive workers. 

In the case we discuss today, the so-called ‘labor shortage’ is really just a signal of management caprice. 

Rather than being a shortage of workers, there is a shortage of workers who will tolerate the indignity of low wages, onerous conditions and capricious management. 

It is also a union versus non-union type of discussion where the unionised work places generate high productivity and worker attachment, while the non-unionised workplaces find it hard to attract reliable staff and blame it all on ‘labor shortages’….

It’s all over the “West”. The capitalist democracies are all falling apart.

They’re not capitalists – they’re a criminal predatory class

[International Consortium of Investigative Journalists, via Mike Norman Economics 11-10-2021]

No shit! Dick Tracy.

David Dayen, November 12, 2021 [The American Prospect]

A new report identifies 20 major corporate recidivists, with rap sheets that should be in the sights of the Justice Department’s new approach to white-collar crime.

Pam Martens and Russ Martens: November 12, 2021 [Wall Street on Parade]

Pam Martens and Russ Martens: November 10, 2021 [Wall Street on Parade]

Pam Martens and Russ Martens: November 9, 2021 [Wall Street on Parade]

As for leverage at hedge funds, yesterday’s Fed report had this to share:

“Hedge fund leverage remained somewhat higher than its historical average in the first quarter of 2021, according to the most comprehensive available measures. On-balance-sheet leverage at hedge funds, based on confidential data collected by the Securities and Exchange Commission (SEC), decreased in the first quarter to a level close to its historical average. Gross leverage at hedge funds—based on the same source but including off-balance-sheet derivatives exposures—continued to be above its historical average in the first quarter.”

One needs to carefully parse the above paragraph. Why does the Federal Reserve, which has bank examiners in every mega bank that owns a trading house on Wall Street, need to ask the SEC for data about hedge fund leverage? The prime brokerage operations of those mega banks are the ones making those highly leveraged loans to hedge funds. (See our report: Archegos: Wall Street Was Effectively Giving 85 Percent Margin Loans on Concentrated Stock Positions – Thwarting the Fed’s Reg T and Its Own Margin Rules.)

Climate and environmental crises

[Twitter, via Naked Capitalism Water Cooler 11-12-2021]

Take a look at who actually emits the most carbon. And take a look at how much rich people in the US emit, compared to absolutely anyone else https://t.co/XGjRhj1OKE pic.twitter.com/UbNjGlx9Ld

— Vincent Bevins (@Vinncent) November 8, 2021

Yeah blame China, instead of looking at yourselves.

[Financial Times, via Tweet above]

The fight to protect the planet is shifting in ways that could soon exacerbate conflicts within countries, particularly between social classes. Or, to put it bluntly, between the rich and the rest. The top 1 per cent by income of the world’s population account for about 15 per cent of emissions, according to UN data. That is more than double the share of the bottom 50 per cent.

[Digg, via Naked Capitalism 11-13-2021]

[Slate, via The Big Picture 11-10-2021]

The challenge is not identifying the solutions, but rolling them out with great speed.

[South China Morning Post, via Naked Capitalism Water Cooler 11-11-2021]

“The Iceland plant, called Orca, is the largest such facility in the world, capturing about 4,000 metric tonnes of carbon dioxide per year. But compared to what the planet needs, the amount is tiny. Experts say 10 billion tonnes of carbon dioxide must be removed annually by mid-century…. Leading scientific agencies including the United Nations Intergovernmental Panel on Climate Change say that even if the world manages to stop producing harmful emissions, that still won’t be enough to avert a climate catastrophe. They say we need to suck massive amounts of carbon dioxide out of the air and put it back underground – yielding what some call “negative emissions”… As dire warnings have accelerated, technology to vacuum carbon dioxide from the air has advanced. Currently, a handful of companies operate such plants on a commercial scale, including Climeworks, which built the Orca plant in Iceland, and Carbon Engineering, which built a different type of direct air capture plant in British Columbia. And now that the technology has been proven, both companies have ambitions for major expansion. At Climeworks’ Orca plant near Reykjavik, fans suck air into big, black collection boxes where the carbon dioxide accumulates on a filter. Then it’s heated with geothermal energy and is combined with water and pumped deep underground into basalt rock formations. Within a few years, Climeworks says, the carbon dioxide turns into stone.”

[Pro Publica, via Naked Capitalism Water Cooler 11-11-2021]

“ProPublica’s analysis of five years of modeled EPA data identified more than 1,000 toxic hot spots across the country and found that an estimated 250,000 people living in them may be exposed to levels of excess cancer risk that the EPA deems unacceptable. The agency has long collected the information on which our analysis is based. Thousands of facilities nationwide that are considered large sources of toxic air pollution submit a report to the government each year on their chemical emissions. But the agency has never released this data in a way that allows the public to understand the risks of breathing the air where they live. Using the reports submitted between 2014 and 2018, we calculated the estimated excess cancer risk from industrial sources across the entire country and mapped it all.”

Creating new economic potential – science and technology

[Bloomberg, via Naked Capitalism 11-9-2021]

[MIT Technology Review, via Naked Capitalism 11-11-2021]

[Brighter Side, via Naked Capitalism 11-13-2021]

  • Information Age Dystopia

Securing your digital life, part one: The basics

[ars technica, via Naked Capitalism 11-13-2021]

Collapse of Independent News Media

David Sirota [The Daily Poster, November 8, 2021]

This morning, the New York Times published a screed from pollster Mark Penn and former New York City lawmaker Andrew Stein demanding Democrats abandon their promised agenda ahead of the midterm elections. The massive 1,500 word op-ed — designed to define the political news cycle for the coming week — echoes a house editorial from the newspaper making much the same argument, and it mirrors previous Penn diatribes that the Times has platformed in the past.

In the process, this essay offers a rare glimpse of how corporate media imperceptibly puts its thumb on the scale for a particular ideology that is decidedly neither centrist nor objective.

The Times’ original headline on Monday’s piece (which has since been changed) read “A Way Forward for Biden and the Dems in 2022 & 2024.” It argues that President Biden should “listen to centrists (and) push back on the left” and insists Sens. Joe Manchin and Kyrsten Sinema “are in fact the very heart of the Democratic Party.”

It’s a hot take that might be mildly interesting, if it came from voices who genuinely had the Democratic Party’s best interests in mind. However, the Times decided to not tell readers that Penn is a private equity mogul with myriad connections to the corporate world that’s looking to kill the Democratic legislative agenda that the op-ed seeks to curtail. The paper doesn’t mention Penn’s ties to No Labels, a dark money group funded by big donors that’s been vilifying Democrats’ reconciliation bill. The paper also declines to mention that Penn advised President Donald Trump during his first impeachment, nor does it mention that Stein is the guy who

The Windows XP wallpaper is now a vineyard

Who would figure?

Ammonia-based fertilizers

Ammonia-based fertilizers are made from nitrogen (it’s most of our air, so never in short supply) and natural gas or methane (CH4), and make up almost 70% of all fertilizers used world-wide to support major agriculture crops such as wheat, corn, rice and even coffee. As natural gas prices have soared by anywhere from 300% to 500% over the past months, this has had a devastating impact on world fertilizer production, where some 80% of the cost of making ammonia fertilizers is due to the natural gas cost.

What’s more, big Ammonia plants in many countries are now closing (for how long?), and  China, who is said to make 30% of the world supply of ammonia, has just banned its export.  (Check to verify).  Early next year this will all become very evident.  First hit will be animal feed so it looks like meat eating will diminish greatly.  I don’t see any way it won’t happen.

https://journal-neo.org/2021/11/12/now-the-organized-takedown-of-global-fertilizer-supply/

Here’s Guangzhou, China.

It’s a very middle class city. Full of factories and just blue-collar Chinese people. Check it out. Video.

“Where’s Waldo” Beach addition for warmer wallpaper weather!

Some stuff on China

From the Daily Stormer

Since Biden has taken over, there have been nonstop threats against China, and US warplanes and warships are racing in and out of their territories. Biden’s Secretary of Defense flew to Japan to demand that they sign a blood pact to defend Taiwan. Most of the US military activity is not much reported on in the American media (I usually read about it on RT), but we are hearing rising nonsense about the poor and pitiful Moslems, and the importance of Americans devoting their military to defending the sacred democracy of the very important nation of Taiwan.

What is incredible to me is that both the right and the left are so easily manipulated into thinking conflict with China is a good idea. For the left, I guess it makes sense. As we’ve mentioned before, the Antifa type neo-socialists who have been so heavily force-memed on social media as the Democrat Party’s internet defense force (Vaush, for example) spend a lot of time talking about how China is a “fascist state.”

For the right, the support for anti-China sentiment makes no real sense, and is mostly based on stupidity and a lack of information. Republican leaders are openly saying that they need to protect Antifa in Hong Kong, Islamic terrorists in Xinjiang, and gay marriage in Taiwan. But Sean Hannity and Mark Levin continue to talk about “the threat of Chinese communism.”

As I’ve written about before, China is not a “communist country.” The average Chinese person knows nothing about Marxism. They have a very free market (much more free than America’s), where small business is encouraged.

As I’ve written before, the fact that it is even called “The Communist Party” in English is sort of deceitful, and an exploitation of the fact that Westerners know nothing about China or its culture, including the total difference of the nature of their language. “中国共产党” is translated as “communist party” consistently, yet a direct translation, as it would be spoken in Chinese, would be something like “The Party of People Working Together to be Productive.”

That’s how Chinese people view it.

Even with their supposed “communist” connections to Southeast Asian countries, they frame it as a historical cultural connection, rather than one based on a political system designed by a German Jew in London in the 19th century.

If you asked a working class Chinese person why China, Laos, and Vietnam all use the hammer and sickle, they would say something like: “this is the symbol of Asia people,” and laugh and point at their eyes, then say “eat rice, eat noodle,” and laugh again. A more educated Chinese person might be vaguely aware of the history, but would claim that no foreigner ever influenced China in any way, ever, in all of history: “we make a big, strong wall. Cannot allow.”

Under Emperor Xi, China has become increasingly more traditional, and backing away from the kind of modernism that had been encroaching. He is attempting to reestablish a form of Confucianism.

The Chinese are effectively the opposite of everything the modern West stands for:

  • Extreme ethno-nationalists
  • Patriarchal
  • Anti-feminist
  • Anti-gay
  • Pro-family
  • Pro-middle class and anti-elitism
  • Meritocratic
  • Militaristic in individual discipline

The Chinese government recently announced a program to build masculinity among boys, as they recognize that the school environment (which was largely imported from the West) is too feminizing, that the high tech environment itself is feminizing, and they recognize masculinity as a foundation of society.

In February, the Chinese government published “The Proposal to Prevent the Feminisation of Male Adolescents,” and said that they would use sports to toughen boys up.

The Western media outright declared this to be evil. (You might not have noticed, but at some point between 2018 and 2020, the media made the jump from talking about “toxic masculinity” as a social evil to simply referring to “masculinity” as a social evil. When they began talking about “toxic masculinity,” I noted that they were playing a terminology game, and that “toxic masculinity” just meant “masculinity.”)

Chinese cultural values are virtually a direct inversion of the modern Western values created and promoted by the Jews. But it is simply the basic order of nature they are promoting. No one in any society anywhere in history before the development of the modern West could have imagined a reality in which instilling masculinity in boys or discouraging family-destroying feminist ideology was a negative thing.

Most of us still living are not old enough to even be able to imagine a situation in which a Western government encouraged masculinity or discouraged feminism. So it’s hard to say how a right-winger in America can look at Joe Biden’s government, then look at the Chinese government, and say “yes, Joe, I agree – these people are so evil that we need to put our own nation’s problems on hold and use our military to defend the Taiwanese secessionist movement from this encroaching communism or fascism or whatever.”

The only thing right-wingers can ever say about the Chinese is that they are “too authoritarian.” Generally, that person will then immediately move to “muh social credit system.”…

…Conversation falls apart…

No “Where’s Waldo” Wallpaper? Couldn’t be me.

And about the US and Taiwan…

From HERE.

…the possibility of conflict between China and Taiwan, between whom tensions have been steadily rising.

Most members of the Blob, as the foreign policy establishment is called, believe the U.S. should be ready to go to war with China over the island, which escaped the Chinese Communist Party when Chiang Kai-shek and his defeated Nationalists fled there after their defeat on the mainland.

Indeed, U.S. analysts have been debating the idea of dropping Washington’s currently ambiguous stance—refusing to say yes or no—and making a clear commitment. Although the idea of not going to war is almost entirely absent in the capital, most analysts have convinced themselves that talking tough would be enough to scare off the Chinese.

"Scare the Chinese". Fools. 

-MM

However, Taiwan is the final Chinese territory stolen away during the “Century of Humiliation,” and even younger Chinese back their government’s claim. In such a contest angry nationalism commonly trumps good sense, as in America’s Civil War.

Americans who believe Beijing will yield its claim to Taiwan without a fight risk sleepwalking into a major war, as have so many other self-assured fools throughout history.

In any case, the president recently went off-script and declared that America would fight.

His aides quickly corrected the record, so to speak, as did President George W. Bush after making a similar promise in 2001. Nevertheless, Beijing has seen more than enough continuity between the Trump and Biden administrations toward China and assume the worst, irrespective of Washington’s verbal legerdemain.

So if the People’s Republic of China decides war is necessary and believes the U.S. will fight, the People’s Liberation Army will act swiftly and brutally, hoping to win before the U.S.—nearly 8000 miles away—can interfere.

War with China would be even worse than with Russia.

The PRC would have more at stake in the fight, local superiority, a couple score bases on the mainland roughly 100 miles away, and a victim that so far has shown little inclination to defend itself.

In combat the U.S. would have little choice but to strike the mainland, which would trigger escalation, with no obvious endpoint.

Indeed, Washington, attempting to fight from half a world away, has done poorly in wargames.

It is far easier for Beijing to deter the U.S. than for America to project sufficient power to defeat the PRC. And even a U.S. victory would probably be just the first round, as a nationalistic Chinese public prepared for round two. How much is Taiwan worth to the U.S.?

Worse, imagine if Washington faced simultaneous crises, perhaps with Iran, Russia, and China at once. While most of America’s allies discovered that they were busy, very busy, but nevertheless wished the U.S. well. Indeed, they would make a very strong statement as the bullets and missiles started flying.

President Biden has always seen himself as an internationalist. That doesn’t mean he should start a war, however. Indeed, he is one of the few policymakers who today understands the reality of war. He entered public life about the same time that the Nixon administration was pulling the last U.S. troops out of Vietnam. It has been almost a half century since America fought a conflict with heavy casualties, extended ground combat, heavy air action, and mass protest at home.

However, all could return if the administration managed to stumble into one or more major wars. At least a conflict with Russia or China would make the American people forget Biden’s ragged exit from Afghanistan. However, if he wants to be reelected—and, more important, to be a good president, however long he serves—then he should concentrate on avoiding real war with real opponents, and especially multiple conflicts at once.

It’s never a good thing.

Do something to make others happy

Like this subway artist. This gal was obviously having a bad day, but the emotion on her face says it all. video.

Oh, and don’t even think about starting a war with China or Russia

"Should there be any loss of life in the US as a result of a conflict the US federal government would disintegrate. Immediately as in ungovernable. Russia as a country has strength in the solidarity of it's people. The US and Europe not so much. A price to be paid for letting the ruling class and it's masters destroy the poor and the middle class."
-So | Nov 12 2021 20:27 utc | 15

A Brief History of the Cheez-It

From HERE.

Dayton’s historic Edgemont neighborhood is cocooned inside a crook in the Great Miami River, a winding waterway that snakes through the heart of southwest Ohio. Two miles from downtown, with its air of industry, the community hearkens to a time when Dayton was hailed “The City of A Thousand Factories.”

Cheez-It crackers.

In the early 20th century, inside a foregone factory on the corner of Concord and Cincinnati Streets, Green & Green cracker company cooked up its Edgemont product line, a collection of grahams, crackers and gingersnaps that were shipped across the region. But of the company’s four Edgemont products, only one, in particular, a flaky one-by-one-inch cheese cracker, would revolutionize snack time. On May 23, 1921, when Green & Green decided to trademark the tasty treat’s unique name, the Cheez-It was born.

“In 1921, Cheez-It didn’t mean anything, so Green & Green marketed the cracker as a ‘baked rarebit,’ ” says Brady Kress, president & CEO of Dayton’s Carillon Historical Park, a nationally recognized open-air museum centered on the city’s history of innovation. (Inside Carillon Brewing Company, a fully operating 1850s brewery at the park, costumed interpreters still bake crackers over an open hearth.) “People were familiar with rarebit, a sort of melted cheddar beer cheese spread over toast. Cheez-It offered the same great taste, only baked down into a cracker that will last.”

Cheez-It’s 11-month shelf life is impressive, but so is the company’s history. In May 2021, America’s iconic orange cracker turned 100. But the Cheez-It story stretches even further back than that.

In 1841, Dr. William W. Wolf moved to Dayton to practice homeopathy, a branch of alternative medicine that believes in the healing power of food. Hailed Dayton’s “Cracker King,” Wolf concocted the Wolf Cracker, a curious hard-butter snack made for medicinal purposes.

“In the 19th century, crackers were linked to Christian physiology and sectarian medical practitioners,” says Lisa Haushofer, a senior research associate at the University of Zurich’s Institute for Biomedical Ethics and History of Medicine. “Christian physiologists like Sylvester Graham, of Graham Cracker fame, were concerned about a modern diet that contained too many stimulating substances.” (In addition to being a cracker evangelist, Graham was also a pro-temperance Presbyterian minister who preached a vegetarian diet). Wolf echoed Graham’s concerns that food was far too rousing (though Graham also dubiously believed his crackers could cure licentiousness), so he launched the Wolf Cracker Bakery to churn out his wholesome snacks.

“They believed there was too much nourishment per food unit in modern bread, too much excitement,” says Haushofer. “So they recommended grain products made from coarse flour, which, they believed, contained a more natural ratio of nourishing and non-nourishing parts. Crackers were considered health food.”

Cheez-It

According to Haushofer, homeopaths at the time were also concerned about digestibility, and since they believed heating food aided digestion, baked Wolf Crackers were just what the doctor ordered. But Wolf’s patients weren’t the only ones after his crackers. What started as a medical remedy soon became a sought-after treat.

In the 1870s, while living on the barren plains of North Dakota, Dayton natives J.W. and Weston Green often longed for a taste of home. “In those days food supplies were both expensive and scarce in that region,” wrote the Dayton Journal Herald in its October 31, 1907, edition, “and the father and son regularly sent back to their old home city, Dayton[,] for those necessities that could not be obtained there. ‘Invariably,’ Mr. Green says, ‘we would include in that order a good supply of … the ‘Wolfe Cracker’ [sic].”

J.W. Green never forgot the savory, buttery, nut-like flavor of Wolf Crackers. In 1897, when Wolf died, Green purchased the Wolf Bakery Company, then enlisted his son, Weston Green, to join him in business. The Greens renamed the enterprise Green & Green Company, and while Wolf’s recipe remained the same, they rebranded the doctor’s famous treat as the “Dayton Cracker.”

By the turn of the 20th century, Dayton held more patents, per capita, than any U.S. city; surrounded by this innovative environment, Green & Green flourished, expanding its operations to nearby Springfield and Lima, and delivering baked goods across southwest Ohio. But soon, the company’s crackers became more than a regional concern. During World War I, Green & Green fired up its ovens for the war effort.

“All our facilities but one little oven that can’t be used for Hard Bread will be speeded up to keep two car loads a day going by express,” read a Green & Green ad in the Dayton Daily News’s July 14, 1918, edition … “that OUR BOYS at the front may have their Fighting Bread.”

Cheez-It

Though far less tasty than the Dayton Cracker, Dayton’s Fighting Bread sustained countless soldiers during the Great War. Typically made from salt, flour and water, Hard Bread—also known as hardtack, teeth dullers or jawbreakers—was often soaked in water before being served. If stored improperly, weevils and maggots made Hard Bread their home, prompting soldiers to dub the wartime ration “worm castles.”

“We are mighty glad and proud to be a cog in the big machine that will win the war,” read Green & Green’s ad. However, Doughboys weren’t the only ones helping win the war. “P.S. We could still use a few more women in the packing of Hard Bread.”

After World War I, Green & Green Company sidelined Hard Bread in favor of more flavorful fare. By Armistice Day, the Dayton Cracker (still made with Wolf’s original recipe) had been baked in Dayton for nearly 80 years. But while the hard butter-cracker was a local treasure, customers yearned for a delicate, flakier treat. Soon, Green & Green launched its Edgemont line, and in 1921, unveiled the “baked rarebit,” known as the Cheez-It.

“Welsh Rarebit, at its most basic form, is essentially a cheese sauce spread on toast,” says Rachael Spears, a living history specialist at Dayton’s Carillon Historical Park. “Some 19th-century English recipes specifically call for cheddar cheese. To this day, Cheez-It still advertises 100 percent real cheese, which draws a connection to its rarebit roots.”

But in 1921, Americans needed more than a novel snack. Following the Great War, the global economy dipped, and American wallets were increasingly thin. “Rarebit is a lesson in frugality,” says Kress. “It’s a nutritious dish that doesn’t cost a lot of money. When it’s baked down into a Cheez-It, it becomes a tasty treat. And just like hardtack, if you store it correctly, it will stay for a very long time. You don’t run the risk of it growing weevils.”

Cheez-It

In 1915, one pound of Green & Green crackers sold for 10 cents, roughly $2.65 in 2021 dollars. “When Uncle Sam picked men for his army overseas,” read a June 1920 Green & Green ad, “he also picked foods that would keep those picked men robust and healthy—fit for the strenuous duties ahead of them. Just as the crackers for our soldiers kept sweet and fresh in tins, so Edgemont Crackers … keep crisp and creamy in the Family Tin. Ask mother to keep a tin in her pantry.”

Cheez-Its kept Americans fed during the post-war recession, throughout the Roaring Twenties, and at the onset of the Great Depression. But by 1932, Green & Green packed up its last Family Tin and sold the business to Kansas City’s Loose-Wiles Biscuit Company.

In 1947, the Loose-Wiles Biscuit Company became the Sunshine Biscuit Company; in 1996, Keebler acquired Sunshine; and in 2001, Kellogg acquired Keebler.

“The Cheez-It name has accompanied the baked cracker since its creation in 1921,” says Jeff Delonis, senior director of marketing for Cheez-It. “The original Cheez-It packaging was green and white. In the 1930s, red was introduced into the brand logo, and by the 1940s, the box included the iconic red and yellow-orange colors that remain today. The general shape and look of the cracker has largely stayed the same.”

Cheez-Its may still look the same, but the cracker’s production has soared. Once baked on the corner of Concord and Cincinnati Streets in Dayton’s Edgemont neighborhood, then shipped to regional grocers, Cheez-It sold more than 400 million packages in the U.S. alone in 2020.

Scene from Ghostbusters. Cheez-It crackers and bud beer.

“It’s super fun to think about all the cities around the country that were producing foods for local audiences,” says Kress. “Every city had them. Here’s an idea that came out of Dayton, Ohio.”

But “baked rarebit,” once a prevalent idiom used to describe an obscure cracker, has since faded, replaced by the now-ubiquitous term, Cheez-It.

“When you bake a cracker, you roll the dough out thin, kind of like a pie crust,” says Spears. “But at the heart, it’s like a thin, crispy biscuit. When you bite into a Cheez-It, you get those nice layers. Those are the layers that form if you cook it a bit.”

Like the Cheez-It itself, we need only bite into the snack’s history to uncover countless compelling layers.

“Cheez-It is a survivor from a bygone time,” says Kress.

Why I like Chinese girls…

A big smile. Happy times. Food. video.

A local Chinese girl shows you her life…

Sure, I make a couple of videos, but there are other people who are more photogenic than myself, and easier on the eyes. They show their life, and their food, and their homes.

Here’s one such girl. A friend. video.

American “democracy”…

China slams the US:

“The electoral democracy of Western countries are actually democracy ruled by the capital, and they are a game of the rich, not real democracy.

I would gladly ignore this and write it off as Soviet style propaganda, were it not for some shocking statistics from Pew research poll that supports the thesis.

According to a new Pew survey published last week, many American’s seem to share concerns about the direction of the US’s democracy. The survey can be found here: (https://cnb.cx/3ooDsKw)

“Americans largely share the view that their democracy is no longer a model: 72% say U.S. democracy used to be a good example for others to follow but has not been recently.”

Frankly, I thought the number was shocking and perhaps reveals a nation tired of covid and legislative gridlock.

Pew continues with more stunning statistics from polling in 17 advanced economies:

“Few believe U.S. democracy, at least in its current state, serves as a good model for other nations. A median of just 17% say democracy in the U.S. is a good example for others to follow, while 57% think it used to be a good example but has not been in recent years. Another 23% do not believe it has ever been a good example.”

For the record I am not agitating for a change in government, nor professing undying love for China’s communism. Some will undoubtedly make these accusations.

I present this because I think it is important to acknowledge that the US’s “global brand” has been severely tarnished. Covid, Afghanistan and an assault on the nation’s capital among others are taking their toll on the US across the globe.

If it takes harsh critique from China to get the US to pay attention so be it. And it does seem to have hit a nerve , as this article is No 1 in google news search for “China” 24 hrs running.  That’s why I’m running it.

China isn’t making this critique up out of the blue, they are going for the jugular and calling out a problem at least roughly supported by global sentiment. Interestingly, they don’t often criticize the US so this inflammatory rhetoric is a bit of a departure especially after progress with the climate deal.

You can see the influences of large banks or techs on the American government. Money has created enormous lobbying groups that make Banks and large industry unassailable. Big tech seems impossible to control. Whistleblowers from Meta, election interference, and consumers asking for privacy don’t seem to count for much.

Lest I get comments that I am “paid by China.”  This isn’t about China but about a the real problem of money’s influence in government.

If the US’s economic rival China, sees the problem, as do American citizens, why doesn’t anyone in Washington?

Hum?

China schools compared to American schools…

Check out this little dialog. video.

And this followup. Also good. video

And, thus how it manifests for a typical Chinese family. Video.

Data Testing Julian Cope’s Dunnideer Hill Alignments Theory

A great adventure in Scotland.

It’s the story or tale of how one person took the time and effort to investigate ancient ruins in his own backyard. I applaud him, and you should too. -MM

From HERE.

In his popular guidebook to British prehistoric monuments, The Modern Antiquaria n, rock musician and poet Julian Cope proposed that a distinctive Aberdeenshire hill in Scotland, the 264 meter (866 ft) high Dunnideer Hill, formed a visual focal point of reference in the landscape in relation to the positioning of ten nearby Scottish Recumbent Stone Circles (RSCs).

Notably, no physical survey data was presented by Cope so I can only assume his comments were intuitively based, undoubtedly after physically visiting each circle and seeing for himself their collective inter-visibility with Dunnideer Hill (Figure 1). A reasonable assumption, but as an archaeologist I have to be careful when accepting such intuitively based information. More so given the fact that he used emotive language such as “Mother Earth Hill” to describe it. However, I decided to give him the benefit of the doubt and test his “inter-visible-theory” by conducting a quantifiable survey using GPS technology .

Figure 1. Dunnideer Hill. The remains of the hill’s medieval Chapel enhances its visibility amongst the surrounding landscape. (Author provided)

Recumbent Stone Circles (RSCs) Surrounding Dunnideer Hill

The stone circles surrounding Dunnideer Hill belong to a greater class of stone rings known as Recumbent Stones Circles (RSCs). A distinctive class of prehistoric circles which were confined to North-east Scotland.

Their architecture was consistent, set out in a ring, they often contained between ten or eleven standing stones plus an outlandishly large recumbent stone that was deliberately laid flat in the southern quadrant of the respective circle – hence their name (Figure 2). Amazingly 156 of these monuments were built across the entire landscape of Aberdeenshire.

Regrettably, only 71 of them survive today.

Carbon dating places their construction to the Late Neolithic, circa 2500 BC. The original purpose for building these stone circles remains unknown but what we can say with certainty is that no human burials have been found in any of their primary contexts.

I have already written about these RSCs in a previous article published on Ancient Origins . In that paper I proposed that a single specialist was responsible for designing every one of these RSCs.

As I also mentioned in that article, these RSCs were positioned across the landscape so that they were astronomically aligned towards each other forming clusters of circles within their respective landscape settings.

See the first of my freely available YouTube videos here explaining more about how these alignments work at the circles.

Although I had suspected that these clusters of circles were deliberately aligned towards natural features of the landscape, such as hilltop summits, I did not have any survey data to prove it.

So here was an opportunity to not only test the extent of stone circle alignments towards natural hills but also see if Julian Cope was correct.

Figure 2. The distinctive architecture of an RSC. A ring of standing stones plus its recumbent stone as seen at the Strichen House RSC. (Author provided)

The Ancient History of Dunnideer Hill

Of course my starting point was Dunnideer Hill. Located close to the small town of Inch, Aberdeenshire, this hill possesses its own long archaeological history.

Unfortunately, if ever a stone circle stood on the summit of this hill then whatever evidence for it is now lost.

Nevertheless, some 440 meters (1,443 ft) downslope, north-west of the summit, are the remains of the Dunnideer RSC (B), indicating that the hill was at least known to the Neolithic communities as far back as 2500 BC.

Survey Method Used at Dunnideer Hill

To survey the alignments between the Dunnideer Hill and its surrounding cluster of ten stone circles , I utilized GPS technology in the following manner. Standing on the summit of Dunnideer Hill, the GPS plotted my precise position on the ground.

This information was then relayed back to me via a positional coordinate for where I was standing.

Then systematically moving to each of the surrounding RSCs, the GPS plotted my successive positions, each time providing me with the corresponding coordinates. Using the “go to” facility on the GPS, I could then obtain the distance and direction travelled between each coordinate.

After completion I was able to cross-reference the combined GPS data to produce the maps discussed here.

What I was particularly looking for with the GPS data was to search for astronomical alignments between the hill and the surrounding ten stone circles .

Now, if I know the angle of the ecliptic (between the Sun and Earth) at around 2500 BC, I can divide that angle by the exact latitude for the hill and whichever stone circle I was standing at.

This “scientific calculation” then gives me the directions of where the Sun rose or set on the days of midwinter and midsummer for Aberdeenshire around 2500 BC.

With some adjusting (to cater for the angle of the moon’s orbit around the Earth), I could also determine similar positions for the Moon as well. After obtaining this scientific calculation I was ready to start.

The Dunnideer summit has been subjected to many alterations and much disturbance since that time. For instance, during the British Iron Age, a hill fort (circa 700 – 500 BC), was dug out across its summit. 1,500 years later, a medieval Chapel with both solid stone flooring and walling was built above the foundations of the fort, destroying anything below it.

Still, the views from the top of Dunnideer Hill are amazing (and well recommended – despite the short climb). Certainly, I could imagine the Neolithic specialist builder standing here some 4,500 years ago, viewing the landscape below, pondering where to position the ten stone circles in relation to those areas of land below being opened up for domestication and cultivation.

Figure 3. The ten RSCs selected for survey that are also inter-visible with Dunnideer Hill. (Dr. John Hill)

Dunnideer Hill Survey Results

I had established with my GPS an arbitrary distance equal to a radius of 6 km (3.72 mi.) circumnavigating Dunnideer Hill.

This radius immediately captured the ten RSCs (Figure 3). The logic behind this arbitrary distance being that (at the most) it would take an hour to walk from the farthest RSC to the hill. Secondly, all ten circles were physically inter-visible with good views of Dunnideer Hill.

Incidentally, another interesting observation uniting this cluster of circles is that they all shared similar oval-shaped large but thin recumbent stones – usually these recumbent stones are very broad and thick (Figure 4).

Possibly, these thin recumbent stones signified a specific local identity associated with this particular cluster surrounding the Dunnideer Hill.

Figure 4. Three examples of the thin, oval-shaped recumbent stones. 1 = Dunnideer RSC; 2 = Wantonwells RSC; 3 = Stonehead RSC. (Author provided)

After compiling the GPS data from Dunnideer Hill, the rock musician’s theory of it being a focal point for the surrounding stone circles was proving to be disappointing. I could not find any data that would indicate that the hill possessed any astronomical correspondences towards the surrounding ten circles other than them being inter-visible with the summit.

Incidentally, Dunnideer Hill is not the only hill in this landscape, there are other hills which could have acted as alternative focal points. Nonetheless, I wanted to give Cope the benefit of the doubt, so I continued to collect GPS data from the surrounding stone circles.

As I visited each of the stone circles I needed to re-compute my original scientific calculation. When I was standing on top of Dunnideer Hill’s summit I was looking downward towards the directions of the surrounding stone circles, so the altitude factor (within my first equation) did not matter with regard to the astronomical data I collected.

Now, standing at the circles, I was looking upward, towards the summit of the hill, so I had to make the necessary adjustments for the “upward” angle of altitude.

Having made these adjustments to my second equation the results I was anticipating began to materialize but not in the manner I expected. Yes, Dunnideer Hill was important to the astronomical alignments connected with the ten circles, but it was not the single focal point as I thought it would be.

Rather than being a single point of reference in the landscape for any one of its surrounding RSCs, the data suggests that Dunnideer Hill appears to have acted like an axis mundi around which the rising and setting of both the Sun and Moon would be seen to rotate during the seasons.

And it all depended on when and where one was standing in order to see the corresponding astronomical event at each of the respective stone circles. Indeed, one would have to follow a circular route around the hill, visiting each circle at different seasons to appreciate how the Neolithic communities organized their agricultural and ceremonial calendars.

In short, they were using the hill as a gigantic beacon in the landscape to monitor the movements of the Sun and Moon. Or rather, the cosmos above revolved around the earthen-hill below, with the hill acting as the axis mundi .

Let me explain further.

In Figure 5 we see an alignment between Ardlair RSC (A), Dunnideer Hill and Old Rayne RSC (F). Taking into account the altitude factor for the hill, then the alignment runs due east / west. So let us imagine that we are standing at Ardlair RSC, looking eastward, and watching the Sun as it appears to rise behind the eastern summit of Dunnideer Hill. When the Sun appears to rise in this direction then we have an astronomical alignment between the two circles (and the hill between them).

Now, this is the alignment that would only occur on the morning of either the spring, Vernal (21st March), or autumn equinox (21st September). And vice versa, standing at the Old Rayne RSC, looking westward we can watch the equinox sunsets, setting behind the hill in the direction of the Ardlair RSC. Thus, the two circles and the hill align with the equinoxes and as such we have an ingenious method of predicting the times in the agricultural calendar for sowing (i.e. spring) and harvesting (i.e. autumn).

Figure 5. The equinox alignments between two RSCs and Dunnideer Hill. (Dr John Hill)

Similarly, in Figure 6, we can see an alignment between Wantonwells RSC (G) and Dunnideer RSC (B), with Dunnideer Hill again positioned in the middle of the two circles. The axis of this alignment corresponds to the direction of the summer solstice sunset (21st June) and vice versa the direction of the winter solstice sunrise (21st December). So if we were to stand at Wantonwells RSC and watching the sunset behind the hill then we would be looking in the direction of both north-west and the Dunnideer RSC (at the time of midsummer sunset). Such an observation might have been a cue for the Neolithic farmers to move their cattle northward, during the warm summer months, to the higher pastures around the Candle Hill RSC (D).

Alternatively, standing behind Dunnideer RSC and watching the sunrise behind Dunnideer Hill in the direction of Wantonwells RSC, then we would be looking towards the direction of the midwinter sunrise. Seeing the sunrise in this direction may have been the cue for the Neolithic communities to commence the culling of their surplus livestock which would have provided them with plenty of meat for the cold winter months.

The GPS also provided data to support the statement that the Neolithic communities were also using Dunnideer Hill as a means to monitor the movements of the Moon. For instance, the alignment between Wantonwells RSC (G), the hill and the Stonehead RSC (C) captures the setting position of the midwinter full moon at its most southerly position on the distant horizon. In effect, by using Dunnideer Hill as an axis mund i, the Neolithic communities could operate (albeit a basic) solar-lunar calendar.

Figure 6. Solar and lunar alignments revolving around the axis mundi of Dunnideer Hill. (Dr John Hill)

I did not want to bombard the reader with too much of the astronomy in this article, so the above examples are just a sample of the alignments I have obtained for this particular cluster of stone circles. For sure, there are many more alignments that I could have discussed here. Of course, the reader may question as to how these astronomical alignments were achieved when their inter-visibility between the stone circles mentioned here was blocked by Dunnideer Hill.

Certainly, this is an important question and in in my recent book The Recumbent Stone Circles of Aberdeenshire I propose a number of solutions. But for now, I would ask the reader to view my second freely available video which demonstrates how the geometry used to construct these circles and captured the symbolism associated with the astronomical alignments across the landscape: Ancient knowledge, Sacred geometry at the Loanhead of Daviot stone circle .

But here is another one of my solutions. That is the people were using “smoke signals” across the landscape in order to set out their stone circles in alignments (Figure 7). We have good archaeological evidence that fires were regularly set alight before, during and even after these RSCs were constructed. Although whatever the reasons for these fires remains conjectural, I can reasonably propose that they were a sure way for the Neolithic communities to set out their stone circles in straight lines across the landscape, especially when their visibility was blocked by natural hills such as Dunnideer Hill.

Figure 7. The Neolithic communities used smoke signals to set out accurate alignments across the landscape. (Dr John Hill)

Dunnideer Hill as Axis Mundi

As well as acting as a visible focal point in the landscape, Dunnideer Hill also operated as an axis mundi for the local Neolithic communities to organize their agricultural activities. Of course, I have so far interpreted the GPS data within the practical terms of farming and there is no reason why sacred explanations could not also be offered. Social ceremonies such as weddings or funerals could have been scheduled around the hill in accordance to the relative positions of the Sun and Moon.

Indeed, at certain times of the year the solar and lunar orbs may have even been invited guests to visit the stone circles. An ethnographic analogy springs to mind here with the ancient Japanese, shamanistic religion of Shinto, which was populated by kami-spirits who lived on the summits of certain sacred hills across the Nippon landscape. The Shinto-shamans would place special stones and rocks at the bases of such hills in order to entice the benevolent spirits to come down from their summits and enjoy both the seasonal gatherings and offerings left by the people in exchange for good fortune and productive harvests.

Finally, I should thank Julian Cope for his intuitive observations. Had he not written about his ideas about the “inter-visibility-theory” between Dunnideer Hill and its surrounding stone circles then I would not have undertaken this GPS survey nor written this report.

MM video riding in the taxi on tuesday

Here’s what it’s like in my “neck of the woods”…video

I do love watching people who love their jobs…

We should all be doing what we love.

And more about China from the Daily Stormer…

“Jewish conservatives” and shill conservatives in general have a script to inject China into everything, despite the fact that China is not running Western governments. This is partially a way to protect the people who are running our governments, by offering the distraction of a foreign enemy, but also a way to pump up anti-Chinese sentiment so that people will support conflict with them.

My goal is first and foremost to simply tell the truth. My goal is not to defend every aspect of Chinese society and culture. Politically, however, I am opposed to a war with the Chinese. Even more than that, I am against this obsession with the Chinese, which is so obviously a result of the fact that they are not on board with the globalist agenda. If you go around talking about China all the time, the only thing you are doing is shifting blame from our own leaders and feeding this drive for world government.

To the extent that I am a Chinese shill, this is the thing: as long as China is standing as an independent country, this world government cannot exist. Therefore, I am “pro-CCP” in that I am against this lunatic plan for a global tyrannical government. I also admire their strength as a people, nation, and race. How could I not admire them, when they’re the only thing standing between me and a brutal hell on earth? Furthermore, the Chinese haven’t ever done anything to me. Well, I take that back. One time I was staying at a hotel in Bangkok, and a group of Chinese tourists checked in, and they were spitting in the hallways and the elevator, so I had to switch to a different hotel. Eventually, in Bangkok, you learn that there are hotels that do not allow Chinese tourists.

But even with the spitting/yelling/cigarette-flicking – you can uncover something admirable about the Chinese. The reason that so many Chinese tourists behave in ways that we consider crude is that the overwhelming majority of the Chinese middle class are the children of literal rice farmers, who grew up in fields without electricity. Now, in one generation, their children are rich, living in a futuristic society.

China’s social credit system is a result of something called “being Asian.” The Asian social contract is totally different than the white one. No one in China other than criminals and CIA cults opposes the social credit system.

Everyone has seen this clip (regularly used by Ezra Levant), and talked about how terrible it is.

‘Social Credit Score System China’ … and how it works! 😡 pic.twitter.com/vOzt0lZphf
— daisymay4263 (@daisymay4263) October 1, 2021

But in the clip, the Chinese all say they support social credit scores. The response is “oh they’re scared not to say that.” Okay, well, we don’t see Chinese diaspora around the world opposing this system.

You can disagree with that, and I certainly would not want a social credit system in America, but nations should have self-determination.

Whining about the social credit system and supporting anti-CCP policy because of it is no different than saying that you support the war in Afghanistan because you’re against Sharia Law.

All of this stuff about how America is becoming like China is totally nonsensical.

I have a few simple questions for conservatives making these claims:

  • Where are my masculinity classes for young boys? Where is my program to discourage feminism?
  • Where is my nonstop propaganda about the superiority of my race, culture, and nation?
  • Where is my economic program to strengthen the middle class?
  • Where are my low taxes for family businesses and high taxes for large corporations?
  • Where are my anti-trust laws?

Comparing the Chinese social credit system to the attempts to force this vax pass thing in the West is apples to Doritos. “We’re becoming more like China because of the vaccine passport being like the social credit system” is a shallow, stupid take aimed at people who have low IQs or are just uninformed about the world.

It’s like saying America is becoming like Afghanistan because women have to cover their faces in public because of the virus.

It’s moronic on par with Dinesh D’Souza saying that Black Lives Matter is inspired by Adolf Hitler.

The only reason someone would make an argument so obviously dumb is if they were trying to manipulate you.

I will say again that I have no specific desire to defend everything the Chinese government does. I will certainly not defend everything they have done since the revolution.

However, while I do not agree with it, the “one child policy” is very overstated by Western critics. Westerners generally have no idea at all how Asian culture works. And they shouldn’t be expected to.

I personally find it interesting, but outside of that, no one in America should be under any obligation to understand the dynamics of Chinese culture.

Unless of course, they are making judgements about them – which apparently everyone in the West feels compelled to do now.

There are many things that Westerners simply could not possibly ever understand about Asia, no matter how much information they had, simply because the historical development of the culture, and the genetics behind it, are too different.

The role of law and the concept of law enforcement is an example of something no white person is ever going to grasp.

Here’s a big think for you: during most of 2020, Christians in America were banned from attending church, while Chinese Christians were not.

In Australia, the number two country which claims to be fighting against China to create freedom, Christians are still banned from attending church.

It’s again just utterly dishonest and slimy to have these publications that express open hatred for Christianity claim that the Chinese government is at war with Christianity, while the CIA is using these home churches to incite revolution against the government.

It’s like if the CIA were using hamburger stands to traffic guns into China and the Chinese government arrested them and the New York Times ran the headline “Inside China’s Brutal Crackdown on Hamburgers” – of course, right next to “You Must Eat Bugs: Americans Have Only One Week to Stop Eating Burgers Before Cow Farts Cause Deadly Earthquake.”

By pointing to “authoritarianism,” people who are trying to demonize China can make a superficial surface level critique without any context and leap to “China is the model for the New World Order.”

The attacks on China are disorganized and weird, but they are working very well.

Frankly, I’m the only person I’m aware of that is offering meaningful analysis of the China situation in the English language, aside from a few white guys who live in China.

China is a closed society, due not to communism, but to the massive language and cultural gap.

Food cubes – Can you name them all?

Food cubes.

And some more stuff from the Stormer…

Though it is clear that the globalist agenda hinges on regime change in China…

… that rampant anti-Chinese propaganda abounds…

… and that the entire political and military apparatus in Washington supports using the military to “defend Taiwan,” ….

… the US plan to destroy the CCP is completely unclear.

Right now, China is finally standing up and pushing back against this bullying.

If they decided to “invade” Taiwan, the Taiwanese military would put up less of a fight than the Afghan military.

Americans have no idea what is going on in Taiwan.

I would be surprised if even one soldier fired a shot if the CCP military landed in Taipei, and at least 40% of the people would openly greet them as liberators.

The people who are still against reunification in theory would just shrug, and carry on with their day.

No one in Taiwan even understands the concept of the mainland “taking their freedoms.”

No one thinks that anything substantial that affects them personally would change, other than gays and maybe some feminist women.

A lot of people want the CCP to come in and ban gay marriage.

The US forcing the gay marriage thing really rustled a lot of Taiwanese people.

Imagine the internet being flooded with videos of PRC troops landing in Taiwan and people either smiling and waving or just going about their business.

Imagine the female president of Taiwan giving a public statement apologizing to the Chinese people for “disrespectful behaviors and mean-spirited actions.”

Chuck Schumer and Ben Sasse could call a bipartisan press conference to release secret satellite photos of buildings in Taiwan that look shockingly similar to the infamous Hitler steam chambers.

They could bring in the daughter of the Taiwanese ambassador to cry and say she saw CCP soldiers eating babies.

But the jig would be up.

Seriously: what is the US going to do if China takes Taiwan? They’re going to start World War III?

I guess?

Every US military simulation about defending Taiwan from an “invasion” shows China winning, meaning the only option would be for the US to escalate.

China has consistently said they are going to fire nukes.

So we’re going to go to nuclear war for gay sex in Taiwan?

I sure looks like it.

How prepared is the US for nuclear war?

Who has been running the strategy on that front for the last two decades or so?

Mark Milley and Lloyd Austin?

Do what you can to help others.

Again, this subway artist strikes again. video.

Mysterious Carved Stone Balls Found in Scottish Isle Tomb

There’s a lot of interesting history in Scotland. Like this very curious article.

From HERE.

A 5,500-year-old tomb discovered on a Scottish island will soon be reclaimed by the sea. Archaeologists racing to excavate the site, “before it’s lost forever,” have discovered two enigmatic carved stone balls at the site.

Over the last two centuries archaeologists in Scotland have only discovered about 500 of these mysterious balls.

Dating back to between 3500 BC and 1500 BC, most carved stone balls measure around 3 inches (7.6 cm) in diameter, therefore, fitting easily into the palm of a closed hand. A 2017 Ancient Origins article explored a range of possible purposes for these stone balls.

While some archaeologists maintain they were hunting projectiles and fishing weights, others point out that most are flawless, and suggest they were sacred artifacts passed down from generation to generation.

The Press and Journal article reported that Dr Hugo Anderson-Whymark, senior curator of prehistory (Paleolithic – Neolithic) at National Museum of Scotland, posted about the discovery of the two balls on Twitter.

He pointed out that only about 20 carved stone balls dating to the Neolithic have ever been found on Orkney.

The two balls recently discovered on Orkney were described by Dr Anderson-Whymark as a “cracking find from the tomb.”

The researcher described one of the balls as “size of a cricket ball,” and that it was “perfectly spherical and beautifully finished.”

The second carved stone ball found in the Neolithic tomb site at the edge of the sea at Tres Ness, Sanday, Orkney, Scotland. ( University of Central Lancashire )

The Rare Carved Stone Balls Of Scotland: Purpose Unknown!

Carved from both hard and soft stones, most carved stone balls have six projections (knobs) but they have been discovered with as many as 160. In 1876, J. Alexander Smith suggested that when bound to wooden handles the balls would have made efficient “ axe-like weapons .”

However, in the 1970s Dorothy N. Marshall pointed out that most of the balls are nearly flawless, and that ancient people wouldn’t have spent that much time and effort on spherical accuracy for a weapon.

The most recently discovered stone balls were found on what is, arguably, the most astoundingly beautiful of the many spectacular beaches in Orkney .

Tres Ness, on the island of Sanday, is according to Orkney.com “a special place.”

The website says you will often be the only people on the beach, “soaking up the ancient scenery as if you’re on a deserted island.”

However, for the last few years the prevailing silence has been disturbed by the chinking and chipping sounds of archaeologist trowels resounding from one of the island’s Neolithic and Bronze Age settlement that is about to fall into the sea forever.

Changes Times, Changing Coast Lines

The two Orkney stone balls were discovered within a Neolithic burial tomb. According to a report in Press and Journal the tomb on Tres Ness is formally known as “a stalled cairn” where the burial space was compartmentalized.

Dating back to around 3,500 BC the burial structure represents one of the oldest remaining buildings in Scotland and it was here that a team of archaeologists found the two rare stone balls. And luckily too, as the site is quickly being clawed away by the encroaching sea .

Professor Vicki Cummings is a reader in archaeology at the University of Central Lancashire.

She speculates that the tomb at Tres Ness was probably associated with the Neolithic settlement at the Cata Sand archaeological site.

Located about a mile-and-a-half away from the Tres Ness tomb, Cummings said that both sites and the beautiful beach at Tres Ness are under immediate threat from coastal erosion .

Another view of the Neolithic cliffside tomb side on Sanday. The left edge of the tomb is a seaside cliff that is eroding quickly. (University of Central Lancashire)

Sadly, The Sanday Orkney Site Is Vanishing Into The Sea

In the early Neolithic period both sites would have been located on a headland high above the coastline overlooking the sea from the distance and from above.

However, like sugary drinks working away at the roots of teeth, over time, the headland has been eaten away leaving the sites on the edge of a new, super-erosive, tidal coastline.

Cummings added that one major factor for the rapidly changing environment is the lack of trees, whereas in the Neolithic the entire area was wooded.

Cummings said, “sadly the site is vanishing into the sea,” so the focus of the site archaeologists is to extract as much information as possible “before it is basically lost forever.”

The discovery of the two rare carved stone balls really is what Dr Anderson-Whymark said, “a cracking find from the tomb.”

More from the Stormer…

Who is even running the US government?

Where are the adults?

The people running the US government are going around trying to force vax everyone, turn children into trannies as part of a program to normalize sex with children, and claiming that there’s a secret QAnon terrorist group planning to overthrow the government.

Then behind those freaks you’ve got freaks like Bill Gates and Klaus Schwab planning to turn themselves into genetically-engineered cyborg immortals.

Everything that is going on in the Western world is just as absurd and unserious as it is sickening and evil.

Here’s a thing which is happening: people – probably most of you reading this – are confusing the total power of the current US government for competence.

This is very natural, psychologically – it makes fundamental sense that people with absurd amounts of power would have to have competence.

But, if we break down the fact that these people inherited this power structure, then you might look at it like the logic of assuming a guy driving a Lamborghini must be rich, before you find out it was stolen.

It took me a long while to process it myself, and I definitely didn’t have a full understanding of it until Joe Biden took over as our overlord, but these people are not competent.

Upon closer inspection, we realize they are actual morons.

As a thought experiment: try to think of one single thing that the Democrats have done since assuming total domination of America that is indicative of competence.

The only thing you will likely be able to think of is the fact that they’ve managed to keep this virus thingy rolling, but that is obviously virtually entirely managed by the media.

And the success of the project is entirely dependent on the docility of the American people.

Same people, ten years later

MM home video

I took a minute to record what China is like. I was on my way to my office, and the contract of what China is compared to the crazy insanity that America is is breathtaking. It’s calm here. It’s nice and pleasant here. It’s clean, safe, relaxed, and healthy. video.

K-pop dance studios

As I have repeatedly stated in previous other articles, There’s three k-pop studios in my office building, and I often do down to watch the girls dance, make some friends, and find an opportunity to “pal around” with all the pretty girls there.

Here’s a gal performing vibrato by Stellar. video.

Some fine butt action there. LOL.

Some more from the Stormer…

China is understandably tired of having their country surrounded by the US military…

They are tired of being threatened and bullied.

And they’re tired of the humiliation of not being able to control their own internationally recognized national borders.

Yes, even the US recognizes Taiwan as a part of China…

…I guess people apparently don’t even know that.

Maybe the US will go to war, maybe they won’t – I have no way of predicting that.

I think it’s impossible to predict, given that there is no real order to the decisions being made by the people running the US government, other than that they are following this “New World Order” plot that was laid out by people who are already dead.

Most likely, if China took Taiwan, the leaders of the US would flinch, and that would signal the end of US global supremacy.

The empire would then enter into rapid decline, as without US military supremacy, there is no logic to the dollar as global reserve currency.

This would be a total tailspin, and America would look like the opening of that Dawn of the Dead remake.

It’s going to be a rough scene.

The best thing for you in such a situation would be if you – wait for it – lived in a rural community.

There will be serious rioting and all of the Walking Dead type stuff.

The blacks will probably form cannibal gangs pretty early on, but they’ll just end up eating each other. After eating his last remaining lieutenant, the last cannibal warlord in any given city will be totally alone, and his last words before he starves to death will be: “muffuggen wite ppl did dis.”

What will not happen: the Chinese will not invade America to enslave people in communism with their social credit system.

If you think that the Chinese want to invade America and enslave people, then you basically need to accept that you just don’t really have any idea what is going on.

Why would the Chinese want to invade and enslave Americans?

Just to be mean?

Do Chinese people strike you as that emotional, that they would waste huge amounts of resources in order to engage in an act of geopolitical meanness?

The entire Chinese mindset, and their entire marketing strategy as a superpower, is that they don’t invade countries, and instead trade with countries and invest in countries’ infrastructure.

There are all of these books published by Western universities over the last five years talking about how the US strategy of trying to convince countries to side with them over the Chinese was failing, and that all the countries who had made economic pacts with the Chinese were generally happy with the results.

China’s strategy has been to basically portray the US as a country of violent lunatics trying to enslave the planet with their military and their debt economic system.

And they are 100% accurate. -MM

Anthony Blinken was apparently chosen as Secretary of State because, along with being a Jewish Zionist, he’d given a bunch of speeches about the need for a new strategy to confront China in order to maintain US dominance.

It turns out he does’t have any such strategy, but he’s adamant that there needs to be a new one, which is more than the other candidates for Secretary of State were able to offer, apparently.

During his March meeting with Chinese adults, his first and thus far only major appearance on the global stage, Blinken brought a woman with purple hair and channeled Holden Caulfield when the Chinese “phonies” said that Americans need to start applying themselves to their job of maintaining world order.

America is viewed as weak by the rest of the world under the Biden administration. 
Putin is challenging Biden with live debate. 
And at the Alaska Summit, China told Blinken how he views America. ⬇️⬇️⬇️

pic.twitter.com/dNvSHFa0GN

— Rob Stark (@starkrob21) March 19, 2021

It’s rumored that during his interview for the job, Blinken did a pretty decent rendition of “Stairway to Heaven,” which Biden’s wife and caretaker “Doctor” Biden found “so dreamy.”

(Yes, Blinken actually was in a band and has admitted that his first choice for a career was “pop star.” Yes, he really had a single called “Lip Service,” which he wrote for Kamala Harris. Yes, that last part is a joke. I mean the Kamala Harris part. He really did release a single called “Lip Service,” which is on Spotify. This is going to go down in history alongside Caligula marrying his horse.)

Yes, after the total collapse, if you survive, then in 2050, there’s a high likelihood you will have a Chinese landlord.

Except maybe not, because if you survive the meltdown, it probably means you own rural land.

The point is: everything is probably all going to work out.

The ZOG empire is going to collapse, and the world is going to then be controlled by a Chinese merchant network, where the concept of “empire” is based on trade rather than military strength.

People will be fine in such a situation.

Certainly a lot better than we are now, under these guys.

In the Chinese version of Star Wars, Darth Vader has a pet triceratops.

Also, instead of blowing up Alderaan, he blows up the Kennedy Space Center.

Furthermore, Obi-Wan rides a motorcycle and wears a knight’s armor.

Han Solo is heavily grizzled, but he wears a heart locket.

Ask yourself: wouldn’t the new Star Wars movies have been better if instead of being about feminism and race-mixing, they explored Darth Vader’s relationship with his triceratops?

I rest my case.

Built like a fish.

In China, the idea of the perfect shape is one that resembles the smooth thin lines of a fish. Much like this girl does. video.

Or this woman who is shaped like a fish…

Nice bouncy Chinese woman. My guess is that she had implants, and the only way you can achieve such an aggressive bouncy softness is with the Motiva Ergomax implants. video. Yeah, these sixth generation implants are truly something.

It makes me want to play with her boobies. Jiggle. Jiggle. Jiggle.

China infrastructure projects.

It’s all totally under reported in the West.

video

Delivery guy stops and becomes a Rufus…

Just an ordinary guy, doing ordinary things, when he sees a boy drowning. In a split second he make the decision to dive in and save the boy. video

How to make authentic Pepper-pork

Here’s how you do it. It’s so easy, and OMG so very, very delicious. Give it a try, you will not regret it. video.

What it is like leaving the KTV going to the hotel…

Ah. For those of you who are not aware. This is what it is like leaving the business KTV hostess and having an evening of fun. It’s riding in the car to the hotel for the final enjoyment of the evening.

It was a fun evening. video.

Finally, Be the Rufus!

Imagine a world where everyone is nice to each other. Where families can live without worries, fear or threats. Imagine a place where everyone is helpful. Well, you don’t have to wait. You can start doing it now. Today. Just go forth and do some random acts of kindness. Smile at people. Go out of your way to be nice. Just put a little bit of sunshine in the lives of others. Be the Rufus. video.

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

.

Prostitution in ancient Rome. What people did before social media, football, and stamp collecting.

I’ve been devoting more than enough time about the current Geo-political events around the world lately. I’ve posted some stuff about MAJestic and “Alien Interview” and some other stuff. But now, I want to breathe some life into some of my historical articles.

Ancient Rome has always fascinated me. As it was an empire that grew and collapsed by the massive internal corruption of it’s leaders and their inability to adapt to a changing world. But it is more than that. I am fascinated with the personal stores and histories of the people who lived then.

Not just Rome, but Greece, and many others.

In fact, I loved the fashion and clothing of the period and I had books on Roman fashion, clothing, furniture and all the rest. Once, when my brother visited me in Boston he was amazed that I had such books, and he carted off a box load to his home to read at his leisure later on.

Today we will look at prostitution in ancient Rome.

And you know, it was a different time and a different place. Back in those days, going to a prostitute was similar to going through a drive-through to get a hamburger. It was no big thing.

It was not an issue.

Everyone did it.

Men, women, boys, girls…

In ancient Rome, going to a prostitute was no big thing. It was as common as going out to eat a cheeseburger is today.

There just weren’t any vice laws to control the population through their desires, and all the social prohibitions that society has been shackled with since the middle ages. And knowing that is liberating…

Liberating in a way that gives us insight. An insight that tells us that there are other ways of doing things. Other ways of living. Other ways of happiness, social interaction, and society.

And THAT is what we are going to talk about here.

We will start with the historical segment, and then end up with a Geo-Political article that discusses what happens (or what might happen) when a dominant cultural influence is displaced by other powers.

Paying for Services: Illicit Brothel Coins of Pompeii Show What’s on The Menu

From HERE.

Today, there is a strong, negative stigma surrounding the occupation of prostitution. It is often looked upon as “sinful”, “detestable”, and “shameful”—both for the prostitute and the participant. In ancient Rome, while everyone certainly had their own views of the practice, it was far more socially acceptable.

In fact, brothels were somewhat of a staple in vacation cities like Pompeii and Herculaneum. (Which is helpful for archaeologists, as both those sites remains “frozen” in time.)

These staples eventually grew to encourage their own form of coinage, called spintriae in the Medieval period (though this name is misleading in ancient records). The prevalence of prostitution in Roman culture is highlighted through the wide circulation of these coins, and the plethora of imagery in the aforementioned vacation sites in southern Italy.

Ancient Sex Tokens – A Different Kind of Coinage

Roman brothel tokens were rather obvious to the everyday money-handler. The token had various sexual acts depicted on both the front and rear of the coins, usually the participants on the coin in the act of intercourse. Some depicted phalluses instead, full-formed and often with wings attached, likely indicating the virility of the man using the coin. While male prostitutes and female participants were not uncommon, it was far more common—as far as literature can tell—that wealthy males sought the company of a meretrix, or legal female prostitute.

It is also notable that the tokens predominately depict male-female relations rather than relations of the same sex, likely indicating that homosexuality (at least outward homosexuality ) had become far less acceptable by the time of the Romans than it was for their predecessors in ancient Greece.

One of the most prominent theories about the creation and purpose of the coins was to advertise the prices of sexual acts. Further, in passing a coin between two people—i.e., the buyer and the “seller”—one could maintain a level of privacy. This would have been particularly important to those of high status who did not want their late night dalliances known. It is believed by some scholars that “the sex act depicted on each coin corresponds to the price listed on the opposite face,” which has also been considered clever as it is “a system that would also have helped dissolve language barriers”.

If this theory is true, then one must consider that the coins themselves were not forms of payment; rather, they were more akin to calling cards or order slips. As one would say, “I would like a number 4” at McDonald’s and pay for their food at the window, an ancient Roman would pass the token and then subsequently pay for the service before or after it occurred.

A more recent find of a Roman brothel token in London, called the “Putney token” for the bridge it was found near, was examined in 2012. As it is known the Romans had forts, camps, etc. in ancient Britain, the theory that these coins were used to get around language barriers is furthered. Britain’s Romanization was slow, thus so was the spread of the Roman language; however, an image of sexual intercourse is universally understood.

Were the Tokens an Early Form of Payment?

It is possible that these tokens were at some point used as a form of payment. Despite circulating only in brothels and between buyers and sellers, there is an indication that it would have been in the participants’ best interests if the coins were worth something. Cassius Dio, a Roman historian in the 3rd century AD, recounts one tale during the reign of Caracalla in which a coin bearing the face of the emperor was used in a brothel. This was seemingly seen as an insult to the emperor, and the man who used the coin was sentenced to death:

Granted, Caracalla has been described as one of the more temperamental emperors of the Roman Empire and perhaps reacted far more angrily than another emperor in this position would have; yet this tale indicates the that it might be best to keep sexual favors and imperial coinage separate from one another.

A Different Perspective

Prostitution was far more of an acceptable “career choice” (it wasn’t necessarily a choice) in ancient Rome than many believe; the current stigma of prostitution has damaged the reputation of what many consider the oldest occupation in history. Roman historians Livy, whose History of Rome is comprehensive, and Tacitus, credited as one of the better surviving sources of Roman culture and war, both dictate that prostitutes often had positive reputations, and often came from good families.

Emperor Augustus encouraged the occupation, making it “neither illegal nor stigmatized in ancient Rome, and in fact it was not unusual for an independent-minded upper-class woman to become a courtesan; when Augustus decided to encourage reproduction in the upper classes by taxing unmarried adult patricians, many women registered as whores so as to avoid being forced to marry.” (McNeill)

Thus, one should be careful about putting one’s own cultural perspectives on the ancient position, as the influx of Roman tokens found only furthers the reality that prostitution was a highly respected field for a long time.

The Lupanare: Prostitution and Houses of Pleasure in Ancient Pompeii

From HERE.

Mad emperors, fierce warriors, brutal entertainment, and lascivious lifestyles. These are the familiar images of ancient Rome, but what was it really like? Rumors abounded regarding Roman emperors and their indulgence in the pleasures of the flesh. Tiberius, for instance, is said to have indulged in secret orgies in his pleasure villa on the island of Capri. But until the 16th century discovery of the buried city of ancient Pompeii, the guilty pleasures of the Romans had been mostly hidden from the pages of history.

Ancient Pompeii: Roman Life Frozen in Time

Pompeii is a 6th century BC Roman city frozen in time, preserved by the layers of ash that spewed out from the eruption of Mount Vesuvius in 79 AD. Although Pompeii was initially rediscovered at the end of the 16th century, it was only properly excavated in the 18th century. This was due to the fact that excavators were startled by the sexually explicit frescoes they were frequently unearthing; something quite shocking to the sensibilities of Medieval citizens of Rome, so they quickly covered them over.

When excavations resumed nearly two centuries later, archaeologists found a complete city almost entirely intact. Loaves of bread still sat in the oven, bodies of men, women, children, and pets were found frozen in their last moments, fear still etched onto their faces, and the remains of meals were found discarded on the pavement. The astounding discovery meant that researchers could piece together exactly what life was like for the Romans of ancient Pompeii: the food they ate, the jobs they performed, the houses they lived in, and of course, the activities they engaged in for pleasure.

Discovering the Carnal Pleasures of the Romans in Ancient Pompeii

Excavators unearthed evidence of numerous brothels in the ancient city of Pompeii, as determined by the discovery of both erotic frescoes and graffiti adorning the walls of buildings containing several rooms with stone beds. The phallus was a very common decoration for good luck in Pompeii and it was painted in the houses, streets, and shops.

One of the famous brothels in ancient Pompeii was called the  Lupanare (Latin for wolf’s den). Now a UNESCO World Heritage Site, this was a two-story building built just years before the destruction of Pompeii. Believed to be the only purpose-built brothel in Pompeii, the Lupanare had ten rooms and a latrine under the stairs.

Each of the ten rooms had a stone bed covered with a mattress where a prostitute would entertain her clients. Another famous feature of the Lupanare is its erotic wall paintings. Each of the paintings depicts a different position for sexual intercourse , and these are believed to have served as an advertising board for the various specialties that were on offer.

Despite the erotic nature of these images, it has been suggested that they were merely an idealized version of sex. To regard them as a representation of the actual transaction would be tantamount to regarding contemporary pornography as the real thing. Thus, it has been postulated that the lives of the prostitutes at the Lupanare was far grimmer than the erotic images suggest.

The chambers where the prostitutes worked were windowless, cramped, and uncomfortable places separated from the anteroom only by curtains. Furthermore, it has been suggested that most of the prostitutes in Pompeii were slaves of Oriental or Greek origin. As they were involved in the slave trade and not trained in other professions, it seems that these women had no real alternatives for work. In the CBC program The Nature of Things , Dr. Kelly Olson, a professor of classical studies, visits the Lupanare and claims “it’s not a very nice place to work.”

Their clients, however, seem to have had a better time at the brothels, as demonstrated by the graffiti that they left behind. There are over 100 inscriptions on the walls of the Lupanare. One inscription, for instance, runs simply as such: “I screwed a lot of girls here.”

Another inscription even records the date that the person visited the Lupanare, “On June 15th, Hermeros screwed here with Phileterus and Caphisus.” The wealthier members of society generally did not visit brothels, as they were able to afford mistresses or slave concubines. Thus, it is more likely that those who frequented the brothels of Pompeii and left the graffiti behind were ordinary Romans.

Interestingly, the clients of the Lupanare also left notes on the wall that allowed archaeologists to work out the prices of the services provided there. It seems that two loafs of bread and half a liter of wine would enable a person to obtain the services of a prostitute. Needless to say, the fees were paid to the brothel owner, rather than the prostitutes themselves. Such is the life of a prostitute in a brothel of Pompeii, as far as the archaeology is able to tell us.

The Lupanare was first excavated by Giuseppe Fiorelli in 1862 and it was during this work that the archaeologists discovered the now almost 2,000-year-old erotic panels on the ground floor of the brothel. The frescos and other sexual objects created by ancient Romans and discovered in the ruined city have been the subject of much contention. The explicit frescos for example were covered, and some claim that up until the 1960s only male visitors were allowed to view them for an additional fee. The ancient brothel was restored and opened to the public after an investment of $253,000.

Deemed as scandalous at the time of their excavation, many of the more erotic objects discovered in ancient Pompeii were removed and taken to the Naples National Archaeological Museum. They were placed in the Gabinetto Segreto  (the so-called “Secret Cabinet”), which was founded by Frances I, the King of Naples, who decided that certain explicit images were to be seen only by “people of mature age and respected morals.” It was only opened to the public in 2000.

These days, the restored Lupanare is open for tourists to explore and learn about prostitution in ancient Pompeii . According to the Daily Mail , several tourists have even tried to relive the sexual antics of the Romans, breaking into the brothel or the suburban baths “to fulfil their fantasies.”

The Grim Reality of the Brothels of Pompeii

From HERE.

Like the anxious men who began excavations at Pompeii in the 18th century and discovered more about the ancient Italians than they had bargained for – such as phallic-shaped lamps – historians of sex are regularly confronted with case studies from the past that challenge their own ethics. Those who worked the streets of Pompeii and served clients in the brothels lived hard lives, yet many of the murals that survive depict the women as erotic and exotic.

Murals from brothels and buildings that served as brothels (such as inns, lunch counters, and taverns) show fair-skinned women, naked (except for the occasional breast band), with stylized hair, in a variety of sexual positions with young, tanned, athletic men. The figures sport on beds that are sometimes ornate and festooned with decorative quilts.

In buildings identified as brothels, the murals may have been intended to arouse clients. They may also have functioned as pictorial menus or even served as instruction manuals for more inexperienced customers. In buildings identified as private residences, the scenes were most likely decorative but also designed, perhaps, for titillation.

Contrary to the idealized images, the brothels themselves provide evidence that the women worked in cells, usually only big enough for a narrow bed. The absence of windows in most attests to the darkness of the cells, as well as limited air flow.

Excavations also suggest that the cells were usually without doors, which implies that the rooms may have been curtained. They have also revealed stone beds. Wooden beds as well as pallets were likely also used, but would have perished in the eruption of Mount Vesuvius in AD 79.

The conditions in which the women worked were of no concern to brothel owners, clients or anyone else for that matter, as most sex workers in ancient Italy were slaves. As the ancient attitude towards slaves was one of indifference at best, and violent disdain at worst, the lives of women were no source of empathy to those outside their class.

The sex workers fulfilled a utilitarian function and nothing else. Confined to the premises by (usually) male pimps who provided them with only their most basic needs, the women were essentially cut off from the outside world. This rendered them vulnerable to the whims of both pimp and client alike.

Women who worked the streets in Pompeii often waited around archways and other standard locations such as graveyards and public baths. In larger towns and cities, where control of the sex trade was harder to manage, some of these women may have worked without pimps. Those who made up this percentage of workers were mostly freed slaves and poor freeborn women.

Stories From Graffiti 

The preservation of graffiti on the walls of Pompeii’s buildings also provides historians with details of the sex trade. Most of it is extremely graphic. It includes information on specific services and prices, clients’ appraisals of certain women and their abilities (or lack thereof), and some sexual advice.

Some graffiti are straight to the point:

Thrust slowly

Others are advertisements:

Euplia was here 
with two thousand 
beautiful men

Or a list of prices for various services.

Often the names of slaves and, by default, sex workers, had Greek origins. The name “Euplia”, for example, comes from a Greek word meaning “fair voyage”. Sex workers’ names sometimes denoted the function or physical features of the individual in question. In this case, Euplia promised her clients a fair voyage.

Graffiti also attests to male sex workers in Pompeii. As with the writings concerning women, this graffiti lists specific services offered and sometimes prices. As freeborn women were not permitted to have intercourse with anyone but their husbands, the clients who accessed male sex workers were almost exclusively men. The sexual mores of ancient Rome, catered for male-to-male sexual encounters if certain protocols were maintained (a citizen could not be penetrated, for example).

The few literary records that suggest there may have been female clients of sex workers are questionable, as they were usually written for satiric or comedic purposes. Still, it would be naïve to discount instances of wealthy, freeborn women accessing male sex workers or household slaves.

Similarly, it would be naïve to assume that male clients did not seek other men with whom they could participate in acts deemed socially unacceptable (essentially acts in which the citizen male would occupy a submissive role).

Society and the Sex Trade

At the time of the eruption of Vesuvius, Pompeii was a town of modest size, with a population of around 11,000, and a thriving community with sophisticated architecture and infrastructure. Located in Campania, some 23 kilometers southeast of Naples, and near the port of Pozzuoli, it enjoyed robust trade and economy, and had a multicultural demographic.

The prosperity of the town and the continual presence of merchants ensured a strong market for sex. Indeed, the sex trade was integral to the successful functioning of society, particularly marriages.

As marriages, particularly those among the elite classes, were arranged and predominantly for the birth of male heirs, a husband would not seek sexual pleasures from his wife. Rather, out of respect for her, a man would pay for pleasurable sex, especially those acts that were not expected to be performed by a respectable woman.

Indeed, the graffiti attests to five different types of sex for sale: intercourse, cunnilingus, fellatio, active anal sex, and passive anal sex. Thus the sex trade performed a type of social and moral policing of the institution of marriage, as well as the preservation of an adult male’s reputation and masculinity. As sex work was not illegal (being predominantly structured around slavery) but adultery was outlawed, this was another reason for paying for sex.

The layers of volcanic materials that covered Pompeii and most of its population to a depth of 25 meters (82 feet) left extensive evidence of the ancient Italians, their lifestyles, and their environments. Ironically, the eruption that trapped the inhabitants in both time and place has bestowed a strange immortality upon them.

These people whisper to us, and their tales are varied, joyous and sad. Their stories are sometimes shocking and even heartbreaking, but, like the lives of the sex workers, worthy of remembrance.

The Graveyard Prostitutes of Rome and Beyond

From HERE.

Ancient regulars of the world’s oldest profession may have grown bored with the “usual” items on the coitus menu. That may be why ancient Rome enjoyed a thriving sexual ecosystem with robust variety and a market for all kinds of Roman prostitutes. Yet the dynamic sexual menu, made infamous from excavations at Pompeii, is given little to no mention in history, particularly when it comes to the Bustuaries or graveyard prostitutes.

he cemetery or graveyard prostitute is an intriguing vocation within the sex trade of the era. But don’t assume that graveyard prostitutes were an archaic hedonistic niche desire, of interest to only the most degenerate Romans. After all, graveyard prostitutes continued well into the modern era, in trying times such as the Covid-19 pandemic. This begs questions as to the allure of combining lust and death in sexual practice. Perhaps studying the history of the Roman Bustuarie, we can find some answers.

Prostitution in Rome: The Hierarchy of Roman Prostitutes

According to many scholarly sources, by the first century AD Rome was home to a thriving economy of 32,000 legally registered sex workers, along with additional slaves being sold into the sex trade almost every day. Those sold into slavery began either as children or pre-teens, who were then made to solicit and rapidly learn the ways of pleasuring.

But not all Roman prostitutes were slaves. There were a small number of registered voluntary citizen sex workers acting on their own accord. In other instances, despicable men would force their daughters, wife, or sisters into prostitution to gain extra income; however, this particular act would later be made illegal by legal decree under the rule of Theodosius the Great. As of that point, all men found guilty of soliciting family members would lose legal custody.

Of the registered prostitutes, the upper Roman patrician class’s most elite sex workers were known as the high courtesan Delicatae. Even the delicious Delicatae, who were seductive rebellious daughters from upstanding patrician families, hoped to bring shame and scandal to their elite familial name.  Though the Delicatae were usually masked, and therefore protected from being recognized, the fact remained; they existed to those who knew where to find them.

Other forms existed in temple cults dedicated to Isis, Ceres, Magna Mater, Venus, and Pax. For those who were of the Roman lower castes, most registered prostitutes could be found in local, dingy, and unventilated brothels, steamy bathhouses, and even taverns mediated by pimps. Even with these many venues of operation, other variations of prostitute existed for those looking for something cheap, if not a little dicey.

Besides the broad range of registered Roman prostitutes on offer, there were also unregistered free agents known as Prostibulae. Made up of unregistered prostitutes, these were usually self-employed freed slaves or extremely poor women who avoided paying heavy roman taxes by any means necessary.

Some became known as Ambulatae, who were unclean, provincial streetwalkers, waiting outside or near to the high-priced brothels, gladiatorial arena venues, theatres, and circuses ready and willing for just two pieces of coin. The Ambulatae would not have the same alluring erotic candles or signs to point customers their way. Instead, they relied on revealing garments, and if they were lucky, erotic cookies in the shape of penises to advertise their services.

Dark Desire: The Bustuarie Graveyard Prostitutes

For those who were too timid to approach the Ambulatae, there was always the option of an encounter with a Bustuarie cemetery prostitute, the lowest of the low on the hierarchical scale of Roman prostitution. Bustuarie prostitutes mainly operated within the graveyards and underground tombs of Rome. They were described as shameful, gaunt, pale, and sickly, all descriptions akin to the dead themselves. In the early morning, they offered their services as mourners for hire, but by night they were ready to fulfil any dark desire.

The Bustuarie used chalk on the backs of headstones to advertise their prices, and engaged in sexual acts within tomb passages and secluded plots. Graveyard prostitutes could be found throughout the Roman Empire, and even in the outskirts of Londinium (modern-day London). Their clientele was made up of grave diggers, eager pseudo-necrophiliacs and vulnerable mourning widowers. They were exquisite navigators in finding the emptiest of mausoleums, the softest of burial plots, and even the cold slabs of tombstone that presented an opportunity for intimate discretion.

There were even stories of fair-skinned women resting on ancient tombs with gold coins upon their eyes, not as a payment to the ferryman to cross into the underworld, but payment by the God Orcus for her lustrous services. With a reputation for sexually satisfying the God of the underworld, the Bustuarie were able to provoke the interest of any young Roman wishing for an experience bordering the boundaries of death and love. However, what of precaution and disease when in the presence of a prostitute surrounded by death?

Safe Sex? Hygiene Amongst Roman Prostitutes

Prostitutes, registered or not, were still treated as slaves, and once someone had been associated with employment in the sex trade, their fate was sealed. Within the world’s oldest profession, life would forever be a struggle for survival rather than an adventure filled with the thrills of carnal desire. The living conditions alone made the ancient life of prostitution disease-ridden, painful, and extremely uncomfortable. Even with such inhumane conditions, there were still cultural customs within the sex trade of Rome.

In the brothels throughout the Roman Empire, it was very common for the rooms to be small, windowless, and penetrated with the stench of purchased intimacy. The only light would come from phallic-shaped candles, used to indicate when a prostitute was ready for her next client. More often than not, water boys would stand outside the brothel rooms readying their bowl for the finished clients to clean themselves after payment was given.

In the ancient world, brothels were known to have their own water mains, allowing prostitutes to clean themselves in between clients. However, their water rations would only allow for cleaning their essentials rather than their entire bodies. The prostitutes, such as the cemetery Bustuarie, were given no such luxuries. They were expected to guarantee satisfaction for their clients from dusk until dawn, and would then await their turn at the public bathhouses only if they had made enough money to enter.

Though bathing was a luxury, the appearance of cleanliness was essential for maintaining clients in ancient Rome by way of scent, style of dress, and grooming. For those who didn’t have access to bathhouses or brothel water supplies, another option developed. While it didn’t help much when it came to hygiene, at least it created the delusion of cleanliness. The Bustuarie used perfume to mask the scent of death and stench of previous encounters.

Clothing, Fashion and Appearance: The Mark of a Roman Prostitute

Prostitutes had a particular look which made them stand out from other women. This distinction also aided in advertising their profession without saying a word. The brothel prostitutes or high-class elites wore very revealing green sleeveless tunics, along with green shoes to indicate that they were ready for clients. The wardrobe of a Roman prostitute also included blonde horsehair wigs decorated with golden chains or curls. Purple lingerie, revealing silks, flaunty golden jewel necklaces, bracelets, and very short attire, became popular in later periods of Rome as well. It was illegal for prostitutes to wear anything that resembled a long band since those were customary of dutiful married women to carry.

Also common for the ritual beautification of Roman prostitutes, was the use of makeup to redden cheeks and lips. They also practiced depilation, or the removal of unwanted pubic hair, by way of arsenic and burnt lime which painfully singed the hairs from the legs, armpits, and genital areas.

The lower caste of Roman prostitutes was usually naked or laden with belts made of straw to indicate their profession to the eyes of eager men. The cemetery Bustuarie was typically scantily clad, or just as naked as the streetwalkers of Rome. However, their appeal was in appearing as pale and as gaunt as possible. As mentioned before, many clients desired making love to corpses and found the Bustuarie the perfect way to fulfil their fantasy. When they performed the act, they would lay as still as possible and remain limp for their clients to indulge, before asking for payment.

The Financial Burdens of Prostitution

Along with the effort required to stay attractive and clean, the stresses of the continued pursuit of revenue forever loomed over prostitutes from every social class. By the second century BC, registered prostitutes had to carry permits to partake in the sex trade. In their application, they were required to list their current name, place of birth, age, and pseudonym to keep their family name anonymous.

In ancient Rome, any women who earned money independently was considered to either dabble in prostitution or be the manager of prostitutes. No matter what level in society a prostitute was, money was a significant part of their livelihood. With many registered prostitutes, heavy taxes were enforced, which essentially counted for a third of their daily income. If they did not report to the local tax collecting Aedile, they faced termination of their registration.

By 40 AD, Emperor Caligula alleviated the extreme taxation of prostitutes and the charge was reduced to the equivalent of one client per day. This reveals how profitable legalized prostitution was for the Roman Empire. Unregistered or independent prostitutes, including the streetwalkers and Bustuarie, it was necessary to nab at least two clients a day to subsist. One payment would go to a piece of bread, and the other to wherever they were staying, or to access to the local bathhouse. Without this, the Bustuarie would not be able to eat that day.

Unregistered prostitutes were in constant danger. While they were pursued by Roman tax collectors, they were admired for their resilience by both the people and select politicians. In ancient historic and literary accounts, prostitutes, no matter how rich or poor, were respected for their discretion, a code of honor which was highly regarded in Roman society.

Prostitution and Infanticide in Roman Times

Part and parcel of a lifestyle filled with allure and desire, was the concern of conception at any moment. Although the ancient world had developed certain contraceptives, becoming pregnant was very common amongst prostitutes, as was the practice of infanticide. In the current era, infanticide is rightly perceived as a negative and very unfortunate. However, in ancient Rome infanticide was completely up to the father. If the family’s patriarch dubbed the child unnecessary, he was in his legal right to dispose of the child, however he wanted. Often the reasons behind an act of infanticide were due to deformity, or, regrettably, if the child was a girl.

Roman prostitutes who were burdened with an unwanted pregnancy often killed their babies shortly after birth. The Yewden Villa excavations at Hambleden conducted in 1912 uncovered the remains of 87 babies. While the Leon Levy expedition to Ashkelon revealed the remains of 100 infants within the bathhouse sewers. These discoveries indicate the sheer indifference demonstrated as the human remains were found in waste piles next to dead animals and garbage. Analysis indicated that the children were murdered the day after they were born.

Infanticide was no stranger to the graveyard prostitute. Their unwanted children would have been abandoned in local garbage sites or left in the cold gutter near the roads leading to the graveyards. In other instances, unwanted children would be exposed or abandoned near to market places or crossroads to either perish or be adopted by others.

To the ancient Romans, infanticide was commonplace. It was viewed as an effective birth control method and was far less dangerous than abortion methods. However, not all unwanted children were killed immediately. In some instances, babies were kept alive until a certain age and then reared to become prostitutes themselves.

The brothels discovered in Pompeii revealed a significant difference in gender preference when practicing infanticide. The boys born of prostitutes were killed due to the danger that could come from the existence of illegitimate sons born from high-status Roman men. During Augustus and Claudius’s age, laws were put in place that ordered the death of any newly born bastard boys from prominent families. The law was put in place to assure a strong stance against adultery. At least in Pompeii, girls may have been spared from death since they could be sold into the slave trade or trained become prostitutes within thirteen years. In all other instances of infanticide, it appeared that babies were killed indiscriminately and without gender prejudice.

Graveyard Prostitutes after the Roman Empire

Although the attitudes towards infanticide and slavery may have changed, some cultural aspects regarding prostitutes and brothels have continued up until the present day, especially when it comes to graveyard prostitutes. Though the Bustuarie were considered the lowest and poorest of prostitutes, their popularity was far reaching throughout the empire and continued after its demise.

While culture and customs changed over time, the dark desire for fornication within gravesites grew ever more popular, reaching its peak during the Black Plague of Europe in the mid-1300s, an event which resulted in the death of almost half the population in certain European countries by 1360. Surrounded by death, the anxiety caused by the lack of any cure, and incessant praying for fear of God’s wrath, it appears that the surviving population was aroused by the idea of morbid acts of graveyard sex.

Amongst the piles of dead bodies, people would pay prostitutes to join them in death-defying orgies to celebrate life. This has been explained by scholars as a method of coping with the devastation inflicted by the Plague. The acts became so popular that in places like France, the Papal office decreed laws and ex-communication to anyone caught in morbid sexual act with any prostitutes near or in graveyard sites.

Since the papal office believed that sexual immorality was a key factor for the Plague, feared that continued sexual escapades within cemeteries would invoke further death. The act itself did take many lives, including the prostitutes who took advantage of the new-found demand. As many as two-thirds of working prostitutes perished, leaving very few in active service during those times. With such limited supply in the sensual sale of flesh, many authorities turned a blind eye so that others could enjoy prostitutes during the peak of infection.

Though Europe would eventually gain control over the spread of the Black Plague, the practice of graveyard prostitutes continued on and were very prominent in the 1940s, especially after the liberation of Naples. In many accounts, it was commonplace to see people having sex on gravestones. The reasons behind this sexual activity appear similar: after such immense death and devastation, it was time to celebrate the best of life by putting on a show for the dead. However, as the world currently endures the Covid-19 pandemic, are attitudes of the current millennial generation in tune with our European ancestors when it comes to sexual intercourse among graves? The answer is absolutely!

Since the global outbreak in 2020, there have been global reports of individuals having sex in graveyards. Many cases have occurred throughout England, raising concerns related to public indecency, the spread of Covid-19, and the desecration of church gravesites. As Watts reports, “the world’s oldest churchyard in Torquay is being used by people openly having sex and sunbathing nude in broad daylight.” Priests, such as the Roman Catholic Monsignor Arthur Coyle, were caught soliciting for sex at the Holy Trinity Polish Cemetery, in Boston Massachusetts, USA.

It would seem that even though the graveyard Bustuarie were the lowest of the prostitute caste system in ancient times, throughout the ages they have remained desirable and psychologically appealing, especially during times of world devastation. Is graveyard sex an act of moral defiance and social deviance in times of global unrest? Or, could it be that paying money for sex in front of the dead serves as a coping method for devastating loss?

Exposing the Secret Sex Lives of Famous Greeks and Romans in the Ancient World

From HERE.

In the ancient world, the public were not so privy to information about the scandalous sex lives of central societal figures. Still, sexual rumors have always existed and, in this way, historical figures became famous for their sexual exploits.

William the Third is rumored to have preferred men to women. Catherine the Great had many lovers and she reportedly gave them gifts even after the affair was over so that they might help her find her next man. The press ran pamphlets about Marie Antoinette’s sex life as well. She was rumored to have participated in orgies where she slept with her brothers-in-law as well as with other noblewomen. Genghis Khan is said to have been with so many women that today there are around 16 million of his living descendants. Julius Caesar liked both men and women and, in the case of men, his political enemies used to say that “He was every woman’s man and every man’s woman”.

Tiberius’ Twisted Sex Practices

Emperor Tiberius is regarded as one of the most sexually twisted rulers. He ruled between the years 4 and 37 AD and his biographer Suetonius stated that, in later life, the emperor had built himself a porn center on Capri. There, young people engaged in elaborate sexual acts both with Tiberius watching as well as with his participation. In the emperor’s bathing pools, the youths were trained to fellate him underwater while the old emperor called them “his little fishes”.

Speculations and Suspicions

Greeks and Romans had a relaxed attitude regarding nudity and they adored the human form. However, once a person died, the human body no longer posed any interest. As a result of this, their knowledge of detailed human anatomy was mostly based on speculation.

For example, there was a strange belief stating that women’s wombs vagabonded about the body causing hysteria. In order to treat this, doctors used bad smells along with loud noises to scare wombs back into position. There were also strange beliefs regarding the clitoris; a large clitoris was considered to be a medical condition requiring surgery.

Kissing in public was not seen as something positive in the Greek and Roman aristocratic circles. Still, husbands did kiss their wives when they returned home at night after having been to parties. The purpose of this was not affection. Instead, they used kissing in order to determine whether or not the woman had been drinking during the man’s absence. Kissing also lost popularity when Roman citizens from Spain began to brush their teeth with human urine.

Gladiators’ Scandalous Sex Lives

Many well-known gladiators also had scandalous sex lives. They were considered very attractive by women, even those that were slaves.  However, not all gladiators were slaves. For example, Commodus preferred his role as a gladiator to being an emperor. Like many other rulers, Commodus also pursued sexual degradation in his spare time.

Commodus’ parents, Faustina and the emperor Marcus Aurelius, had a marital problem that was caused by a gladiator. Faustina had a strong lust for a certain gladiator and she told her husband about this desire. The emperor then consulted a soothsayer who provided the following solution: Faustina was ordered to have sex with the gladiator – who would be killed while he was on top of her. Then, she was obliged to bathe in the man’s blood, clean up, and finally have sex with her husband.

Antinous

Sabina and Hadrian had an arranged marriage. The empress followed her husband on his year-long circuits across the Roman Empire. Even though the emperor used to sleep with many other women and men outside of their marriage, the two spouses treated each other with respect.

This changed when, around his 50th year, the emperor met the love of his life in Antinous, a teenage boy from Bithynia. The two became inseparable and the wife became an unwilling witness to the couple. On a later tour, the three reached Egypt and, in October 130 AD, the youth disappeared.

Hadrian was overcome with grief. He ordered many searches for the teen, but they all ended in nothing. In a few weeks, the emperor deified the boy and founded a city in his name. Thousands of statues were made of Antinous and his worship was ordered throughout the empire. The busts of Antinous exist even today in museums and, sometimes, they are misidentified as Dionysus or as Ganymede. Years later, Hadrian also deified Sabina when she died.

The Punch Line

We often forget about the past. We look at the past, and look at other nations, from OUR experiences, from OUR lives. From OUR understandings, and from OUR societies. And that is so very, very true if you are a dumbed-down “sheeple” living in one of the Western Nations.

You think that arresting a Chinese citizen, who worked and lived in China, who ran a Chinese business inside of China was fine because her Chinese company (using Chinese technology, Chinese inventions, held under Chinese patent control) violated your American laws.

It’s ignorance of a profound nature. Chinese laws are different, and when you live in China you obey Chinese laws. Not American laws.

The days of this kind of nonsense is over.

The world is changing.

And if you are the least bit versed in understanding change, you will see that the future is very very bright for the vast, vast 80% of the population of the world.

The only people that are having fits are super oligarchs like George Soros and Michael Bloomberg who are watching their power crumble before their very eyes.

Read on…

Why is the development of China a problem?

From HERE.

Editor's note: Ismail Bashmori is an Egyptian observer who lives in Toronto, Canada. This is a shortened version of the author's original article. The article reflects the author's opinions and not necessarily the views of CGTN.

As an Egyptian observer, I have been studying about China for the past year – its government, society, history and transformation – and have talked with hundreds of Chinese and China-haters for the past three months. I have seen the great achievements of China, together with the hate and fear of some Western politicians.

China’s story since the 1980s has been one of an almost divine metamorphosis. The country has ended extreme poverty, taken the lead in the world’s 5G network rollout, launched three astronauts to its new space station core module, landed its Zhurong rover on Mars …

China is developing. Is it a problem?

Some Western countries hate and fear the rapid development of a country that once was weak. They can’t believe their 400-year-old global supremacy is being challenged. That’s why, although the United States has killed millions of people and screwed several regions in the past 30 years, your television, newspapers, Google news feed and social media are all  24/7 condemning China, which has not sanctioned any country or overthrown any foreign government. None of the accusations made by Western politicians and media are backed up by evidence.

China is not affected by the noise and maneuvers of her enemies. What’s going on now, the stupid “new Cold War,” is nothing. For her first 20 years, from 1949 to 1971, China was basically blockaded and isolated economically and politically by the West. It didn’t have a seat in the UN General Assembly. And it was dirt-poor in those days, barely a speck of the global economy – not even able to prevent famine. And it still didn’t submit to pressure or take any orders from foreign countries. Why on earth would it do that now?

Some countries seem quite “warm-hearted” on “leading” others.

As Jimmy Carter pointed out, the U.S. has enjoyed only 16 years of peace in its more than 240-year history. Besides the four large wars in Korea, Vietnam, Iraq and Afghanistan, the U.S. has also invaded Lebanon, Dominican Republic, Grenada, Panama, Somalia, Bosnia, Haiti, Kosovo, Libya, Uganda and Syria.

Aren’t you at least curious to see what a world might look like without the leading actions of any warlike nation in the future?

Hum…?

Imagine a world where the U.S. and Australia are no more important than Uzbekistan or Paraguay. A world where the International Court of Justice might be headquartered in Kuala Lumpur, the World Bank in New Delhi, the United Nations in Jakarta, the International Monetary Fund in Cairo. A world liberated from the U.S. banking system and the dollar as its reserve currency so that Washington can no longer tell 200 other countries who they can and can’t trade with. A world where no country can escape from war crimes and no one has to escape from their hometown.

I see China as hope.

Hope that a colonized, brutalized and humiliated country can rise above its past – refuse to be weak any longer – rebuild itself from nothing with iron resolve and become too strong to be overrun by the West aggressors again. I hope that a non-Western country can find its own solutions to its problems, proving that relying on the “leading” and interference from the West is unnecessary and sometimes even stupid.

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

An art appreciation stroll.

This article is a slow moving, fine meandering, easy going, stroll through various works of art. I hope that you enjoy it, and perhaps are inspired by it to some degree. This is a general article, and no particular painter is promoted. Though, you will notice that many of the fine works by these artists are now long gone and lost in the dust-bin of history.

Head’s up to “Ohio Guy” for his awareness.

Max Seliger – Archers

Not much is known about this man. But I do really love his form and attention to the male figure. For me, I have always found it far more interesting to draw and paint the male figure as opposed to the female figure. I just never could get the curves and softness of a woman’s body correctly. However, men’s bodies were much easier to draw and paint, and far more interesting. (From an artist’s perspective.) While women’s tended to focus on the eyes, the hair, and the clothing.

Archers.

Consuelo Fould – Druidesses

Another lonely singular remaining work of art. This time of the female form. Also two figures crammed into one painting. I find it lovely. But that is just me.

You will notice that the muscle definition on the female is very subtle and soft. The smooth shading of shadows is particularly difficult to render. I worked out a technique where I would paint a lighter under panting, and then paint over it with a slightly darker flesh tone, then using a rag, I would wipe away the upper layer and then apply a wash. It’s a nice effect.

You will note that the positioning of the clothing, instruments and objects all served to cover the genitals for a very timid Victorian audience.

Druidesses

Lindsay Bernard Hall – Processional

It’s not simply the muscle tone and definition that is important in fine at, but also the clothing, the textures and the lighting. So many aspects come into play. Here’s a nice example. I think that this is a very nicely done painting. It doesn’t strike me emotionally as others do, but I find it a treasure never the less.

Processional

Antonin Picek – Teatime amusements

I really love the details in this work of art. Obviously the artist was a fine draftsman and then colored the work afterwards with thin washes of oils, layer after layer until the desired effects were achieved. I love the expressions on the faces, and the details on the woman’s dresses.

Teatime amusements

Marcus Stone – The Old Letters

Marcus was a Victorian Romanticist painter, history painter, illustrator and genre painter. He tries to convey snapshots of emotion in his works, and this painting is typical.

What I find so appealing in this painting are the details in the skirt. Just look at this masterpiece. It’s wonderful.

Julius Adam- Painter of kittens

I really love this artist because he loved to paint kittens.

Anyone who can manage to paint kittens, those forever moving bundles of fur, is an expert in my book. Only seven paintings of his survive. The rest were destroyed during World Wars I and II.

He was a German painter, and his works certainly ended up in many a fine home that was later bombed into oblivion by the Allied forces in the 1940’s.

Playful Kittens

Playful kittens in a basket

The hayloft.

One For All

The Proud Mother

The Playful Kittens

Tug of War

The paws and tail detail are exquisite.

Oswald Achenbach – Fireworks in Naples

I am not usually a fan of landscapes. They tend to be calming to the point of blandness. However, Oswald here has some nice works that would really look nice in a hallway or in a living room or study.

Fireworks in Naples

That’s nice. Here’s a rather nice study of a tree in a wooded glade…

Study of a Tree

And this one depicts a Shepard and his flock… look closely, the figures are tiny, tiny, tiny.

A Mountainous Landscape

Edwin Austin Abbey  – ‘O mistress mine, where are you roaming?’

Here’s a fine artist. He’s known as a Golden Age Illustrator painter, illustrator and muralist. Some of his works are just spectacular. Such as this one. Note that the young man is wearing red, a bright color to attract attention while the woman is a harlot as denoted by here green sleeves. You will note that a mistral is playing music in the background and the only thing missing is a bottle or jug of wine. All in all a very nice painting.

‘O mistress mine, where are you roaming?’

Another nice painting, and sorry for the embedded watermarks due to the screen capture.

The Penance of Eleanor, Duchess of Gloucester

Eleanor Duchess of Gloucester was forced to undertake public penance and walk through the city of London without a hood, and bearing a lighted taper. Life imprisonment in various remote locations followed.

In July 1446 she was sent to Peel Castle (Manx: Cashtal Purt ny h-Inshey) on the Isle of Man (Mannin) in the north of the Irish Sea.

What was her crime?

Eleanor, Duchess of Gloucester, was a mistress and the second wife of Humphrey, Duke of Gloucester. A convicted sorceress, her imprisonment for treasonable necromancy in 1441 was a cause célèbre.

The Penance of Eleanor, Dutchess of Gloucester is an oil painting by Edwin Austin Abbey, finished in 1890. The painting is quite large, at 85″ wide and 49″ tall. It depicts Eleanor, former mistress, and now wife of the Duke of Gloucester, performing penance for her crime of consulting with sorcerers to help the Duke gain the throne.

Study

A “study” is where the artist makes a series of rough sketches of the idea for a painting. Some are very rough. Some are detailed drawings and paintings of various important aspects of the art. And some are beautiful in their own right. Here’s a perfect example of one by Edwin Austin Abbey. This one is with back and white chalk on a tan paper with high-lighted details in black ink by pen.

I personally think that it is awesome.

With Pride upon her Brow

And here’s another one in Gouache. It’s a nice medium. Though I never had the opportunity to practice using this method.

Around my fire an evening group to draw” (also known as Study for The Deserted Village)

Conclusion

Did you know that almost every museum has one day that allows for free entry to the museum. This is most especially true for art museums. All you need to do is look up (Google) the local museums nearby and then go to their websites (they all have one). There are the times when they are opened and which days are free, and whether or not there are special events.

For instance, at the very expensive Pittsburgh Carnegie Museum of Art, they let people on food stamps enter for only a $1…

Present your Electronic Benefit Transfer card (EBT Card) from any state (Pennsylvania Access card, Ohio Direction card, West Virginia Mountain State card, etc.) and receive general admission for up to four people at $1 each at Carnegie Museums of Art and Natural History. Simply present your EBT Card along with a matching photo ID. This program is supported by BNY Mellon.

It’s a perfect opportunity to visit a local museum if you are unemployed and want some inspiration, or a good excuse to take a day off from work for you to make a date with your spouse of special friend.

You do not have to park at the site. You go to cheap parking and take a bus to the museum. All cities have bus stops near their museums and parks.

Hint. Hint. Hint.

Make a day of it. A nice ride, then a nice lunch. Then a visit to the museum, and finish up with a trip to an ice cream parlor for coffee and a sundae. Wouldn’t that be nice? I think it would be. We are so very used to doing our routines that life tends to pass us by. Don’t allow that. Go out and try to enjoy it.

A free trip to a museum, a coffee and a sundae, and maybe a blue plate special for lunch. How expensive can that be. And you know, in one week it’s going to be middle of October. This is a special golden time throughout most of the planet.

It will be a lovely day.

What a nice thing to plan. What a nice event you can generate. Make memories. Make friends. Enjoy yourself.

Do you want more?

I have more  articles on art and art related interests please go here…

Art Index

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

.

.

.

 

Complete PDF of Forbidden Archaeology (Free Full Text)

This article provides a free PDF of the amazing work titled “Forbidden Archaeology” for the MM readership. It is an amazing work that documents OOPARTS that prove the the statist view of what history is, and mankind are is absolutely wrong.

It’s an AMAZING work. And the book is the size of a thick table dictionary. Seriously.

It’s not the kind of tome that you would sit down and read page by page. It tends to be on the dry side. However, just parsing and going though it you will be amazed at the careful documentation of proof of humans on this earth long, long before we were supposed to evolve.

It’s a truly amazing work. And the OOPART section is stunning in it’s volume and background.

In 1993, Cremo and Richard Thompson published Forbidden Archaeology (FA), a voluminous exposé of “anomalous archaeological artifacts” that suggested modern people possibly lived on earth almost as long as the world existed, some 4.3 billion years ago.

Summary

Forbidden Archeology is a work that questions current beliefs about human evolution. Part I of Forbidden Archeology (which covers 458 pages) is based on what the authors call anomalous evidence and

"provides a well documented compendium of reports absent from many current references and not otherwise easily obtainable."

The authors discuss how scientific evidence has been

"systematically suppressed, ignored, or forgotten...not through a conspiracy organized to deceive the public, but through an ongoing social process of knowledge filtration that appears quite innocuous, but has a substantial cumulative effect."

Chapter One discusses information that has been overlooked, suppressed, or forgotten even though a lot of the evidence was discovered immediately after Darwin published The Origin of the Species. This chapter explains the basics about archeology, such as the geological timetable and the incompleteness of the fossil record.

The authors’ thesis is based on the premise that anomalous finds should be studied and possibly accepted along with currently accepted evidence. Perhaps as is the case with other types of controversial information,

"One prominent feature in the treatment of anomalous evidence is what we could call the double standard... evidence agreeing with a prevailing theory tends to be treated very leniently...In contrast, evidence that goes against an accepted theory tends to be subjected to intense critical scrutiny, and it is expected to meet very high standards of proof."

There is a section in Chapter One titled The Phenomenon Of Suppression which perfectly describes what abductees or experiencers and those involved with experiencer research are faced with.

"...there are some observations that so violently contradict accepted theories that they are never accepted by any scientists. These tend to be reported by scientifically uneducated people in popular books, magazines, and newspapers."

Chapter Two covers detailed descriptions of reports involving intentionally cut and broken bones of animals. In other words, bones that have been altered by man. Some of this evidence points toward a theory that there was a human presence in the Americas far earlier than was originally believed, which is thought to be between 12 thousand and 25 thousand years ago. However, many serious scientists of the nineteenth and early twentieth centuries reported that marks on bones as old as 25 million years old were indicative of human work.

This chapter illustrates that when ‘unbelievable‘ information arises and people are convinced that it cannot exist, the evidence pointing to such conclusions is overlooked or ignored by the scientific community.

Chapters Three, Four and Five continue with extremely detailed studies of anomalous old stone tools and industries. Chapter Six closes out the first section of Forbidden Archeology with a discussion of anomalous human skeletal remains. In their conclusion of Part I, the authors write:

"…the evidence suggests the existence of anatomically modern humans as far back as the early Tertiary - the first period of the Cenozoic era; 65¬37 million years ago."

A partial review of this anomalous evidence is listed at the end of this report.

Part Two of Forbidden Archeology involves discussions of ‘accepted evidence.’ Beginning with a review and discussions of Java Man, and continuing with The Piltdown Case, and Peking Man, which is very interesting. In addition, a highly recommended read is Chapter Nine, Peking Man and Other Finds in China.

The authors write in detail about how the Rockefeller Foundation funded many of the digs in Peking (Beijing). From page 534:

"It thus becomes clear that at the same time the Rockefeller Foundation was channeling funds into human evolution research in China, it was in the process of developing an elaborate plan to fund biological research with a view to developing methods to effectively control human behavior. [Canadian physician Davidson] Black's research into Peking man must be seen within this context in order to be properly understood."

From pages 537 and 538, in reference to a new beginning of philanthropy,

"All programs in various Rockefeller charities 'relating to the advance of human knowledge' were shifted to the Rockefeller Foundation, which was organized into five divisions. Each division was run by a highly competent academic and technical staff who advised the trusties of the Foundation where to give their money. It was not to be five programs each represented by a division of the Foundation; it was to be essentially one program, directed to the general problem of human behavior, with the aim of control through understanding…the Foundation also saw itself engaged in a kind of thought control. Fosdick (1952, pg.143) said: 'The possession of funds carries with it power to establish trends and styles of intellectual endeavor.' "

In a discussion about Beijing man, when actual physical evidence is not available for study, some reports are believed while others are dismissed:

"[The authors] propose that reports about evidence conforming to the standard view of human evolution generally receive greater credibility than reports about non-conforming evidence. Thus deeply-held beliefs, rather than purely objective standards, may become the determining factor in the acceptance and rejection of reports about controversial evidence."

Bigfoot 

Chapter Ten is titled Living Ape Men? This chapter reviews and discusses many descriptions of what is sometimes referred to in the Pacific Northwest as “Bigfoot.” The term used by the authors most often is “wild men.” This chapter is highly recommended. It increased my knowledge and awareness about the prevalence of reports concerning this type of creature or being.

Indeed, after pages and pages of descriptions and discussions of evidence, the authors write,

"Despite all the evidence we have presented, most recognized authorities in anthropology and zoology decline to discuss the existence of wild men. If they mention wild men at all, they rarely present the really strong evidence for their existence, focusing instead on the report least likely to challenge their disbelief."

Later in the book during another discussion about skeletal remains discovered in Africa the authors write [pg. 649]:

"Most of the discoveries scientists have used to build up their picture of human evolution are similarly ambiguous, their significance obscured by professional rivalries and imperfect investigative methods."

A Sample of Anomalous Evidence

From pages 795-814 Appendix 2, which is titled Evidence for Advanced Culture In Distant Ages. A sample of anomalous evidence follows:

"Raised letter-like shapes found inside a block of marble from a quarry near Philadelphia, PA. The block of marble came from a depth of 60-70 feet, which suggests the letters were made from intelligent humans from the distant past." 

"A metallic bell-shaped vessel that was blown out of pudding stone now called the Roxbury conglomerate, is over 600 million years old...by current standards, life was just beginning to form on this planet...but this vessel indicates the presence of artistic metal workers in North America over 600 million years before Leif Erikson." 

"A chalk ball was found...and based on its stratigraphic position, it can be assigned a date of 45-55 million years ago."

The appendix has a long list of other anomalous evidence, but since I’ve already over-quoted from this text, I will leave the remainder of the secrets to be discovered by the reader.

The Book

Do you want more?

I have more posts like this somewhere in my OOPART Index here…

Mysteries Explained

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

The non-physical components of megalithic locations and their implications

This article discusses the idea that ancient megalithic locations and sites have a non-physical component; a designed energy field for one reason or the other. And it’s an interesting idea and concept. After all, it shakes the entire foundation of the idea of “cave men” being dumb, brute savages. Which is the Newtonian, and Victorian narrative. And instead gives us a picture of an astonishing understanding of things that are far in advance of what their physical accomplishments might say otherwise.

But before we jump into the meat of this article, let’s talk a little about MM here. Because [1] it’s what I want to talk about, and [2] it affects the writing of this article. As this article will be the first article written on this new computer.

I got a new computer! Woo Woo!

Yeah. I have been dealing with a five year old ASUS that ran on Windows 8. It was a budget model, on sale when I bought it. But it met my needs. But then Windows automatically installed Windows 10 on it. And then wouldn’t stop. No matter what switches and commands that I specified.

Windows 10 kept on adding bloatware, and hogging up more and more of my computer resources until it reached a point of saturation. I simply could not run a 4GB ram computer with an OS that required 4 GB just to operate. Jeeze!

Indeed, it was a pain in the ass. Not to mention the periodic (every two day) updates, and so forth.

My solution was to run an Unix based system, and I was all “gung-ho” to do so too. However, the wife ordered me to get a new computer. And guys, you know who is the boss. Right? And so I did.

And I started a looking.

I went into the local stores and found off the shelf computers from 2,000 RMB to 8,000 RMB. (Roughly $350 USD to $1230 USD.) I was just about ready to snag one when I realized that everything was in Chinese, and while I can get by on Chinese, I wanted a wholly English language system. It’s a personal preference, don’t you know.

Enter Amazon

So I figured that I would buy a wholly American computer (made in China obviously) but with American software, American duties, American taxation, and American systems including American spyware.

So I went to the website Amazon.com. I hear that it is a pretty popular website in the States today, and well known. But I cannot verify that. What I can verify is that I went there and found many computers that met my needs, but none were actually available. They were on “waiting lists”. Jeeze!

Prices appeared (in the basic capability range) to be in the $1500 to $2300 USD arena with all the taxes and duties taken into account.

Enter an IT professional

Recognizing my consternation, my wife laid down the law and told me what to do.

So we contacted my IT guy (an employee of mine) and he made up a custom computer laptop for me. He used Windows 10 as that is what I am used to, but used a Chinese modified version. (A scalpel was taken to the NSA backdoors and reporting systems, as well as updates. It’s a “safe” and inert version for Chinese users.) Granted, it’s still bloatware, and a pain in the ass sometimes, but it gets me where I want to go. And on this newer and faster system, it’s like a hot knife through butter.

My old computer had 2 GB ram. Windows 10 needs 4 GB ram just to operate. This new joy used 32 GB ram and the difference is astounding.

My old PC had 100GB storage in SSD HD. And I was told that “everyone” used cloud storage “these days”.

Bullshit.

I couldn’t get anything done without contortions and manipulations.

My new PC has an internal 500GB SSD storage and an outside HD of 2TB SSD storage. Holly Hell!

Connection speeds are off the charts. Typing is easy as all get out, and the graphics are astounding. This system has amazing graphics. Maybe I’ll install a few simulators that I have been Jonesing about. Like the X-Plane 11.

X-Plane 11

Or Flyinside…

Flyinside

Anyways, my IT guy took an off the shelf Shaomi, modified it with Samsung memory and local Chinese graphics cards. The price is much cheaper than what you would get in the ‘States. For certain and meets my needs.

BTW, I have received tremendous support from MM readers who have substantial skill in IT matters. They helped me keep typing while my old computer burped and sighed as it got older. 

Now you would think that I wouldn't need this help as I had an IT guy nearby. But I didn't. I have a periodic IT guy that jets around all over Asia, and helps me remotely as time permits. He made up this computer remotely to my specifications and then shipped it to me via the mail.

People! You make do with what is available to you. And never forget the network of friends that you have.

Here a BIG call out to those who have helped me in the past, and the movies, and the step by step instructions that they sent me. It keep MM alive. Big THANK YOU!

I do not play games that often

I do love a good game, and the computer games are awesome, but this is a work computer. Not a gaming computer. It has a lighted keyboard, and the ram, but not the graphics card need to run the modern intensity games. But this computer can run most of the conventional games of interest, and that’s good enough for me.

Though X-plane 11 does look awfully tasty…

X-Plane 11.

My biggest sigh of relief is that the MS Windows will not be trying to jam a watermelon down into a pin hole any longer. I can actually get some work down without MS shutting down the system for lack of resources every forty minutes or so.

Harmony OS

Oh, and Harmony OS is not yet ready for PC operation. Which is a great disappointment to me. But you deal with what you are familiar with and what you work with. And that is that.

Harmony OS

Did I cop out?

Nope. If you need to dig a ditch you grab what ever shovel is available and you use it. If you have a choice, you use the best one available to you.

I wish that I could tell you that I could walk into a nearby store with a Harmony OS or UNIX computer off the shelf with the latest and greatest hardware, but that is not possible at this time. And so you make do with what you have available to you.

All in all…

All in all, a computer is just a tool. It’s like a car, a blender, a lawnmower or a razor. You use it as you see fit and if you use it often enough, you will want to use the best quality tool available and treat it with care and dignity.

And now to the article…

Is there MORE to the eye when you look at these 10,000 year old piles of rock and stone? Are there magnetic alignments, electrical currents, eddy currents and forces that geographically exist, but is not discernible to those without the necessary equipment?

And if so…

Then 10,000 years ago either

Ancient humans had the ability to naturally sense these magnetic or electromagnetic lines of force.

Or,

They had equipment that could.

They’re Alive! Megalithic Sites Are More than Just Stone

By Freddy Silva

It doesn’t take much to stimulate the human body’s electro-magnetic circuitry, in fact a small change in the local environment is enough to create a change in awareness.

People who visit ancient temples and megalithic sites often describe such a sensation. The standard explanation is that such feelings are nothing more than a ‘wow’ factor: the result of visual stimuli from the overwhelming impression generated by megalithic constructions such as stone circles, ancient temples and pyramids.

But the cumulative evidence proves otherwise: that megaliths and other ancient sacred places are actually attracting, storing, even generating their own energy field, creating the kind of environment where one can enter an altered state of consciousness.

Generating Energy Fields

In 1983 a comprehensive study was undertaken by engineer Charles Brooker to locate magnetism in sacred sites. The test subject was the Rollright stone circle in England. A magnetometer survey of the site revealed how a band of magnetic force is attracted into the stone circle through a narrow gap of stones that act as the entrance. The band then spirals towards the center of the circle as though descending down a rabbit hole.

Two of the circle’s western stones were also found to pulsate with concentric rings of alternating current, resembling ripples in a pond.

Magnetomer survey image of the Rollright Stones. Adapted from Charles Brooker. Magnetism and Standing Stones, New Scientist, January 13, 1983.

The analysis led Brooker to state how,

“the average intensity of the [geomagnetic] field within the circle was significantly lower than that measured outside, as if the stones acted as a shield.”

Such discoveries help us decipher what the ancients were up to when they built megalithic structures. At the Temple of Edfu in Egypt there is a wall featuring what amounts to a recipe for establishing a space that differs energetically from its surrounding landscape — a temple. The instructions describe how certain creator gods first established a mound and ‘pierced a snake’ to the spot, whereupon a special force of nature impregnated the mound, which led to the construction of the physical temple.

The symbol of the serpent has always been a culturally shared metaphor of the earth’s meandering lines of force, what scientists refer to as telluric currents.

Egyptian goddess harnessing the serpent energy.

Controlling the Laws of Nature

It seems ancient architects had a fine degree of control of the laws of nature, because a recent study of energy fields in and around Avebury, the world’s largest stone circle, shows how its megaliths are designed to attract a ground current into the site.

Electrodes planted at Avebury reveal how its circular ditch breaks the transmission of telluric ground current and conducts electricity into the ditch, in effect concentrating energy and releasing it at the entrance to the site, sometimes at double the rate of the surrounding land.

Magnetic readings at Avebury die away at night to a far greater level than can be accounted for under natural circumstances. They charge back at sunrise, with the ground telluric current from the surrounding land attracted to the henge just as magnetic fluctuations of the site reach their maximum.

Studies conducted by the late physicist John Burke also discovered how the stones of Avebury are deliberately placed and aligned so as to focus electro-magnetic currents to flow in a premeditated direction using an identical principle to modern atomic particle colliders, in which airborne ions are steered in one direction.

Avebury stone circle. Image by Freddy Silva.

The effect of sacred sites behaving like concentrators of electromagnetic energy is enhanced by the choice of stone. Often moved across enormous distance, the stone used in megalithic sites contains substantial amounts of magnetite. The combination makes temples behave like weak, albeit huge, magnets.

Spiritual Technology

This has a profound influence on the human body, particularly the dissolved iron that flows in blood vessels, not to mention the millions of particles of magnetite floating inside the skull, and the pineal gland, which itself is highly sensitive to geomagnetic fields, and whose stimulation begins the production of chemicals such as pinolene and serotonin, which in turn leads to the creation of the hallucinogen DMT. In an environment where geomagnetic field intensity is decreased, people are known to experience psychic and shamanic states.

An exhaustive investigation into the Carnac region of France, where some 80,000 megaliths are concentrated, reveals a similar spiritual technology at work. At first the leading researcher, electrical engineer Pierre Mereux, was skeptical that megalithic sites possessed any special powers.

Mereux’s study of Carnac shows how its dolmens amplify and release telluric energy throughout the day, with the strongest readings occurring at dawn. The voltage and magnetic variations are related, and follow a phenomenon known as electric induction . According to Mereux,

“The dolmen behaves as a coil or solenoid, in which currents are induced, provoked by the variations, weaker or stronger, of the surrounding magnetic field. But these phenomena are not produced with any intensity unless the dolmen is constructed with crystalline rocks rich in quartz, such as granite.”

His readings of menhirs reveal an energy that pulsates at regular intervals at the base, positively-and negatively-charged, up to thirty-six feet from these upright monoliths, some of which still show carvings of serpents.

Extreme pulsations recycle approximately every 70 minutes, showing that the menhirs charge and discharge regularly.

Mereux also noticed how the voltage of standing stones in the Grand Ménec alignment diminished the farther away they lay from the stone circle, which itself behaved as a kind of condenser or concentrator of energy.

Carnac menhir caption: One of the 80,000 menhirs in the Carnac region. Image by Freddy Silva.

The composition of the stones and their ability to conduct energy was not lost on Mereux and others. Being very high in quartz, the specially chosen rocks are piezoelectric, which is to say they generate electricity when compressed or subjected to vibrations.

The megaliths of Carnac, positioned as they are upon thirty-one fractures of the most active earthquake zone in France, are in a constant state of vibration, making the stones electromagnetically active.

It demonstrates that the menhirs were not planted on this location by chance, particularly as they were transported from 60 miles (97 km) away, because their presence and orientation is in direct relationship to terrestrial magnetism.

Sacred Sites and Magnetic Portals

Ancient Mysteries traditions around the world share one peculiar aspect: they maintain how certain places on the face of the Earth possess a higher concentration of power than others.

These sites, named “spots of the fawn” by the Hopi, eventually became the foundation for many sacred sites and temple structures we see today. What is interesting is that each culture maintains that these special places are connected with the heavens by a hollow tube or reed, and by this umbilical connection the soul is capable of engaging with the Otherworld during ritual. However, it also allows a conduit for the spirit world to enter this physical domain.

In 2008 NASA may have unwittingly proved this observation to be true when it published details of an investigation into FTEs, or flux transfer events, in which this organization describes how the Earth is linked to the Sun by a network of magnetic portals which open every eight minutes.

Such discoveries help to validate, in the scientific eye, the long-held belief by sensitives and dowsers since the recording of history that megalithic sites and ancient temples are places set aside from the normal world, where a person can connect with locations far beyond this planetary sphere.

Certainly the ancient Egyptian priests regarded the temple as far more than a conglomerate of dead stones. Every dawn they awakened each room with orations, treating the temple as a living organism that sleeps at night and awakens at dawn.

Material based on the author's book The Divine Blueprint: Temples, power places, and the global plan to shape the human soul , Invisible Temple, 2012. Available at invisibletemple.com

Pretty interesting but wait…

…there’s more.

Maybe others have “brushed up against these discoveries” but do not realize what they have found…

Exploring the Megaliths of Magnetic Rock – Guidepost for Ancient Man?

By Charbruns

Near Copper Harbor, Michigan, USA, at the northern tip of the Keewenaw Peninsula, a large and long protrusion of rock emerges far up the hillside in deep forest.

Many petroglyphs cover this rock. It sits on the ancient shoreline of Lake Duluth. One figure is a large boat rigged with a square sail. Most viewers who trek that far into the forest proclaim it a Viking boat. Other carvings on this stone called ‘Picture Rock’ have recently been defaced as the location becomes well known and the public gains greater access.

A dolmen, a large cap stone supported by three shim stones holding it aloft, is located on the Kelso River out of Sawbill Landing, Minnesota, within the Boundary Waters Canoe Area Wilderness (BWCA) in a national forest. Canada considers these to be lithic works created by the Neolithic cultures long before recorded history. Many others have been found on this continent and around the world, suggesting they are guideposts for ancient man.

lithic

By definition, Lithic means “of the nature of or relating to stone.” Strong and formidable, stone has laid the foundation for infrastructure.

In ancient times, water levels were higher from melting glaciers. The surface of the earth was still pressed down from the Ice Ages and had not begun rebounding with the removal of all that ice.

If ancient boats did traverse the Laurentian Divide (a raised area across North America dividing the direction of water flow) between the watersheds, this spot is a likely possibility. Several times I have trekked to Magnetic Rock on the border trail (prominent on the north side atop the Laurentian Divide) between Magnetic Lake and the Gunflint Trail. It was only after the Ham Lake forest fire in 2007 that the rock ‘reappeared’.

b-stone.

In an article by Wakefield and de Jong on megaliths in the Orkney Islands of Scotland, a standing menhir closely resembles the rock in Minnesota.

Dr. de Jong’s interpretation was sailing data is encrypted in the Orkney stone, explaining the unique shape and lines of the stone on our northern border.

The stone I was sitting on in photo below is possibly a shim placed to hold the stone erect. The rock was raised, by persons unknown, to mark a water passage through the Laurentian Divide. At that date, higher water levels would have created a channel. The menhir sits on the highest elevation, according to USGS maps. The swampy valley below is the headwaters of the Cross River.

Author rests upon may have been a shim placed to hold the standing stone erect.

If this area was used by man in the distant past, supporting evidence was crucial. Further exploration produced indications of the presence of prehistoric man.

Magnetic Lake, Superior National Forest, Minnesota, and surrounds.

Magnetic Rock is the same high iron content igneous rock that makes up the Laurentian Divide. A waterhole near the Gunflint Trail attracted our attention due to the right angles and cut rocks surrounding it. Sitting next to the watercourse was a stone cube. Two other cubes were subsequently located, the smallest on the heights east of the river.

Cubed rocks.

The rock did fracture at right angles.

The lower right image might have been a demonstration of an ancient mining technique, wherein intense fire is doused with water to fracture the rock. Today First Nation, or Native American peoples pour boiling water, during winter, into cracks in the Souix Quartzite to freeze and break apart the rocks, to aid mining of Catlinite, a reddish-brown rock in Pipestone, Minnesota.

On the ridge overlooking this area, large boulders were placed exactly on the top edge of the slope and prepared with trigger stones to be rolled down the hill. They dot the cliff line, and one is shown in detail. They are a fixed defense and would require more than one person to function. The purpose for these rocks is not known, but they are obvious in intent and lethal in scale. The border trail heads north from here along the ridge of a cliff that leads to Magnetic Rock .

On the west edge of this cliff, on a short ledge four feet below the summit, was a cache.

West Edge

It is well designed and skillfully made.

The right image shows where the cap stone was taken from the cliff, then moved horizontally along the ledge through human effort. While the chamber is empty today, detritus has accumulated on the ledge, awaiting qualified investigation.

Across flowing water to the east, on a ledge below steep cliffs, sits a large boulder calling attention to itself by shape and position in the landscape.

Kerri Jones of Thunder Bay, Ontario, Canada, took a telephoto image.

This rock, upon closer examination by Diane Bruns, showed chisel marks and shaping.

While not a classic dolmen, I believe this qualifies as a piece of Neolithic rock art.

The placement, shape, and expression speak too much of the monuments left by man in this time, contemporary with Stonehenge in Britain and the pyramids of Egypt.

An amethyst deposit was removed directly beside the menhir and a “sun sign” remains. The rock is igneous, so this is not a fossil. Kasey Jones, pointing, said this was found close to magnetic rock. Note the sun sign on the Kelso Dolmen, so-named for the Keslo River (below, hand calling attention to the symbol). Since this photo was taken, the standing stone has fractured due to natural forces and a large slab has calved off the side, now covering this important piece of evidence of man’s activity. I fear to never set eyes on it in the future.

This photo by TJB Archaeological Associates-Thunder Bay, ON.

Kelso dolmen and Magnetic Rock have been shown over the internet recently on YouTube, gaining awareness.

The climb from the Gunflint Trail to Magnetic Rock was improved last year by the Minnesota Conservation Corp.

It is important to evaluate and preserve these unique sites for posterity. While the Ham Lake forest fire brought this menhir back out of the forest, the heat of the fire and exposure to elements has taken a toll.

This unique spot, a transit point between watersheds, may have been used to access the rich copper deposits of Isle Royale and the Keewenaw Peninsula. Four thousand years ago higher water levels and a temperate arctic may have brought conditions allowing this.

A demand for copper clearly existed. Several locations around the prehistoric world were known for stepping up to metallurgy.

It is obvious there must have been a copper period before the Bronze Age could exist. A defining aspect of human culture is trade, and the success of many early groups was rated by the distance their resources were distributed, or the cultural practices they followed.

Float copper would have been available for easy transportation and man has always practiced war and trade, both being methods for transferring wealth.

Our technology offers new data and insights into cultures invisible to written history. Questioning and studying the aquaculture of Machu Picchu is astounding urban designers today. It is only in the last century we understand what the stone masons were doing building the Greek Parthenon.

There are levels of sophistication we are not aware of at this time. These odd spots in the forest must be protected, and require qualified examination and evaluation with an open mind. New paradigms are arising in understanding the activities of Neolithic man.

Some Conclusions

Well, let me suggest some thoughts.

  • Ancient man at 10,000 years ago had understanding and abilities regarding the ability to sense non-physical actions, and energy better than what we modern humans have.
  • The mining of metals, notably copper occurred during this time with implies the utilization of metal tools.
  • How the ancient peoples used their skills to both sense these fields, and fashion metals tools indicates early civilizations of a far greater capability and extraordinary utility than what has been assigned to them.

I like to believe that by studying their actual abilities at this time, we should be able to decipher more about who they were and what their societies were at that remote time in the past.

And now it’s time to go and eat…

Some of my thoughts on food (while I am at it). And why not? Seriously. Why not? When I go on adventures, meet attractive and interesting people, women or animals, or just enjoy a beautiful day, I think about food.

Like a nice steak. Thick, heavy with nice juices.

Some of the best food porn used to be found on Tumblr before it was “perfected” by Yahoo into a sterile corporate money-making platform, instead of a refuge for amateurs and artists.

I must tell you that they make real delicious perfects steaks in two places on this planet. The places are Brazil and Zambia. And you have no idea how well taken cared for you are and with delicious thick juicy steaks in the lands of Zambia and Brazil.

Typical Lusaka, Zambia steak.. Eggs, ham, sausages, french fries, mushrooms, fried tomatoes and icy cold beer. My goodness!

Typical Lusaka, Zambia steak.

Now, for my comment of the day.

Instead of buying five "Fast Food" package meals for lunch all week, how about bagging it with some home made soups and sandwiches, and then spend Friday night eating a delicious steak like the one pictured above. The same amount of money will be used, it's just that the quality of what you will eat will change substantially.

But that’s just my opinion.

My belief is that you move away from five “fast food” fill-up dash-and-burp meals, and replace them with home-made fresh simple but filling meals. Then spend the savings on a deluxe special meal at the end of the week. Depending on your situation and the budget you can decide on restaurants or on cooking at home.

Oh, and don’t forget your friends, and your little guys. It’s a time to go out and celebrate just for the heck of it. You DO NOT NEED an excuse. You just make some phone calls and tell those folk to show up.

If you all are poor…

…make it “pot luck” and everyone brings a home-made dish. Just organize it. Suzy and Jake brings a salad. Tom and Roy bring a bacon / cauliflower dish. Daniel brings some rolls, breads. James brings a case of beer. Tommy brings some potato chips. Etc. Etc. Etc.

What are you waiting for?

An invitation?

And while I am at it, here’s a Zambian Africa version of steak and mushroom pizza. I tell you what, you won’t find such a concentration of mushrooms and steak on a pizza anywhere else. You just won’t.

Zambian steak and mushroom pizza.

Maybe you can make home-made steak and mushroom pizzas as the theme. Provide lots and lots of beverages, and just invite friends to come over, eat their fill, drink and chat with you. I’ll tell you that if one of my friends told me that they are experimenting making steak pizzas and wants me to come over, smunch, jam (with some music) and watch a movie later on, I’d be the first one at their door-step.

What will it do?

Nothing obviously. Stop thinking in terms of “profit motives”. Instead of thinking about changing your lifestyle into something better. Making it a more adventuresome and exciting fun life. A life, mind you, that you share with others.

But, you do know, that it will set things in motion that will manifest later on. Just do it. Trust in the wisdom of MM.

Now…

Talking about themes…

You know, if I lived in the UK, I would take this moment to go visit some of these most interesting megalithic sites that all throughout the region. Maybe not the most famous ones, but the “out of the way” places. Then take some pictures, get a “feeling” for the area. Who knows, maybe I could experience some feelings of the Leigh Lines, or whatever they are called.

Then…

Check out the local pub(s). Try the local meal specialties (if any). Chat it up with the old bar flies there, the townies, and all the rest, and maybe make some friends. I’d make a day of it. But that’s just me.

Fine delicious pub food.

Of course, for me, a beef pie would be awesome. Just awesome, while everyone else might yawn and look the other way. As if (to say) “tourist”.

But really, there’s so much to see and explore in this world.

I well remember a fine meat pie lady who well investigated a OOPART in the UK. Such an adventure, and even though no direct discoveries occurred, the day trip was a wonderful adventure with many, many good times. Memories. Companionship.

Oh, and she did discover a curious abandoned construction that somehow ended right on top of what used to be the ruins. What a coincidence.

And you all know about coincidences, eh?

Anyways, the fact that you go out of your house, and you go forth and explore, and that you go and make friends. Oh, Lordy! It’s so awesome!

If I was in Indiana, Pennsylvania or Ohio, I would tromp out to the local historical parks, and museums and see if I could sense of “feel” any magnetic or patterns around the structures there. I probably couldn’t, but the exercise in trying to discover the effects would actually be awesome. I think.

Mound builders “footprint”.

You never know what life will present to you.

I once had an interview for a job in Deland, Florida.

What a beautiful place. And interesting work on sonar buoys. But they low-balled me on salary. I mean really, seriously offered me a salary just above minimum wage. WTF?

But I told them that I would consider it.

Deland, Florida.

And the wife and I (my first wife) spent a week trying to figure out if that place was good for us. And it was certainly beautiful.

They had fern farms. That’s right, this was were they grew ferns. They has these ferns under the trees that lay under an canopy and it was really deep, dark, lush and calm. Spanish moss hung from the trees.

So nice.

Deland, Florida.

Alas, I did not accept the job. Not that I didn’t want to accept the low salary, but we physically couldn’t afford it. The rents were out of our reach at that salary. Which is probably why the turnover was so high at the company. Sigh.

But it was in the 1990’s. It was at the time when profits over all drove all companies throughout the USA.

Working in the 1990’s really sucked.

But, what I want to tell you all is that next to the area where we stayed was a horse race track. And we visited it during the day to have lunch.

Very relaxing.

The riders were not racing. Just going through their paces, and we got a reasonably inexpensive lunch on an off moment in time.

A nice relaxing lunch at the club or the track.

But as we got back to our rental car, we heard meowing.

And there near the fence was around 16 of the cutest little kittens you ever did see. (Sixteen kittens. So many.) They were all white. Every single one of them.

Perhaps two or three white cats gave birth to all these kittens, and they were so friendly and came up to us. I just wanted to take all of them home.

But I didn’t.

Many white kittens.

I should have taken the event as a SIGN.

“Signs”. They do occur.

Signs are real things. But I didn’t. I was too focused on the material aspects of a functional life. I shouldn’t have been, but I did.

This was just a few months after my only ever white kitten; snowflaker, died.

Instead I took another job. It fizzled and I left it. But maybe I should have listened to the signs…

Maybe I should have listened to the signs

Maybe I should have listened to the signs

Maybe I should have listened to the signs

…but I would never have been exposed to the signs if I did not venture forth and get out of the environment that I was in. Oh, so many MM readers are still in their boxes. It’s tough to venture outward, but when you do, things happen.

THINGS HAPPEN.

Things happen.

OK. So what am I trying to say. Well, go out of your “comfort zone” and try to explore the world nearby. You might be surprised at how interesting it is. And if you don’t find it interesting, you might be surprised at all the new experiences you will have.

Even the most bland place has some very interesting stories that are just waiting for YOU to experience.

I well remember living in Kentucky. Bored, my wife and I went for a long ride.  Lord knows where we went, but somehow we ended up in the middle of no where. So we pulled up at a lone gas station. There was a glider sofa, on the porch.

Kentucky

We got some ice cream, and spent one hour just rocking on that glider while the world sat frozen in time. It was magical.

Kentucky

I always enjoyed glider sofas. Everyone used to have them. Both of my grandparents had them, and later on my father got a old antique one that he refurbished and fixed up and put on his porch. Most people put cushions or throws on the sofas and set them on their porch.

So nice.

Glider sofa.

Can you just imagine what it would have been like if we lived in France?

France…

France.

In Scotland?

Scotland.

In Germany?

Germany

In Poland?

Female hiker enjoying mountain trip, and taking pictures. Photo taken in Tatra Mountains, Poland.

In Morocco?

Morocco.

In Namibia?

Namibia.

But I lived in Kentucky.

Kentucky

Stop reading about the adventures of others. Use your knowledge and your desire for adventure to experience things on your own.

-Lecture ends.-

Remember, that adventures will surprise you

The thing about going out and going forth doing something new, or something that you don’t often do, is that  you will experience some surprises.

I went to visit a 200 year old greenhouse as a day trip when I lived in Massachusetts, and then ended up discovering the best retro diner that I ever sat in.

Or, I once went to visit a butterfly conservatory. It was this enormous network of connected greenhouses just filled with butterflies from all over the world. It was awesome, but what’s more on the way home we pulled off and discovered the largest collection of twine in all of Northern Massachusetts. This crazy old coot spent his entire life collecting twine and made a huge ball of it. They had to make this metal pole building around it to keep it out of the weather.

Who knows what might lurk nearby…

A swimming pool perhaps…

A swimming pool.

Maybe a chance to tour a Craftsman style home that is being sold and has an “open house”…

Craftsman style house.

Or maybe just pull into a campground, and spend the night in the tent (that you keep in the trunk of your car just for that occasion.) You never know.

Time for a nice fire, eh?

You never know.

Final thoughts

It is hot as hell her in this middle of September 2021 in Zhuhai. Temperatures are routinely in the high 90’s F, or the 34 to 36C, and all with abnormally high humidity. I feel like I am swimming when I walk down the halls.

But it will end.

Sooner or later.

What ever your situation or your condition, please realize that it will change. Change is the natural state of affairs in this region of reality. And do not give up up hope or be frustrated. Good times lie in the future. It is up to you to navigate to that crossroads. I believe in you.

Keep on pushing forward.

And…

…never, ever, ever, ever, give up.

Never give up.

And a final message…

Everyone has ups and downs. Everyone gets happy and gets sad.

But you must know, that sometimes life can “bitch slap you” so hard that you lie broken and collapsed. You are hurt. Not just hurt. You are shattered. Financially, socially, physically, mentally and emotionally. You are just burnt out, and discarded.

I know that there are some frustrated folk out in MM land. I know that things are tough, tight, seemingly hopeless or impossible. And upsetting and sad as all get out. I know this.

Jobs.

Work and money.

Uncertain futures.

Even, just Eating.

You feel like giving up. You feel like quitting.

Focus on your affirmation campaigns. Be a good person. Eat only delicious and healthy food. Spend time with your friends. Spend time with your animals / pets. Calm your mind through meditation, hemi-sync, and long walks in nature. Be the Rufus.

Leave the world behind you safer, happier, cleaner, and better because YOU happened by.

And you know…

Never give up.

And that is not just some cutesy saying.

The biggest tool in your toolbox is persistence. You don’t just hop from one project to the other. American style like the USA government, or an American hire-and-fire company. No. You be like China and you plan for the long haul. No matter what happens, no matter what storms lash at you, and no matter how worried you are…

Never give up.

And I mean it. I really, really, really do.

It might seem so strange to talk about visiting parks, eating delicious food, and spending time with friends, when it seems like your entire world is collapsing. But it’s not. Focus. Relax as the rest of the world howls. Relax. And focus on your plans and actions.

And never, ever, ever give up.

Never give up.

And remember your little buddies…

They can help you.

Do you want more?

I have more posts like this somewhere in my OOPART Index here…

Mysteries Explained

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

Beautiful places where a cat might want to romp, and play.

This is just a very simple article. I just want to throw out some areas and places where (I believe) cats would enjoy to romp and play at. You know, often I would walk by a scene, a glade, a tree line, or a place and think to myself “My. This would be a nice area to explore were I to be a cat.” And this is what this article is all about.

Cats love nature.

Cats love nature.

Places to practice the art of catting

Cats love to cat around. They like to play and practice, and when I go look at these fine woodland places I imagine them playing and being a cat. Like in the “first blood” GIF below…

First blood.

And they do love to play…

Major cat-play.

Shady Glade

You come across these glades from time to time. Often it’s simply an open area in a wood or in a forest. They are often filled with shrubbery, and grasses and maybe a boulder or two, and surrounded by deep dark, lush woods all around.

A shady glade is the perfect spot to pause and get your bearings on the world around you.

A shady glade is the perfect spot to pause and get your bearings on the world around you.

Maybe you should go there, or a place like it. A quiet and secluded place. Take a friend with you and just be…

Take a friend with you.

Deep woods

I love deep woods. And so did my cats.

Deep dark woodsy forests.

The deep dark and cool forests are often clear of substantive under-growth, but very shady and nice. Fine tromping space, and scatter about areas for the cats to play in.

Nice soft mossy mounds for the cats to romp and play in.

The woods are filled with wildlife to listen for, scent trails to follow, and  the music of nature. The cat’s paws walk on soft deep lush carpeting underfoot, nice mosses, and the songs of birds that inhabit the tops of the trees.

Deep trails that lead into lush greenery and moisture.

Cats love to play

They do as this example clearly indicates…

Being playful.

Bubbling brook

Where there were hills, there would be rocks and brooks. These were always filled with life (and insects) but my cats enjoyed exploring the area immensely.

Boulders and rocks in the forests.

And a creek that the little guys would need to navigate, jump over or test their footing with…

A kitty adventure land.

Cats love nature.

Cats love nature.

A place to play and to hunt

As this cat clearly demonstrates.

Playing around cat style.

A large fallen tree

My little guys would love to climb on these things and trim their claws and race about it up and down. Sometimes I would play with them and we would bat at each other, swipe at each other and just play around.

The cats would love to scamper and play about any all fallen trees. First order of business was to climb up, and then they would look down. LOL.

Fallen trees were always a top priority in cat exploration and adventure. As this following picture plainly indicates…

Fallen tree in the forest.

Cats do love to play

They really do.

Cats do love to play.

A rural village road

You do not need to live in a cottage in a forest to make your kitty happy. The most exciting places for them to romp and play could be right there in your own backyard.

Village road.

And here…

Nice woody road.

Rabbit lair

A lair is filled with tunnels in the brambles and briars. Humans cannot enter, unless you are a five or six years old, but they are perfect size for a fine adventuresome cat to prowl.

Rabbit lair…

Places to hunt

Cats love to hunt. Whether it is cockroaches, mice or birds, they stalk and stalk and then pounce. Sometimes it doesn’t work out quite like they planned. Sigh.

Hunting gone wrong.

And other times, it’s payback time…

Payback time.

A light birch wood

A birch wood isn’t so deep and dark as old growth hardwood forests. they are light, and airy. As such they create a unique environment for cat exploration and meanderings.

A light birch wood. Perfect place for feline adventures.

Massachusetts boulders

When I lived in Massachusetts, the near perfect temperatures in the Summer, and the lovely natural surroundings were like a balm of calmness for my cats. They would hang out on these boulders and just chill out while the world around them scampered.

Massachusetts rocks in the woods.

Typical places for a cat who was busy “catting around” to lick his / her paws, feel the sun on their skin and take a much needed nap. As you well know; life is hard. Then you nap.

A fine rocky shelf.

Cats love to play

They most certainly do.

Cats love to play.

Old fence line

An old fence line offers lots of fine cat resting places, and spaces to observe, hunt, track and just play about in. It’s like a cat fairground.

Old Fence Line.

A nice woodland trail

I would often think of my furry friends when I would go on walks or hikes in the various State Forests or parks.

Caldwell fork trail

Old barn

An old barn is often a great place for cats to hunt the local rodents that inhabit the farms. There’s a lot to do, a lot of climbing and jumping, and often many aged bales of hay that make fore great rest areas.

No matter what the weather is…

Cats love equal parts of cuddling and romping outside with you. Sort of like this image here…

Cozy time for kitties.

A fine time for kitty cuddles…

Kitty cuddles.

You can tuck your loved ones in bed, nice and safe…

Tuck in your loved ones for the night.

And my political statement

Up until the 1970’s zoo’s were horrible bare cement and cinder block places where the caged and trapped animals would waste away until they died of loneliness and boredom. Such as this lion…

Poor, sad kitty.

But that has changed. Well managed zoo’s now take the time to provide better care and more “natural” habitats for our friends to live in and exist in. I for one welcome this change.

A modern zoo.

Conclusion

When I had my little guys I would sometimes take them out to the forests with me, or barring that, I would have a house that was next door to a forest. They would love those excursions. All of their senses would perk up and they would be ALIVE.

I hope that you enjoyed this article.

I hope that you enjoyed this article.

This is my tribute to all the cat and cat lovers in the world. Maybe I’m weird for thinking these things, but we shared many a great time together. It is my hope that the cat lovers in the audience will love and appreciate this post as much as I enjoyed writing it.

A kitty on the prowl.

The big cat seal of approval

Big kitty approves of this article.

I approve!

Do you want more?

I have more posts like this in my Cat Section within my Happiness Index. Here…

Life & Happiness

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

 

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 

 

 

Why do many of the girls of China resemble an Asian version of the large chested Dolly Parton

No. It’s not all girls. And no, it’s not even most girls. But it is a lot of girls.

It’s that in China, a fish-like shape is considered to be very beautiful and appealing. And so the women wear flattering clothes, and wear cute outfits. It’s really nice to go out in a restaurant and see the beautiful ladies go out and about. It’s like being in a beautiful garden full of wonderful flowers.

Here, we will present some of these appealing Chinese women for you to look at.

But first… Note that these Chinese girls look this way because they [1] eat healthy food, [2] have good traditional habits and [3] all maintain a healthy and vibrant social life.

And that is the key.

You must have a vibrant and healthy social life, with friends, and family, if you want to be healthy, and look great.

Chinese girls and American girls are the same

The fact is that if you remove the weight that many Westerners now have (due to poor diets, broken up families, fast foods, and GMOs) the women would resemble what is common in China today. the only difference between Chinese women and American women is that they are not eating GMO-laden food.

And they are not under stress.

And they eat good healthy food.

And they are active in a vibrant social life.

Here, we take a normal American girl (on the left). She has a robust rotund shape. And then slim her down to what the shape was in America before the rise of big AIG industry, GMOs and the rise of Fast Food. She is at a woman’s body shape mid 1960’s in America.

The girl on the left is a typical American woman, while the girl on the right is what she would look like in the 1960’s…

2021s Girl / 1960s Girl shape

And if you look, you will notice that many Chinese women have bodies that resemble this 1960s era shape. If you look at the shape and the body proportions the current typical Chinese female body structure resembles the typical female body structure of American women in the 1960’s.

Here’s another one…

2021s Girl / 1960s Girl shape

Who does she sort of look like from the 1960’s and 1970’s? If you pay attention, her body structure on the right side of the image greatly resembles the typical body images of actresses from the 1960’s and 1970’s. And this is no mistake.

Loni Anderson

Raquel Welch

Sharon Tate

Sharon Tate

Notice that when you slim down, the rest of the body proportions stay the same. That gives the illusion of a healthier and more swan-line or fish-like body. And in my mind, I think that American women are just as beautiful as Chinese or Asian or African women.

It’s just that the culture, the diet and the society has distorted them.

And GMO’s has played a major role, no doubt. Once can only imagine how the mRNA changes in the bodies of Westerners will react to GMO laden food. If the bodies we see today are the end result of sugars, starches, and GMO’s combined with a stress-filled environment, one can just imagine what horrors will manifest with an mRNA alternation.

2021s Girl / 1960s Girl shape

France in the 1960’s

Did you know that you used to drink wine in French schools, have long lunches to savor the food followed by a nap, and then strolled back to school and work.

Then, when France decided to adopt the American / British “improvements” in society…

… such as wine replaced with sugar-laden soft-drinks…

France used to require children to drink their daily wine.

Last night I was standing at the counter of our local café on Boulevard Saint Germain, finishing off a last glass of wine after work before heading home for the evening, when I noticed something behind the bar. I thought I must have been reading it wrong (I was on my third glass) because it said in French: “Never more than one litre of wine per day… the correct serving: one quarter of a bottle per meal,” and then beneath in large capital letters, “HEALTH, SOBRIETY“.

France before it became British.

What kind of alcoholism prevention poster sets the recommended limit at one litre of wine per day? And are they suggesting we drink wine at breakfast? I suppose this is France…

“It’s from the 1950s”, explained Pierre, the owner of the Café Maubert. “I think it’s from a campaign when they were trying to stop everyone from drinking too much wine everyday. I bought it on eBay for 70 euros”.

Drink wine. It’s good for the health.

It would be worth mentioning here that it wasn’t until 1956, two years after the start of this campaign, that the consumption of alcohol was outlawed in French schools for children under 14.

Mothers were known to send their small children to the local schoolhouse with lunch baskets containing a bit of cheese, some saucisson … and a half bottle of wine or cider to drink.

-Messie Nessy

France implemented all sorts of “progressive improvements”. Not just the switching of wine for soda beverages, but other things as well. Such as…

… butter replaced with artificial margarine…

… and a two hour long lunch break replaced to a 20 minute cram and dash lunch…

… and the 65 religious day-off holidays replaced with 6 holidays a year, that people became fat, sad, depressed, sickly and obese?

Things were much better before these “improvements”.

Improvements?

Bread and cheese.

Pretty soon you will see the famous (and delicious baguettes) replaced with white American processed white bread loaves. Followed by cheese replaced with cheese-it in a can (or a jar). It’s more “progressive” don’t you know.

American improvements in the way we eat bread and cheese.

These improvements to our lives, as dictated by the powers that be, have created an enormous tidal wave of secondary effects that has destroyed the Western society and has wrecked ruin throughout our individual cultures.

And this is American “culture” today…

Walmart reality.

Bond girls – Chinese style

Here’s another picture. I think that the girl on the right resembles a typical Chinese lady today, and I can easily picture her in a 1960’s James Bond film taken in France in the 1960’s.

2021s American Girl / 1960s French Girl shape

She has that “Bond Girl” look, don’t you think?

Doctor No.

It’s not just women. It’s men too.

Everyone has ballooned up to enormous proportions by the crazy stress and piss-poor lifestyle choices available to people in the West.

I know that women do not like big, fat gluttonous men. I think that they prefer thinner guys that take care of their appearance and are strong and confident. At least that is what I like in a woman, and I figure that women are much the same as I.

You know, when I compare my pictures of me back around twenty years ago, when I first started to spend serious time in and out of China, to now, I am astounded.  In those days, I ran a three weeks in China, followed by a six weeks in the USA. And my body shape reflected that. I looked like a rather large American man.

Today, my physical size is  much slimmer, though I do believe that I have gained weight.

Funny that.

Thin and confident secret agent.

Just like men don’t really care for the rotund women in the pictures to the left. Everyone, men and women, want a healthy partner.

2021s Girl / 1960s Girl shape

People want a healthy partner.

Not necessarily a weight lifter, or a marathon runner, but someone who takes care of their appearance, is a great conservationist, and is fun to be with.

Not just for sex, companionship and pride, but also for long life and healthy activities. Don’t you think?

2021s American Girl / 1960s American Girl shape

I think that it is important to live a lush, fruitful and enjoyable life. This means good food, good drink… wine instead of sugary soda. It means being around loving animals, dogs and cats.

It means taking pride in your appearance.

It means having an active social life with people who respect and trust you.

It means happiness, and good long health.

So I am not getting down on any chunkier women in the MM audience. As I too am getting a tad chunkier myself. But what I am saying is that we ALL need to start mixing up our social and friend and family circles around companionship, social interaction, and really good healthy food.

The weight loss will follow.

There’s something about have a great engaging conversation with people who you are comfortable with that will really tone up your body.

What would you rather do? Cozy up in from of your computer monitor and read what Washington DC is planning to do, or get dressed up, and meet some friends to the local pub, bar, brasserie, restaurant or lounge and just chat. Chat and smile. tell some stupid jokes that you heard a thousands times before. catch up on the latest gossip, talk some politics, and just enjoy the evening?

What is healthier?

What is healthier?

2021s Girl / 1960s Girl shape

Life in your 20’s

Consider what it was like when you were slim.

You were probably in your youngish 20’s. Slim, going out with friends, and dating. Eating out. eating good food. drinking some. Enjoying life. Optimistic with a life in front of you.

Now, what’s your life?

Oh, it’s such a simple thing to say. It’s all that bad fast food that you put into your mouths. It’s this. Or, it’s that.

It’s everything else except what is staring you straight in the face. Instead of looking at the bigger picture.

Your lifestyle changed.

In history, those families that adopted a traditional lifestyle with an active social life, and fine delicious home-cooked meals by the spouse who was in control of the finances were all THIN. They were not fat, and they held a very important role in their communities.

You need to be part of a community, and you need to socialize.

Living in Boston

When I lived in Boston, I hardly ate fish. Why? Because it was outrageously expensive, and I simply could not afford it. But if I did, perhaps I would have avoided some of my later healthy issues that hit me a few years later.

You must plan.

So it’s expensive, well, go out and plan on a meal out with friends and have a fine delicious fish and lots of vegetable side dishes. Some wine. Lots of talk. Dress up a little smartly for it. make it a great event.

Do it often enough.

You will live much longer. I promise you.

2021s Girl / 1960s Girl shape

“What’s going on?” You might ask.

Food and losing weight?

Here I am talking about going out and eating at the same time where I am discussing losing weight. How does it all relate. Ah. Let me explain.

You see your weight has no bearing on the amount of food you eat. Women in the 1960’s ate as much food as they do today. That is true for both China and the USA. The difference is what you eat, and how you eat it.

  • What you eat.
  • How you eat it.

These are critical points that you will not find anywhere else on the internet. I suppose it is another thing that make MM unique. Our weight is connected to our thoughts, and our thoughts are connected to our well-being. And our well-being is connected to our society. To lose weight, you must go forth and make yourself some good friends.

Even if I am full of bullshit, at least you will be with others and having a good delicious time at it.

2021s Girl / 1960s Girl shape

Not about diet entirely…

So I am not talking about dieting or anything like that.

[1] I am not talking about those diets or loading up on salads. I am saying that you eat IN A SOCIAL VENUE as much as possible. Be it with family, or with friends. You should only be eating alone very, very rarely.

[2] When you eat, you should be eating a balanced meal of meats / fish / foul with three other vegetables and a staple. Be it rice, breads or potatoes. They might cost slightly more, but they are worth it. Don’t always select foods based on price and convenience.

American breakfast is often nothing more than disguised dessert. And we keep eating sugar throughout the day — in cupcakes, soda, even salad dressing. So many of the additional calories in our diet that weren’t there a few decades ago are coming in the form of sugar. Back in 1977, the average adult got 228 calories per day from sugar in food and drinks. By 2010, it was up to 300 calories a day. Added sugar consumption increased almost as much — 20 percent — among kids.

[3] All sugar laden drinks are out. You can have tea, beer, wine, water, or anything else you can think of.

People who drink soda have more obesity, Type 2 diabetes, tooth decay, and other health problems compared with people who don't -- the research on this is clear. And Americans are drinking way, way too much of the sweet stuff. According to Euromonitor’s most recent data, Americans remain the world leaders when it comes to per capita sales of soft drinks.

[4] Fast foods are NO NO NO.

Fast foods are NO!

American breakfast is often nothing more than disguised dessert. And we keep eating sugar throughout the day — in cupcakes, soda, even salad dressing.

So many of the additional calories in our diet that weren’t there a few decades ago are coming in the form of sugar. Back in 1977, the average adult got 228 calories per day from sugar in food and drinks. By 2010, it was up to 300 calories a day. Added sugar consumption increased almost as much — 20 percent — among kids.

Then watch your weight disappear. I honestly believe that social interaction is the KEY to all this problem that we are discussing here.

2021s Girl / 1960s Girl shape

Oh, so you don’t believe me?

Overeating obviously leads to excess weight, right? A team of scientists says not so fast, it’s actually what you eat, not how much you eat that leads to obesity. Their study finds processed food and rapidly digestible carbohydrates may be what’s really behind society’s growing waistline.

According to the Centers for Disease Control and Prevention (CDC), over 40 percent of American adults classify as obese. This places nearly half the population at higher risk of heart disease, stroke, and type 2 diabetes. The USDA’s current Dietary Guidelines for Americans for 2020 to 2025 maintains the belief that losing weight “requires adults to reduce the number of calories they get from foods and beverages and increase the amount expended through physical activity.” 

...

Unlike the energy balance model, study authors say the carbohydrate-insulin model claims overeating is not the main cause of weight gain. Instead, the researchers say consuming foods with a high-glycemic load are the real culprit. These foods include processed, rapidly digestible carbohydrates.

The study finds such foods also cause hormonal responses which alter an eater’s metabolism, drives fat storage, and leads to weight gain. When people consume carbohydrates, the body increases the amount of insulin it secretes. This signals fat cells to store more calories and leaves fewer calories for the body to use as muscle fuel.

- Study Finds

Medicines…

Now, I know that there are other things that can contribute to weight gain, such as medicines. depakote is the worst. (If you need some control, try risperdal, it will slim you down three sizes and pop up your boobs three sizes larger as well. And you’ll stop smoking as a side effect.)

But it’s a MAJOR tranquilizer, and should only be taken if you have problems in that area.

But there are many other factors Yet, I argue that stress alleviation, and mitigation can be handled by social support groups. And as your stress goes down, so will your weight. So go forth make friends and eat well.

Anyways…

Why do so many Chinese girls resemble the large chested beauties of the 1960’s and 1970’s?

When you get fat, the excess body fat conceals your natural curves and body shape. You end up looking like a huge tomato, a squash or a big pear. When if you just keep fit, the other parts of your body; the chest, the legs, the hips will form in their normal proportions. this will give you a more chesty look, a more shapely look and a more healthier look. As the photos above illustrates.

Now there are all kinds of people in this world. There are tall, short, fat, thin, robust, and frail people. And what ever body you inherited at birth is just fine. Just keep it well maintained, and enjoy what you have. Feet it well. Use it to socialize with and enjoy your time on this planet.

The large busy girls of China

This is part of my “Beautiful girls of China” series. Here, we introduce the reader to China, and what it is today, by looking at the girls, women and ladies of China. In all cases the ladies are dancing, posing or just being themselves around their homes, businesses or city centers where they live.

By looking at them, listening to the music, and paying attention to their surroundings you will end up getting a very clean and pristine vision of what China is, what it stands for and what it is actually like.

For convenience, I have arranged the videos in zip files. I am sure that you will enjoy them.

Group AA. All very nice.

We will start with these gals. All are grouped in individual zip files.

Group AA-A.

Group DD. All exceptional.

This is where the beauty for this cover picture is. (Her individual video here.) Click on the folder to download the zip files.

Conclusion

There is nothing different between an Asian woman, an American woman, a Russian woman and an African woman. All are naturally thin with a nice swan (or fish) shape. The differences in body SHAPE that we see today are a function of the social and cultural climate that exists within the different geographic and national regions.

In nations that are toxic; that have a suppressed social life, where the foods are not really healthy, and where the lifestyle is one of isolation… the women (and men) get enormously fat.

In nations that are healthy; where society allows for personal interaction, and communication, and where fresh food choices are easy to obtain, plentiful and cheap, the people are thin and attractive with finely shaped bodies.

Thus is the difference that we see between the USA and China today.

Do you want more?

 

I have more articles like this one in my Learning About China by Looking at Pretty Girls Index here…

Pretty Chinese Girls

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

Hemi-Sync Going Home Support Kit (Full Package) Part 2 of 2

This is part two of a large two part series. The series is a complete “study kit”. It consists of two series of sounds/music, of 11 and 12 files respectively, and an instruction manual included herein.

This post contains Hemi-Sync music / audio tracks. Hemi-Sync is a method of control that uses sounds to center the activity in the brain. When the brain is fully centered, it becomes easier to exist within this reality. Or even more specifically, easier to be able to use your consciousness to control your brain.

The files are FLAC files. Not MP3.

They should play on almost all cell phones and computers. If you have any doubt you can probably download an APP that will allow you to listen to them.

MP3 is the most popular format while FLAC (Free Lossless Audio Codec) is a less known alternative. The main difference between the two is in how they compress the audio information. MP3 is a lossy format where parts of the audio information that people are not likely to hear are discarded. On the other hand, as the name suggests, FLAC is lossless.

-Difference Between MP3 and FLAC | DifferenceBetween

Hemi-Sync contains frequencies and audio wavelengths that are traditionally considered as “unnecessary” and thus is often removed using an MP3 format to cut down on the file size. That is why they are in the FLAC format.

MP3 vs. FLAC

This Post

This is the full training kit called “going home”

  • Part 1 – 11 FLAC files titled “Subject”.
  • Part 2 – (this article) – 12 FLAC files titled “support”

This particular package enables the person to train their mind to begin “lucid dreaming”, eventually out of the body consciousness movements, and other related activity.

The link will download a ZIP file. Just place it where you want, and copy the files in order, to the player of your preference. You should listen to them in order in one sitting. It will be around a half and hour of listening.

The Manual for this series

Here is the manual for using this series. You need to read it first before you start listening to the FLAC files and performing the exercises.

Going Home Manual

The Files

You can download the files by clicking on the images below…

File 1-12

File 2-12.

File 3-12.

File 4-12.

File 5-12

File 6-12.

File 7-12.

File 8-12.

File 9-12.

File 10-12.

File 11-12.

File 12-12.

Do you want more?

I have more posts in my Hemi-Sync Sub-Index here;

Hemi-Sync

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 


	

Some of my favorite cat pictures Part 2

This article is just a collection of some of my favorite cat pictures. Some are cute. Some are inspirational. Some are funny, and some are “head scratchers”. I hope that it brightens up your day today.

It’s just a bunch of cat related quotes, pictures and other related things.

First some Pharaoh comix…

Which leads up to this…

Some really BIG cats…

And some funny cartoons

Upper case and Lower case

More big cats

Something worthy of a chuckle

More and more big kitties

Some kitty humor

Shit my wife has said…

From Tumblr

Cat vape station

Kissing a kitty

And more big cats

Cat Language

Strange emotion cat

Physics Cat

Garlic Thief

More big cats

The King.

Tough Russian and Northern Europe Cats

Just keeping it real

Mozzarella Cat

You do not have permission to leave

Siberian Cat

Cat names

Coronavirus Kitty

Plot Twist

Cat quotes…

The life of a cat owner…

Putin is a cat lover…

Not lost, just visiting.

A Fat Cat

A Russian oligarch cat

A very busy cat

Dogs and Cats

All cat owners can relate…

A cat owner after a bad day at work…

Not about cats, but funny never the less…

Do you want more?

You can find more articles related to this in my Cat Heaven Index. Here…

Heaven

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

Hemi-Sync Going Home Study Kit (Full Package) Part 1 of 2

This is part one of a large two part series. The series is a complete “study kit”. It consists of two series of sounds/music, of 11 and 12 files respectively, and an instruction manual included herein.

This post contains Hemi-Sync music / audio tracks. Hemi-Sync is a method of control that uses sounds to center the activity in the brain. When the brain is fully centered, it becomes easier to exist within this reality. Or even more specifically, easier to be able to use your consciousness to control your brain.

The files are FLAC files. Not MP3.

They should play on almost all cell phones and computers. If you have any doubt you can probably download an APP that will allow you to listen to them.

MP3 is the most popular format while FLAC (Free Lossless Audio Codec) is a less known alternative. The main difference between the two is in how they compress the audio information. MP3 is a lossy format where parts of the audio information that people are not likely to hear are discarded. On the other hand, as the name suggests, FLAC is lossless.

-Difference Between MP3 and FLAC | DifferenceBetween

Hemi-Sync contains frequencies and audio wavelengths that are traditionally considered as “unnecessary” and thus is often removed using an MP3 format to cut down on the file size. That is why they are in the FLAC format.

MP3 vs. FLAC

This Post

This is the full training kit called “going home”

  • Part 1 – (this article) – 11 FLAC files titled “Subject”.
  • Part 2 – 12 FLAC files titled “support”

This particular package enables the person to train their mind to begin “lucid dreaming”, eventually out of the body consciousness movements, and other related activity.

The link will download a ZIP file. Just place it where you want, and copy the files in order, to the player of your preference. You should listen to them in order in one sitting. It will be around a half and hour of listening.

The Manual for this series

Here is the manual for using this series. You need to read it first before you start listening to the FLAC files and performing the exercises.

Going Home Manual

The Files

You can download the files by clicking on the images below…

File 1-11

File 2-11

File 3-11

File 4-11

File 5-11

File 6-11

File 7-11

File 8-11

File 9-11

File 10-11

File 11-11

Do you want more?

I have more posts in my Hemi-Sync Sub-Index here;

Hemi-Sync

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 


	

Glimpses of contemporaneous Vietnam. Oh, it is so very different from what you would expect

Here, I continue on my “bender” on taking a look at other nations in our world. In each case, so far, I have shown the reality to be something different from what you would ever read about in the Western (especially the American) press. I have looked at China, Russia, Thailand, Cambodia, the middle East, and now, Vietnam.

Vietnam is a very interesting place full of great beauty, beautiful women, delicious food, and an easy going happy-go-lucky lifestyle.

Enter Donald Trump and his neocon war-mongers

Vietnam continued their easy and laid back lifestyle since the tumultuous 1960’s when America decided to churn up and rape the countryside for freedom™ and democracy™. And it continued that way through the decades, up until Donald Trump took office and started his “War on China”.

He demanded that American companies leave China or face all sorts of consequences. In American “language” this means that “border-line legal” actions might (and probably would) be directed at the company. Such as suspicious hard-line tax audits, and review of OSHA and EPA policies and factory operation shut-downs during the “investigations” as well as mysterious fires in the warehouses, random union uprisings and personal tax audits and random arrests of key corporate executives.

Many, but not all, American companies started to relocate a number of their operations out of China. The vast bulk did not return to America, however. Instead, they went to Mexico and Vietnam.

About Factories

When America switched from manufacturing inside of America to outsourcing to China, they did NOT teach the Chinese how to make factories or build products. Instead, they went to existing factories, handed over the blueprints, and quality specifications, moved their tooling and equipment, and said “make this for us”. And that is pretty much (as harsh as it sounds) what actually happened.

Now, in the thirty to forty years that America has been devoid of solid manufacturing skill, the American companies that manufactured inside of China were in a bind. Just how do you move your factory, when you don’t own it?

Do you start from scratch? Hire new engineers? Try to reverse engineer your systems to fit a Mexican or Vietnamese work force? What do you do?

Well, I can’t say that this is what happened to all of the factories, but I can tell you that a sizable number took this action…

…the Chinese factories that supplied the American companies, set up divisions inside of Vietnam.

Thus, the Donald Trump trade war, as far as bringing manufacturing capability back to the United States failed. Instead, all that happened is the existing Chinese factories continued to supply the American companies. The only difference is that they did so out of Vietnam.

Vietnam Changed.

Of course, all of this movement of Chinese factories into Vietnam, and with it, the Chinese support structures, have made great changes to Vietnam. While it is still a sluggish and rather backward nation, it is growing and expanding. And this has created a rather unique mix of older traditional Vietnam, with modern Chinese industry and support structures. Very few American influences are present. As America is rather a nation of bankers, accountants, lawyers, and diversity experts. Very few have an kind of impact on Vietnamese society.

Here we are going to look at some videos out of Vietnam taken these last two months. It’s a quite interesting mix of color and tradition.

The Videos

Let’s go through these videos.

I suggest you watch them in order to get the full diverse effect. And I hope hope that you enjoy them and get “something out of them”. This group has around 85 (give or take) videos. So to prevent you from getting carpal tunnel syndrome (yikes!) clicking on each individual video, I have clustered the videos into small zip files that you click on, download and then browse through at your leisure.

Group A

  • Cooking some kind of purple Vietnamese food.
  • Foreigner in Vietnam trying to pick up a local butterfly girl.
  • Young love having some fun.
  • Lunch in a Chinese factory located in Vietnam.
  • Dressing up to go out on a date.
  • You ride scooters to get anywhere.
  • Making supper.
  • Loved ones going off to do their mandatory military service.
  • Some girls getting down at a local gathering.
  • Another foreigner trying to pick up some butterfly girls.
  • Night life in one of the bigger cities.
  • Two girls on the way to work (my guess is a massage or restaurant).
  • Bar Life.
  • Home made turbo-generators for local village power needs.

Group A

You can download this entire archive HERE.

Group B

  • Single 20-something girl in her one-room apartment.
  • Hair stylist.
  • Wedding party.
  • Bride’s Maids showing their disdain for the bride for leaving them behind.
  • Pretty Vietnam girl in a tea house.
  • Pretty Vietnam farm girl in the field.
  • Some 20-something’s “hanging out”.
  • Pretty factory girl on the factory campus.
  • Drunk factory girl in her shared dorm room.
  • Classmates cheering up a sad girl.
  • Before a wedding.
  • Prep-cook.
  • Lunch with beer and pigeon eggs.
  • Vietnam government taking guidance from China and fighting COVID.

Group B

You can download this entire archive HERE.

Group C

  • What small-town Vietnam is actually like.
  • A typical highway between towns.
  • Pretty girl doing a Tictok.
  • Wedding tradition.
  • Wedding reception activity.
  • Girls of the night looking for customers.
  • Picnic Vietnamese style.
  • Female Vietnamese kick-boxer.
  • Haircut and a shave.
  • Happy birthday.
  • Handing out money to those wearing masks.
  • Chilled girl stopping by the side to put on a warmer top.
  • One of the many wedding rituals.
  • Butterfly Girl on the prowl in the night-life section.

Group C

You can download this entire archive HERE.

Group D

  • Foreigner interacting with some “ladies of the night”.
  • Not quite sure what is going on.
  • Laundry on the porch.
  • Young love at the factory.
  • A beautiful Vietnamese girl.
  • Leaving his house as he has to go off to work now.
  • Lining up for vaccinations.
  • Household savings.
  • Nothing is sexier than a woman cooking.
  • Working the farm.
  • Washing hair outside.
  • Traditional outfit.
  • [Video messes up on MS systems about 50% of the time.]
  • Traditional family.

Group D

You can download this entire archive HERE.

Group E

  • Butterfly girls (prostitutes) lined up for some customers.
  • making waffles for breakfast.
  • City night ride.
  • China giving away free “dead virus” (traditional) vaccines in Vietnam.
  • Pretty girl 1.
  • Pretty girl 2.
  • A factory lunch.
  • A friend had a little too much to drink.
  • Off to the honeymoon.
  • Night life.
  • A girl of the night.
  • Making products for American consumers in a Chinese factory in Vietnam.
  • Farting at loved ones.
  • I am not too sure what is going on here.

Group E

You can download this entire archive HERE.

Group F

  • Foreigner picking up a butterfly girl.
  • Another butterfly girl scores BIG.
  • Pretty girl in traditional attire.
  • OMG! What a sexy video!!!!!
  • Night time going out to be with friends.
  • Confrontation or something…?
  • It’s hot! HOT!
  • Healthy dinner.
  • China is assisting Vietnam in the construction of hospitals.
  • KTV fun. I wish I was there.
  • Later on at the KTV things are getting a little crazy.
  • You have to wear pants over your miniskirt while riding a scooter.
  • Wedding exchanges.
  • Friends partying.
  • A bit of fun at a Chinese factory inside of Vietnam making products for Americans.

Group F

You can download this entire archive HERE.

On a topical note…

As the Biden administration consolidates its foreign policy, it has predictably turned its attention towards Southeast Asia in a bid to “counter China”.

Just last week, Mid-August 2021, Defense Secretary Lloyd Austin visited Vietnam, the Philippines, and Singapore in a bid to increase military ties between Washington and the region.

Means… allow American military bases there, American war ships to sail there, and the placement of American offensive missile systems there.

Right on China’s “doorstep”.

Imagine that!

Now, Kamala Harris is also set to visit two of these countries (Vietnam and Singapore), where the reported main message will be ‘America is Back!’ – a sentiment which will no doubt go down a storm in Hanoi.

You know like swallowing bile that rise up in your throat.

The vice president’s aim with this trip is to “call out” China over its maritime claims in the South China Sea.They can’t do it personally face-to-face with China. They will no longer play “that game”. So like cowards, they are trying to undermine all the relationships of all the nations that border on China.

They come with suitcases (no cross that out) pallets, (no cross that out) Shipping containers full of freshly minted US dollars.

Freshly minted.

Hot off the (over worked) printing presses.

For, you know, the leadership to do what ever they want with the nice crisp “green backs”.

This comes amid America’s attempts to militarize the region.

They’ve been really busy, don’t you know.

The anti-China QUAD; those vassal states of the UK and Japan who will be compelled to “die on their swords” at the push of a button from the American Pentagon. To Australia who has only become a vassal state for reasons not so obvious. In my mind, a treasonous Morrison government with many, many skeletons in his closet is willing to sacrifice his people for Washington DC.

As well as continuously sailing aircraft carriers through the sensitive waters in a bid to project American power.

It comes as Chinese Foreign Minister Wang Yi attends the ASEAN-China ministerial meeting, where he has warned against “external interference” by “extraterritorial powers” in the region.

No prizes here for guessing who he was talking about…

The US says that it’s “back” to Southeast Asian countries.

It’s just bullshit.

The reality is this: apart from all the militaristic “sabre rattling” and pushing a non-stop hate China narrative while convincing everyone (with a pulse) to oppose China, the United States actually doesn’t have a strategy for the region.

But Beijing does.

In many ways, the legacy of recent US policies in this area of the world has been self-defeating.

The “political space” (the room to maneuver and work around is) that Biden has to turn it around is really quite limited.

In other words, it’s essentially still Trump’s ‘America First’ sentiment.

And that is quite telling as the biggest gaping hole in America’s strategy towards Southeast Asian countries is failing to offer them anything in return.

There are no, absolutely zero, economic incentives to oppose China. All they have to offer is personal riches for the rulers. As well as promises to offer them “green cards” and citizenship if the whole plan goes “tits up”.

China, need I remind you, which is right next door to these nations.

On this front, America has isolated itself.

In so many ways too.

Most notably by withdrawing from the mega trade deal which Obama fashioned as an anti-China initiative known as the Trans-Pacific Partnership (TPP).

Now rebranded CPTPP (Comprehensive and Progressive Agreement for Trans-Pacific Partnership) amongst local partners.

On the level of US domestic politics, this is toxic because the consensus is that free trade is bad, especially if it detracts from jobs at home.

Therefore, Biden faces protectionist pressure not to re-join it, thus it has not come back on the Biden agenda.

China, on the other hand, has comprehensively doubled down on its economic ties with the surrounding region and entrenched its presence. Most notably through joining the ASEAN-led Regional Comprehensive Economic Partnership, which it quickly ratified.

This creates an obvious problem for America.

China is increasingly integrating itself with the region on an economic level.

In which local parties (Vietnam, Cambodia, Laos, Philippines, Singapore, Thailand, etc.) welcome with open arms gleefully.

But, you know, the United States is not happy with in the least.

China has, in addition, begun to move away from the US dollar in its business with these countries. This includes a local currency trade agreement with Indonesia and the setting up of an RMB bank in the Philippines, amongst other things.

No longer is trade being conducted in the “almighty” US Dollar. It’s local currency to the e-yuan. And as time moves forward, more and more nations are following this lead.

The US doesn’t have an answer to any of this.

Recently, it was reported that Washington wanted to try and propose a ‘digital trade deal’ among the economies of the Asia-Pacific. This “digital trade deal”  is intended to lock China out of trade with any nations that sign that agreement. This is by regulation.

A favorite technique, mind you, well established and mature to crush nations that do not “toe the line” with American Geo-political policy.

This is intended to be done by setting strict rules and regulations on the ‘digital economy’ of the region.

Diplomatic sources dismissed it as a complete non-starter.

Why?

Because it’s absolutely untenable for these countries to lock China out, and it’s not hard to see why.

Yesterday (Mid-August 2021) Huawei announced it would be investing $100 million into over 1,000 software start-ups throughout Southeast Asia. And that’s just one of the many initiatives in bringing manufacturing, development, growth and prosperity to all the nations that surround China.

With a high degree of integration and economic benefits, it is impossible for the US to now shape the region’s initiative while keeping China excluded.

There’s nothing left.

This leaves the military.

And this is where China is increasingly powerful, but the US remains competitive and relevant.

Many countries in the region accept the presence of the United States and its allies militarily, because it gives them strategic space to prevent them from being completely dominated by China.

For a small city state like Singapore, this inclination makes obvious sense, but this is not so much ‘siding’ with America as it is a geopolitical balancing act between both powers.

Walking the “tight rope”; the “fine line” of neutrality.

Here lies the problem: America wants countries to align with it against China in a binary way, but the nations themselves want neutrality.

And ASEAN (The association of South East Asian nations) as an institution officially seeks such.

Singapore’s prime minister stated several days ago that it seeks balance between both powers, and did not want to become torn between them.

Seeks balance.

Seeks neutrality.

Seeks a uni-polar world.

Some of these states of course are formally allied with America, such as the Philippines. Yet, you know, for all intents and purposes they utilize a strategy of ‘hedging’ between both sides.

And for certain, they do not seek confrontation with Beijing.

If Washington pushes too hard on anti-China initiatives, these countries become uncomfortable, and this may have the ‘opposite’ result.

Recognizing what the US is doing, China is now pre-empting it by making diplomatic breakthroughs and concessions on the ‘South China Sea code of conduct’ – a proposal that has been in gridlock for decades.

This aims to ease tensions, and brands the US a ‘troublemaker’.

Duh!

Again, Washington doesn’t have the ability to conduct close diplomacy with these countries as a neighbor, only as a ‘visitor’.

America is an outsider.

China is local.

China is right there.

All in all, geography works against America. China is the neighbor of Southeast Asia, not the US.

Beijing is the largest economy in the region and is irreversibly integrated in terms of trade, technology, and finance.

America is not.

Yet, the US foreign policy strategy seems to pursue the bizarre premise that they can somehow dominate this region…

… push back China…

…and match its growing power…

… despite the fact they physically cannot, simply because they are not based there.

This means that whilst these countries are not necessarily rejecting an American presence, they are never going to adopt any serious anti-China policies or the militarization of the region that Biden hopes for.

Would you start a fight with your much bigger next-door neighbor?

Biden has no economic incentives to offer either.

China is, in many ways, continuing to lead and shape the regional agenda through its own initiatives, and as its own military presence in this area also grows, it has plenty of options to counter US posturing.

And the United States is impotent in the region, no matter what the American media says to the contrary.

Conclusion

Most of the world is still being influenced by the American media in one form or the other. And on subjects that everyone seems to have adjusted to what ever narrative that the American government made, the belief is that what ever Washington DC says is the truth. Nope. It is not.

Vietnam is many things, but an American proxy nation, whether military, commercial or economic, is simply not true.

This article broaches the true and actual state of affairs, and I do hope that it was interesting and meaningful at the same time. I have tried to present a diverse collection of videos showing the great breadth and width of the colorful Vietnamese society, and I hope that I put it in a positive light.

I have many friends how go to Vietnam, as it’s not too far from where I live, and they pretty much confirm what I have listed here. I hope that you all can see that it has a bright future ahead, and some deep and wonderful culture and traditions. I sincerely hope that they hold on to them and not allow them to disappear.

And when the pandemic ends, perhaps a nice visit to Vietnam would be a wonderful trip, and I am sure that you would make some wonderful, maybe even lifelong friends. And isn’t that what we all want out of life?

Do you want more?

I have more posts in my Happiness Index here…

Life & Happiness

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

Some of my favorite cat pictures

This article is just a collection of some of my favorite cat pictures. Some are cute. Some are inspirational. Some are funny, and some are “head scratchers”. I hope that it brightens up your day today.

Fat Cat

Fat cat.

The love bond.

The love bond.

Hello Kitty

Hello Kitty

There’s a Japanese Show About a Samurai and the Adorable Cat He Wouldn’t Assassinate

It’s a classic Hollywood story: an assassin on a job can’t go through with it when he meets his would-be victim, then the two form an unlikely friendship against their common enemy.

Samurai Cat.

It’s a pretty reliable trope, although it can be hard finding new ways to keep it fresh. But that not a problem for one Japanese show, which had the greatest version of this story we’ve ever come across:

The amazing tale of the friendship between a samurai and the adorable cat he refused to kill.

Fighting for the Cat.

Neko zamurai (translation Samurai Cat), which we only just learned about at Reddit, was a Japanese TV mini-series that ran for two seasons from 2013 to 2015.

A time for a meeting of the minds.

It followed Madarame Kyutaro, known as Madara the Devil, a “humorless samurai, nearly desperate for work” who agrees to kill Tomanojo, the cat “accused of possessing a man’s soul.”

Protecting the Cat.

One problem, though: when he actually saw the adorable little kitten, his Katana became a Kan’t-ana, so he took Tomanojo home with him.

Man and cat.

Kitty in the snow

Kitty in the snow

Lion Love

Lion Love.

A fine tail

A fine tail.

Are you alive?

Are you alive?

Expert at game play.

Expert at game play.

Cartoon Kitty.

Cartoon Kitty.

Ready to pounce.

Ready to pounce.

An outdoor excursion.

An outdoor excursion.

Walking Around.

Walking Around.

Little guy.

Little guy.

Needing snuggles.

Needing snuggles.

A very fine looking cat.

A very fine looking cat.

And…

A very fine looking cat.

Climbing up to say hi.

Climbing up to say hi.

Mine. Mine. Mine.

Mine. Mine. Mine.

Where I keep my spare cats.

Where I keep my spare cats.

Chow Hall.

Chow Hall.

Adjacent Cattery.

Adjacent Cattery.

A natural hunter.

A natural hunter.

A close call.

A close call.

Cat ladder.

Cat ladder.

Beautiful Eyes.

Beautiful Eyes.

Fail!

Fail.

Under the sheet fun!

My cats used to love to play this game when we made up the beds.

Under the sheet fun!

Who is this stranger?

Who is this stranger?

Come to mommy.

Come to mommy.

Smart Cat.

Smart Cat.

Climbing the walls.

Climbing the walls.

Wants to be the one and only.

Wants to be the one and only.

Ready or not; here I come.

Ready or not; here I come.

Service please.

Service please.

I will not be ignored.

I will not be ignored.

Kitten fight!

Kitten fight!

Boop!

Boop!

Caught up in a first person mouser!

Caught up in a first person mouser!

A clean escape.

A clean escape.

Conclusion

Cats make wonderful and funny companions. Those who visit MM might be able to see similar GIFs and pictures that resemble events that they too have experienced. This is just a fun post, and I do hope that you all enjoyed it.

Masterless Samurai Kyutaro Madarame is hired by a dog-loving gang to get rid of their rival gang’s beloved pet, an adorable white cat. Upon raising his sword, however, he cannot bring himself to go through with the act and the cat melts his heart.

Do you want more?

You can find more articles related to this in my Cat Heaven Index. Here…

Heaven

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

Some videos from Russia. Mostly concentrating on Moscow and nearby communities

Here’s some videos of Russia. Please kindly pay attention.

Throughout America, we have been filled with these lies and false narratives about how terrible dark and horrible Russia is. Many of us still believe it because in this age of Tiktoc and you-tube, very few Russian and Chinese videos are permitted inside the United States. We all know how President Trump clamped down on the dissemination of videos out of China, and Russia follows the same model. Keep Americans ignorant; feed them lies and other things to cause them to be fearful, and then tax them.

Those in Washington DC make the world a dark and fearful place. Tell Americans that they are the best, and to be afraid of the rest of the world. It’s one big nasty lie.

Here’s some videos out of Russia. Most are centered in Western Russia which is rather nice. Don’t you know. there are twenty short micro videos. Most under two minutes, and if you click on the link they will open up on a new tab.

Conclusion

Today, Russia and China are very, very close. Putin referred to the relationship as symbiotic.

Symbiotic.
Characterized by or being a close, cooperative, or interdependent relationship

The relationship is strong, tight, and mutually beneficial to each party. They work together on all levels, and with the new global realignments, it would be wise to pay attention to Russia. Yes. Not just China, but Russia as well.

For the last 100 years, the United States has been the force behind the vast bulk of wars, famine, diseases, turmoil, terror, suppression and everything else bad that you find in the world today. From mindless corporate drones, to drug-induced street walking zombies, to massive prison complexes, the the Coronavirus pandemic, the United States has been behind it all…

For the world to move forward and embrace a new and better reality, this evil will need to be vanquished. Just like in the Tolken stories about the dragon Smaug, you need to destroy the monster before the people can live life freely.

I cannot see into the future, but it appears quite clear to me that the destruction of this evil is necessary to make the world a better and safer place. How it will happen is unknown, but it will have to happen. Sooner or later.

America is like this mentally ill alcoholic that is repeatedly raping the children in the neighborhood, setting fires to all the houses, and shitting on the sidewalks in front of your house. Sooner or later, he must go. There simply isn’t any other alternative.

Do you want more?

I have more articles like this one in my Happiness Index, here…

Life & Happiness

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

Never been to a Russian village? Check out this simulator!

Oh, I tire of all this anti-China bullshit and all the rest. I just want to live my life in peace and smunch. So here, we are going to digress a spell on something that will “hit you out of left field”…

A Russian simulator.

Who’d figure? Right? There’s some outstanding simulations. One of which is the DF-31 simulator that was developed by one of the MM influencers here. Here’s another one. It’s fun.

Well it is true.

I found this cool and relaxing simulation on a Russian website, HERE, and copied it with my own comments and interjections. All credit to the author, and note that it was edited to fit this venue.

A  rural Russian simulator…

Imagine you’re alone in a small wooden hut, not a soul around, only your plot of land, abandoned barns and vast swathes of forest.
.
It’s the closest thing to actually being there.
.

I open my eyes — outside it’s already light. I get out of bed, cover it with a khokhloma throw blanket, admire the dresser with porcelain dinnerware and go downstairs to have breakfast. On the way, I make sure to glance at the candle-lit icon and thank God before tucking in.

It takes you there.

Downstairs, there is a stove and a dining table with a samovar, cherry pie and cabbage pirozhkis. Only, for some reason, I can’t eat them — only pick things up and throw them at the wooden wall.

I really think that there is something really calming about exploring abandoned rural Russia.

There is no TV, let alone a computer, so, out of boredom, I go outside to the sound of birds singing and grasshoppers chirping. On the plot of land, I am greeted by the sight of an empty well, an old barn and the only living creature besides myself — a bull, gazing mournfully into the distance.

This is a description of the video game ‘Симулятор Одиночества В Русской Деревне’ (‘Simulator of Loneliness in a Russian Village’), released by Russian indie developer Flex Entertainment on Steam on April 9, 2021.

It has no monsters (save perhaps for a few rats in the derelict buildings), complex storyline or quests — only an abandoned village in an unknown Russian province and the chance to feel the atmosphere as the sole inhabitant.

“Once there was a bustling life, however, the lack of work, entertainment and generally any prospects forced all the residents to leave.

You are the only one who did not exchange wide fields, dense forests and a morning swim in the river for office work and a dull life in a nine-story panel house. From the point of view of the gameplay, this is a classic walking simulator in recognizable Russian scenery.

Just relax and spend time wandering through the sun-drenched forest and misty swamps, or explore the interiors of the canonical Russian hut, which has realistic graphics and the entire environment is worked out to the smallest detail,” reads the description on the game’s Steam page.

It is, indeed, a classic walking simulator.

The only objective in the game is to explore your own property, study scrawled messages on the walls of the abandoned buildings, or wander through the dense forest, crossing swamps, rivers and small wooden bridges.

If you max up the volume, it might seem you’re not alone in the village — every now and then what seems like footsteps and eerie creaking sounds can be heard.

You can, like your erstwhile neighbors, try to escape to the city, but the mission is impeded by endless forest and no map. You might not even make it back home…

Unsurprisingly, some players complain that the game lacks action — you can’t climb on the stove, drink vodka or go to the banya.

But the developers don’t promise entertainment, just total immersion in the atmosphere of an abandoned Russian backwater.

“The game’s creators highlight the acute topic of the dying Russian countryside, as well as the problem of loneliness and disconnection between people and their own inability and unwillingness to overcome these barriers,” the player by the name of ‘krtdn’ reflects philosophically in his review.

‘Simulator of Loneliness in the Russian Village’ is available on Steam in Russian only for 59 rubles (approx. $0.80).

Do you want more?

I have more articles like this in my Happiness Index here…

Life & Happiness

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

Beautiful Chinese girls modeling to Chinese pop music inside of China (1)

This is part of my “Beautiful girls of China” series. Here, we introduce the reader to China, and what it is today, by looking at the girls, women and ladies of China. In all cases the ladies are dancing, posing or just being themselves around their homes, businesses or city centers where they live. By looking at them, listening to the music, and paying attention to their surroundings you will end up getting a very clean and pristine vision of what China is, what it stands for and what it is actually like.

This is my own personal attempt to show others what I find so wonderful about China.

At the bare minimum you will at least get an opportunity to listen to contemporaneous Chinese pop music that plays all over the nation.

A beautiful Chinese woman in a wonderful flowing dress.

The girls and ladies come in all shapes and sizes. They all act and behave and dress differently. But the music selection and the short videos are wonderful in that it gives you a real idea what China is actually like. It’s the “feeling” that you get when you are here. And that is not easy to transmit. I hope that you all appreciate the images and visions that I present to you today.

Another lovely girl in China.

While I am showing images and videos of beautiful Chinese girls, I want to explain some of the great misconceptions about people, and relationships.

One thing that everyone doesn’t “get” (understand) is that when a couple wants to have sex, the Guy is always “ready to go”, and the woman “needs to warm up”. It’s not really accurate.

For men, watching a woman…

…being confident, looking good, smiling, acting cute; seductive, or just being playful is (de facto) foreplay. The mind, the thoughts and the emotions are engaged by watching the women be who they are. And when we say that it’s a “turn on”, we really meant it. Literally.

There’s few things more attractive to me than a confident woman, being herself, being clean, having a great conversation and eating delicious food. I think that many people don’t understand this. They think that being “sexy” is showing a lot of skin, and moving in provocative poses. That’s not true at all. Being sexy is just being yourself with all your faults and blemishes.

A normal, but very sexy girl. We all have to accept ourselves as we are, and be confident in that knowledge. That confidence makes us sexy.

In the following videos are girls being themselves, and showing their best images for the camera. And for me I think that it’s terribly sexy, and a great “turn on”. These ladies put the cream in my coffee if you get my mixed idioms, and they tenderize my meals. I like to believe that every single person on this planet, mean and women, are capable of being attractive.

All it requires is a good kind heart, and openness and willingness to be yourself. A kind of fearless acceptance of who you are. Smiling. Being open. And just engaging others. You are attractive.

Attractive Chinese girl on the escalator.

And while you are watching these ladies, please take note at the “feeling” you get. It’s a combination of imagery, motion, music, and environment. I can tell you that this “feeling” permeates all of China. From the smallest hamlets tot he largest cities. It’s a feeling of unity, of being one’s self, and pure unrestricted freedom. things that you really notice are missing in the United States today.

You do not need to show a lot of skin and wear skimpy clothing to be sexy. You just need to show personality.

When you watch the girls pay attention to the background. This is what China is. These are the homes that the Chinese live in. These are the buildings that they work in. These are the parks that they play in. These are the restaurants that they eat in.

Pay attention to the background. This is what China is actually like.

The video group A

I have broken down these videos into an easily downloaded zip file. HERE. Just download the file and open it up and start watching all the pretty girl videos.

Here’s a sample of part of the files…

Partial files from Pretty Girls 4AUG21.

Here’s Video Group B

You can access the files HERE.

And a quick display of what’s inside is here…

Pretty Chinese Girls part B 4AUG21

And some of these girls are…

Well, some you can just fall in love with them. Like this wonderful girl. She’s all about the delicious sundae (with a cherry on top) and coffee. Ahhhh!

And you do not need to be anything other than yourself…

There is nothing (to me) more attractive than a woman who is proud of herself, strong, yet oozing with kindness and softness. Like this lovely girl…

Do you want more?

I have more articles like this one in my Learning About China by Looking at Pretty Girls Index here…

Pretty Chinese Girls

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

An assortment of videos from Russia. It gives non-Russians an interesting perspective in this wide country.

When I was doing time, during my retirement, I met another spook. I was in a holding facility inside of Pennsylvania while my transfer papers were being “processed”. All in all it took around six months to process me and sent me to Arkansas. It’s a long story that I really don’t want to get into right now.

Anyways, I met him while I was in this facility. He was on my block and we were able to talk during chow, and during the one hour that we were allowed outside to exercise.

He was going through the same kind of “retirement” as I was. He too was being retired as a “sex offender”. Anyways, he was not part of MAJestic. But rather was attached to an agency in lose association with the United States Army.

Anyways, to make a long story short, he had a role in the transport of people and illegal materials back and forth between the United States and Russia. Oh yeah. What is illegal, and what is the purview of the United States government is a grey area that is often open to interpretation.

Now all that being said, I have met many, many fine folk from Russia and the former Soviet Union block states of Eastern Europe inside of Russia. Russia and China have decades of mutual collaboration and similar interests. The idea that somehow they hate each other is a narrative constructed by the “five-eyes-network” and their Washington Neocon masters. Typically Russians and Chinese get along together fine. They are both in Asia after all.

You know, it’s silly when you think about it.

It’s like saying that the United States and Canada are going to have a war, any day now, because of the long standing dispute over maple syrup and beaver pelt harvesting.

Anyways, he would tell me about the life that he had inside of Russia; living as it was, unofficially and without documentation He picked up the language and became a rather adept pugilist.

So with that as a lead in, and realizing that (aside from one or two visits that I cannot speak about)  I would like to display some videos from “Mother Russia” to give you all a taste and feel for the nation, the country and the people there.

Russia is the land of ice and snow.

And people think nothing of interacting in it. As we see here. What I like about this particular video is the calmness of the scene. I can well imagine myself in a small skiff paddling down a snowy stream in the dead of Winter as well.

The video can be downloaded directly HERE.

Russians, and other Nordic folk love to swim.

I don’t really get it, but apparently the clash of ultra cold water, and then followed up with a warm sauna is supposedly very relaxing. Or is it the other way around, I’m not sure. In any event, there are many videos of people doing this particular ritual.

You can download the video directly HERE.

Frolic in the field of Sunflowers

This is typical of the Ukraine, Poland or other Eastern European nations. I like the image and the relaxed calmness of the entire rural community. This is a nice calming video that I am sure will resonate in the one or two Russians that visit MM.

The video is HERE.

A family party

Sure the language is different and maybe they are eating sausages and potatoes instead of hamburgers and potato chips, but are they all that really different from Americans? I don’t think so. People are people and I love how the family gets together and has a good time. It reminds me of what it used to be back in the Untied States, pre-1990 at least.

The video is HERE.

After the United States has kicked the living shit out of all the weak guys…

…over the last fifty years. The rest of the world has put their collective foot down with a big “stomp” and said “Enough is enough!”. Seriously if the Biden Administration wants to get involved in a war with Asia the only thing remaining of the USA, once the dust settles, will be smoldering embers. You don’t fuck with the Russians.

The video is HERE.

Russians having fun.

Nothing like punching each other for the fun of it. Arm wrestling… Russian style. In Russia you are either strong or you ain’t worth “Jack-shit”.

The video is HERE.

More family celebrations.

Seriously this reminds me of what it used to be like. Maybe forty to fifty years ago in the Untied States. You know, long before all the psychopathic morns took control of the government, and made laws, and rules to “improve” things. Fucking Idiots.

The video is HERE.

Boxing Russian Style

Just another common Russian pastime. You get in the ring and throw some punches. Sort of how Colorado bars used to be way back in the 1960’s.

The video is HERE.

Russian people eat good.

Here’s some fine delicious steaks done up Russian style. What’s not to love? I personally think that it’s great. Don’t you?

The video is HERE.

Dancing.

Russians like the Chinese love to dance and they have these huge get togethers where everyone dances. Just like in China. It’s nothing like you would see in the United States though. Everyone stays inside and huddles in front of televisions or computer monitors.

The video is HERE.

Conclusion

Today, Russia and China are very, very close. Putin referred to the relationship as symbiotic.

Symbiotic.
Characterized by or being a close, cooperative, or interdependent relationship

The relationship is strong, tight, and mutually beneficial to each party. They work together on all levels, and with the new global realignments, it would be wise to pay attention to Russia. Yes. Not just China, but Russia as well.

For the last 100 years, the United States has been the force behind the vast bulk of wars, famine, diseases, turmoil, terror, suppression and everything else bad that you find in the world today. From mindless corporate drones, to drug-induced street walking zombies, to massive prison complexes, the the Coronavirus pandemic, the United States has been behind it all…

For the world to move forward and embrace a new and better reality, this evil will need to be vanquished. Just like in the Tolken stories about the dragon Smaug, you need to destroy the monster before the people can live life freely.

I cannot see into the future, but it appears quite clear to me that the destruction of this evil is necessary to make the world a better and safer place. How it will happen is unknown, but it will have to happen. Sooner or later.

America is like this mentally ill alcoholic that is repeatedly raping the children in the neighborhood, setting fires to all the houses, and shitting on the sidewalks in front of your house. Sooner or later, he must go. There simply isn’t any other alternative.

Do you want more?

I have more articles like this one in my Happiness Index, here…

Life & Happiness

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

The Past Through Tomorrow (full text) by Robert A Heinlein (free)

Heinlein almost never showed up in anthologies. Sometimes editors would apologize for omitting him, admitting (with some frustration) that they just couldn’t get the rights to the Heinlein tales they wanted. The problem was that by the mid-70s Heinlein was a star, the top-selling author in the field, and his entire short fiction catalog was locked up in his own bestselling collections.

I read collections, of course. Lots of them. But the seminal Heinlein collection, the one containing virtually all of his really important short work — including classics like “The Roads Must Roll,” “Blowups Happen,” “The Man Who Sold the Moon,” “Gentlemen, Be Seated,” “The Green Hills of Earth,” “Logic of Empire,” “The Menace from Earth,” “If This Goes On —”, and the short novel Methuselah’s Children — was the massive The Past Through Tomorrow.

I picked up on The Past Through Tomorrow recently, and I was impressed all over again at just how many true SF classics are packed within its pages. I can almost forgive its length, given that it contains 21 stories, three novellas (“The Man Who Sold the Moon,” “Logic of Empire,” and “Coventry”) and a complete novel, Methuselah’s Children. The stories within were published across four decades, from 1939 to 1962, first in John W. Campbell’s Astounding and later in places like Argosy, Blue Book, The Saturday Evening Post, and Scientific American.

Here’s the complete Table of Contents.

Introduction by Damon Knight
“Life-Line” (Astounding Science-Fiction, August 1939)
“The Roads Must Roll” (Astounding Science-Fiction, June 1940)
“Blowups Happen” (Astounding Science-Fiction, September 1940)
“The Man Who Sold the Moon” (The Man Who Sold the Moon, 1950)
“Delilah and the Space-Rigger” (The Blue Book Magazine, December 1949)
“Space Jockey” (The Saturday Evening Post, April 26, 1947)
“Requiem” (Astounding Science-Fiction, January 1940)
“The Long Watch” (The American Legion Magazine, December 1949)
“Gentlemen, Be Seated” (Argosy Magazine, May 1948)
“The Black Pits of Luna” (The Saturday Evening Post, January 10, 1948)
“It’s Great to Be Back!” (The Saturday Evening Post, July 26, 1947)
“—We Also Walk Dogs” (Astounding Science-Fiction, July 1941)
“Searchlight” (Scientific American, August 1962)
“Ordeal in Space” (Town & Country, May 1948)
“The Green Hills of Earth” (The Saturday Evening Post, February 8, 1947)
“Logic of Empire” (Astounding Science-Fiction, March 1941)
“The Menace from Earth” (Fantasy and Science Fiction, August 1957)
“If This Goes On —” (Astounding Science-Fiction, February 1940)
“Coventry” (Astounding Science-Fiction, July 1940)
“Misfit” (Astounding Science-Fiction, November 1939)
Methuselah’s Children (Astounding Science-Fiction, July-August 1941)

Robert A. Heinlein was one of Campbell’s most famous discoveries, and certainly the one that Campbell was most proud of. Alec Nevala-Lee, when discussing his groundbreaking non-fiction book Astounding: John W. Campbell, Isaac Asimov, Robert A. Heinlein, L. Ron Hubbard, and the Golden Age of Science Fiction, said, “Heinlein was the author Campbell was waiting for,” and I think that’s precisely right. Heinlein’s first published story was “Life-Line” in the August 1939 issue of Astounding; more rapidly followed and within a year Campbell was lauding Heinlein in his editorials as “a major science fiction writer.”

The Past Through Tomorrow was published in hardcover by Putnam in 1967, and reprinted in paperback by Berkley Medallion in 1975. The paperback version is 830 pages, priced at $1.50. The cover artist is uncredited.

The Book

In this instance I am providing the complete PDF. You can download it here…

Do you want more?

I have more posts in my Robert A Heinlein index here..

Heinlein

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

 

The Monroe Institute (narrated) Positively Ageless Self-hypnosis session (full)

This article contains audio files developed by the Monroe Institute. This session is titled “Positively Ageless”. It is a self-hypnosis session designed to reinvigorate the mind, consciousness, spirit and body. It is narrated and walks the listener into deep hypnosis.

This post contains Hemi-Sync music / audio tracks.

Hemi-Sync is a method of control that uses sounds to center the activity in the brain. When the brain is fully centered, it becomes easier to exist within this reality. Or even more specifically, easier to be able to use your consciousness to control your brain.

The files are FLAC files. Not MP3.

They should play on almost all cell phones and computers. If you have any doubt you can probably download an APP that will allow you to listen to them.

MP3 is the most popular format while FLAC (Free Lossless Audio Codec) is a less known alternative. The main difference between the two is in how they compress the audio information. MP3 is a lossy format where parts of the audio information that people are not likely to hear are discarded. On the other hand, as the name suggests, FLAC is lossless.

-Difference Between MP3 and FLAC | DifferenceBetween

Hemi-Sync contains frequencies and audio wavelengths that are traditionally considered as “unnecessary” and thus is often removed using an MP3 format to cut down on the file size. That is why they are in the FLAC format.

MP3 vs. FLAC

This Post

This article consists of four audio files that needs to be listened to in sequence.

You need to do so in a quiet area where you will be undisturbed for one hour. And you need to put on headphones, or ear buds to transmit the sounds directly in a balanced method to your brain. You will need to lie down, or sit up, depending on your preference.

The audio track engages the listener to Hemi-Sync, and gives them an experience that is a type of self-hypnosis. You simply relax and listen to the woman “talk” you into a state of relaxation. For some people they find this particular set of music very relaxing and calming. For others, who prefer an over-wrought mind, find it uncomfortable.

The links will each download a ZIP file. Just place it where you want, and copy the files in order, to the player of your preference. You should listen to them in order in one sitting. It will be around a half and hour of listening.

This is an introductory post to give you an idea of how the brain / consciousness centering activity works.

Positively Ageless (Full Package)

These files tend to be large, so I would suggest downloading them one at a time. Otherwise you might have your browser crash or go *tilt*.

Each exercise is a “stand alone” session. They typically last around 40 minutes or so. It starts by walking you into a trance, then performing the functional task at hand, and then walking you up and out of the trance. I would imagine that you might want to perform one exercise one day, and then the next one the day after that. It’s all up to you.

The files

This is the instruction booklet that comes with the five files. It tells you what the “Positively Ageless” session is supposed to accomplish, and how best to listen and perform the associated exercises with it. It is a fundamental component to the five audio tracts listed above.

Important note

This particular singular file is a nice “kit” that you listen to to relax and settle your soul. It is perfect for undoing the noise, the “news” and the hassles of daily life. It serves as a “reset button” role in re-centering the position of your consciousness within your brain. It is an absolute necessity if you really want your affirmation prayers to work efficiently.

You need to lie down to maximize the effect, and you need to wear headphones or ear-buds for the effect to manifest. You just cannot simply have it playing as noise in the background. It will not work that way. The ONLY way that this will work is if you are wearing headphones (ear buds), and lying down on the bed.

Do you want more?

I have more posts in my Hemi-Sync Sub-Index here;

Hemi-Sync

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 


	

The Monroe Institute – The Journey Home (full)

This is an introductory post. This article provides a special audio track to assist the interested person in exploring the non-physical world, calming the mind and body, and refreshing the personal energy that we all posses.

This post contains Hemi-Sync music / audio tracks. Hemi-Sync is a method of control that uses sounds to center the activity in the brain. When the brain is fully centered, it becomes easier to exist within this reality. Or even more specifically, easier to be able to use your consciousness to control your brain.

The files are FLAC files. Not MP3.

They should play on almost all cell phones and computers. If you have any doubt you can probably download an APP that will allow you to listen to them.

MP3 is the most popular format while FLAC (Free Lossless Audio Codec) is a less known alternative. The main difference between the two is in how they compress the audio information. MP3 is a lossy format where parts of the audio information that people are not likely to hear are discarded. On the other hand, as the name suggests, FLAC is lossless.

-Difference Between MP3 and FLAC | DifferenceBetween

Hemi-Sync contains frequencies and audio wavelengths that are traditionally considered as “unnecessary” and thus is often removed using an MP3 format to cut down on the file size. That is why they are in the FLAC format.

MP3 vs. FLAC

This Post

This is an introductory post. This particular “kit” is a singular FLAC file from “The Monroe Institute”. It contains Hemi-Sync technology and is used to help people access their non-physical reality.

It engages the listener to Hemi-Sync, and gives them an experience as to what consciousness centering is all about. Do not expect any great experiences, enlightenment or seeing visions. It doesn’t work that way. Instead, it retrains the brain to be better organized. For some people they find this particular set of music very relaxing and calming. For others, who prefer an over-wrought mind, find it uncomfortable.

The link will download a ZIP file. Just place it where you want, and copy the files in order, to the player of your preference. You should listen to them in order in one sitting. It will be around a half and hour of listening.

This is an introductory post to give you an idea of how the brain / consciousness centering activity works.

The Journey Home (Full Package)

dBpoweramp Release 16.6 Digital Audio Extraction Log from 15 January 2020 08:43

Drive & Settings
----------------

Ripping with drive 'E: [PLDS - DVD-RW DH16AESH ]', Drive offset: 6, Overread Lead-in/out: No
AccurateRip: Active, Using C2: No, Cache: 1024 KB, FUA Cache Invalidate: No
Pass 1 Drive Speed: Max, Pass 2 Drive Speed: Max
Ultra:: Vary Drive Speed: No, Min Passes: 2, Max Passes: 4, Finish After Clean Passes: 2
Bad Sector Re-rip:: Drive Speed: Max, Maximum Re-reads: 34

Encoder: FLAC -compression-level-0 -verify

Extraction Log
--------------

Track 1: Ripped LBA 0 to 200676 (44:35) in 2:22. Filename: C:\Temp\The Journey Home\01 - The Journey Home._
AccurateRip: Accurate (confidence 2) [Pass 1]
CRC32: C56179A5 AccurateRip CRC: 05CC96D6 (CRCv2) [DiscID: 001-00030fe4-00061fc9-020a7301-1]
AccurateRip Verified Confidence 2 [CRCv2 5cc96d6]
AccurateRip Verified Confidence 2 [CRCv1 c03ec5f5]

--------------

1 Tracks Ripped Accurately

The files

Important note

This particular singular file is a nice “kit” that you listen to to relax and settle your soul. It is perfect for undoing the noise, the “news” and the hassles of daily life. It serves as a “reset button” role in re-centering the position of your consciousness within your brain. It is an absolute necessity if you really want your affirmation prayers to work efficiently.

You can play it while you are walking or resting.

I think that resting is best, but you need to wear headphones or ear-buds for the effect to manifest. You just cannot simply have it playing as noise in the background. It will not work that way. The ONLY way that this will work is if you are wearing headphones (ear buds), and either resting, exercising or walking.

With the best (by far) way to get the full effect of the system is to lie down in bed and allow the system to work.

Do you want more?

I have more posts in my Hemi-Sync Sub-Index here;

Hemi-Sync

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 


	

Defending your Life

There’s a great movie from the 1990’s titled “Defending your life”. It’s a fantasy movie about what it is like when you die, and you have to justify the kind of life that you had when you were alive. It’s a fun movie, a lite comedy romance. It’s fun. But I want to look at it from are more serious angle. And that is what we are going to do here.

Advertising executive Daniel Miller dies in an auto accident and finds himself in Judgment City. He is taken to a hotel to rest, and the next day he takes a tram downtown to meet his lawyer, Bob Diamond (Rip Torn). Diamond informs him that there is to be a five-day examination of his life to decide whether he has overcome fear. At a comedy club he meets Julia and they fall in love. But as their trials progress, it becomes clear Julia has overcome fear and is moving on, while Daniel seems doomed to go back to Earth.

Diana Barahona

It is Albert Brooks‘ notion in this film that after death we pass on to a sort of heavenly way station where we are given the opportunity to defend our actions during our most recent lifetime.

The process is like an American courtroom, with a prosecutor, defense attorney and judge, but the charges against us are never quite spelled out. The basic question seems to be, are we sure we did our best, given our opportunities?

Defending your life.

In the movie, Brooks plays Dan Miller, a successful exec who takes delivery on a new BMW and plows it into a bus while trying to adjust the CD player. He awakens in a place named Judgment City, which resembles those blandly modern office and hotel complexes around big airports. He’s given a room in a clean but spartan place that looks franchised by Motel 6.

Defending your life.

At first Dan is understandably dazed at finding himself dead, but the staff takes good care of him. He’s dressed in a flowing gown, whisked around the property on a bus, and told he can eat all he wants in the cafeteria (where the food is delicious but contains no calories).

Then he meets his genial, avuncular defense attorney (Rip Torn), and his hard-edged prosecutor (Lee Grant). It’s time for the courtroom, in which we see flashbacks to Dan’s life as he tries to explain himself.

Defending your life.

… (and) he falls in love with another sojourner in Judgment City.

Defending your life.

She is a sweet, open-faced, serene young woman named Julia and played, of course, by Meryl Streep, who is the only actress capable of providing the character’s Streepian qualities. They fall into like with one another.

Dan visits her hotel and is dismayed to discover that she has much better facilities than he does – Four Seasons instead of Motel 6 – and he wonders if maybe your hotel assignment is a clue about how well you lived your past life. But nobody in Judgment City will give him a straight answer to a question like that.

Defending your life.

The best thing about the movie, I think, is the notion of Judgment City itself. Doesn’t it make sense that heaven, for each society, would be a place much like the Earth that it knows? We’re still stuck with images of angels playing harps, which worked fine for Renaissance painters. But isn’t our modern world ready for images in which the angels look like Rotarians and CEOs?

Defending your life.

The movie is funny in a warm, fuzzy way, and it has a splendidly satisfactory ending.

MM Thoughts

The movie is a fiction.

But it does get a number of things right.

  • Review Process. There is always a review process once you exit the physical reality and return to the non-physical reality.
  • Judgement of your Actions. Yes, you are judged by your actions. There is no escape from that.
  • No Golden Harps. Forget the notions of golden harps, big diamonds and all those other images that are so conventional regarding the non-physical reality. There are other “things” in the non-physical reality, and you might be surprised how “futuristic”, and yet “conventional” they actually are. As well as the enormous scale of them.
  • Not immediately returned via reincarnation. Certainly the narrative from “Alien Interview” cautions that consciousness is immediately processed and thrown back to the physical Earth reality, without memories, but that is not my experience. Nor is that the experience of Dr. Newton.
  • Planning is required. A return back to the earth physical reality requires work, planning, and coordination. The only way that consciousness can return back and enter a new born body quickly is if the consciousness is being “punished” in some way. Like for attempting suicide or something like that.

How do I know all this? Well, as I have stated that there are channels, and to continue my ELF interactions it is (was) with another entity and that provided me insight. Not to mention that the EBP provides <redacted>.

Defending your life.

I strongly urge people to watch this movie.

Because there are so many things in the non-physical world that resemble what we have in the physical world that you would be astounded.

Also you all need to recognize that the overall sequence is obtain experiences, die, review, map out more experiences, and repeat.

Defending your life.

The general human on Earth sequence

  • Birth in a body
  • Obtain experiences.
  • Die.
  • Life review.
  • Map out what is next.
  • If Earth as a human, then…
  • Repeat.

Alien Interview

I have discussed the book “Alien Interview” elsewhere. I personally believe that it is exactly what it says it is.

I believe [1] the back-story that the documents were actual transcripts of an interrogation with a type-1 grey extraterrestrial in 1947. I also [2] believe that everything that was recorded and written down are what the extraterrestrial said, and further, [3]I believe that it was mostly truthful and [4] saying things truthfully based on it’s understanding in 1947. All in a way or manner that [5] would be understood by the post world-war II generals and leaders gathered at the Roswell military base.

However, as I parsed the book in great detail, I came to realize the there were some elements within the statements that could easily be misunderstood.

Earth as a “Prison Planet” and us convicts and felons within it, are immediately recycled back to Earth upon death, over and over and there is no escape…

…however, it listed numerous people who have actually managed to escape this environment. One has total recall and made great contributions to this region and was reassigned elsewhere in the universe.

So, obviously there ARE avenues of egress.

Further, this “Alien Interview” event spawned the creation of MAJestic shortly afterwards, and it enlisted folk like myself (MM) and we were tasked with “participating in events that were bigger than any government, and that mattered to the entire human species”.

For the period from the creation of MAJestic to today, the type-1 greys (and a number of other species) have been working with MAJestic towards certain objectives, goals, and directives.

I cannot help but believe that there has been some substantial changes in the situation of 1947 to today in 2021. And these changes have manifested in many ways. Such as [1] the ability to map out the topography of Heaven like Dr. Newton has (HERE), and [2] the recovery of memories of reincarnation that we see from time to time, and [3] the growth of the “new age” movements.

Whether the “constructions”, “arrangements” and the extensive geography of the non-physical reality is a [1] fabrication designed to entrap us earth-bound prisoners, or actually [2] the non-physical reality that surrounds the earth is unknown.

My personal belief is that the non-physical reality is exactly that. And the systems that force earth humans to immediately return to earth is broken. It no longer exists. However, what does exist is a massive non-physical infrastructure that is dedicated to humans experiencing and obtaining physical experiences. These experiences are all recorded in memories and still exist and are not erased. At least I can access them, and I very convinced that others can as well.

My constant entanglement with the EBP, as well as how my ELF probes worked before I was “retired” clearly indicate that there is a vibrant and active non-physical world all around us. Older and more advanced species enter and leave this reality at will.

It is complex, active, vibrant, and substantive. You not need to fear it, or to remember one time when you were “put under anesthesia” before an operation and blanked out with no memories. That was not death. That was something different. You should never believe that being put under by drugs is the same experience that you would have upon death.

This is a fun movie, but it reminds us that our actions as we live all have consequences. You can believe that it is “karma”. You can call it cycling through “reincarnations”. You can believe that it is “quantum associations”, or that “like thoughts attract like actions”. You can believe what ever seems most comfortable with you.

But I will definitively tell you that there is a community that exists outside of our reality, and it is populated with humans (and a lot of other “stuff”). And if you want to (as they say in the movie “move on to bigger and better things”) make this life a good one.

Make this life a great one.

Make a difference in this world. Help others. Do great things. Perform great works. Smile. Be the sunshine that helps others. Do not be the dark pit of blackness that takes and takes from others. Don’t do that.

Be kind and be helpful.

In the non-physical reality you will glow like a big beacon or torch. And others of similar beliefs will be attracted to you. Be great. You will be wonderful.

Watch the movie, and tell me what you all think.

Defending your life.

USA Streaming Access to the movie…

If you are in the United States, these are your best streaming options. All are with a price. Nothing is free in the USA.

Other Access Alternatives

Torrents

If you don’t mind waiting for the download, you can download a torrent for the movie…

Do you want more?

I have more movies in my Movie Index here…

MOVIES

.

I have more articles about Heaven in my Heaven Index here…

Heaven

Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

Peach cobbler, hobos, and the story of the old railroad spur

Today, I am going to present a follow up article to my earlier post titled the “MM blue plate special”.  Here we are going to follow the same format, with articles, photos and short videos depicting various things in no particular order. I hope that you all enjoy it.

We will start with…

A lasagna girl

And boy oh boy is she ever! This is the kind of girl that you would spend the day browsing the malls, looking at dogs and cats, and then going home to a nice home-cooked lasagna with a plain, but simple, dry red Cabernet wine. (Oh, and a nice side of fresh crunchy bread with some soft spreadable cheese and well-salted butter.)

I can see us talking on a bridge, and just being playful under the trees. She’s just delicious!

And the sad thing is that she has no idea. And I am not nearby to tell her.

If she only knew. If she only knew.

A fine lasagna.

Seriously! Who would not want to eat this, drink some dry wine, and have a nice fun conversation with a friend or a member of the opposite sex?

Can you possibly believe that some people just do not enjoy lasagna? I know I know. But what can I say?

A fine lasagna.

Night life in China

America is so very quiet. Canada is so very quiet. Everyone is inside alone, watching televisions, playing on the computer or doing their own things. Meanwhile, China which is a very social nation, everyone is out and about. This is a pretty good video depiction of what it’s all pretty much like.

Night time China.

This is America

Well, Americans are so numb to what America is today, that the images are just “ho hum”, but when I show them to other people they are in shock. They say “What? Where is this? Syria? The Middle East? Nope. Just another average day in a typical American city.

The USA has a death wish

An American Naval Vessel is trying to provoke a war between the Philippines and China, and trigger USA involved.

From Daniel…

From Global times, July 12th :”The Chinese People’s Liberation Army (PLA) forces expelled a US Navy destroyer that trespassed into Chinese territorial waters in the South China Sea on Monday, the day that coincided with the fifth anniversary of the so-called South China Sea Arbitration ruling.

..Without authorization from the Chinese government, the US guided missile destroyer USS Benfold illegally trespassed into China’s territorial waters of Xisha on Monday, and the PLA Southern Theater Command organized maritime and aerial forces to track and monitor it and warned it away, Senior Colonel Tian Junli, spokesperson of the command, said in a statement released on the same day..

The Xisha Islands are inherent territory of China, and the US military’s move seriously violated China’s sovereignty and security, damaged peace and stability in the South China Sea, and violated international law and international relations norm, Tian said, noting that this marks another irrefutable evidence of US’ militarization in the region with navigational hegemony.”

The name of the ship ringed a bell, USS Benfold, It is named after a war hero, I think they even made a movie about him ,that was during the, drums please … the Korean War. Maybe it has a significance, maybe not.

I think and really believe that the USA is so out of control that it is going to really provoke a major catastrophic event that will be difficult to unravel.

This is what is going on from the Chinese point of view. Click on the picture for the video…

Here we have a BBC camera crew filming inside of America

America is on it’s death throes. It is thrashing around terribly, and the world needs calm and cool heads to prevail. First a provocation aimed at Russia. Didn’t happen. Then a provocation aimed at China. Didn’t happen. To me it seems that a major “False Flag” is in the works…

…only this time, if America tries this nonsense, both Russia and China will hit them hard. Look at the wasteland of what constitutes America today…

What happened to America?

Evil, self-centered, service-to-self psychopathic personalities took over. And they molded and reshaped the nation into their idea of utopia. Today it is a place where fear abounds, and people believe that they are doing well because the alternatives are far worse. It is a place where everything has a price, and no one ever has enough money because of a thousand little hands in your wallet.

Americans need to wake up to the reality that it’s all a big, big lie. Yes. America is a big, big lie. Check out this video…

The big lie.

Why? Intentional control of the minds of Americans

A 70-Year War on ‘Propaganda’ Built by the CIA
Cynthia Chung

“Hell is empty and all the devils are here”
– William Shakespeare (The Tempest Act 1 Scene 2)

War has always depended on a reliable system to spread its propaganda. The Arthashastra written by Chankya (350-283 BCE) who was chief advisor to the Emperor Chandragupta (the first ruler of the Mauryan Empire) discusses propaganda and how to disperse and apply it in warfare. It is one of the oldest accounts of the essentialism of propaganda in warfare.

Propaganda is vital in times of war because it is absolutely imperative that the people, who often need to make the greatest sacrifices and suffer the most, believe that such a war is justified and that such a war will provide them security. To the degree that they believe this to be true, the greater the degree of sacrifice and suffering they are willing to submit themselves for said “promised security”.

It is crucial that when the people look at the “enemy” they see something sub-human, for if they recognise that said “enemy” has in fact humanity, the jig is up so to speak.

And thus we are bombarded day after day, hour after hour of reminders as to why the “enemy” is not human like us, not compassionate like us, not patient, just and wise like us.

No doubt, war has been a necessary response when tyranny has formed an army to fight for its cause, but I would put forth that most wars have been rather unnecessary and downright manipulated for the design of a small group of people.

During WWI, on Dec 25th 1914, something rather unexpected occurred and a series of widespread unofficial ceasefires along the Western Front took place between the French/British soldiers and the German soldiers. Some even ventured into “no man’s land”, given its name since none left it alive, to mingle with the “enemy” and exchange food and souvenirs. There were joint burial ceremonies and prisoner swaps. A game of football took place as well. It is said that these truces were not unique to the Christmas period but that they were much more widespread during the holiday season.

These fraternisations would understandably make it quite difficult to return to combat against one another…for no apparently good reason. Some units needed to be relocated since they had developed friendships with the opposing side and now refused to fight them.

The lesson was quickly learned and propaganda was heavily pumped down the throats of the Allied countries, and by the course of just a few years, they no longer viewed the Germans as human.

The CIA’s Family Jewels and Operation Mockingbird

For us to understand the implications of modern propaganda and how it is used in warfare today, our story starts post-WWII with Churchill’s announcement of the “Iron Curtain” which launched the Cold War and has kept the East and West divided to this day.

Quickly after the Cold War was announced by Churchill, it was necessary to create a fervor of fear and paranoia amongst the American people in order to have them quickly forget the fact that the Russians were their greatest allies during both WWI and WWII, and to replace it with the image of a ghoulish race of boogeymen.

If Americans were to remember that the Russians had fought valiantly during WWII and had paid by far the largest sacrifice to the cause, that they had in fact been their comrades in arms against the brutality of fascism, if this were remembered then the Cold War division could never occur, and that was something that could not be tolerated by Churchill and the Empire. Thus terror was unleashed on the American people and McCarthyism was given precedence over the people’s right to question and form conclusions for themselves. That sort of thing could not be tolerated when the “enemy” could be anywhere; they could be your neighbour, your child’s teacher, your co-worker…your partner.

In order to combat the “threat” of Soviet “propaganda” entering the U.S. and seducing Americans, Operation Mockingbird was created as a form of “control” over information dissemination during the period of McCarthyism. Operation Mockingbird was an “alleged” CIA program that was started in the early 1950s in order to control the narrative of the news. Though this role has never been confirmed entirely, in the CIA Family Jewels report compiled in the mid-1970s, it is confirmed that Project Mockingbird did exist as a CIA operation and that it was guilty of wire-tapping journalists in Washington.

At the helm of this project was none other than CIA Director Allen Dulles, an enemy of JFK, who by the early 1950s “allegedly” oversaw the media network and had major influence over 25 newspapers and wire agencies. Its function was to have the CIA write reports that would be used by a network of cooperating “credible” reporters. By these “credible” reporters spreading the CIA dictated narrative, it would be parroted by unwitting reporters (mockingbirds) and a successful echo chamber would be created across the world.

The Office of Policy Coordination (OPC), originally named Office of Special Projects but that was thought to conspicuous, was a covert operation wing of the CIA and was created by the United States National Security Council (NSC). For those who are unfamiliar with the origins of the NSC and its close relationship with the CIA, who was born on the same day, refer to my paper on the subject.

According to Deborah Davis’ biography of Katherine Graham (the owner of Washington Post), the OPC created Operation Mockingbird in response to addressing Soviet propaganda and included as part of its CIA contingency respected members from Washington PostThe New York TimesNewsweekCBS and others.

The Family Jewels report was an investigation made by the CIA to investigate…the CIA, spurred in response to the Watergate Scandal and the CIA’s unconstitutional role in the whole affair. The investigation of the CIA would include any other actions that were deemed illegal or inappropriate spanning from the 1950s-mid 1970s.

We are told “most” of the report was declassified on June 25, 2007 (30 years later) hoping that people would have lost interest in the whole brouhaha. Along with the release of the redacted report was included a six-page summary with the following introduction:

“The Central Intelligence Agency violated its charter for 25 years until revelations of illegal wiretapping, domestic surveillance, assassination plots, and human experimentation led to official investigations and reforms in the 1970s.”

The most extensive investigation of the CIA relations with news media was conducted by the Church Committee, a U.S. Senate select committee in 1975 that investigated the abuses committed by the CIA, NSA, FBI, and IRS. The Church Committee report confirmed abundant CIA ties in both foreign and domestic news media.

It is very useful that there exists an official recognition that false news was not only being encouraged by the CIA under the overseeing of the NSC during the Cold War period, but that the CIA was complicit in actually detailing the specific narrative that they wanted disseminated, and often going so far as to write the narrative and have a “credible” reporter’s name stamped on it.

But the question begs, “Did the Cold War ever end?” and if not, why should we believe that the CIA’s involvement in such activities is buried in its past and that it has “reformed” its old ways?

Western Journalists for Hire: How the CIA Buys News

In order to answer this question, let us visit the sad case of Udo Ulfkotte. Udo Ulfkotte is a well-known German journalist and author of numerous books. He worked for 25 years as a journalist, 17 of which were for Frankfurter Allgemeine Zeitung (FAZ), including his role as editor. In his 2014 book “Journalists for Hire: How the CIA Buys News” Ulfkotte goes over how the CIA along with German Intelligence (BND) were guilty of bribing journalists to write articles that either spun the truth or were completely fictitious in order to promote a pro-western, pro-NATO bent, and that he was one of those bought journalists.

In an interview, Ulfkotte describes how he finally built up the nerve to publish the book, after years of it collecting dust, in response to the erupting crisis in Ukraine stating

I felt that the right time had come to finish it and publish it, because I am deeply worried about the Ukrainian crisis and the possible devastating consequences for all of Europe and all of us…I am not at all pro-Russia, but it is clear that many journalists blindly follow and publish whatever the NATO press office provides. And this type of information and reports are completely one-sided”.

In another interview Ulfkotte stated:

it is clear as daylight that the agents of various Services were in the central offices of the FAZ, the place where I worked for 17 years. The articles appeared under my name several times, but they were not my intellectual product. I was once approached by someone from German Intelligence and the CIA, who told me that I should write about Gaddafi and report how he was trying to secretly build a chemical weapons factory in Libya. I had no information on any of this, but they showed me various documents, I just had to put my name on the article. Do you think this can be called journalism? I don’t think so.

Ulfkotte has publicly stated:

I am ashamed of it. The people I worked for knew from the get-go everything I did. And the truth must come out. It’s not just about FAZ, this is the whole system that’s corrupt all the way.

Udo Ulfkotte has since passed away. He died January 2017, found dead in his home, it is said by a hear t attack. His body was quickly after cremated and thus prevented any possibility of an autopsy occurring.

You Can’t Teach An Old Dog New Tricks

(Note: This article was published March 14, 2020) The Countering Foreign Propaganda and Disinformation Act is a bipartisan bill that was passed into law in December 2016, it was initially called Countering Information Warfare Act. It was included together with the National Defense Authorization Act (NDAA). This bill was brought into effect just weeks before Trump was to enter office….hmmm, foreshadowing much?

Soon after the 2016 U.S. election, the Washington Post led the charge asserting that it was due to Russian propaganda that the U.S. elections turned out the way it did, that is, that Hillary had somehow, inconceivably, lost to Donald Trump and that the American people had been turned against her like a child caught in the middle of a messy divorce case. But there is no need here to set the record straight on Hillary, when Hillary herself has done suffice damage to any illusion of credibility she once had. That ultimately not even Hillary could hide the fact that her closet full of skeletons turned out to be the size of a catacomb.

But we are told that citizens do not know what is best for one’s self. That they cannot be trusted with “sensitive” information and in accordance act in a “responsible” manner, that is, to have a strong enough stomach to do what is “best” for their country.

And therefore, fear not subjects of the land, for the Global Engagement Center (GEC) is here to make those hard decisions for you. Don’t know what to think about a complicated subject? GEC will tell you the right way!

The National Defense Authorization Act (NDAA) would allow for the Secretary of State to collaborate with the Secretary of Defense, and other Federal agencies in the year 2017 to create the Global Engagement Center (GEC). The GEC’s purpose in life is to fight propaganda from foreign governments and publicize the nature of ongoing foreign propaganda and disinformation operations against the U.S. and other countries.

Let us all take a moment to thank the GEC for such a massive task in the cause for justice all around the world.

The GEC had a very slow start in its first year, however, it has been gaining momentum in the last year under Secretary of State Mike Pompeo, who seems especially excited over the hiring of Lea Gabrielle as special envoy and coordinator of GEC.

Mike Pompeo was the CIA Director from 2017-2018. On April 15, 2019, Pompeo participated in a discussion at the Texas A&M University where he voluntarily offered the admission that though West Points’ cadet motto is “You will not lie, cheat, or steal, or tolerate those who do.”, his training under the CIA was the very opposite, stating “I was the CIA Director. We lied, we cheated, we stole. It was like we had entire training courses. (long pause) It reminds you of the glory of the American experiment”.

This is apparently the man for the job of dealing with matters of “truth” and “justice”.

Lea Gabrielle was approved for her position by Mike Pompeo, what are her “qualifications”? Well, Gabrielle is also CIA trained, and while assigned to the Defense Intelligence Agency (DIA), she “directed and conducted global clandestine strategic intelligence collection operations.” Gabrielle also “deployed in tactical anti-terrorist operations in hostile environments”. After 12 years of active duty service, Lea Gabrielle became a television news journalist, who worked at NBC and FOX News.

Noticing a pattern?

The CIA really does not have the best track record for their role in “managing” foreign wars and counter-insurgency activities. In fact, they have been caught rather red handed in fueling such crisis situations. And these are the people who are deciding what information is fit for the American public, and western public in general, and what is not fit for their ears.

Hear No Evil, Speak No Evil, See No Evil

On March 5, 2020, Lea Gabrielle testified on the role of GEC in countering state-sponsored and non-state propaganda and disinformation. Gabrielle states: “We have the full support of Secretary Pompeo who is committed to deploying a broad suite of tools to stop America’s adversaries from using disinformation, malign propaganda, and other tools to undermine free societies.” She goes on to acknowledge that the hearing is focused on countering Russian government and CCP disinformation and propaganda. She then goes on to outline her criticisms of both governments with no factual detail or evidence but rather generalised accusations and criticisms, obviously pulling from her experience as a news journalist for NBC.

Following this, Gabrielle proceeds to outline her “rules of engagement” in countering this offensive with what seems to be the beginnings of McCarthyism 2.0, amounting to a threat to anyone who dares not take a hard stance against Russia and China, that such a person will be considered complicit in essentially committing treason.

Gabrielle concludes,

Both the Russian government and the CCP view censorship, media manipulation, and propaganda as appropriate tools to control public opinion. Both exploit open, democratic societies to further their own ends while tightening controls around their own countries.”

Don’t worry, the CIA will eventually admit that they are elbow deep in all of the above, it just won’t be released until 30 years from now…In the meantime, I wouldn’t believe everything you read in the newspaper to stoke the fires for another war.

This article was originally published by Strategic Culture Foundation.

And to reinforce this narrative …

Just this morning, right out from Billionaire Bloomberg…

Sure…

The West refuses to see just how sick they are.

Instead of seeing the reality, the “West”, insists on believing the carefully constructed fantasy world that it has created and that it promotes on the MSM (Main Stream Media). It holds China up as an example of a big, bag evil empires, when it is nothing of the sort, and holds itself up as the “great beacon of light on the shining hill”; a fantasy that never actually existed.

Until these fantasies are destroyed, the “West” will continue it’s long, drawn out decay and destruction. Check out this video…

The West is collapsing.

Thinking Long Term…

China uses more concrete in a single year than all the concrete ever used by America in all of it’s history. 2021. Look at the stats. Period. China is growing and is a serious, serious nation. It’s engineering and manufacturing capabilities are formidable. And to discount this, or ignore it, is a mistake.

America cannot not simply flick a “light switch” and suddenly the American manufacturing system will roar into life. The spark of creativity has been extinguished in America. The drive to work, to produce, to contribute are all gone. The relationships between design, and fabrication are missing, and the regulations and laws are too corrupt, too stifling, and out of date.

When you look at the “so called” American Industry, you will see a lot of nice shiny office buildings staffed with planners, finance folk, accountants, and legal teams supporting Marketing. But very little in the way of hard, dirty, assembly machinery. The opposite is true in China.

Watch this video…

Meanwhile, What’s the news about in the USA?

When you watch American “news” it’s really quite different from what you would see in the rest of the world. Shootings, murders, kidnaps, rapes are so common place that they are hardly ever reported. The big news tends to revolve around plans for more taxes, higher regulation entities, and “think tanks” proposing renaming a new holiday or tearing down a statue.

However, sometimes the “news” can be funny. As this video attests…

Lancaster Pennsylvania

The last time I was in Lancaster Pennsylvania I pulled into a roadside restaurant and got myself a club sandwich, with fries, cole slaw, and a (bottomless) cup of coffee. Of course that was years ago. I wonder if you can still get that in the USA today. As I recall, I think it cost me about $3.50 or so.

A typical club sandwich.

Learning how to make my first sandwich

You know, I first learned how to make a sandwich when I was four years old. My girlfriend at the time; a beautiful lass named Mary, who was much older than me. She was five. Brought me into her house, up the stairs and hid me in her bed room. But being the good hostess that she was, she ran downstairs and brought up a bottle of ketchup and some slices of bread. She then showed me how to make my first sandwich.

As we were sitting there on her bed smunching, and chatting, her mother burst into the room shouting “Mary, why do you keep bringing up boys into your room?” and Scooted me away.

Sigh.

She was a bit of a rascally girl, don’t you know.

She also taught me how to steal from the candy store. But that is another story for another time. Right now I want to talk about sandwiches.

My first real sandwich was part of an exercise to obtain a “badge” as part of being in the cub scouts. It was there where I learned how to cut a tomato, get slices of cold cuts, cheese, lettuce, and how to add mayonnaise to it. I learned how to do it in my “den”, and my “den mother” taught me how to make the sandwich. Afterwards, we all sat down and ate the sandwiches with a bottle of orange Fresca.

A den of cub scouts.

About the Cub Scouts

The following excerpt is from HERE.

Cubbing, as it was first know, started in the United States in 1930.  However, it was in 1916 when Baden-Powell published The Wolf Cub Handbook that Cubbing became an official part of scouting in England and endorsements for the program began in the United States.  At that time, James E. West, BSA Chief Executive, felt that this new program might take adult leadership away from the Boy Scouts so initially he opposed the program.

It is interesting to note that in the beginning, each Cub den was led by a Boy Scout Den Chief.  It wasn’t until 1936 that the position of Den Mother was added as an optional position even though Den Mothers had been helping since 1932.  Still, that position was to provide support for the Den Chief. It was not until the late 1940’s to mid 1950’s that the Den Mother assumed full control of the den.

Dens were formed by age until 1986 when the phase in for grade level began, lasting until 1991.  In the beginning, Wolfs were 9 year olds, Bears were 10 years old and Lions were 11 years old.  They could enter Boy Scouts when they turned 12.  Until 1942, when a boy joined, he would start with the Wolf rank after completing his Bobcat requirements and work his way up regardless of his age.  During these early years, he could only wear his current rank and associated arrow points.

A fine painting by Norman Rockwell.

Speaking about food

As I have explained, I always associate food with pretty women. I don’t know why, but that is me. I think that it has something to do with the saying “the way to a man’s heart is through his stomach”. All the pretty girls were always trying to keep me well fed and satisfied.

And they are everywhere in China.

This woman is definitely a “Shepherd’s Pie” kind of girl. Check out her video…

While this girl is definitely spaghetti and garlic bread

This slim lady is all about the well stocked larder, the warm bread in the oven, and the spaghetti sauce that has been cooking all day on the stove. She’s the kind of woman that will insist that you have a clean napkin near you when you eat, and a glass of water with your meal whether you wanted it or not.

Check out the video.

This girl is a YUM!

And let’s not forget about the Summer time foods…

This beauty, with the well done eyebrows, and the big eyes is all about cut up watermelon, cantaloupe, and strawberries. She’s exactly the kind of girl that you would want to go out and have a nice light dessert, and then walk along the night city streets doing some window shopping and chatting.

These Chinese women are just lovely.

Peach Cobbler

What could be a more perfect ending to a summertime meal than peach cobbler? Savor the flavors of summer with sliced fresh peaches cooking away with butter and spices.

Peach Cobbler topped with French Vanilla Ice Cream.

The topping can made from pantry ingredients you have on hand and peaches can easily be substituted with any fruit you have depending on the time of year. The tang of the lemon juice paired with the sweetness of the peaches is perfectly balanced with the crisp topping. Want to make dessert even better? A dollop of fresh whipped cream or cold vanilla ice cream truly makes it the perfect way to end a summer night.

I must say that as much as I love this great and wonderful dish, it was not a staple in my home when I grew up. My mother never made peach cobbler. I never had the house fill with the delicious aroma of baked peaches. I never had that pleasure.

The trees of New Iberia, Louisiana.

But…

When I moved to Louisiana, I came to know the wonderful world of Creole Cooking , and the local folk in New Iberia that would make a most luscious peach cobbler that was “to die for”.

You can go on line and find a zillion recipes on how to make this most delicious dish.It’s so easy to make! It makes me wonder why my mother never made it. For the life of me, I just cannot recall her ever making it. Not even during peach season, and certainly not with canned peaches.

It’s easy to make.

I mean in “super dooper” easy to make!

One thing I do know is that I can tell you that it is best enjoyed when it is made in your home kitchen by friends or family, and where the aroma fills the house and you get a big monster sized bowl of peach cobbler topped with delicious French Vanilla ice cream.

I would take it to the porch, and sit on the rocking chair and eat while the sun set and the gathering dusk enveloped the large trees covered with Spanish moss.

Spanish Moss hanging from the huge trees of New Iberia, Louisiana.

Of course, no one wants to hear an old foggy guy talking about his past…

Right? I mean to say that my past doesn’t even resemble anything that you see today. It’s so different that it boggles the mind and astounds the cockles. As this video clearly shows…

How do you handle failure?

Some of those zoom and skype videos are cute and funny, but more serious things can and do occur. So what do you do? And how do you handle the trials in life? Will your blood Pressure go ballistic like Metallicman’s, or will you just relax and grab some Wise Potato chips and a cold beer instead?

Check out this video…

Fear is what is trapping many people into their slave-serf existence

You are being manipulated by fear. You are being lied to, and manipulated, and massaged until you run about like scared rabbits. And when a real emergency occurs, like an American bio-weapon, you think that the government is just doing “just another one” of it’s many many manipulative techniques.

Even though there are real and good valid reasons for strong and preventative actions. Like this video describes why China told everyone that the entire nation of 1.6 billion people, over four times the population of the United States, must all wear face masks in public…

Again, what is China really like?

Yeah. This is what China is really like, and narrated by a Vblogger who was condemned by Yahoo! as a member of the “Communist disinformation network” LOL. I mean the bullshit of the United States is so very think these days, you just cannot make it up!

A Fried Chicken kind of girl

When I see this beauty I cannot help but think of  fried chicken. Especially with a fine crunchy exterior served with hot steaming biscuits, mashed potatoes and gravy, corn, coleslaw, and extra butter. this girl is the kind of gal that you would go into a restaurant with, the kind with a red and white checkered table cloth, a small flower in a vase, and a circular table with a window seat.

Strangely I don’t picture drinking wine, beer, or even Coke with her. I picture a locally brewed ginger beer. Oh, the fun we could have! Smunching, and eating. Drinking and talking. Looking good, feeling good, with the scent of her perfume wafting near me, and the fine crunchy feeling when you bit into that delicious chicken.

I tell you that I would pick those bones clean! I tell you what!

P.S. Look at her eyebrows! Aren’t they lovely?

Any day now…

Sure. Any day now, America will overtake China and remain the “king of the hill” and sit atop of the money heap!

The problem is that America is devolving.

What the wealthy oligarchy has created is a civilization filled with a rulership of “service to self people” that has manipulated a large swath of the population to be “service for another” people. When the entire nation should be “service for others”. Here’s a funny video about devolution.

Hyper-velocity nuclear tipped MIRV ICBM’s

Ever since Mike Pompeo and Donald Trump set their eyes on invading and attacking China, China has sprinted to catch up with America in nuclear warheads. Not just those old fashioned ICBM’s like America has, but the impossible to stop hyper-velocity, MIRV weapons carrying 10 to 15 warheads each about 1000 times more powerful than what destroyed Hiroshima.

Of course, you will never will hear about this in the Western press. To most Americans, China is a backwards third-world nation.

So you wanna “poke the panda” eh?

China is mass producing enough nuclear bombs to destroy every community in America larger than 1000 people. China is a serious, serious nation, and they DO NOT BLUFF.

But Hey! Enough of that shit…

Let’s talk about people, and our normal day to day battles with life. And I want you all to know that everyone has a story, and many have a library of stories that they could share. Here is one from a famous “little guy” actor. And his words ring true.

This is my call out to assholes…

The world is filled with them. They are self-centered, hurtful and cause great pain to all of us. People (!) if you have nothing good to say, then say nothing. The world needs good, kind and helpful people. Not war-mongering, evil sociopaths and wealthy psychopaths. We need to help others. Not to constantly keep tearing them down.

These bad thoughts and evil words hurt. And they can result in bad things happening. We need to undo their damage in what ever way possible.

Delicious yum!

This is something I have been missing…

Hobos

There’s some good write ups about hobos. In America today, many are becoming Hobo’s out of necessity. America is such a mess that all the social contracts are broken, society, culture, and the government have all become absolute failures. The people, now terribly in debt have taken to a homeless, nomadic lifestyle to survive. It might appear to be temporary, but knowing what I know about the value of money, and endless fiat currency, it looks more like the beginning of the end, rather than a temporary lapse in the economy.

As long as man has organized into society, there have been those on the fringe. These were people who, for one reason or another, just didn’t fit into polite society.

Such were the hobos of our nation’s past. We tend to think of hobos as bums who stole rides on trains and lived in shanty towns. But in some cases, they were traveling workers who would go where there was work and move on when the job was done.

Building this country required a huge amount of manpower, especially when you consider that much was built by human hands rather than power equipment. Projects like the Transcontinental Railroad and the Hoover Dam required workforces numbering in the thousands, and hard-working men who came and went with the job. They lived in shanty towns, because that’s all there was at the end of the tracks and they carried little with them, because they knew they’d be moving on.

These were tough men, accustomed to backbreaking work and long hours. They survived and endured, becoming a forgotten part of our country’s history. Yet the work that they did has often survived and become part of making our country what it is today.

-Off the grid news

Historically, many people have been forced into becoming hobos because of circumstances where jobs are so scarce that they have no choice but to travel from place to place in search of work.

There are many theories of the origin of the word hobo, ranging from a contraction of the words “Hoe Boys” to one from the words “Homeward Bound”.

In any case, the American Heritage Dictionary defines a hobo as “one who wanders from place to place without a permanent home or a means of livelihood.”

Every hobo has a moniker, a nickname grounded in habit or origin or appearance, like Redbird or Frisco Jack or Bookworm. Not every hobo wants to share his or her real name with the straights and the Square Johns.  A few, the ones trying to outrun something, won’t even talk to anyone new or strange.

But the dawn of the Internet and increased dissatisfaction with the 9-to-5 routine have led more and more people to wonder if earning a living while on the road is a viable alternative to the daily grind.

If you’re thinking about becoming an opportunistic and resourceful transient worker, keeping your costs low, your responsibilities simple, and your freedom intact, here are the questions you’ll need to ask yourself—and the preparations you’ll need to make.

[1] Remember the differences between hobos, tramps, and bums: hobos are people who travel and look for work, tramps are people who travel and don’t look for work, bums are people who neither travel nor look for work.
In a society of citizen consumers, to have nothing, to own nothing, by choice, might be the most radical politics of all. And it’s worth mentioning here that not every homeless person is a hobo. And as the hobo fades from the American scene—except as a visual or literary cliché—there’s more and more confusion on the matter. A hobo is homeless by choice. Even then, not every hobo is completely homeless. Most these days have a semi-permanent address somewhere for the winter. Especially the older hoppers.

[2] Take stock of your skills and experience. Historically, hobos have made their living from manual labor, but that doesn’t always have to be the case. Any skill that is in wide demand and does not require an extended time commitment can be useful to a hobo. As long as you can advertise your services and earn people’s trust (ideally through references), you can do anything. Some pursuits that lend themselves to this lifestyle are:

  • Landscaping and construction – Many migrant workers who cross international borders find work in this area, as it is the least demanding in terms of language barriers. Having experience is essential, however, as you’d be required to work with potentially dangerous equipment and machinery.
  • Farm hand – If you’ve ever thought about becoming a farmer, there are internships all over the world that offer housing, food, a stipend, and experience, in exchange for getting your hands dirty. You can follow harvest seasons around the country or around the globe. Progressive farms tend to provide better circumstances.
  • Fishing – Serve as a deckhand, cook, or fisherman as you travel the high seas.
  • Any web-based service such as writing, editing or programming.

[3] Establish Plan B. This is a serious, life-altering decision. Don’t abandon everything suddenly and disappear. You need something to come back to if your life on the road doesn’t work out. Make sure all your debts are paid and responsibilities are handled before departure. If possible, have some savings set aside before you go, that you can access while you’re on the road, if need be. Emergencies happen, and they cost money.

Hobo slang can be intuitive, or impenetrable, but it’s always colorful. For example, the “jungle” is just the communal hobo camp, usually near the railroad yard. Your “bindle” is your bedroll. Your “poke” is your wallet. “Hundred on a plate” is a can of beans, and the jungle kitchen is run by the “Crumb Boss.” The “bulls” are the railroad police. “Flyers” and “hotshots” and “redballs” are all fast freights. “Catching out” means hopping the train. To die is to “catch the westbound.” And understand this, above all else: A “hobo” is an itinerant worker; someone who travels and finds work. A “tramp” travels, but mostly does not work. A “bum” neither travels nor works.

And of course the whole thing runs on talk, endless talk. Because talk’s free; because even if you give away everything you own, or they take away everything you have, you still have your stories. And every story here begins as the same story.

[4] Be prepared. You may like the romantic idea of leaving with nothing but the clothes on your back and whatever is in your wallet, but that is a sure-fire recipe for disaster. You must assume that you will be sleeping, cooking, traveling, and essentially living outdoors, unless you decide to drive a car.

  • How will you get from place to place? Hobos are often associated with train-hopping, because this is what many hobos during the Great Depression did. A car can double as transportation and sleeping quarters, but keep in mind that gas is expensive, and upkeep on a vehicle is a major expense and if the expense bothers you, hitch-hiking is a good option since it’s free. Some hobos prefer bicycles, but this will limit your range (to warm weather regions) and limit how much you can carry. A motorcycle can get you where you’re going faster, but has maintenance requirements similar to those of a car, though not to the same degree. Buses are also an option: Greyhound, in the US at least, offers steep discounts when you purchase tickets a week in advance, and even more for still earlier purchases. Buy tickets at the station for the best deals; web purchases have an extra $3 or $4 tagged on whether the tickets are mailed or “on call”.
  • Where will you sleep? Unless the place you work can offer housing, you will have to sleep in your car (if you have one), urban camp, squat in an abandoned building, or stay in hostels or motels. Another option is using the Communities Directory online to find urban co-ops, land trusts, and other alternative housing arrangements, which often welcome guests. See directory.ic.org. Yet another option is a traveler’s network such as couchsurfing.com or globalfreeloaders.com, which offer free lodging to those who intend to contribute (in kind, or in other ways). Consider the costs and dangers associated with each.
  • Where will you take showers? Some campsites have showers, but many don’t, so you may consider purchasing portable shower equipment. You can also obtain a membership to a national gym chain and use the showers there (provided you actually work out and maintain your appearance).
  • How will you defend yourself? A nomadic lifestyle can be a dangerous one because you’re constantly putting yourself in unfamiliar situations, and you’re probably alone—both of which can make you a target for theft and assault. You’ll need to outline some precautions you can take, such as always letting people know where you are, carrying a cell phone – and only going places where there’s a reliable signal, having an alert system or weapon on you, etc. In addition, always know where you are so when you call for help you will be able to give them a location.

[5] Make a list of connections. Look at maps of the areas in which you’ll be traveling, and determine whether or not there’s anyone you know, directly or indirectly, who lives there. Ask your Aunt Sally if your great uncle Billy still lives in that cabin in the woods. Ask your friend if his cousin still works at the car dealership in Utah. Most important of all, ask them if it’s okay if you can get in touch with those people in case of an emergency. Some people might offer to make arrangements so that you can actually visit, which is always nice. (Just be a good house guest!)

I did a lot of hitchhiking right after high school. And one time my brother was out hitchhiking in California, and some tramps got a hold of him and told him ride the trains instead of hitchhiking, and so he rode trains. They came back, and that was in 1973. They were talking in a bar about riding out to see Evel Knievel jump the Snake River Canyon, and I started to listening to it, and I worked seasonal and stuff. I had some freedom there. I was in. And so my older brother....There was 11 of us gone out of St. Cloud and hopping freights, and I fell in love with it right away. I mean, I like hitchhiking because you get to meet a lot of different people, but the freight-train riding was like the freedom, you know? —Ricardo

Vintage hobo life.

[6] Make an itinerary based on the type of work you plan to do, the connections you have in place, and the places you’d like to see. Do as much research as you can beforehand. Make a list of places you can stay, eat, shower, camp, etc. It’s also wise to look up churches and shelters and any other services that are offered to the homeless. The more prepared you are, the more you’ll enjoy your travels.

My father was a hobo, born in 1898 in Frog Level, North Carolina. Ran away from home when he was 12 or 13, rode freights for about 17 years. He’s a wonderful storyteller, musician, singer. He was always the one to tuck me in bed at night. He would say, Two songs, one story. You get to choose one song, and I’ll choose one. I always chose Cocaine Jubilee, because he learned it out in the opium dens and it was a funny song. Then he would sing one, and he’d tell me one of his adventure stories. 

I remember when he’d leave every night, I’d think, I can’t wait until I’m old enough to do that. I started hitchhiking right out of high school and eventually was a student at Indiana University. I had the honor of doing a directed writing course which I could choose the professor. He said, You need to choose a good topic. And I chose hobos, and I said, Because I grew up with it.Gypsy Moon

[7] Learn the hobo code. Historically hobos relied on a shared system of symbols that let fellow travelers know more about their current environment.The symbols can vary from place to place and may no longer be used in many areas. Here are some symbols to get you started:

  • spearhead – defend yourself
  • circle with two parallel arrows – get out fast, hobos not welcome
  • wavy line (signifying water) above an X – fresh water and a campsite nearby
  • three diagonal lines – not a safe place.
  • cross – “angel food,” (food served to hobos after a party)

[8] Hit the road! Leave your roots behind. Find a place to live and work from day to day. See the sights of each new place you visit. Make interesting friends (you never know when they might lend a helping hand). Life on the road means that every moment is your own. With no schedule and no responsibilities (except keeping yourself healthy), you must decide how to best use your time to achieve a balance between work, travel, relaxation, and entertainment. Enjoy the variety that each day has to offer…you’re earning it.

When I was a really young kid, I lived in a neighborhood in Houston close to a big train yard. It’s had a hobo jungle there for a long, long time. I had a buddy named Dusty, and me and Dusty used to sneak out there in the field and watch the hobos. We used to watch guys get on and off the trains all the time, so we kind of knew how it all worked.

Dusty and I did catch a train, to Galveston. We just got on the train in the dark. We got down there, and we’re like, “We’re 60 miles from home, how are we going to get back?” 

Maybe half an hour later, there was a train going the other way, rolling real slow. We saw empties. We caught a train going the other way, and by sheer luck, it went right back to the same place we were at. We were just really lucky. —K-Bar

[9] Don’t hesitate to dumpster dive. You wouldn’t believe the amount of free undamaged food that is thrown away all the time. For the best results check behind smaller grocery stores and fruit markets, as they usually don’t invest money in a sealed garbage compactor (although these can sometimes be opened as well)—just be careful. Fast food chains are also usually good, but more traditional restaurants generally don’t waste nearly as much food—although if you are really hungry you can usually find at least something there.

The history of the hobo is the history of modern America. Starts right after the Civil War and the building of America’s great railroads. There had always been a small floating population of agrarian workers, but they were limited by geography and technology. They were regional. Local. Language historians and etymologists aren’t sure, but the word “hobo” may come from this original population of farmworkers: “hoe boys.”

The railroads change all that. After the war there’s an expanding displaced population available to ride—and help build—a transportation network running from coast to coast. As this is happening, America is industrializing too, and the need for a mobile work force, willing, adaptable and relatively inexpensive to transport, becomes evident. The hobo.

[10] Be tough. Physical toughness is essential for surviving in adverse circumstances. A lot of us in the survival  movement aren’t as physically fit as we need to be (me included). But toughness goes beyond just being physically fit. A boxer learns to take blows, just as he learns how to give them. Strength and agility allow him to give them, but toughness allows him to take them. If you’re not tough, you’re not going to be able to take the blows that life gives you; you’ll fold and just wait to die.

There are two types of toughness: physical and mental. Of the two, mental toughness can be harder to develop than physical. If your mind can’t take the blows and bounce back, you are at a disadvantage. Your mind has to be able to accept the changing reality of a crisis situation or a disaster, quickly overcome the grief, and move into survival mode. This is all about training. The better trained you are, the better you can adapt. Training also gives you confidence, so that you know you’re able to survive.

Don’t romanticize it. Empty your pockets. Empty your heart. There’s only what you carry on your back. There’s whatever you’re chasing and whatever’s chasing you. Maybe there’s some grace to be won in the burdens you bear, or in your swiftness, but at moments like this it feels like the price of your freedom is an unimaginable loneliness.

[11] Learn a variety of useful kills. Hobos did whatever the job called for. While they may not have been experts in any one trade, they were capable of working in a number of them. One month they might be busting broncos and the next swinging a double jack in a mine.

Today’s society has become so specialized that in some cases one engineer can only work on one part of a project. He’s lost when he looks at the rest. But in Henry Ford’s day, the guy who designed the engine could also design the body.

In a survival situation, you need to have a wide variety of skills. If your home is damaged by a hurricane and you need to make it safe to sleep in, you don’t have to be a master carpenter. But you will probably need to be able to cut boards and nail them together. You’re probably also going to need to know a little plumbing and electrical work.

[12] Develop a minimalist lifestyle. When you’re on the go, you can’t take a lot of extra baggage with you. You’ve got to cut things down to what you really need. Our modern lifestyle is so cluttered with stuff that we’d need a backpack the size of a semi-trailer to bug out.

In passing you’ll hear that “Dutch owns the boxcar,” and it won’t matter if they mean this literally or figuratively. The boxcar is a fixture in the Britt jungle, permanent. Long off the main line and set here years ago, it is a meeting place and a memorial, an antique keepsake and a hideout. Dutch sits with his gear at the north end of the car. Everything he owns fits in a knapsack. Heaviest thing he carries are his memories. Folks come and go, talking. The Dutchman is a focused listener. Intense, even at rest. As often as not, he’s up there with the younger ’bos, the newer riders, answering questions and giving tips. (For insight into this next generation of gutter punks and crusties and dirty kids, the postmodern hobos, search out the stunning photography of Mike Brodie.)

Learn what you need and then cut down to that point. If you’ve got stuff in your house that you never use, then why do you have it? Really, if you haven’t used it in a year or two, you probably won’t. So, pass it on to someone who can use it and make room in your life for what you need. You have to limit yourself to what was important, and if something wasn’t important, you need to let it go.

[13] Community is important for survival.

Hobos typically gathered together in communities, wherever they were working. That way they could help each other out. Cooking for 10 people is easier than cooking for one, and by doing so, everyone doesn’t have to carry a pot around with them. One would bring the pot and the others would bring the ingredients.

No one rides with the hobos, so let them ride.

When you’re part of a community you also tend to watch out for each other. If you’re by yourself, you might not see what’s happening to you. For example, hypothermia can set in in such a subtle way that you freeze to death, without even realizing what’s happening to you. But if other people are around, they’ll likely notice you’re not well and help.

[14] Learn to live off the land. Most hobos could recognize edible plants and those which could serve as medicines. While they bought food, they augmented what they bought with what they found. Knowing what you can eat can mean the difference between a full belly and starving to death. Knowing what you can use for medicine can also save your life. God has provided a wide range of foods and medicines in nature around us. But you’ve got to know what to use and how to use it. When you’re living that minimalist lifestyle, you really need that knowledge.

“It’s a hard life in a lot of ways. It probably shouldn’t be romanticized the way that it is. You get yourselves out there, and it’s cold, wet, and the steel is hard. It’s very dangerous. There are people out there that aren’t very nice. But I wouldn’t trade it for anything. It takes a lot. I’m a man of few words.

[15] Nothing is beneath you. Throughout history, there have been people out of jobs because they wouldn’t take one that’s beneath them. While I can understand that to some extent, that pride can be deadly. When things go bad, such as in a financial collapse, we’re all going to have to do whatever we can, no matter how much education we have or what we did before. There’s no room for pride when it comes to surviving a crisis.

“Everything I’ve owned, and everything I want in life, fits in this house [points to his knapsack], right in my pack. Anything that doesn’t fit in my pack, I can’t carry with me. I don’t want it. I can’t have it. It all gets left behind. It makes me a different kind of person. It’s given me something special in life. I’m not attached to anything. I wander with the winds. I know that a lot of people wish they could do the same.

[16] Quit worrying and do what you can

Part of the reason that hobos are seen as lazy and shiftless is that they weren’t worriers. They did what they could and left the rest up to divine providence. We could all learn from that. Yes, there is always a part that we are to do. If we don’t work, we’re not going to eat. But on the other hand, worrying never added a day to anyone’s life. When you’ve done what you can, just hope and pray it’s enough. The rest is beyond your capability.

Worry causes incredible health problems. High blood pressure is caused more by worry, than by any other reason. Why do you think they call it “hypertension?” That literally means, “too much tension” or “too much stress.” Quit stressing out and get to work. Do your part and then follow the example of the hobos, putting the rest in God’s hands.

You might need to become a hobo…

America is really in that bad of a state. Consider this video below. It’s not staged. It’s real Americans “on the street”. This is what happens when you intentionally dumb down an entire society of people.

This is not just worrisome, it is scary.

There are 62 million illiterate people in the USA, that is 39 million more than in China.

In the USA with its overwhelming military power, 1/5 of the population is illiterate. And all these people vote.

Kitty Anxiety

Poor kitty. Don’t worry, she’ll be back. Don’t worry. The girl was gone for ten days, and left the cat alone! God! That cat was probably worrying it’s little heart out! People never do this! At least get a house sitter, or someone to check on your cats, if you cannot afford to take them to the pet hotel.

Ten days!

 

A cat’s life in China

It’s sort of like this. And due to the urban situation, many people have cat backpacks and strollers that they take their cats with them with. That way they can go into establishments, and eat and drink and have a good time.

Which country has a better human rights situation?

If you watch the American “news” you would be inclined to believe that America has the best human rights. Which is strange as less than 13% of American trust their government. So how can you possibly have strong human rights and such a pitiful trust in government? Well, you just cannot.

Another beautiful Chinese girl

This girl is just a classic plate of over easy side eggs, with a side of rye toast and baked beans (erp. I mean grilled potatoes) with a tall glass of orange juice, a fine cup of coffee and a short stack of “flap jacks”. She’s a morning dew kind of girl, with perfection in her clothing, and sunniness in her smiles. check out the video.

A breakfast kind of girl.

Chinese Aviation

China has some serious cutting-edge aviation. These are all home designed, locally manufactured aircraft produced in mass quantities. Here’s a video to give you an idea of the extent and scope of Chinese aviation. Click on the picture to watch the video.

Chinese aviation.

Vibrato

This is a K-pop song performed by Stellar which is a Korean organization. Their membership is about one half Korean, and the other half Chinese. All are Han Chinese ethnically.

This particular song was released back a few years ago, but I must tell you all that the dance troupes in my office building (for a long period of time) would always practice this particular dance and the related moves.

All the girls love to dance it, and I would stand outside in the hallway, and watch them dance through the floor to ceiling glass walls. I must admit that I loved watching them all dance. Eye candy, so they say.

One dance practice was so inspirational to me that I just walked into the studio after a dance and invited all of them out to a dinner and KTV. I can tell you that we all had a great time afterwards. You just go in with a big smile and tell everyone how fantastic they were and how it would be personally important if you could buy them a meal and have some fun at a KTV.

Easy-peasy. Lemon-squeezy.

Click on the picture to watch the amazing dance video.

Vibrato by Stellar.

A fine baked potato girl

This fine woman is a “baked potato girl”. When I see her, I think of baked potatoes with creme cheese, and cut up bacon, spring onions, and olives. Not to mention the side of strip steak, and buttered green beans. When I see her, I think of a nice dinner, together. Talking. Just having fun.

A nice leek and eggs kind of girl

Here is a thin Chinese girl. She reminds me of a scrambled eggs and leek dish that is so delicious. I like to eat it with a side of hot peppers in oil (a very Hunan Chinese dish) and wash it down with some gulps of white wine. Of course, eating in a nice family-style Chinese restaurant.

Spaghetti and meatballs

One of the things that is difficult to get in China is Spaghetti and meatballs. You need to make it by scratch. And in China, a land without ground beef, you really need to get the meat, and grind it up yourself. Some fast and convenient American foods aren’t really all the accessible in China. Sigh.

One of the things that is difficult to get in China is Spaghetti and meatballs.

The old railroad spur

Just some cool pictures taken with a railroad theme. I love this theme. I hope that you all do as well.

Colorado circa 1900. “Mining camp at Chattanooga on Mineral Creek.” 8×10 glass negative by William Henry Jackson, Detroit Publishing Co.

Chattanooga thrived as a staging point for ore and supplies passing between Silverton and Ouray. The arrival of the Silverton Railroad in 1888 eliminated that need. The following year an avalanche wiped out most of the town and it was not rebuilt.

There’s plenty of slide evidence in this photo. Today, the BLM uses howitzer rounds nearby to trigger controlled avalanches. The Million Dollar Highway passes through.

This is one of the few Colorado photos by William Henry Jackson in the LOC. Most ended up with, I believe, the Colorado Historical Society.

April 1939. “Jersey City and Manhattan skyline.” 35mm nitrate negative by Arthur Rothstein for the Farm Security Administration.

The intersection at the far left right above the trains is Jersey Avenue & 10th Street. The park is Hamilton Park. The building with the columns is not there any longer. Nor is the building with the kind of cupola adjacent to the park. But you can make out Harborside Financial Center in the distance, that was the key. Most the other industrial buildings in the distance are gone. Photo probably taken from the roof of the Erie warehouse bounded by Coles, 11th, Monmouth, and 12th.

Jersey City has always been a dense, gritty city with its share of slums. However, it was a pretty safe city until the 1960s, when things started to deteriorate. My grandfather, who lived in the Greenville section, used to say “Jersey City is getting so bad, the muggers are mugging the muggers.” He wasn’t far off. While it’s a little safer today, there are still many parts of the city where you don’t want to be walking at night. And the majority of the buildings date from the late 19th and early 20th century. Fortunately, the misguided highrise public housing projects are coming down (Currie’s Woods, Montgomery Gardens), and the JC waterfront is being built up to the point where its skyline has overtaken Newark’s as the best in the state. The spillover from Manhattan that started in the ’80s to escape the high cost & taxes continues today.

Syracuse, N.Y., circa 1905. “New York Central Railroad depot.” 8×10 inch dry plate glass negative, Detroit Photographic Company.

Oh, and what do you all think of this?

This came out of Russia when the Soviet Union collapsed in the 1990’s. I don’t know if it is fake or real or what it is, however it sure is interesting.

Video 1

Video 2

If anyone has some further information or thoughts, please please tell me. I’d appreciate it.

And never forget to be the RUFUS!

Just because you are in Jail for tax evasion, crossing the street when you aren’t supposed to, or got in a fight and are in jail. The person you are is not what others say your are. Your worth is your ability to help others, be good, and to help improve the lives of all those around you. Be the Rufus!

Be the Rufus!

Chinese Air, Sea Rescue

A nice video showing something that you would NEVER see in the Western media. This is one of the Chinese Air, Sea, Rescue helicopters that are used to rescue people from the sea. I found it very interesting.

Chinese air, sea rescue.

Feels Like Summer

Shawn the Sheep is a great series of fun movies and videos. I have always enjoyed them. They had a movie and this following song was featured in the movie. It’s an up-beat happy, peppy tune that is Springtime and Summer, and ice cream all rolled into one. I hope you enjoy it.

Click on the picture to watch the video.

Feels like summer.

It’s time to turn in and chill out

MM needs some Me Me time. So there’s a bottle of cheap red wine, some slices of fruit, and peanuts waiting for me. I hope you all enjoyed this.

From MM’s home to yours; have a great evening.

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

.

.

.

..

.

.

 

MM Ghetto Blasters and Stardust Funk

Today, I am going to present a follow up article to my earlier post titled the “MM blue plate special”.  Here we are going to follow the same format, with articles, photos and short videos depicting various things in no particular order. I hope that you all enjoy it.

We will start with…

Philly Cheese-steak Sandwich

The cheesesteak sandwich is made up of thinly sliced steak that served hot with melted cheese in a long, crusty Italian roll. Peppers, onions and mushrooms are optional, but highly recommended (by me). It’s a popular street-cart food, with its origins coming from Philadelphia, Pennsylvania.

Philly Cheese-steak Sandwich.

Chinese boy and girl scout “Jamboree”

In China, most things are co-ed, so there really isn’t a boy scouts,  or a girl scouts. It’s just “The Scouts”. Here we see the Chinese equivalent of a Scout Jamboree. It’s a really short video, but a lot of fun. Check it out.

Chinese Scout Jamboree.

Helping an old man cross the street

Oh, I know. It’s so “boy scout”. But really! Where is your humanity? We all need each other. We really do. No one is alone. We all all connected, and if we start treating each other as part of our family, then maybe… just maybe the world will be a better place.

Getting Married in Beirut

In 2020, Israel fired two Air to ground Thermobaric weapon to the Beirut port. This devastated one of the key ports for the Chinese BRI, Belt and Road Initiative. Initially, the American media heavily promoted the excuse that it was just accidentally self-ignited fertilizer, but when photos of the actual missiles were produced, the narrative quickly changed to birds and crows being misidentified.

Afterwards, (then) Secretary of State Mike Pompeo gleefully announced that there was “substantial progress” in stopping the Chinese BRI in the Mediterranean.  You all can put two plus two together and realize what is going on. You don’t need a degree in Geo-political international politics to figure it out.

The following is a woman, on her wedding day, getting married in Beirut when the United States destroyed the Port of Beruit, via proxy using Israeli aircraft.

Cool video.
Getting Married in Beirut

Bathers at Atlantic City.

The Jersey Shore circa 1910. “Bathers at Atlantic City.” Many of them gamely striking a pose for the camera as they peer into the existential void. 8×10 inch dry plate glass negative, Detroit Publishing Company.

Bathers at Atlantic City.

Did women wear corsets under their swimming suits back then? They all seem to have very slender waists.

Be the Rufus!

Man gets horrible news and lunges towards a high speed train!  Only a real Rufus can save him! Be the Rufus! It’s our highest calling!

Be the Rufus! It’s our highest calling.

Metal detecting

Ponder this…

Nice finds.

A typical park in China

In China the purpose of the government is to support and enrich the standard of living for the Chinese citizenry. It is not to make the richest people wealthier. Thus money, time and effort goes into making parks, improving infrastructure, and improving every aspect of the lives of the Chinese.

Here’s a typical park.

Mom Making A Kettle Of Apple Butter

Making a kettle of apple butter.

When I was growing up, one of my least favorite tasks was helping make apple butter. It seemed like it took forever, and it did indeed take the better part of an entire day – usually on a beautiful fall day that God must surely have provided for the sole purpose of running around and playing in the woods. But there I was, helping make apple butter while the precious daylight hours wasted away!

Yes, in the opinion of most any little boy, a day spent making apple butter is a day thoroughly wasted. First, you have to peel, cut up and wash about a million apples.

Then you have to gather a mountain of firewood and keep the flame burning nice and hot for hours on end…all the while stirring the smoldering apple butter non-stop until you’re old enough to begin sprouting facial hair. Of course about every six minutes throughout the day I would annoy mom just a little bit more by asking her if the apple butter was “almost done”…

And finally, after having asked for about the 10,000th time, she would say the two most beautiful words in the English language: “just about“.

Shortly after that she would break out the “cans” and start filling them up with the precious concoction that we had just spent such a large portion of our lifetimes making.

Finally, after all the pint and quart jars were filled, an amazing thing would happen: mom would give several jars of it away! I remember thinking “What are you doing, mom? After all we went through to make that stuff you’re gonna hand it out for free?

But that was mom, always being generous and sharing with others regardless of how hard she had to work to get something.

Reflecting back on those years, I now understand why mom did so much work for what seemed to me to be such a small “payout” – she had to. She had a large family to feed and little extra money with which to do it, and we kids had to do our part to help put food on the table. And now that I think about it, those were some of the best times of my life.

Saved by a Rufus!

A truck is out of control. The driver is unconscious, and it’s a treacherous mountain road. What are you going to do? Well, if you are a Rufus, then you know exactly what you should do!

Saved by a Rufus.

周杰伦、费玉清 – 千里之外 (Live)

One of the most famous Pop songs in China. Performed live of one of the Chinese talent shows by the original singers.

周杰伦、费玉清 – 千里之外 (Live).

Being silenced by the war-loving neocon cabal

All of us who live in China are used to this kind of bullshit.  Ignorant fucks accuse us for being paid to say good things about China. Why? because they read about it on their “news”. But what is “news”? Today, it’s a fully paid arm of the government, and if the government wants to demonize China, and you say “Whoa! That’s wrong.” then they will demonize you too.

I try to stay out of the fray. But it affects all of us here.

Watch and learn.

Being silenced by the war-loving neocon cabal.

Traveleze: 1959

This 35mm Kodachrome found in a thrift store is dated August 1959 and bears the notation “Jim, Bristlecone.” The color-coordinated Chevrolet truck and Traveleze trailer are a nice late-Fifties touch.

Nice. 1959. I was a baby at the time.

Where else could one stumble on something like this, nicely blown up for close viewing? 

I drove one of these pickups up in the Colorado Rockies with the Forest Service for two summers back in the mid '60s. Four on the floor (with a super-low stump-yanker first gear, good only for hauling heavy loads up 4% grades--most of the time you started in 2nd if you wanted to make progress), and yes, mine was two-tone as well. 

The rest of the stable included a '58 Ford F100, also two tone, and a couple of shiny new Dodge Ram V8s that were all-green, and two Jeeps, a Wagoneer and a CJ5. 

It was a joy and a privilege to motor around in such a beautiful mountain setting (much like in this shot) in such a cool vehicle. Thanks for the memory!

-DougR

季彦霖 – 选择失忆

Here is a typical Chinese MV. This is the kind of song that the chicks in the KTV would sing their hearts out to. I hope that you all like it.

季彦霖 – 选择失忆.

Meanwhile In Hawaii

There is a movement inside of Hawaii calling for separation away form the United States Federal Government. I am sure that many people on the mainland also feel this way about their own States. After all, if you get rid of the Federal government, automatically your taxes are slashed, and your income increase an easy 30%, not to mention Social Security, and forget about all those wars in far off and distant lands.

Heck! If Kentucky want’s to fight Kenya, go for it. But let those in Kentucky decide, not some rich oligarchy psychopath in Washington DC.

Ah. But you know, nah it will never happen. But it’s nice to see something that the mainstream, and the alternative will refuse to cover.

 

Ghetto Blaster

70s Ghetto blasters and boomboxes in the 80s existed long before pocket-sized radios were a possibility. Part of the era before the iPod, the MP3 player, and even the Walkman in some cases, people needed a way to take the clunky tech of an audio system with them outside.

Ghetto Blaster

The ghetto blaster sprang to life in 1969, introducing a large, yet still (somewhat) portable machine with multiple loud speakers. The Philips company was the first to discover the tech required to make audio “portable”.

In the early days, most people referred to these products as boomboxes. The name, in part, referred to the heavy, box-like design of the machine. Plus, most boombox radios also came with a bass-enhancing codec, which meant you got plenty of boom.

Margherita Pizza

Margherita pizza is a special variety of pizza that originates in Naples, Italy. In Italy, this pizza is a protected food, meaning that it must be prepared in a certain way to bear the “pizza Margherita” label, and the Italian government actually certifies bakeries that produce it.

This pizza is very simple, placing an emphasis on fresh, wholesome ingredients and high quality bread dough. It is also the basis for many pizzas served around the world; most people can probably obtain a version of it from a local pizza establishment, and cooks can also make it at home.

Margherita pizza.

A man collapses in a hospital

You go to a hospital when you are not feeling well. Well, what if you were delayed, and you are really in a bad state? Well, don’t worry, there will always be a Rufus nearby to help you when you need it most.

Be the Rufus!

Be the Rufus!

Another pretty Chinese woman

I do love to look at pretty women.

A pretty Chinese woman.

So, what is a ghetto blaster?

The name alone is enough to conjure an image of one of these products. In the 70s, 80s, and early 90s, most ghetto blasters were large, angular (box-shaped) devices.

Ghetto Blaster gone mobile.

While the features available from a ghetto blaster have changed over the years, they usually include:

  • An amplifier (with extra bass support)
  • Two loudspeakers (volume is a must)
  • A radio tuner (AM, FM, and sometimes DAB)
  • A cassette or CD player
  • A handle for portability

The cassette tape and CD player components of the modern ghetto blaster are quickly being phased out. Although you can find a new ghetto blaster with CD player components these days, it’s more common to simply play your music collection via Bluetooth.

A Ghetto Blaster is also known as a “boom box”.

Ghetto blasters need to be loud, versatile, and portable. In the past, designers used to slap a handle on a blocky machine and leave customers to figure out the rest.

This frequently led to people carrying ghetto blasters on their shoulders to make managing the weight a bit easier.

Today, more modern ghetto blasters from companies like JBL and Sony are a little more ergonomic. Some products come with straps so you can carry your radio like a backpack.

A Ghetto Blaster is also known as a “boom box”.

Others feature unique designs that make carrying the machine on your shoulder more comfortable.

A “Mexican” Plate

I happen to really like Mexican food, but unfortunately, I just can’t get it in China. Sigh. But no matter, Here we have some refried beans, some burritos, lots of cheese and rice. It’s really mouth-watering.

A “Mexican” Plate.

Street walkers in Vietnam

There are some interesting sights and sounds in Vietnam. Here’s a short clip of some “street walkers” in one of the main (foreigner) areas of Ho Chi Min city.

Street walkers in Vietnam. Be careful! They might be “Lady Boys”.

A New York Style Pizza

This is a type of American pizza known as a “New York Style” Pizza. It has a very thing crust, is long, and has piping hot cheese on the top of it. Us “locals” like to curve up the ends of it when we eat it.

New York Style Pizza.

80s ghetto blasters and the identity of an era

While Ghetto blasters in the 70s and 60s were available, it wasn’t until the 1980s when popularity surged. The ghetto blaster became the icon of a generation, acting as both a practical tool, and a status symbol.

A young man sits on his bicycle with his boombox. Cairo, Illinois. 1985.

Today, we still see boomboxes as a component of the 80s and early 90s aesthetic. Many leading artists use ghetto blasters in their music videos. Just look at “Hung Up” by Madonna, or “Just Dance” by Lady Gaga.

So, why did the ghetto blaster suddenly surge into the mainstream in the 1980s? There are a few answers to this question.

In the 80s, cassette ghetto blaster products were often associated with urban society. African American and Hispanic youths frequently carried ghetto blasters with them wherever they went.

Rapper LL Cool J holds a boombox outside of a concert. 1986.

In fact, the popularity that boomboxes had in this environment is what helped them to earn their new term “ghetto blaster”.

What to do if you have some fresh Italian bread and some tomatoes…

A nice idea. Especially nice if you add some friends and a few bottles of wine. Hint. Hint.

Are you getting hungry?

And how you do it / make it…

Something like this…

What a steak!

Now this is my idea of a steak!

How about this for a steak?

How mothers bathed their babies in the 1950’s

It’s a joke! But it does seem kind of funny how the mother is posing next to her new washing machine.

How mothers bathed their babies in the 1950’s.

Boomboxes start community bans

The problem with the boomboxes is that they play LOUD, and everyone can hear the “music”.

As is often the case when music incites a community, cities began to ban boomboxes from public places. Unlike standard radio sets, governments didn’t have complete control over what people listened to on their ghetto blasters.

It was just as easy to listen to your own cassettes and CDs as it was to tune into Kiss FM.

Young men and their boombox. Newark, New Jersey. 1987.

Ghetto blasters in the 80s grew in popularity as a versatile way to listen to all kinds of music. Some groups even started using these portable players to create music.

The boombox became instrumental to the rise of hip hop music. Curb-side rap battles often included a number of ghetto blasters. The fact that ghetto blasters could deliver more bass and volume than a standard speaker made it perfect for the rise of a new genre.

A young man poses with his boombox on a sidewalk in Brooklyn. 1985.

Aside from providing the soundtrack to many urban music battles, ghetto blasters also became a status symbol. The Beastie Boys embraced the ghetto blaster as a signature of their “rebellious” nature. The Clash always seemed to have a boombox with them.

Elsewhere, the devices appeared frequently in shows and movies. Just think of Fame, in the 1980s, or Flash dance, for instance.

Even the National Museum of American History once created an exhibition around ghetto blasters. The event, titled “Hip-Hop won’t stop” featured an insight into the impact boomboxes had on the urban underground.

Bruce Springsteen fans have a picnic with a boombox in the trunk. Location unspecified. 1985.

A pretty girl from China

From one of my “pretty girls of China” collections.

Chicago Pizza

This is what we call a “Chicago Deep Dish Pizza”. It’s sort of like a Pizza Soup and it is so very delicious.

Chicago Pizza.

I really like this picture

I don’t really know why. I think and believe that it teleports me to a different time; a quieter time and a more peaceful time. I think that it is lovely.

A nicer time.

Comfort food

For me, one of my favorite comfort foods is a grilled cheese sandwich and tomato soup. My wife, who is Chinese, has a different comfort food. She likes snails, and shellfish. And my friend Mike, who is also Chinese, prefers noodles. Lots and lots of hot noodles.

We are all different. This is my “comfort food”…

Grilled cheese sandwich and tomato soup.

Guess the movie?

Can you guess which 1960’s American movie that these quotes came from? Hint: a song about “burning bridges” from the movie become a top pop hit.

A “star studded” cast.

What happened to ghetto blasters in the 1990s?

As ghetto blasters earned more popularity around the world, they also became more complex in functionality and design. By the end of the 80s, ghetto blasters frequently came with separate high/low-frequency speakers.

You could also access secondary tape decks so that you could record off the radio and other music systems.

Over the years, manufacturers introduced a host of new features, from balance adjusters and equalisers, to Dolby noise reduction tools, LED sound gauges, and CD players. Despite all of this extra functionality, ghetto blasters in the 1990s weren’t as popular as they used to be.

Teddy Boys in Tokyo’s Harajaku Park. 1986.

The problem wasn’t with the technology of ghetto blaster boomboxes. Rather, customers didn’t see the objects as capturing as much soul as their predecessors. Sometimes, when things in our history go “mainstream”, their impact can feel a little watered down.

Manufacturers jumped to add new bells and whistles to their systems to ensure that customers could have the latest and greatest tech. Unfortunately, the old-fashioned feel of the ghetto blaster wasn’t there.

On top of that, in the 2000s, when the ghetto blaster started to take on more high-tech functionality, it seemed to lose its retro appeal.

Breakdancers on 5th Avenue in New York. 1981.

The popularity of 80s ghetto blasters was about more than just what these systems could do. People loved the portability and impact of the boombox. However, it was the stigma attached to the device that really made having a boombox exciting.

People felt less inclined to risk the issues of carrying a boombox in public. After all, you could have all your music on the go with a Walkman, and you didn’t need to spend as much. In the 90s, an appreciation of music became a more personal, inward experience.

People weren’t as keen to blast their music in public places. We started to think of radio, CDs, and other audio experiences as more personal affairs.

A young man in roller skates and a pith helmet in New York City. 1970.

Even some of the more popular modern ghetto blasters available on the market today are equipped with headphone jacks for that very purpose.

Chinese military

You never see anything at all about the Chinese military in American (or Western press). It is always depicted as some kind of third world group of starving, illiterate peasants that are fielding hand-me-down Russian AK-47 clones. Not true. Here’s a nice video showing some of the weapons that China has developed on their own and field right now.

Chinese weapons.

Problems in America

All over the West are problems with kids and their parents who never had to deal with the consequences of their actions. Why? Because it’s “forgive and forget”. Well, maybe the judicial authorities are easy to “forgive and forget”, but not the victim and the perpetrators. So imagine my surprise in reading this…

A Pleasant life

You could say that this was me when I was on Parole and living in Erie, PA. Of course, at that time I was forbidden to have a computer, or a phone, or to watch movies. But I did have a cat, and a simple apartment where I lived alone. But I had a dream and a goal and my affirmations.

You all too will be able to move to more meaningful lives. Just use the time that you have now. Start making friends, and savor the elements of life more. Smell tomatoes in the store. Go to a bakery when it opens in the morning. Take a new walk down a street that you never walked on before. Make a point of smiling to one person and complementing them.

Your life will improve. I promise you.

Moving towards more…

Rejected by the editors

For some reason.

Girls being girls

And why not? Well, I love the dresses, and you will note that they are all having a great time together.

Girls being girls.

Guys being guys

And why not? To me they look like they are having a good time.

Guys being guys.

Guys and girls together

And why not?

It looks like fun, though I do think that the turkey is a “bit too much” for a picnic.

Guys and girls together.

Yet another Rufus saves someone

It’s up to us; me and you, to make the world a better place. Stop waiting on the sidelines. Stop waiting for the “perfect moment”. Stop dreaming and start doing. Once you be the Rufus all sorts of things enter in your life. You will be stunned. So stop being an observer, and start being a participant. Be the Rufus. Nothing else is worthy of you.

Helping others is our highest calling.

Barber Chair

I think these things are beautiful.

The rise of the modern ghetto blaster

The ghetto blaster of days gone by is often regarded a relic of history today. Think back to the 80s, and you’re sure to have an image in your mind of a ghetto blaster with cassette player functionality and a unique chrome trim.

While many people still have a place in their heart for old-fashioned boomboxes, it’s safe to say that the older models did have their issues.

Equaliser settings seemed to make absolutely no difference to the sound quality. The chunky and blocky design meant carrying your ghetto blaster was a real headache. That’s particularly true if you had extra cassette recorders and speakers to think about.

Man with a boombox walking among a crowd at the Taste of Chicago festival. July 3, 1988.

On top of all that, ghetto blasters also had a major problem with battery life. These portable devices often required a huge number of batteries. Filling a device full of 10-12 D batteries could leave it weighing anywhere up to 26 pounds.

Many of the updates appearing in the new ghetto blaster market come with a focus on correcting the key issues of days gone by. As society continues to embrace the idea of “public music” again, ghetto blasters are seeing a resurgence.

However, today’s consumers don’t want to deal with the old gripes of their parents.

UK Fresh Hip Hop event in Wembley, London. 1986.

Cassettes might have been the perfect way to store and carry music back in the 70s and 80s when they were small and cheap – but they’re not going to have the same impact now.

People want the freedom to stream their music digitally through USB sticks and Bluetooth connections instead.

A group of young men pose with a boombox on a subway platform. New York. 1983.

You may occasionally encounter a CD ghetto blaster which gives you the option to play older audio formats. However, it’s more common to find a portable device that looks more like a massive speaker than a CD player these days.

The modern ghetto blaster combines radio and Bluetooth to introduce something more portable than anything we had in the past.

艾热 – 风语画江湖

Here’s what some Chinese RAP music looks like. Enjoy.

艾热 – 风语画江湖

Rescue the babies!

A car carrying children falls into a pond. The mother cannot get out! What is to be done? You need a Rufus nearby. That is what you need. For a Rufus will help you. A Rufus will provide support. A Rufus will set things right! Be the Rufus!

Be the Rufus!

New York, July 5, 1921. “Lanier Hotel restaurant.”

Fried kidney only 20 cents. Note the sleeping mousers. What’s all that stuff on the floor? Sawdust?

Young girls on roller skates

This takes me back. I used to have a pair of skates like this. Obviously this pre-dates roller blades. It was a simpler time.

Young girls on roller skates.

Acts of kindness in India

The world needs more Rufus’s. Look what is going on in India! It doesn’t take much. But you too can help others. You too can make a difference. You too can be the Rufus.

Acts of kindness in India.

Washington, D.C., 1920. “Suffragettes voting.”

Well, I don’t know about you all, but I do love the place where they are at.

Washington, D.C., 1920. “Suffragettes voting.”

A hungry man sits down at a table in a restaurant…

And starts to eat the left over food that was left behind by the people who were eating there. He’s eating the few remaining table scraps when a Rufus comes and throws away the dirty food, and gives him is own brand new meal. It doesn’t cost much. But a Rufus participates. A Rufus helps. A Rufus makes the world a better place.

Be that Rufus!

Be the Rufus.

Summer is here

For me, nothing says “Summer” than a nice fire in the woods. Especially at dusk when the air chills a bit, the dusk glooms, and the insects start making those night-time noises that they often do. It’s a time of reflection, companionship, drinking and hotdogs. Never forget the food.

In most cases, it’s cheaper to buy a pack of hotdogs and buns than it is to buy some Doritos. So cut down the costs, and have more get-togethers. Spend some time with others. Have a good time. And talk. Just talk.

Make a campfire.

A Rufus participates in his community

It is very, VERY important that a Rufus be part of his / her community. You be helpful. You smile, and you be that light that others look forward to seeing every day. And when things go “South”, the Rufus is always there to “pick up the slack”; to make the world a better place. Maybe in a small way…

…but if everyone was a Rufus, well, there would be a lot less trouble and discomfort in this world. Be the Rufus, anything less is a disservice.

Be the Rufus, anything less is a disservice.

A Rufus is helpful

Not everyone needs to save someone in crisis. But it does not matter. A real Rufus is helpful. A real Rufus is considerate and caring. A real Rufus shows humanity.

Be the Rufus.

A Rufus is helpful.

John Ross says

Well, he said this truth.

John Ross says.

Why are Americans so fat?

Well, there are multiple reasons, but this chart summarizes the most critical ones.

For those of you that are trying alternative campaign ideas…

I have laid out a methodology on how to navigate the MWI through world-line travel. And the key to this is affirmation campaigns. But others might not like the discipline that it requires. If you want to try something easier, might I suggest this…

It’s up to us

It’s not up to the “elected” officials to make rules, laws and budgets. It’s up to us to make meaningful change. We need it. Do not be in denial.  We all need each other, and we need to step up to the plate, put away our fears and start making the world a better place to live in. We cannot control the entire world, or the country. But we can make a difference in our town and in our community.

Be the Rufus. Don’t be the spectator.

Be the Rufus. Don’t be the spectator.

Oh No!

What must this tiger be thinking?

Oh No!

Death Wish USA

As an American, I am often asked (innocently) what the heck the USA is doing? I mean it is behind an event trying to cause World War III with the Russians, it is trying to provoke World War III with Taiwan, and it is being so very cocky and dangerous at just about every level internationally.

I respond that America is desperate.

The American leadership has squandered everything it has and run the nation into the ground.

Now the people are starting to get really upset and angry. Their only answer is to point elsewhere…

"It's not Washington's fault... it's Russia!"

Or, of course…

"It's not Washington's fault... it's China!"

And you know what, the USA military knows, and the rest of the world knows, that the USA is in absolutely no state to pick fights with anyone. Not at all. America is not a nation of Rambo’s. It’s become something else.

So what the heck is going on?

I argue that the next video describes WHY the USA is acting so brazenly crazy…

Here’s a guy so desperate, so unhappy, so fed up with his life that he wants to commit suicide by police officer. And you know what? This is becoming more and more common throughout the Untied States.

Americas video highlight.
An attempt at suicide by police officer.

Van life 1960’s

Today, in 2021, many Americans now live in their cars. The failure of society, of culture, and of government has been colossal. And their solution? To have world war III with both Russia and China simultaneously. I think that they have a true death wish.

Van life 1960’s

A considerate Rufus

Notice how these Chinese Rufus street sweepers handle the spray nozzles when confronting pedestrians and motor bike riders. A Rufus is considerate. A Rufus makes the world a better place.

A place to get away from it all.

There is something about Ireland and Scotland that shouts peace and tranquility. At least in my mind anyways. And this picture of a “man’s castle” is the image of a nice place where a person can go to just be left alone. And you know what? Everyone needs to be left alone from time to time, don’t you know.

A “man’s castle”.

Be the Rufus!

Are you happy with the way the world is now? If not, do you want to change it? What about your life?

The first step in making meaningful change is to recognize that all change is local.

It starts with you.

Not by writing a letter to your Congress-person, or by waving a banner and staging a protest. It begins with you taking part in your community. Be a helping hand. Make a difference. this world of ours needs people that will help change it.

You can be that person.

Start small. Start simple.

Smile more. Be kind. Go out of the way to make friends. Complement another person.

DO YOUR PRAYER AFFIRMATION CAMPAIGNS.

I believe in you.

Be that catalyst for good, meaningful change. Be the Rufus!

Be that catalyst for good, meaningful change. Be the Rufus!

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

.

.

.

..

.

.

 

MM blue plate special

Today, I am going to present something which I hope will become a periodic feature in MM. It is the “MM blue plate special”. My intention is to model it after my very popular “Holiday extravaganza” post that I made on 4 July 2021. Here, I would just place interesting tidbits and stuff. With no particular order.

What is a “Blue Plate Special”?

Throughout the decades of the 1920’s – 1950’s, a popular restaurant promotion was the “blue plate special.”  Served mostly at dinners and other simple eateries, the blue plate special was a reduced cost meal consisting of some kind of meat, (beef, pork, chicken, fish etc.), with a number of vegetables side dishes.

Meatloaf blue plate special.

It appears to have gleaned its name from the actual plates it was presented on.  Solid blue, or sporting a blue pattern, the plates were also divided into sections, a large one for the protein, and smaller segments for the vegetables.  Typically the items were pre-cooked and held at serving temperature.

Because they were not made on the spot, they tended to be a little “over cooked”. This allowed for alacritous service and more efficient turnover.

Over time, the blue plates got broken or disappeared. Today, there just isn’t any special plates that tend to be used.

I guess that the big thing about this meal was that the one plate meal saved time and money on dish washing.  This was very important at a time when people washed dishes by hand, and there was no such thing as disposable plates.

An American Diner.

While the “blue plate” sobriquet has all but faded from use, many modern restaurants still offer “specials.”  However, daily specials are no longer the sole domain of workaday eateries but rather unique meals where the cook makes up a batch of unusual food that they can serve on that day.  When I worked as a short-order-breakfast-chef in San Louis Obispo, California a “Blue Plate Special” might be something like “Stuffed Peppers”, “Cabbage rolls”, “Beef tips on rice”, or a gourmet omelet such as “Blue cheese and prosciutto ham omelet”.

How expats in China make their coffee

Not me. Someone else. But so very relatable. The white bottle that he is pouring in is called Baijiu . It is typically 53% alcohol.

Baijiu is a clear distilled liquor, which can be regarded as the national drink of the People's Republic of China. Its name translates as "white wine" but it is, in fact, a high-alcohol spirit. Chinese people will generally drink Baijiu with food, rather than on its own.

Click on the picture to watch the video.

A video of a man drinking coffee.
How expats drink their coffee.

America is collapsing and needs to blame someone…

America is collapsing all over, in so many ways. And part of it is a system that is unstable; this idea of “democracy”, but part of it is the evil psychopaths that run the country, and part of it is the dumbed down, balkanized population. But what ever the reason, it is a mess, and the United States desperately wants to point the finger elsewhere… to blame someone else.

Because if they can’t find a person to blame, the entire population of a America is going to rise up and lynch everyone in Washington DC on scaffolding.

Click on the picture to watch the video.

A video about why China is being villified.
A discussion on why America is vilifying China right now.

Riding on a Chinese High Speed Train

Personally, I love these things. Big and roomy. Easy and quiet. Cheap. Convenient. No hassles like at an airport. The Chinese have them everywhere. I wrote about them in a couple of posts, and here is just a quick blurb by a English expat on board one of the trains.

Some history. President Obama announced that he would establish a massive High Speed Train Network in America and funded it with billions of dollars. That same time, so did China. Today, America has nothing, while China has the most dynamic, largest and most elaborate high speed trains system in the world.

To catch up, President Biden announced that he is going to build a HST system that will be bigger and better than what the Chinese has done. And he is funding it lavishly. If you were to bet, how successful do you think that it will be?

Click on the picture to see the movie.

High speed train video.
Inside a Chinese High Speed Train.

This is how you eat dumplings in China

The dumplings are something that is truly unique, and you just cannot get them in America or the West. You get sort of a “fast food” version, and you certainly are not provided with all sorts of dipping sauces. Dumplings are one of my favorite foods in China, and I made this video below to show you why I love it so much…

Video on Chiense dumplings.
How to eat dumplings in China.

Riding a Subway in Shenzhen

This is a video that I took when I went to Shenzhen to deal with some matters. Everything in China is brand new, clean and very well maintained. You just have to compare this to the piss-poor pathetic subway systems in the West. If you aren’t comparing then you must be brain-dead. America doesn’t have anything even remotely resembling this.

Cool video taken by MM.
Subway in Shenzhen, China.

Family Dinner: 1952

From Shorpy HERE.

A family diner 1952.

The comments are just precious.

Mother's Swiss-dot curtains are torn on the left panel; her drain rack for her dishes is in its place by the drainboard. 

She normally uses her table for her counter space, but since the table is set for dinner, she's using her sink drainboard for her Sunbeam Mixmaster which whipped up the frosting. 

Ah, yes, that tiny black spray nozzle on the sink. 

Is that grated cheese in the cheese shaker or do they use a lot of salt? 

The younger daughter has her eyes on the boiled frosting cake, as would be mine as well. 

Father and the boy are eying the fried chicken. 

Deviled eggs on a side plate with lettuce? 

There are sweater 'pills' on the older daughter's sweater, at the farthest point West. 

Nice white bread, hard to find nowadays with all the nutritious breads forced on us in our stores. 

Father's hair is combed in a 'combover' on his bald spot. 

Bet any money that Mother's wrist watch is a Bulova. 

Mother ironed and 'starched' the tablecloth, so it must be Sunday. 

Father's shirt is ironed, older daughter's sweater is ironed, younger daughter's dress is ironed, younger son's t-shirt is ripped with holes. 

The plant at the window is a 'Wandering Jew.' 

The tin pots and pans are surely much lighter to lift than my All-Clad set today. 

All in all, the scene resembled by own childhood in 1952, right down to the floral design on the linoleum on the floor.

And then you have this…

In my grandma's kitchen was the soup ladle hanging above the stove. 

Having come from a Pennsylvania coal miner grandfather, my 'take' on this picture is that it was staged, posed and fully planned in advance (unless this was on a Sunday when they would have their best meal of the week). 

The other six week-night suppers were mostly home-made soup and bread, every kind of soup imaginable, more than Campbells could ever come up with. 

Having a large family, my mom said there was nothing else that could satisfy seven or eight hungry, hard-working people as a filling, hot and inexpensive meal like soup and fresh bread & butter. 

She was a master soup cook too, taught by her mother, and I was pretty much raised on soup, some heartier than others, but never disappointing. 

It can be time-consuming to prepare but I've never felt deprived and it really stretches your meat to feed any number of people. (If someone got a big chunk of chicken or beef in their soup, or too many clams in their clam chowder, we used to say "the string must have broke").

And yet another precious comment…

The logo in front of the sink is from Youngstown Kitchens. Yup, I grew up with them.

Yes. I really love Shorpy.

And all the negative “news” about China…

It’s all “hate China”, all the time. And if you believe it then you are sheeple. There simply isn’t any good news about China int he Western press; the Western “news”. During the last two weeks, China had an 8 hour spacewalk, a 100 year anniversary, the recording of sounds on Mars, a new joint moon-base plans, and an expansion of the BRI into Pakistan. What “news” did America report?

A quick video blurb about this strange behavior…

Hate China all the time. It’s what the American “news” media does.

Getting a ferry ticket at AI kiosks

Here is a short video that I took. It depicts what it is like to get a ferry in Shekou. These are the automated ferry kiosks, and you just scan your bio-metics and pay by QR. So fast, so easy. It’s really cool and very relaxed an unhurried.

Nice video that I made.
Getting a ferry in Shekou.

Trying to kidnap a middle school girl

Kidnapping and bad crimes still happen in China, but they are getting to be less and less frequent. Here is a favorite video of mine when a group of guys on a motorcycle tries to kidnap a middle school girl on her way home from school.

It’s one of my favorite videos.

Nice video.
Trying to kidnap a middle school girl.

Chinese military protecting the Uighur Muslims from American-backed terrorism

If you read the neocon publications out of America such as the National Review, you would get the impression that the Chinese military is a third world group of conscripts armed with cheap AK-47 clones. Not even remotely true. The Chinese are a serious, serious nation that DOES NOT PLAY.

Here’s a video (I posted this before) showing a group of Chinese forces that fight the American-backed Uighur “pro-democracy” terrorists out of Afghanistan. They protect the BRI which is the land route that bypasses the threat of American Naval blockade as part of the “QUAD”.

Good video.
Chinese military forces in Xinjiang.

Beach Road

This stretch of road is just two blocks from my house and it is named “Lovers Road”. I filmed it as I was riding home on a bus. You can see the statue of the “Fisher Girl” which is a major tourist attraction.

Right now this entire area is under massive renovation. New parks are sprouting up everywhere and all sorts of malls and waling areas are being developed. China has designated this area as an economic corridor for AI, robotics and IoT technologies and along with the influx of industry comes an equal investment into the supporting parks, green areas, public spaces and transportation hubs. In China the two go hand-in-hand.

Video while going home.
Road near my home.

Advertising Jeans

One of the trends in China today is to make your own designer clothing. You design it, and then you work with factories to make them, and finally you advertise it on Chinese media to sell. These videos are great where the owners or their models wear their uniquely designed clothing and promote them.

In this video, which was taken outside a woman’s bathroom, you can see this gal showing off her nice pair of jeans.

Nice jeans.
Advertising your own brand of clothing.

The New American Army

There has been a lot of talk about how Presidents Trump, Obama and Biden have revamped and reorganized the Army. It’s an “Army of one”; a transgender, a polite and kinder Army. You read about it, and you hear about it. But nothing says more than watching the proud fighting troops sing cadences as they perform drills in front of their barracks…

Check out the video.

The new American military.

Chinese Boy / Girl scouts

The American boy scouts and girl scouts have changed to reflect a softer, squishier and gentler America. Not so in China. Boy and girl scouts are co-ed and they are taught to fight, be the best they can be and endure.

Great video.
Chinese boy and girl scouts.

An Amazing Landing

I am sure that the pilot was well shaken up after this. I’ll bet that he went to the local “watering hole” (bar or pub) and got totally smashed. OMG! Check out the video…

What a landing!
An amazing landing.

Pre-Avatar: 1982

Found on Shorpy HERE.

Watching television 1982.

From the text…

A friend of mine and I "enjoy" 3-D on television in 1982, when there was a boomlet of local stations showing 3-D films such as "Creature from the Black Lagoon" using the anaglyph method.

This method used red and blue lenses to separate the images rather than the polarized system originally used in the theaters thirty years earlier. 

I say "enjoy" because the effect was problematic. 

If you had your color adjusted correctly it was possible to get a moderate dimensional effect out of the blur. With my never-rectified amblyopia, I could get it mostly when things were flying at the camera.

We're watching it on my Advent VideoBeam, no longer in the basement of my folks' house, but in my new digs in Petaluma. 

Fans of the yellow lamp will notice that it's already starting to deteriorate, the hinge holding the middle shade being secured with duct tape. 

Another indication of the absence of parental caregiving is the burst cushion of my red chair. 

Other necessary video room adjuncts visible are Ritz Crackers, a TV Guide (is that Farrah Fawcett?) and shelves full of Betamax tapes. 

Oh, and under my chair a metal file box storing my card catalog of said tapes. The blue binder contains a hand-typed list of just the cartoons. 

Computerization of the collection was still four years in the future.

Afghanistan – U.S. Sneaks Out At Night – Taliban Take Multiple Districts Per Day

This is awkward:

The U.S. left Afghanistan’s Bagram Airfield after nearly 20 years by shutting off the electricity and slipping away in the night without notifying the base’s new Afghan commander, who discovered the Americans’ departure more than two hours after they left, Afghan military officials said. 

...

“We (heard) some rumor that the Americans had left Bagram ... and finally by seven o’clock in the morning, we understood that it was confirmed that they had already left Bagram,” Gen. Mir Asadullah Kohistani, Bagram’s new commander said. 

...

Before the Afghan army could take control of the airfield about an hour’s drive from the Afghan capital Kabul, it was invaded by a small army of looters, who ransacked barrack after barrack and rummaged through giant storage tents before being evicted, according to Afghan military officials.

“At first we thought maybe they were Taliban,” said Abdul Raouf, a soldier of 10 years. He said the the U.S. called from the Kabul airport and said “we are here at the airport in Kabul.”

There is video from the empty base. Hundreds of cars were left behind. The network equipment in the headquarter was ripped out but the base hospital seems to have been left intact. There are even some useful medical supplies stocked there.

Meanwhile the Taliban continue their blitz operation to take over the country. They snatch up district after district especially in the north.

Taliban control.

The Taliban have probably some 3-4,000 fighters in the north-eastern Badakhshan province but they managed to take 90% of it in just 4 days, 14 of its districts fell in the last 48 hours. Some 1,500 Afghan government soldiers stationed there have fled to Tajikistan. The province capital Faizabad is now isolated and the only place that is still under government control.

Where Afghanistan borders China.

Something is quite curious with this. Badakhshan was a stronghold of the Northern Alliance which in the late 1990s fought against the Taliban. It is the home of the Jamiat-e Islami party which consists mostly of ethnic Tajiks and has its own militia. The leader of Jamiat-e Islami is Salahuddin Rabbani who is now also the chair of the government’s Afghan High Peace Council which negotiates with the Taliban.

The mountainous province has 1 million inhabitants. But here are 4 Taliban showing up in a car in the remote Wakhan district. They are not opposed by local militia but are welcomed by the local (male) population.

It is inconceivable that a brigade size Taliban force can seize Badakhshan in a few days and at little cost without having a deal with the militia of the dominant local party. Something must have happened behind the curtains that the media is not aware of.

Americans leave so much behind.

This is good news as a fast Taliban victory in the north will make a new civil war less likely. The neoconservative Long War Journal is aghast as it explains:

Afghanistan is at risk of complete collapse after the Taliban has made dramatic gains in recent days, striking at the heart of the Afghan government’s base of power in the north while seizing control of large areas of the country – often unopposed by government forces. 

...

Much of the Taliban gains have occurred in the north. The importance of the Taliban’s northern thrust cannot be understated. The Taliban is taking the fight directly to the home of Afghanistan’s elite power brokers and government officials.

If the Taliban can deny Afghanistan’s government and its backers their base of power, Afghanistan is effectively lost. The government could not possibly keep its tenuous footholds in the south, east, west, and even in central Afghanistan if the north is lost. If the Afghan government loses the north, the Taliban could take the population centers in the south, east, and west without a fight, and begin its siege of Kabul.

I currently do not think that there will be a long ‘siege of Kabul’ but a negotiated transfer of power.

The events of the last weeks show a more or less controlled retreat or defeat of demoralized government forces and a systematic takeover of most of the countryside and district centers by well prepared Taliban forces. Only the bigger province capitals have not yet fallen though some think that Mazar i-Sharif, the capital of Balkh province, will fall tonight.

It seems that there is a willingness of at least certain parts of Afghanistan’s current government to let the Taliban take over the country without much of a fight.

That gives me hope that a further long conflict will be avoided. After more than 42 years of war Afghanistan needs peace. While the Taliban rule is harsh it is also somewhat just and certainly less corrupt than the U.S. imposed structures. Afghanistan must be given time to find a new balance from which it can then develop in a way that fits the local circumstances and the local peoples’ tradition and morality.

The last 42 years have shown that nothing else will work.

Chinese military concentration

Since Trump’s and Biden’s budgets have just “blown away” all records for military spending, China has taken notice, and has been mass producing nuclear hyper-velocity warheads, missiles and launch systems at a frantic pace. These weapons are impossible to stop, have artificial intelligence, incapable of being jammed, and uses stealth while traveling at hyper-velocities. They are decades ahead of anything the United States fields.

And China is churning them out like buttons from a button making machine.

Great video.
China is beefing up it’s self-defense forces like “there’s no tomorrow”.

A typical day in America

When I say that America is really “out of control” and in a bad state, it refers to behaviors and events that should not be occurring. What you see in the below video is NOT freedom. It is what happens when you live inside of ghetto that is treated as a prison-fortress.

Shootout video.
Life in America.

Pittsburgh

From where I grew up (well, at least where my parents and grandparents lived)…

January 1941. “Mill district of Pittsburgh, Pennsylvania. Long stairway in a working class section.”

Talking about freedom in China

Here is a UK expat who has lived in China talking about “freedom” inside of China. It’s pretty good stuff, and he reflects the view of about 99.999% of us who actually live inside of China.

Of course, you would NEVER see this in the West. And it is censored and shadow-banned on Twitter, Google, Facebook, and You-tube. So go ahead and watch what is forbidden to you to observe…

A video about feedom in China.
Freedom in China.

Wife delivers a birthday meal to a fireman husband in China

Firemen eat in mess halls and live in barracks. Two weeks on, then two weeks off. (Depending on the location.) Here is a guy’s birthday and his wife and child came to visit him and brought him a special birthday meal (or other special occasion, I’m not so sure as my Chinese isn’t that good.) Great video.

Be the Rufus. Make a difference!

A special meal for a special person.

China has lifted 800 million people out of poverty

I remember talking about this fact with my brother, and he was like “yeah. I get it. China has helped some of it’s people”.

No. He didn’t get it.

The population of the United States of America is 330 million people.  In the fifty or so years on the American War on Poverty” hardly anyone was lifted out of poverty. In fact, today, a full half of the United States is in poverty and lives off welfare.

China not only produced results where America did nothing, but did it for nearly three times the amount of people. This is amazing!

Check out the video…

Video on lifting people out of poverty.
An amazing accomplishment.

What it is like to get a vaccination for COVID in China

This is a pretty good video, and it shows the organization and structure of how this is being handled in China. I will tell you that my experience is pretty much nearly identical to this. Of course, it was in a different geographical area, and a different building. This was in Zhongshan, I believe. Mine was in tiny Zhuhai. I actually own a home in Zhongshan.

It’s nice, but I love Zhuhai.

Nice video about getting a vaccination.
Getting a coronavirus vaccination in China.

Chinese Airport Security

Well, the Chinese are very understanding and humane about how they handle people They do not treat them as some kind of object.  Like the American TSA does. Check out this video.

Meanwhile in America

America is a God Damn cluster fuck. Doing “your own thing”.

Police killing a man in America.
America is one enormous cluster fuck.

A very interesting para-military video from China

You might think that this is a video about the Chinese PLA. But no it isn’t. This is a police detachment. Specifically the “corruption police”. Their job is to find and arrest powerful people who use their power, whether in government, or in industry to thwart the law. In China people like Trump, Jeff Bezos, and Nancy Polaski would never make it.

China. Does. Not. Play.

Great video here.
Chinese corruption police.

A great outfit

From Shorpy HERE.

A great outfit for certain.

The site says…

From the collection of film and 4x5 glass negatives I recently purchased that seem to have been taken in upstate New York about 1912. This is from a film negative that has been bent, hence the flare on the right side. The lady's dress is spectacular, and she is coordinated with gloves and white shoes. I don't think this was an inexpensive outfit.

The comments are great…

My wife and I have done historical costuming for years. The fabric of this dress is almost certainly worsted wool. It's surface smoothness looks like worsted and the folds and drapes are too full to be even heavy linen. The dress she's wearing in the first photo posted (the eyelet lace dress) is either linen or cotton or possibly even a blend of the two.

As to colors, that's harder to determine than you might think. Early black and white films didn't respond to colors in quite the way we expect nowadays. Silver halide is most responsive to the blue end of the spectrum. Colors from the other end often wound up overly dark. With glass plate negatives, special dies and filters could be used to produce a more accurate tonal map of the colors, but those didn't work with film at this time.

It wouldn't surprise me if the dress was actually bright blue with bright yellow collar and cuffs or something like that. Wool takes die especially well and so was often very brightly colored (particularly after the invention of aniline dyes in the 1850s).

And I do agree with this comment…

I know nobody cares what I think and I know many people who would never allow themselves to crack a smile in their posed pictures because it may not be "cool" or sophisticated, but this elegant lady would look a million times better, younger and healthier if only she would have smiled or at least put on a pleasant face. Instead she has a dour expression in both of the photos we've seen that makes her look gloomy and judgmental, with a superior attitude. Even Mona Lisa looks more approachable. Perhaps we will see a happy picture of her in the future. (That's my story and I'm stickin' to it).

The new Chinese fighter aircraft

After decades of being the second and third tier suppliers for American, French, German, and Russian aviation, China has developed and designed their own fighter aircraft, and by all accounts is cheaper, better, faster, more maneuverable, and superior that the top line American counterparts. Whether or not this is true depends on who you ask. Certainly K-street believes that American weapons systems are invincible.

Never the less, this is a great video of Chinese military aviation.

I most especially like the little Artificial Intelligence bee-sized hand-grenade that the Army uses.

A great video.
A great video of Chinese military aviation.

Being a Rufus

Just being kind to others is all you need to do to be a Rufus. Just some some compassion. Show some kindness. Show some understanding. Emote and help others. It’s our highest calling.

Watch the video.

Be the Rufus. It’s our highest calling.

A cool car

“1950 Nash experimental NXI.” The Nash Experimental International, basis for the Nash Metropolitan, piloted by Nash-Kelvinator Chairman George Mason with VP George Romney (Mitt’s dad) riding shotgun.

What it is like to travel on a Chinese High Speed Train

Here you can see why I love it. China and Russia are linked by rail , and a new High Speed Line is being established inside of Russia. Russia and China have a great relationship and as time moves forward it keeps getting better and better with each day.

Great Video
Going to Russia on Chinese High Speed Rail.

New wording inside of all Chinese passports

Ever since the neocons in America have been openly being hostile to China, and Chinese citizens, the Chinese have implemented changes and training and advice sessions to Chinese citizens who wish to travel abroad. One of the changes in policy is the text placed inside of each passport issued to citizens of China.

See the video below…

How a Rufus springs into action

This woman is wearing a red vest, which means that she is a volunteer. She is working in her community. Often older, these people play a valuable role and in this particular instance, I think that she was trying to perform some gardening, clean up of landscaping. And she collapsed. Instead of just standing round and filming it, a real Rufus springs into action.

Great video
A Rufus springs into action.

An American school

This was filmed in an American school. I do not know if it was a university or a High School (it appears to be an American university), but I can tell you that this kind of behavior shows a complete lack of control and discipline.  People ask me why I don’t give American citizenship to my daughter. Why?

I don’t want her anywhere near these piss-ant pampered, cocky moronic idiots. Watch this college student “doing his own thing”.

Some background; this young man is the END RESULT of the fire-hose of HATE directed at the Chinese, and the ONLY reason why he is being so God-damn rude is because the professor is Chinese. Thank you FOX news, Bloomberg, Hal Turner, and all the rest.

Video taken inside an American school.
An American school.

What America, the UK and Australia have planned for China…

Most people in the West have absolutely no concept of how poorly and malevolently the Western powers treated the Chinese. Whether it was getting hundreds of millions addicted to Opium, creating and forcing starvation, looting the land and it’s people, it was brazen and astounding in scope and depth. Here’s a little video of some of the rich Victorian ladies throwing rice onto the streets and the starving Chinese people running to collect it.

And this… watch it closely… is what America wants to happen again.

Watch the video.

A video that you will never see in America.
Throwing rice to the starving Chinese.

Cute Robot Dogs

These robot dogs are all so very cute. They were designed to be mass produced into a disposable “throw away” configuration, and are in production for the Chinese military. I can well imagine, about 20,000 of these cute little robots swarming a military base, and then detonating when their AI detects that it is near a target.

Video
Cute Chinese AI dog.

Notable American actors visit the North Korea DMZ

In some kind of silly, suicidal move, some very famous American actors decide to visit the DMZ border to South Korea. You can see Mike Tyson, and Henry Winkler, and William Shatner there. And they are just playing around, joking.

The Asians are a very serious people.

And the idiotic Americans are delirious and have no concept about how dangerous they are playing and dancing with death. This video, seems to have been forgotten, but this attitude has not changed. And I see this attitude being replicated, time and time again in regards to China, and Russia.

Watch the video.

North Korean soldier who will shoot to kill.

Rufus saves a woman and her cat

Nothing makes me feel better than to watch a true and real Rufus in action. A Rufus is a Service to others person, and when they leap into action, I feel so much pride in their actions. It gives me hope for the human species. Because right now, most especially in America, it’s every-man-for-himself, dog-eat-dog world of service-for-self psychopaths.

Great rescue video.
Rufus to the rescue.

Thank you for your smile. It made me see her again.

Sometimes, as we age, we lose those who matter to us. We lose lovers, family, friends. Daughters.

This old man hasn’t seen his daughter since she died so long ago, but this girl has the same smile that his daughter had…

A great video.
Thank you for your smile. It made me see her again.

A Rufus cares about others

There are all kinds of people in this world. Some, well many, have their own issues, demons and problems. We must do what we can within our community. In the video below we have a man who is known in the community as having “problems”. He’s not a bad person. Just has issues.

Unlike the USA, he isn’t shunned and told to lie on cardboard under a bridge, or sleep in a car, or shelter under a tree in a poncho. The community all pitches in and takes care of “one of their own”. having this sense of community is important. You are never alone. You are part of something bigger than yourself.

Be the Rufus. Everything else is a waste of your life.

Great video.
Be the Rufus. Everything else is a waste of your life.

Conclusion

I do hope that you enjoyed the “Blue Plate Special” article / post. Tell me what you think. If you found it enjoyable, interesting or beneficial, I’ll post more of them. If you don’t then I will stop. If you don’t care, well then, I’ll add that to my calculus.

The future is becoming more and more clear with each passing day.  Continue to protect your personal life. Make sure that you run your affirmation prayers, and make sure that they protect you and your loved ones from any strange behaviors on the part of the out of control American empire.

There’s a future ahead. By being aware of what’s going on the the rest of the world we can determine what our part in that world will be.

Keep focused.

Be the Rufus.

Our relationships with others will make our affirmations manifest. Nurture them. Protect them. become a meaningful person in your small group of friends and family.

Do you want more?

You can find more articles related to this in my latest index; A New Beginning. And in it are elements of the old, some elements regarding the transition, and some elements that look towards the future.

New Beginnings

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

.

.

.

..

.

.

 

Falling in Love with the Haunting Sounds of Interwar Polish Tango

Who’d figure? Right?

Well it is true. I discovered this sound while looking for information on vintage cast iron doorstops and came across an article on the subject. then I went on Kouguo and started download the tunes. Wow! Quite an unusual sound.

How to describe it?

Have you ever woken up on the couch in the middle of the night to find yourself staring at a black-and-white movie from the 1930s flickering on the TV? If so, your slumber may have been gently stirred by the film’s melancholy soundtrack.

Drifting between dream-state and consciousness, at first you may think you recognize the tinny strains of a slow Argentine tango, but then you discern a melody suggesting a Chopin nocturne, albeit one that’s been tuned to an even gloomier minor key of an Eastern European klezmer dance number. Perhaps you’re still dreaming?

In fact, you’re wide awake, and what you’re hearing is a Hollywoodized version of Polish tango.

It’s a popular genre of sentimental songs composed between 1918 and 1939 by classically trained Polish musicians.

“That’s the soundtrack of interwar Poland,”

…says Juliette Bretan, a journalist and researcher based in Lincolnshire and studying in London.

“The music is very melodramatic and really rather sad, filled with these depressing lyrics about people wanting to take their own lives, or the fights they’re having with their lovers. But it’s also a very mature sound, a very Polish sound. Had World War II not happened,”

She adds,

“I believe Polish music would’ve had an even bigger impact than it did on the global stage.”

Bretan has been on a mission to learn about her Eastern European roots.

“We know my grandmother was taken from Poland in 1941 to perform slave labor in Germany,”

Bretan says.

“We think she was in some camps for a time, but it’s very unclear. After the war, she met my granddad in a displaced-persons’ camp, but we don’t really know what happened to him before that. They married and then came here in ’46 or ’47, and that was that.”

As Bretan delved into her family’s history, Polish tango became her soundtrack.

“I stumbled onto this music purely by chance,”

she says.

“I find the sound intoxicating, so it became a connection to the world my grandparents would’ve known when they were living in Poland. On the one hand, for me, the music is like a reconnection to my heritage, but on the other hand, what is there to reconnect to? That heritage is all gone, so it’s almost like I’m writing a new history of my family.”

Bretan fell hard for Polish tango, which, in an article for culture.pl, she described as

“merging pinches of the age-old Polish romantic and sentimental melodies with Jewish inflections and a more modern, brassy sound, dripping in glissandos and vibrato.”

There is some very interesting background on all this regarding the inter-war years when Germany embraced nationalism in the form of Nazi Facism. But I really don’t have the stomach to get into that right now. I just want to enjoy the music.

History

Tango was first introduced to Poland in 1913, with the performance of Victor Jacobi’s opera Targ na Dziewczęta (Girls’ Market) at the New Theatre in Warsaw. Its popularity over the following years grew not from palpable influences, but gramophone records, the radio and newspaper reports.

This popular consumption through media prompted Polish tangos to carve their own position in the history of the nation’s music: with a lack of direct contact, pieces began to veer away from the original Argentinian form, adopting a more melancholic sound influenced heavily by klezmer, and a softer melody and harmony; replacing the underlying rhythm of the stereotypical bandoneon with a proliferation of slides and rigorous vibrato. Theirs was a journey that blossomed along with the Polish state itself – these tangos were bulwarks of a new, revitalised Polish popular culture.

In 1925, Henryk Gold and his brother Artur established the Gold Orchestra, an 8-piece jazz band that played regularly at the Cafe Bodega in Warsaw. At first, the orchestra exclusively played ragtime, but soon, with the echoes of a more exotic yet wistful sound creeping across the continent, it slowly began to dabble with tangos and waltzes, styles that would become the pair’s legacy.

A year later in 1926, Artur Gold and his cousin, Jerzy Petersburski, co-founded the Petersburski & Gold Orchestra. By the end of the decade, it was one of the most renowned dance orchestras in Warsaw, performing in the fashionable Adria restaurant.

Alongside this development was the growth in popularity of theatres and cabarets, the most significant being the Qui Pro Quo theatre, led largely by Julian Tuwim and Marian Hemar, and, later, the Morskie Oko cabaret.

These two groups competed fiercely to recruit the best Polish stars of the interbellum era: artists like the now legendary Eugeniusz Bodo (often pictured with his dog, Sambo) and Mieczysław Fogg, who performed alongside Mira Zimińska, Zula Pogorzelska, Adolf Dymsza, and the smouldering Hanka Ordonówna.

Experiments in 1920s music, which at the time were coming thick and fast, now had epicentres from which new innovations and styles could thrive: Poland was beginning to embrace tango-fever like nothing else.

The Wall Street Crash and subsequent global economic downturn in the late 1920s hit entertainment establishments hard. Both Qui Pro Quo and Morskie Oko closed in 1933 – but the music passionately cultivated by the artists of these clubs only played louder, and interest in the style swelled. By the 1930s, Poland – and particularly Warsaw – was seeping with the sensuous melancholic passion of the tango, with new tunes churned out on a daily basis.

Above all, the record company Syrena Rekord, Poland’s first and arguably most eminent recording company, helped facilitated the development of this culture. Established in 1908 by Juliusz Feigenbaum to satisfy the Polish demand for popular music, Syrena Rekord was already booming on the eve of WWI, producing 2.5 million records a year.

But it was after the war that the popularity of the company truly soared: where other record companies fell following the economic depression, Syrena jumped from strength to strength.

The music of 1930s Poland that Wars helped produce was influenced not only by traditional Polish countryside folk motifs, but also by the cosmopolitan nature of the interwar state: after regaining independence, the Polish nation exploded in freedoms of cultures, languages and lifestyles, with Warsaw the pivot. Entertainment united these disparate voices in a pleasant environment, providing a means by which any style could be heard and appreciated.

This was particularly true for the Jewish population, who were integral to the Polish interwar music scene. Jewish composers, singers, songwriters and musicians, many of whom originated from families steeped in traditions of classical music, found liberty in popular culture, combining their efforts with other previously-silenced minorities and cultivating an original sound for the new nation.

The Syrena founder Feigenbaum himself epitomised this atmosphere: a Catholic of Jewish descent, he worked as a musician, composer, inventor and businessman – the ultimate cosmopolitan multi-talent that inspired a generation of Poles to follow.

Though Polish Radio was the driver behind the record business at the time, they only wanted to push the most renowned artists – making Syrena the only medium by which all the Polish popular music of the period, all the new melodies and styles and flairs, could reach the heights of fame. Waltzes like Szklanka Wina – Fest Dziewczyna!, slow-foxes like Już Jestem Taka Głupia, and foxtrots like Nikodem and Tokaj perpetually quavered from gramophones and echoed out of the doors of fashionable nightclubs across the country in the 1930s.

But it was the wealth of unique tango sounds that the Polish population craved the most. With its influences from Eastern European, Jewish and Gypsy music, these particular interbellum pieces spoke to the population like no other music could: a population characterised by a tumultuous history, an investment in multi-culture, and the desire for sophistication and charm.

Poles of the 1930s were allured by the exotic yearning desire of such music: the quivering Slavic intonations, the broken passion, and enigmatic performers. The artists themselves sustained the vision through the adoption of a multiplicity of enthralling pseudonyms, adding a soupçon of piquant mystery to the culture.

Biggest hits

The breakout tango hit of Syrena happened early: in 1929, Petersburski’s Tango Milonga [provided below] (with lyrics by Andrzej Włast) burst onto the scene and quickly became not only a national favourite but also a widespread international triumph, with the English title being Oh Donna Clara. The aching cadences and swelling Eastern European melody gave the piece a lively originality, and it is no wonder that it is still remembered by many as a classic interwar tune.

But there were also other tangos which had a momentous impact in Poland. The popular Umówiłem Się z Nią na Dziewiątą premiered in 1937, sung by Eugeniusz Bodo, with a legacy that lasts even today. The yearning 1935 hit Graj Skrzypku, Graj portrayed by the rich voice of Adam Aston and the charming tones of Mieczysław Fogg, among many others, was characteristic of the tango culture emerging at the time.

Meanwhile, the 1932 piece Rebeka, and its 1934 complement Rebeka Tańczy Tango epitomised the figure of the heartbroken female lover, a trope found in so many tangos of the period. Another of Petersburski’s greats, the ominous lament To Ostatnia Niedziela, nicknamed ‘Suicide Tango’, came in 1935 and still remains a symbol of pre-war Polish culture.

Here’s some examples.

See if any of you find it appealing.

There’s something about violins, Accordion & Concertina music. It gives me the chills. I hope you all enjoy this playlist.

An Interview with Noam Zylberberg

From HERE. All credit to the author, and  kindly note that it was edited to fit this venue.

It was an exciting time in music history – there was nothing to base these songs on. The result was something simple but not simplistic. That’s what I love about it,’ says Noam Zylberberg. The musician, who is performing Polish pieces written and composed in the Interwar period, told us about the sound he is trying to resurrect.

Noam Zylberberg studied conducting at the Jerusalem Academy of Music. He became fascinated with interwar Polish music and established Mała Orkiestra Dancingowa (The Little Dancing Orchestra), which has played concerts in Poland and abroad. Their first album was released this year.

Juliette Bretan: So let’s start from the beginning. Where do your links to Poland come from?

Noam Zylberberg: My grandparents were born in Warsaw but left Poland in 1934. They were young. They wanted to follow their ideals and reinvent themselves. My grandmother’s family were Warsaw people going back many generations and so Warsaw has always been present in my life.

JB: When did you begin to become more involved with Poland and Polish culture?

NZ: I became curious after my grandparents passed away – I was still very young, but I began wondering about their pasts. They never spoke Polish at home, but I’d heard about their lives and families in Warsaw. This fascination followed me into my student years and still does till this day.

JB:Did your interest in interwar Polish music begin from that period?

NZ: Not really; It was a long time until I discovered this music. It all started through an interesting family connection – one of my grandmother’s cousins, Tadeusz Raabe, was a friend of Antoni Słonimski’s. Tadeusz was from a wealthy background – his family owned a factory.

When World War I broke out, they had to leave to Russia. During those years, Tadeusz spent time in Moscow and Saint Petersburg. That was when he discovered their modern art cafés and avant-garde culture – which didn’t exist in Poland at all.

Back then, Poland was in the midst of the Young Poland movement; art was very serious and patriotic. So when Tadeusz returned home, he opened the first modern art café in the city with Antoni Słonimski, who in turn brought with him Julian Tuwim. This was the famous Pod Picadorem cafe.

Later, he also married a well-known singer and movie star, Tola Mankiewiczówna. When I first learned about this, her name meant nothing to me. I found a video online of her singing the tango Odrobinę Szczęścia w Miłości (A Little Luck in Love). If I’m honest, I wasn’t that taken by it – it’s a video of her dressed as a maid, shining a shoe, and at that time I didn’t understand Polish, so I didn’t know what she was singing about.

JB: And when were you taken by these songs?

NZ: I gradually became more familiar with these songs, and as I started to learn about different individuals and pieces I began to think that it would be cool to do something with them, though I didn’t exactly know what. There was something special about these pieces. I was interested in the sound – it’s such a specific sound of the 1920s and 1930s.

The main genre of this style is the Tango.

It was popular all over Europe in the early years of the 20th century, but its life in Warsaw was longer than abroad. Tango was being danced to in Warsaw in the 1920s, but it was only towards the end of that decade that the local musicians began composing them. The first initiative was taken by Jerzy Petersburski and his cousins, the Gold brothers.

JB: What makes a tango Polish?

It’s difficult to answer the question of what makes a Polish tango. I don’t know if I have a good answer, but I suppose there’s a certain softness; the basics of tango are there, but everything else is from a different world. There’s a different warmth to it; it’s less aggressive.

One good example of a Polish tango is O Piękna Nieznajoma (O Beautiful Unknown Woman). It’s split into two parts – a chorus and an interlude. It’s very soft and lyrical; there’s an elegant countermelody in the background; it’s very sophisticated. It sounds almost like an aria from a Puccini opera. When the intersection comes, it’s like a reminder– ‘this is tango!’

That part is as if not connected to the rest of the song, and when the main theme returns, you can almost forget that it’s really a tango: the only thing that suggests tango at the beginning is the rhythm, like an engine in the background. Everything else is water.

JB: And what about the multicultural aspects of Poland back then – the mix of Poles and Jews and Ukrainians and other minorities?

NZ: It was multicultural – but they were all Poles. They all spoke the same language. Most of the Jewish composers and musicians came from assimilated families. They may have come from different backgrounds, but they shared similar values. Almost all of them were professional musicians, and they all received classical musical education.

They knew what they were doing – if they used a Jewish-sounding motive, it was done deliberately. The same way they also wrote songs in Spanish style about Spain, even though most of them probably hadn’t been there – for the audience, going to the cabarets and the theatres was their way of travelling and accessing something exotic.

They worked quickly. A piece composed one morning could be played the same evening. If something didn’t work the way they’d hoped, they could change it the next day. This way of work is like experimenting in a laboratory. This allowed a new style to take shape relatively quickly. They were even writing for particular musicians, basing their arrangements on who would be in the band on any particular day. One day, they might have three clarinets – so they would arrange the piece for them. The next day, they might have one – and so the next arrangement would be different.

The specific musicians and instruments played a big role in shaping this style. This is what differed it from early pop music played in Berlin or London at the same time.

JB: How were the instruments different?

NZ: A good example is the Hawaiian guitar, which features in so many songs from the period. The main musician playing it was a man called Wiktor Tychowski – he was crazy about the Hawaiian guitar. It’s actually him playing it in a lot of these recordings – the other musicians probably liked working with him – it featured so much that eventually it became a characteristic of the style. Tychowski was just one person but he left a mark – each of these individuals had influence.

JB: And what was the next step for you?

NZ: I spent a lot of time getting to know the style and the people, and then I went through the songs online and made transcriptions of them. Still in Tel Aviv, my idea was to collect a group of people together to play Polish tangos and have dance parties … you know, a very underground scene, playing in a dark basement with hipsters who don’t even look you in the eye – that kind of thing.

Eventually, I never actually set it up. Instead I started travelling to and from Warsaw and met up with some musicians in the city – and one day I just stayed. I spent my time making transcriptions and preparing scores – mostly tangos at that stage.

JB: So you said you transcribe these songs to be able to perform them?

NZ: Yes. When we talk about style, a lot of it has to do with instrumentation. The notes that were published and were available to the public have all the harmonic and melodic information but don’t include any instrumentation, so they’re not helpful for playing in the original style. So I use old recordings and transcribe them.

We try to follow the stylistic traditions of the time – it will never be 100% the same, and that’s not what we want. We’re different people living in a different world, and we’re not interested in imitation. But we try to think about it in similar terms to those in which they were thinking when they created it.

For example, the instruments didn’t change that much, but the technique and approach did. Back then violin players tended to use a lot of portamento – sliding from note to note. But today this is considered bad playing. I can’t ask my violinists to completely change their technique, but I want them to know about it. I want them to be informed, to listen and understand why it sounds the way it sounds.

JB: Do you think this music is coming back into fashion? There seem to be a lot of performers like you whose repertoires include these songs.

NZ: Yes, but each group is doing it differently. There’s room for everyone.

JB: Has this sound always been here, or did it dissipate in 1939?

NZ: In the late 1940s, for a few more years, you could still hear reminiscences of the style in Warsaw. But most of the musicians of the previous generation were gone by then – some perished in the war and other immigrated soon after – and the sound changed. The style back then was based on people; they made it the way it was.

JB: So what about those who survived and kept playing and singing – the best example being, of course, Mieczysław Fogg?

NZ: Fogg’s style changed – you could even say he was a different singer between the 1930s and the 1970s.

What Fogg did – what we owe him for the most – was to be a symbol. Because he was here, he became a symbol of old Warsaw. Some musicians who stayed couldn’t find themselves in the new world. But after the war, Fogg recorded the songs from the 1920s and 1930s in new versions. The songs Fogg didn’t record are mostly forgotten, and those he recorded are the ones we remember. He’s responsible for that.

JB: So what made the Interwar period special?

NZ: It was a peculiar and interesting time all over the world, and it was the beginning of pop culture. Before, there had been serious and folk music – but not pop. The world was changing quickly; technological advances and changes in the social structure changed the way people lived. Suddenly, you have recording and films that need music, and cities were getting larger. It was the first time in history when people had money and time and wanted to have fun. Consumers of culture grew, so there was a need for music.

This music had to be invented. There were questions – ‘How do you write a pop song?’ ‘How long should it be?’ ‘How should you sing one?’

Many of the early songs just don’t work anymore; they’re not relevant and no one speaks this way, so they can’t connect. But things changed – there was an influx of artists, many from Lwów (today’s Lviv), who could create charming rhymes and simple feelings.

JB: And how are your performances taking this into account? Are you performing at the moment?

NZ: There is still more to do – there always is. We perform at SPATiF [a club in Warsaw] regularly, and we have the album, which was produced with the support of Polish Radio.

Almost all of our musicians come from a classical background – they’re a bunch of people who are interested in exploring . None of them grew up with these sounds. This style is not natural for modern musicians. So we have to think: ‘How do we achieve this?’ So even just the way of thinking about the notes was something that we had to work out together.

One point is swing. Today, everyone knows what swing sounds like, but back then, it was something new and unnatural. When we started rehearsing, it sounded more like New York in the 1950s than Warsaw in the 1930s. We had to forget it. Even in concerts, I’ll remind the musicians not to swing. When you start swinging in these songs, everything falls apart and that engine dies. The piece gets heavier – it should be light.

JB: And do you have any favourite pieces?

NZ:  One song which is close to me is Codziennie Inna (Different Every Day), which opens our album.

It wasn’t part of our original repertoire – but we had a concert in SPATiF and a couple of the musicians were running a little late. Eventually, we couldn’t wait any more so, in the meantime, I decided I would teach everyone a song. The orchestra didn’t know it either. They caught the melody, and the audience quickly learned the lyrics. By the end, everyone was singing together – it was a great experience.

These songs were a part of this city; these melodies were once hummed in the streets – but then they disappeared. When we did that concert with Codziennie Inna – the audience sang it 20 times. I’m sure it stuck in their heads. Some of them may have even hummed it to themselves on the street the next day.

It’s giving the city back its sounds.

Do you want more?

I have more articles like this in my Happiness Index here…

Life & Happiness

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

A Metallicman Video Narrative; Home in Zhuhai 8

Well, this is my eighth try at making a Vblog.

Home movies time! Woo! Woo!

I have gotten some positive feedback on them, and I appreciate it. I really do. I think that you can tell, at least, that what I experience doesn’t even remotely resemble the bullshit that pretends to be “news” out of the United States these days. China does not resemble anything like what is being “reported”.

The US government owns all the major media. Alt-left, alt-right, and mainstream. And they do not want anyone inside of China being made aware of the sheer bullshit that they are pumping out. They have blocked China from finding out what they are saying about it.

But that can be expected.

As the influence of the USA wanes, the people within the USA who promote hate, racism, and encourage world war III are going to find themselves on lists. Lists that they don’t want to be on, and which will get them into very, very “hot water” once they step foot outside of their protective enclaves.

But, you know, little-town local papers do have things to offer.

Like this, the Boston Globe. I like how it is laid out, and jeeze, $1 for 6 months is cheap. The only thing is that since I don’t live in Boston any longer, much of the “news” just doesn’t apply to me. Never the less, when I see it, I see hope.

I see hope.

Not everyone was bought out by the huge mega-companies, and dish out the processed swill out of Washington DC. There are people who report on local things, and local events, for local people.

Heck! If I were still in Boston, I would certainly contribute.

This vlog consists of a bunch of videos.

Some narrate while others don’t. What is special here is that (for all the videos on you-tube about China) note seem to tackle the kinds of “everyday life” that I want to provide here. For me, it’s nothing fancy. Yet when I show glimpses of my life to others outside of China, they seem to like it. Cool, I guess. So… this is just myself walking around the neighborhood; my house, and filming it while I discuss the world around me. I hope that you like it.

Keep in mind when you watch the videos, a comparison of your life, with what you are watching on my videos.

The videos

Video One. HERE. 94MB. Public internet is free in China. You can pay for great service at home, but all the public areas have free internet. This is because using the internet is considered a necessity inside of China. You need to for tracking, buying, registering and accessing. And inside China, it is against the law for anyone to profit off of people when they require access to fundamental services. This is a big change compared to the United States where there are a million tiny hands in your wallet and everyone makes a profit off of you.

Video Two. HERE. 319MB. Zhuhai is much larger than Seattle, WA USA, but far better managed. The role of a government is to provide services for it’s people, to protect them, and to allow them to live good, healthy and productive lives. it is not to treat them as sheep to be fleeced, debit slaves, serfs, or cannon fodder so that the oligarchy can profit off wars. For the last 30 years America has blown up thousands of mud huts, destroyed countless flocks of sheep and reduced hundreds of communities to rubble all in the name or personal greed. China didn’t. And what you see is China is what America should be, but isn’t.

Video Three. HERE. 95MB. This is another mall. This is on the center West side of Zhuhai, and  you can see that it isn’t so different from American malls. Or at least what they used to be, back in the 1980’s. You can see American restaurants such as Pizza Hut, and Hagen Dias and watch the average people come and go. Do they look like they are “evil”? Do they look like they are starving from famine? Do they look they they are being oppressed while living in a police state by the evil CCP? Does anything resemble “poor and deteriorating” infrastructure? Is the area full of pollution, litter, and refuse? Are the people eating dogs and cats?

Video Four. HERE. 305MB. Here we talk about bicycles and the reality of owning a bicycle in an American city. Most Americans who have cars do not ride bicycles, they just hop in their car to go anywhere. Walking more than a block is a rarity. In fact most suburbs and communities have pretty much given up on sidewalks. So most are unaware of the reality of owning a bicycle inside the United States. The reality is that it will be stolen, or chopped up. The urban ethnic youth just loves to steal your seats and tires for the hell of it.  It’s a fun pastime for them. (That’s what happens when strong parental leadership is missing from their lives.) We discuss life in China, and the love of walking and strolling because in China, the cities are designed for living. Not as a place that you look out the window of when you go from point A to point B.

Video Five. HERE. 34MB. A little park on the ocean. The entire coastline is a series of parks, walking and bike trails and rest areas. They are well maintained so that the citizenry can use them. This differs substantially from the United States where every beach has a for-profit parking lot where the local community can profit from. The role of the government is to provide an environment for the people to live and prosper in. Not one where the rich oligarchy can profit off the people and keep them living in fear so more money can be generated.

Video Six. HERE. 62MB. People contributing to the greater good. In America you will see a parking lot go up, and a park bulldozed. This happened all throughout the 1960’s and well into the 1980’s. And after a while the entire landscape was nothing but big large enormous empty asphalt spaces devoid of trees. Then when the business moved away, or when business died off, no one planted trees or grass. Instead they were permitted to collapse and fall into disuse. Not in China. This is because society matters. People matter.

I used to live in a small town in Massachusetts called Wrentham. For the longest time, both Wrentham and it’s neighboring town of Plainville resisted all changes and from the 1960’s up until the 1990’s no new business, or enterprises were permitted. This was true for the nearby community of Frankin as well. The entire area maintained it’s 1950’s charms.

Then the town elders decided to leave and move to Florida, and all of them left. And they all sold their property holdings to wealthy developers and within six months was all sorts of construction everywhere.

All of which held zero interest in the community, and all of which were money making, for profit enterprises run by their children.

You had the Wrenthan outlet mall, and the Wrentham water slide park, and a number of parking garages, and a few new strip malls, and after nine months the feel of the old Mayberry RFD community was displaced with semi-urban strip malls, and for profit venues.

Parking lots sprouted up. McDonald’s, KFC, Burger King, Pizza Hut, Panera Bread, Payless shoe store, and a Dunkin’ Donuts moved next to the old 1950’s style airstream diner, and the large oak trees were cut down to widen the road for heavier traffic flow.

When I left, right after 9-11, the local communities were outraged and all the growth was stopped. But what happened afterwards is unknown. Money could have changed hands, the the encroachment of modernity might have continued. I do not know.

That will never happen in China. China grows and builds and creates and makes, but the community and the society comes first. Not the profit can can be generated from it.

Video Seven. HERE. 30MB. One of my typical meals in China. You see, eating fish is very rare in the United States. When you do it is usually part of a sandwich, like a “fish fillet”, part of a chain like “Long John Silvers”, or deep fried like Southern Fried Catfish. Well, China cooks fish like they should be cooked, and while the bones need to be carefully removed by us Americans, the rest of the nation has no problem and eats the fish with glee and spits out the bones machine-gun style. Not only is the fish meal healthy and good for you, but it is so amazingly delicious.

Video Eight. HERE. 145MB. The beach in front of my house. I normally do not go to the beach, but it’s a pleasant place to be. Here you can see the guys roll up their tee-shirts to expose their bellies which is a very Chinese thing to do in hot climates. It’s called the “Beijing Bikini”. And it’s an on going joke.

You will also notice some little kids running around without clothes on or being partially dressed. It’s no big deal here. Kids are allowed to be kids. Unlike the United States where you could spend the rest of your life in prison as a sexual offender to being near them.

You will also notice that the access to the beach is free. You do not have to pay any fees or fines to go there. This is quite unlike many places in the United States today. This is a typical boardwalk and notice how it is protected with shady trees. Not left to bake in the sun because in America you need to pay for people to rake the leaves and it will cut into your profit margins.

Video Nine. HERE. 44MB. New construction everywhere. the Chinese have mastered the art of construction and people (!) they do not play. I have said this over and over and over again, but it is really true. And unless you are here and see it with your own two eyes, you will have zero comprehension of what you are dealing with, and that is most especially true if you get your Intel from FOX “news” or CNN.

Video Ten. HERE. 75MB. A toddler playground. I would guess that this playground is for children up to six years old, and requires supervision. You will note that there are many, many parents here. If anything happens, any one of them will come to the rescue. You will also notice that there are quite a selection of toddler appropriate play structures from mazes, to jungle gyms, to swing sets and rocking horses.

I am a big believer in age-appropriate playgrounds and outlets. You cannot have “one size fits all” and then make it so safe that only cripples on wheelchairs can use it safely. Play requires independence, safety with a level of risk. Sure, kids can fall, and things can go wrong, but in China all the toddler play areas come with a ton load of adult supervision, and no one is going to allow anything to happen if they can prevent it.

Video Eleven. HERE. 184MB. Wet Market. This is what a wet market is like. It looks a lot like a high-end American supermarket. And that’s because it is. The only difference is that fish are sold while alive. Thus the “wet” portion of the market. I ask, does Forbes, Rush Limbaugh, Hall Turner, or FOX “news” have any video of what a “Wet market” looks like. Nope. They just repeat the ugly narrative, and the ignorant believe it.

I know that I am a bit brash and “in your face” regarding this particular video, but I just read a fully bullshit article out of Forbes that angered me to no end. Still pushing the Wuhan “bat virus” hoax and the China dirty and filthy hoax. Jeeze!

Video Twelve. HERE. 75MB. Activity Center. This is a very common sight all over China. There are these little areas where you spend $5 USD for the kids to play safely. They can play with play-doh, splash in water, feed fish, slide and climb indoors, play dress-up, go to an activity table, play with toys, and paint, or build. The parents must be present, so it is not a Day-care. It’s something else entirely.

Video Thirteen HERE. 37MB. A Dim Sum restaurant. This is about as typical China as you can get, and these places are everywhere in the Southern crest of China. Everything is typical. From the tables and the table cloths to the tea, the types of people, the environment, the decorations and the food provided. This is China.

Video Fourteen HERE. 77MB. This is rush hour in front of a regional mall in a residential section. The sun is setting, the dusk is deepening, and the shade under the trees are lush, moist and green. It’s one of my favorite times of the day. This is when people go outside in China and gather together for meals, some companionship and just to socialize.

To Open the Files

Just unzip to whatever folder you want and then just play the first video, the other videos will play immediately afterwards (if you follow the default settings on your OS). Most videos are between  one and a half to four minutes long. All told, it’s roughly 45 minutes in total.

Some key points

This is what China is like.

Is it dirty, smoggy, filthy? Do the people eat dogs and cats? Is the infrastructure failing, and flailing?

From Bing. “China City”.

Here’s a Bing search for “China Street”.

Is it a police state with constant “big brother” surveillance 24/7?

No it’s not.

From Bing “China people”.

What comes up when you do an image search for “China people” on Bing.

But it’s difficult to get the message through when the United States government owns 99.99% of all American media; mainstream, alt-Right and Alt-Left. NAd spend millions of dollars, with bot’s, AI, and armies of people to flood the internet with bad things to say about China.

They WANT to create the great lies of hate, and illusions of what China is.

From Bing. “China military”.

What Bing search engine comes up with when you do a search for “China military”.

Do you want more?

This article is going into a new sub-index that I am creating for it titled VLOG. You can access it here.

Video Blog

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

.

 

A Metallicman Video Narrative; Home in Zhuhai 7

Well, this is my seventh try at making a Vblog.

One thing is for certain it takes a different set of skills to do. And, maybe this methodology is better suited to me. I won’t have people complaining about spelling and grammar, or idiom mistakes so often.

This vlog consists of a bunch of videos. Some narrate while others don’t. What is special here is that (for all the videos on you-tube about China) note seem to tackle the kinds of “everyday life” that I want to provide here.

For me, it’s nothing fancy. Yet when I show glimpses of my life to others outside of China, they seem to like it. Cool, I guess. So… this is just myself walking around the neighborhood; my house, and filming it while I discuss the world around me. I hope that you like it.

Keep in mind when you watch the videos, a comparison of your life, with what you are watching on my videos.

The theme behind these videos areLook at everyday life inside of China”

The videos

Video One. 92MB. Reuse of discarded lighters, and hand sanitizers at the Zhuhai airport. This is common throughout China, but not so common in the United States. My experience is that the lighters are discarded in the United States.

Video Two. 56MB. Vet’s office part I. Bringing my dog into the vet’s office. What it is like and what is a going on. You get a very good idea about animal care inside of China with this particular video.

Video Three. 55MB. Vet’s office part II. Showing some of the customers to the vet clinic, and the pets that they bring in. It’s a big change from the American / UK narrative that the Chinese only eat dogs and cats. Isn’t it, eh?

Video Four. 29MB. This is a local government Social Security office. It reminds me of the United States Post Office. But I will tell you that they were efficient. You use the QR to apply and submit documents via the app. Then you arrive for your appointment. Waiting time is under five minutes, as the AI bases wait times on an individual-by-individual basis.

Video Five. 55MB. Playing with 5G AI in the local mall. You just scan the QR code and then run the APP. Then what you film interacts with the various programs and have you interacting with things. In the one that we choose, we point and push and do imaginary things in the air, and the APP interprets it to be myself pushing jellyfish, moving bubbles, tickling whales and chasing dolphins about.

Video Six. 69MB. A ride in the taxi through Jida, showing all the construction everywhere, and what it is like here in Zhuhai. I am told that China is ugly, filth and run by evil chicom crooks. I am also told that I am “blind” to the “real” China. Kind of difficult to buy a coke when you are “blind”, don’t you know.

Video Seven. 91MB. This is my local medical clinic in my neighborhood. It is in the old section of town, and filmed on a busy Saturday. As you can see, the things are a bit more used, distressed and in use. There is nothing wrong with that. The clinic will be replaced in a year or two anyways.

Video Eight. 21MB. This is a semi-normal, semi-regular meal that the MM household tends to have on the weekends. This particular meal is at a Vietnamese restaurant that we are VIP members of. (VIP membership is a regular feature throughout China.) And this dish is curried Chicken with potatoes and hot peppers with a side of okra. Actually how it is cooked makes all the difference in the world. It goes great with beer in a glass filled with crushed ice, pineapple rice with squid and shrimp, and shrimp / coconut chips.

Video Nine. 79MB. Pre-Kindergarden. Here you can bring your 9 month old baby to three years to Pre-Kindergarden. The babies, toddlers and youth learn social skills, stories, language and communication skills as well as some basic math, and history through stories, songs, and dance. Since many of the children are not toilet trained, and many are still nursing, the parents must be present with the children.

Yes, I can add streaming video code instead. And I am researching it. The thing is that I do not want code that is connected to the American oligarchy in any way. And most available codes are. 

Sure, you can host the video on your site, but the video will be directly tied to Google, which is then tied to the NSA, which is then under the control of the American Federal Government. So I am looking into this. 

I'll keep you all posted on my successes or failures in this matter. Maybe I'll ask Jeff Brown for some pointers....

To Open the Files

Just unzip to whatever folder you want and then just play the first video, the other videos will play immediately afterwards (if you follow the default settings on your OS). Most videos are between  one and a half to four minutes long. All told, it’s roughly 45 minutes in total.

Some key points

This is what China is like.

Is it dirty, smoggy, filthy? Do the people eat dogs and cats? Is the infrastructure failing, and flailing? Is it a police state with constant “big brother” surveillance 24/7?

No it’s not.

But it’s difficult to get the message through when the Untied States government owns 99.99% of all American media; mainstream, alt-Right and Alt-Left. And then makes i had for people inside of China to post videos on You-Tube or Facebook.

They WANT to create the great lies of hate.

Do you want more?

This article is going into a new sub-index that I am creating for it titled VLOG. You can access it here.

Video Blog

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

.

 

A Metallicman Video Narrative; Home in Zhuhai 6

Well, this is my sixth try at making a Vblog.

Here's my MM dirty little secret; I'm beginning to get a little touch of Carpal Tunnel in my wrists. So I really need to lay off the heavy typing aspects of MM. Sorry, but I am a human and my body is a human body with all sorts of physical limitations. Ugh!

One thing is for certain it takes a different set of skills to do. And, maybe this methodology is better suited to me. I won’t have people complaining about spelling and grammar, or idiom mistakes so often.

This vlog consists of a bunch of videos. Some narrate while others don’t. What is special here is that (for all the videos on you-tube about China) note seem to tackle the kinds of “everyday life” that I want to provide here.

For me, it’s nothing fancy. Yet when I show glimpses of my life to others outside of China, they seem to like it. Cool, I guess. So… this is just myself walking around the neighborhood; my house, and filming it while I discuss the world around me. I hope that you like it.

Keep in mind when you watch the videos, a comparison of your life, with what you are watching on my videos.

The theme behind these videos areLook at everyday life inside of China part three”

As opposed to…

The videos

Here I am providing some videos, narrated as is my want, and thrown at you all with wild abandon. Yee Haw!

Video One HERE. 282MB. The tax office, a Chinese bird, and a six lane intersection. This first video is a honker, and it is enormous. It might take some time to download. Sorry guys.

Video Two HERE. 55.4MB. A tale in the elevator. Not much of a tale, rather just what it is like when you are captive inside an elevator with commercials playing.

Video Three HERE. 34.3MB. At the Chinese version of Social Security. If it reminds you of the US Post office, yeah, well, it’s all pretty similar. Except that in China, they are for more compassionate and nicer than what I remember everyone back in the States to be.

Video Four HERE. 40.5MB. At the mall and experiencing 5G AI by QR code. Well, almost actually, the AI and all that fancy stuff happened after I filmed this segment. Because, after all, you need the cell phone to active the AI effects.  And while they were impressive from a technical point of view… my hands moving jellyfishes and other fishes and bubbles about, I really just thought of it as a cute gimmick for kids.

Video Five HERE. 88MB. A ride in a taxi from the mall during rush hour. My daughter was playing with the kid’s version of Tictok and the noise in the background is one of her videos. Ai! Oh! LOL.

Yes, I can add streaming video code instead. And I am researching it. The thing is that I do not want code that is connected to the American oligarchy in any way. And most available codes are. 

Sure, you can host the video on your site, but the video will be directly tied to Google, which is then tied to the NSA, which is then under the control of the American Federal Government. So I am looking into this. 

I'll keep you all posted on my successes or failures in this matter. Maybe I'll ask Jeff Brown for some pointers....

And my point is…

This is my life. Please point out where I experience the same kind of narrative that the American (and UK) government says exists within China.

To Open the Files

Just unzip to whatever folder you want and then just play the first video, the other videos will play immediately afterwards (if you follow the default settings on your OS). Most videos are between  one and a half to four minutes long. All told, it’s roughly 45 minutes in total.

Do you want more?

This article is going into a new sub-index that I am creating for it titled VLOG. You can access it here.

Video Blog

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

.

 

A Metallicman Video Narrative; Home in Zhuhai 5

Well, this is my fifth try at making a Vblog.

One thing is for certain it takes a different set of skills to do. And, maybe this methodology is better suited to me. I won’t have people complaining about spelling and grammar, or idiom mistakes so often.

This vlog consists of a bunch of videos. Some narrate while others don’t. What is special here is that (for all the videos on you-tube about China) note seem to tackle the kinds of “everyday life” that I want to provide here.

For me, it’s nothing fancy. Yet when I show glimpses of my life to others outside of China, they seem to like it. Cool, I guess. So… this is just myself walking around the neighborhood; my house, and filming it while I discuss the world around me. I hope that you like it.

Keep in mind when you watch the videos, a comparison of your life, with what you are watching on my videos.

The theme behind these videos areLook at everyday life inside of China part two”

The videos

Here I am providing some videos, narrated as is my want, and thrown at you all with wild abandon. Yee Haw!

Video one HERE. 77MB Futility, or a sense of belonging?

Video two HERE. 208MB. Banking and taxation within China.

Video three HERE. 163MB. Wasted away again in Lipton-baijiu-aville.

Video Four HERE. 123MB. At the airport.

Yes, I can add streaming video code instead. And I am researching it. The thing is that I do not want code that is connected to the American oligarchy in any way. And most available codes are. 

Sure, you can host the video on your site, but the video will be directly tied to Google, which is then tied to the NSA, which is then under the control of the American Federal Government. So I am looking into this. 

I'll keep you all posted on my successes or failures in this matter. Maybe I'll ask Jeff Brown for some pointers....

To Open the Files

Just unzip to whatever folder you want and then just play the first video, the other videos will play immediately afterwards (if you follow the default settings on your OS). Most videos are between  one and a half to four minutes long. All told, it’s roughly 45 minutes in total.

Some key points

This is what China is like.

Is it dirty, smoggy, filthy? Do the people eat dogs and cats? Is the infrastructure failing, and flailing? Is it a police state with constant “big brother” surveillance 24/7?

No it’s not.

But it’s difficult to get the message through when the Untied States government owns 99.99% of all American media; mainstream, alt-Right and Alt-Left. And then makes i had for people inside of China to post videos on You-Tube or Facebook.

They WANT to create the great lies of hate.

Hey! Here’s the latest “news” about China today. What issues are being promoted, and how are they being described?

Does it resemble anything like what is really going on?

Do you want more?

This article is going into a new sub-index that I am creating for it titled VLOG. You can access it here.

Video Blog

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

.

 

A Metallicman Video Narrative; Home in Zhuhai 4

Well, this is my fourth try at making a Vblog.

One thing is for certain it takes a different set of skills to do. And, maybe this methodology is better suited to me. I won’t have people complaining about spelling and grammar, or idiom mistakes so often.

This vlog consists of a bunch of videos. Some narrate while others don’t. What is special here is that (for all the videos on you-tube about China) note seem to tackle the kinds of “everyday life” that I want to provide here.

For me, it’s nothing fancy. Yet when I show glimpses of my life to others outside of China, they seem to like it. Cool, I guess. So… this is just myself walking around the neighborhood; my house, and filming it while I discuss the world around me. I hope that you like it.

Keep in mind when you watch the videos, a comparison of your life, with what you are watching on my videos.

The theme behind these videos areLook at everyday life inside of China”

The videos

Here I am providing some videos of riding on a public bus in China, A video showing just the insane level of construction everywhere that is all over China, and what it is like to take my little dog to the vet.

Video one HERE. 39MB. Taking public transportation to get around.

Video two HERE. 23.3MB. Construction everywhere.

Video three HERE. 40MB. My dog visits the local vet for his shots.

Video four HERE. 72MB. A visit to the tiny Zhuhai airport.

Video five HERE. 107MB. A study of trash receptacles near my home.

Yes, I can add streaming video code instead. And I am researching it. The thing is that I do not want code that is connected to the American oligarchy in any way. And most available codes are. 

Sure, you can host the video on your site, but the video will be directly tied to Google, which is then tied to the NSA, which is then under the control of the American Federal Government. So I am looking into this. 

I'll keep you all posted on my successes or failures in this matter. Maybe I'll ask Jeff Brown for some pointers....

To Open the Files

Just unzip to whatever folder you want and then just play the first video, the other videos will play immediately afterwards (if you follow the default settings on your OS). Most videos are between  one and a half to four minutes long. All told, it’s roughly 45 minutes in total.

Some key points

This is what China is like.

Is it dirty, smoggy, filthy? Do the people eat dogs and cats? Is the infrastructure failing, and flailing? Is it a police state with constant “big brother” surveillance 24/7?

No it’s not.

But it’s difficult to get the message through when the Untied States government owns 99.99% of all American media; mainstream, alt-Right and Alt-Left. And then makes i had for people inside of China to post videos on You-Tube or Facebook.

They WANT to create the great lies of hate.

Do you want more?

This article is going into a new sub-index that I am creating for it titled VLOG. You can access it here.

Video Blog

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

.

 

A Metallicman Video Narrative; Home in Zhuhai 3

Well, this is my third try at making a Vblog. I do believe that it is a popular thing to do and I am told that I could open up an account on You-Tube and get a bunch of followers. Well, maybe. If I wanted that.

One thing is for certain it takes a different set of skills to do. And, maybe this methodology is better suited to me. I won’t have people complaining about spelling and grammar, or idiom mistakes so often.

Here’s the videos in more or less the same kind of format as my first Vlog. Except that the videos are longer, and thus are bigger. They take more time to download.

This vlog consists of one cluster of three videos.

For me, it’s nothing fancy. Yet when I show glimpses of my life to others outside of China, they seem to like it. Cool, I guess. So… this is just myself walking around the neighborhood; my house, and filming it while I discuss the world around me. I hope that you like it.

The theme behind this video (or collection of smaller videos)  isLook at how polluted China is and compare it to the BBC, and the Bloomberg articles.”

What am I talking about?

Well, it is so easy to find pictures about pollution in China. That’s all the western media seems to talk about.

Like this,

And this,

And this, Look at the bikes and clothing. This picture is at least twenty years old. But what it is doing in a 2020 article?

And this,

And this as well…

About the methodology that I use…

The videos themselves are but a collection of short movies, and they are all zipped up. You just unzip to a folder and then just play the movies. It’s not as convenient as You-tube, but I won’t end up getting shadow banned either.

And I am tying to make a point.

From the article titled; “Officials have issued a red alert and warn that Beijing …

Obviously, what I see, and what the “journalists” are reporting on differs substantially. Why? Is it because I am lying, or that I am viewing China through “Rose colored glasses”?

Idiom: rose-colored glasses to see things as better than they really are to see only the positives in a situation (and therefore in a way that is unrealistic)

-Idiom: Rose-colored glasses

I do narrate, but … well, you watch.

China as described by NPR.

I really want you, the viewer, to “feel” what “my China” is like. It’s my reality. It’s my world. And, by extension, MM readers / followers’ world as well.

Toxic air catastrophe triggers scrap metal revolution in China

The videos can be downloaded here…

And I am truly sorry that they are so darn large. If you cannot download them, please accept my apologies.

Cluster One

Only three videos in this installment. But they are large. Please (again) accept my apologies.

Video one HERE. 100MB.

Video two HERE. 250MB.

Video three HERE. 247MB.

Yes, I can add streaming video code instead. And I am researching it. The thing is that I do not want code that is connected to the American oligarchy in any way. And most available codes are. 

Sure, you can host the video on your site, but the video will be directly tied to Google, which is then tied to the NSA, which is then under the control of the American Federal Government. So I am looking into this. 

I'll keep you all posted on my successes or failures in this matter. Maybe I'll ask Jeff Brown for some pointers....

To Open the Files

Just unzip to whatever folder you want and then just play the first video, the other videos will play immediately afterwards (if you follow the default settings on your OS). Most videos are between  one and a half to four minutes long. All told, it’s roughly 45 minutes in total.

Some key points

The purpose of this vlog is to show how out of touch the Western media is with the day-to-day reality of those of us living within China. It is so absolutely crazy out-of-touch that there MUST be an agenda behind it. Certainly no “journalists” can end up being that absolutely incompetent.

And thus this incisive and detailed, and particularly important vlog.

I do hope that you enjoy it.

Ah.

Compare my reality with American reality

While I was filming these videos, this is the hysteria going on in America. Now compare reality against the perception of what is important via the “news”.

I mean, don’t you know, that it’s all bullshit.

So I am just gonna hang out here. Have a few beers, and eat some delicious food with some friends, both old and new. And that’s my reality.

Do you want more?

This article is going into a new sub-index that I am creating for it titled VLOG. You can access it here.

Video Blog

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

.

 

Examples about how the Chinese teach their children to be successful

When I was growing up, my father did his best to give me an education. And throughout this time, he repeatedly emphasized that my future depended on the type of job that I had, and the size of the company that employed me. Larger companies offered more opportunities than smaller companies, and the more education that I would have would provide two things for me. Firstly, they would increase the job pool that I could choose from, and secondly they would enable me to start off with a higher salary than others.

He meant well, and certainly that formula worked well for his generation, but my generation suddenly became the “disposable worker” generation and layoffs became more common than not, and no one ever ended up with a job for life. Couple that with my role in MAJestic, and it was really a dog-eat-dog survival life with more than enough highs and lows.

And what you want to do, as a parent, is to make sure that your children have it better than you. Maybe not necessarily easier, but certainly better; more opportunities, and a chance, a real honest-to-goodness chance that they will be able to make a life for themselves in a world that is subject to whims and changes beyond their control.

Well, I am in China. And the Chinese have seen dramatic changes in their lives over the last thirty years, and many generations of Chinese have sacrificed and existed in a situation where there just wasn’t much in the way of any opportunities. And so they remain cautious, but guarded, about their children.

And thus, knowing that the (proverbial) rug “could be pulled out from under their feet”, many middle-class Chinese do what ever they can to guarantee that their children are equipped with the kinds of skills to make it, and survive in a contentious and changing world. And while China (as a nation is secure and prosperous), things could change. And as such, no one is taking any chances.

The educational system in China is not only great, but absurdly so. Not only do elementary students learn Chinese languages, and history, but they learn English as well, and their entrance into university is predicated on their ability to speak and pass English qualification exams.

Which makes things very interesting, as I will often see children studying all the time, jut about everywhere. Couple that with secondary classes that their parents also provide for them. These other classes range from swimming to dancing, to archery, to martial arts and everything in between. Some go into robotics, while others study the arts. And with that in mind I would like to present some videos of Kindergarten to first grade Chinese students…

They are all zipped up in a small 30MB file. I think that you all will enjoy them.

You can get the file HERE.

Conclusion

These children are not the exception. They are the normal average. If America believes that it can compete against China then they will need to reconfigure the school curriculum towards STEM subjects, and less on the soft social and humanities. They will also need to be very serious about the environment hat they are raising the children within.

For a nation of “lone wolves” can never truly work together without fighting, squabbling, and performing uncharacteristically self-defeating behaviors.

Do you want more?

This article is going into the China vs. America comparisons index.

USA vs China

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

.

 

A Metallicman Video Narrative; Home in Zhuhai 2

Well, this is my second try at making a Vblog. I do believe that it is a popular thing to do and I am told that I could open up an account on You-Tube and get a bunch of followers. Well, maybe. If I wanted that.

One thing is for certain it takes a different set of skills to do. And, maybe this methodology is better suited to me. I won’t have people complaining about spelling and grammar, or idiom mistakes so often.

Here’s the videos in more or less the same kind of format as my first Vlog.

Well, actually, it’s a string of around 12 or so, 2 to 5 minute long videos that I have zipped together in a folder. You just unpack and watch at your pleasure.

I took extra care for them not to be as long as the other videos so that they would be easier to watch.

For me, it’s nothing fancy. Yet when I show glimpses of my life to others outside of China, they seem to like it. Cool, I guess. So… this is just myself walking around the neighborhood; my house, and filming it while I discuss the world around me. I hope that you like it.

The theme behind this video (or collection of smaller videos)  ishow China manages society, allocates resources, and works to improve the environment for it’s citizenry..

The videos themselves are but a collection of short movies, and they are all zipped up. You just unzip to a folder and then just play the movies. It’s not as convenient as You-tube, but I won’t end up getting shadow banned either.

This is all pretty much unlike the typical expat in China vblogs that visit this town, or that town and talk about what they observe. I do that as well, but overall, this effort is about me and where I live. The purpose of this particular segment is to concentrate on the idea that the role of the government, at all levels, is to improve the lives of the citizenry.

Something that has been missing in the American government for at least two centuries/

I do narrate, but … well, you watch.

I really want you, the viewer, to “feel” what “my China” is like. It’s my reality. It’s my world. And, by extension, MM readers / followers’ world as well.

Keep in mind this video by Bernie Sanders 30 years ago…

Thirty years ago, 90% of the Chinese people lived in absolute poverty. All they had was their skills and the ability to work hard. The government took time, planning and enormous investments to improve the lives of the citizenry.

Unlike the USA they did not decide to destroy the rest of the world. They used the money on their people. Not on the richest, and the desire to destroy everyone else. And you can see the results today.

That is what this Vlog is all about.

The videos can be downloaded here…

Group One

Group one HERE…73MB

Group Two

Group two HERE… 61MB

Group 3

 

Group three HERE … 51MB

Group 4

And this group is about me doing some shopping in the little stores that line the lower income areas.

Group four HERE… 43MB

Group 5

I discuss fixing my bicycle, automobile repair, and riding a bike in China; the most bicycle friendly nation on the planet.

Group five… HERE 65MB

 

Yes, I can add streaming video code instead. And I am researching it. The thing is that I do not want code that is connected to the American oligarchy in any way. And most available codes are. 

Sure, you can host the video on your site, but the video will be directly tied to Google, which is then tied to the NSA, which is then under the control of the American Federal Government. So I am looking into this. 

I'll keep you all posted on my successes or failures in this matter. Maybe I'll ask Jeff Brown for some pointers....

To Open the Files

Just unzip to whatever folder you want and then just play the first video, the other videos will play immediately afterwards (if you follow the default settings on your OS). Most videos are between  one and a half to four minutes long. All told, it’s roughly 45 minutes in total.

Or around 12 minutes per VLOG group (1 through 4) for the bite-size MM version that I used to test on my computer.

I really hope that you are not too bored.

How the videos are set up

The first video starts off quiet and then I break out into my narrative. I really, and sincerely hope that you all can get the real “feel” for China like I have. And understand WHY I say that it reminds me so much about what the United States was like back in the late 1950’s, and early 1960’s.

Some key points

The purpose of this second Vlog is to underscore the role of government.

I look at China that has placed the fundamental and primary role of government to be to improves the lives of the citizenry at all levels.

This differs substantially from the role of the American government; which is to do the bidding of the wealthy oligarchy that put the “democratic” representatives into power within the government.

Each video takes one small item, often overlooked by other vloggers, and amplifies it upon this narrative. It’s not that they are wrong, but visiting a town or community for a week isn’t going to tell you or inform you the way that a long-term expat would.

And thus this incisive and detailed, and particularly important vlog.

I do hope that you enjoy it.

I love the steam locomotive in the background.

Ah.

You could reasonably argue that I miss the old culture, and the older styles of cars, clothing and other attributes of the past. you could say that I miss the prices and my now dead relatives. I suppose that many of those points are actually true. But with every good point, is an equally bad point.

And I suppose that people would argue that I am looking with fondness of the past. And it’s true, I am. But what I am describing is the “feeling” of that time. And I am comparing it to the “feeling” that I have now.

Today, here inside of China, no one is on the radio, or on the internet yelling at me to buy! Buy! Buy!. It’s only $98.98.

I am not hearing from radio, television or the internet about all sorts of emergency dangers and that the world is out of control.I don’t hear advertisements that ask if I am depressed, have marital or legal problems, or how great a pill will help me in my life.

Instead I hear that things are under control, and I see that with my very own two eyes. There’s a calmness in the air that I haven’t experienced since the 1960’s, and it is refreshing to experience it.

I hope that you too are able to experience it in my VLOG herein.

Compare my reality with American reality

While I was filming these videos, this is the hysteria going on in America. Now compare reality against the perception of what is important via the “news”.

Cox complains about 1,000-lb bear dominating coverage…

I mean, don’t you know, that it’s all bullshit.

So I am just gonna hang out here. Have a few beers, and eat some delicious food with some friends, both old and new. And that’s my reality.

Do you want more?

This article is going into a new sub-index that I am creating for it titled VLOG. You can access it here.

Video Blog

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

.

 

The tale of the three shepherds.

The following is my very own first attempt at a fictional story.

I have been told that I must be a great writer because all of my Metallicman writings are so fantastical and imaginative. I must have a great colorful and active mind to dream up such ideas. But that’s not really true. I only write what I have personally experienced, and talk about the life that I live and what I see and do.

There’s nothing fictional in this site whats so ever.

Never the less, I have tried to write fiction in the past, maybe the early 1990’s and it got no where. Maybe I could try again. Maybe I’ll be another Ray Bradbury, Arthur C. Clarke or Robert Heinlein. Who actually knows?

So with that introduction, let’s introduce my first internet published short story. And you’se guys are the first to read it. I do hope that you enjoy it.

The Three Shepherds

Once upon a time, in a rather pastoral land, were three shepherds. They were men of sheep.

All of them were tall, strong and carried about a long crooked cane. And as they went about their day to day life shepherding, doing sheep related things, and discussing sheep related current events, they would often gather together (as was their want) under this huge shady tree.

And there, under the great expanse of the mighty oaken limbs, they would discuss the latest in sheep husbandry, sheep technology, and sheep-related gossip.

The three shepherds went by the names of Tom, Dick and Harry.

Tom, the best shepherd of the trio had a massive and impressive flock of sheep. All of them were well cared for, happy and were the envy of the local village.

Dick, an average shepherd, had an average flock of sheep. There was nothing really that great about it. All of the sheep were solid “C” grade students in the local sheep academy, and it showed in their actions and behaviors.

And, Harry, well, Harry was the worst shepherd of the three. He tended to ignore his flock of sheep and left them to do their own bidding. Meanwhile he would cavort with a prized sheep or two off in the wilds behind the bushes in a most devilish manner.

And one day, on this fine and quiet pastoral land, they came to an argument.

It turns out that they were arguing just who was the best shepherd.

And the point was raised, that your actions are reflected in how the sheep behavior, and not whether or not you get ribbons at the local annual sheep parade, or are given the key to the city for the most amazing sheep.

Ai! And the argument went long and hard and well into the night. No one could decide who was the best shepherd.

By the crowing of the early bird, a cock named  “amorphous weasel” on account of his propensity to steal long bananas, with (two) well rounded kiwi fruit off kitchen window sills, the group tiredly came to a conclusion.

It was decided that each shepherds would go off, one by one, and gather their flock and bring it to the tree. And there in front of everyone the sheer beauty (or maybe it’s “shear” beauty) and magnificence of the flock would be obvious to all to behold.

So Dick, the average shepherd, went off to gather his flock.

And after what seemed to be day, but was really a mere two hours, he came back. (Let it be known that he stopped for a blueberry pie, and maybe a little kiss, from the baker Lady Ms. McSmunch-a-lot in the town.) And refreshed, wiping the blueberry stains off his lips, he led his flock to the rest of the trio to observe.

And there, came the flock.

They were clean and presentable. Their hooves were all trimmed and well manicured. Their eyes were also clear, and the wool was obviously of the finest quality. They came well behaved, and presented themselves are docile, but proud sheep; they were the kind of sheep that you would introduce to your son.

And as they arrived, they sang a little sheep marching song. It went a little like this…

  • Baa Baaaa, Baa Baaaa,
  • We’re the sheep of Baaa Dick.
  • Baa Baaa, Baa Baaa.

And then, after a short while, the filed to the tree, and then upon the proper signal (by Dick obviously), they settled down. All the time making tiny cooing sounds…

Baaa Baaa.

Of course both of the other two shepherds were impressed. For indeed this was a fine, fine flock of sheep. It was undeniable. And nothing would make this moment more noteworthy than when a shepherd talent-scout showed up and wanted to take a picture of young shepherd Dick with his fine, well tended flock.

There were rumors that he was going to be on the cover of “Sheep News and Pastoral Report”.

And it seemed to be his destiny, for shortly afterwards a gaggle of young attractive lasses, with hair in long pony-tails, wearing short skirts with low cut bodices were asking for Dicks autograph. They all wanted a piece of Dick, and were willing to do anything to get a taste of this Dick action.

Well, as impressive as all that was, Tom decided to go off and get his flock of sheep.

Now Tom went off and it wasn’t long before the clouds in the sky opened up. And bright blue “spring time” sky appeared with two enormous sheep blowing long golden trumpets appeared. And as they blew the ground and surroundings became calm. Everything went absolutely quiet. Even the worms and the snails stopped their crocheting, and stood by a listening.

Then, brighter than day and appearing in blinding, and stunning radiance appeared the flock. It approached the stunned spectators in organized cadence. And they hummed, and sang, and their voices resonated in brilliance and within spectacular fashion.

  • Ba Ba. Ba Ba.
  • Baaaaaa!
  • Ba, Ba, Baaaa, Baaaa, Ba!

They approached the group in groups of three. marching to the beat, and their hooves landed ever gently upon the grass at the feet of the shepherd.

There was no question that this flock was truly exceptional. Their wool was of the finest texture, and so white and clean that it hurt the eyes of any who beheld it. The faces of the sheep were impassioned with glee, happiness and empathy.  And when they finally gathered together they were polite about it.

They would say such things as “Excuse me, my fine fellow sheep, can you please pass me the Grey Poupon…. Baaahhh.”

Indeed, these sheep were exception. No one could deny it.

And when the shepherds started to talk, the sheep took the time to post insta-sheep photos for their followers, for after all, many of the sheep in this flock were famous influencers. And sheep all over the world would follow their postings. They would want to know what grass they were eating and why. They would want to see who they were hanging out with, and pictures of their latest meals, and pictorials of their latest pastoral settings.

It was absolutely clear that this flock was spectacular.

Well, the time came for Harry to show his flock. So he got up off the long he was sitting upon and ambled off to gather his flock. As he went he muttered something under his breath, but no one could make it out.

It sounded something like “truck fist” or something similar. He grumbled away saying things like “razzmatazz” and “hoodwink, and scurvy tweaky boondoggle”

Hours passed.

The sky got dark, and a wind started to blow.

Dark clouds appeared on the horizon and a cool chill started to cause everyone to gather their shawls and jackets around their shoulders.

And the ground started to rumble.

It was low at first but soon become enormously loud. It sounded like an air plane jet engine revving up, and the exploding and dying over and over as it’s internal parts bashed and clanged upon each other in the most terrible of grinding sounds. People started to cover their ears, and a light oily rain started to fall upon everyone in a brown oozy slimy mess.

And there, on the horizon were what appeared to be a herd of tiny tornadoes. These brown dusty and dirty nightmares approached the crew, the tree, and all the two flocks that were gathered there. The talent scout stopped talking and taking pictures, the Insta-sheep models stopped filming selfies, and everyone stood shaking where they stood. They remained rooted to the ground.

And as the group got close you could make out what was approaching.

For, in front of them was a small army of “Mad Max style” cobbled together quasi vehicles of all makes, models and unusual pedigrees.

Some looked like something the devil himself would weld together with nightmare steel, twisted metal, and chain link accoutrements.

Others looked like a maniac’s idea of a military vehicle if they had the budget of a used junk yard attendant.

And still others looked more like they belonged outside a meth-lab, a biker bar, or an abandoned kiddie circus prowled by nightmare clowns with chainsaws and blood lust in their eyes.

And they roared towards them.

It was like an avalanche or a tidal wave and they pulled up in front of  all the startled spectators. they all revved their motorcycle and various engines for effect.

  • Barroom! Barroom!
  • Braaaaam!

And black oily smoke blew out of their exhausts. And the sheep themselves looked like Frankenstein-sheep.

Many had patches of wool missing, obviously from a diet low in vitamin “D”, or perhaps suffering from mange. Many were missing eyes, limbs, teeth. They all wore vests emblazoned with the words…

“Satan’s orphan lamb”

And many had tattoos everywhere.

Some were of names of a certain loved one, a sheep from their past, but with the name crossed out, and another one written next to it. Others were tattoos of knives, skulls, and “low brow art”.

And then…

…just then…

… a big noisy, and particularly malodorous motorcycle-like vehicular contraption pulled up. It sprayed dust and gravel everywhere, and the lone dark sheep got off the bike.

He was an ugly brute, a big blustering monstrosity, that was foul, nasty, criminally dirty, and oily…

…an onerous sheep that went by the name of Beelzebub.

He was big, and nasty. His wool was black and grey with red and purple highlights. He wore lipstick, and ear rings, with seemed to point to some kind of LGBT sheep hybrid of sorts, he wore a big leather belt with an enormous belt buckle featuring the head of one of the missing sheep-dogs that used to help the shepherd, and emblazoned upon his chest was a big garish tattoo with the words…

“My shepherd doesn’t love me”

And he scanned the people gather there with his one lone bloodshot eye. As he got off his bike and hobbled towards them, his single leg ended up hitting the dust while his wooden peg-leg went thunk, thunk, thunk….

…and he stopped in front of all the shepherds, and their flocks. No one said a sound.

A moment passed and then another.

Finally, shepherd Tom cleared his throat, and said…

“You are by far, the absolutely worst flock of sheep that I have ever seen in my life!”.

And no one moved.

No one.

No one said a thing.

You could hear a pin drop.

Then the leader, the biggest, the baddest, the most foul, and slimy sheep went up to him. his foul sheep breath was stinky, oily, nasty and disgusting.

And he said…

“We might be the ugliest, the most disgusting, the most untamed sheep that you have ever laid your eyes upon. But I will tell you one thing…”

And he paused for effect, and gave everyone a good harsh look with his remaining blood-shot eye…

“…. we’re baaaaaaad!”

The End.

Do you want more?

I have more posts in my Fiction Story Index here…

Fictional Stories

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

The latest Jumanji movies are a respectful nod to Doc Savage; The Man of Bronze

When I was growing up, I had a complete collection of Doc Savage paperbacks and I devoured them completely, When it was time for me to grow out of them, my younger brother took over, and he too was hooked. And he, as well, read every single book.

As a long time reader of Doc Savage, I cannot help but compare the latest two Jumanji movies with the adventure pulps that I read as a boy. And to this end, I want to wax ecstatic about them.

Dr. Clark Savage Jr. was raised from birth to be a man of superhuman strength and protean genius! With his five scrappy aides -- the greatest brains ever assembled in one group -- and a vast Mayan wealth at his disposal, he has dedicated his life to the destruction of evil doers the world over!!

Doc Savage, the Man of Bronze is the hero of 181 novels which ran in his own pulp magazine from 1933 to 1949, and were reprinted as paperback books from 1964 to 1990. First time around, author Lester Dent practically invented the first “super” hero. The second time around Bantam Books invented the numbered men’s adventure series. These high adventures have spawned Doc Savage comic books, radio shows, a movie, new novels — even a biography.

My argument that while Jumanji is not a Doc Savage remake, it’s not a Jumanji remake either. I like to believe; or want to believe that the latest Jumanji movies take the best elements from both venues and create a completely wonderful new reality. A reality that we want to visit.

The Doc

Let’s consider Doc “smolder” Bravestone.

In many ways his character is derived from Doc (Clark) Savage, Jr.

Both have a “skull cap” style hair cut (what ever that actually is). Both like to walk around in torn or distressed khaki shirts. Both have bronze skin. Both are strong, brave and take the world on head-first. And both have their own peculiar traits.

Doc Bravestone has his “smoldering intensity”, and Doc Savage has his “animated “twinkle” in his eye”.

I know, I know. My premise has a lot of holes in it.

However, we do know that prior to the 2017 Jumanji; Welcome to the Jungle, that the lead character Dwayne Johnson (who played Spenser) was in negotiation regarding remakes of Doc Savage pulp stories.

“It’s OFFICIAL: For all comic book fans you already know the world’s first superhero (pre-dating Superman) is the “Man of Bronze” himself Clark “Doc” Savage.

Want to thank my bud director/writer Shane Black and his writing team Anthony Bagarozzi and Chuck Mondry for flying in from LA and sitting with me and our @sevenbucksprod’s producer @hhgarcia41 on this Memorial Day weekend to chop up creative and break story on this very cool project.

Comic book fans around the world know that the cool thing about “Doc” Savage is that he’s the inspiration for Superman. First name Clark, called “Man of Bronze”, retreats to his “Fortress of Solitude” in the Arctic etc etc.

Doc was physically and mentally trained from birth by his father and a team of scientists to become the perfect human specimen with a genius level intellect. His heightened senses are beyond comprehension. He can even identify a women’s perfume from half a mile away. He is literally the master of everything.

But here’s the #1 reason I’m excited to become Doc Savage.. HE’S A F*CKING HILARIOUS WEIRDO!

Confidently, yet innocently he has zero social graces whatsoever due to his upbringing so every interaction he has with someone is direct, odd, often uncomfortable and amazingly hilarious.

After speaking for hours w/ Shane Black I can see why the creator of Superman took only the best parts of Doc Savage and leaving the “weirdo” part behind. But to us, it’s that “weirdo” part that makes Clark “Doc” Savage dope! Can’t wait to sink my teeth into this one of a kind character. 

#ItsOfficial #WorldsFirstSuperhero #GeniusIntellect #PhysicalSpecimen #FnLoveableWeirdo #DocSavage”

So you have this “Doc Savage” character who has zero social graces and is like a child in a hero’s body. Isn’t that exactly like what is portrayed in the movie? Can we forget the kissing scene between Ruby Roundhouse; the Killer of Men, and Doc “Smolder” Bravestone?

Yeah. I want to forget it too. LOL.

Yeah. It’s a hoot.

Doc Savage is also a perfect role for Johnson as an actor. The character is not only an outlet for Johnson’s action hero bona fides, but also his comedic chops; raised by scientists, Savage has a world-class education but “no social skills,” as Johnson put it. A darling of action, fantasy and science fiction cinema, Johnson has been left wanting for a superhero role at a time when superhero movies are the genre of choice. And what better part could there be for a star of the Rock’s stature than what he himself has appropriately dubbed the #World’sFirstSuperhero?

-The Mary Sue

Anyways, I like to believe that the Doc Savage band of brothers has been reconstituted into the Jumanji characters.

Surprised how entertaining it was
19 December 2019 | by comps-784-38265See all my reviews

I took one look at the trailer and was certain it would be rubbish

Finally watched it on TV and was surprised that it's good solid family entertainment.

Not outstanding but a respectable 7 stars.

The Band of Brothers

Although Doc Savage appeared first and most often in prose novels, it’s fair to say that the character is best known by comic book fans. A brilliant scientist with super strength, Doc Savage was the blueprint for countless tropes that would become staples of superhero comics. The character has been eclipsed in the public memory by his pop cultural descendants, but Doc’s legacy is formidable. Time magazine called him not only “the natural father of Superman,” but of James Bond as well.

Not only was Doc known as “the Man of Bronze” and the owner of a “Fortress of Solitude” years before Superman’s debut, he also travelled the world in style and boasted an arsenal of high tech gadgets. That’s not even all of Savage’s most obvious contributions to pop culture: His entourage was even called “the Fabulous Five.”

Doc Savage had five companions that dedicated their lives, the same as Doc, to traveling around the world to do justice.

  • Lt. Colonel Andrew Blodgett Mayfair, who is better known as Monk to his friends. Monk is an industrial chemist.
  • Brigadier General Theodore Marley Brooks, Ham, is a lawyer, considered to be one of the best Harvard has ever turned out.
  • Colonel John Renwick, Renny, a construction engineer. He prided himself on his ability to knock down any door with his fists.
  • Major Thomas J. Roberts, Long Tom, the electrical wizard of the group.
  • William Harper Littlejohn, Johnny to his friends. He is an archaeologist and geologist of great renown.

These men made up the team of aides that Doc relied on throughout the series. Known as the “Fabulous Five” on the back cover of the Bantam Books editions, they were never called such in the actual series.

  • Doc’s cousin, Patricia Savage, introduced in the novel Brand of the Werewolf, frequently appeared in Doc Savage as well.

And no, these EXACT characters do not appear in the Jumanji movies. But aspects of their characters do.

Ah but enough of all that.

What does it matter, unless people enjoy the movie, and have a little escapist entertainment in the process, eh?

A Most Enjoyable Film Which Endlessly Pulls at the Corners of Your Face Her-Excellency7 April 2018

Who would have thought that the sequel to a much-loved classic would, in my opinion, turn into such a stand-alone powerhouse!?!

Jumanji Welcome to the Jungle, does just that. 

Not only is it a virtual non-stop ride of hilarity and laugh-out-loud moments, and it is, but the chemistry among the adult cast members is practically flawless and lends to the easy banter and overflowing, genius, COMEDIC DIALOGUE which just SHINES. Every look, every gesture, every note from The Rock, Kevin Hart and Jack Black are perfection in that at no time do you doubt they are who they are supposed to be. Karen Gillan is adorable and gorgeous at the same time. The obvious fun they are having, despite what I imagine to be uncomfortable filming locales, is palpable, and as an audience member, _if you allow yourself to be_, you WILL be swept up and transported by it.

So, why ANY low ratings?

While the first Jumanji was 'fun', underneath the fun, there were dark layers. There is none of that here and perhaps, this is where some of the disconnect from its detractors comes from. Unlike the original Jumanji, Jumanji Welcome to the Jungle is a fun, and funny, film throughout.

You're Going to Need a SEATBELT ThmellyAthole8 April 2018

I used to have an IMDB account when I was a teen - or at least thought I did, but couldn't log on. In any case, I think I've visited here maybe twice in the last five years. Today though, after just getting back from watching this with my almost-grown kids, I had to make an account just to leave this review.

To begin, I'll never understand people. I can't believe the negative reviews. How could anyone not have laughed like hell while watching this and still have a pulse? I didn't go in expecting much, but I came out with a smile on my face. The girl is hot, Jack Black "owns it", I've never been overly enthused about Kevin Hart, but he was fantastic. and The Rock just knocked it out of the park. 

I saw one review which reads: "not a wrestling fan ever so to see 'the rock' in movies, instantly puts me off!" Does anyone else want to vomit at the inanity, irony and ludicrousness of that statement? Then you have the user who out of 40+ titles he/she has reviewed in the past has only ever rated TWO above three stars. Seriously, if you don't enjoy films and find them so terrible, find a new hobby already. You've got one guy saying the shirt one of the kids wore was outdated. So, I'm guessing one can only wear clothing depicting the current year? Then you have the reviewers who maybe didn't understand the dialogue since they can barely communicate correctly themselves (such gems - I kid you not - as: 'averege'; 'what so ever'; 'family fair' (fare); 'are just wasn't'; 'due to it has'; 'all of there' {their); 'coz it is boring story'; 'no compare with'). Finally, you have the maybe half dozen reviewers who are so caught up in their bigotry that they can't relax and enjoy a film if it isn't whitewashed and who complain about the "Hollywood liberal agenda of diversity". Leave the politics at the door, man. In short, Jumanji Welcome to the Jungle was a fantastic hour or more of rip-riding fun and laughs.

Except for one very funny moment, Dwayne Johnson retires his wrestling persona for this film and instead, provides a smoldering and intense performance, riddled with good-natured hilarity as the lead in this film. Gillan was great in Doctor Who, and although I thought she was the weakest of both characters and actors in this film, she still held her own and looked fantastic doing so. She has one of those faces you can't help but love. Kevin Hart was fantastic as the diminutive valet and looking back, I think he was somehow involved in every funny moment in which I laughed the hardest. Finally, the master, the maestro (though I never really was a fan prior to this), Jack Black plays the teen beauty queen with 100% commitment and to perfection.

10/10 and definitely a film I will be purchasing right after I click "Submit". You can never have enough laughter in life, and Jumanji, Welcome to the Jungle delivers barrels-full.

Let’s talk a little about the characters in the old Doc Savage pulps.

Theodore Marley “Ham” Brooks

Theodore Marley “Ham” Brooks is an attorney and member of Doc Savage‘s Fabulous five.

Ham was considered one of the best-dressed men in the world, and as part of his attire, carried a sword cane whose blade is coated in a fast-acting anesthetic.

He first encountered Doc Savage while serving in the military, where he attained the rank of Brigadier General.

His nickname was acquired when Monk, in retaliation for his guardhouse incarceration, framed Brooks on a charge of stealing hams from the commissary. In the only case which Ham ever lost, he was convicted of stealing the hams. He acquired a pet ape which he named Chemistry.

In The Mystic Mullah he shows he is fluent in the Tibetan language.

Seriously, we don’t see anyone with these characteristics in either of the two Jumanji movies. But, we do see the aviator character who is looked upon as a knowledgeable resource for the game.

Jefferson “Seaplane” McDonough: Seaplane McGonough is a game character that plays a young pilot.

Alex Vreeke was the name of the human player who selected the avatar of Jefferson “Seaplane” McDonough and became trapped in the game for two decades. At the end of the movie, Alex is returned to his time and grows up to be an adult played by Colin Hanks.

All in all, it’s a fasinating twist of pulp fiction, Jumanji, and modern computer games.

The various plot lines are wide open. And this is very exciting.

No idea why it took me 2 years to watch it danielmanson23 November 2019

It's good. I had no idea what to expect in all honest. I am not huge fans of other movies by these actors, but this really worked. You could see the great chemistry between them all and it paid off.

What I liked: I enjoyed how it didn't dither about at the beginning and got right into the action. Jack Black especially was brilliant and hilarious! All the actors/actresses were great but Jack Black stood out. Good mix of action and comedy throughout. I was on the edge of my seat (metaphorically) wanting them to escape the game.

Let’s look at this next Doc Savage character…

Andrew Blodgett (Monk) Mayfair

Andrew Blodgett Mayfair, more commoly known as Monk Mayfair is among the principle members of the The Fabulous Five.

He received the name Monk because his long muscular arms and his low forehead make him resemble a monkey. Like several of Savage’s companions he served in the military, holding the rank of Lieutenant Colonel.

Monk currently works as an industrial chemist. He possesses incredible strength, rivaling Doc Savage and can effortlessly bend pennies between his fingers.

Monk personally trained his pet pig, Habeas Corpus, to help serve Doc on his missions.

Monk has a friendly rivalry with Ham, and the two often needle each other. A mutual affection has been shown between them, with one risking life to save the other.

In the Black, Black Witch he is capable of speaking flawless German without a trace of an accent.

He was played by Michael Miller in the film Doc Savage: The Man of Bronze

I will tell you that my personal opinion is that this role is Franklin “Mouse” Finbar. In the Jumanji movie he is one of the five selectable playable character in the video game version of “JUMANJI”.

In “Welcome to the Jungle”, he was the chosen avatar of Anthony “Fridge” Johnson.

In “The Next Level”, he was given to Milo Walker, instead of Fridge (who was forcefully given Shelly Oberon instead), but Finbar is later given back to Frdige.

Franklin “Mouse” Finbar.

Actually, there is a little bit of Monk in a number of characters.

But let’s not quibble with my nonsense. I’m just throwing out some thoughts that could be wildly wrong or (alternatively) right on track accurate.

 

John “Renny” Renwick

John “Renny” Renwick is a member of The Fabulous Five, Doc Savage’s main helpers and friends.

He is a Construction Engineer and a member of the military, holding the rank of Colonel.

Renny is notable for his gloomy personality and his physical stature. His fists are gigantic and he is known to like to punch his way through solid doors.

Thomas J. (Long Tom) Roberts

Thomas J. Roberts, or as hes more commonly referred, Long Tom Roberts, is one of Doc’s assistants and a member of “The Fabulous Five“.

In the 1975 movie, Doc Savage: The Man of Bronze, Long Tom is played by Paul Gleason.

The character is presented as an electrical engineer, holding the military rank of Major, and a pilot.

He and Doc Savage first met while he was serving in World War I. The explanation of his nickname is given as a result of an event during the war where he helped defend a small European village using an ancient cannon known as a “Long Tom“.

In The Man of Bronze he is described as “the physical weakling of the crowd, thin, not very tall, and with a none-too-healthy-appearing skin“.

Professor Sheldon “Shelly” Oberon is one of the five selectable playable character in the Video Game version of “JUMANJI”, that appears in “Welcome to the Jungle”, as the chosen avatar of Bethany Walker, and in “The Next Level” as the avatar of Anthony “Fridge” Johnson, but Bethany was later able to become Oberon again.

William Harper “Johnny” Littlejohn

William Harper “Johnny” Littlejohn is a member of The Fabulous Five, Doc Savage’s main helpers and friends.

Johnny is an archeologist and geologist, known for his exotic vocabulary with long words.

Johnny was initially blind of one eye, using a monocle that he kept even after going through corrective surgery that restored his vision. His military rank, if any, has never been revealed.

I cannot help but think that he was the inspiration for Professor Sheldon “Shelly” Oberon. 

Patricia Savage

She had a wealth of bronze hair–hair very closely akin in hue to that of Doc Savage.

She was tall; her form was molded along lines that left nothing to be desired. Her features were as perfect as though a magazine-cover artist had designed them.

She wore high-laced boots, breeches, and a serviceable gray shirt. from Brand of the Werewolf by Lester Dent writing as Kenneth Robeson.

Patricia “Pat” Savage, joins Doc Savage on many of his adventures beginning with her first appearance in “Brand of the Werewolf“. She is the daughter of Alex Savage, Doc’s uncle who lives in Canada.

Pat is described as being 18 years of age and shares many of Doc’s physical characteristics: bronze skin and hair, golden eyes. She also shares Doc’s sense of adventure, thus making her another of Doc’s companions.

Doc Savage makes attempts to restrain is young cousin in order to keep her out of harm’s way.

Pat typically carries a Pat’s SAA Revolver. It was handed down from her grandfather and is often carried in her purse.

Pat Savage appears in 39 Doc Savage adventures:

New Characters

It's all fun and games.

-Level up. Pjtaylor-96-1380448 December 2019

Even though its central concept seems ever-so-slightly more strained this time, ‘Jumanji: The Next Level (2019)’ is about as good as its predecessor. In fact, it’s sometimes even better.
Basically, the flick is just fun.
The crowd-pleasing body-swapping is amped up to eleven, shaking things up just enough so that they feel fresh. The main actors continue to properly impress in their chameleon-like roles, joined by a few extra treats that perform far better than you'd perhaps expect.

Indeed, these new players are probably its biggest asset; a couple of them thoroughly perforate the entire experience despite only having a rather small amount of screen-time.

The picture is often funny - though, never hilarious - and is oddly endearing, to boot. It isn't particularly deep or, even, memorable but it doesn't need to be. It's a good time at the movies; what more do you need?

Obviously some better theming and, perhaps, a tad of nuance wouldn't go amiss, but it's just not that kind of film really and that's perfectly fine. Even if it doesn't impact you as much as some of the year's best, it'll certainly make you smile and keep you entertained for a couple of hours.

Besides, its inciting incident is driven purely by character and it even manages to squeeze some genuine emotional connection, via a well-drawn dynamic between DeVito and Glover, into its otherwise otherworldly proceedings. Its acting is also deceptively simple but decidedly fantastic, fully immersing you in the idea that these major stars are actually four teenagers and two old men.

And nothing to take seriously.

But I do love the refreshing juxtaposition of the Jumanji franchise that Robin Williams stared in and started, along with the wonderful Doc Savage pulp fiction to create this 'new" and refreshingly vibrant world that is the perfect mixture of fun, laughs and adventure for the whole family.

If you all haven’t seen it yet, then please do so. It’s fun.

It’s great entertainment.

And at that, I’ll close.

This is a great movie to chill out with your friends and family. Drink some alcoholic beverages, have a good time. After the movie, you will all be in a good mood. It’s all great

And those are the best kind of movies.

Just a good old fashioned fun movie gluonpaul7 December 2019

There are not many franchises which have been renewed recently which I have actually thought turned out well. Most have been done badly but I have to say that Jumanji stands out as an exception.

This movie does not have a heavy deep story, doesnt try to be anything more than it is, this movie makes you laugh, keeps you entertained and ensures you leave the theater feeling happier than you went in.

It wont win oscars but it will win your heart, definitely a movie to go and enjoy at this time of year.

Oh and guess what?

And get ready because another movie is in the works; Jumanji 4. And this one will have some surprises.

  • One of the biggest twists in The Next Level involves the villain Jurgen the Brutal (Game of Thrones‘ Rory McCann), who’s revealed to have strengths and weaknesses much like the heroic avatars in the Jumanji video game. In a social media post from late last year, Johnson confirmed Jurgen is also a playable avatar and teased Jumanji 4 will reveal who’s been playing him in the real world.
  • Kasdan further alluded to the idea during his interview with Collider, saying he “would love to” reveal The Next Level’s hidden villain in the next installment.
  • In addition, The Next Level’s credits scene suggested Jumanji 4 will take place in the real world, much like the original Jumanji movie did. If so, it opens the door to all sorts of possibilities, not least of which is the Jumanji video game avatars and series’ young heroes meeting face to face.

Do you want more?

I have more movies in my Movie Index here…

MOVIES

.

Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

.

 

The Dragon by Ray Bradbury (Full Text)

Here's a nice short story to provide some brief moments of pleasure. I do hope that you enjoy it as much as I have. - MM

THE DRAGON
By Ray Bradbury

The night blew in the short grass on the moor; there was no other motion. It had been years since a single bird had flown by in the great blind shell of sky.

Long ago a few small stones had simulated life when they crumbled and fell into dust. Now only the night moved in the souls of the two men bent by their lonely fire in the wilderness; darkness pumped quietly in their veins and ticked silently in their temples and their wrists.

Firelight fled up and down their wild faces and welled in their eyes in orange tatters. They listened to each other’s faint, cool breathing and the lizard blink of their eyelids. At last, one man poked the fire with his sword.

“Don’t idiot; you’ll give us away!”

“No matter,” said the second man, “The dragon can smell us miles off anyway. God’s breath, it’s cold. I wish I was back at the castle.”

“It’s death, not sleep, we’re after…”

“Why? Why? The dragon never sets foot in the town!”

“Quiet, fool! He eats men traveling alone from our town to the next!”

“Let them be eaten and let us get home!”

“Wait now; listen!”

The two men froze.

They waited a long time, but there was only the shake of their horses’ nervous skin like black velvet tambourines jingling the silver stirrup buckles, softly, softly.
“Ah.” The second man sighed. “What a land of nightmares. Everything happens here. Someone blows out the sun; it’s night. And then, and then, oh, God, listen! This dragon, they say his eyes are fire. His breath a white gas; you can see him burn across the dark lands. He runs with sulfur and thunder and kindles the grass. Sheep panic and die insane. Women deliver forth monsters. The dragon’s fury is such that tower walls shake back to dust. His victims, at sunrise, are strewn hither thither on the hills. How many knights, I ask, have gone for this monster and failed, even as we shall fail?”

“Enough of that!”

“More than enough! Out here in this desolation I cannot tell what year this is!”

“Nine hundred years since the Nativity.”

“No, no,” whispered the second man, eyes shut, “On this moor is no Time, is only Forever. I feel if I ran back on the road the town would be gone, the people yet unborn, things changed, the castles unquarried from the rocks, the timbers still uncut from the forests; don’t ask how I know; the moor knows and tells me. And here we sit alone in the land of the fire dragon, God save us!”

“Be you afraid, then gird on your armor!”

“What use? The dragon runs from nowhere; we cannot guess its home. It vanishes in fog; we know not where it goes. Aye, on with our armor, we’ll die well dressed.”

Half into his silver corselet, the second man stopped again and turned his head.

Across the dim country, full of night and nothingness from the heart of the moor itself, the wind sprang full of dust from clocks that used dust for telling time. There were black suns burning in the heart of this new wind and a million burnt leaves shaken from some autumn tree be- yond the horizon. This wind melted landscapes, lengthened bones like white wax, made the blood roil and thicken to a muddy  deposit in the brain. The wind was a thousand souls dying and all time confused and in transit. It was a fog inside of a mist inside of a darkness, and this place was no man’s place and there was no year or hour at all, but only these men in a faceless emptiness of sudden frost, storm and white thunder which
moved behind the great falling pane of green glass that was the lightning. A squall of rain drenched the turf; all faded away until there was unbreathing hush and the two men waiting alone with their warmth in a cool season.

“There,” whispered the first man. “Oh, there…”

Miles off, rushing with a great chant and a roar – the dragon.

In silence the men buckled on their armor and mounted their horses. The midnight wilderness was split by a monstrous gushing as the dragon roared nearer, nearer; its flashing yellow glare spurted above a hill and then, fold on fold of dark body, distantly seen, therefore indistinct, flowed over that hill and plunged vanishing into a valley.

“Quick!”

They spurred their horses forward to a small hollow.

“This is where it passes!”

They seized their lances with mailed fists and blinded their horses by flipping the visors down over their eyes.

“Lord!”

“Yes, let us use His name.”

On the instant, the dragon rounded a hill. Its monstrous amber eye fed on them, fired their armor in red glints and glitters, With a terrible wailing cry and a grinding rush it flung itself forward.

“Mercy, God!”

The lance struck under the unlidded yellow eye, buckled, tossed the man through the air. The dragon hit, spilled him over, down, ground him under. Passing, the black brunt of its shoulder smashed the remaining horse and rider a hundred feet against the side of a boulder, wailing, wailing, the dragon shrieking, the fire all about, around, under it, a pink, yellow, orange sun-fire with great soft plumes of blinding smoke.

“Did you see it?” cried a voice. “Just like I told you!”

“The same! The same! A knight in armor, by the Lord Harry! We hit him!”

“You goin’ to stop?”

“Did once; found nothing. Don’t like to stop on this moor. I get the willies. Got a feel, it has.”

“But we hit something!”

“Gave him plenty of whistle; chap wouldn’t budge!”

A steaming blast cut the mist aside.

“We’ll make Stokely on time. More coal, eh, Fred?”

Another whistle shook dew from the empty sky. The night train, in fire and fury, shot through a gully, up a rise, and vanished away over cold earth toward the north, leaving black smoke and steam to dissolve in the numbed air minutes after it had passed and gone forever.

Do you want more?

I have more stories much like this one in my Ray Bradbury Index here…

Ray Bradbury

.

Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you.

 

A Metallicman Video Narrative; Home in Zhuhai 1

Well, this is my first try at making a Vblog. I hear that it is a popular thing to do and I am told that I could open up an account on You-Tube and get a bunch of followers. Well, maybe. If I wanted that.

So I made a video.

It’s of my neighborhood.

Well, actually, it’s a string of around 12 or so, 2 to 5 minute long videos that I have zipped together in a folder. You just unpack and watch at your pleasure.

For me, it’s nothing fancy. Yet when I show glimpses of my life to others outside of China, they seem to like it. Cool, I guess. So… this is just myself walking around the neighborhood; my house, and filming it while I discuss the world around me. I hope that you like it.

The theme behind this video (or collection of smaller videos)  isthe feeling in China is near identical to the feeling that I had while a small boy living in the USA in the 1960’s”.

The videos themselves are but a collection of short movies, and they are all zipped up. You just unzip to a folder and then just play the movies. It’s not as convenient as You-tube, but I won’t end up getting shadow banned either.

This is all pretty much unlike the typical expat in China vblogs that visit this town, or that town and talk about what they observe. I do that as well, but overall, this effort is about me and where I live. It’s purpose and intention is to get you, the viewer, a “feeling” of the environment as opposed to someone watching a narrated adventure.

I do narrate, but … well, you watch.

I really want you, the viewer, to “feel” what “my China” is like. It’s my reality. It’s my world. And, by extension, MM readers / followers’ world as well.

The entire video set can be downloaded HERE. But, it’s super large at 392 MB. And many computers cannot download it due to the cache memory size, or clutter in their browsers. Like your’s truly.

So… Here’s the MM version. For me, I need to download things in smaller mouth-fulls.

Or if you keep on getting errors, you can download the file in small batches and then go folder by folder.

Yes, I can add streaming video code instead. And I am researching it. The thing is that I do not want code that is connected to the American oligarchy in any way. And most available codes are. 

Sure, you can host the video on your site, but the video will be directly tied to Google, which is then tied to the NSA, which is then under the control of the American Federal Government. So I am looking into this. 

I'll keep you all posted on my successes or failures in this matter. Maybe I'll ask Jeff Brown for some pointers....

To Open the Files

Just unzip to whatever folder you want and then just play the first video, the other videos will play immediately afterwards (if you follow the default settings on your OS). Most videos are between  one and a half to four minutes long. All told, it’s roughly 45 minutes in total.

Or around 12 minutes per VLOG group (1 through 4) for the bite-size MM version that I used to test on my computer.

I really hope that you are not too bored.

How the videos are set up

The first video starts off quiet and then I break out into my narrative. I really, and sincerely hope that you all can get the real “feel” for China like I have. And understand WHY I say that it reminds me so much about what the United States was like back in the late 1950’s, and early 1960’s.

Some key points

One of the things that I am trying to get across is that China reminds me of what America used to be. Whether it was the 1950’s, 60’s or 70’s. It clearly has something, a “feeling”, a pace of life, a way of living, a society that has long evaporated away in the United States.

I argue that what America is today is a direct reflection on it’s leadership. And the fact that the leaders are not smart, (are terribly corrupt and behave as psychopathic fiends with no shame or attempt to hide their behaviors) reinforces this point. For them, being surrounded by sycophants and other psychopaths they are unable to see what they have created or the world that they live in is not good. It is not healthy and it is most certainly, not normal.

A Metallicman 1960’s America.

Ah.

You could reasonably argue that I miss the old culture, and the older styles of cars, clothing and other attributes of the past. you could say that I miss the prices and my now dead relatives. I suppose that many of those points are actually true. But with every good point, is an equally bad point.

And I suppose that people would argue that I am looking with fondness of the past. And it’s true, I am. But what I am describing is the “feeling” of that time. And I am comparing it to the “feeling” that I have now.

Today, here inside of China, no one is on the radio, or on the internet yelling at me to buy! Buy! Buy!. It’s only $98.98.

I am not hearing from radio, television or the internet about all sorts of emergency dangers and that the world is out of control.I don’t hear advertisements that ask if I am depressed, have marital or legal problems, or how great a pill will help me in my life.

Instead I hear that things are under control, and I see that with my very own two eyes. There’s a calmness in the air that I haven’t experienced since the 1960’s, and it is refreshing to experience it.

I hope that you too are able to experience it in my VLOG herein.

A “real” car. From Shorpy.com.

Compare my reality with American reality

While I was filming these videos, this is the hysteria going on in America. Now compare reality against the perception of what is important via the “news”.

I mean, don’t you know, that it’s all bullshit.

So I am just gonna hang out here. Have a few beers, and eat some delicious food with some friends, both old and new. And that’s my reality.

Do you want more?

This article is going into a new sub-index that I am creating for it titled VLOG. You can access it here.

Video Blog

.

Articles & Links

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

 

 

.

 

Some fine examples of what Chinese ladies are like. All are in short video format.

This article is devoted to one of my more neglected sub-indexes. Which is the “learning about China by looking at Chinese girls” sub-index. Well, in this article we won’t be teaching you all all that much about China so much as we are showing you images of what the girls are like in China.

I know, I know… the American propaganda mills have been working over-time to make China look like an evil monster, dark, gloomy, sad and dirty. It’s nothing like that. But you know, the sheeple love to read things like that. It makes them feel good about their sorry lives.

So what I did was I got on my local internet and started collecting short videos made by gals here in China. They are in no particular order. I just went ahead and vacuumed them up as I found them. Over all, they are a great introduction to what the girls of China look like today.

I took the micro-videos and grouped them into batches of ten. Then I zipped up the file so that you can download all ten together. It’s much faster and easier for you to check out these ladies this way. I did make a point to put at least one “noticeable” worthy girl in each group. I’ll have you know.

But first…

Realize that just because the girls like to dance around on Chinese social media does not equate them to be the “standard, and normal” Chinese lass. They tend to stand apart in one way or the other as this video clearly indicates…

Video HERE.

What the American propaganda says…

But first, let’s see what kinds of images that you can find on American Internet and American websites that depict what Chinese girls must look like. OK.

This is a screen shot of a Bing Image Search…

Chinese girls according to Bing Search.

You know, there are ugly people all over, but seriously, the density of all these types of girls gives you the illusion that this is what all the Chinese girls look like. It’s not even remotely true.

Some Examples of Chinese Girls…

OK. Here’s some fun videos of come pretty Chinese girls.

  • Click on the link under the picture. It will download a ZIP file.
  • Unzip to a folder.
  • Then when you open the folder you will see the ten videos.
  • Click on the first one, and the computer will play all ten one after the other. You should be able to go through all the ten micro-videos in just over a minute.

Group 1

The ladies come in all shapes and sizes. Some are busty. Some are not. Some are short, and some are tall. All are lovely.

And you can access these young ladies by clicking HERE.

Group 2

Most of the Chinese girls like to wear tight fitting clothes. It’s the current fashion, I figure. I am not complaining. It’s like when I lived in California back in the early 1980’s, and all the girls wore these one-piece spandex suits that they rode bicycles in.

And you can access these young ladies by clicking HERE.

Group 3

All of these girls, no matter what size or shape, all have one or more attributes that are attractive to me personally. I think that it is their personality that comes out on these little videos.

And you can access these young ladies by clicking HERE.

Group 4

You will note that not all Chinese girls have long black hair. Many have brown or shades of brown hair. And while the predominant eye color is brown, there are other colors that manifest from time to time.

And you can access these young ladies by clicking HERE.

Group 5

When I look at these girls, a flood of thoughts assault me. And one of the dominant thoughts is, of course, sharing a bottle of wine with them and eating some fine delicious food.

And you can access these young ladies by clicking HERE.

Group 6

All of these gals have a story to tell. Wouldn’t you like to sit at a table, enjoy some fine food, play some games. Drink some wine and listen to their stories?

And you can access these young ladies by clicking HERE.

Group 7

The gal on the bottom row in the middle is wearing some traditional Hunan clothing. I find the gals, the food, and the hilly countryside very appealing to me.

And you can access these young ladies by clicking HERE.

Group 8

The girl in the blue jeans is showing off her butt. It’s a pity that she doesn’t turn around so that we can see her face, and shape. But that’s the way life is. Sometimes people want to emphasize what they feel is their “best” physical attribute.

And you can access these young ladies by clicking HERE.

Group 9

Girl number two here is quite top heavy. I think that the outfit doesn’t do her justice. She might be better served with a long dress and a expansive top. But that’s just me, don’t you know.

And you can access these young ladies by clicking HERE.

Group 10

Having cleavage is a “thing” for girls in their 20’s in China, I guess. But you have to see them outside the work environment and in the clubs or KTV’s to appreciate it.

And you can access these young ladies by clicking HERE.

Group 11

The girl cutting up food is a real turn on. There are few things that get me more excited than a woman making and cooking dinner. It’s a fetish I suppose.

And you can access these young ladies by clicking HERE.

Group 12

This first gal in the golden spandex has a very nice butt. I could watch her a walking all day long, I’ll tell you what.

And you can access these young ladies by clicking HERE.

Some final thoughts

Now that I am older, when I look at a pretty and attractive lady, I think about going out together. I think about talking, eating fine delicious food, and drinking some nice alcohol. It makes me want to put on my “best side” also and just spend a lovely day or evening together. Engaging in free talk, and just having fun.

If something else happens, then great. If not, well, that’s fine too.

In every event, we would all have a great time. Talking, being our best and sharing our thoughts, our lives and fun together. It’s a precious thing.

One of the things that I really like about China is that you can speak freely to each other. You don’t have to worry about offending someone by your mannerisms, or your language or your subject matter. And this fact really becomes pronounced when I meet someone from the West who comes to China on a  visit and I immediately notice they stiffen up and get uncomfortable when I speak, or smoke or drink.

But what’s their problem. I offer them a cigarette. You know?

Jeeze! They are so imprisoned that they don’t know what freedom actually is.

Being afraid to say something, least the person gets offended is not freedom. Freedom is the ability to be yourself. So be it. Don’t try to please others. Please yourself. You’ll end up being a heck of a lot happier in return.

Throw in some fine delicious wine.

And some tasty food.

And some great conversation with some attractive ladies, and you have the makings of a wonderful time. I kid you not. You can believe  me on this. And that is really true if one of the ladies is an animal lover, a cook, a gardener, a history buff, a poet, an archivist, or a dancer. My experiences with these kinds of women has always been extraordinary.

And don’t even get me started on some of the prime MM subjects here. You’ll never get me to shut up!

Generally speaking in China, the more you can drink, the more respect you will earn. If you can drink excessive amounts of alcohol, still stand up, form relatively coherent sentences and follow proper Chinese drinking etiquette (see below), you’ll no doubt impress your table-mates and leave a good impression. If you fail, don’t worry! You probably won’t remember it anyway. Obviously never drink more than you can handle no matter what the pressure.

Do you want more?

I have more posts like this in my Pretty Girls of China Index here…

Pretty Chinese Girls

.

 

 

 

 

.

 

 

 

 

 

 

.

The creature with a great rack; the Megaloceros

Did you know that some species of creatures view size of particular shapes or body forms as sexually stimulating.  It’s sort of like how birds are attracted to displays of plumage, and precise mating dances, or how bees and insects are attracted to scents and colors. Well, what is very interesting and what we are going to talk about here are the sexual “turn ons” for long extinct animals.
.
Sounds like fun, huh?
.
In particular we are going to amuse ourselves with the magnificent rack on the megaloceros.
.
I mean, when you see this creature cross the street, or walk into a store, or get into a car, you will not be able to help yourself. You will be staring at that absolutely stunning enormous rack. I am not kidding, I will tell you what!
.

Megaloceros

Quick Megaloceros Facts

  • Lived from the Pleistocene through the Modern Period
  • Lived on the plains of Eurasia
  • Was as long as an elk
  • Weighed as much as 10 white-tailed deer
  • Was an herbivore
  • Its antlers were longer than a car

About Megaloceros

Megaloceros, also known as the Irish Elk, was a genus of deer which lived approximately 2 million to 10,000 years ago – from the Pleistocene through the Modern Period. It was first discovered during the late 18th century and was named by Johann Friedrich Blumenbach in 1799. Its name means “giant horn.”

The genus of Megaloceros covers 9 distinct species of this genus, but only one of them were as large as small dinosaurs. And that species is Megaloceros giganteus. It is also the one that we will be talking about today.

Megaloceros.

If you look at Megaloceros pictures, then you’ll quickly realize that this animal looks like an elk with an extremely large set of horns. However, that is not entirely accurate. These mammals had more in common with North American deer than it did with European elks. Another one of the interesting facts about Megaloceros is that it wasn’t exclusive to Ireland either.  This deer lived all over Eurasia.

This animal was approximately 8 feet long and weighed around 1,500 pounds. Which is about the length of a modern elk but nearly double the weight of one. Another feature that made it quite different from looking like a modern elk is its antlers. Its antlers were about 12 feet long and weighed around 100 pounds.

As impressive as that sounds, their antlers weren’t used for combat, however. They were almost certainly used by the male deer to attract females.

Megaloceros went extinct right at the cusp of the last Ice Age. And scientists aren’t exactly sure why.

Some paleontologists have speculated that overpopulation and inbreeding led to a population of Megaloceros’s that were unable to adapt when the climate changed. Other paleontologists believe that mankind hunted them into extinction. Most likely, it was a combination of these two facts which led to the inevitable demise of these majestic looking animals.

Speaking about some large racks…

Of course, I just couldn’t help myself. Heh heh.

Index of large racks.

Here’s the videos. Most are under thirty seconds. Very quick. To open them up, just click on the link below.

  • MOV1 – She’s from Hunan, and I love her in traditional clothes.
  • MOV2 – She’s a mighty big girl.
  • MOV3 – She’s a clothing model.
  • MOV4 – It’s hard to concentrate on her trousers.
  • MOV5 – I do like her top.
  • MOV6 – Demure, but impressive.
  • MOV7 – Damn!
  • MOV8 – This is a really nice girl.
  • MOV9 – One of my favorites.
  • MOV10 – She always puts a smile on my face.

And a second group…

Now wasn’t that fun? Yeah. I like looking at pretty girls.

And the point that I am trying to make is… um… well, big physical attributes tell us nothing about the person who displays them. But they DO tend to get our attention.

I posit that the Megaloceros evolved with these large enormous horns not because it offered it better ability to forage, to run, to camouflage or to survive, but rather to attract the attention of females that wouldn’t help but notice his enormous, gigantic presence.

Instead of survival, it was procreation of the species.

Which is a very important driving force for life. And while it might not be all that progressively popular to admit, it is true. Species that are not able to procreate to the point where it is able to live within it’s environment are doomed for extinction.

Perhaps we see that manifesting in humans as well. Eh?

It does make you wonder about the LGBT movement…

Do you want more?

I have more posts in my Happiness Index here…

Life & Happiness

.

Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you.

.

A look at how oppressed the Chinese people are and what can be done to save them.

If you read the American (and Western) media today, you will discover that there are a number of long-running screeds regarding China, that play over and over, and over again.

For instance, China is filthy, the people are evil, they lie and cheat and steal, and they are oppressed by the evil communist regime. Etc, Etc. Well one of the narratives is exactly that; “the oppression” of the Chinese people.

You see it everywhere.

Here we are going to talk about this “oppression”.

Here’s a nice little video that works to dispel that illusion. Keep in mind that oppressed people do not smile, laugh, and have fun. They tend to hide inside their homes, and spend the evenings alone watching television or playing games in front of video monitors. They avoid groups. They talk about having to defend themselves, and they justify their stance of defense to be “freedom” from “tyranny”.

You don’t see that in China.

You do see that in the United States, though.

Shanghai, China.

Improving the lives of the citizens

All governments will say that their first order of business is to improve the lives of their citizens.

Most of them will actually mean it. Some of them will work hard at it. But only a few will succeed in doing it.

We know that GDP numbers cannot accurately capture the totality of life.

But certainly we can see that the trend of GDP per capita can offer strong clues about whether things are looking better, or worse, over time.

Harvard Business Review issued this very interesting report, that I would recommend for a read: https://lnkd.in/gY4F6DP

Check out this video

Here’s the video.

And NO, it’s not me. This is a video blogger that travels the world and speaks better Chinese than I do. He has a vblog called JaYoeNation. He’s pretty good. LOL.

Take a spell and let it download. If it is taking too much time, you can click on THIS LINK and down load a zipped-file and watch the video directly. It’s pretty good. Please enjoy.

You have got to see the pictures and this video…

Do you want more?

I have more posts along these lines in my China vs. USA Index here…

USA vs China

.

Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

Judging a nation by it’s bathrooms, a look at China today

One of the often comments that I have seen is how absolutely terrible China is because all you need to do is go ahead and take a look at it’s bathrooms. And yes, this has been a very difficult impression to discount, because as recently as 2010, most public bathrooms in China has been absolutely horrific.

And when I mean horrific, I mean exactly that. They were totally and absolutely foul, disgusting, dirty, disease ridden death-traps filled with insects, vermin and open sewers. Horrible is putting it nicely.

Used to be.

Has been.

Not any longer.

But of course, with anything good, no one ever reports anything good about China. It’s all bad, and evil, and filthy stuff.

No one ever reports on the good.

Certainly not the United States.

Here’s a nice little video that works to dispel that illusion. Now, you all must keep in mind that pitiful toilets do still exist inside of China. You have a population that is four to five times larger than that of the United States. So it takes time to implement change. Yet, all in all, the changes inside of China are enormous and rapid. Especially when you compare it to the glacial changes inside of America.

Here’s the video.

And NO, it’s not me. This is a video blogger that travels the world and speaks better Chinese than I do. He has a vblog called JaYoeNation. He’s pretty good. LOL.

Take a spell and let it download. If it is taking too much time, you can click on THIS LINK and down load a zipped-file and watch the video directly. It’s pretty good. Please enjoy.

You have got to see the pictures and this video…

Do you want more?

I have more posts along these lines in my China Index here…

USA vs China

.

China

.

Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

When boys go out to play; a Metallicman mini vacation and insight into my life in China

Hey everyone, I’ve been busier than a dog in a forest going tree to tree pissing indiscriminately. And some of my activities enables me to mix a little bit of business with pleasure. And isn’t that the way we want to do things? We work a little bit, and we play a little bit too.

Anyways, I decided that I would give you all a taste of what my last few days were like. It’s nothing super fantastical. I’m not driving a Ferrari, and staying in five star hotels and all that. It’s all rather middle class and plebeian. Don’t you know. But it’s so gosh darn different from what things are like in the Untied States that perhaps you all might might a glimpse into what it’s like to be me.

Sounds ok?

Everyday carry

One of the themes in Western media is “everyday carry”.  People take pictures of the things that guys stuff in their pockets when they go out. And for most of America it’s a set of car keys, a wallet stuffed with cash, a multi-purpose tool, and a nice pocket knife or so.

I’m in China. My “everyday carry” is a cellphone and a couple of fobs. No one uses money anymore. We just scan to buy things, and some fobs for access to gates, or passes. These are only for the places that don’t have facial recognition yet.

But, I’ve kind of made up a picture of my gear bag for travel that you all might enjoy looking at.

First up is my bag. Nope, it’s not a designer bag with Gucci on the side of it. It’s just a basic functional bag that has stains and wear from use. that’s about it.

Typically, I keep it pre-loaded with basic gear and when I need to go overnight, I just top it off with whatever I need, and sling it over my shoulder and out the door I go.

Next up…

What I put inside it.

The last night was typical. I met a few new bosses, and we are out drinking and there we go again! They want to “bottoms’ up” me (Geng Bei) me until I can hardly stand. Now, my aide(s) are telling him, no. Please don’t try to drink me under. that I can drink anyone under the table. but he wouldn’t listen, and then one of my aides told them my age, and he about shit himself. He thought that I was in my late 30’s early forties. LOL.

Never the less, I did continue to drink And I did drink him almost under.  He cried “uncle” after the third bottle of Beijiu.

Anyways, also in my travel bag are these essentials…

Now, of course, I have other things. But I want to elaborate on what they are and the limitations involved in using them.

For starters, you will notice that there isn’t a lighter. But there is a pack of cigarettes. In my world, people fight for the honor to light my cigarette. And they prefer to offer me my own packs out of a case that they tend to buy for the occasion. So I rarely have the need to carry my own cigarettes or a lighter. Not to mention that if I am flying that I cannot bring it on board the plane.

Of course, to this mix, I would throw into the bag a change of underwear. Usually socks and underpants. And what ever medicine that I am taking at the time. Which currently is blood pressure medicine. (It’s pretty much what you all will have to deal with when you pass 60-years old.)

And that, pretty much is my carry bag.

Having Dinner

So after arriving at our destination, we visited a couple of bosses of various factories. All friends of mine. And we enjoyed “death by tea and cigarettes”. I tend to deal with the small to middle-sized factories. And that’s just fine with me. And after we took care of some business, we went out to eat.

Now, there are all sorts of great places to eat in China. But as far as I know, no one discusses the “on the farm” eating establishments. What this is, is a restaurant that is a farm. They have a pond where they raise their fish, and cages where they raise their chickens, geese, and pigs. And a nice garden where they raise their vegetables. When you order a meal, say a chicken with leeks, peppers and garlic, they will kill the chicken there, and get the vegetables right there. All very, very fresh.

So here we are going to the farm restaurant. You can eat in these little bungalows that sit over a pond where fish are raised and the ducks and geese swim. though right now, the pond is all dried up (this is the season).

And then, of course, we all get settled in and select some food, and open up the massive quantities of alcohol. In this case, we agreed to drink a combination of Rice Wine and Beijiu. That’s 53 degree poison and will peel the paint off your car if you spill a drop. Yikes!

You will notice that we brought the alcohol with us. Which is pretty much the norm in China. You can bring in alcohol or other food to eat in any restaurant as you desire. they don’t have laws or rules forbidding you from doing that like they have in the United States.

You will also note that there are these clear plastic bags of water handing from the ceiling. these little bags keep the flies and mosquitoes away. Somehow when the insects get near the pavilions the water gets them confused and they fly away. So you aren’t bothered by them.

We pretty much ate Guangzhou style. Which is one food that walks, one that flies and one that swims. It was delicious. Of course.

So we ate and drank and had a fine time. This is what friends do. And you can see how much it resembles my life growing up in Western Pennsylvania. This is how things used to be back in the 1960’s and 1970’s before the ultra-billionaires bought up the United States and turned it into a feudal society to service them.

One of the topics of conversation is the big drive by President Biden (in the United States) to invest one trillion dollars in infrastructure.

Over all, this was welcomed as a positive development, and many felt that America was on the right track trying to copy China, though most had their doubts that it would actually come to fruition.

One said that America would need to pull out of Afghanistan, and some of the other wars that it is fighting to get the money, while another pointed out that America should have done this much sooner, and that there needs to be strong serious changes in how the United States makes and authorizes plans like this. China it isn’t.  Most people came to the conclusion that it was just a bunch of “hot air” to appease the masses, and that the money would flow upwards to the wealthy oligarchy instead.

Then after dinner it’s a drunken drive to the hotel…

Now, of course, we had one of the workers or staff drive us to the hotel. We do not drive drunk. It’s too dangerous.

So we went off and went to the hotel. Now, this is a local small town hotel, not one in the big city and as such I ended up with a room with a tea table and a tea set and a large king size bed. My companions ended up with different rooms, one of which had a Majong table, and they decided to go play Majong until late in the night.

So to make a long story short, they were off getting drunk, playing Majong, and they sent me to my room with a few new friends to play with. It was a nice calm evening of delights for all of us.

It’s always fun to make new friends. I’ll tell you what.

Of course, everyone slept in, and where we got back on the road the first thing we wanted to do is go eat something warm and filling. So we ate Congee, and  noodles. Then off to meet some more bosses who then whisked us off into their cars and we went out to eat some beef hot pot.

I am sure that many readers already know that Hot Pot is. It’s sort of a Chinese fondue. Only instead of cheese, you deal with beef, and you dip it in flavored oil. Then once it’s cooked, you can go ahead and eat it in your own sauces. It’s like this…

It’s pretty delicious.

Now, you will notice that there is this brown mixture to the side where I panned the camera to.  This is a make-your-own dipping sauce. Mine is a mixture of garlic, sauces, some peanut sauce, and other goodies.

Here’s where you go to get the ingredients to make your own dipping sauce.

Doesn’t look like China is wracked with Famine like Townhall.com has claimed since 2017, or that people are dying everywhere and that the evil CCP is hiding the “true numbers” and the “truth about China”. LOL. You have to be a brain slug dumbed down imbecile to believe the nonsense out of the American media these days.

It’s all pretty tasty I’ll tell you what.

One of the things that I enjoy about China is the FREEDOM that you have that is impossible to have int he United States. And to underline this point, I made this little video to rub it in the faces of any “I’m free and China is a boot-stomping, totalitarian nation!” folk.

Here, I do something that you all in America CANNOT do, and would probably get you arrested for even trying it.

Freedom, you either have it, or you don’t.

Anyways, here’s how you cook the beef. You put it in this kind of basket ladle and then dip it inside the scorching hot oil for a spell, then you take it out and you eat it by dipping it int he sauce that you prepare.

Cool huh?

Like this…

I’ve got much more to say about China, and the adventures over the last few days, but this will suffice for now.

I do hope that you enjoyed this little insight into my life, and if you are from America (my old stomping ground) you will note that in many ways it is similar to the way things used to be in the Untied States, and often very different. Furthermore it doesn’t resemble anything like the nonsense spewing out of Townhall.com, Hall Turner, Rush Limbaugh or FOX “news”.

Like the “famine” in China that the CCP is “covering up” and hiding…

This is the real deal yo!

Do you want more?

I’ve got more post in my KTV index here…..

Business KTV's

.

Articles & Links

Master Index

.

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

.

 

Awesome Movies – Army of Darkness

Happy Easter everyone. I hope that you are spending time together with loved one, relaxing and chilling out. For the Metallic-family, we went out and visited a couple of new malls, and ate some fine delicious Thai food, and some mild Hunan food. With wine and beer. Of course.

Later on, it’s a nice long relaxing afternoon with some silly and easy to watch movies to chill to. Please let’s dust off this crazy movie and pop it in the VCR. Listen to the tape a whirl, and watch the movie with a bowl of chips and a chill out attitude.

The Background

The Evil Dead series, both in the cinematic and television forms, has a marvelously delirious history. An original film financed on a shoestring budget that plays its horror-centric tone mostly straight but with a few chuckle inducing moments, a sequel that is in essence a remake of the original and was originally supposed to follow the plot of the third film, said third film that goes off in a completely different direction, and now a TV series, 22 years later, that makes no reference to the third installment for legal reasons, therefore technically continuing the story of the first film but unquestionably borrowing the slapstick, overly comedic identity of the third movie. Few popular franchises can claim to having a developmental history has complicated and hard fought as Evil Dead, although one would struggle to consider that a virtue. All that being said, Army of Darkness, which premiered in 1992 but was only released wide in February of 1993, is arguably the most interesting, unique and important entry.

-popoptiq

The Characters

  • Ash – Our legendary demon-slaying, lady-killing, chainsaw-wielding, S-Mart employee. Oh, and he also accidentally invented breakdancing by slipping on milk curd.
  • Sheila – She knows that the best way to catch a man’s eye is to slap the snot out of him. She also knows that the best way to keep a man is to bear him male children, and not to have syphilis.
  • Arthur – Noble born leader of the blighted lands, a real goody-goody two-shoes.
  • Wiseman – One of the worst things about the dark ages is that the world was filled with all sorts of evil spirits, fantastic monsters, and eldritch magic. The Wiseman’s job was to know the weakness of every possible supernatural peril. “Silver weapons, running water, garlic, a charm made from the toe of a saint” – those sorts of things. Everybody else knew that the old freaks were just making it up as they went along, but nobody cared, so long as the wards worked.
  • Duke Henry – Red haired and bearded leader of the northern kingdoms.
  • Bad Ash – Created after Ash swallows a tiny version of himself, then grows two heads, then splits into two people…oh forget it, he’s an evil and rotting version of Ash. Turned into a firework.
  • Little Ash’s – These miniature menaces terrorize Ash for a while. Some get stomped, one gets eaten.
  • The Army of the Dead – Hundreds of skeletons that are chopped to bits, blown apart, or crushed.

The Plot

The Army of Darkness is a remarkably silly movie. And it is just perfect to watch on lazy Easter Afternoons.

The beginning of “Army of Darkness” makes a slight adjustment to the end of Evil Dead 2. Originally, Ash is sucked through the wormhole, gets dumped out somewhere in time south of the Renaissance, blasts a flying Deadite, and is immediately worshipped as a delivering saint by a group of medieval warriors. Here we have Ash mistaken as part of Duke Henry’s army, the force that Lord Arthur has just routed from the field of battle. Poor Ash finds himself a prisoner of Lord Arthur, locked in a stock and told to schlep it along.

Back in those days there were not any federally-funded maximum security prisons. Heck, there were not even any small continents or large islands so that a country of Queen-loving citizens could banish their criminals (and the criminals’ children, and their children, and so on) to lifelong incarceration upon the too-big-for-an-island / sort-of-small-to-be-a-continent. Lord Arthur’s solution to this conundrum is that the last of Duke Henry’s men are to be tossed into the Pit. Inside the Pit are Deadites. Obviously, Ash does not want to go into the Pit, but that is exactly where he gets pushed. Things look really bad for our hero, but the Wiseman tosses Ash his chainsaw as a Deadite closes in for the kill. Armed with his trusty chainsaw, Ash is more than a match for any demon. The Deadite quickly becomes just plain old dead.

After he climbs out of the pit, Ash recovers his sawed-off double-barreled shotgun, and then berates the unwashed masses of medieval citizenry (nobles, serfs, and vassals). The good Lord Arthur finds it difficult to say no to a man who carries a boomstick and who eats soul-eating Deadites for lunch. The nobleman can only glare as Ash takes up residence in the central keep, and sets about enjoying the service of the serving wenches. Even a surprise visit by a Deadite hag just further cements Ash in place as a royal thorn in Arthur’s royal side.

For his part, Ash effectively tells Arthur and the Wiseman that they can have the Middle Ages. All that Ash wants to do is go home. He does take a break from yearning for 1992 long enough to construct a mechanical iron hand to replace the one he lost in “Evil Dead 2.” He also puts aside his animosity towards Sheila (they had a rough start) and starts making it with the “Doth do maketh my heart warm with thy presence” sort of stuff.

I am not sure why Ash insists on returning to the present. Maybe he wants to avoid cholera, syphilis, and the Black Plague, but he will be doing that at the expense of a lot of quality time that could be spent eating grapes and wenching. Ah, wenching. Out of everything the Middle Ages stood for, I miss wenching the most. If you ever make it to 784 AD, make sure that you sample the wenches.

The Wiseman finally convinces Ash that the only way he can ever get back home is by undertaking a quest to recover the Necromonicon from a haunted graveyard. Now, Ash is an extremely groovy kind of guy, but he has a hard enough time staying out of Deadite-spawned trouble in his own living room. Mucking around in the land of the dead is going to have serious consequences. The first of those is that Ash gets chased around the haunted forest that is near the haunted graveyard by invisible motorcycles. The second issue created by Ash’s foray into the world of spirits, spells, and specters takes place inside an old windmill. A shattered mirror turns into a mob of tiny troublemaking Ash clones! They poke him with forks, drop things on his head, and generally make Ash wish that he had never had children of any sort. Once he gets the little hellions under control, Ash then has to deal with his alternate Deadite ego, Bad Ash.

One boomstick later, there is only one Ash standing. He is a bad-a**, but not Bad Ash.

Ash does finally reach the graveyard and recover the book (after dealing with two cursed imitation tomes). However, he does not correctly take possession of the Book of the Dead. Yep, Ash flubs “klaatu barada nikto.” As a result, the dead are woken from their endless sleep. Hundreds of skeletons assemble themselves into a massive army, with Bad Ash assuming command as the undead horde’s general. Now Lord Arthur has something worse than the proto-Scots and Deadite intrusions to deal with. Social Security was not created until the 20th Century; figuring out what to do about hundreds of the walking dead who refuse to stay in their graves is a big problem for a medieval noble.

Actually, Arthur and Ash decide to solve the problem the way that most problems were solved during the Middle Ages: they will have a battle!

To prepare for the battle, Ash and the other defenders of Arthur’s castle turn to the textbooks that were in the trunk of Ash’s car (the vehicle was also sucked back in time). I must say, Ash pursued some unusual subjects in college. How often does someone get to say, “That semester of ‘Steam Power 101’ really paid off!” in their life? Unfortunately, the hero has to make his preparations for Ragnarok without indulging in the time-honored tradition of pre-battle nookie, because Sheila is whisked away by a Deadite gargoyle. The next time that Ash sees his gentle lady, she is a Deadite witch and a real ball-breaker.

The Army of Darkness that attacks the castle finds itself on the receiving end of exploding arrows, catapult-lobbed bombs, and even a car that looks like the result of an Oldsmobile having sex with a windmill. Bones are crushed by the human defenders, but the walls are eventually breached, and Ash has a final skin-shedding reckoning with Bad Ash. The evil army is routed, and the only thing left for Ash to do is to go home to his own time. There are two different endings to this movie. In one, we see Ash back at S-Mart, defending the customers and employees from a surprise Deadite incursion. In the other, Ash hits the Rip Van Winkle bottle a little too much and sleeps well past doomsday.

I like “Evil Dead 2” more than “Army of Darkness.” Yet, this is an entertaining cult film. You could even call it a gruesomely groovy comedy. The movie is filled with Three Stooges-style slapstick, and the head-bangs and eye-pokes are so well done that I get nostalgic to watch some old Stooges’ shorts. Still, the reason that everybody loves Ash is that he has some great lines, the likes of which haven’t been seen since the Stallone and Schwarzenegger action films of the 1980s, and he delivers them with style.

Things I learned from this movie

Ash finds himself thrown back in time and must discover the modicum of generosity he never thought he had inside him by fending off hoards of skeleton soldiers and a hulking evil version of himself in order to protect a small but brave kingdom before returning back to present day.
  • In ye olde days “public transportation” meant being chained to the nobleman’s horse and dragged along behind him.
  • Knights often fall for the old “your shoelace is untied” trick.
  • Department store employees know how to construct robotic limbs.
  • The difference between an ear and a pancake is academic.
  • Stonehenge was a public library.
  • Never mumble the magic words.
  • Jay Leno’s chin is the product of an unfortunate childhood accident involving a vacuum.
  • No ex-girlfriend is worth wrecking your car over.
  • When wrestling a skeleton, always remember that they are vulnerable to the backbreaker.

Stuff To Watch For:

Army of Darkness.
  • 5 mins – You know, “The Gods Must Be Crazy” would have been more interesting if the main guy had found a chainsaw instead of that bottle.
  • 10 mins – That guy obviously suffered from high blood pressure.
  • 18 mins – Pretty cheap for a double-barreled shotgun. Hey, did the barrel length just change?
  • 21 mins – You sound like my grandmother.
  • 26 mins – Detroit?
  • 32 mins – We have gone from “The Amazing Colossal Man” to “Gulliver’s Travels” to “The Manster” and now we are on “The Incredible Two-Headed Transplant.” What is next, “The Birds?”
  • 38 mins – Oops, looks like you found the dreaded Hoovernomicon: The Book of the Suck.
  • 51 mins – For a moment there I was worried that a song was coming on.
  • 53 mins – Skeletal musicians: +1 combat result.
  • 65 mins – Amy Winehouse?

Conclusion

Army of Darkness.
It is, in a nutshell, a melding of two films: an Evil Dead film and a medieval fantasy action comedy. No one in their right mind would seriously consider the movie to be an outright horror film. Granted, it features some ingredients that would be right at home in a horror movie, but so much of what Raimi and company want to provide is far more along the lines of an action adventure story soaked in the sort of slapstick humour Raimi is known for being a humungous fan of. 

-popoptiq

Do you want more?

Ai! I have more in my Movie Index here…

MOVIES

.

And access to my Master Index

Master Index

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

 

 

Forever War by Joe Haldeman (Full Text)

Everyone, I think that you are all going to enjoy this. It took me a while to find this classic work of 1970’s science fiction. It is a science fiction novel much like “Starship Troopers” only much better. I tried to clean up the scanning, and OCR, but there’s still errors here and ther. Never the less, it’s a great read, and it should enable you to get your minds off of… well, what ever your minds are on right now. Enjoy.

Joe Haldeman, a Vietnam veteran, wrote The Forever War in the seventies, and his novel soon became a classic of the so-called “military science fiction” genre, in keeping with (and way better than) Heinlein’s Starship Troopers. The book tells the story of an intergalactic war with an alien race, that spans well over a millennium, as seen from Private Mandella.

The Forever War

Joe Haldeman

AUTHOR’S NOTE

This is the definitive version of The Forever War. There are two other versions, and my publisher has been kind enough to allow inc to clarify things here.

The one you’re holding in your hand is the book as it was originally written. But it has a pretty tortuous history.

It’s ironic, since it later won the Hugo and Nebula Awards, and has won “Best Novel” awards in other countries, but The Forever War was not an easy book to sell back in the early seventies. It was rejected by eighteen publishers before St. Martin’s Press decided to take a chance on it. “Pretty good book,” was the usual reaction, “but nobody wants to read a science fiction novel about Vietnam”. ‘Seventy-Five years later, most young readers don’t even see the parallels between The Forever War and the seemingly endless one we were involved in at the time, and that’s okay. It’s about Vietnam because that’s the war the author was in. But it’s mainly about war, about soldiers, and about the reasons we think we need them.

While the book was being looked at by all those publishers, it was also being serialized piecemeal in Analog magazine. The editor, Ben Bova, was a tremendous help, not only in editing, but also for making the thing exist at all! He gave it a prominent place in the magazine, and it was also his endorsement that brought it to the attention of St. Martin’s Press, who took a chance on the hardcover, though they did not publish adult science fiction at that time.

But Ben rejected the middle section, a novella called “You Can Never Go Back.” He liked it as a piece of writing, he said, but thought that it was too downbeat for Analog’s audience. So I wrote him a more positive story and put “You Can Never Go Back” into the drawer; eventually Ted White published it in Amazing magazine, as a coda to The Forever War

At this late date, I’m not sure why I didn’t reinstate the original middle when the book was accepted. Perhaps I didn’t trust my own taste, or just didn’t want to make life more complicated. But that first book version is essentially the Analog version with “more adult language and situations”, as they say in Hollywood.

The paperback of that version stayed in print for about~ sixteen years. Then in 1991 I had the opportunity to reinstate my original version, which now appears in Britain for the first time. The dates in the book are now kind of funny; most people realize we didn’t get into an interstellar war in 1996. I originally set it in that year so it was barely possible that the officers and NCOs could be veterans of Vietnam, so we decided to leave it that way, in spite of the obvious anachronisms. Think of it as a parallel universe.

But maybe it’s the real one, and we’re in a dream.

Joe Haldeman

Cambridge, Massachusetts

 

THE

FOREVER WAR

PRIVATE MANDELLA

“Tonight we’re going to show you eight silent ways to kill a man.” The guy who said that was a sergeant who didn’t look five years older than me. So if he’d ever killed a man in combat, silently or otherwise, he’d done it as an infant.

I already knew eighty ways to. kill people, but most of them were pretty noisy. I sat up straight in my chair and assumed a look of polite attention and fell asleep with my eyes open. So did most everybody else. We’d learned that they never scheduled anything important for these after-chop classes.

The projector woke me up and I sat through a short tape showing the “eight silent ways.” Some of the actors must have been brainwipes, since they were actually killed.

After the tape a girl in the front row raised her hand. The sergeant nodded at her and she rose to parade rest. Not bad looking, but kind of chunky about the neck and shoulders. Everybody gets that way after carrying a heavy pack around for a couple of months.

“Sir”-we had to call sergeants “sir” until graduation- “most of those methods, really, they looked. . . kind of silly.”

“For instance?”

“Like killing a man with a blow to the kidneys, from an entrenching tool. I mean, when would you actualiy have only an entrenching tool, and no gun or knife? And why not just bash him over the head with it?”

“He might have a helmet on,” he said reasonably.

“Besides, Taurans probably don’t even have kidneys!” He shrugged. “Probably they don’t.” This was 1997, and nobody had ever seen a Tauran; hadn’t even found any pieces of Taurans bigger than a scorched chromosome.

“But their body chemistry is similar to ours, and we have to assume they’re similarly complex creatures. They must have weaknesses, vulnerable spots. You have to find out where they are.

“That’s the important thing.” He stabbed a finger at the screen. “Those eight convicts got caulked for your benefit  because  you’ve got to find out how to kill Taurans, and be able to do it whether you have a megawatt laser or an emery board.”

She sat back down, not looking too convinced. “Any more questions?” Nobody raised a hand.

“OK. Tench-hut!” We staggered upright and be looked at us expectantly. “Fuck you, sir,” came the familiar tired chorus.

“Louder!”

“FUCK YOU, SIR!” One of the army’s less-inspired morale devices.

“That’s better. Don’t forget. pie-dawn maneuvers tomorrow. Chop at 0330, first formation, 0400. Anybody sacked after 0340 owes one stripe. Dismissed.”

I zipped up my coverall and went across the snow to the lounge for a cup of soya and a joint. I’d always been able to get by on five or six hours of sleep, and this was the only time I could be by myself, out of the army for a while. Looked at the newsfax for a few minutes. Another ship got caulked, out by Aldebaran sector. That was four years ago.

~ They were mounting a reprisal fleet, but it’ll take four years more for them to get out there. By then, the Taurans would have every portal planet sewed up tight.

Back at the billet, everybody else was sacked and the main lights were out. The whole company’d been dragging ever since we got back from the two-week lunar training.

I dumped my clothes in the locker, checked the roster and found out I was in bunk 31. Goddammit, right under the heater.

I slipped through the curtain as quietly as possible so as not to wake up the person next to me. Couldn’t see who it was, but I couldn’t have cared less. I slipped under the blanket.

“You’re late, Mandella,” a voice yawned. It was Rogers. “Sorry I woke you up,” I whispered.

”saliright.” She snuggled over and clasped me spoon-fashion. She was warm and reasonably soft.

I patted her hip in what I hoped was a brotherly fashion. “Night, Rogers.” “G’night, Stallion.” She returned the gesture more pointedly.

Why do you always get the tired ones when you’re ready and the randy ones when you’re tired? I bowed to the inevitable.

2

“Awright, let’s get some goddamn back inta that! Stringer team! Move it up-move your ass up!”

A warm front had come in about midnight and the snow had turned to sleet. The permaplast stringer weighed five hundred pounds and was a bitch to handle, even when it wasn’t covered with ice. There were four of us, two at each end, carrying the plastic girder with frozen fingertips. Rogers was my partner.

“Steel!” the guy behind me yelled, meaning that he was losing his hold. It wasn’t steel, but it was heavy enough to break your foot. Everybody let go and hopped away. It splashed slush and mud all over us.

“Goddammit, Petrov,” Rogers said, “why didn’t you go out for the Red Cross or something? This fucken thing’s not that fucken heavy.” Most of the girls were a little more circumspect in their speech. Rogers was a little butch.

“Awright, get a fucken move on, stringers-epoxy team! Dog’em! Dog’em!”

Our two epoxy people ran up, swinging their buckets. “Let’s go, Mandella. I’m freezin’ my balls off.”

“Me, too,” the girl said with more feeling than logic.

“One-two–heave!” We got the thing up again and staggered toward the bridge. It was about three-quarters completed. Looked as if the second platoon was going to beat us. I wouldn’t give a damn, but the platoon that got their bridge built first got to fly home. Four miles of muck for the rest of us, and no rest before chop.

We got the stringer in place, dropped it with a clank, and fitted the static clamps that held it to the rise-beams. The female half of the epoxy team started slopping glue on it before we even had it secured. Her partner was waiting for the stringer on the other side. The floor team was waiting at the foot of the bridge, each one holding a piece of the light, stressed permaplast over his head like an umbrella. They were dry and clean. I wondered aloud what they had done to deserve it, and Rogers suggested a couple of colorful, but unlikely, possibilities.

We were going back to stand by the next stringer when the field first (name of Dougeistein, but we called him “Awright”) blew a whistle and bellowed, “Awright, soldier boys and girls, ten minutes. Smoke’em if you got ’em.” He reached into his pocket and turned on the control that heated our coveralls.

Rogers and I sat down on our end of the stringer and I took out my weed box. I had lots of joints, but we were ordered not to smoke them until after night-chop. The only tobacco I had was a cigarro butt about three inches long. I lit it on the side of the box; it wasn’t too bad after the first couple of puffs. Rogers took a puff, just to be sociable, but made a face and gave it back.

“Were you in school when you got drafted?” she asked.

“Yeah. Just got a degree in physics. Was going after a teacher’s certificate.” She nodded soberly. “I was in biology . . .”

“Figures.” I ducked a handful of slush. “How far?”

“Six years, bachelor’s and technicaL” She slid her boot along the ground, turning up a ridge of mud and slush the consistency of freezing ice milk. “Why the fuck did this have to happen?”

I shrugged. It didn’t call for an answer, least of all the answer that the UNEF kept giving us. Intellectual and physical elite of the planet, going out to guard humanity against the Tairan menace. Soyashit It was all just a big experiment See whether we could goad the Taurans into ground

Awright blew the whistle two minutes early, as expected, but Rogers and I and the other two stringers got to sit for a minute while the epoxy and floor teams finished covering our stringer. It got cold fast, sitting there with our suits turned off, but we remained inactive on principle.

There really wasn’t any sense in having us train in the cold. Typical army half- logic. Sure, it was going to be cold where we were going, but not ice-cold or snow- cold. Almost by definition, a portal planet remained within a degree or two of absolute zero all the tune-since collapsars don’t shine-and the first chill you felt would mean that you were a dead man.

Twelve years before, when I was ten years old, they had discovered the collapsar jump. Just fling an object at a collapsar with sufficient speed, and out it pops in some other part of the galaxy. It didn’t take long to figure out the formula that predicted where it would come out: it travels along the same “line” (actually an Einsteinian geodesic) it would have followed if the collapsar hadn’t been in the way- until it reaches another collapsar field, whereupon it reappears, repelled with the same speed at which it approached the original collapsar. Travel time between the two collapsars.. . exactly zero.

It made a lot of work for mathematical physicists, who had to redefine simultaneity, then tear down general relativity and build it back up again. And it made the politicians very happy, because now they could send a shipload of colonists to Fomaihaut for less than it had once cost to put a brace of men on the moon. There were a lot of people the politicians would love to see on Fomalbaut, implementing a glorious adventure rather than stirring up trouble at home.

The ships were always accompanied by an automated probe that followed a couple of million miles behind. We knew about the portal planets, little bits of flotsam that whirled around the collapsars; the purpose of the drone was to come back and tell us in the event that a ship had smacked into a portal planet at .999 of the speed of light.

That particular catastrophe never happened, but one day a drone limped back alone. Its data were analyzed, and it turned out that the colonists’ ship had been pursued by another vessel and destroyed. This happened near Aldebaran, in the constellation Taurus, but since “Aldebaranian” is a little hard to handle, they named the enemy “Tauran.”

Colonizing vessels thenceforth went out protected by an armed guard. Often the armed guard went out alone, and finally the Colonization Group got shortened to UNEF, United Nations Exploratory Force. Emphasis on the

 

Then some bright lad in the General Assembly decided that we ought to field an army of footsoldiers to guard the portal planets of the nearer collapsars. This led to the Elite Conscription Act of 1996 and the most cutely conscripted army in the history of warfare.

So here we were, fifty men and fifty women, with IQs over 150 and bodies of unusual health and strength, slogging cutely through the mud and slush of central Missouri, reflecting on the usefulness of our skill in building bridges on worlds where the only fluid is an occasional standing pool of liquid helium.

3

About a month later, we left for our final training exercise, maneuvers on the planet Charon. Though nearing perihelion, it was still more than twice as far from the sun as Pluto.

The troopship was a converted “cattlewagon” made to carry two hundred colonists and assorted bushes and beasts. Don’t think it was roomy, though, just because there were half that many of us. Most of the excess space was taken up with extra reaction mass and ordnance.

The whole trip took three weeks, accelerating at two gees halfway, decelerating the other half. Our top  speed, as we  roared by the orbit of Pluto, was around one- twentieth of the speed of light-not quite enough for relativity to rear its complicated head.

Three weeks of carrying around twice as much weight as normal.. . it’s no picnic. We did some cautious exercises three times a day and remained horizontal as much as possible. Still, we got several broken bones and serious dislocations. The men had to wear special supporters to keep from littering the floor with loose organs. It was almost impossible to sleep; nightmares of choking and being crushed, rolling over periodically to prevent blood pooling and bedsores. One girl got so fatigued that she almost slept through the experience of having a rib push out into the open air.

I’d been in space several times before, so when we finally stopped decelerating and went into free fall, it was nothing but relief. But some people had never been out, except for our training on the moon, and succumbed to the sudden vertigo and disorientation. The rest of us cleaned up after them, floating through the quarters with sponges and inspirators to suck up the globules of partly-digested

“Concentrate, High-protein, Low-residue, Beef Flavor (Soya).”

We had a good view of Charon, coming down from orbit. There wasn’t much to see, though. It was just a dim, off-white sphere with a few smudges on it. We landed about two hundred meters from the base. A pressurized crawler came out and mated with the ferry, so we didn’t have to suit up. We clanked and squeaked up to the main building, a featureless box of grayish plastic.

Inside, the walls were the same drab color. The rest of the company was sitting at desks, chattering away. There was a seat next to Freeland.

“Jeff-feeling better?” He still looked a little pale.

“If the gods had meant for man to survive in free fall, they would have given him a cast iron glottis.” He sighed heavily. “A little better. Dying for a smoke.”

 

“You seemed to take it all right. Went up in school, didn’t you?”

 

“Senior thesis in vacuum welding, yeah. Three weeks in Earth orbit.” I sat back and reached for my weed box for the thousandth time. It still wasn’t there. The Life Support Unit didn’t want to handle nicotine and mc.

“Training was bad enough,” Jeff groused, “but this shit-”

“Tench-hut!” We stood up in a raggedy-ass fashion, by twos and threes. The door opened and a full major came in. I stiffened a little. He was the highest-ranking officer I’d ever seen. He had a row of ribbons stitched into his coveralls, including a purple strip meaning he’d been wounded in combat, fighting in the old American army. Must have been that Indochina thing, but it had fizzled out beforelwasborn.Hedidn’tlookthatold.

“Sit, sit.” He made a patting motion with his hand. Then he put his hands on his hips and scanned the company, a small smile on his face. “Welcome to Charon. You picked a lovely day to land, the temperature outside is a summery eight point one fIve degrees Absolute. We expect little thange for the next two centuries or so.” Some of them laughed haltbeartedly.

Joe Haldeman 12

“Best you enjoy the tropical climate here at Miami Base; enjoy it while you can. We’re on the center of sunside here, and most of your training will be on darkside. Over there, the temperature stays a chilly two point zero eight.

“You might as well regard all the training you got on Earth and the moon as just an elementary exercise, designed to give you a fair chance of surviving Charon. You’ll have to go through your whole repertory here: tools, weapons, maneuvers. And you’ll find that, at these temperatures, tools don’t work the way they should; weapons don’t want to fire. And people move v-e-r-y cautiously.”

He studied the clipboard in his hand. “Right now, you have forty-nine women and forty-eight men. Two deaths on Earth, one psychiatric release. Having read an outline of your training program, I’m frankly surprised that so many of you pulled through.

“But you might as well know that I won’t be displeased if as few as fifty of you, half, graduate from this final phase. And the only way not to graduate is to die. Here. The only way anybody gets back to Earth-including me-is after a combat tour.

“You will complete your training in one month. From here you go to Stargate collapsar, half a light year away. You will stay at the settlement on Stargate 1, the largest portal planet, until replacements arrive. Hopefully, that will be no more than a month; another group is due here as soon as you leave.

“When you leave Stargate, you will go to some strategically important collapsar, set up a military base there, and fight the enemy, if attacked. Otherwise, you will maintain the base until further orders.

“The last two weeks of your training will consist of constructing exactly that kind of a base, on darkside. There you will be totally isolated from Miami Base: no communication, no medical evacuation, no resupply. Sometime before the two weeks are up, your defense facilities will be evaluated in an attack by guided drones. They will be armed.”

They had spent all that money on us just to kill us in training? ‘[HE FOREVER WAR

13

“All of the permanent personnel here on Charon are combat veterans. Thus, all of us are forty to fifty years of age. Butlthinkwecankeepupwithyou. Twoofuswill be with you at all times and will accompany you at least as far as Stargate. They are Captain

Sherman Stott, your company commander, and Sergeant Octavio Corte~ your first sergeant. Gentlemen?”

Two men in the front row stood easily and turned to face us. Captain Stott was a little smaller than the major, but cut from the same mold: face hard and smooth as porcelain, cynical half-smile, a precise centimeter of beard framing a large chin, looking thirty at the most. He wore a large, gunpowder-type pistol on his hip.

Sergeant Cortez was another story, a horror story. His head was shaved and the wrong shape, flattened out on one side, where a large piece of skull had obviously been taken out. His face was very dark and seamed with wrinkles and scars. Half his left ear was missing, and his eyes were as expressive as buttons on a machine. He had a moustache-and-beard combination that looked like a skinny white caterpillar taking a lap around his mouth. On anybody else, his schoolboy smile might look pleasant, but he was about the ugliest, meanest-looking creature I’d ever seen. Still, if you didn’t look at his head and considered the lower six feet or so, he could have posed as the “after” advertisement for a body-building spa. Neither Stott nor Cortez wore any ribbons. Cortez had a small pocket-laser suspended in a magnetic rig, sideways, under his left armpit. It had wooden grips that were worn smooth.

“Now, before I turn you over to the tender mercies of these two gentlemen, let me caution you again:

“Two months ago there was not a living soul on this planet, just some leftover equipment from the expedition of 1991. A working force of forty-five men struggled for a month to erect this base. Twenty-four of them, more than half, died in the construction of it. This is the most dangerous planet men have ever tried to live on, but the places you’ll be going will be this bad and worse. Your cadre will try to keep you alive for the next month. Listen to them and follow their example; all of them have survived here much longer than you’ll have to. Captain?” The captain stood up as the major went out the door.

“Tench-hut!” The last syllable was like an explosion and we all jerked to our feet. “Now I’m only gonna say this once so you better listen,” he growled. “We are in a

combat situation here, and in a combat situation there is only one penalty for disobedience or insubordination.” He jerked the pistol from his hip and held it by the barrel, like a club. “This is an Army model 1911 automatic pistol, caliber .45, and it is a primitive but effective weapon. The Sergeant and I are authorized to use our weapons to kill to enforce discipline. Don’t make us do it because we will. We will.” He put the pistol back. The holster snap made a loud crack in the dead quiet.

“Sergeant Cortez and I between us have killed more people than are sitting in this room. Both of us fought in Vietnam on the American side and both of us joined the United Nations International Guard more than ten years ago. I took a break in grade from major for the privilege of commanding this company, and First Sergeant Cortez took a break from sub-major, because we are both combat soldiers and this is the first combat situation since 1987.

“Keep in mind what I’ve said while the First Sergeant instructs you mote specifically in what your duties will be under this command. Take over, Sergeant” He turned on his heel and strode out of the room. The expression on his face hadn’t changed one millimeter during the whole harangue.

The First Sergeant moved like a heavy machine with lots of ball bearings. When the door hissed shut, he swiveled ponderously to face us and said, “At ease, siddown,” in a surprisingly gentle voice. He sat on a table in the front of the room. It creaked, but held.

“Now the captain talks scaly and I look scary, but we both mean well. You’ll be working pretty closely with me, so you better get used to this thing I’ve got hanging in front of my brain. You probably won’t see the captain much, except on maneuvers.”

He touched the flat part of his head. “And speaking of brains, I still have Just about all of mine, in spite of Chinese efforts to the contrary. All of us old vets who mustered into UNEF had to pass the same criteria that got you drafted by the Elite Conscription Act So I suspect all of you are smart and tough-but just keep in mind that the captain and I are smart and tough and experienced.”

He flipped through the roster without really looking at it. “Now, as the captain said, there’ll be only one kind of disciplinary action on maneuvers. Capital punishment But normally we won’t have to kill you for disobeying; Charon’ll save us the trouble.

“Back in the billeting area, it’ll be another story. We don’t much care what you do inside. Grab ass all day and fuck all night, makes no difference… . But once you suit up and go outside, you’ve gotta have discipline that would shame a Centurian. There will be situations where one stupid act could kill us all.

“Anyhow, the first thing we’ve gotta do is get you fitted to your fighting suits. The armorer’s waiting at your billet; he’ll take you one at a time. Let’s go.”

4

“Now I know you got lectured back on Earth on what a fighting suit can do.” The armorer was a small man, partially bald, with no insignia of rank on his coveralls. Sergeant Cortez had told us to call him “sir,” since he was a lieutenant.

“But I’d like to reinforce a couple of points, maybe add some things your instructors Earthside weren’t clear about or couldn’t know. Your First Sergeant was kind enough to consent to being my visual aid. Sergeant?”

Coitez slipped out of his coveralls and came up to the little raised platform where a fighting suit was standing, popped open like a man-shaped clam. He backed into it and slipped his arms into the rigid sleeves. There was a click and the thing swung shut with a sigh. It was bright green with CORTEZ stenciled in white letters on the helmet.

“Camouflage, Sergeant.” The green faded to white, then dirty gray. “This is good camouflage for Charon and most of your portal planets,” said Cortez, as if from a deep well. “But there are several other combinations available.” The gray dappled and brightened to a combination of greens and browns: “Jungle.” Then smoothed out to a hard light ochre: “Desert.” Dark brown, darker, to a deep flat black:

“Night or space.”

“Very good, Sergeant To my knowledge, this is the only feature of the suit that was perfected after your trainin& The control is around your left wrist and is admittedly awkward. But once you find the right combination, it’s easy to lock in.

“Now, you didn’t get much in-suit training Earthside. We didn’t want you to get used to using the thing in a friendly environment. The fighting suit is the deadliest personal weapon ever built, and with no weapon is it easier for the user to kill himself through carelessness. Turn around, Sergeant.

“Case in point.” He tapped a large square protuberance between the shoulders. “Exhaust fins. As you know, the suit tries to keep you at a comfortable temperature no matter what the weather’s like outside. The material of the suit is as near to a perfect insulator as we could get, consistent with mechanical demands. Therefore, these fins get hot- especially hot, compared to darkside temperatures-as they bleed off the body’s heat.

“All you have to do is lean up against a boulder of

frozen gas; there’s lots of it around. The gas will sublime off faster than it can escape from the fins; in escaping, it will push against the surrounding ‘ice’ and fracture it… and in about one-hundredth of a second, you have the equivalent of a hand grenade going off right below your neck. You’ll never feel a thing.

“Variations on this theme have killed eleven people in the past two months. And they were just building a bunch of huts.

“I assume you know how easily the waldo capabilities can kill you or your companions. Anybody want to shake hands with the sergeant?” He paused, then stepped over and clasped his glove. “He’s had lots of practice. Until you have, be extremely careful. You might scratch an itch and wind up breaking your back. Remember, semi-logarithmic response: two pounds’ pressure exerts five pounds’ force; three pounds’ gives ten; four pounds’, twenty-three; five pounds’, forty-seven. Most of you can muster up a grip of well over a hundred pounds. Theoretically, you could rip a steel girder in two with that, amplified. Actually, you’d destroy the material of your gloves and, at least on Charon, die very quickly. It’d be a race between decompression and flash-freezing. You’d die no matter which won.

“The leg waldos are also dangerous, even though the amplification is less extreme. Until you’re really skilled, don’t try to run, or jump. You’re likely to trip, and that means you’re likely to die.”

“Charon’ s gravity is three-fourths of Earth normal, so it’s not too bad. But on a really small world, like Luna, you could take a running jump and not come down for twenty minutes, just keep sailing over the horizon. Maybe bash into a mountain at eighty meters per second. On a small asteroid, it’d be no trick at all to run up to escape velocity and be off on an informal tour of intergalactic space. It’s a slow way to travel.

“Tomorrow morning, we’ll start teaching you how to stay alive inside this infernal machine. The rest of the afternoon and evening, I’ll call you one at a time to be fitted. That’s all, Sergeant.”

Cortez went to the door and turned the stopcock that let air into the airlock. A bank of infrared lamps went on to keep air from freezing inside it. When the pressures were equalized, he shut the stopcock, unclainped the door and stepped in, clamping it shut behind him. A pump hummed for about a minute, evacuating the airlock; then he stepped out and sealed the outside door.

It was pretty much like the ones on Luna.

“First I want Private Omar Ahnizar. The rest of you can go find your bunks. I’ll call you over the squawker.”

“Alphabetical order, sir?”

“Yep. About ten minutes apiece. If your name begins with Z, you might as well get sacked.”

That was Rogers. She probably was thinking about get- ting sacked.

5

The sun was a hard white point directly overhead. It was a lot brighter than I had expected it to be; since we were eighty AUs out, it was only one 6400th as bright as it is on Earth. Still, it was putting out about as much light as a powerful streetlamp.

“This is considerably more light than you’ll have on a portal planet.” Captain Stott’s voice crackled in our collective ear. “Be glad that you’ll be able to watch your step.”

We were lined up, single-file, on the permaplast sidewalk that connected the billet and the supply hut. We’d practiced walking inside, all morning, and this wasn’t any different except for the exotic scenery. Though the light was rather dim, you could see all the way to the horizon quite clearly, with no atmosphere in the way. A black cliff that looked too regular to be natural stretched from one horizon to the other, passing within a kilometer of us. The ground was obsidian-black, mottled with patches of white or bluish ice. Next to the supply hut was a small mountain of snow in a bin marked oxya~ri.

The suit was fairly comfortable, but it gave you the odd feeling of simultaneously being a marionette and a puppeteer. You apply the impulse to move your leg and the suit picks it up and magnifies it and moves your leg for you.

“Today we’re only going to walk around the company area, and nobody will leave the company area.” The captain wasn’t wearing his .45-unless he carried it as a good luck charm, under his suit-but he had a laser-finger like the rest of us. And his was probably hooked up.

Keeping an interval of at least two meters between each person, we stepped off the permaplast and followed  the captain over smooth rock. We walked carefully for about an hour, spiraling out, and finally stopped at the far edge of the perimeter.

“Now everybody pay close attention. I’m going out to that blue slab of ice”-it was a big one, about twenty meters away-‘ ‘and show you something that you’d better know if you want to stay alive.”

He walked out in a dozen confident steps. “First I have to heat up a rock-filters down.” I squeezed the stud under my armpit and the filter slid into place over my image converter. The captain pointed his finger at a black rock the size of a basketball, and gave it a short burst. The glare rolled a long shadow of the captain over us and beyond. The rock shattered into a pile of hazy splinters.

“It doesn’t take long for these to cool down.” He stopped and picked up a piece. “This one is probably twenty or twenty-five degrees. Watch.” He tossed the “warm” rock onto the ice slab. It skittered around in a crazy pattern and shot off the side. He tossed another one, and it did the same.

“As you know, you are not quite pe,fecrly insulated. These rocks are about the temperature of the soles of your boots. If you try to stand on a slab of hydrogen, the same thing will happen to you. Except that the rock is already dead.

“The reason for this behavior is that the rock makes a slick interface with the ice-a little puddle of liquid hydrogen-and rides a few molecules above the liquid on a cushion of hydrogen vapor. This makes the rock or you a frictionless bearing as far as the ice is concerned, and you can’t stand up without any friction under your boots.

“After you have lived in your suit for a month or so you should be able to survive falling down, but right now you just don’t know enough. Watch.”

The captain flexed and hopped up onto the slab. His feet shot out from under him and he twisted around in midair, landing on hands and knees. He slipped off and stood on the ground.

“The idea is to keep your exhaust tins from making contact with the frozen gas. Compared to the ice they are as hot as a blast furnace, and contact with any weight behind it will result in an explosion.”

After that demonstration, we walked around for another hour or so and returned to the billet. Once through the airlock~ we had to mill around for a while, letting the suits get up to something like room temperature. Somebody came up and touched helmets with me.

“William?” She had MCCOY stenciled above her faceplate. “Hi, Sean. Anything special?”

“I just wondered if you had anyone to sleep with tonight.”

That’s right; I’d forgotten. There wasn’t any sleeping roster here. Everybody chose his own partner. “Sure, I mean, uh, no. . . no, I haven’t asked anybody. Sure, if you want to. . . .”

“Thanks, William. See you later.” I watched her walk away and thought that if anybody could make a fighting suit look sexy, it’d be Sean. But even she couldn’t.

Cortez decided we were warm enough and led us to the suit room, where we backed the things into place and hooked them up to the charging plates. (Each suit had a little chunk of plutonium that would power it for several years, but we were supposed to run on fuel cells as much as possible.) After a lot of shuffling around, everybody finally got plugged in and we were allowed to unsuit- ninety-seven naked chickens squirming out of bright green eggs. It was cold-the air, the floor and especially the suits-and we made a pretty disorderly exit toward the lockers.

I slipped on tunic, trousers and sandals and was still cold. I took my cup and joined the line for soya. Everybody was jumping up and down to keep warm.

“How c-cold, do you think, it is, M-Mandella?” That was McCoy.

“I don’t, even want, to think, about it.” I stopped jumping and rubbed myself as briskly as possible, while holding a cup in one hand. “At least as cold as MiSSOUrI was.”

“Ung.. . wish they’d, get some, fucken, h~ai in, this place.” It always affects the small women more than any-body else. McCoy was the littlest one in the company, a waspwaist doll barely five feet high.

“They’ve got the airco going. It can’t be long now.”

“I wish I, was a big, slab of, meat like, you.” I was glad she wasn’t. 6

We had our first casualty on the third day, learning how to dig holes.

With such large amounts of energy stored in a soldier’s weapons, it wouldn’t be practical for him to hack out a hole in the frozen ground with the conventional pick and

shovel. Still, you can launch grenades all day and get nothing but shallow depressions-so the usual method is to bore a hole in the ground with the hand laser, drop a timed charge in after it’s cooled down and, ideally, fill the hole with stuff. Of course, there’s not much loose rock on Charon, unless you’ve already blown a hole nearby.

The only difficult thing about the procedure is in getting away. To be safe, we were told, you’ve got to either be behind something really solid, or be at least a hundred meters away. You’ve got about three minutes after setting the charge, but you can’t just sprint away. Not safely, not on Charon.

The accident happened when we were making a really deep hole, the kind you want for a large underground bunker. For this, we had to blow a hole, then climb down to the bottom of the crater and repeat the procedure again and again until the hole was deep enough. Inside the crater we used charges with a five-minute delay, but it hardly seemed enough time-you really had to go it slow, picking your way up the crater’s edge.

Just about  everybody had  blown a double hole; everybody  but me and three others. I guess we were the only ones paying really close attention when Bovanovitch got into trouble. All of us were a good two hundred meters away. With my image converter turned up to about foily power, I watched her disappear over the rim of the crater. After that, I could only listen in on her conversation with Cortez.

23

joe narneman

“I’m on the bottom, Sergeant.” Normal radio procedure was suspended for maneuvers like this; nobody but the trainee and Cortez was allowed to broadcast

“Okay, move to the center and clear out the rubble. Take your time. No rush until you pull the pin.”

“Sure, Sergeant.” We could hear small echoes of rocks clattering, sound conduction through her boots. She didn’t say anything for several minutes.

“Found bottom.” She sounded a little out of breath. “Ice or rock?”

“Oh, it’s rock, Sergeant The greenish stuff.”

“Use a low setting, then. One point two, dispersion four.” “God dam it, Sergeant, that’ll take forever.”

“Yeah, but that stuff’s got hydrated crystals in it-heat it up too fast and you might make it fracture. And we’d Just have to leave you there, girl. Dead and bloody.”

“Okay, one point two dee four.” The inside edge of the crater flickered red with reflected laser light.

“When you get about half a meter deep, squeeze it up to dee two.”

“Roger.” It took her exactly seventeen minutes, three of them at dispersion two. I could imagine how tired her shooting arm was.

“Now rest for a few minutes. When the bottom of the hole stops glowing, arm the charge and drop it in. Then walk out, understand? You’ll have plenty of time.”

“I understand, Sergeant. Walk out.” She sounded nervous. Well, you don’t often have to tiptoe away from a twenty-microton tachyon bomb.  We listened to her reathing for a few minutes.

“Here goes.” Faint slithering sound, the bomb sliding ~Iown. “Slow and easy now. You’ve got five minutes.”

“Y-yeah. Five.” Her footsteps started out slow and regLilar. Then, after she started climbing the side, the sounds were less regular, maybe a little frantic. And with four minutes to go- “Shit” A loud scraping noise, then clatters and bumps.

“What’s wrong, private?” “Oh, shit.” Silence. “Shit!”

“Private, you don’t wanna get shot, you tell me what’s wrong!”

“I. . . shit, I’m stuck. Fucken rockslide. . . shit. . . . DO SOMETHiNG! I can’t move, shit I can’t move I, I-”

“Shut up! How deep?”

“Can’t move my, shit, my fucken legs. HELP ME-”

“Then goddainmit use your arms-push! You can move a ton with each hand.” Three minutes.

She stopped cussing and started to mumble, in Russian, I guess, a low monotone. She was panting, and you could hear rocks tumbling away.

“I’m free.” Two minutes.

“Go as fast as you can.” Cortez’s voice was fiat, emotionless. At ninety seconds she appeared, crawling over the rim. “Run, girl. . . . You better run.” She ran five or six steps and fell, skidded a few meters and got back up, running; fell again, got up again- It looked as though she was going pretty fast, but she had only covered about thirty meters when Cortez said, “All tight, Bovanovitch, get down on your stomach and lie still.” Ten seconds, but she didn’t hear or she wanted to get just a little more distance, and she kept running, careless leaping strides, and at the high point of one leap there was a flash and a rumble, and something big hit her below the neck, and her headless body spun off end over end through space, trailing a red-black spiral of flash-frozen blood that settled gracefully to the ground, a path of crystal powder that nobody disturbed while we gathered rocks to cover the juiceless thing at the end of it.

That night Cortez didn’t lecture us, didn’t even show up for night-chop. We were all very polite to each other and nobody was afraid to talk about it..

I sacked with Rogers-everybody sacked with a good friend-but all she wanted to do was cry, and she cried so long and so hard that she got me doing it, too.

7

“Fire team A-move out!” The twelve of us advanced in a ragged line toward the simulated bunker. It was about a kilometer away, across a carefully prepared obstacle course. We could move pretty fast, since all of the ice had been cleared from the field, but even with ten days’ experience we weren’t ready to do more than an easy jog.

I carried a grenade launcher loaded with tenth-microton practice grenades. Everybody had their laser-fingers set at a point oh eight dee one, not much more than a flashlight. This was a simulated attack-the bunker and its robot defender cost too much to use once and be thrown away.

“Team B, follow. Team leaders, take over.”

We approached a clump of boulders at about the halfway mark, and Potter, my team leader, said, “Stop and cover.” We clustered behind the rocks and waited for Team B.

Barely visible in their blackened suits, the dozen men find women whispered by us. As soon as they were clear, they jogged left, out of our line of sight.

“Fire!” Red circles of light danced a half-klick downrange, where the bunker was just visible. Five hundred meters was the limit for these practice grenades; but I might luck out, so I lined the launcher up on the image of the bunker, held it at a forty-five degree angle and popped off a salvo of three.

Return fire from the bunker started before my grenades even landed. Its automatic lasers were no more powerful than the ones we were using, but a direct hit would deactivate your image converter, leaving you blind. It was setting down a random field of fire, not even coming close to the boulders we were hiding behind.

Three magnesi urn-bright flashes blinked simultaneously about thirty meters Short of the bunker. “Mandella! I thought you were supposed to he good with that thing.”

“Damn it, Potter-it only throws half a klick. Once we get closer, I’ll lay ’em right on top, every time.”

“Sure you will.” I didn’t say anything. She wouldn’t be team leader forever. Besides, she hadn’t been such a bad girl before the power went to her head.

Since the grenadier is the assistant team leader, I was slaved into Potter’s radio and could hear B team talk to her.

“Potter, this is Freeman. Losses?”

“Potter here-no, looks like they were concentrating on you.”

“Yeah, we lost three. Right now we’re in a depression about eighty, a hundred meters down from you. We can give cover whenever you’re ready.”

“Okay, start.” Soft click: “A team, follow me.” She slid out from behind the rock and turned on the faint pink beacon beneath her powerpack. I turned on mine and moved out to run alongside of her, and the rest of the team fanned out in a trailing wedge. Nobody fired while A team laid down a cover for us.

All I could hear was Potter’s breathing and the soft crunch-crunch of my boots. Couldn’t see much of anything, SO I tongued the image converter up to a log two intensification. That made the image kind of blurry but adequately bright. Looked like the bunker had  B team pretty well pinned down; they were getting quite a roasting. All of their return fire was laser. They must have lost their grenadier.

“Potter, this is Mandella. Shouldn’t we take some of the heat off B team?”

“Soon as I can find us good enough cover. Is that all right with you? Private?” She’d been promoted to corporal for the duration of the exercise.

We angled to the right and lay down behind a slab of rock. Most of the others found cover nearby, but a few had to hug the ground.

“Freeman, this is Potter.”

“Potter, this is Smithy. Freeman’s out; Samuels is out. We only have five men left. Give us some cover so we can get-”

“Roger, Smithy.” Click. “Open up, A team. The B’s are really hurtin’.” Joe tialdeman

I peeked out over the edge of the rock. My rangefinder said that the bunker was about three hundred fifty meters away, still pretty far. I aimed a smidgeon high and popped three, then down a couple of degrees, three more. The first ones overshot by about twenty meters; then the second salvo flared up directly in front of the bunker. I tried to hold on that angle and popped fifteen, the rest of the magazine, in the same direction.

I should have ducked down behind the rock to reload, but I wanted to see where the fifteen would land, so I kept my eyes on the bunker while I reached back to unclip another magazine- When the laser hit my image converter, there was a red glare so intense it seemed to go right through my eyes and bounce off the back of my skull. It must have been only a few milliseconds before the converter overloaded and went blind, but the bright green afterimage hurt my eyes for several minutes.

Since I was officially “dead,” my radio automatically cut off, and I had to remain where I was until the mock battle was over. With no sensory input besides the feel of my own skin (and it ached where the image converter had shone on it) and the ringing in my ears, it seemed like an awfully long time. Finally, a helmet clanked against mine.

“You okay, Mandella?” Potter’s voice.

“Sorry, I died of boredom twenty minutes ago.”

“Stand up and take my hand.” I did so and we shuffled back to the billet. It must have taken over an hour. She didn’t say anything more, all the way back-it’s a pretty awkward way to communicate-but after we’d cycled through the airlock and warmed up, she helped me undo my suit. I got ready for a mild tongue-lashing, but when the suit popped open, before I could even get my eyes adjusted to the light, she grabbed me around the neck and planted a wet kiss on my mouth.

“Nice shooting, Mandella.” “Huh?”

“Didn’t you see? Of course not.. . . The last salvo before you got hit-four direct hits. The bunker decided it was

knocked out, and all we bad todo was walk the rest of the way.”

“Great.” I scratched my face under the eyes, and some dry skin flaked off. She giggled.

“You should see yourself. You look like-”

“All personnel, report to the assembly area.” That was the captain’s voice. Bad news, usually.

She handed me a tunic and sandals. “Let’s go.” The

assembly area-chop hail was just down the corridor. There was a row of roll-call buttons at the door, I pressed the one beside my name. Four of the names were covered with black tape. That was good, only four. We hadn’t lost anybody during today’s maneuvers.

The captain was sitting on the raised dais, which at least meant we didn’t have to go through the tench-hut bulishit. The place filled up in less than a minute; a soft chime indicated the roll was complete.

Captain Stott didn’t stand up. “You did fairly well today. Nobody killed, and I expected some to be. In that respect you exceeded my expectations but in every other respect you did a poor job.

“I am glad you’re taking good care of yourselves, because each of you represents an investment of over a million dollars and one-fourth of a human life.

“But in this simulated battle against a very stupid robot enemy, thirty-seven of you managed to walk into laser fire and be killed in a simulated way, and since dead people require no food you will require no food, for the next three Jays. Each person who was a casualty in this baffle will be allowed only two liters of water and a vitamin ration each Jay.”

We knew enough not to groan or anything, but there were some pretty disgusted looks, especially  on the  faces  that had  singed eyebrows  and  a pink  rectangle of sunburn framing their eyes.

“Mandella.” “Sir?”

“You are far and away the worst-burned casualty. Was your image converter set on normal?”

Oh, shit. “No, sir. Log two.”

~su

Joe Ilaftieman

“I see. Who was your team leader for the exercises?” “Acting Corporal Potter, sir.”

“Private Potter, did you order him to use image intensification?” “Sir, I. . . I don’t remember.”

“You don’t Well, as a memory exercise you may join the dead people. Is that satisfactory?”

“Yes, sir.”

“Good. Dead people get one last meal tonight and go on no rations starting tomorrow. Are there any questions?” He must have been kidding. “All right Dismissed.”

I selected the meal that looked as if it had the most calories and took my tray over to sit by Potter.

“That was a quixotic damn thing to do. But thanks.”

“Nothing. I’ve been wanting to lose a few pounds anyway.” I couldn’t see where she was carrying any extra.

“I know a good exercise,” I said. She smiled without looking up from her tray. “Have anybody for tonight?”

“Kind of thought I’d ask Jeff.. . .”

“Better hurry, then. He’s lusting after Macjima.” Well, that was mostly true. Everybody did.

“I don’t know. Maybe we ought to save our strength. That third day . .

“Come on.” I scratched the back of her hand lightly with a fingernail. “We haven’t sacked since Missouri. Maybe I’ve learned something new.”

“Maybe you have.” She tilted her head up at me in a sly way. “Okay.”

Actually, she was the one with the new trick. The French corkscrew, she called it. She wouldn’t tell me who taught it to her though. I’d like to shake his hand. Once I got my strength back.

8

The two weeks’ training around Miami Base eventually cost us eleven lives. Twelve, if you count Dahiquist. I guess having to spend the rest of your life on Charon with a hand and both legs missing is close enough to dying.

Foster was crushed in a landslide and Freeland had a suit malfunction that froze him solid before we could carry him inside. Most of the other deaders were people I didn’t know all that well. But they all hurt. And they seemed to make us more scared rather than more cautious.

Now darkside. A flyer brought us over in groups of twenty and set us down beside a pile of building materials thoughtfully immersed in a pool of helium H.

We used grapples to haul the stuff out of the pool. It’s not safe to go wading, since the stuff crawls all over you and it’s hard to tell what’s underneath; you could walk out onto a slab of hydrogen and be out of luck.

I’d suggested that we try to boil away the pool with our lasers, but ten minutes of concentrated fire  didn’t  drop  the  helium  level appreciably. It didn’t  boil, either;

helium II is a “superfluid,” so what evaporation there was had to take place evenly, all over the surface. No hot spots, so no bubbling.

We weren’t supposed to use lights, to “avoid detection.” There was plenty of starlight with your image converter cranked up to log three or four, but each stage of amplification meant some loss of detail. By log four the landscape looked like a crude monochrome painting, and you couldn’t read the names on people’s helmets unless they were right in front of you.

The landscape wasn’t all that interesting, anyhow. There were half a dozen medium-sized meteor craters (all with exactly the same level of helium II in them) and the suggestion of some puny mountains just over the horizon. The

31

32

Joe Haldeman

uneven ground was the consistency of frozen spiderwebs; every time you put your foot down, you’d sink half an inch with a squeaking crunch. It could get on your nerves.

It took most of a day to pull all the stuff out of the pool. We took shifts napping, which you could do either standing ap, sitting or lying on your stomach. I didn’t do well in ~ny of those positions, so I was anxious to get the bunker built and pressurized.

We couldn’t build the thing underground—it’d just fill up with helium 11-so the first thing to do was to build an tnsulating platform, a permaplast-vacuum sandwich three layers thick.

I was an acting corporal, with a crew of ten people. We were carrying the permaplast layers to the building site- two people can carry one easily-when one of “my” men slipped and fell on his back.

“Damn it, Singer, watch your step.” We’d had a couple of deaders that way. “Sony, Corporal. I’m bushed. Just got my feet tangled up.,’

“Yeah, just watch it.” He got back up all right, and he and his partner placed the sheet and went back to get another.

I kept my eye on Singer. In a few minutes he was practically staggering, not easy to do in that suit of cybernetic armor.

“Singer! After you set the plank, I want to see you.”

“OK.” He labored through the task and mooched over. “Let me check your readout.” I opened the door on his chest to expose the medical monitor. His temperature was two degrees high; blood pressure and heart rate both elevated. Not up to the red line, though.

“You sick or something?”

“Hell, Mandella, I feel OK, just tired. Since I fell I been a little dizzy.”

I chinned the medic’s combination. “Doc, this is Man-della. You wanna come over here for a minute?”

“Sure, where are you?” I waved and he walked over from poolside. “What’s the problem?” I showed him Singer’s readout.

irir. r’.iiir.vr.n witn

He knew what all the other little dials and things meant, so it took him a while. “As far as I can tell, Mandella… he’s just hot.”

“Hell, I coulda told you that,” said Singer.

“Maybe you better have the armorer take a look at his suit.” We had two people who’d taken a crash course in suit maintenance; they were our “armorers.”

I chinned Sanchez and asked him to come over with his tool kit.

“Be a couple of minutes, Corporal. Carryin’ a plank.”

“Well, put it down and get on over here.” I was getting an uneasy feeling. Waiting for him, the medic and I looked over Singer’s suit.

“Uh-oh,” Doc Jones said. “Look at this.” I went around to the back and looked where he was pointing. Two of the fins on the heat exchanger were bent out of shape.

“What’s wrong?” Singer asked.

“You fell on your heat exchanger, right?”

“Sure, Corporal-that’s it. It must not be working right.”

“I don’t think it’s working at all,” said Doc. Sanchez came over with his diagnostic kit and we told him what had happened. He looked at the heat exchanger, then plugged a couple of jacks into it and got a digital readout from a little monitor in his kit. I didn’t know what it was measuring, but it came out zero to eight decimal places.

Heard a soft click, Sanchez chinning my private frequency. “Corporal, this guy’s a deader.”

“What? Can’t you fix the goddamn thing?”

“Maybe.. . maybe I could, if I could take it apart. But there’s no way-”

“Hey! Sanchez?” Singer was talking on the general freak. “Find out what’s wrong?” He was panting.

Click. “Keep your pants on, man, we’re working on it.” Click. “He won’t last long enough for us to get the bunker pressurized. And I can’t work on the heat exchanger from outside of the suit.”

“You’ve got a spare suit, haven’t you?” 34

Joe Haldeman

“Two of ’em, the fit-anybody kind. But there’s no place …say…”

“Right. Go get one of the suits warmed up.” I chinned the general freak. “Listen, Singer, we’ve gona get you out of that thing. Sanchez has a spare suit, but to make the switch, we’re gonna have to build a house around you. Understand?”

“Huh-uh.”

“Look, we’ll make a box with you inside, and hook it up to the life-support unit. That way you can breathe while you make the switch.”

“Soun’s pretty compis. . . compil. . . cated t’me.” “Look, just come along-”

“I’ll be all right, man, jus’ lemme res’. . .

I grabbed his arm and led him to the building site. He was really weaving. Doc took his other arm, and between us, we kept him from falling over.

“Corporal Ho, this is Corporal Mandella.” Ho was in charge of the life-support unit.

“Go away, Mandella, I’m, busy.”

“You’re going to be busier.” I outlined the problem to her. While her  group hurried to adapt the LSU-for this purpose, it need only be an air hose and heater-I got my crew to bring around six slabs of permaplast, so we could build a big box around Singer and the extra suit. It would look like a huge coffin, a meter square and six meters long.

We set the suit down on the slab that would be the floor of the coffin. “OK, Singer, let’s go.”

No answer. “Singer, let’s go.”

No answer.

“Singer!” He was just standing there. Doc Jones checked his readout. “He’s out, man, unconscious.”

My mind raced. There might just be room for another person in the box. “Give me a hand here.” I took Singer’s shoulders and Doc took his feet, and we carefully laid him out at the feet of the empty suit.

Then I lay down myself, above the suit. “OK, close’er up.,,

THE FOREVER WAR 35

“Look, Mandella, if anybody goes in there, it oughta be me.”

“Fuck you, Doc. My job. My man.” That sounded all wrong. William Mandella, boy hero.

They stood a slab up on edge-it had two openings for the LSU input and exhaust- and proceeded to weld it to the bottom plank with a narrow laser beam. On Earth, we’d just use glue, but here the only fluid was helium, which has lots of interesting properties, but is definitely not sticky.

After about ten minutes we were completely walled up. I could feel the LSU humming. I switched on my suit light-the first time since we landed on darkside-and the glare made purple blotches dance in front of my eyes.

“Mandella, this is Ho. Stay  in your suit at least two or three minutes. We’re putting hot air in, but it’s coming back just this side of liquid.” I watched the purple fade for a while.

“OK, it’s still cold, but you can make it.” I popped my suit. It wouldn’t open all the way, but I didn’t have too much trouble getting out. The suit was still cold enough to take some skin off my fingers and butt as I wiggled out.

I had to crawl feet-first down the coffin to get to Singer. It got darker fast, moving away from my light. When I popped his suit a rush of hot stink hit me in the face. In the dim light his skin was dark red and splotchy. His breathing was very shallow and I could see his heart palpitating.

First I unhooked the relief tubes-an unpleasant business-then the biosensors; and then I had the problem of getting his arms out of their sleeves.

It’s pretty easy to do for yourself. You twist this way and turn that way and the arm pops out. Doing it from the outside is a different matter: I had to twist his arm and then reach under and move the suit’s arm to match-it takes muscle to move a suit around from the outside.

Once I had one arm out it was pretty easy; I just crawled forward, putting my feet on the suit’s shoulders, and pulled on his free ann. He slid out of the suit like an oyster slipping out of its shell.

I popped the spare suit and after a lot of pulling and 36

Joe Haldeman

pushing, managed to get his legs in. Hooked up the biosensors and the front relief tube. He’d have to do the other one himself; it’s too complicated. For the nth time I was glad not to have been born female; they have to have two of those damned plumber’s friends, instead of just one and a simple hose.

I left his arms out of the sleeves. The suit would be useless for any kind of work, anyhow; waldos have to be tailored to the individual.

His eyelids fluttered. “Man. . . della. Where. . . the fuck..

I explained, slowly, and he seemed to get most of it. “Now I’m gonna close you up and go get into my suit. I’ll have the crew cut the epd off this thing and I’ll haul you out. Got it?”

He nodded. Strange to see that-when you nod or shrug inside a suit, it doesn’t communicate anything.

I crawled into my suit, hooked up the attachments and chinned the general freak. “Doc, I think he’s gonna be OK. Get us out of here now.”

“Will do.” Ho’s voice. The LSU hum was replaced by a chatter, then a throb. Evacuating the box to prevent an explosion.

One corner of the seam grew red, then white, and a bright crimson beam lanced through, not a foot away from my head. I scrunched back as far as I could. The beam slid up the seam and around three corners, back to where it started.

The end of the box fell away slowly, trailing filaments of melted ‘plast.

“Walt for the stuff to harden, Mandella.” “Sanchez, I’m not that stupid.”

“Here you go.” Somebody tossed a line to me. That would be smarter than dragging him out by myself. I threaded a long bight under his arms and tied it behind his neck. Then I scrambled out to help them pull, which was silly-they had a dozen people already lined up to haul.

Singer got out all right and was actually sitting up while Doc Jones checked his readout. People were asking me

THE FOREVER WAR         37

 

about it and congratulating me, when suddenly Ho said “Look!” and pointed toward the horizon.

It was a black ship, coming in fast. I just had time to think it wasn’t fair, they weren’t supposed to attack until the last few days, and then the ship was right on top of us.

9

We all flopped to the ground instinctively, but the ship didn’t attack. It blasted braking rockets and dropped to land on skids. Then it skied around to come to a iest beside the building site.

Everybody had it figured out and was standing around sheepishly when the two suited figures stepped out of the ship.

A familiar voice crackled over the general freak. “Every one of you saw us coming in and not one of you responded with laser fire. It wouldn’t have done any good but it would have indicated a certain amount of fighting spirit. You have a week or less before the real thing and since the sergeant and I will be here I will insist that you show a little more will to live. Acting Sergeant Potter.”

“Here, sir.”

“Get me a detail of twelve people to unload cargo. We brought a hundred small robot drones for target practice so that you might have at least a fighting chance when a live target comes over.

“Move now. We only have thiity minutes before the ship returns to Miami.” I checked, and it was actually more like forty minutes.

Having the captain and sergeant there didn’t really make much difference. We were still on our own; they were just observing.

Once we got the floor down, it only took one day to complete the bunker. It was a gray oblong, featureless except for the airlock blister and four windows. On top was a

swivel-mounted gigawatt laser. The operator-you couldn’t call him a “gunner”-sat in a chair holding deadman switches in both hands. The laser wouldn’t fire as long as he was holding one of those switches. If he let go, it would automatically aim for any moving aerial object and

38

fire at will. Primary detection and aiming was by means of a kilometer-high antenna mounted beside the bunker.

It was the only arrangement that could really be expected to work, with the horizon so close and human reflexes  so slow. You couldn’t have the thing fully automatic, because in theory, friendly ships might also approach.

The aiming computer could choose among up to twelve targets appearing simultaneously (firing at the largest ones first). And it would get all twelve in the space of half a

second.

The installation was partly protected from enemy fire by an efficient ablative layer that covered everything except the human operator. But then, they were dead-man switches. One man above guarding eighty inside. The army’s good at that kind of arithmetic.

Once the bunker was finished, half of us stayed inside at all times-feeling very much like targets-taking turns operating the laser, while the other half went on maneuvers.

About four klicks from the base was a large “lake” of frozen hydrogen; one of our most important maneuvers was to learn how to get around on the treacherous stuff.

It wasn’t too difficult You couldn’t stand up on it, so you had to belly down and sled.

If you had somebody to push you from the edge, getting started was no problem. Otherwise, you had to scrabble with your hands and feet, pushing down as hard as was practical, until you started moving, in a series of little jumps. Once started, you’d keep going until you ran out of ice. You could steer a little bit by digging in, hand and foot, on the appropriate side, but you couldn’t slow to a stop that way. So it was a good idea not to go too fast and wind up positioned in such a way that your helmet didn’t absorb the shock of stopping.

We went through all the things we’d done on the Miami side: weapons practice, demolition, attack patterns. We also launched drones at irregular intervals, toward the bunker. Thus, ten or fifteen times a day, the operators got to demonstrate their skill in letting go of the handles as soon as the proximity light went on.

I had four hours of that, like everybody else. I was ner Joe tialneman

vous until the first “attack,” when I saw how little there was to it. The light went on, I let go, the gun aimed, and when the drone peeped over the horizon-zzt! Nice touch of color, the molten metal spraying through space. Otherwise not too exciting.

So none of us were worried about the upcoming “graduation exercise,” thinking it would be just more of the same.

Miami Base attacked on the thirteenth day with two Simultaneous missiles streaking over opposite sides of the horizon at some forty kilometers per second. The laser vaporized the first one with no trouble, but the second got within eight klicks of the bunker before it was hit.

We were coming back from maneuvers, about a klick away from the bunker. I wouldn’t have seen it happen if I hadn’t been looking directly at the bunker the moment of the attack.

The second missile sent a shower of molten debris straight toward the bunker. Eleven pieces hit, and, as we later reconstructed it, this is what happened:

The first casualty was Macjima. so well-loved Macjima, inside the bunker, who was hit in the back and the head and died instantly. With the drop in pressure, the LSU went into high gear. Friedman was standing in front of the main airco outlet and was blown into the opposite wall hard enough to knock him unconscious; he died of decompression before the others could get him to his suit.

Everybody else managed to stagger through the gale and get into their suits, but Garcia’s suit had been holed and didn’t do him any good.

By the time we got there, they had turned off the LSU and were welding up the holes in the wall. One man was trying to scrape up the unrecognizable mess that had been Macjima. I could hear him sobbing  and retching. They had already taken Garcia and Friedman outside for burial. The captain took over the repair detail from Potter. Sergeant Cortez led the sobbing man over to a corner and came back to work on cleaning up Macjima’s  remains, alone. He didn’t order anybody to help  and nobody volunteered.

10

As a graduation exercise, we were unceremoniously stuffed

into a ship-Earth’s Hope, the same one we rode to Charon-and bundled off to Stargate at a little more than one gee.

The trip seemed endless, about six months subjective time, and boring, but not as hard on the carcass as going to Charon had been. Captain Stott made us review our training orally, day by day, and we did exercises every day until we were worn to a collective frazzle.

Stargate 1 was like Charon’s darkside, only more so. The base on Stargate 1 was smaller than Miami Base-only a little bigger than the one we constructed on darkside-and we were due to lay over a week to help expand the facilities. The crew there was very glad to see us, especially the two females, who looked a little worn around the edges.

We all crowded into the small dining hail, where Sub-major Williamson, the man in charge of Stargate 1, gave us some disconcerting news:

“Everybody get comfortable. Get off the tables, though, there’s plenty of floor.

“I have some idea of what you just went through, training on Charon. I won’t say it’s all been wasted. But where you’re headed, things will be quite different. Warmer.”

He paused to let that soak in.

“Aleph Aurigae, the first collapsar ever detected, revolves around the normal star Epsilon Aurigae in a twenty-seven year orbit. The enemy has a base of operations, not on a regular portal planet of Aleph, but on a planet in orbit around Epsilon. We don’t know much about the planet, just that it goes around Epsilon once every 745 days, is about three-fourths the size of Earth, and has an albedo of 0.8, meaning it’s probably covered with clouds. We can’t say precisely how hot it will be, but judging from its distance

41

42

from Epsilon, it’s probably rather hotter than Earth. Of course, we don’t know whether you’ll be working. . . fighting on lightside or darkside, equator or poles. It’s highly unlikely that the atmosphere will be breathable-at any rate, you’ll stay inside your suits.

“Now you know exactly as much about where you’re going as I do. Questions?” “Sir,” Stein drawled, “now we know where we’re goin’

anybody know what we’re goin’ to do when we get there?”

Williamson shrugged. “That’s up to your captain-and your sergeant, and the captain of Earth’s Hope, and Hope’s logistic computer~ We just don’t have enough data yet to project a course of action for you. It may be a long and bloody battle; it may be just a case of walking in to pick up the pieces. Conceivably, the Taurans might want to make a peace offer,’ ‘-Cortez snorted-“in which case you would simply be part of our muscle, our bargaining power.” He looked at Cortez mildly. “No one can say for sure.”

The orgy that night was amusing, but it was like trying to sleep in the middle of a raucous beach party. The only area big enough to sleep all of us was the dining hail; they draped a few bedsheets here and there for privacy, then unleashed Stargate’s eighteen sex-starved men on our women, compliant and promiscuous by military custom (and law), but desiring nothing so much as sleep on solid ground.

The eighteen men acted as if they were compelled to try as many permutations as possible, and their performance was impressive (in a strictly quantitative sense, that is). Those of us who were keeping count led a cheering section for some of the more gifted members. I think that’s the right word.

The next morning-and every other morning we were on Stargate 1-we staggered out of bed and into our suits, to go outside and work on the “new wing.” Eventually, Stargate would be tactical and logistic headquarters for the war, with thousands of permanent personnel, guarded by half-a-dozen heavy cruisers in Hope’s class. When we

started, it was two shacks and twenty people; when we left, it was four shacks and twenty people. The work was hardly work at all, compared to darkside, since we had plenty of light and got sixteen hours inside for every eight hours’

work. And no drone attack for a final exam.

When we shuttled back up to the Hope, nobody was too happy about leaving (though some of the more popular females declared it’d be good to get some rest). Stargate was the last easy, safe assignment we’d have before taking up arms against the Taurans. And as Williamson had pointed out the first day, there was no way of predicting what that would be like.

Most of us didn’t feel too enthusiastic about making a collapsar jump, either. We’d been assured that we wouldn’t even feel it happen, just free fall all the way.

I wasn’t convinced. As a physics student, I’d had the usual courses in general relativity and theories of gravitation. We only had a little direct data at that time- Stargate was discovered when I was in grade school-but the mathematical model seemed clear enough.

The collapsar Stargate was a perfect sphere about three kilometers in radius. It was suspended forever in a state of gravitational collapse that should have meant its surface was dropping toward its center at nearly the speed of light.

Relativity propped it up, at least gave it the illusion of being there. . . the way all reality becomes illusory and observer-oriented when you study general relativity. Or Buddhism. Or get drafted.
At any rate, there would be a theoretical point in space-time when one end of our ship was just above the surface of the collapsar, and the other end was a kilometer away (in our frame of reference). In any sane universe, this would set up tidal stresses and tear the ship apart, and we would be just another million kilograms of degenerate matter on the theoretical surface, rushing headlong to nowhere for the rest of eternity or dropping to the center in the next trillionth of a second. You pays your money and you takes your frame of reference.

But they were right. We blasted away from Stargate 1,

44       Joe tialdeman

 

made a few course corrections and then just dropped, for about an hour.

Then a bell rang and we sank into our cushions under a steady two gravities of deceleration. We were in enemy territory.

11

We’d been decelerating at two gravities for almost nine days when the battle began. Lying on our couches being miserable, all we felt were two soft bumps, missiles being released. Some eight hours later, the squawkbox crackled:

“Attention, all crew. This is the captain.” Quinsana, the pilot, was only a lieutenant, but was allowed to call himself captain aboard the vessel, where he outranked all of us, even Captain Stott. “You grunts in the cargo hold can listen, too.

“We just engaged the enemy with two fifty-gigaton tachyon missiles and have destroyed both the enemy vessel and another object which it had launched approximately three microseconds before.

“The enemy has been trying to overtake us for the past 179 hours, ship time. At the time of the engagement, the enemy was moving at a little over half the speed of light, relative to Aleph, and was only about thirty AU’s from Earth’s Hope. It was moving at .47c relative to us, and thus we would have been coincident in space- time”- rammed!-‘ ‘in a little more than nine hours. The missiles were launched at 0719 ship’s time, and destroyed the enemy at 1540, both tachyon bombs detonating within a thousand klicks of the enemy objects.”

The two missiles were a type whose propulsion system was itself only a barely- controlled tachyon bomb. They accelerated at a constant rate of 100 gees, and were traveling at a relativistic speed by the time the nearby mass of the enemy ship detonated them.

“We expect no further interference from enemy vessels. Our velocity with respect to Aleph will be zero in another five hours; we will then begin the journey back. The return will take twenty-seven days.” General moans and dejected cussing. Everybody knew all that already, of course; but we didn’t care to be reminded of it.

 

So after another month of logy calisthenics and drill, at a constant two gravities, we got our first look at the planet we were going to attack. Invaders from outer space, yes sir.

It was a blinding white crescent waiting for us two AU’s out from Epsilon. The captain had pinned down the location of the enemy base from fifty AU’s out, and we had jockeyed in on a wide arc, keeping the bulk of the planet between them and us. That didn’t mean we were sneaking up on them-quite the contrary; they launched

three abortive attacks-but it put us in a stronger defensive position. Until we had to go to the surface, that is. Then  only  the ship  and its  Star Fleet crew would be reasonably safe.

Since the planet rotated rather slowly-once every ten and one-half days-a “stationary” orbit for the ship had to be 150,000 klicks out. This made the people in the ship feel quite secure, with 6,000 miles of rock and 90,000 miles of space between them and the enemy. But it meant a whole second’s time lag in communication between us on the ground and the ship’s battle computer. A person could get awful dead while that neutrino pulse crawled up and back.

Our vague orders were to attack the base and gain control, while damaging a minimum of enemy equipment. We were to take at least one enemy alive. We were under no ~ircumstances to allow ourselves to be taken alive, however. And the decision wasn’t up to us; one special pulse from the battle computer, and that speck of plutonium in your power plant would fiss with all of .01% efficiency, md you’d be nothing but a rapidly expanding, very hot plasma.

They strapped us into six scoutships-one platoon of twelve people in each-and we blasted away from Earth’s Fiope at eight gees. Each scoutship was supposed to follow its own carefully random path to our rendezvous point, 108 klicks from the base. Fourteen drone ships were launched it the same time, to confound the enemy’s anti-spacecraft ;ystem.

The landing went off almost perfectly. One ship suffered THE FOREVER WAR

47

minor damage, a near miss boiling away some of the ablative material on one side of the hull, but it’d still be able to make it and return, keeping its speed down while in the atmosphere.

We zigged and zagged and wound up first ship at the rendezvous point. There was only one trouble. It was under four kilometers of water.

I could almost hear that machine, 90,000 miles away, grinding its mental gears, adding this new bit of data. We proceeded just as if we were landing on solid ground: braking rockets, falling, skids out, hit the water, skip, hit the water, skip, hit the water, sink.

It would have made sense to go ahead and land on the bottom-we were streamlined, after all, and water just another fluid-but the hull wasn’t strong enough to hold up a four kilometer column of water. Sergeant Cortez was in the scoutship with us.

“Sarge, tell that computer to do something! We’re gonna get-”

“Oh, shut up, Mandella. Trust in th’ lord.” “Lord” was definitely lower-case when Cortez said it.

There was a loud bubbly sigh, then another, and a slight increase in pressure on my back that meant the ship was rising. “Flotation bags?” Cortez didn’t deign to answer, or didn’t know.

That was it. We rose to within ten or fifteen meters of the surface and stopped, suspended there. Through the port I could see the surface above, shimmering like a mirror of hammered silver. I wondered what it would be like to be a fish and have a definite roof over your world.

I watched another ship splash in. It made a great cloud of bubbles and turbulence, then fell-slightly tail-first-for a short distance before large bags popped out under each delta wing. Then it bobbed up to about our level and stayed.

“This is Captain Stott. Now listen carefully. There is a beach some twenty-eight klicks from your present position, in the direction of the enemy. You will be proceeding to this beach by scoutship and from there will mount your assault on the Tauran position.” That was some improvement; we’d only have to walk eighty klicks.

48

Joe Haldeman

We deflated the bags, blasted to the surface and flew in a slow, spread-out formation to the beach. It took several minutes. As the ship scraped to a halt, I could hear pumps humming, making the cabin pressure equal to the air pressure outside. Before it had quite stopped moving, the escape slot beside my couch slid open. I rolled out onto the wing of the craft and jumped to the ground. Ten seconds to find cover-I sprinted across loose gravel to the “treeline,” a twisty bramble of tall sparse bluish-green shrubs. I dove into the briar patch and turned to watch the ships leave. The drones that were left rose slowly to about a hundred meters, then took off in all directions with a bone-jarring roar. The real scoutships slid slowly back into the water. Maybe that was a good idea.

It wasn’t a terribly attractive world but certainly would be easier to get around in than the cryogenic nightmare we were trained for. The sky was a uniform dull silver brightness that merged with the mist over the ocean so completely it was impossible to tell where water ended and air began. Small wavelets licked at the black gravel shore, much too slow and graceful in the three-quarters Earth-normal gravity. Even from fifty meters away, the rattle of billions of pebbles rolling with the tide was loud in my ears.

The air temperature was 79 degrees Centigrade, not quite hot enough for the sea to boil, even though the air pressure was low compared to Earth’s. Wisps of steam drifted quickly upward from the line where water met land. I wondered how a lone man would survive exposed here without a suit. Would the heat or the low oxygen (partial pressure one-eighth Earth normal) kill him first? Or was there some deadly microorganism that would beat them both…?

“This is Cortez. Everybody come over and assemble on me.” He was standing on the beach a little to the left of me, waving his hand in a circle over his head. I walked toward him through the shrubs. They were brittle, unsubstantial, seemed paradoxically dried-out in the steamy air.

They wouldn’t offer much in the way of cover.

“We’ll be advancing on a heading .05 radians east of north. I want Platoon One to take point. Two and Three follow about twenty meters behind, to the left and right.

mr.. rultLvLiI wi~n LW

Seven, command platoon, is in the middle, twenty meters behind Two and Three. Five and Six, bring up the rear, in a semicircular closed flank. Everybody straight?” Sure, we could do that “arrowhead” maneuver in our sleep. “OK, let’s move out.”

I was in Platoon Seven, the “command group.” Captain Stott put me there not because I was expected to give any commands, but because of my training in physics.

The command group was supposedly the safest pl~e, buffered by six platoons: people were assigned to it because there was some tactical reason for them to survive at least a little longer than the rest. Cortez was there to give orders.

Chavez was there to correct suit malfunctions. The senior medic, Doe Wilson (the only medic who actually had an M.D.), was there, and so was Theodopolis, the radio engineer, our link with the captain, who had elected to stay in orbit.

The rest of us were assigned to the command group by dint of special training or aptitude that wouldn’t normally be considered of a “tactical” nature. Facing a totally unknown enemy, there was no way of telling what might prove important. Thus I was there because I was the closest the company had to a physicist. Rogers was biology. Tate was chemistry. Ho could crank out a perfect score on the Rhine extrasensory perception test, every time. Bohrs was a polyglot, able to speak twenty- one languages fluently, idiomatically. Petrov’s talent was that he had tested out to have not one molecule of xenophobia in his psyche. Keating was a skilled acrobat. Debby Hoffister-“Lucky” Ho!lister-showed a remarkable aptitude for making money, and also had a consistently high Rhine potential.

12

 

When we first set out, we were using the “jungle” camouflage combination on our suits. But what passed for jungle in these anemic tropics was too sparse; we looked like

a band of conspicuous harlequins trooping through the

woods. Cortez had us switch to black, but that was just as bad, as the light of Epsilon came evenly from all parts of

the sky, and there were no shadows except ours. We finally settled on the dun- colored desert camouflage.

The nature of the countryside changed slowly as we walked north, away from the sea. The thorned stalks-I guess you could call them trees-came in fewer numbers but were bigger around and less brittle; at the base of each was a tangled mass of vine with the same bluegreen color, which spread out in a flattened cone some ten meters in diameter. There was a delicate green flower the size of a man’s head near the top of each tree.

Grass began to grow some five klicks from the sea. It seemed to respect the trees’ “property rights,” leaving a strip of bare earth around each cone of vine. At the edge of such a clearing, it would grow as timid bluegreen stubble, then, moving away from the tree, would get thicker and taller until it reached shoulderhigh in some places, where the separation between two trees was unusually large. The grass was a lighter, greener shade than the trees and vines. We changed the color of our suits to the bright green we had used for maximum visibility on Charon.

Keeping to the thickest part of the grass, we were fairly inconspicuous.

We covered over twenty klicks each day, buoyant after months under two gees. Until the second day, the only form of animal life we saw was a kind of black worm, fingersized, with hundreds of cilium legs like the bristles of a brush. Rogers said that there obviously had to be some

50

THE FOREVER WAR 51

larger creature around, or there would be no reason for the trees to have thorns. So we were doubly  on guard, expecting trouble both from the Taurans  and the unidentified “large creature.”

Potter’s second platoon was on point; the general freak was reserved for her, since her platoon would likely be the first to spot any trouble.

“Sarge, this is Potter,” we all heard. “Movement ahead.” “Get down, then!”

“We are. Don’t think they see us.”

“First platoon, go up to the right of point. Keep down. Fourth, get up to the left. Tell me when you get in position. Sixth platoon, stay back and guard the rear. Fifth and third, close with the command group.”

Two dozen people whispered out of the grass to join us. Cortez must have heard from the fourth platoon.

“Good. How about you, first?. . . OK, fine. How many are there?” “Eight we can see.” Potter’s voice.

“Good. When I give the word, open fire. Shoot to kill.” “Sarge,.. . they’re just animals.”

“Potter-if you’ve known all this time what a Tauran looks like, you should’ve told us. Shoot to kill.”

“But we need . . .”

“We need a prisoner, but we don’t need to escort him forty klicks to his home base and keep an eye on him while we fight. Clear?”

“Yes. Sergeant.”

“OK. Seventh, all you brains and weirds, we’re going up and watch. Fifth and third, come along to guard.”

We crawled through the meter-high grass to where the second platoon had stretched out in a firing line.

“I don’t see anything,” Cortez said. “Ahead and just to the left. Dark green.”

They were only a shade darker than the grass. But after you saw the first one, you could see them all, moving slowly around some thirty meters ahead.

“Fire!” Cortez tired tirst; then twelve streaks of crimson leaped out and the grass wilted black, disappeared, and the

52

Joe Haldeman

creatures convulsed and died trying to scatter.

“Hold fire, hold it!” Cortez stood up. “We want to have something left-second platoon, follow me.” He strode out toward the smoldering corpses, laser-finger pointed out front, obscene divining rod pulling him toward the carnage

I felt my gorge rising and knew that all the lurid training tapes, all the horrible deaths in training accidents, hadn’t prepared me for this sudden reality. . . that I had a magic wand that I could point at a life and make it a smoking piece of half-raw meat; I wasn’t a soldier nor ever wanted to be one nor ever would want- “OK, seventh, come on up.” While we were walking

toward them, one of the creatures moved, a tiny shudder, and Cortez flicked the beam of his laser over it with an almost negligent gesture. It made a hand-deep gash across the creature’s middle. It died, like the others, without emitting a sound.

They were not quite as tall as humans, but wider in girth. They were covered with dark green, almost black, fur- white curls where the laser had singed. They appeared to have three legs and an arm. The only ornament to their shaggy heads was a mouth, wet black orifice filled with flat black teeth. They were thoroughly repulsive, but their worst feature was not a difference from human beings, but a similarity. . . . Whenever the laser had opened a body cavity, milk-white glistening veined globes and coils of organs spilled out, and their blood was dark clotting red.

“Rogers, take a look. Taurans or not?”

Rogers knelt by one of the disemboweled creatures and opened a flat plastic box, filled with glittering dissecting tools. She selected a scalpel. “One way we might be

able to find out.” Doc Wilson watched over her shoulder as she methodically slit the membrane covering several organs.

“Here.” She held up a blackish fibrous mass between two fingers, a parody of daintiness through all that armor.

“So?”

“It’s grass, Sergeant. If the Taurans eat the grass and breathe the air, they certainly found a planet remarkably like their home.” She tossed it away. “They’re animals, Sergeant, just fucken animals.”

II1L I’URLVLD. WJiR

“I don’t know,” Doc Wilson said. “Just because they walk around on all fours, threes maybe, and eat grass. .

“Well, let’s check out the brain.” She found one that had been hit in the head and scraped the superficial black char from the wound. “Look at that.”

It was almost solid bone. She tugged and ruffled the hair all over the head of another one. “What the hell does it use for sensory organs? No eyes, or ears, or. . .” She stood up.

“Nothing in that fucken head but a mouth and ten centimeters of skull. To protect nothing, not a fucken thing.”

“If I could shrug, I’d shrug,” the doctor said. “It doesn’t prove anything-a brain doesn’t have to look like a mushy walnut and it doesn’t have to be in the head. Maybe that skull isn’t bone, maybe that’s the brain, some crystal lattice. .

“Yeah, but the fucken stomach’s in the right place, and if those aren’t intestines I’ll eat-”

“Look,” Cortez said, “this is real interesting, but all we need to know is whether that thing’s dangerous, then we’ve gotta move on; we don’t have all-”

“They aren’t dangerous,” Rogers began. “They don’t-”

“Medic! DOC!” Somebody back at the firing line was waving his arms. Dcc sprinted back to him, the rest of us following.

“What’s wrong?” He had reached back and unclipped his medical kit on the run. “It’s Ho. She’s out.”

Doc swung open the door on Ho’s biomedical monitor. He didn’t have to look far. “She’s dead.”

“Dead?” Cortez said. “What the hell-”

“Just a minute.” Doc plugged a jack into the monitor and fiddled with some dials on his kit. “Everybody’s biomed readout is stored for twelve hours. I’m running it backwards, should be able to-there!”

“What?”

“Four and a half minutes ago-must have been when you opened fire-Jesus!” “Well?”

“Massive cerebral hemorrhage. No. . .” He watched the ’54

Joe Haldeman

dials. “No. . . warning, no indication of anything out of the

ordinary; blood pressure up, pulse up, but normal under the circumstances. . . nothing to. . . indicate-” He reached down and popped her suit. Her fine oriental features were distorted in a horrible grimace, both gums showing. Sticky fluid ran from under her collapsed eyelids, and a trickle of blood still dripped from each ear. Doc Wilson closed the suit back up.

“I’ve never seen anything like it. It’s as if a bomb went off in her skull.” “Oh flick,” Rogers said, “she was Rhine-sensitive, wasn’t she.”

“That’s right,” Cortez sounded thoughtful. “All right, everybody listen up. Platoon leaders, check your platoons and see if anybody’s missing, or hurt. Anybody else in seventh?”

“I. . . I’ve got a splitting headache, Sarge,” Lucky said.

Four others had bad headaches. One of them affirmed that he was slightly Rhine- sensitive. The others didn’t know.

“Cortez, I think it’s obvious,” Doc Wilson said, “that we should give these. . . monsters wide berth, especially shouldn’t harm any more of them. Not with five people susceptible to whatever apparently killed Ho.”

“Of course, God damn it, I don’t need anybody to tell me that. We’d better get moving. I just filled the captain in on what happened; he agrees that we’d better get as far away from here as we can, before we stop for the night.

“Let’s get back in formation and continue on the same bearing. Fifth platoon, take over point; second, come back to the rear. Everybody else, same as before.”

“What about Ho?” Lucky asked.

“She’ll be taken care of. From the ship.”

After we’d gone half a klick, there was a flash and rolling thunder. Where Ho had been came a wispy luminous mushroom cloud boiling up to disappear against the gray sky.

13

 

We stopped for the “night”-actually, the sun wouldn’t set for another seventy hours-atop a slight rise some ten klicks from where we had killed the aliens. But they weren’t aliens, I bad to remind myself-we were.

Two platoons deployed in a ring around the rest of us, and we flopped down exhausted. Everybody was allowed four hours’ sleep and had two hours’ guard duty.

Potter came over and sat next to me. I chinned her frequency. “Hi, Marygay.”

“Oh, William,” her voice over the radio was hoarse and cracking. “God, it’s so horrible.”

“It’s over now-”

“I killed one of them, the first instant, I shot it right in the, in the . . .”

1 put my hand on her knee. The contact had a plastic click and I jerked it back, visions of machines embracing, copulating. “Don’t feel singled out, Marygay; whatever guilt there is, is. . . belongs evenly to all of us,. . . but a triple portion for Cor-”

“You privates quit jawin’ and get some sleep. You both pull guard in two hours.” “OK, Sarge.” Her voice was so sad and tired I couldn’t bear it. I felt if I could only

touch her, I could drain off the sadness like ground wire draining current, but we were each

trapped in our own plastic world- ”G’night, William.”

“Night.” It’s almost impossible to get sexually excited inside a suit, with the relief tube and all the silver chloride sensors poking you, but somehow this was my body’s response to the emotional impotence, maybe remembering more pleasant sleeps with Marygay, maybe feeling that in the midst of all this death, personal death could be very soon, cranking up the procreative derrick for one last try

lovely thoughts like this. I fell asleep and dreamed that I was a machine, mimicking the functions of life, creaking and clanking my clumsy way through a world, people too polite to say anything but giggling behind my back, and the little man who sat inside my head pulling the levers and clutches and watching the dials, he was hopelessly mad and was storing up hurts for the day- “Mandella-wake up, goddammit, your shift!”

I shuffled over to my place on the perimeter to watch for god knows what. . . but I was so weary I couldn’t keep my eyes open. Finally I tongued a stimtab, knowing I’d pay for it later.

For over an hour I sat there, scanning my sector left, right, near, far, the scene never changing, not even a breath of wind to stir the grass.

Then suddenly the grass parted and one of the three-legged creatures was right in front of me. I raised my finger but didn’t squeeze.

“Movement!” “Movement!”

“Jesus Chri-there’s one right-”

“HOLD YOUR FIRE! F’ shit’s sake don’t shoot!” “Movement.”

“Movement.” I looked left and right, and as far as I could see, every perimeter guard had one of the blind, dumb creatures standing right in front of him.

Maybe the drug I’d taken to stay awake made me more sensitive to whatever they did. My scalp crawled and I felt a formless thing in my mind, the feeling you get when somebody has said something and you didn’t quite hear it, want to respond, but the opportunity to ask him to repeat it is gone.

The creature sat back on its haunches, leaning forward on the one front Leg. Big green bear with a withered arm. Its power threaded through my mind, spiderwebs, echo of night terrors, trying to communicate, trying to destroy me, I couldn’t know.

“All right, everybody on the perimeter, fall back, slow. THE FOREVER WAR

57

Don’t make any quick gestures. .. . Anybody got a headache or anything?” “Sergeant, this is Hollister.” Lucky.

“They’re trying to say something. . . I can almost… no, just.. .” “All I can get is that they think we’re, think we’re…

well, fimny. They’re not afraid.”

“You mean the one in front of you isn’t-”

“No, the feeling comes from all of them., they’re all thinking the same thing. Don’t ask me how I know, I just do.”

“Maybe they thought it was funny, what they did to Ho.” “Maybe. I don’t feel they’re dangerous. Just curious about us.” “Sergeant, this is Bohrs.”

 

“The Taurans’ve been here at least a year-maybe they’ve learned how to communicate with these.. . overgrown teddy bears. They might be spying on us, might be sending back-”

“I don’t think they’d show themselves if that were the case,” Lucky said. “They can obviously hide from us pretty well when they-want to.”

“Anyhow,” Cortez said, “if they’re spies, the damage has been done. Don’t think it’d be smart to take any action against them. I know you’d all like to see ’em dead for what they did to Ho, so would I, but we’d better be carefliL”

I didn’t want to see them dead, but I’d just as soon not have seen them in any condition. I was walking backwards slowly, toward the middle of camp. The creature didn’t seem disposed to follow. Maybe he just knew we were surrounded. He was pulling up grass with his arm and munching.

“OK, all of you platoon leaders, wake everybody up, get a roll count. Let me know if anybody’s been hurt. Tell your people we’re moving out in one minute.”

I don’t know what Cortez had expected, but of course the creatures followed right along. They didn’t keep us sur

58

Joe Haldeman

rounded; just had twenty or thirty following us all the time. Not the same ones, either. Individuals would saunter away, and new ones would join the parade. It was pretty obvious that they weren’t going to tire out.

We were each allowed one stimtab. Without it, no one could have marched an hour. A second pill would have been welcome after the edge started to wear off, but the mathematics of the situation  forbade it; we were still thirty klicks from the enemy base, fifteen hours’ marching at the least. And though you could stay awake and energetic for a hundred hours on the tabs, aberrations of judgment and perception snowballed after the second one, until in extremis the most bizarre hallucinations would be taken at face value, and a person could fidget for hours deciding whether to have breakfast.

Under artificial stimulation, the company traveled with great energy for the first six hours, was slowing by the seventh, and ground to an exhausted halt after nine hours and nineteen kilometers. The teddy bears had never lost sight of us and, according to Lucky, had never stopped “broadcasting.” Cortez’s decision was that we would stop for seven hours, each platoon taking one hour of perimeter guard. I was never so glad to have been in the seventh platoon, as we stood guard the last shift and thus were able to get six hours of uninterrupted sleep.

In the few moments I lay awake after finally lying down, the thought came to me that the next time I closed my eyes could well be the last. And partly because of the drug hangover, mostly because of the past day’s horrors, I found that I really didn’t give a shit.

14

 

Our first contact with the Taurans came during my shift.

The teddy bears were still there when I woke up and replaced Doc Jones on guard. They’d gone back to their original formation, one in front of each guard position. The one who was waiting for me seemed a little larger than normal, but otherwise looked just like all the others. All the grass had been cropped where he was sitting, so he occasionally made forays to the left or right. But he always returned to sit right in front of me, you would say staring if he had had anything to stare with.

We had been facing each other for about fifteen minutes when Cortez’s voice rumbled:

“Awright everybody, wake up and get hid!”

I followed instinct and flopped to the ground and rolled into a tall stand of grass. “Enemy vessel overhead.” His voice was almost laconic.

Strictly speaking, it wasn’t really overhead, but rather passing somewhat east of us. It was moving slowly, maybe a hundred klicks per hour, and looked like a broomstick surrounded by a dirty soap bubble. The creature riding it was a little

more human-looking than the teddy bears, but still no prize. I cranked my image amplifier up to forty log two for a closer look.

He had two arms and two legs, but his waist was so small you could encompass it with both hands. Under the tiny waist was a large horseshoe-shaped pelvic structure nearly a meter wide, from which dangled two long skinny legs with no apparent knee joint. Above that waist his body swelled out again, to a chest no smaller than the huge pelvis. His arms looked surprisingly human, except that they were too long and undermuscied. There were too many fingers on his hands. Shoulderless, neckless. His head was a

59

60

Joe Haldeman

nightmarish growth that swelled like a goiter from his massive chest. Two eyes that looked like clusters of fish eggs, a bundle of tassles instead of a nose, and a rigidly open hole that might have been a mouth sitting low down where his adam’s apple should have been. Evidently the soap bubble contained an amenable environment, as he  was wearing absolutely  nothing except his ridged hide, that looked like skin submerged too long in hot water, then dyed a pale orange. “He” had no external genitalia, but nothing that might hint of mammary glands. So we opted for the male pronoun by default.

Obviously, he either didn’t see us or thought we were part of the herd of teddy bears. He never looked back at us, but just continued in the same direction we were headed, .05 rad east of north.

“Might as well go back to sleep now, if you can sleep after looking at that thing. We move out at 0435.” Forty minutes.

Because of the planet’s opaque cloud cover, there had been no way to tell, from space, what the enemy base looked like or how big it was. We only knew its position, the same way we knew the position the scoutships were supposed to land on. So it too could easily have been underwater, or underground.

But some of the drones were reconnaissance ships as well as decoys: and in their mock attacks on the base, one managed to get close enough to take a picture. Captain Stott beamed down a diagram of the place to Cortez-the only one with a visor in his suit-when we were five klicks from the base’s “radio” position. We stopped and he called all the platoon leaders in with the seventh platoon to confer. Two teddy bears loped in, too. We tried to ignore them.

“OK, the captain sent down some pictures of our objective. I’m going to draw a map; you platoon leaders copy.” They took pads and styli out of their leg pockets, while Cortez unrolled a large plastic mat. He gave it a shake to randomize any residual charge, and turned on his stylus.

“Now, we’re coming from this direction.” He put an arrow at the bottom of the sheet. “First thing we’ll hit is this row of huts, probably billets or bunkers, but who the

THE FOREVER WAR 61

hell knows. . . . Our initial objective is to destroy these buildings-the whole base is on a flat plain; there’s no way we could really sneak by them.”

“Potter here. Why can’t we jump over them?”

“Yeah, we could do that, and wind up completely surrounded, cut to ribbons. We take the buildings.

“After we do that. . . all I can say is that we’ll have to think on our feet. From the aerial reconnaissance, we can figure out the function of only a couple of buildings- and that stinks. We might wind up wasting a lot of time demolishing the equivalent of an enlisted-men’s bar, ignoring a huge logistic computer because it looks like. . . a garbage dump or something.”

“Mandella here,” I said. “Isn’t there a spaceport of some kind-seems to me we ought to. .

“I’ll get to that, damn it. There’s a ring of these huts all around the camp, so we’ve got to break through somewhere. This place’ll be closest, less chance of giving away our position before we attack.

“There’s nothing in the whole place that actually looks like a weapon. That doesn’t mean anything, though; you could hide a gigawatt laser in each of those huts.

“Now, about five hundred meters from the huts, in the middle of the base, we’ll come to this big flower-shaped structure.” Cortez drew a large symmetrical shape that looked like the outline of a flower with seven petals. “What the hell this is, your guess is as good as mine. There’s only one of them, though, so we don’t damage it any more than we have to. Which means.. . we blast it to splinters if I think it’s dangerous.

“Now, as far as your spaceport, Mandella, is concerned-there just isn’t one. Nothing.

“That cruiser the Hope caulked had probably been left in orbit, like ours has to be. If they have any equivalent of a scoutship, or drone missiles, they’re either not kept here or they’re well hidden.”

“Bohrs here. Then what did they attack with, while we were coming down from orbit?”

“I wish we knew, Private.

“Obviously, we don’t have any way of estimating their 62

Joe Haldeman

numbers, not directly. Recon pictures failed to show a single Tauran on the grounds of the base. Meaning nothing, because it is an alien environment. Indirectly, though… we count the number of broomsticks, those flying things.

“There are fifty-one huts, and each has at most one broomstick. Four don’t have any parked outside, but we located three at various other parts of the base. Maybe this indicates that there are fifty-one Taurans, one of whom was outside the base when the picture was taken.”

“Keating here. Or fifty-one officers.”

“That’s right-maybe fifty thousand infantrymen stacked in one of these buildings. No way to tell. Maybe ten Taurans, each with five broomsticks, to use according to his mood.

“We’ve got one thing in our favor, and that’s communications. They evidently use a frequency modulation of megahertz electromagnetic radiation.”

“Radio!”

“That’s right, whoever you are. Identify yourself when you speak. So it’s quite possible that they can’t detect our phased-neutrino communications. Also, just prior to the attack, the Hope is going to deliver a nice dirty fission bomb; detonate it in the upper atmosphere right over the base. That’ll restrict them to line-of-sight communications for some time; even those will be full of static.”

“Why don’t.. . Tate here. . . why don’t they just drop the bomb right in their laps. Save us a lot of-”

“That doesn’t even deserve an answer, Private. But the answer is, they might. And you better hope they don’t. If they caulk the base, it’ll be for the safety of the Hope. After we’ve attacked, and probably before we’re far enough away for it to make much difference.

“We keep that from happening by doing a good job. We have to reduce the base to where it can no longer function; at the same time, leave as much intact as possible. And take one prisoner.”

“Potter here. You mean, at least one prisoner.”

“I mean what I say. One only. Potter.. . you’re relieved of your platoon. Send Chavez up.”

THE FOREVER WAR 63

“All right, Sergeant.” The relief in her voice was unmistakable.

 

Cortez continued with his map and instructions. There was one other building whose function was pretty obvious; it had a large steerable dish antenna on top. We were to destroy it as soon as the grenadiers got in range.

The attack plan was very loose. Our signal to begin would be the flash of the fission bomb. At the same time, several drones would converge on the base, so we could see what their antispacecraft defenses were. We would try to reduce the effectiveness of those defenses without destroying them completely.

Immediately after the bomb and the drones, the grenadiers would vaporize a line of seven huts. Everybody would break through the hole into the base. . . and what would happen after that was anybody’s guess.

Ideally, we’d sweep from that end of the base to the other, destroying certain targets, caulking all but one Tauran. But that was unlikely to happen, as it depended on the Taurans’ offering very little resistance.

On the other hand, if the Taurans showed obvious superiority from the beginning, Cortez would give the order to scatter. Everybody had a different compass bearing for retreat-we’d blossom out in all directions, the survivors to rendezvous in a valley some forty klicks east of the base. Then we’d see about a return engagement, after the Hope softened the base up a bit.

“One last thing,” Cortez rasped. “Maybe some of you feel the way Potter evidently does, maybe some of your men feel that way.. . that we ought to go easy, not make this so much of a bloodbath. Mercy is a luxury, a weakness we can’t afford to indulge in at this stage of the war. All we know about the enemy is that they have killed seven hundred and ninety-eight humans. They haven’t shown any restraint in attacking our cruisers, and it’d be foolish to expect any this time, this first ground action.

“They are responsible for the lives of all of your comrades who died in training, and for Ho, and for all the others who are surely going to die today. I can’t understand any-

Joe Haldeman

 

body who wants to spare them. But that doesn’t make any difference. You have your orders and, what the hell, you might as well know, all of you have a post- hypnotic suggestion that I will trigger by a phrase, just before the battle. It will make your job easier.”

“Sergeant..

“Shut up. We’re short on time; get back to your platoons and brief them. We move out in five minutes.”

The platoon leaders returned to their men, leaving Cortez and ten of us-plus three teddy bears, milling around, getting in the way.

15

We took the last five klicks very carefully, sticking to the highest grass, running across occasional clearings. When we were 500 meters from where the base was supposed to be, Cortez took the third platoon forward to scout, while the rest of us laid low.

Cortez’s voice came over the general freak: “Looks pretty much like we expected. Advance in a file, crawling. When you get to the third platoon, follow your squad leader to the left or right.”

We did that and wound up with a string of eighty-three people in a line roughly perpendicular to the direction of attack. We were pretty well hidden, except for the dozen or so teddy bears that mooched along the line, munching grass.

There was no sign of life inside the base. All of the buildings were windowless and a uniform shiny white. The huts that were our first objective were large featureless half-buried eggs some sixty meters apart. Cortez assigned one to each grenadier.

We were broken into three fire teams: team A consisted of platoons two, four, and six; team B was one, three, and five; the command platoon was team C.

“Less than a minute now-filters down!-when I say ‘fire,’ grenadiers, take out your targets. God help you if you miss.”

There was a sound like a giant’s belch, and a stream of five or six iridescent bubbles floated up from the flower-shaped building. They rose with increasing speed until they were almost out of sight, then shot olf to the south, over our heads. The ground was suddenly bright, and for the first time in a long time, I saw my shadow, a long one pointed north. The bomb had gone off prematurely. I just had time to think that it didn’t make too much difference;

65

Joe Haldeman t~1)

it’d still make alphabet soup out of their communications- “Drones!” A ship came screaming in just about tree

level, and a bubble was in the air to meet it. When they contacted, the bubble popped and the drone exploded into a million tiny fragments. Another one came from the opposite side and suffered the same fate.

“FIRE!” Seven bright glares of 500-microton grenades and a sustained concussion that surely would have killed an unprotected man.

“Filters up.” Gray haze of smoke and dust. Clods of dirt falling with a sound like heavy raindrops.

“Listen up:

 

‘Scots, wha hae wi’ Wallace bled; Scots, wham Bruce has aften led, Welcome to your gory bed, Or to victory!’

 

I hardly heard him for trying to keep track of what was going on in my skull. I knew it was just post-hypnotic suggestion, even remembered the session in Missouri when they’d implanted it, but that didn’t make it any less compelling. My mind reeled under the strong pseudo-memories:

shaggy hulks that were Taurans (not at all what we now knew they looked like) boarding a colonists’ vessel, eating babies while mothers watched in screaming terror (the colonists never took babies; they wouldn’t stand the acceleration), then

raping the women to death with huge veined purple members (ridiculous that they would feel desire for humans), holding the men down while they plucked flesh from their living bodies and gobbled it (as if they could assimilate the alien protein).. . a hundred grisly details as sharply remembered as the events of a minute ago, ridiculously overdone and logically absurd. But while my conscioUs mind was rejecting the silliness, somewhere much deeper, down in that sleeping animal where we keep our real motives and morals, something was thirsting for alien hlood, secure in the Conviction that the noblest thing a man could do would be to die killing one of those horrible monsters.

Ikth FUIthVMt WAlt b7

I knew it was all purest soyashit, and I hated the men  who had taken  such obscene liberties with my mind, but I could even hear my teeth grinding, feel my cheeks frozen in a spastic grin, blood-Lust. . . A teddy bear walked in front of me, looking dazed. I started to raise my laser-finger, but somebody beat me to it and the creature’s head exploded in a cloud of gray splinters and blood.

Lucky groaned, half-whining, “Dirty. .. filthy fucken bastards.” Lasers flared and crisscrossed, and all of the teddy bears fell dead.

“Watch it, goddaminit,” Cortez screamed. “Aim those fuckin things-they aren’t toys!

“Team A, move out-into the craters to cover B.”

Somebody was laughing and sobbing. “What the fuck is wrong with you, Petrov?” Strange to hear Cortez cussing.

I twisted around and saw Petrov, behind and to my left, lying in a shallow hole, digging frantically with both hands, crying and gurgling.

“Fuck,” Cortez said. “Team B! Ten meters past the craters, get down in a line. Team C-into the craters with A.”

I scrambled up and covered the hundred meters in twelve amplified strides. The craters were practically large enough to hide a scoutship, some ten meters in diameter. I jumped to the opposite side of the hole and landed next to a fellow named Chin. He didn’t even look around when I landed, just kept scanning the base for signs of life.

“Team A-ten meters, past team B, down in line.” Just as he finished, the building in front of us burped, and a salvo of the bubbles fanned out toward our lines. Most people saw it coming and got down, but Chin was just getting up to make his rush and stepped right into one.

It grazed the top of his helmet and disappeared with a faint pop. He took one step backwards and toppled over the edge of the crater, trailing an arc of blood and brains. Lifeless, spreadeagled, he slid halfway to the bottom, shoveling dirt into the perfectly symmetrical hole where the bubble had chewed indiscriminately through plastic, hair, skin, bone, and brain.

“Everybody hold it. Platoon leaders, casualty report… check.. . check, check .. . check, check, check.. . check.

68

Joe Haldeman

We have three deaders. Wouldn’t be any if you’d have kept low. So everybody grab dirt when you hear that thing go off. Team A, complete the rush.”

They completed the maneuver without incident. “OK. Team C, rush to where B. . . hold it! Down!”

Everybody was already hugging the ground. The bubbles slid by in a smooth arc about two meters off the ground. They went serenely over our heads and, except for one that made toothpicks out of a tree, disappeared in the distance.

“B, rush past A ten meters. C, take over B’s place. You B grenadiers, see if you can reach the Flower.”

Two grenades tore up the ground thirty or forty meters from the structure. In a good imitation of panic, it started belching out a continuous stream of bubbles-still, none coming lower than two meters off the ground. We kept hunched down and continued to advance.

Suddenly, a seam appeared in the building and widened to the size of a large door. Taurans came swarming out.

“Grenadiers, hold your fire. B team, laser fire to the left and right-keep’m bunched up. A and C, rush down the center.”

One Tauran died trying to run through a laser beam. The others stayed where they were.

In a suit, it’s pretty awkward to run and keep your head down at the same time. You have to go from side to side, like a skater getting started; otherwise you’ll be airborne. At least one person, somebody in A team, bounced too high and suffered the same fate as Chin.

I was feeling pretty fenced-in and trapped, with a wall of laser fire on each side and a low ceiling that meant death to touch. But in spite of myself, I felt happy, euphoric, finally getting the chance to kill some of those villainous baby-eaters. Knowing it was soyashit.

They weren’t fighting back, except for the rather ineffective bubbles (obviously not designed as an anti-personnel weapon), and they didn’t retreat back into the building, either. They milled around, about a hundred of them, and watched us get closer. A couple of grenades would caulk them all, but I guess Cortez was thinking about the pris

oner.

“OK, when I say ‘go,’ we’re going to flank ’em. B team will hold fire.. . Second and fourth platoons to the right, sixth and seventh to the left. B team will move forward in line to box them in.

“Go!” We peeled off to the left As soon as the lasers stopped, the Taurans bolted, running in a group on a collision course with our flank.

“A team, down and fire! Don’t shoot until you’re sure of your aim-if you miss you might hit a friendly. ~And fer Chris’ sake save me one!”

It was a horrifying sight, that herd of monsters bearing down on us. They were running in great leaps-the bubbles avoiding them-and they all looked like the one we saw earlier, riding the broomstick; naked except for an almost transparent sphere around their whole bodies, that moved along with them. The right flank started firing, picking off individuals in the rear of the pack.

Suddenly a laser flared through the Taurans from the other side, somebody missing his mark. There was a horrible scream, and I looked down the line to see someone-I think it was Perry-writhing on the ground, right hand over the smoldering stump of his arm, seared off just below the elbow. Blood sprayed through his fingers, and the suit, its camouflage circuits scrambled, flickered black-white- jungle-desert-green-gray. I don’t know how long I stared- long enough for the medic

to run over and start giving aid-but when I looked up the Taurans were almost on top of me.

My first shot was wild and high, but it grazed the top of the leading Tauran’s protective bubble. The bubble disappeared and the monster stumbled and fell to the ground, jerking spasmodically. Foam gushed out of his mouth-hole, first white, then streaked red. With one last jerk he became rigid and twisted backwards, almost to the shape of a horseshoe. His long scream, a high-pitched whistle, stopped just as his comrades trampled over him. 1 hated myself for smiling.

It was slaughter, even though our flank was outnumbered five to one. They kept coming without faltering, even when they had to climb over the drift of bodies and parts of

‘U

joe tlaiAleman

bodies that piled up high, parallel to our flank~ The ground between us was slick red with Tauran blood-all God’s children got hemoglobin-and like the teddy bears, their guts looked pretty much like guts to my untrained eye. My helmet reverberated with hysterical laughter while we slashed them to gory chunks, and I almost didn’t hear Cortez:

“Hold your fire-I said HOLD iT, goddammit! Catch a couple of the bastards, they won’t hurt you.”

I stopped shooting and eventually so did everybody else. When the next Tauran jumped over the smoking pile of meat in front of me, I dove to try to tackle him around those spindly legs.

It was like hugging a big, slippery balloon. When I tried to drag him down, he popped out of my arms and kept running.

We managed to stop one of them by the simple expedient of piling half-a-dozen people on top of him. By that time the others had run through our line and were headed for the row of large cylindrical tanks that Cortez had said were probably for storage. A little door had opened in the base of each one.

“We’ve got our prisoner,” Cortez shouted. “Kill!”

They were fifty meters away and running hard, difficult targets. Lasers slashed around them, bobbing high and low. One fell, sliced in two, but the others, about ten of them, kept going and were almost to the doors when the grenadiers started firing.

They were still loaded with 500-mike bombs, but a near miss wasn’t enough-the concussion would just send them flying, unhurt in their bubbles.

“The buildings! Get the fucken buildings!” The grenadiers raised their aim and let fly, but the bombs only seemed to scorch the white outside of the structures until, by chance, one landed in a door. That split the building just as if it had a seam; the two halves popped away and a cloud of machinery flew into the air, accompanied by a huge pale flame that rolled up and disappeared in an instant. Then the others all concentrated on the doors, except for potshots at some of the Taurans, not so much to get them as to blow

THE FOREVER WAR 71

them away before they could get inside. They seemed awfully eager.

All this time, we were trying to get the Taurans with laser fire, while they weaved and bounced around trying to get into the structures. We moved in as close to them as we could without putting ourselves in danger from the grenade blasts, yet too far away for good aim.

Still, we were getting them one by one and managed to destroy four of the seven buildings. Then, when there were only two aliens left, a nearby grenade blast flung one of them to within a few meters of a door. He dove in and several grenadiers fired salvos after him, but they all fell short or detonated harmlessly on the side. Bombs were falling all around, making an awful racket, but the sound was suddenly drowned out by a great sigh, like a giant’s intake of breath, and where the building had been was a thick cylindrical cloud of smoke, solid-looking, dwindling away into the stratosphere, straight as if laid down by a ruler. The other Tauran had been right at the base of the cylinder I could see pieces of him flying. A second later, a shock wave hit us and I rolled helplessly, pinwheeling, to smash into the pile of Tauran bodies and roll beyond.

1 picked myself up and panicked for a second when I saw there was blood all over my suit-when I realized it was only alien blood, I relaxed but felt unclean.

‘4Catch the bastard! Catch him!” In the confusion, the Tauran had gotten free and was running for the grass. One platoon was chasing after him, losing ground, but then all of B team ran over and cut him off. I jogged over to join in the fun.

There were four people on top of him, and a ring around them of about fifty people, watching the struggle.

“Spread out, dammit! There might be a thousand more of them waiting to get us in one place.” We dispersed, grumbling. By unspoken agreement we were all sure that there were no more live Taurans on the face of the planet.

Cortez was walking toward the prisoner while I backed away. Suddenly the four men collapsed in a pile on top of the creature. . . Even from my distance I could see the foam spouting from his mouth-hole. His bubble had popped. Suicide.

72

Joe Haldeman

 

“Damn!” Co,tez was right there. “Get off that bastard.” The four men got off and Cortez used his laser In slice the monster into a dozen quivering chunks. Heart- warming sight.

“That’s all right, though, we’ll find another one-everybody! Back in the arrowhead formation. Combat assault, on the Flower.”

Well, we assaulted the Flower, which had evidently run out of ammunition (it was still belching, but no bubbles), and it was empty. We scurried up ramps and through corridors, fingers at the ready, like kids playing soldier. There was nobody home.

The same lack of response at the antenna installation, the

“Salami,” and twenty other major buildings, as well as the forty-four perimeter huts still intact. So we had “captured” dozens of buildings, mostly of incomprehensible purpose, but failed in our main mission, capturing a Tauran for the xenologists to experiment with. Oh well, they could have all the bits and pieces they’d ever want. That was something.

After we’d combed every last square centimeter of the base, a scoutship came in with the real exploration ciew, the scientists. Cortez said, “All right, snap out of it,” and the hypnotic compulsion fell away.

At first it was pretty grim. Alot of the people, like Lucky and Marygay, almost went crazy with the memories of bloody murder multiplied a hundred times.  Cortez ordered everybody to take a sed-tab, two for the ones most upset. I took two without being specifically ordered to do so.

Because it was murder, unadorned butchery-once we had the anti-spacecraft weapon doped out, we hadn’t been in any danger. The Taurans hadn’t seemed to

have any conception of person-to-person fighting. We had just herded them up and slaughtered them, the first encounter between mankind and another intelligent species. Maybe it was the second encounter, counting the teddy bears. What might have happened if we had sat down and tried to communicate? But they got the same treatment.

I spent a long time after that telling myself over and over THE FOREVER WAR

73

that it hadn’t been me who so gleefully carved up those frightened, stampeding creatures. Back in the twentieth centuly, they had established to everybody’s satisfaction that “I was just following orders” was an inadequate excuse for inhuman conduct. . . but what can you do when the orders come from deep down in that puppet master of the unconscious?

Worst of all was the feeling that perhaps my actions weren’t all that inhuman. Ancestors only a few generations back would have done the same thing, even to their fellow men, without any hypnotic conditioning.

I was disgusted with the human race, disgusted with the army and honified at the prospect of living with myself for another century or so. . . . Well, there was always brain-wipe.

A ship with a lone Tauran survivor had escaped and had gotten away clean, the bulk of the planet shielding it from Earth’s Hope  while it dropped into Aleph’s collapsar field.

Escaped home, I guessed, wherever that was, to report what twenty men with hand-weapons could do to a hundred fleeing on foot, unarmed.

I suspected that the next time humans met Taurans in ground combat, we would be more evenly matched. And I was right.

SERG EANT MANDELLA 2007-2024 A.D.

1

 

I was scared enough.

Sub-major Stott was pacing back and forth behind the small podium in the assembly room/chop hall/gymnasium of the Anniversary. We had just made our final collapsar jump, from Tet-38 to Yod-4. We were decelerating at 11/2 gravities and our velocity relative to that collapsar was a respectable .9(k. We were being chased.

“I wish you people would relax for a while and just trust the ship’s computer. The Tauran vessel at any rate will not be within strike range for another two weeks. Mandella!”

He was always very careful to call me “Sergeant” Mandella in front of the company. But everybody at this particular briefing was either a sergeant or a corporal: squad leaders. “Yes, sit”

“You’re responsible for the psychological as well as the physical well-being of the men and women in your squad. Assuming that you are aware that there is a morale problem aboard this vessel, what have you done about it?”

“AS far as my squad is concerned, sir?” “Of course.”

“We talk it out, sir.”

“And have you arrived at any cogent conclusion?”

“Meaning no disrespect, sir, I think the major problem is obvious. My people have been cooped up in this ship for fourteen-”

“Ridiculous! Every one of us has been adequately conditioned against the pressures of living in close quarters and the enlisted people have the privilege of confraternity.” That was a delicate way of putting it. “Officers must remain celibate, and yet we have no morale problem.”

if he thought his officers were celibate, he should sit down and have a long talk with Lieutenant Harmony. Maybe he just meant line officers, though. That would be

77

78

Joe Haldeman

just him and Cortez. Probably 50 percent right. Cortez was awfully friendly with Corporal Kamehameha.

“Sir, perhaps it was the detoxification back at Stargate; maybe-”

“No. The therapists only worked to erase the hate conditiomng-everybody knows how I feel about that-and they may be misguided but they are skilled.

“Corporal Potter.” He always called her by her rank to remind her why she hadn’t been promoted as high as the rest of us. Too soft. “Have you ‘talked it out’ with your people, too?”

“We’ve discussed it, sir.”

The sub-major could “glare mildly” at people. He glared mildly at Marygay until she elaborated.

“I don’t believe it’s the fault of the conditioning. My

people are impatient, just tired of doing the same thing day after day.” “They’re anxious for combat, then?” No sarcasm in his voice.

“They want to get off the ship, sir.”

“They will get off the ship,” he said, allowing himself a microscopic smile. “And then they’ll probably be just as impatient to get back on.”

It went back and forth like that for a long while. Nobody wanted to come right out and say that their squad was scared: scared of the Tauran cruiser closing on us, scared of the landing on the portal planet. Sub-major Stott had a bad record of dealing with people who admitted fear.

I fingered the fresh T/Othey had given us. It looked like tills: THE FOREVER WAR

I knew most of the people from the raid on Aleph, the first face-to-face contact between humans and Taurans. The only new people in my platoon were Luthuli and Heyrovsky. In the company as a whole (excuse me, the “strike force”), we had twenty replacements for the nineteen people we lost from the Aleph raid: one amputation, four dead-era, fourteen psychotics.

I couldn’t get over the “20 Mar 2007” at the bottom of the 1/0. I’d been in the anny ten years, though it felt like less than two. Time dilation, of course; even with the collapsar jumps, traveling from star to star eats up the calendar.

After this raid, I would probably be eligible for retirement, with full pay. If I lived through the raid, and if they didn’t change the rules on us. Me a twenty-year man, and only twenty-five years old.

Stott was summing up when there was a knock on the door, a single loud rap. “Enter,” he said.

An ensign I knew vaguely walked in casually and handed Stott a slip of paper, without saying a word. He stood there while Stoit read it, slumping with just the

right  degree  of  insolence.  Technically,  Stou  was  out  of  his  chain  of  command; everybody in the navy disliked him anyhow.

Stott handed the paper back to the ensign and looked through him.

“You will alert your squads that preliminary evasive maneuvers will commence at 2010, fifty-eight minutes from now.” He hadn’t looked at his watch. “All personnel will be in acceleration shells by 2000. Tench . . . hut!”

We rose and, without enthusiasm, chorused, “Fuck you, sir.” Idiotic custom. Stott strode out of the room and the ensign followed, smirking.

I turned my ring to my assistant squad leader’s position and talked into it: “Tate, this is Mandella.” Everyone else in the mom was doing the same.

A tinny voice came out of the ring. “Tate here. What’s up?”

“Get ahold of the men and tell them we have to be in the shells by 2000. Evasive maneuvers.”

THE FOREVER WAR 81

“Crap. They told us it would be days.”

“I guess something new came up. Or maybe the Commodore has a bright idea.” “The Commodore can stuff it. You up in the lounge?”

 

“Bring me back a cup when you come, okay? Little sugar?” “Roger. Be down in about half an hour.”

“Thanks. I’ll get on it.”

There was a general movement toward the coffee machine. I got in line behind Corporal Potter.

“What do you think, Marygay?”

“Maybe the Commodore just wants us to try out the shells once more.” “Before the real thing.”

“Maybe.” She picked up a cup and blew into it. She looked worried. “Or maybe the Taurans had a ship way out, waiting for us. I’ve wondered why they don’t do it.

We do, at Stargate.”

“Stargate’s a different thing. It takes seven cruisers, moving all the time, to cover all the possible exit angles. We can’t afford to do it for more than one collapsar, and neither could they.”

She didn’t say anything while she filled her cup. “Maybe we’ve stumbled on their version of Stargate. Or maybe they have more ships than we do by now.”

I filled and sugared two cups, sealed one. “No way to tell.” We walked back to a table, careful with the cups in the high gravity.

“Maybe Singhe knows something,” she said. “Maybe he does. But I’d have to get him through Rogers and Cortez. Cortez would jump down my throat if I tried to bother him now.”

“Oh, I can get him directly. We. . .” She dimpled a little bit. “We’ve been friends.”

I sipped some scalding coffee and tried to sound nonchalant. “So that’s where you’ve been disappearing to.”

“You disapprove?” she said, looking innocent. “Well. . . damn it, no, of course not. But-but he’s an officer! A navy officer!”

82        Joe Haldeman

 

“He’s attached to us and that makes him part army.” She twisted her ring and said, “Directory.” To me: “What about you and Little Miss Harmony?”

“That’s not the same thing.” She was whispering a directory code into the ring.

“Yes, it is. You just wanted to do it with an officer. Pervert.” The ring bleated twice. Busy. “How was she?”

“Adequate.” I was recovering.

“Besides, Ensign Singhe is a perfect gentleman. And not the least bit jealous.” “Neither am I,” I said. “If he ever hurts you, tell me and I’ll break his ass.”

She looked at me across her cup. “If Lieutenant Harmony ever hurts you, tell me and I’ll break her ass.”

“It’s a deal.” We shook on it solemnly. 2

The acceleration shells were something new, installed while we rested and resupplied at Stargate. They enabled us to use the ship at closer to its theoretical efficiency, the tachyon drive boosting it to as much as 25 gravities.

Tate was  waiting for me in  the shell area. The rest of the squad was milling around, talking. I gave him his coffee.

“Thanks. Find out anything?”

“Afraid not. Except the swabbies don’t seem to be scared, and it’s their show. Probably just another practice run.”

He slurped some coffee. “What the hell. It’s all the same to us, anyhow. Just sit there and get squeezed half to death. God, I hate those things.”

“Maybe they’ll eventually make us obsolete, and we can go home.”

“Sure thing.” The medic came by and gave me my shot. I waited until 1950 and hollered to the squad, “Let’s go. Strip down and zip up.”

The shell is like a flexible spacesuit; at least the fittings on the inside are pretty similar. But instead of a life support package, there’s a hose going into the top of the helmet and two coming out of the heels, as well as two relief tubes per suit. They’re crammed in shoulder-to-shoulder on light acceleration couches; getting to your shell is like picking your way through a giant plate of olive drab spaghetti.

When the lights in my helmet showed that everybody was suited up, I pushed the button that flooded the room. No way to see, of course, but I could imagine the pale blue solution-ethylene glycol and something else-foaming up around and over us. The suit material, cool and dry, collapsed in to touch my skin at every point. I knew that my internal body pressure was increasing rapidly to match the increasing fluid pressure outside. That’s what the shot was

83

for; keep your cells from getting squished between the devil and the deep blue sea. You could still feel it, though. By the time my meter said “2” (external pressure equivalent to a column of water two nautical miles deep), I felt that I was at the same time being crushed and bloated. By 2005 it was at 2.7 and holding steady. When the maneuvers began at 2010, you couldn’t feel the difference. I thought I saw the needle fluctuate a tiny bit, though.

The major drawback to the system is that, of course, anybody caught outside of his shell when the Anniversary hit 25 G’S would be just so much strawberry jam. So the guiding and the fighting have to be done by the ship’s tactical computer-which does most of it anyway, but it’s nice to have a human overseer.

Another small problem is that if the ship gets damaged and the pressure drops, you’ll explode like a dropped melon. If it’s the internal pressure, you get crushed to death in a microsecond.

And it takes ten minutes, more or less, to get depressurized and another two or three to get untangled and dressed. So it’s not exactly something you can hop out of and come up fighting.

The accelerating was over at 2038. A green light went on and I chinned the button to depressurize.

Marygay and I were getting dressed outside.

“How’d that happen?” I pointed to an angry purple welt that ran from the bottom of her right breast to her hipbone.

“That’s the second time,” she said, mad. “The first one was on my back-I think that shell doesn’t fit right, gets creases.”

“Maybe you’ve lost weight.”

“Wise guy.” Our caloric intake had been rigorously monitored ever since we left Stargate the first time. You can’t use a fighting suit unless it fits you like a second skin.

A wall speaker drowned out the rest of her comment. “Attention all personnel. Attention. All army personnel echelon six and above and all navy personnel echelon four and above will report to the briefing room at 2130.”

It repeated the message twice. I went off to lie down for a few minutes while Marygay showed her bruise to the medic and the armorer. I didn’t feel a bit jealous.

 

The Commodore began the briefing. “There’s not much to tell, and what there is is not good news.

“Six days ago, the Tauran vessel that is pursuing us released a drone missile. Its initial acceleration was on the order of 80 gravities.

“After blasting for approximately a day, its acceleration suddenly jumped to 148 gravities.” Collective gasp.

“Yesterday, it jumped to 203 gravities. I shouldn’t need to remind anyone here that this is twice the accelerative capability of the enemy’s drones in our last encounter.

“We launched a salvo of drones, four of them, intersecting what the computer predicted to be the four most probable future trajectories of the enemy drone. One of them paid off, while we were doing evasive maneuvers. We contacted and destroyed the Tauran weapon about ten million kilometers from here.”

That was practically next door. “The only encouraging thing we learned from the encounter was from spectral analysis of the blast. It was no more powerful an explosion than  ones  we  have observed  in  the  past, so  at least their progress in propulsion hasn’t been matched by progress in explosives.

“This is the first manifestation of a very important effect that has heretofore been of interest only to theorists. Tell me, soldier.” He pointed at Negulesco. “How long has it been since we first fought the Taurans, at Aleph?”

“That depends on your frame of reference, Commodore,” she answered dutifully. “To me, it’s been about eight months.”

“Exactly. You’ve lost about nine years, though, to time dilation, while we maneuvered between collapsar jumps. In an engineering sense, as we haven’t done any important research and development aboard ship.. . that enemy vessel comes from our future!” He paused to let that sink in.

“As the war progresses, this can only become more and more pronounced. The Taurans don’t have any cure for relativity, of course, so it will be to our benefit as often as to theirs.

“For the present, though, it is we who are operating with a handicap. As the Tauran pursuit vessel draws closer, this handicap will become more severe. They can simply outshoot us.

“We’re going to have to do some fancy dodging. When we get within five hundred million kilometers of the enemy ship, everybody gets in his shell and we just have to trust the logistic computer. It will put us through a rapid series of random changes in direction and velocity.

“I’ll be blunt. As long as they have one more drone than we, they can finish us off. They haven’t launched any more since that first one. Perhaps they are holding their fire… or maybe they only had one. In that case, it’s we who have them.

“At any rate, all personnel will be required to be in their shells with no more than ten minutes’ notice. When we get within a thousand million kilometers of the enemy, you are to stand by your shells. By the time we are within five hundred million kilometers, you will be in them, and all shell compounds flooded and pressurized. We cannot wait for anyone.

“That’s all I have to say. Sub-major?”

“I’ll speak to my people later, Commodore. Thank you.”

“Dismissed.” And none of this “fuck you, sir” nonsense. The navy thought that was just a little beneath their dignity. We stood at attention-all except Stott-until he had left the room. Then some other swabbie said “dismissed” again, and we left.

My squad had clean-up detail, so I told everybody who was to do what, put Tate in charge, and left. Went up to the NCO room for some company and maybe some information.

There wasn’t much happening but idle speculation, so I took Rogers and went off to bed. Marygay had disappeared again, hopefully trying to wheedle something out of Singhe.

3

We had our promised get-together with the sub-major the next morning, when he more or less repeated what the commodore had said, in infantry terms and in his staccato monotone.  He emphasized the  fact  that  all we  knew  about  the  Tauran ground forces was that if their naval capability was improved, it was likely they would be able to handle us better than last time.

But that brings up an interesting point. Eight months or nine years before, we’d had a tremendous advantage: they had seemed not quite to understand what was going on. As belligerent as they had been in space, we’d expected them to be real Huns on the ground. Instead, they practically lined themselves up for slaughter. One escaped and presumably described the idea of old-fashioned in-fighting to his fellows.

But that, of course, didn’t mean that the word had necessarily gotten to this particular bunch, the Taurans guarding Yod-4. The only way we know of to communicate faster than the speed of light is to physically carry a message through successive collapsar jumps. And there was no way of telling how many jumps there were between Yod4 and the Tauran home base-so these might be just as passive as the last bunch, or might have been practicing infantry tactics for most of a decade. We would find out when we got there.

The armorer and I were helping my squad pull maintenance on their fighting suits when we passed the thousand million kilometer mark and had to go up to the shells.

We had about five hours to kill before we had to get into our cocoons. I played a game of chess with Rabi and lost. Then Rogers led the platoon in some vigorous calisthenics, probably for no other reason than to get their minds off the prospect of having to lie half-crushed in the shells for at least four hours. The longest we’d gone before was half that.

Ten minutes before the five hundred million kilometer mark, we squad leaders took over and supervised buttoning everybody up. In eight minutes we were zipped and flooded and at the mercy of-or safe in the arms of-the logistic computer.

While I was lying there being squeezed, a silly thought took hold of my brain and went round and round like a charge in a superconductor: according to military formalism, the conduct of war divides neatly into two categories, tactics and logistics. Logistics has to do with moving troops and feeding them and just about everything except the actual fighting, which is tactics. And now we’re fighting, but we don’t have a tactical computer to guide us through attack and defense, just a huge, super-efficient pacifistic cybernetic grocery clerk of a logistic, mark that word, logistic computer.

The other side of my brain, perhaps not quite as pinched, would argue that it doesn’t matter what name you give to a computer, it’s a pile of memory crystals, logic banks, nuts and bolts. . . If you  program it to be Ghengis Khan, it is a tactical computer, even if its usual function is to monitor the stock market or control sewage conversion.

But the other voice was obdurate and said by that kind of reasoning, a man is only a hank of hair and a piece of bone and some stringy meat; and no matter what kind of a man he is, if you teach him well, you can take a Zen monk and turn him into a slavermg bloodthirsty warrior.

Then what the hell are you, we, am I, answered the other side. A peace-loving, vacuum-welding specialist cum physics teacher snatched up by the Elite Conscription Act and reprogrammed to be a killing machine. You, I have killed and liked it.

But that was hypnotism, motivational conditioning, I argued back at myself. They don’t do that anymore.

And the only reason, I said, they don’t do it is that they think you’ll kill better without it. That’s logic.

Speaking of logic, the original question was, why do they THE FOREVER WAR                                       89

 

send a logistic computer to do a man’s job? Or something like that. . . and we were off again.

The light blinked green and I chinned the switch automatically. The pressure was down to 1.3 before I realized that it meant we were alive, we had won the first skirmish.

I was only partly right.

I was belting on my tunic when my ring tingled and I held it up to listen. It was Rogers.

“Mandella, go check squad bay 3. Something went wrong; Dalton had to depressurize it from Control.”

Bay 3-that was Marygay’s squad! I rushed down the corridor in bare feet and got there just as they opened the door from inside the pressure chamber and began straggling out.

The first out was Bergman. I grabbed his ann. “What the hell is going on, Bergman?”

“Huh?” He peered at me, still dazed, as everyone is when they come out of the chamber. “Oh, s’you. Mandella. I dunno. Whad’ya mean?”

I squinted in through the door, still holding on to him. “You were late, man, you depressurized late. What happened?”

He shook his head, trying to clear it. “Late? Whad’ late. Uh, how late?”

1 looked at my watch for the first time. “Not too-” Jesus Christ. “Uh, we zipped in at 0520, didn’t we?”

“Yeah, I think that’s it.”

Still no Marygay among the dim figures picking their way through the ranked couches and jumbled tubing. “Urn, you were only a couple of minutes late. . . but we were only supposed to be under for four hours, maybe less. It’s

1050.”

“Um.” He shook his head again. I let go of him and stood back to let Stiller and Demy through the door.

“Everybody’s late, then,” Bergman said. “So we aren’t in any trouble.” “Uh-” Non sequiturs. “Right, right-Hey, Stiller!

You seen-”

From inside: “Medic! MEDIC!”

Somebody who wasn’t Marygay was coining out. I pushed her roughly out of my way and dove through the door, landed on somebody else and clambered over to where Struve, Marygay’s assistant, was standing over a pod and talking very loud and fast into his ring.

“-and blood God yes we need-”

It was Marygay still lying in her suit she was “-got the word from Dalton-”

covered every square inch of her with a uniform bright sheen of blood “-when she didn’t come out-”

it started as an angry welt up by her collarbone and was just a welt as it traveled between her breasts until it passed the sternum’s support

“-I came over and popped the-”

and opened up into a cut that got deeper as it ran down over her belly and where it stopped

“-yeah, she’s still-”

a few centimeters above the pubis a membraned loop of gut was protruding… “-OK, left hip. Mandella-”

She was still alive, her heart palpitating, but her blood-streaked head lolled limply, eyes rolled back to white slits, bubbles of red froth appearing and popping at the corner of her mouth each time she exhaled shallowly.

“-tattooed on her left hip. Mandella! Snap out of it! Reach under her and find out what her blood-”

“TYPE 0 RH NEGATIVE GOD damn. . . it. Sony- Oh negative.” Hadn’t I seen that tattoo ten thousand times?

Struve passed this information on and I suddenly remembered the first-aid kit on my belt, snapped it off and fumbled through it.

Stop the bleeding-protect the wound-treat for shock, that’s what the book said. Forgot one, forgot one. . . clear air passages.

She was breathing, if that’s what they meant. How do you stop the bleeding or protect the wound with one measly pressure bandage when the wound is nearly a meter long? Treat for shock, that I could do. I fished out the green ampoule, laid it against her arm and pushed the button.

Then I laid the sterile side of the bandage gently on top of the exposed intestine and passed the elastic strip under the small of her back, adjusted it for nearly zero tension and fastened it.

“Anything else you can do?” Struve asked.

I stood back and felt helpless. “I don’t know. Can you think of anything?”

“I’m no more of a medic than you are.” Looking up at the door, he kneaded a fist, biceps straining. “Where the hell are they? You have morph-plex in that kit?”

“Yeah, but somebody told me not to use it for internal-” “William?”

Her eyes were open and she was trying to lift her head. I rushed over and held her. “It’ll be all right, Marygay. The medic’s coming.”

“What. . . all right? I’m thirsty. Water.”

“No, honey, you can’t have any water. Not for a while, anyhow.” Not if she was headed for surgery.

“Why is all the blood?” she said in a small voice. Her head rolled back. “Been a bad girl.”

“It must have been the suit,” I said rapidly. “Remember earlier, the creases?”

She shook her head. “Suit?” She turned suddenly paler and retched weakly. “Water. . . William, please.”

Authoritative voice behind me: “Get a sponge or a cloth soaked in water.” I looked around and saw Doe Wilson with two stretcher bearers.

“First half-liter femoral,” he said to no one in particular as he carefully peeked under the pressure bandage. “Follow that relief tube down a couple of meters and pinch it off. Find out if she’s passed any blood.”

One of the medics ran a ten-centimeter needle into Mary-gay’s thigh and started giving her whole blood from a plastic bag.

“Sorry I’m late,” Doe Wilson said tiredly. “Business is booming. What’d you say about the suit?”

“She had two minor injuries before. Suit doesn’t fit quite right, creases up under pressure.”

He nodded absently, checking her blood pressure. “You, anybody, give-” Somebody handed him a paper towel

dripping water. “Uh, give her any medication?” “One ampoule of No-shock.”

He wadded the paper towel up loosely and put it in Marygay’s hand. “What’s her name?” I told him.

“Marygay, we can’t give you a drink of water but you can suck on this. Now I’m going to shine a bright light in your eye.” While he was looking through her pupil with a metal tube, he said, “Temperature?” and one of the medics read a number from a digital readout box and withdrew a probe. “Passed blood?”

“Yes. Some.”

He put his hand lightly on the pressure bandage. “Mary-gay, can you roll over a little on your right side?”

“Yes,” she said slowly, and put her elbow down for leverage. “No,” she said and started crying.

“Now, now,” he said absently and pushed up on her hip just enough to be able to see her back. “Only the one wound,” he muttered. “Hell of a lot of blood.”

He pressed the side of his ring twice and shook it by his ear. “Anybody up in the shop?”

“Harrison, unless he’s on a call.”

A woman walked up, and at first I didn’t recognize her, pale and disheveled, bloodstained tunic. It was Estelle Harmony.

Doe Wilson looked up. “Any new customers, Doctor Harmony?”

“No,” she said dully. “The maintenance man was a double traumatic amputation. Only lived a few minutes. We’re keeping him running for transplants.”

“All those others?”

“Explosive decompression.” She sniffed. “Anything I can do here?”

“Yeah., just a minute.” He tried his ring again. “God damn it. You don’t know where Harrison is?”

“No.. . well, maybe, he might be in Surgery B if there was trouble with the cadaver maintenance. Think I set it up all right, though.”

“Yeah, well, hell you know how..

“Mark!” said the medic with the blood bag.

“One more hilf-liter femoral,” Doe Wilson said. “Estelle,  you  mind  taking  over  for  one  of  the  medics  here,  prepare  this  gal  for surgery?”

“No, keep me busy.”

“Good-Hopkins, go up to the shop and bring down a roller and a liter, uh, two liters isotonic fluorocarb with the primary spectrum. If they’re Merck they’ll say ‘abdominal spectrum.'” He found a part of his sleeve with no blood on it and wiped his forehead. “If you find Harrison, send him over to surgery A and have him set up the anesthetic sequence for abdominal.”

“And bring her up to A?”

“Right. If you can’t find Harrison, get somebody-” he stabbed a finger in my direction, “-this guy, to roll the patient up to A; you run ahead and start the sequence.”

He picked up his bag and looked through it. “We could start the sequence here,” he muttered. “But hell, not with paramethadone-Marygay? How do you feel?”

She was still crying. “I’m. . . hurt.”

“I know,” he said gently. He thought for a second and said to Estelle, “No way to tell really how much blood she lost. She may have been passing it under pressure.

Also there’s some pooling in the abdominal cavity. Since she’s still alive I don’t think she could’ve bled under pressure for very long. Hope no brain damage yet.”

He touched the digital readout attached to Marygay’s arm. “Monitor the blood pressure, and if you think it’s indicated, give her five cc’s vasoconstrictor. I’ve gotta go scrub down.”

He closed his bag. “You have any vasoconstrictor besides the pneumatic ampoule?”

Estelle checked her own bag. “No, just the emergency pneumatic.. . uh. . . yes, I’ve got controlled dosage on the ‘dilator, though.”

“OK, if you have to use the ‘constrictor and her pressure goes up too fast-” “I’ll give her vasodilator two cc’s at a time.”

“Check. Hell of a way to run things, but. . . well. If you’re not too tired, I’d like you to stand by me upstairs.”

“Sure.” Doe Wilson nodded and left.

Estelle began sponging Marygay’s belly with isopropyl alcohol. It smelled cold and clean. “Somebody gave her No-shock?” “Yes,” I said, “about ten minutes ago.”

“Ah. That’s why the Doe was worried-no, you did the right thing. But No-shock’s got some vasoconstrictor. Five cc’s more might run up an overdose.” She continued silently scrubbing, her eyes coming up every few seconds to check the blood pressure monitor.

“William?” It was the first time she’d shown any sign of knowing me. “This worn-, uh, Marygay, she’s your lover? Your regular lover?”

“That’s right.”

“She’s very pretty.” A remarkable observation,  her body torn and caked with crusting blood, her face smeared where I had tried to wipe away the tears. I suppose a doctor or a woman or a lover can look beneath that and see beauty.

“Yes, she is.” She had stopped crying and had her eyes squeezed shut, sucking the last bit of moisture from the paper wad.

“Can she have some more water?” “OK, same as before. Not too much.”

I went out to the locker alcove and into the head for a paper towel. Now that the fumes from the pressurizing fluid had cleared, I could smell the air. It smelled wrong. Light machine oil and burnt metal, like the smell of a metalworking shop. I wondered whether they had overloaded the airco. That had happened once before, after the first time we’d used the acceleration chambers.

Marygay took the water without opening her eyes.

“Do you plan to stay together when you get back to Earth?” “Probably,” I said. “If we get back to Earth. Still one more battle.”

“There won’t be any more battles,” she said flatly. “You mean you haven’t heard?” “What?”

“Don’t you know the ship was hit?”  “Hit!” Then how could any of us be alive?

“That’s right.” She went back to her scrubbing. “Four squad bays. Also the armor bay. There isn’t a fighting suit left on the ship.. . and we can’t fight in our underwear.”

“What-squad bays, what happened to the people?” “No survivors.”

Thirty people. “Who was it?”

“All of the third platoon. First squad of the second platoon.” Al-Sadat, Busia, Maxwell, Negulesco. “My God.”

“Thirty deaders, and they don’t have the slightest notion of what caused it. Don’t know but that it may happen again any minute.”

“It wasn’t a drone?”

“No, we got all of their drones. Got the enemy vessel, too. Nothing showed up on any of the sensors, just blam! and a third of We ship was torn to hell. We were lucky it wasn’t the drive or the life support system.” I was hardly hearing her. Penworth, LaBatt, Smithers. Christine and Frida. All dead. I was numb.

She took a blade-type razor and a tube of gel out of her bag. “Be a gentleman and look the other way,” she said. “Oh, here.” She soaked a square of gauze in alcohol and handed it to me. “Be useful. Do her face.”

I started and, without opening her eyes, Maiygay said, “That feels good. What are you doing?”

“Being a gentleman. And useful, too-”

“All personnel, attention, all personnel.” There wasn’t a squawk-box in the pressure chamber, but I could hear it clearly through the door to the locker alcove. “All personnel echelon 6 and above, unless directly involved in medical or maintenance emergencies, report immediately to the assembly area.”

“I’ve got to go, Marygay.”

She didn’t say anything. I didn’t know whether she bad heard the announcement. “Estelle,” I addressed her directly, gentleman be damned. “Will you-”

“Yes. I’ll let you know as soon as we can tell.” ”Well.”

“It’s going to be all right.” But her expression was grim THE FOREVER WAR                                       97

 

and worried. “Now get going,” she said, softly.

By the time I picked my way out into the corridor, the ‘box was repeating the message for the fourth time. There was a new smell in the air, that I didn’t want to identify.

5

Halfway to the assembly area I realized what a mess I was, and ducked into the head by the NCO lounge. Corporal Kamehameha was hurnedly brushing her hair.

“William! What happened to you?”

“Nothing.” I turned on a tap and looked at myself in the mirror. Dried blood smeared all over my face and tunic. “It was Marygay, Corporal Potter, her suit.. . well, evidently it got a crease, ub.. .”

“Dead?”

“No, just badly, uh, she’s going into surgery-” “Don’t use hot water. You’ll just set the stain.”

“Oh. Right.” I used the hot to wash my face and hand, dabbed at the tunic with cold. “Your squad’s just two bays down from Al’s isn’t it?”

“Yes.”

“Did you see what happened?”

“No. Yes. Not when it happened.” For the first time I noticed that she was crying, big tears rolling down her cheeks and off her chin. Her voice was even, controlled. She pulled at her hair savagely. “It’s a mess.”

I stepped over and put my hand on her shoulder. “DON’T touch me!” she flared and knocked my hand off with the brush. “Sorry. Let’s go.”

At the door to the head she touched me lightly on the arm. “William. . .” She looked at me defiantly. “I’m just glad it wasn’t me. You understand? That’s the only way you can look at it.”

I understood, but I didn’t know that I believed her.

“I can sum it up very briefly,” the commodore said in a tight voice, “if only because we know so little.

“Some ten seconds after we destroyed the enemy vessel, two objects, very small objects, struck the Anniversary amidships. By inference, since they were not detected and we know the limits of our detection apparatus, we know that they were moving in excess of nine-tenths of the speed of light. That is to say, more precisely, their velocity vector normal to the axis of the Anniversary was greater than nine-tenths of the speed of light. They slipped in behind the repeller fields.”

When the Anniversary is moving at relativistic speeds, it is designed to generate two powerful electromagnetic fields, one centered about five thousand kilometers from the ship and the other about ten thousand klicks away, both in line with the direction of motion of the ship. These fields are maintained by a “ramjet” effect, energy picked up from interstellar gas as we mosey along.

Anything big enough to worry about hitting (that is, anything big enough to see with a strong magnifying glass) goes through the first field and comes out with a very strong negative charge all over its surface. As it enters the second field, it’s repelled away from the path of the ship. If the object is too big to be pushed around this way, we can sense it at a greater distance and maneuver out of its way.

“I shouldn’t have to emphasize ~ow formidable a weapon this is. When the Anniversary was struck, our rate of speed with respect to the enemy was such that we traveled our own length every ten-thousandth of a second. Further, we were jerking around erratically with a constantly changing and purely random lateral acceleration. Thus the objects that struck us must have been guided, not aimed.

And the guidance system was self-contained, since there were no Taurans alive at the time they struck us. All of this in a package no larger than a small pebble.

“Most of you are too young to remember the term future shock. Back in the seventies, some people felt that technological progress was so rapid that people, normal people, couldn’t cope with it; that they wouldn’t have time to get used to the present before the future was upon them. A man named Toffier coined the term future shock to describe this situation.” The commodore could get pretty academic.

“We’re caught up in a physical situation that resembles this scholarly concept. The result has been disaster. Tragedy. And, as we discussed in our last meeting, there is no way to counter it. Relativity traps us in the enemy’s past; relativity brings them from our future. We can only hope that next time, the situation will be reversed. And all we can do to help

bring that about is try to get back to Stargate, and then to Earth, where specialists may be able to deduce something, some sort of counterweapon, from the nature of the damage.

“Now we could attack the Tauran’s portal planet from space and perhaps destroy the base without using you infantry.Butlthinktherewouldbeaverygreatriskinvolved. We might be. . . shot down by whatever hit us today, and never return to Stargate with what I consider to be vital information. We could send a drone with a message detailing our assumptions about this new enemy weapon but that might be inadequate. And the Force would be that much further behind., technologically.

“Accordingly, we have set a course that will take us around Yod-4, keeping the collapsar as much as possible between us and the Tauran base. We will avoid contact with the enemy and return to Stargate as quickly as possible.”

Incredibly, the commodore sat down and kneaded his temples. “All of you are at least squad or section leaders. Most of you have good combat records. And I hope that some of you will be rejoining the Force after your two years are up. Those of you who do will probably be made lieutenants, and face your first real command.

“It is to these people I would like to speak for a few moments, not as your. . . as one of your commanders, but just as a senior officer and advisor.

“One cannot make command decisions simply by assessing the tactical situation and going ahead with whatever course of action will do the most harm to the enemy with a minimum of death and damage to your own men and materiel. Modern warfare has become very complex, especially during the last century. Wars are won not by a simple series of battles won, but by a complex interrelationship among military victory, economic pressures, logistic maneuvering, access to the enemy’s information, political postures-dozens, literally dozens of factors.”

I was hearing this, but the only thing that was getting through to my brain was that a third of our Mends’ lives had been snuffed out less than an hour before, and he was sitting up there giving us a lecture on military theory.

“So sometimes you have to throw away a battle in order to help win the war. This is exactly what we are going to do.

“This was not an easy decision. In fact, it was probably the hardest decision of my military career. Because, on the surface at least, it may. look like cowardice.

“The logistic computer calculates that we have about a 62 percent chance of success, should we attempt to destroy the enemy base. Unfortunately, we would have only a 30 percent chance of survival-as some of the scenarios leading to success involve ramming the portal planet with the Anniversary at light speed.” Jesus Christ.

“I hope none of you ever has to face such a decision.

When we get back to Stargate, I will in all probability be court-martialed for cowardice under fire. But I honestly believe that the information that may be gained from analysis of the damage to the Anniversary is more important than the destruction of this one Tauran base.” He sat up straight.

“More important than one soldier’s career.”

I had to stifle an impulse to laugh. Surely “cowardice”

had nothing to do with his decision. Surely he had nothing so primitive and unnulitary as a will to live.

The maintenance crew managed to patch up the huge rip in the side of the Anniversary and to repressurize that section. We spent the rest of the day cleaning up the area; without, of course, disturbing any of the precious evidence for which the commodore was wiffing to sacrifice his Career.

The hardest part was jettisoning the bodies. It wasn’t so bad except for the ones whose suits had burst.

 

I went to Estelle’s cabin the next day, as soon as she was off duty.

“It wouldn’t serve any good purpose for you to see her now.” Estelle sipped her drink, a mixture of ethyl alcohol, citric acid and water, with a drop of some ester that approximated the aroma of orange rind.

“Is she out of danger?”

“Not for a couple of weeks. Let me explain.” She set down her drink and rested her chin on interlaced fingers. “This sort of injury would be fairly routine under normal circumstances. Having replaced the lost blood, we’d simply sprinkle some magic powder into her abdominal cavity and paste her back up. Have her hobbling around in a couple of days.

“But there are complications. Nobody’s ever been injured in a pressure suit before. So far, nothing really unusual has cropped up. But we want to monitor her innards very closely for the next few days.

“Also, we were very concerned about peritonitis. You know what peritonitis is?” “Yes.” Well, vaguely.

“Because a part of her intestine had ruptured under pressure. We didn’t want to settle for normal prophylaxis be-cause a lot of the, uh, contamination had impacted on the peritoneum under pressure. To play it safe, we completely sterilized the whole shebang, the abdominal cavity and her entire digestive system from the duodenum south. Then, of course, we had to replace all of her normal intestinal flora, now dead, with a commercially prepared culture. Still standard procedure, but not normally called for unless the damage is more severe.”

“I see.” And it was making me a little queasy. Doctors don’t seem to realize that most of us are perfectly content not having to visualize ourselves as animated bags of skin filled with obscene glop.

“This in itself is enough reason not to see her for a couple of days. The changeover of intestinal flora has a pretty violent effect on the digestive system-not dangerous, since she’s under constant observation. But tiring and, well, embarrassing.

“With all of this, she would be completely out of danger if this were a normal clinical situation. But we’re decelerating at a constant l-1/2 gees, and her internal organs have gone through a lot of jumbling around. You might as well

THE FOREVER WAR 103

know that if we do any blasting, anything over about two gees, she’s going to die.” “But. . . but we’re bound to go over two on the final approach! What-”

“I know, I know. But that won’t be for a couple of weeks. Hopefully, she will have mended by then.

“William, face it. It’s a miracle she survived to get into surgery. So there’s a big chance she won’t make it back to Earth. It’s sad; she’s a special person, the special

person to you, maybe. But we’ve had so much death.. . you ought to be getting used to it, come to terms with it.”

I took a long pull at my drink, identical to hers except for the citric acid. “You’re getting pretty hard-boiled.”

“Maybe. . . no. Just realistic. I have a feeling we’re headed for a lot more death and sorrow.”

“Not me. As soon as we get to Stargate, I’m a civilian.”

“Don’t be so sure.” The old familiar argument. “Those clowns who signed us up for two years can just as easily make it four or-”

“Or six or twenty or the duration. But they won’t. It would be mutiny.”

“I don’t know. If they could condition us to kill on cue, they can condition us to do almost anything. Re-enlist.”

That was a chiller.

Later on we tried to make love, but both of us had too much to think about.

 

I got to see Marygay for the first time about a week later. She was wan, had lost a lot of weight and seemed very confused. Doc Wilson assured me that it was just the medication; they hadn’t seen any evidence of brain damage.

She was still in bed, still being fed through a tube. I began to get very nervous about the calendar. Every day there seemed to be some improvement, but if she was still in bed when we hit that collapsar push, she wouldn’t have a chance. I couldn’t get any encouragement from Doc Wilson or Estelle; they said it depended on Marygay’s resilience.

The day before the push, they transferred her from bed to Estelle’s acceleration couch in the infirmary. She was lucid and was taking food orally, but she still couldn’t move under her own power, not at I-1/2 gees.

I went to see her. “Heard about the course change? We have to go through Aleph- 9 to get back to Tet-38. Four more months on this damn hulk. But another six years’ combat pay when we get back to Earth.”

“That’s good.”

“Ah, just think of the great things we’ll-” “William.”

I let it trail off. Never could lie.

“Don’t try to jolly me. Tell me about vacuum welding, about your childhood, anything. Just don’t bulishit me about getting back to Earth.” She turned her face to the wall.

“I heard the doctors talking out in the corridor, one morning when they thought I was asleep. But it just confirmed what I already knew, the way everybody’d been moping around.

“So tell me, you were born in New Mexico in 1975. What then? Did you stay in New Mexico? Were you bright in school? Have any friends, or were you too bright like me? How old were you when you first got sacked?”

We talked in this vein for a while, uncomfortable. An idea came to me while we were rambling, and when I left Marygay I went straight to Dr. Wilson.

 

“We’re giving her  a fifty-fifty chance, but that’s pretty arbitrary. None of the published data on this sort of thing really fits.”

“But it is safe to say that her chances of survival are better, the less acceleration she has to endure.”

“Certainly. For what it’s worth. The commodore’s going to take it as gently as possible, but that’ll still be four or five gees. Three might even be too much; we won’t know until it’s over.”

I nodded impatiently. “Yes, but I think there’s a way to expose her to less acceleration than the rest of us.”

“If you’ve developed an acceleration shield,” he said smiling, “you better hurry and file a patent. You could sell it for a considerable-”

“No, Doc, it wouldn’t be worth much under normal conditions; our shells work better and they evolved from the same principles.”

“Explain away.”

“We put Marygay into a shell and flood-”

“Wait, wait. Absolutely not. A poorly-fitting shell was what caused this in the first place. And this time, she’d have to use somebody else’s.”

“I know, Doc, let me explain. It doesn’t have to fit her exactly as long as the life support hookups can function.

The shell won’t be pressurized on the inside; it won’t have to be because she won’t be subjected to those thousands of kilograms-per-square-centimeter pressure from the fluid outside.”

“I’m not sure I follow.”

“It’s just an adaptation of-you’ve studied physics, haven’t you?” “A little bit, in medical school. My worst courses, after Latin.” “Do you remember the principle of equivalence?”

“I remember there was something by that name. Something to do with relativity, right?”

“Uh-huh. It means that.. . there’s no difference being in a gravitational field and being in an equivalent accelerated frame of-it means that when the Anniversary is blasting five gees, the effect on us is the same as if it were sitting on its tail on a big planet, on one with five gees’ surface gravity.”

“Seems obvious.”

“Maybe it is. It means that there’s no experiment you could perform on the ship that could tell you whether you were blasting or just sitting on a big planet.”

“Sure there is. You could turn off the engines, and if-”

“Or you could look outside, sure; I mean isolated, physics-lab type experiments.” “All right. I’ll accept that. So?”

“You know Archimedes’ Law?”

“Sure, the fake crown-that’s what always got me about physics, they make a big to-do about obvious things, and when it gets to the rough parts-”

“Archimedes’ Law says that when you immerse something in a fluid, it’s buoyed up by a force equal to the weight of the fluid it displaces.”

“That’s reasonable.”

“And that holds, no matter what kind of gravitation or acceleration you’re in-In a ship blasting at five gees, the water displaced, if it’s water, weighs five times as much as regular water, at one gee.”

“Sure.”

“So if you float somebody in the middle of a tank of water, so that she’s weightless, she’ll still be weightless when the ship is doing five gees.”

“Hold on, son. You had me going there, but it won’t work.”

“Why not?” I was tempted to tell him to stick to his pills and stethoscopes and let me handle the physics, but it was a good thing I didn’t.

“What happens when you drop a wrench in a submarine?” “Submarine?”

“That’s right. They work by Archimedes’-”

“Ouch! You’re right. Jesus. Hadn’t thought it through.”

“That wrench fails right to the floor just as if the submarine weren’t weightless.” He looked off into space, tapping a pencil on the desk. “What you describe is similar to the way we treat patients with severe skin damage, like burns, on Earth. But it doesn’t give any support to the internal organs, the way the acceleration shells do, so it wouldn’t do Marygay any good.. . .”

I stood up to go. “Sorry I wasted-”

“Hold on there, though, just a minute. We might be able to use your idea part- way.”

“How do you mean?”

“I wasn’t thinking it through, either. The way we normally use the shells is out of the question for Marygay, of course.” I didn’t like to think about it. Takes a lot of hypno-conditioning to lie there and have oxygenated fluorocarbon forced into every natural body orifice and one artificial one. I fingered the valve fitting imbedded above my hipbone.

THE FOREVER WAR 107

“Yeah, that’s obvious, it’d tear her-say.. . you mean, low pressure-”

“That’s right. We wouldn’t need thousands of atmospheres to protect her against five gees’ straight-line acceleration; that’s only for all the swerving and dodging-I’m going to call Maintenance. Get down to your squad bay; that’s the one we’ll use. Dalton’ll meet you there.”

 

Five minutes before injection into the collapsar field, and  I started the flooding sequence. Marygay and I were the only ones in shells; my presence wasn’t really vital since the flooding and emptying could be done by Control. But it was safer to have redundancy in the system and besides, I wanted to be there.

It wasn’t nearly as bad as the nonnal routine; none of the crushing-bloating sensation. You were just suddenly filled with the plastic-smelling stuff (you never perceived the first moments, when it rushed in to replace the air in your lungs), and then there was a slight acceleration, and then you were breathing air again, waiting for the shell to pop; then unplugging and unzipping and climbing out- Marygay’s shell was empty. I walked over to it and saw

blood.

“She hemorrhaged.” Doc Wilson’s voice echoed sepulchrally. I turned, eyes stinging, and saw him leaning in the door to the locker alcove. He was unaccountably, horribly, smiling.

“Which was expected. Doctor Harmony’s taking care of it.           She’ll be just fine.”

Marygay was walking in another week, “Confratermzing” in two, and pronounced completely healed in six.

Ten long months in space and it was army, army, army all the way. Calisthenics, meaningless work details, compulsory lectures-there was even talk that they were going to reinstate the sleeping roster we’d had in basic, but they never did, probably out of fear of mutiny. A random partner every night wouldn’t have set too well with those of us who’d established more-or-less permanent pairs.

All this crap, this insistence on military discipline, bothered me mainly because I was afraid it meant they weren’t going to let us out. Marygay said I was being paranoid; they only did it because there was no other way to maintain order for ten months.

Most of the talk, besides the usual bitching about the army, was speculation about how much Earth would have changed and what we would do when we got out. We’d be fairly rich: twenty-six years’ salary all at once. Compound interest, too; the $500 we’d been paid for our first month in the army had grown to over $1500.

We arrived at Stargate in late 2023, Greenwich date.

 

The base had grown astonishingly in the nearly seventeen years we had been on the Yod-4 campaign. It was one building the size of Tycho City, housing nearly ten thousand. There were seventy-eight cruisers, the size of Anniversary or larger, involved in raids on Tauran-held portal planets. Another ten guarded Stargate itself, and two were in orbit waiting for their infantry and crew to be outprocessed. One other ship, the Earth’s Hope II, had returned from fighting and had been waiting at Stargate for another cruiser to return.

 

They had lost two-thirds of their crew, and it was just not economical to send a cruiser back to Earth with only thirty-nine people aboard. Thirty-nine confirmed civilians.

We went planetside in two scoutships. 7

General Botsford (who had only been a full major the first time we met him, when Stargate was two huts and twenty-four graves) received us in an elegantly appointed seminar room. He was pacing back and forth at the end of the room, in front of a huge holographic operations chart.

“You know,” he said, too loud, and then, more conversationally, “you know that we could disperse you into other strike forces and send you right out again. The Elite Conscription Act has been changed now, five years’ subjective in service instead of two.

“And I don’t see why some of you don’t want to stay in! Another couple of years and compound interest would make you independently wealthy for life. Sure, you took heavy losses-but that was inevitable, you were the first. Things are going to be easier now. The fighting suits have been improved, we know more about the Taurans’ tactics, our weapons are more effective. . . there’s no need to be afraid.”

He sat down at the head of the table and looked at nobody in particular.

“My own memories of combat are over a half-century old. To me it was exhilarating, strengthening. I must be a different kind of person than all of you.”

Or have a very selective memory, I thought.

“But that’s neither here nor there. I have one alternative to offer you, one that doesn’t involve direct combat.

“We’re very short of qualified instructors. The Force will offer any one of you a lieutenancy if you will accept a training position. It can be on Earth; on the Moon at double pay; on Charon at triple pay; or here at Stargate for quadruple pay. Furthermore, you don’t have to make up your mind now. You’re all getting a free trip back to Earth-I envy you, I haven’t been back in fifteen years,

THE FOREVER WAR 111

will probably never go back-and you can get the feel of being a civilian again. If you don’t like it, just walk into any UNEF installation and you’ll walk out an officer. Your choice of assignment.

“Some of you are smiling. I think you ought to reserve judgment. Earth is not the same place you left.”

He pulled a little card out of his tunic and looked at it, smiling. “Most of you have something on the order of four hundred thousand dollars coming to you, accumulated pay and interest. But Earth is on a war footing and, of course, it is the citizens of Earth who are supporting the war. Your income puts you in a ninety-two- percent income-tax bracket: thirty-two thousand might last you about three years if you’re careful.

“Eventually you’re going to have to get a job, and this is one job for which you are uniquely trained. There are not that many jobs available. The population of Earth is nearly nine billion, with five or six billion unemployed.

“Also keep in mind that your friends and sweethearts of two years ago are now going to be twenty-one years older than you. Many of your relatives will have passed away. I think you’ll find it a very lonely world.

“But to tell you something about this world, I’m going to turn you over to Captain Sin, who just arrived from Earth. Captain?”

“Thank you, General.” It looked as if there was something wrong with his skin, his face; and then I realized he was wearing powder and lipstick. His nails were smooth white almonds.

“I don’t know where to begin.” He sucked in his upper lip and looked at us, frowning. “Things have changed so very much since I was a boy.

“I’m twenty-three, so I was still in diapers when you people left for Aleph. . . to begin with, how many of you are homosexual?” Nobody. “That doesn’t really surprise me. I am, of course. I guess about a third of everybody in Europe and America is.

“Most governments encourage homosexuality-the United Nations is neutral, leaves it up to the individual

countries-they encourage homolife mainly because it’s the one sure method of birth control.”

That seemed specious to me. Our method of birth control in the army is pretty foolproof: all men making a deposit

in the sperm bank, and then vasectomy.

“As the General said, the population of the world is nine billion. It’s more than doubled since you were drafted. And nearly two-thirds of those people get out of school only to go on relief.

“Speaking of school, how many years of public schooling did the government give you?”

He was looking at me, so I answered. “Fourteen.”

He nodded. “It’s eighteen now. More, if you don’t pass your examinations. And you’re required by law to pass your exams before you’re eligible for any job or Class One relief. And brother-boy, anything besides Class One is hard to live on. Yes?” Hofstadter had his hand up.

“Sir, is it eighteen years public school in every country? Where do they find enough schools?”

“Oh, most people take the last five or six years at home or in a community center, via holoscreen. The UN has forty or fifty information channels, giving instruction twenty-four hours a day.

“But most of you won’t have to concern yourselves with that. If you’re in the Force, you’re already too smart by half.”

He brushed hair from his eyes in a thoroughly feminine gesture, pouting a little. “Let me do some history to you.

I guess the first really important thing that happened after you left was the Ration War.

“That was 2007. A lot of things happened at once. Locust plague in North America, rice blight from Burma to the South China Sea, red tides all along the west coast of South America: suddenly there just wasn’t enough food to go around. The UN stepped in and took over food distribution. Every man, woman, and child got a ration booklet, allowing thim to consume so many calories per month. If tha went over ther monthly allotment, tha just went hungry until the first of the next month.”

Some of the new people we’d picked up after Aleph used THE FOREVER WAR

113

“tha, ther, thini” instead of “he, his, him,” for the collective pronoun. I wondered whether it had become universal

“Of course, an illegal market developed, and soon there was great inequality in the amount of food people in various strata of society consumed. A vengeance group in Ecuador, the Imparciales, systematically began to assassinate people who appeared to be well-fed. The idea caught on pretty quickly, and in a few months there was a full-scale, undeclared class war going on all over the world. The United Nations managed to get things back under control in a year or so, by which time the population was down to four billion, crops were more or less recovered, and the food crisis was over. They kept the rationing, but it’s never been really severe again.

“Incidentally, the General translated the money coming to you into dollars just for your own convenience. The world has only one currency now, calories. Your thirty- two thousand dollars comes to about three thousand million calories. Or three million K’S, kilocalories.

“Ever since the Ration War, the UN has encouraged subsistence farming wherever it’s practical. Food you grow yourself, of course, isn’t rationed… . It got people out of the cities, onto UN farming reservations, which helped alleviate some urban problems. But subsistence farming seems to encourage large families, so the population of the world has more than doubled since the Ration War.

“Also, we no longer have the abundance of electrical power I remember from boyhood. . . probably a good deal less than you remember. There are only a few places in the world where you can have power all day and night. They keep saying it’s a temporary situation, but it’s been going on for over a decade.”

He went on like that for a long time. Well, bell, it wasn’t really surprising, much of it. We’d probably spent more time in the past two years talking about what home was

going to be like than about anything else. Unfortunately, most of the bad things we’d prognosticated seemed to have come true, and not many of the good things.

The worst thing for me, I guess, was that they’d taken over most of the good parkiand and subdivided it into little

farms. If you wanted to find some wilderness, you had to go someplace where they couldn’t possibly make a plant grow.

He said that the relations between people who chose homolife and the ones he called “breeders” were quite smooth, but I wondered. I never had much trouble accepting homosexuals myself, but then I’d never had to cope with such an abundance of them.

He also said, in answer to an impolite question, that his powder and paint had nothing to do with his sexual orientation. It was just stylish. I decided I’d be an anachronism and just wear my face.

I don’t guess it should have surprised me that language had changed considerably in twenty years. My parents were always saying things were “cool,” joints  were “grass,” and so on.

We had to wait several weeks before we could get a ride back to Earth. We’d be going back on the Anniversary, but first she had to be taken apart and put back together again.

Meanwhile, we were put in cozy little two-man billets and released from all military responsibilities. Most of us spent our days down at the library, trying to catch up on twenty-two years of current events. Evenings, we’d get to-.

gether at the Flowing Bowl, an NCO club. The privates, of course, weren’t supposed to be there, but we found that nobody argues with a person who has two of the fluorescent battle ribbons.

I was surprised that they served heroin fixes at the bar. The waiter said that you get a compensating shot to keep you from getting addicted to it. I got really stoned and tried one. Never again.

Sub-major Stott stayed at Stargate, where they were assembling a new Strike Force Alpha. The rest of us boarded the Anniversary and had a fairly pleasant six- month journey. Cortez didn’t insist on everything being capital-M military, so it was a lot better than the trip from Yod-4.

8

I hadn’t given it too much thought, but of course we were celebrities on Earth: the first vets home from the war. The Secretary General greeted us at Kennedy and we had a week-long whirl of banquets, receptions, interviews, and all that. It was enjoyable enough, and profitable-I made a million K’s from Time-Life/Fax-but we really saw little of Earth until after the novelty wore off and we were more or less allowed to go our own way.

I picked up the Washington monorail at Grand Central Station and headed home. My mother had met me at Kennedy, suddenly and sadly old, and told me my father was dead. Flyer accident. I was going to stay with her until I could get a job.

She was living in Columbia, a satellite of Washington. She had moved back into the city after the Ration War- having moved out in 1980-and then failing services and rising crime had forced her out again.

She was waiting for me at the monorail station. Beside her stood a blond giant in a heavy black vinyl unifonn, with a big gunpowder pistol on his hip and spiked brass knuckles on his right hand.

“William, this is Carl, my bodyguard and very dear friend.” Carl slipped off the knuckles long enough to shake hands with surprising gentleness. “Pleasameecha Misser Mandella.”

We got into a groundcar that had “Jefferson” written on it in bright orange letters. I thought that was an odd thing to name a car, but then found out that it was the name of the high-rise Mother and Carl lived in. The groundcar was one of several that belonged to the community, and she paid lOOK per kilometer for the use of it.

I had to admit that Columbia was rather pretty: formal gardens and lots of trees and grass. Even the high-rises,

roughly conical jumbles of granite with trees growing out at odd places, looked more like mountains than buildings.

We drove into the base of one of these mountains, down a well-lit corridor to where a number of other cars were parked. Carl carried my solitary bag to the elevator and set it down.

“Miz Mandella, if is awright witcha, I gots to go pick up Miz Freeman in like five. She over West Branch.”

“Sure, Carl, William can take care of me. He’s a soldier, you know.” That’s right, I remember learning eight silent ways to kill a man. Maybe if things got really tight, I could get a job like Carl’s.

“Righty-oh, yeah, you tol’ me. Whassit like, man?”

“Mostly boring,” I said automatically. “When you aren’t bored, you’re scared.”

He nodded wisely. “Thass what I heard. Miz Mandella, I be ‘vailable anytime after six. Riglny-oh?”

“That’s fine, Carl.”

The elevator came and a tall skinny boy stepped out, an unlit joint dangling from his lips. Carl ran his fingers over the spikes on his knuckles, and the boy walked rapidly away.

“Gots ta watch out fer them riders. T’care a yerseif, Miz Mandella.” We got on the elevator and Mother punched 47. “What’s a rider?”

“Oh, they’re just young toughs who ride up and down the elevators looking for defenseless people without bodyguards. They aren’t too much of a problem here.”

The forty-seventh floor was a huge mall filled with shops and offices. We went to a food store.

“Have you gotten your ration book yet, William?” I told her I hadn’t, but the Force had given me travel tickets worth a hundred thousand “calories” and I’d used up only half of them.

It was a little confusing, but they’d explained it to us.

When the world went on a single currency, they’d tried to coordinate it with the food rationing in some way, hoping to eventually eliminate the ration hooks, so they’d made the new currency K’S, kilocalories, because that’s the unit

THE FOREVER WAR 117

for measuring the energy equivalent of food. But a person who eats 2,000 kilocalones of steak a day obviously has to pay more than a person eating the same amount of bread.

So they  instituted a sliding “ration factor,” so complicated that nobody could understand it. After a few weeks they were using the books again, but calling food kilocalories “calories” in an attempt to make things less confusing.

Seemed to me they’d save a lot of trouble all around if they’d just call money dollars again, or rubles or sisterces or whatever. . . anything but kilocalories.

Food prices were astonishing, except for grains and legumes. I insisted on splurging on some good red meat: 1500 calories worth of ground beef,  costing 1730K. The same amount of fakesteak, made from soy beans, would have cost 80K.

I also got a head of lettuce for 140K and a little bottle of olive oil for 175ic Mother said she had some vinegar.

Started to buy some mushrooms but she said she had a neighbor who grew them and could trade something from her balcony garden.

At her apartment on the ninety-second floor, she apologized for the smallness of the place. It didn’t seem so little to me, but then she’d never lived on a spaceship.

Even this high up, there were bars on the windows. The door had four separate locks, one of which didn’t work because somebody had used a crowbar on it.

Mother went off to turn the ground beef into a meatloaf and I settled down with the evening ‘fax. She pulled some carrots from her little garden and called the mushroom lady, whose son came over to make the trade. He had a riot gun slung under his ann.

“Mother, where’s the rest of the Star?” I called into the kitchen. “As far as I know, it’s all there. What were you looking for?” “Well .. . I found the classified section, but no ‘Help Wanted.'”

She laughed. “Son, there hasn’t been a ‘Help Wanted’ ad in ten years. The government takes care of jobs . . . well, most of them.”

“Everybody works for the government?”

“No, that’s not it.” She came in, wiping her hands on a frayed towel. “The government, they tell us, handles the distribution of all natural resources. And there aren’t many resources more valuable than empty jobs.”

“Well, I’ll go talk to them tomorrow.”

“Don’t bother, son. How much retirement pay you say you’re getting from the Force?”

“Twenty thousand K a month. Doesn’t look like it’ll go far.”

“No, it won’t. But your father’s pension gave me less than half that, and they wouldn’t give me a job. Jobs are assigned on a basis of need. And you’ve got to be living on rice and water before the Employment Board considers you needy.”

“Well, hell, it’s a bureaucracy-there must be somebody I can pay off, slip me into a good-”

“No. Sorry, that’s one part of the UN that’s absolutely incorruptible. The whole shebang is cybernetic, untouched by human souls. You can’t-”

“But you said you had a job!”

“I was getting to that. If you want a job badly enough, you can go to a dealer and sometimes get a hand-me-down.”

“Hand-me-down? Dealer?”

“Take my job as an example, son. A woman named Halley Williams has a job in a hospital, running a machine that analyzes blood, a chromatography machine. She works six nights a week, for 12,000K a week. She gets tired of working, so she contacts a dealer and lets him know that her job is available.

“Some time before this, I’d given the dealer his initial fee of 50,000K to get on his list. He comes by and describes the job to me and I say fine, I’ll take it. He knew I

would and already has fake identification and a uniform. He distributes small bribes to the various supervisors who might know Miss Williams by sight.

“Miss Williams shows me how to run the machine and quits. She still gets the weekly 12,000K credited to her account, but she pays me half. I pay the dealer ten percent and wind up with 5400K per week. This, added to the nine grand I get monthly from your father’s pension, makes me quite comfortable.

“Then it gets complicated. Finding myself with plenty of money and too little time, I contact the dealer again, offering to sublet half my job. The next day a girl shows up who also has ‘Halley Williams’ identification. I show her how to run the machine, and she takes over Monday-Wednesday-Friday. Half of my real salary is 2700K, so she gets half that, 1350K, and pays the dealer 135.”

She got a pad an4 a stylus and did some figuring. “So the real Hailey Williams gets 6000K weekly for doing nothing. I work three days a week for 4050K. My assistant works three days for 1115K. The dealer gets 100,000K in fees and 735K per week. Lopsided, isn’t it?”

“Hmm. . . I’ll say. Quite illegal, too, I suppose.”

“For the dealer. Everybody else might lose their job and have to start over, if the Employment Board finds out. But the dealer gets brainwiped.”

“Guess I better find a dealer, while I can still afford the fifty-grand bite.” Actually, I still had over three million, but planned to run through most of it in a short time. Hell, I’d earned it.

 

I was getting ready to go the next morning when Mother came in with a shoebox. Inside, there was a small pistol in a clip-on holster.

“This belonged to your father,” she explained. “Better wear it if you’re planning to go downtown without a bodyguard.”

It was a gunpowder pistol with ridiculously thin bullets. I hefted it in my hand. “Did Dad ever use it?”

“Several times. . . just to scare away riders and hitters, though. He never actually shot anybody.”

“You’re probably right that I need a gun,” I said, putting it back. “But I’d have to have something with more heft to it. Can I buy one legally?”

“Sure, there’s a gun store down in the Mall. As long as you don’t have a police record, you can buy anything that suits you.” Good, I’d get a little pocket laser. I could hardly hit the wall with a gunpowder pistol.

“But.. . William, I’d feel a lot better if you’d hire a bodyguard, at least until you know your way around.” We’d gone all around that last night. Being an official Trained Killer, I thought I was tougher than any clown I might hire for the job.

“I’ll check into it, Mother. Don’t worry-I’m not even going downtown today, just into Hyattsville.”

“That’s just as bad.”

When the elevator came, it was already occupied. He looked at me blandly as I got in, a man a little older than me, clean-shaven and well dressed. He stepped back to let me at the row of buttons. I punched 47 and then, realizing his motive might not

have been politeness, turned to see him struggling to get at a metal pipe stuck in his waistband. It had been hidden by his cape.

“Come  on, fella,”  I said, reaching for a  nonexistent  weapon. “You  wanna  get caulked?”

He had the pipe free but let it hang loosely at his side. “Caulked?”

“Killed. Anny term.” I took one step toward him, trying to remember. Kick just under the knee, then either groin or kidney. I decided on the groin.

“No.” He put the pipe back in his waistband. “I don’t want to get ‘caulked.'” The door opened at 47 and I backed out.

The gun shop was all bright white plastic and gleamy black metal. A little bald man bobbed over to wait on me. He had a pistol in a shoulder rig.

“And a fine morning to you, sir,” he said and giggled. “What will it be today?” “Lightweight pocket laser,” I said. “Carbon dioxide.”

He looked at me quizzically and then brightened. “Coming right up, sir.” Giggle. “Special today, I throw in a handful of tachyon grenades.”

“Fine.” They’d be handy.

He looked at me expectantly. “So? What’s the popper?” “Huh?”

“The punch, man; you set me up, now knock me down. Laser.” He giggled. I was beginning to understand. “You mean I can’t buy a laser.”

“Of course not, sweetie,” he said and sobered. “You didn’t know that?” “I’ve been out of the country for a long time.”

“The world, you mean. You’ve been out Of the world a long time.” He put his left hand on a chubby hip in a gesture that incidentally made his gun easier to get. He scratched the center of his chest.

I stood very still. “That’s right. I just got out of the Force.”

His  jaw  dropped.  “Hey,  no  bully-bull?  You  been  out  shootin’  ’em  up, out in space?”

“That’s right.”

“Hey, all that crap about you not gettin’ older, there’s nothin’ to that, is there?” “Oh, it’s true. I was born in 1975.”

“Well, god . . . damn. You’re almost as old as I am.”

He giggled. “I thought that was just something the government made up.” “Anyhow. . . you say I can’t buy a laser-”

“Oh, no. No no no. I run a legal shop here.” “What can I buy?”

“Oh, pistol, rifle, shotgun, knife, body armor. . . just no lasers or explosives or fully automatic weapons.”

“Let me see a pistol. The biggest you have.”

“Ah, I’ve got just the thing.” He motioned me over to a display case and opened the back, taking out a huge revolver.

“Four-ten-gauge six-shooter.” He cradled it in both hands. “Dinosaur-stopper. Authentic Old West styling. Slugs or flechettes.”

“Flechettes?”

“Sure-uh, they’re like a bunch of tiny darts. You shoot and they spread out in a pattern. Hard to miss that way.”

Sounded like my speed. “Anyplace I can try it out?”

“‘Course, of course, we have a range in back. Let me get my assistant.” He rang a bell and a boy caine out to

122

Joe Ilaldeman

watch the store while we went in back. He picked up a red-and-green box of shotgun shells on the way.

The range was in two sections, a little anteroom with a plastic transparent door and a long corridor on the other side of the door with a table at one end and targets at the other. Behind the targets was a sheet of metal that evidently deflected the bullets down into a pool of water.

He loaded the pistol and set it on the table. “Please don’t pick it up until the door’s closed.” He went into the anteroom, closed the door, and picked up a microphone. “Okay. First time, you better hold on to it with both hands.” I did so, raising it up in line with the center target, a square of paper looking about the size of your thumbnail at arm’s length. Doubted I’d even come near it. I pulled the trigger and it went back easily enough, but nothing happened.

“No, no,” he said over the microphone with a tinny giggle. “Authentic Old West styling. You’ve got to pull the hammer back.”

Sure, just like in the flicks. I hauled the hammer back, lined it up again, and squeezed the trigger.

The noise was so loud it made my face sting. The gun bucked up and almost hit me on the forehead. But the three center targets were gone: just tiny tatters of paper drifting in the air.

“I’ll take it.”

He sold me a hip holster, twenty shells, a chest-and-back shield, and a dagger in a boot sheath. I felt more heavily armed than I had in a fighting suit. But no waldos to help me cart it around.

The monorail had two guards for each car. I was beginning to feel that all my heavy artillery was superfluous, until I got off at the Hyattsville station.

Everyone who got off at Hyattsville was either heavily armed or had a bodyguard. The people loitering around the station were all armed. The police carried lasers.

I pushed a “cab call” button, and the readout told me mine would be No. 3856. I asked a policeman and he told me to wait for it down on the street; it would cruise around the block twice.

THE FOREVER WAR 123

During the five minutes I waited, I twice heard staccato arguments of gunfire, both of them rather far away. I was glad I’d bought the shield.

Eventually the cab came. It swerved to the curb when I waved at it, the door sliding open as it stopped. Looked as if it worked the same way as the autocabs I remembered. The door stayed open while it checked the thumbprint to verify that I was the one who had called, then slammed shut. It was thick steel. The view through the windows was dim and distorted; probably thick bulletproof plastic. Not quite the same as I remembered.

I had to leaf through a grimy book to find the code for the address of the bar in Hyattsville where I was supposed to meet the dealer. I punched it out and sat back to watch the city go by.

This part of town was mostly residential: grayed-brick warrens built around the middle of the last century competing for space with more modern modular setups and, occasionally, individual houses behind tall brick or concrete walls with jagged

broken glass and barbed wire at the top. A few people seemed to be going somewhere, walking very quickly down the sidewalks, hands on weapons. Most of the people I saw were either sitting in doorways, smoking, or loitering  around shopfronts in groups of no fewer than six. Everything was dirty and cluttered. The gutters were clotted with garbage, and shoals of waste paper drifted with the wind of the light traffic.

It was understandable, though; street-sweeping was probably a very high-risk profession.

The cab pulled up in front of Tom & Jerry’s Bar and Grill and let me out after I paid 430K. I stepped to the sidewalk with my hand on the shotgun-pistol, but there was nobody around. I hustled into the bar.

It was surprisingly clean on the inside, dimly lit and furnished in fake leather and fake pine. I went to the bar and got some fake bourbon and, presumably, real water for 120K. The water cost 20K. A waitress came over with a tray.

“Pop one, brother-boy?” The tray had a rack of oldfashioned hypodermic needles. Joe Haldeman

124

“Not today, thanks.” If I was going to “pop one,” I’d use an aerosol. The needles looked unsanitary and painful.

She set the dope down on the bar and eased onto the stool next to me. She sat with her chin cupped in her palm and stared at her reflection in the mirror behind the bar.

“God. Tuesdays.”

I mumbled something.

“You wanna go in back fer a quickie?”

I looked at her with what I hoped was a neutral expression. She was wearing only a short skirt of some gossamer material, and it plunged in a shallow V in the front, exposing her hipbones and a few bleached pubic hairs. I wondered what could possibly keep it up. She wasn’t bad looking, could have been anywhere from her late twenties to her early forties. No telling what they could do with cosmetic surgery and makeup nowadays, though. Maybe she was older than my mother.

“Thanks anyhow.” “Not today?” “That’s right.”

“I can get you a nice boy, if-” “No. No thanks.” What a world.

She pouted into the mirror, an expression that was probably older than Hoino sapiens. “You don’t like me.”

“I like you fine. That’s just not what I caine here for.”

“Well. . . different funs for different ones.” She shrugged. “Hey, Jerry. Get me a short beer.”

He brought it.

“Oh, damn, my purse is locked up. Mister, can you spare forty calories?” I had enough ration tickets to take care of a whole banquet. Tore off a fifty and gave it to the bartender.

“Jesus.” She stared. “How’d you get a full book at the end of the month?”

I told her in as few words as possible who I was and how I managed to have so many calories. There had been two months’ worth of books waiting in my mail, and I hadn’t even used up the ones the Force had given me. She offered to buy a book from me for ten grand, but I didn’t

want to get involved in more than one illegal enterprise at a time.

Two men came in, one unarmed and the other with both a pistol and a riot gun. The bodyguard sat by the door and the other came over to me.

“Mr. Mandella?” “That’s right.”

“Shall we take a booth?” He didn’t offer his name.

He had a cup of coffee, and I sipped a mug of beer. “I don’t keep any written records, but I have an excellent memory. Tell me what sort of a job you’re interested in, what your qualifications are, what salary you’ll accept, and so on.”

I told him I’d prefer to wait for a job where I could use my physics-teaching or research, even engineering. I wouldn’t need a job for two or three months, since I planned to travel and spend money for a while. Wanted at least 20,000K monthly, but how much I’d accept would depend on the nature of the job.

He didn’t say a word until I’d finished. “Righty-oh. Now, I’m afraid. . . you’d have a hard time, getting a job in physics. Teaching is out; I can’t supply jobs where the person is constantly exposed to the public. Research, well, your degree is almost a quarter of a century old. You’d have to go back to school, maybe five or six years.”

“Might do that,” I said.

“The one really marketable feature you have is your combat experience. I could probably place you in a supervisory job at a bodyguard agency for even more than twenty grand. You could make almost that much, being a bodyguard yourself.”

“Thanks, but I wouldn’t want to take chances for somebody else’s hide.”

“Righty-oh. Can’t say I blame you.” He finished his coffee in a long slurp. “Well, I’ve got to run, got a thousand things to do. I’ll keep you in mind and talk to some people.”

“Good. I’ll see you in a few months.”

“Righty-oh. Don’t need to make an appointment. I come

in here every day at eleven for coffee. Just show up.”

I finished my beer and called a cab to take me home. I wanted to walk around the city, but Mother was right. I’d get a bodyguard first.

9

I came home and the phone was blinking pale blue. Didn’t know what to do so I punched “Operator.”

A pretty young girl’s head materialized in the cube. “Jefferson operator,” she said. “May I help you?”

“Yes. . . what does it mean when the cube is blinking blue?” “Huh?”

“What does it mean when the phone-”

“Are you serious?” I was getting a little tired of this kind of thing. “It’s a long story. Honest, I don’t know.”

“When it blinks blue you’re supposed to call the operator.” “Okay, here I am.”

“No, not me, the real operator. Punch nine. Then punch zero.” I did that and an old harridan appeared. “Ob-a-ray-duh.”

“This is William Mandella at 301-52-574-3975. I was supposed to call you.”

“Juzza segun.” She reached outside the field of view and typed something. “You god.da call from 605-19-556-2027.”

I scribbled it down on the pad by the phone. “Where’s that?” “Juzza segun. South Dakota.”

“Thanks.” I didn’t know anybody in South Dakota.

A pleasant-looking old woman answered the phone. “Yes?” “I had a call from this number. . . uh… I’m-”

“Oh. Sergeant Mandella! Just a second.”

I watched the diagonal bar of the holding pattern for a second, then fifty or so more. Then a head came into focus.

Marygay. “William. I had a heck of a time finding you.” Lz~j

Joe Ilaldeman

“Darling, me too. What are you doing in South Dakota?”

“My parents live here, in a little commune. That’s why it took me so long to get to the phone.” She held up two grimy hands. “Digging potatoes.”

“But when I checked.. . the records said-the records in Tucson said your parents were both dead.”

“No, they’re just dropouts-you know about dropouts?- new name, new life. I got the word through a cousin.”

“Well-well, how’ve you been? Like the country life?”

“That’s one reason I’ve been wanting to get you. Willy, I’m bored. It’s all very healthy and nice, but I want to do something dissipated and wicked. Naturally I thought of you.,,

“I’m flattered. Pick you up at eight?”

She checked a clock above the phone. “No, look, let’s get a good night’s sleep. Besides, I’ve got to get in the rest of the potatoes. Meet me at. . . the Ellis Island jetport at ten tomorrow morning. Mmm. . . Trans-World information desk.”

“Okay. Make reservations for where?” She shrugged. “Pick a place.” “London used to be pretty wicked.”

“Sounds good. First class?”

“What else? I’ll get us a suite on one of the dirigibles.” “Good. Decadent. How long shall I pack for?”

“We’ll buy clothes along the way. Travel light. Just one stuffed wallet apiece.” She giggled. “Wonderful. Tomorrow at ten.”

“Fine-ub. . . Marygay, do you have a gun?” “It’s that bad?”

“Here around Washington it is.”

“Well, I’ll get one. Dad has a couple over the fireplace. Guess they’re left over from Tucson.”

“We’ll hope we won’t need them.”

“Willy, you know it’ll just be for decoration. I couldn’t even kill a Tauran.”

“Of course.” We just looked at each other for a second. “Tomorrow at ten, then.” “Right. Love you.”

”lJh . .

She giggled again and hung up.

That was just too many things to think about all at once.

I got us two round-the-world dirigible tickets; unlimited stops as long as you kept going east. It took me a little over two hours to get to Ellis by autocab and monorail. I was early, but so was Marygay.

She was talking to the girl at the desk and didn’t see me coming. Her outfit was really arresting, a tight coverall of plastic in a pattern of interlocking hands; as your angle of sight changed, various strategic hands became transparent. She had a ruddy sun-glow all over her body. I don’t know whether the feeling that rushed over me was simple honest lust or something more complicated. I hurried up behind her.

Whispering: “What are we going to do for three hours?” She turned and gave me a quick hug and thanked the girl at the desk, then grabbed my hand and pulled me along to a slidewalk.

“Um.. . where are we headed?”

“Don’t ask questions, Sergeant. Just follow me.”

We stepped onto a roundabout and transferred to an eastbound slidewalk. “Do you want something to eat or drink?” she asked innocently.

I tried to leer. “Any alternatives?”

She laughed gaily. Several people stared. “Just a second here!” We jumped off. It was a corridor marked

“Roomettes.” She handed me a key.

That damned plastic coverall was held on by static electricity. Since the roomette was nothing but a big waterbed, I almost broke my neck the first time it shocked me.

I recovered.

We were lying on our stomachs, looking through the one-way glass wall at the people rushing around down on the concourse. Marygay passed me a joint.

“William, have you used that thing yet?” “What thing?”

“That hawg-leg. The pistol.” 130

Joe Haldeman

“Only shot it once, in the store where I bought it.”

“Do you really think you could point it at someone and blow him apart?”

I took a shallow puff and passed it back. “Hadn’t given it much thought, really. Until we talked last night.”

“Well?”

“I. . . I don’t really know. The only time I’ve killed was on Aleph, under hypnotic compulsion. But I don’t think it would. . . bother me, not that much, not if the person was trying to kill me in the first place. Why should it?”

“Life,” she said plaintively, “life is. . .”

“Life is a bunch of cells walking around with a common purpose. If that common purpose is to get my ass-”

“Oh,William. You sound like old Cortez.” “Cortez kept us alive.”

“Not many of us,” she snapped.

I rolled over and studied the ceiling tiles. She traced little designs on my chest, pushing the sweat around with her fingertip. “I’m sorry, William. I guess we’re both just trying to adjust.”

“That’s okay. You’re right, anyhow.”

We talked for a long time. The only urban center Mary-gay had been to since our publicity rounds (which were very sheltered) was Sioux Falls. She had gone with her

parents and the commune bodyguard. It sounded like a scaled-down version of Washington: the same problems, but not as acute.

We ticked off the things that bothered us: violence, high cost of living, too many people everywhere. I’d have added homolife, but Marygay said I just didn’t appreciate the social dynamic that had led to it; it had been inevitable. The only thing she said she had against it was that it took so many of the prettiest men out of circulation.

And the main thing that was wrong was that everything seemed to have gotten just a little worse, or at best remained the same. You would have predicted that at least a few facets of everyday life would improve markedly in twenty-two years. Her father contended the War was behind it all: any person who showed a shred of talent was sucked

up by UNEF; the very best fell to the Elite Conscription Act and wound up being cannon fodder.

It was hard not to agree with him. Wars in the past often accelerated social reform, provided technological benefits, even sparked artistic activity. This one, however, seemed tailor-made to provide none of these positive by-products. Such improvements as had been made on late-twentieth-century technology were-like tachyon bombs and warships two kilometers long-at best, interesting developments of things that only required the synergy of money and existing engineering techniques. Social reform? The world was technically under martial law. As for art, I’m not sure I know good from bad. But artists to some extent have to reflect the temper of the times. Paintings and sculpture were full of torture and dark brooding; movies seemed static and plotless; music was dominated by nostalgic revivals of earlier forms; architecture was mainly concerned with finding someplace to put everybody; literature was damn near incomprehensible. Most people seemed to spend most of their time trying to find ways to outwit the government, trying to scrounge a few extra K’s or ration tickets without putting their lives in too much danger.

And in the past, people whose country was at war were constantly in contact with the war. The newspapers would be full of reports, veterans would return from the front sometimes the front would move right into town, invaders marching down Main Street or bombs whistling through the night air-but always the sense of either working toward victory or at least delaying defeat. The enemy was a tangible thing, a propagandist’s monster whom you could understand, whom you could hate.

But this war. . . the enemy was a curious organism only vaguely understood, more often the subject of cartoons than nightmares. The main effect of the war on the home front was economic, unemotional-more taxes but more jobs as well. After twenty-two years, only twenty-seven returned veterans; not enough to make a decent parade. The most important fact about the war to most people was that if it ended suddenly, Earth’s economy would collapse.

You approached the dirigible by means of a small propeller-driven aircraft that drifted up to match trajectories and docked alongside. A clerk took our baggage and we checked our weapons with the purser, then went outside.

Just about everybody on the flight was standing out on the promenade deck, watching Manhattan creep toward the horizon. It was an eerie sight. The day was very still, so the bottom thirty or forty stories of the buildings were buried in smog. It looked like a city built on a cloud, a thunderhead floating. We watched it for a while and then went inside to eat.

The meal was elegantly served and simple: filet of beef, two vegetables, wine. Cheese and fruit and more wine for dessert. No fiddling with ration tickets; a loophole in the rationing laws implied that they were not required for meals consumed en route, on intercontmental transport.

We spent a lazy, comfortable three days crossing the Atlantic. The dirigibles had been a new thing when we first left Earth, and now they had turned out to be one of the few successful new financial ventures of the late twentieth century.. . the company that built them had bought up a few obsolete nuclear weapons; one bomb- sized hunk of plutonium would keep the whole fleet in the air for years. And, once launched, they never did come down. Floating hotels, supplied and maintained by regular shuttles, they were one last vestige of luxury in a world where nine billion people had something to eat, and almost nobody had enough.

London was not as dismal from the air as New York City had been; the air was clean even if the Thames was poison. We packed our handbags, claimed our weapons, and landed on a VTO pad atop the London Hilton. We rented a couple of tricycles at the hotel and, maps in hand, set off for Regent Street, planning on dinner at the venerable Cafe Royal.

The tricycles were little armored vehicles, stabilized gyroscopically so they couldn’t be tipped over. Seemed overly cautious for the part of London we traveled through, but I

supposed there were probably sections as rough as Washington.

I got a dish of marinated venison and Marygay got salmon; both very good but astoundingly expensive. At first I was a bit overawed by the huge room, filled with plush and mirrors and faded gilding, very quiet even with a dozen tables occupied, and we talked in whispers until we realized that was foolish.

Over coffee I asked Marygay what the deal was with her parents.

“Oh, it happens often enough,” she said. “Dad got mixed up in some ration ticket thing. He’d gotten some black market tickets that turned out to be counterfeit. Cost him his job and he probably would have gone to jail, but while he was waiting for trial a bodysnatcher got him.”

“Bodysnatcher?”

“That’s right. All the commune organizations have them. They’ve got to get reliable farm labor, people who aren’t eligible for relief. . . people who can’t just lay down their tools and walk off when it gets rough. Almost everybody can get enough assistance to stay alive, though; everyone who isn’t on the government’s fecal roster.”

“So he skipped out before his trial came up?”

She nodded. “It was a case of choosing between commune life, which he knew wasn’t easy, and going on the dole after a few years’ working on a prison farm; exconvicts can’t get legitimate jobs. They had to forfeit their condominium, which

they’d put up for bail, but the government would’ve gotten that anyhow, once he was in jail.

“So the bodysnatcher offered him and Mother new identities, transportation to the commune, a cottage, and a plot of land. They took it.”

“Arid what did the bodysnatcher get?”

“He himself probably didn’t get anything. The commune got their ration tickets; they were allowed to keep their money, although they didn’t have very much-”

“What happens if they get caught?”

“Not a chance.” She laughed. “The communes provide over half the country’s produce-they’re really just an unofficial arm of the government. I’m sure the CBI knows

Joe Haldeman 134

exactly where they are.. . . Dad grumbles that it’s just a fancy way of being in jail anyhow.”

“What a weird setup.”

“Well, it keeps the land farmed.” She pushed her empty dessert plate a symbolic centimeter away from her. “And they’re eating better than most people, better than they ever had in the city. Mom knows a hundred ways to fix chicken and potatoes.”

After dinner we went to a musical show. The hotel had gotten us tickets to a “cultural translation” of the old rock opera Hair. The program explained that they had taken some liberties with the original choreography, because back in those days they didn’t allow actual coition on stage. The music was pleasantly old-fashioned, but neither of us was quite old enough to work up any bluriy-eyed nostalgia over

  1. it. Still, it was much more enjoyable than the movies I’d seen, and some of the physical feats perfonned were quite inspiring. We slept late the next morning.

 

We dutifully watched the changing of the guard at Buckingham Palace, walked through the British Museum, ate fish and chips, ran up to Stratford-on-Avon and caught the Old Vic doing an incomprehensible play about a mad king, and didn’t get into any trouble until the day before we were to leave for Lisbon.

It was about 2 A.M. and we were tooling our tricycles down a nearly deserted thoroughfare. Turned a corner and there was a gang of boys beating the hell out of someone. I screeched to the curb and leaped out of my vehicle, firing the shotgun- pistol over their heads.

It was a girl they were attacking; it was rape. Most of them scattered, but one pulled a pistol out of his coat and I shot him. I remember trying to aim for his arm. The blast hit his shoulder and ripped off his arm and what seemed to be half of his chest; it flung him two meters to the side of a building and he must have been dead before he hit the ground.

The others ran, one of them shooting at me with a little pistol as he went. I watched him trying to kill me for the longest time before it occurred to me to shoot back. I sent

‘l’HE FOREVER WAR 135

one blast way high and he dove into an alley and disappeared.

The girl looked dazedly around,  saw the mutilated body  of her attacker, and staggered to her feet and ran off screaming, naked from the waist down. I knew I should

have tried to stop her, but I couldn’t find my voice and my

feet seemed nailed to the sidewalk. A tricycle door slammed and Marygay was beside me.

“What hap-” She gasped, seeing the dead man. “Whwhat was he doing?”

I just stood there stupefied. I’d certainly seen enough death these past two years, but this was a different thing

  • . . there was nothing noble in being crushed to death by the failure of some electronic component, or in having your suit fail and freeze you solid; or even dying in a shoot-out with the incomprehensible enemy. . . but death seemed natural in that setting. Not on a quaint little street in old-fashioned London, not for trying to steal what most people would give

Marygay was pulling my arm. “We’ve got to get out of here. They’ll brainwipe you!”

She was tight. I turned and took one step and fell to the concrete. I looked down at the leg that had betrayed me and bright red blood was pulsing out of a small hole in my calf. Marygay tore a strip of cloth from her blouse  and started to bind it. I remember thinking it wasn’t a big enough wound to go into shock over, but my ears started to ring and I got lightheaded and everything went red and fuzzy. Before I went under, I heard a siren wailing in the distance.

 

Fortunately, the police also picked up the girl, who was wandering down the street a few blocks away. They compared her version of the thing with mine, both of us under hypnosis. They let me go with a stern admonition to leave law enforcement up to professional law enforcers.

I wanted to get out of the cities: just put a pack on my back and wander through the woods for a while, get my mind straightened out. So did Marygay. But we tried to make arrangements and found that the country was worse

than the cities. Farms were practically armed camps, the areas between ruled by nomad gangs who survived by making lightning raids into villages and farms, murdering and plundering for a few minutes, and then fading back into the forest, before help could arrive.

Still, Britishers called their island “the most civilized country in Europe.” From what we’d heard about France and Spain and Germany, especially Germany, they were probably right.

I talked it over with Marygay, and we decided to cut short our tour and go back to the States.~We could finish the tour after we’d become acclimated to the twenty-first century. It was just too much foreignness to take in one dose.

The dirigible line refunded most of our money and we took a conventional suborbital flight back home. The high altitude made my leg throb, though it was nearly healed.

They’d made great strides in the treatment of gunshot wounds, in the past twenty years. Lots of practice.

We split up at Ellis. Her description of commune life appealed to me more than the city; I made arrangements to join her after a week or so, and went back to Washington.

10

I rang the bell and a strange woman answered the door, opening it a couple of centimeters and peering through.

“Pardon me,” I said, “isn’t this Mrs. Mandella’s residence?”

“Oh, you must be William!” She closed the door and unfastened the chains and opened it wide. “Beth, look who’s here!”

My mother came into the living room from the kitchen, drying her hands on a towel. “Willy.. . what are you doing back so soon?”

“Well, it’s-it’s a long story.”

“Sit down, sit down,” the other woman said. “Let me get you a drink, don’t start till I get back.”

“Wait,” my mother said. “I haven’t even introduced you two. William, this is Rhonda Wilder. Rhonda, William.”

“I’ve been so looking forward to meeting you,” she said. “Beth has told me all about you-one cold beer, right?”

“Right.” She was likable enough, a trim middle-aged woman. I wondered why I hadn’t met her before. I asked my mother whether she was a neighbor.

“Uh. . . really more than that, William. She’s been my roommate for a couple of years. That’s why I had an extra room when you came home-a single person isn’t allowed two bedrooms.”

“But why-”

“I didn’t tell you because I didn’t want you to feel that you were putting her out of her room while you stayed here. And you weren’t, actually; she has-”

“That’s right.” Rhonda came in with the beer. “I’ve got relatives in Pennsylvania, out in the country. I can stay with them any time.”

“Thanks.” I took the beer. “Actually, I won’t be here long. I’m kind of en route to South Dakota. I could find another place to flop.”

“Oh, no,” Rhonda said. “I can take the couch.” I was too old-fashioned male- chauv to allow that; we discussed it for a minute and I wound up with the couch.

I filled Rhonda in on who Marygay was and told them about our disturbing experiences in England, how we came back to get our bearings. I had expected my mother to be horrified that I had killed a man, but she accepted it without comment. Rhonda clucked a little bit about our being out in a city after midnight, especially without a bodyguard.

We talked on these and other topics until late at night, when Mother called her bodyguard and went off to work.

Something had been nagging at me all night, the way Mother and Rhonda acted toward each other. I decided to bring it out into the open, once Mother was gone.

“Rhonda-” I settled down in the chair across from her. I didn’t know exactly how to put it. “What, ub, what exactly is your relationship with my mother?”

She took a long drink. “Good friends.” She stared at me with a mixture of defiance and resignation. “Very good friends. Sometimes lovers.”

I felt very hollow and lost. My mother?

“Listen,” she continued. “You had better stop trying to live in the nineties. This may not be the best of all possible worlds, but you’re stuck with it.”

She crossed and took my hand, almost kneeling in front of me. Her voice was softer. “William. . . look, I’m only two years older than you are-that is, I was born two years before-what I mean is, I can understand how you feel. B-your mother understands too. It, our. . . relationship, wouldn’t be a secret to anybody else. It’s perfectly normal. A lot has changed, these twenty years. You’ve got to change too.”

I didn’t say anything.

She stood up and said firmly, “You think, because your mother is sixty, she’s outgrown her need for love? She needs it more than you do. Even now. Especially now.”

Accusation in her eyes. “Especially flOW with you com THE FOREVER WAR

139

ing back from the dead past. Reminding her of how old she is. How-old I am, twenty years younger.” Her voice quavered and cracked, and she ran to her room.

I wrote Mother a note saying that Marygay had called; an emergency had come up and I had to go immediately to South Dakota. I called a bodyguard and left.

 

A whining, ozone-leaking, battered old bus let me out at the intersection of a bad road and a worse one. It had taken me an hour to go the 2000 kilometers to Sioux Falls, two hours to get a chopper to Geddes, 150 kilometers away, and three hours waiting and jouncing on the dilapidated bus to go the last 12 kilometers to Freehold, an organization of communes where the Potters had their acreage. I wondered if the progression was going to continue and I would be four hours walking down this dirt road to the farm.

It was a half-hour before I even came to a building. My bag was getting intolerably heavy and the bulky pistol was chafing my hip. I walked up a stone path to the door of a simple plastic dome and pulled a string that caused a bell to tinkle inside. A peephole darkened.

“Who is it?” Voice muffled by thick wood. “Stranger asking directions.”

“Ask.” I couldn’t tell whether it was a woman or a child. “I’m looking for the Potters’ farm.”

“Just a second.” Footsteps went away and came back.

“Down the road one point nine klicks. Lots of potatoes and green beans on your right. You’ll probably smell the chickens.”

“Thanks.”

“If you want a drink we got a pump out back. Can’t let you in without my husband’s at home.”

“1 understand. Thank you.” The water was metallic-tasting but wonderfully cool.

I wouldn’t know a potato or green bean plant if it stood up and took a bite out of my ankle, but I knew how to walk a half-meter step. So I resolved to count to 3800 arid take a deep breath. I supposed I could tell the difference between the smell of chicken manure and the absence thereof.

At 3650 there was a rutted path leading to a complex of

plastic domes and rectangular buildings apparently made of sod. There was a pen enclosing a small population explosion of chickens. They had a smell but it wasn’t strong.

Halfway down the path, a door opened and Marygay came running out, wearing one tiny wisp of cloth. After a slippery but gratifying greeting, she asked what I was doing here so early.

“Oh, my mother had friends staying with her. I didn’t want to put them out. Suppose I should have called.”

“Indeed you should have. . . save you a long dusty walk-but we’ve got plenty of room, don’t worry about that.”

She took me inside to meet her parents, who greeted me warmly and made me feel definitely overdressed. Their faces showed their age but their bodies had no sag and few wrinkles.

Since dinner was an occasion, they let the chickens live and instead opened a can of beef, steaming it along with a cabbage and some potatoes. To my plain tastes it was equal to most of the gourmet fare we’d had on the dirigible and in London.

Over coffee and goat cheese (they apologized for not having wine; the commune would have a new vintage out in a couple of weeks), I asked what kind of work I could do.

“Will,” Mr. Potter said, “I don’t mind telling you that your coming here is a godsend. We’ve got five acres that are just sitting out there, fallow, because we don’t have enough hands to work them. You can take the plow tomorrow and start breaking up an acre at a time.”

“More potatoes, Daddy?” Marygay asked.

“No, no.. . not this season. Soybeans-cash crop and good for the soil. And Will, at night we all take turns standing guard. With four of us, we ought to be able to do a lot more sleeping.” He took a big slurp of coffee. “Now, what else. . .”

“Richard,” Mrs. Potter said, “tell him about the greenhouse.” “That’s right, yes, the greenhouse. The commune has a

two-acre greenhouse down about a click from here,  by the recreation center. Mostly grapes and tomatoes. Everybody spends one morning or one afternoon a week there.

“Why don’t you children go down there tonight.. show Will the night life in fabulous Freehold? Sometimes you can get a real exciting game of checkers going.”

“Oh, Daddy. It’s not that bad.”

“Actually, it isn’t. They’ve got a fair library and a coin-op terminal to the Library of Congress. Marygay tells me you’re a reader. That’s good.”

“Sounds fascinating.” It did. “But what about guard?”

“No problem. Mrs. Potter-April-and I’ll take the first four hours-oh,” he said, standing, “let me show you the setup.”

We went out back to “the tower,” a sandbag hut on stilts. Climbed up a rope ladder through a hole in the middle of the hut.

“A little crowded in here, with two,” Richard said.

“Have a seat.” There was an old piano stool beside the hole in the floor. I sat on it. “It’s handy to be able to see all the field without getting a crick in your neck. Just don’t keep turning in the same direction all the time.”

He opened a wooden crate and uncovered a sleek rifle, wrapped in oily rags. “Recognize this?”

“Sure.” I’d had to sleep with one in basic training.

“Army standard issue T-sixteen. Semi-automatic, twelve-caliber tumblers-where the hell did you get it?”

“Commune went to a government auction. It’s an antique now, son.” He handed it to me and I snapped it apart.

Clean, too clean.

“Has it ever been used?”

“Not in almost a year. Ammo costs too much for target practice. Take a couple of practice shots, though, convince yourself that it works.”

I turned on the scope and just got a washed-out bright green. Set for nighttime. Clicked it back to log zero, set the magnification at ten, reassembled it.

“Marygay didn’t want to try it out. Said she’d had her fill of that. I didn’t press her, but a person’s got to have confidence in ther tools.”

I clicked off the safety and found a clod of dirt that the range-finder said was between 100 and 120 meters away.

Set it at 110, rested the barrel of the rifle on the sandbags, centered the clod in the crosshairs, and squeezed. The round hissed out and kicked up dirt about five centimeters low.

“Fine.” I reset it for night use and safetied it and handed it back. “What happened a year ago?”

He wrapped it up carefully, keeping the rags away from the eyepiece. “Had some jumpers come in. Fired a few rounds and scared ’em away.”

“All right, what’s a jumper?”

“Yeah, you wouldn’t know.” He shook out a tobacco cigarette and passed me the box. “I don’t know why they don’t just call ’em thieves, that’s what they ar~’Murderers, too, sometimes.

“They know that a lot of the commune members are pretty well off. If you raise cash crops you get to keep half the cash; besides, a lot of our members were prosperous when they joined.

“Anyhow, the jumpers take advantage of our relative isolation. They come out from the city and try to sneak in, usually hit one place, and run. Most of the time, they don’t get this far in, but the farms closer to the road.. . we hear gunfire every couple of weeks. Usually just scaring off kids. If it keeps up, a siren goes off and the commune goes on alert.”

“Doesn’t sound fair to the people living close to the road.”

“There’re compensations. They only have to donate half as much of their crop as the rest of us do. And they’re issued heavier weapons.”

 

Marygay and I took the family’s two bicycles and pedaled down to the recreation center. I only fell off twice, negotiating the bumpy road in the dark.

It was a little livelier than Richard had described it. A young nude girl  was dancing sensuously to an assortment of homemade drums near the far side of the dome. Turned out she was still in school; it was a project for a “cultural relativity” class.

Most of the people there, in fact, were young and therefore still in school. They considered it a joke, though. After you had learned to read and write and could pass the Class I literacy test, you only had to take one course per year, and some of those you could pass just by signing up. So much for the “eighteen years’ compulsory education” they had startled us with at Stargate.

Other people were playing board games, reading, watching the girl gyrate, or just talking. There was a bar that served soya, coffee, or thin homemade beer. Not a ration ticket to be seen; all made by the commune or purchased outside with commune tickets.

We got into a discussion about the war, with a bunch of people who knew Marygay and I were veterans. It’s hard to describe their attitude, which was pretty

uniform. They were angry in an abstract way that it took so much tax money to support; they were convinced that the Taurans would never be any danger to Earth; but they all knew that nearly half the jobs in the world were associated with the war, and if it stopped, everything would fall apart.

I thought everything was in shambles already, but then I hadn’t grown up in this world. And they had never known “peacetime.”

We went home about midnight and Maiygay and 1 each stood two hours’ guard. By the middle of the next morning, I was wishing I had gotten a little more sleep.

The plow was a big blade on wheels with two handles for steering, atomic powered. Not very much power, though; enough to move it forward at a slow crawl if the blade was in soft earth. Needless to say, there was little soft earth in the unused five acres. The plow would go a few centimeters, get stuck, freewheel until I put some back into it, then move a few more centimeters. I finished a tenth of an acre the first day and eventually got it up to a fifth of an acre a day.

It was hard, hardening work, but pleasant. I had an ear-clip that piped music to me, old tapes from Richard’s collection, and the sun browned me all over. I was beginning to think I could live that way forever, when suddenly it was finished.

Marygay and I were reading up at the recreation center one evening when we heard faint gunfire down by the road. We decided it’d be smart to get back to the house. We were less than halfway there when firing broke out all along our left, on a line that seemed to extend from the road to far past the recreation center: a coordinated attack. We had to abandon the bikes and crawl on hands and knees in the drainage ditch by the side of the road, bullets hissing over our heads. A heavy vehicle rumbled by, shooting left and right. It took a good twenty minutes to crawl home. We passed two farmhouses that were burning brightly. I was glad ours didn’t have any wood.

I noticed there was no return fire coming from our tower, but didn’t say anything. There were two dead strangers in front of the house as we rushed inside.

April was lying on the floor, still alive but bleeding from a hundred tiny fragment wounds. The living room was rubble and dust; someone must have thrown a bomb through a door or window. I left Marygay with her mother and ran out back to the tower. The ladder was pulled up, so I had to shinny up one of the stilts.

Richard was sitting slumped over the rifle. In the pale green glow from the scope I could see a perfectly round bole above his left eye. A little blood had trickled down the bridge of his nose and dried.

I laid his body on the floor and covered his head with my shirt. I filled my pockets with clips and took the rifle back to the house.

Marygay had tried to make her mother comfortable. They were talking quietly. She was holding my shotgun-pistol and had another gun on the floor beside her. When I came in she looked up and nodded soberly, not crying.

April whispered something and Maiygay asked, “Mother wants to know whether..

. Daddy had a hard time of it She knows he’s dead.” “No. I’m sure he didn’t feel anything.”

“That’s good.”

“It’s something.” I should keep my mouth shut. “It is good, yes.” I checked the doors and windows for an effective vantage

point. I couldn’t find anyplace that wouldn’t allow a whole platoon to sneak up behind me.

“I’m going to go outside and get on top of the house.” Couldn’t go back to the tower. “Don’t you shoot unless somebody gets inside. . . maybe they’ll think the place is deserted.”

By the time I had clambered up to the sod roof, the heavy truck was coming back down the road. Through the scope I could see that there were five men on it, four in the cab and one who was on the open bed, cradling a machine gun, surrounded by loot. He was crouched between two refrigerators, but I had a clear shot at him. Held my fire, not wanting to draw attention. The truck stopped in front of the house, sat for a minute, and turned in. The window was probably bulletproof, but I sighted on the driver’s face and squeezed off a round. He jumped as it ricocheted, whining, leaving an opaque star on the plastic, and the man in back opened up. A steady stream of bullets hummed over my head; I could hear them thumping into the sandbags of the tower. He didn’t see me.

The truck wasn’t ten meters away when the shooting stopped. He was evidently reloading, hidden behind the refrigerator. I took careful aim and when he popped up to fire I shot him in the throat. The bullet being a tumbler, it exited through the top of his skull.

The driver pulled the truck around in a long arc so that, when it stopped, the door to the cab was flush with the door of the house. This protected them from the tower and also from me,though I doubted they yet knew where I was; a T-16 makes no flash and very little noise. I kicked off my shoes and stepped cautiously onto the top of the cab, hoping the driver would get out on his side. Once the door opened I could fill the cab with ricocheting bullets.

No good. The far door, hidden from me by the roof’s overhang, opened first. I waited for the driver and hoped that Marygay was well hidden. I shouldn’t have worried.

There was a deafening roar, then another and another. The heavy truck rocked with the impact of thousands of tiny fiechettes. One short scream that the second shot ended.

I jumped from the truck and ran around to the back door. Marygay had her mother’s head on her lap, and someone was crying softly. I went to them and Marygay’s cheeks were dry under my palms.

“Good work, dear.”

She didn’t say anything. There was a steady heavy dripping sound from the door and the air was acrid with smoke and the smell of fresh meat. We huddled together until dawn.

I had thought April was sleeping, but in the dim light her eyes were wide open and filmed. Her breath came in shallow rasps. Her skin was gray parchment and dried blood. She didn’t answer when we talked to her.

A vehicle was coming up the road, so I took the rifle and went outside. It was a dump truck with j white sheet draped over one side and a man standing in The back with a megaphone repeating, “Wounded. . . wounded.” I waved and the truck came in. They took April out on a makeshift litter and told us which hospital they were going to. We wanted to go along but there was simply no room; the bed of the truck was covered with people in various stages of disrepair.

Marygay didn’t want to go back inside because it was getting light enough to see the men she had killed so completely. I went back in to get some cigarettes and forced myself to look. It was messy enough, but just didn’t disturb me that much. That bothered me, to be confronted with a pile of human hamburger and mainly notice the flies and ants and smell. Death is so much neater in space.

We buried her father behind the house, and when the truck came back with April’s small body wrapped in a shroud, we buried her beside him. The commune’s sanitation truck came by a little later, and gas-masked men took care of the jumpers’ bodies.

We sat in the baking sun, and finally Marygay wept, for a long time, silently. 11

We got off the plane at Dulles and found a monorail to Columbia.

It was a pleasingly diverse jumble of various kinds of buildings, arranged around a lake, surrounded by trees. All of the buildings were connected by slidewalk to the largest place, a fullerdome with stores and schools and offices.

We could have taken the enclosed slidewalk to Mom’s place, but instead walked alongside it in the good cold air that smelled of fallen leaves. People slid by on the other side of the plastic, carefully not staring.

Mom didn’t answer her door, but she’d given me an entry card. Mom was asleep in the bedroom, so Marygay and I settled in the living room and read for a while.

We were startled suddenly by a loud fit of coughing from the bedroom. I raced over and knocked on the door.

“William? I didn’t-” coughing “-come in, I didn’t know you were…”

She was propped up in bed, the light on, surrounded by various nostrums. She looked ghastly, pale and lined.

She lit a joint and it seemed to quell the coughing. “When did you get in? I didn’t know…”

“Just a few minutes ago. .. . How long has this. . . have you been…”

“Oh, it’s just a bug I picked up after Rhonda went to see her kids. I’ll be fine in a couple of days.” She started coughing again, drank some thick red liquid from a bottle. All of her medicines seemed to be the commercial, patent variety.

“Have you seen a doctor?”

“Doctor? Heavens no, Willy. They don’t have.. . it’s not serious . . . don’t-” ”Not serious?” At eighty-four. “For Chrissake, mother.” I went to the phone in the kitchen and with some difficulty managed to get the hospital.

A plain girl in her twenties formed in the cube. “Nurse Donalson, general services.” She had a fixed smile, professional sincerity. But then everybody smiled.

“My mother needs to be looked at by a doctor. She has a-” “Name and number, please.”

“Beth Mandella.” I spelled it. “What number?” “Medical services number, of course,” she smiled.

I called into Mom and asked her what her number was. “She says she can’t remember.”

“That’s all right, sir, I’m sure I can find her records.”

She turned her smile to a keyboard beside her and punched out a code. “Beth Mandella?” she said, her smile wrning quizzical.

“You’re her son? She must be in her eighties.”

“Please. It’s a long story. She really has to see a doctor.” “Is this some kind of joke?”

“What do you mean?” Strangled coughing from the other room, the worst yet. “Really-this might be very serious, you’ve got to-”

“But sir, Mrs. Mandella got a zero priority rating way back in 2010.” “What the hell is that supposed to me”

“S-i-r…” The smile was hardening in place.

“Look. Pretend that I came from another planet. What is a ‘zero priority rating’?” “Another-oh! I know you!” She looked off to the left. “Sonya-come over here a

second. You’d never guess who…” Another face crowded the cube, a vapid blonde girl whose smile was twin to the other nurse’s. “Remember? On the stat this morning?”

“Oh, yeah,” she said. “One of the soldiers-hey, that’s really max, really max.” The head withdrew.

“Oh, Mr. Mandella,” she said, effusive. “No wonder you’re confused. It’s really very simple.”

“Well?”

“It’s part of the Universal Medical Security System. Everybody gets a rating on their seventieth birthday. It comes in automatically from Geneva.”

“What does it rate? What does it mean?” But the ugly truth was obvious.

“Well, it tells how important a person is and what level of treatment he’s allowed. Class three is the same as anybody else’s; class two is the same except for certain life- extending-”

“And class zero is no treatment at all.”

“That’s correct, Mr. Mandella.” And in her smile was not a glimmer of pity or understanding.

“Thank you.” I disconnected. Marygay was standing behind me, crying soundlessly with her mouth wide open.

 

I found mountaineer’s oxygen at a sporting goods store and even managed to get some black-market antibiotics through a character in a bar downtown in Washington. But Mom was beyond being able to respond to amateur treatment. She lived four days. The people from the crematonum had the same fixed smile.

I tried to get through to my brother, Mike, on the Moon, but the phone company wouldn’t let me place the call until I had signed a contract and posted a $25,000 bond. I had to get a credit transfer from Geneva. The paperwork took half a day.

I finally got through to him. Without preamble: “Mother’s dead.”

For a fraction of a second, the radio waves wandered up to the moon, and in another fraction,  came back. He started and then nodded his head slowly. “No surprise. Every  time I’ve come down to Earth the past ten years, I’ve wondered whether she’d still be there. Neither of us had enough money to keep in very close touch.” He had told us in Geneva that a letter from Luna to Earth cost $100 postage- plus $5,000 tax. It discouraged communication with what the UN considered to be a bunch of regrettably necessary anarchists.

We commiserated for a while and then Mike said,

“Willy, Earth is no place for you and Marygay; you know that by now. Come to Luna. Where you can still be an

150

Joe Haldeman

individual. Where we don’t throw people out the airlock on their seventieth birthday.”

“We’d have to rejoin UNEF.”

“True, but you wouldn’t have to fight. They say they need you more for training. You could study in your spare time, bring your physics up to date-maybe wind up eventually in research.”

We talked some more, a total of three minutes. I got $1000 back.

Marygay and I talked about it through the night. Maybe our decision would have been different if we hadn’t been staying there, surrounded by Mother’s life and death, but when the dawn came the proud, ambitious, careful beauty of Columbia had turned sinister and foreboding.

We packed our bags and had our money transferred to the Tycho Credit Union and took a monorail to the Cape.

 

“In case you’re interested, you aren’t the first combat veterans to come back.” The recruiting officer was a muscular lieutenant of indeterminate sex. I flipped a coin men-tally and it came up tails.

“Last I heard, there had been nine others,” she said in her husky tenor. “All of them opted for the moon… maybe you’ll find some of your friends there.” She slid two simple forms across the desk. “Sign these and you’re in again. Second lieutenants.”

The form was a simple request to be assigned to active duty; we had never really gotten out of the Force, since they extended the draft law, but had just been on inactive status. I scrutinized the paper.

“There’s nothing on this about the guarantees we were given at Stargate.” “That won’t be necessary. The Force will-”

“I think it is necessary, Lieutenant.” I handed back the form. So did Marygay.

“Let me check.” She left the desk and disappeared into an office. After a while we heard a printer rattle.

She brought back the same two sheets, with an addition typed under our names: GUARANTEED LOCATION OF CHOICE

[LUNA] AND ASSIGNMENT OF CHOICE [col~iaAT TRAINING SPECIALIST].

We got a thorough physical checkup and were fitted for new fighting suits, made our financial arrangements, and caught the next morning’s shuttle. We laid over at Earth-port, enjoying zero gravity for a few hours, and then caught a ride to Luna, setting down at the Grimaldi base.

On the door to the Transient Officers’ Billet, some wag had scraped “abandon hope all ye who enter.” We found our two-man cubicle and began changing for chow.

Two raps on the door. “Mail call, sirs.”

I opened the door and the sergeant standing there saluted. I just looked at him for a second and then remembered I was an officer and returned the salute. He handed me two identical faxes. I gave one to Marygay and we both gasped at the same time:

* *ORDERS* *ORDERS**ORDERS

 

THE FOLLOWING NAMED PERSONNEL:

Mandella, William 2LT [11 575 278] COCOMM D Co GRITRABN

AND

Potter, Marygay 2LT [17 386 907] COCOMM B Co GRITRABN ARE HEREBY REASSIGNED TO:

LT Mandella. PLCOMM 2 PL STFFHETA STARGATE Lr Potter: PLCOMM 3 PL STF~HETA STARGATE. DESCRIPTION OF DUTIES:

Command infantry platoon in Tet-2 Campaign.

THE ABOVE NAMED PERSONNEL WILL REPORT IMMEDIATELY

TO  GRIMALDI  TRANSPORTATION  BATTALION  TO  BE  MAN  IFESTED  TO STARGATE.

ISSUED STARGATE TACBD/l 298-8684-1450/20 Aug 2019 SO:

BY AUTHO STFCOM Commander.

 

**ORDERS* *ORDERS**ORDERS

 

“They didn’t waste any time, did they?” Marygay said bitterly.

“Must be a standing order. Strike Force Command’s light-weeks away; they can’t even know we’ve re-upped yet.”

“What about our. . .” She let it trail off.

“The   guarantee.   Well,   we   were   given   our   assignment   of   choice.   Nobody guaranteed we’d have the assignment for more than an hour.”

“It’s so dirty.”

I shrugged. “It’s so army.”

But I couldn’t shake the feeling that we were going home.

 

 

 

 

LIEUTENANT MANDELLA 2024-2389 A.D.

 

 

 

 

“Quick and dirty.” 1 was looking at my platoon sergeant, Santesteban, but talking to myself. And anybody else who was listening.

“Yeah,” he said. “Gotta do it in the first coupla minutes or we’re screwed tight.” He was matter-of-fact, laconic. Drugged.

Private Collins came up with Halliday. They were holding hands unself- consciously. “Lieutenant Mandella?” Her voice btoke a little. “Can we have just a minute?”

“One minute,” I said, too abruptly. “We have to leave in five, I’m sorry.”

Hard to watch those two together now. Neither one had any combat experience. But  they  knew  what  everybody  did;  how  slim  their chances  were of ever being

together again. They slumped in a corner and mumbled words and traded mechanical caresses, no passion or even comfort. Collins’s eyes shone but she wasn’t weeping. Halliday just looked grim, numb. She was normally by far the prettier of the two, but the sparkle had gone out of her and left a well-formed dull shell.

I’d gotten used to open female homosex in the months since we’d left Earth. Even stopped resenting the loss of potential partners. The men together still gave me a chill, though.

I stripped and backed into the clamshelled suit. The new ones were a hell of a lot more complicated, with all the new biometrics and trauma maintenance. But well worth the trouble of hooking up, in case you got blown apart just a little bit. Go home to a comfortable pension with heroic prosthesis. They were even talking about the possibility of regeneration, at least for missing arms and legs. Better get it soon, before Heaven filled up with fractional people. Heaven was the new hospital/rest- and-recreation planet.

I finished the set-up sequence and  the suit  closed by itself. Gritted my teeth against the pain that never came, when the internal sensors and fluid tubes poked into your body. Conditioned neural bypass, so you felt only a slight puzzling dislocation. Rather than the death of a thousand cuts.

Collins and Halliday were getting into their suits now and the other dozen were almost set, so I stepped over to the third platoon’s staging area. Say goodbye again to Marygay.

She was suited and heading my way. We touched helmets instead of using the radio. Privacy.

“Feeling OK, honey?”

“All right,” she said. “Took my pill.”

“Yeah, happy times.” I’d taken mine too, supposed to make you feel optimistic without interfering with your sense of judgment. I knew most of us would probably die, but I didn’t feel too bad about it. “Sack with me tonight?”

“If we’re both here,” she said neutrally. “Have to take a pill for that, too.” She tried to laugh. “Sleep, I mean. How’re the new people taking it? You have ten?”

“Ten, yeah, they’re OK. Doped up, quarter-dose.” “I did that, too; try to keep them loose.”

In fact, Santesteban was the only other combat veteran in my platoon; the four corporals had been in UNEF for a while but hadn’t ever fought.

The speaker in my cheekbone crackled and Commander Cortez said, “Two minutes. Get your people lined up.”

We had our goodbye and I went back to check my flock. Everybody seemed to have gotten suited up without any problems, so I put them on line. We waited for what seemed like a long time.

“All right, load ’em up.” With the word “up,” the bay door in front of me opened- the staging area having already been bled of air-and I led my men and women through to the assault ship.

These new ships were ugly as hell. Just an open framework with clamps to hold you in place, swiveled lasers fore and aft, small tachyon powerplants below the lasers. Everything automated; the machine would land us as quickly as

possible and then zip off to harass the enemy. It was a one-use, throwaway drone. The vehicle that would come pick us up if we survived was cradled next to it, much prettier.

We clamped in and the assault ship cast off from the Sangre y Victoria with twin spurts from the yaw jets. Then the voice of the machine gave us a short countdown and we sped off at four gees’ acceleration, straight down.

The planet, which we hadn’t bothered to name, was a chunk of black rock without any normal star close enough to give it heat. At first it was visible only by the absence of stars where its bulk cut off their light, but as we dropped closer we could see subtle variations in the blackness of its surface. We were coming down on the hemisphere opposite the Taurans’ outpost.

Our recon had shown that their camp sat in the middle of a flat lava plain several hundred kilometers in diameter. It was pretty primitive compared to other Tauran bases UNEF had encountered, but there wouldn’t be any sneaking up on it. We were going to careen over the horizon some fifteen klicks from the place, four ships converging simultaneously from different directions, all of us decelerating like mad, hopefully to drop right in their laps and come up shooting. There would be nothing to hide behind.

I wasn’t worried, of course. Abstractedly, I wished I hadn’t taken the pill.

We leveled off about a kilometer from the surface and sped along much faster than the rock’s escape velocity, constantly correcting to keep from flying away. The surface rolled below us in a dark gray blur; we shed a little light from the pseudo- cerenkov glow made by our tachyon exhaust, scooting away from our reality into its own.

The ungainly contraption skimmed and jumped along for some ten minutes; then suddenly the front jet glowed and we were snapped forward inside our suits, eyeballs trying to escape from their sockets in the rapid deceleration.

“Prepare for ejection,” the machine’s female-mechanical voice said. “Five, four. . .” The ship’s lasers started firing, millisecond flashes freezing the land below in jerky stroboscopic motion. It was a twisted, pock-marked jumble of fissures and random

black

rocks, a few meters below our feet. We were dropping, slowing.

“Three-” It never got any farther. There was a too-bright flash and I saw the horizon drop away as the ship’s tail pitched down-then clipped the ground, and we were rolling, horribly, pieces of people and ship scattering. Then we slid pinwheeling to a bumpy halt, and I tried to pull free but my leg was pinned under the ship’s bulk: excruciating pain and a dry crunch as the girder crushed my leg; shrill whistle of air escaping my breached suit; then the trauma maintenance turned on snick, more pain, then no pain and I was rolling free, short stump of a leg trailing blood that froze shiny black on the dull black rock. I tasted brass and a red haze closed everything out, then deepened to the brown of river clay, then loam and I passed out, with the pill thinking this is not so bad.

 

The suit is set up to save as much of your body as possible. If you lose part of an arm or a leg, one of sixteen razor-sharp irises closes around your limb with the force of a hydraulic press, snipping it off neatly and sealing the suit before you can die of explosive decompression. Then “trauma maintenance” cauterizes the stump, replaces lost blood, and fills you full of happy-juice and No-shock. So you will either

die happy or, if your comrades go on to win the battle, eventually be carried back up to the ship’s aid station.

We’d won that round, while I slept swaddled in dark cotton. I woke up in the infinnary. It was crowded. I was in the middle of a long row of cots, each one holding someone who had been three-fourths (or  less) saved by his suit’s trauma maintenance feature. We were being ignored by the ship’s two doctors, who stood in bright light at operating tables, absorbed in blood rituals. I watched them for a long time. Squinting into the bright light, the blood on their green tunics could have been grease, the swathed bodies, odd soft machines that they were fixing. But the machines would cry out in their sleep, and the mechanics muttered reassurances while they plied their greasy tools. I watched and slept and woke up in different places.

lrlErunEvLjt wttit I ..)~

Finally I woke up in a regular bay.I was strapped down and being fed through a tube, biosensor electrodes attached lere and there, but no medics around. The only other peron in the little room was Marygay, sleeping on the bunk next to me. Her right arm was amputated just above the elbow.

I didn’t wake her up, just looked at her for a long time and tried to sort out my feelings. Tried to filter out the effect of the mood drugs. Looking at her stump, I could feel neither empathy nor revulsion. I tried to force one reaction, and then the other, but nothing real happened. It was as if she had always been that way. Was it drugs, conditioning, love? Have to wait to see.

Her eyes opened suddenly and I knew she had been awake for some time, had been giving me time to think “Hello, broken toy,” she said.

“How-how do you feel?” Bright question.

She put a finger to her lips and kissed it, a familiar gesture, reflection. “Stupid, numb. Glad not to be a soldier anymore.” She smiled. “Did they tell you? We’re going to Heaven.”

“No. I knew it would be either there or Earth.”

“Heaven will be better.” Anything would. “I wish we were there now.” “How long?” I asked. “How long before we get there?”

She rolled over and looked at the ceiling. “No telling. You haven’t talked to anybody?”

“Just woke up.”

“There’s a new directive they didn’t bother to tell us about before. The Sangre y Victoria got orders for four missions. We have to keep on fighting until we’ve done all four. Or until we’ve sustained so many casualties that it wouldn’t be practical to go on.”

“How many is that?”

“I wonder. We lost a good third already. But we’re headed for Aleph-7. Panty raid.” New slang term for the type of operation whose main object was to gather Tauran artifacts, and prisoners if possible. I tried to find out where the term came from, but the one explanation I got was really idiotic.

One knock on the door and Dr. Foster barged in. He fluttered his hands. “Still in separate beds? Marygay, I thought you were more recovered than that.” Foster was all right A flaming mariposa, but he had an amused tolerance for heterosexuality.

He examined Marygay’s stump and then mine. He stuck thermometers in our mouths so we couldn’t talk. When he spoke, he was serious and blunt.

“I’m not going to sugarcoat anything for you. You’re both on happyjuice up to your ears, and the loss you’ve sustained isn’t going to bother you until I take you off the stuff. For my own convenience I’m keeping you drugged until you get to Heaven. I have twenty-one amputees to take care of. We can’t handle twenty-one psychiatric cases.

“Enjoy your peace of mind while you still have it. You two especially, since you’ll probably want to stay together. The prosthetics you get on Heaven will work just fine, but every time you look at his mechanical leg or you look at her arm, you’re going to think of how lucky  the other one is. You’re going to constantly trigger memories of pain and loss for each other… . You may be at each other’s throats in a week. Or you may share a sullen kind of love for the rest of your lives.

“Or you may be able to transcend it. Give each other strength. Just don’t kid yourselves if it doesn’t work out.”

He checked the readout on each thermometer and made a notation in his notebook. “Doctor knows best, even if he is a little weird by your own old-fashioned standards. Keep it in mind.” He took the thermometer out of my mouth and gave me a little pat on the shoulder. Impartially, he did the same to Marygay. At the door, he said, “We’ve got collapsar insertion in about six hours. One of the nurses will take you to the tanks.”

We went into the tanks-so much more comfortable and safer than the old individual acceleration shells-and dropped into the Tet-2 collapsar field already starting the crazy fifty-gee evasive maneuvers that would protect us from enemy cruisers when we popped out by Aleph-7, a microsecond later.

Predictably, the Aleph-7 campaign was a dismal failure, and we limped away from it with a two-campaign total of fifty-four dead and thirty-nine cripples bound for Heaven. Only twelve soldiers were still able to fight, but they weren’t exactly straining at the leash.

It took three collapsar jumps to get to Heaven. No ship ever went there directly from a battle, even though the delay sometimes cost extra lives. It was the one place besides Earth that the Taurans could not be allowed to find.

Heaven was a lovely, unspoiled Earth-like world; what Earth might have been like if men had treated her with compassion instead of lust. Virgin forests, white beaches, pristine deserts. The few dozen cities there either blended perfectly with the environment (one was totally underground) or were brazen statements of human ingenuity; Oceanus, in a coral reef with six fathoms of water over its transparent roof; Boreas, perched on a sheared-off mountaintop in the polar wasteland; and the fabulous Skye, a huge resort city that floated from continent to continent on the trade winds.

We landed, as everyone does, at the jungle city, Threshold. Three-fourths hospital, it’s by far the planet’s largest city, but you couldn’t tell that from the air, flying down from orbit. The only sign of civilization was a short runway that suddenly appeared, a small white patch dwarfed to insignificance by the stately rain forest that crowded in from the east and an immense ocean that dominated the other horizon.

Once under the arboreal cover, the city was very much in evidence. Low buildings of native stone and wood rested among ten-meter-thick tree trunks.  They were connected by unobtrusive stone paths, with one wide promenade meandering off to

the beach. Sunlight filtered down in patches, and the air held a mixture of forest sweetness and salt tang.

I later learned that the city sprawled out over 200 square kilometers, that you could take a subway to anyplace that was too far to walk. The ecology of Threshold was very carefully balanced and maintained so as to resemble the jungle outside, with all the dangerous and uncomfortable elements eliminated. A powerful pressor field kept out large

joe naweman

predators and such insect life as was not necessary for the health of the plants inside.

We walked, limped and rolled into the nearest building, which was the hospital’s reception area. The rest of the hospital was underneath, thirty subterranean stories. Each person was examined and assigned his own room; I tried to get a double with Marygay, but they weren’t set up for that

“Earth-year” was 2189. So I was 215 years  old, God, look at that old codger. Somebody pass the hat-no, not necessary. The doctor who examined me said that my accumulated pay would be transferred from Earth to Heaven. With compound interest, I was just shy of being a billionaire. He remarked that I’d find lots of ways to spend my billion on Heaven.

They took the most severely wounded first, so it was several days before I went into surgery. Afterwards, I woke up in my room and found that they had grafted a prosthesis onto my stump, an articulated structure of shiny metal that to my untrained eye looked exactly like the skeleton of a leg and foot. It looked creepy as hell, lying there in a transparent bag of fluid, wires running out of it to a machine at the end of the bed.

An aide came in. “How you feelin’, sir?” I almost told him to forget the “sir” bullshit, I was out of the army and staying out this time. But it might be nice for the guy to keep feeling that I outranked him.

“I don’t know. Hurts a little.”

“Gonna hurt like a sonuvabitch. Wait’ll the nerves start to grow.” “Nerves?”

“Sure.” He was fiddling with the machine, reading dials on the other side. “How you gonna have a leg without nerves? It’d just sit there.”

“Nerves? Like regular nerves? You mean I can just think ‘move’ and the thing moves?”

“‘Course you can.” He looked at  me quizzically, then went back to his adjustments.

What a wonder. “Prosthetics has sure come a long way.”

THE FOREVER WAR 163

“Pross-what-ics?” “You know, artificial-”

“Oh yeah, like in books. Wooden legs, hooks and stuff.” How’d he ever get a job? “Yeah, prosthetics. Like this thing on the end of my stump.”

“Look, sir.” He set down the clipboard he’d been scribbling on. “You’ve been away a long time. That’s gonna be a leg, just like the other leg except it can’t break.”

“They do it with arms, too?”

“Sure, any limb.” He went back to his writing. “Livers, kidneys, stomachs, all kinds of things. Still working on hearts and lungs, have to use mechanical substitutes.”

“Fantastic.” Marygay would be whole again, too.

He shrugged. “Guess so. They’ve been doing it since before I was born. How old are you, sir?”

I told him, and he whistled. “God damn. You musta been in it from the beginning.” His accent was very strange. All the words were right but all the sounds were wrong.

“Yeah. 1 was in the Epsilon attack. Aleph-null.” They’d started naming collapsars after letters of the Hebrew alphabet, in order of discovery, then ran out of letters when the damn things started cropping up all over the place. So they added numbers after the letters; last I heard, they were up to Yod-42.

“Wow, ancient history. What was it like back then?”

“I don’t know. Less crowded, nicer. Went back to Earth a year ago-hell, a century ago. Depends on how you look at it. It was so bad I re-enlisted, you know? Bunch of zombies. No offense.”

He shrugged. “Never been there, myself. People who come from there seem to miss it. Maybe it got better.”

“What, you were born on another planet? Heaven?” No wonder I couldn’t place his accent.

“Born, raised and drafted.” He put the pen back in his pocket and folded the clipboard up to a wallet-sized package. “Yes, sir. Third-generation angel. Best damned planet in all UNEF.” He spelled it out, didn’t say “youneff” the way I’d always heard it.

“Look, I’ve gotta run, lieutenant. Two other monitors to check, this hour.” He backed out the door. “You need anything, there’s a buzzer on the table there.”

Third-generation angel. His grandparents came from Earth, probably when I was a young punk of a hundred. I wondered how many other worlds they’d colonized while my back was turned. Lose an arm, grow a new one?

It was going to be good to settle down and live a whole year for every year that went by.

The guy wasn’t kidding about the pain. And it wasn’t just the new leg, though that hurt like boiling oil. For the new tissues to “take,” they’d had to subvert my body’s resistance to alien cells; cancer broke out in a half-dozen places and had to be treated separately, painfully.

I was feeling pretty used up, but it was still kind of fas- cinating to watch the leg grow. White threads turned into blood vessels and nerves, first hanging a little slack, then moving into place as the musculature grew up around the metal bone.

I got used to seeing it grow, so the sight never repelled me. But when Marygay came to visit, it was a jolt-she was ambulatory before the skin on her new arm had started to grow; looked like a walking anatomy demonstration. I got over the shock, though, and she eventually came in for a few hours every day to play games or trade gossip or just sit and read, her arm slowly growing inside the plastic cast.

I’d had skin for a week before they uncased the new leg and trundled the machine away. It was ugly as hell, hairless and dead white, stiff as a metal rod. But it worked, after a fashion. I could stand up and shuffle along.

They transferred me to orthopedics, for “range and motion repatterning”-a fancy name for slow torture. They strap you into a machine that bends both the old and new legs simultaneously. The new one resists.

Marygay was in a nearby section, having her arm twisted methodically. It must have been even worse on her; she looked gray and haggard every afternoon, when we met to go upstairs and sunbathe in the broken shade.

As the days went by, the therapy became less like torture and more like strenuous exercise. We both began swimming for an hour or so every clear day, in the calm, pressor

THE FOREVER WAR 165

guarded water off the beach. I still limped on land, but in the water I could get around pretty well.

The only real excitement we had on Heaven-excitement to our combat-blunted sensibilities-was in that carefully guarded water.

They have to turn off the pressor field for a split second every time a ship lands; otherwise it would just ricochet off over the ocean. Every now and then an animal slips in, but the dangerous land animals are too slow to get through. Not so in the sea.

The undisputed master of Heaven’s oceans is an ugly customer that the angels, in a fit of originality, named the “shark.” It could eat a stack of earth sharks for breakfast, though.

The one that got in was an average-sized white shark who had been bumping around the edge of the pressor field for days, tormented by all that protein splashing around inside. Fortunately, there’s a warning siren two minutes before the pressor is shut down, so nobody was in the water when he came streaking through. And streak through he did, almost beaching himself in the fury of his fruitless attack.

He was twelve meters of flexible muscle with a razor-sharp tail at one end and a collection of arm-length fangs at the other. His eyes, big yellow globes, were set on stalks more than a meter out from his head. His mouth was so wide that, open, a man could comfortably stand in it. Make an impressive photo for his heirs.

They couldn’t just turn off the pressor field and wait for the thing to swim away. So the Recreation Committee organized a hunting party.

I wasn’t too enthusiastic about offering myself up as an hors d’oeuvre to a giant fish, but Marygay had spearfished a lot as a kid growing up in Florida and was really excited by the prospect. I went along with the gag when I found out how they were doing it; seemed safe enough.

These “sharks” supposedly never attack people in boats. Two people who had more faith in fishermen’s stories than I had gone out to the edge of the pressor field in a rowboat,

armed only with a side of beef. They kicked the meat overboard and the shark was there in a flash.

This was the cue for us to step in and have our fun. There were twenty-three of us fools waiting on the beach with flippers, masks, breathers and one spear each. The spears were pretty formidable, though, jet-propelled and with high-explosive heads.

We splashed in and swam in phalanx, underwater, toward the feeding creature. When it saw us at first, it didn’t attack. It tried to hide its meal, presumably so that some of us wouldn’t be able to sneak around and munch on it while the shark was

dealing with the others. But every time he tried for the deep water, he’d bump into the pressor field. He was obviously getting pissed off.

Finally, he just let go of the beef, whipped around and charged. Great sport. He was the size of your finger one second, way down there at the other end of the field, then suddenly as big as the guy next to you and closing fast.

Maybe ten of the spears hit him-mine didn’t-and they tore him to shreds. But even after an expert, or lucky, brain shot that took off the top of his head and one eye, even with half his flesh and entrails scattered in a bloody path behind him, he slammed into our line and clamped his jaws around a woman, grinding off both of her legs before it occurred to him to die.

We carried her, barely alive, back to the beach, where an ambulance was waiting. They poured her full of blood surrogate and No-shock and rushed her to the hospital, where she survived to eventually go through the agony of growing new legs. I decided that I would leave the hunting of fish to other fish.

Most of our stay at Threshold, once the therapy became bearable, was pleasant enough. No military discipline, lots of reading and things to potter around with. But there was a pall over it, since it was obvious that we weren’t out of the army; just pieces of broken equipment that they were fixing up to throw back into the fray. Marygay and I each had another three years to serve in our lieutenancies.

But we did have six months of rest and recreation coming once our new limbs were pronounced in good working

order. Marygay was released two days before I was but waited around for me.

My back pay came to $892,746,012. Not in the form of bales of currency, fortunately; on Heaven they used an electronic credit exchange, so I carried my fortune around in a little machine with a digital readout. To buy something you punched in the vendor’s credit number and the amount of purchase; the sum was automatically shuffled from your account to his. The machine was the size of a slender wallet and coded to your thumbprint.

Heaven’s economy was governed by the continual presence of thousands of resting, recreating millionaire soldiers. A modest snack would cost a hundred bucks, a room for a night at least ten times that. Since UNEF built and owned Heaven, this runaway inflation was pretty transparently a simple way of getting our accumulated pay back into the economic mainstream.

We had fun, desperate fun. We rented a flyer and camping gear and went off for weeks, exploring the planet. There were icy rivers to swim and lush jungles to crawl through; meadows and mountains and polar wastes and deserts.

We could be totally protected from the environment by adjusting our individual pressor fields-sleep naked in a blizzard-or we could take nature straight. At Marygay’s suggestion, the last thing we did before coming back to civilization was to climb a pinnacle in the desert, fasting for several days to heighten our sensibilities (or warp our perceptions, I’m still not sure), and sit back-to-back in the searing heat, contemplating the languid flux of life.

Then off to the fleshpots. We toured every city on the planet, and each had its own particular charm, but we finally returned to Skye to spend the rest of our leave time.

The rest of the planet was bargain-basement compared to Skye. In the four weeks we were using the airborne pleasure dome as our home base, Marygay and I each went through a good half-billion dollars. We gambled-sometimes losing a million dollars or more in a night-ate and drank the finest the planet had to offer, and

sampled every service and product that wasn’t too bizarre for our admittedly archaic tastes. We each had a personal servant whose

Ion

Joe tialcieman

salary was rather more than that of a major general.

Desperate fun, as I said. Unless the war changed radically, our chances of surviving the next three years were microscopic. We were remarkably healthy victims of a terminal disease, trying to cram a lifetime of sensation into a half of a year.

We did have the consolation, not small, that however

short the remainder of our lives would be, we would at least be together. For some reason it never occurred to me that even that could be taken from us.

 

We were enjoying a light lunch in the transparent “first floor” of Skye, watching the ocean glide by underneath us, when a messenger bustled in and gave us two envelopes:

our orders.

Marygay had been bumped to captain, and 1 to major, on the basis of our military records and tests we had taken at Threshold. I was a company commander and she was a company’s executive officer.

But they weren’t the same company.

She was going to muster with a new company being formed right here on Heaven. I was going back to Stargate for “indoctrination and education” before taking command.

For a long time we couldn’t say anything. “I’m going to protest,” I said finally, weakly. “They can’t make me a commander. Into a commander.”

She was still struck dumb. This was not just a separation. Even if the war was over and we left for Earth only a few minutes apart, in different ships, the geometry of the collapsar jump would pile up years between us. When the second one arrived on Earth, his partner would probably be a half-century older; more probably dead.

We sat there for some time, not touching the exquisite food, ignoring the beauty around us and beneath us, only conscious of each other and the two sheets of paper that separated us with a gulf as wide and real as death.

We went back to Threshold. I protested but my arguments were shrugged off. I tried to get Marygay assigned to my company, as my exec. They said my personnel had

all been allotted. I pointed out That most of them probably hadn’t even been born yet. Nevertheless, allotted, they said.

It would be almost a century, I said, before I even get to Stargate. They replied that Strike Force Command plans in terms of centuries.

Not in terms of people.

We had a day and a night together. The less said about that, the better. It wasn’t just losing a lover. Marygay and I were each other’s only link to real life, the Earth of the

1980s and 90s. Not the perverse grotesquerie we were supposedly fighting to preserve. When her shuttle took off it

was like a casket rattling down into a grave.

I commandeered computer time and found out the orbital elements of her ship and its departure time; found out I could watch her leave from “our” desert.

I landed on the pinnacle where we had starved together and, a few hours before dawn, watched a new star appear over the western horizon, flare to brilliance and fade as it moved away, becoming just another star, then a dim star, and then nothing. I walked to the edge and looked down the sheer rock face to the dim frozen rippling of dunes half a kilometer below. I sat with my feet dangling over the edge, thinking nothing, until the sun’s oblique rays illuminated the dunes in a soft, tempting chiaroscuro of low relief. Twice I shifted my weight as if to jump. When I didn’t, it was not for fear of pain or loss. The pain would be only a bright spark and the loss would be only the army’s. And it would be their ultimate victory over me- having ruled my life for so long, to force an end to it.

That much, I owed to the enemy. MAJOR

MANDELLA 2458-3143 A.D.

What was that old experiment they told us about in high school biology? Take a flatworm and teach it how to swim through a maze. Then mash it up and feed it to a stupid flatworm, and lo! the stupid flatworm would be able to swim the maze, too.

I had a bad taste of major general in my mouth. Actually, I supposed they had refined the techniques since my high school days. With time dilation, that was about 450 years for research and development.

At Stargate, my orders said, I was to undergo “indoctrination and education” prior to taking command of my very own Strike Force. Which was what they still called a company.

For my education on Stargate, they didn’t mince up major generals and serve them to me with hollandaise. They didn’t feed me anything except glucose for three weeks.

Glucose and electricity.

They shaved every hair off my body, gave me a shot that turned me into a dishrag, attached dozens of electrodes to my head and body, immersed me in a tank of oxygenated fluorocarbon, and hooked me up to an ALSC. That’s an “accelerated life situation computer.” It kept me busy.

I guess it took the machine about ten minutes to review

everything I had learned previously about the martial (excuse the expression) arts. Then it started in on the new stuff.

I learned the best way to use every weapon from a rock to a nova bomb. Not just intellectually; that’s what all those electrodes were for.  Cybernetically-controlled negative feedback kinesthesia; I felt the weapons in my hands and watched my performance with them. And did it over and over until I did it right. The illusion of reality was total. I used a spear-thrower with a band of Masai warriors on a village raid, and when I looked down at my body it was

long and black. I relearned epee from a cruel-looking man in foppish clothes, in an eighteenth-century French courtyard. I sat quietly in a tree with a Sharps rifle and

sniped at blue-uniformed men as they crawled across a muddy field toward Vicksburg. In three weeks I killed several regiments of electronic ghosts. It seemed more like a year to me, but the ALSC does strange things to your sense of time.

Learning to use useless exotic weapons was only a small part of the training. In fact, it was the relaxing part. Because when I wasn’t in kinesthesia, the machine kept my body totally inert and zapped my brain with four millennia’s worth of military facts and theories. And I couldn’t forget any of it! Not while I was in the tank.

Want to know who Scipio Aemilianus was? I don’t. Bright light of the Third Punic War. War is the province of danger and therefore courage above all things is the first quality of a warrior, von Clausewitz maintained. And I’ll never forget the poetry of “the advance party minus normally moves in a column formation with the platoon headquarters leading, followed by a laser squad, the heavy weapons squad, and the remaining laser squad; the column relies on observation for its flank security except when the terrain and visibility dictate the need for small security detachments to the flanks, in which case the advance party c~ommander will detail one platoon sergeant. . .” and so on.

That’s from Strike Force Command Small Unit Leader’s Handbook, as if you could call something a handbook when it takes up two whole microfiche cards, 2,000 pages.

If you want to become a thoroughly eclectic expert in a subject that repels you, join UNEF and sign up for officer training.

One hundred nineteen people, and I was responsible for 118 of them. Counting myself but not counting the Commodore, who could presumably take care of herself.

I hadn’t met any of my company during the two weeks of physical rehabilitation that followed the ALSC session. Before our first muster I was supposed to report to the Temporal Orientation Officer. I called for an appointment and his clerk said the Colonel would meet me at the Level Six Officers’ Club after dinner.

TABLE OF ORGANIZATION

Strike Force Gamma Sade-138 Campaign

IECHN:

MAJ Mondella

COMM Anwpol 2ECHN:

CAPT Moore

3ECHN:

ILT Hilleboe

4ECHN:

2LT Riland
2LT Rusk

2LT ALvever MD

5ECHN:

2LT Borgstedz
2LT Brill
2LT Gainor

2LT Heimoff 6ECHN:

SSgr Webster
SSgt Gillies
SSgr Abram:

SSgt Dole 7ECHN:

Sgt Dolins
Sgz Bell
Cpl Geller
Cpl Kahn
Sgt Anderson

Cpl Kalvm

Sgt Noyes
Cpl Spraggs

8ECHN:

Pvt Boas
CpJ Weiner
Pvt Lingeman
Pvt IkIe

Pvt Rosevear
Pvt Schon
Pvt Wolfe, R.
Pvt Shubik
Pvt Lin
Pvt Duhl

Pvt Simmons
Pvt Perloff
Pvt Winograd
Pvt Moynihan
Pvt Brown
Pvt Frank

Pvt Bloomquist
Pvt Graubard
Pvt Wong
Pvt Orlans

Pvt Louria
Pvt Mayr
Pvt Gross
Pvt Quarton
Pvt Asadi
Pvt Hin

Pvt Horman
Pvt Stendahi
Pvt Fox
Pvt Erikson
Pvt Born
Pvt Miller

Pvt Reisman
Pvt Coupling
Pvt Rosiow

Pvt Huntington
Pvt Dc Sola

Pvt Pool
Pvt Nepala
Pvt Schuba
Pvt Ulanov
Pvt Shelley
Pvt Lynn
Pvt Slaer
Pvt Schenk
Pvt Deelstre
Pvt Levy
Pvt Conroy
Pvt Yakata
Pvt Burns

Pvt Cohen Pvt Graham

Pvt Schoeliple Pvt Wolfe, E. Pvt Karkoshka Pvt Majer

Pvt Dioujova Pvt Armaing Pvt Baulez Pvt Johnson Pvt Oitrecht Pvt Kayibanth Pvt Tschudi

Supporting:  ILT Williams (NAy), 2LTs Jarvil (MED), Laasonen (MED), Wilber (PSY), Szydlowska (MAINT), Gaptchcnko (ORD), Gedo (COMM),

Gim (COMP); 1SGTs Evans (MED), Rodriguez (MED), Kostidinov (MED), Rwabwogo (PSY), Blazynski (MAINT), Turpin (ORD); SSGTS

Carreras (MED), Kousnetzov (MED), Waruinge (MED). Rojas (MED), Botos (MAINT), Orban (CK), Mbugua (COMP); SGTs Perez (MED), Seales

(MAINT), Anghelov (01W), Vugin (COMP); CPLs Daborg (MED), Correa (MED), Kajdi (SEX), Valdez (SEX), Muranga (01W); PVTs Kottysch (MAINT), Rudkoski (CK), Minter (ORE)).

 

APPROVED STFCOM STARGATE 12 Mar 2458. FOR ThE COMMANDER:

Olga Torischeva BGEN STFCOM I iO

I went down to Six early, thinking to eat dinner there, but they had nothing but snacks. Sol munched on a fungus thing that vaguely resembled escargots and took the rest of my calories in the form of alcohol.

“Major Mandeila?” I’d been busily engaged in my seventh beer and hadn’t seen the Colonel approach. I started to rise but he motioned for me to stay seated and dropped heavily into the chair opposite me.

“I’m in your debt,” he said. “You saved me from at least half of a boring evening.” He offered his hand. “Jack Kynock, at your service.”

“Colonel-”

“Don’t Colonel me and I won’t Major you. We old fossits have to. – – keep our perspective. William.”

“All right with me.”

He ordered a kind of drink I’d never heard of. “Where to start? Last time you were on Earth was 2007, according to the records.”

“That’s right.”

“Didn’t like it much, did you?” “No.” Zombies, happy robots.

“Well, it got better. Then it got worse, thank you.” A private brought his drink, a bubbling concoction that was green at the bottom of the glass and lightened to chartreuse at the top. He sipped. “Then they got better again, then worse, then. . . I don’t know. Cycles.”

“What’s it like now?”

“Well – . – I’m not really sure. Stacks of reports and such, but it’s hard to filter out the propaganda. I haven’t been back in almost two hundred years; it was pretty bad then. Depending on what you like.”

“What do you mean?”

“Oh, let me see. There was lots of excitement. Ever hear of the Pacifist movement?”

“I don’t think so.”

“Hmn, the name’s deceptive. Actually, it was a war, a guerrilla war.”

“I thought I could give you name, rank and serial number of every war from Troy on up.” He smiled. “They must have missed one.”
“For good reason. It was run by veterans-survivors of Yod-38 and Aleph-40, I hear; they got discharged together and decided they could take on all of UNEF, Earthside. They got lots of support from the population.”

“But didn’t win.”

“We’re still here.” He swirled his drink and the colors shifted. “Actually, all I know is hearsay. Last time I got to Earth, the war was over, except for some sporadic sabotage. And it wasn’t exactly a safe topic of conversation.”

“It surprises me a little,” I said, “well, more than a little. That Earth’s population would do anything at all.. – against the government’s wishes.”

He made a noncommittal sound.

“Least of all, revolution. When we were there, you couldn’t get anybody to say a damned thing against the UNEF-or any of the local governments, for that matter. They were conditioned from ear to ear to accept things as they were.”

“Ah. That’s a cyclic thing, too.” He settled back in his chair. “It’s not a matter of technique. if they wanted to, Earth’s government could have total control over. . . every nontrivial thought and action of each citizen, from cradle to grave.

“They don’t do it because it would be fatal. Because there’s a war on. Take your own case: did you get any motivational conditioning while you were in the can?”

I thought for a moment. “if I did, I wouldn’t necessarily know about it.”

“That’s true. Partially true. But take my word for it, they left that part of your brain alone. Any change in your attitude toward UNEF or the war, or war in general, comes only from new knowledge. Nobody’s fiddled with your basic motivations. And you should know why.”

Names, dates, figures rattled down through the maze of new knowledge. “Tet-17,

Sed-2l, Aleph-14. The Lazlo

‘The Lazlo Emergency Commission Report.’ June, 2106.”

“Right. And by extension, your own experience on Aleph-l. Robots don’t make good soldiers.”

“They would,” I said. “Up to the twenty-first century. BehaViOral conditioning would have been the answer to a i to

Joe Ilauleman

general’s dream. Make up an army with all the best features of the SS, the Praetorian Guard, the Golden Horde. Mosby’s Raiders, the Green Berets.”

He laughed over his glass. “Then put that army up against a squad of men in modem fighting suits. It’d be over in a couple of minutes.”

“So long as each man in the squad kept his head about him. And just fought like hell to stay alive.” The generation of soldiers that had precipitated the Lazlo Reports

had been conditioned from birth to conform to somebody’s vision of the ideal fighting man. They worked beautifully as a team, totally bloodthirsty, placing no great importance on personal survival-and the Taurans cut them to ribbons.

The Taurans also fought with no regard for self. But they were better at it, and there were always more of them.

Kynock took a drink and watched the colors. “I’ve seen your psych profile,” he said. “Both before you got here and after your session in the can. It’s essentially the same, before and after.”

“That’s reassuring.” I signaled for another beer. “Maybe it shouldn’t be.”

“What, it says I won’t make a good officer? I told them that from the beginning. I’m no leader.”

“Right in a way, wrong in a way. Want to know what that profile says?” I shrugged. “Classified, isn’t it?”

“Yes,” he said. “But you’re a major now. You can pull the profile of anybody in your command.”

“I don’t suppose it has any big surprises.” But I was a little curious. What animal isn’t fascinated by a mirror?

“No. It says you’re a pacifist. A failed one at that, which gives you a mild neurosis. Which you handle by transferring the burden of guilt to the army.”

The fresh beer was so cold it hurt my teeth. “No surprises yet.”

“And as far as being a leader, you do have a certain potential. But it would be along the lines of a teacher or a minister; you would have to lead from empathy, compassion. You have the desire to impose your ideas on other people, but not your will. Which means, you’re right, you’ll make one hell of a bad officer unless you shape up.”

I had to laugh. “UNEF must have known all of this when they ordered me to officer training.”

“There are other parameters,” he said. “For instance, you’re adaptable, reasonably intelligent, analytical. And you’re one of the eleven people who’s lived through the whole war.”

“Surviving is a virtue in a private.” Couldn’t resist it.  “But an officer should provide gallant example. Go down with the ship. Stride the parapet as if unafraid.”

He harrumphed at that. “Not when you’re a thousand light years from your replacement.”

“It doesn’t add up, though. Why would they haul me all the way from Heaven to take a chance on my ‘shaping up,’ when probably a third of the people here on Stargate are better officer material? God, the military mind!”

“I suspect the bureaucratic mind, at least, had something to do with it. You have an embarrassing amount of seniority to be a footsoldier.”

“That’s all time dilation. I’ve only been in three campaigns.”

“Immaterial. Besides, that’s two-and-a-half more than the average soldier survives. The propaganda boys will probably make you into some kind of a folk hero.”

“Folk hero.” I sipped at the beer. “Where is John Wayne now that we really need him?”

“John Wayne?” He shook his head. “I never went in the can, you know. I’m no expert at military history.”

“Forget it.”

Kynock finished his drink and asked the private to get him-I swear to God-a “rum Antares.”

“Well, I’m supposed to be your Temporal Orientation Officer. What do you want to know about the present? What passes for the present.”

Still on my mind: “You’ve never been in the can?”

“No, combat officers only. The computer facilities and energy you go through in three weeks would keep the Earth running for several days. Too expensive for us deskwarmers.”

“Your decorations say you’re combat.”

“Honorary. I was.” The rum Antares was a tall slender glass with a little ice floating at the top, filled with pale amber liquid. At the bottom was a bright red globule about the size of a thumbnail; crimson filaments waved up from it.

“What’s that red stuff?”

“Cinnamon. Oh, some ester with cinnamon in it. Quite good. . . want a taste?” “No, I’ll stick to beer, thanks.”

“Down at level one, the library machine has a temporal orientation file, that my staff updates every day. You can go to it for specific questions. Mainly I want to.. . prepare you for meeting your Strike Force.”

“What, they’re all cyborgs? Clones?”

He laughed. “No, it’s illegal to clone humans. The main problem is with, uh, you’re heterosexual.”

“Oh, that’s no problem. I’m tolerant.”

“Yes, your profile shows that you.. . think you’re tolerant, but that’s not the problem, exactly.”

“Oh,” I knew what he was going to say. Not the details, but the substance. “Only emotionally stable people are drafted into UNEF.

I know this is hard for you to accept, but heterosexuality is considered an emotional dysfunction. Relatively easy to cure.”

“If they think they’re going to cure me-”

“Relax, you’re too old.” He took a delicate sip. “It won’t be as hard to get along with them as you might-”

“Wait. You mean nobody.. . everybody in my company is homosexual? But me?” “William,  everybody  on  Earth  is  homosexual.  Except  for  a  thousand  or  so;

veterans and incurables.”

“AK” What could I say? “Seems like a drastic way to solve the population problem.”

“Perhaps. It does work, though; Earth’s population is stable at just under a billion. When one person dies or goes offplanet, another is quickened.”

“Not ‘born.'”

“Born, yes, but not the old-fashioned way. Your old term for it was ‘test-tube babies,’ but of course they don’t use a test-tube.” “Well, that’s something.”

“Part of every creche is an artificial womb that takes care of a person the first eight or ten months after quickening. What you would call birth takes place over a period of days; it isn’t the sudden, drastic event that it used to be.”

O brave new world, I thought. “No birth trauma. A billion perfectly adjusted homosexuals.”

“Perfectly adjusted by present-day Earth standards. You and I might find them a little odd.”

“That’s an understatement.” I drank off the rest of my beer. “Yourself, you, uh.. . are you homosexual?”

“Oh, no,” he said. I relaxed. “Actually, though, I’m not hetero anymore, either.” He slapped his hip and it made an odd sound. “Got wounded and it turned out that I had a rare disorder of the lymphatic system, can’t regenerate. Nothing but metal and plastic from the waist down. To use your word, I’m a cyborg.”

Far out, as my mother used to say. “Oh, Private,” I called to the waiter, “bring me one of those Antares things.” Sitting here in a bar with an asexual cyborg who is probably the only other normal person on the whole goddamned planet.

“Make it a double, please.”

They looked normal enough, filing into the lecture hail where we held our first muster, the next day. Rather young and a little stiff.

Most of them had only been out of the creche for seven or eight years. The creche was a controlled, isolated environment to which only a few specialists-pediatricians and teachers, mostly-had access. When a person leaves the creche at age twelve or thirteen, he chooses a first name (his last name having been taken from the donor- parent with the higher genetic rating) and is legally a probationary adult, with schooling about equivalent to what I had after my first year of college. Most of them go on to more specialized education, but some are assigned a job and go right to work.

They’re observed very closely and anyone who shows any signs of sociopathy, such as heterosexual leanings, is sent away to a correctional facility. He’s either cured or kept there for the rest of his life.

Everyone is drafted into UNEF at the age of twenty. Most people work at a desk for five years and are discharged. A few lucky souls, about one in eight thousand, are invited to volunteer for combat training. Refusing is “sociopathic,” even though it means signing up for an extra five years. And your chance of surviving the ten years is so small as to be negligible; nobody ever had. Your best chance is to have the war end before your ten (subjective) years of service are up. Hope that time dilation puts many years between each of your battles.

Since you can figure on going into battle roughly once every subjective year, and since an average of 34 percent survive each battle, it’s easy to compute your chances of being able to fight it out for ten years. It comes to about ~wo one-thousandths of one percent. Or, to put it another way, get an old-fashioned six-shooter and play Russian Roulette with four of the six chambers loaded. If you can do it ten times in a row without decorating the opposite wall, congratulations! You’re a civilian.

There being some sixty thousand combat soldiers in UNEF, you  could expect about 1.2 of them to survive for ten years. I didn’t seriously plan on being the lucky one, even though I was halfway there.

How many of these young soldiers filing into the auditorium knew they were doomed? I tried to match faces up with the dossiers I’d been scanning all morning, but it was hard. They’d all been selected through the same battery of stringent parameters, and they looked remarkably alike: tall but not too tall, muscular but not heavy, intelligent but not in a brooding way. . . and Earth was much more racially homogenous than it had been in my century. Most of them looked vaguely Polynesian. Only two of them, Kayibanda and Lin, seemed pure representatives of racial types. I wondered whether the others gave them a hard time.

Most of the women were achingly  handsome, but I was in no position to be critical. I’d been celibate for over a year, ever since saying goodbye to Marygay, back on Heaven.

I wondered if one of them might have a trace of atavism, or might humor her commander’s eccentricity. It is absolately forbidden for an officer to form sexual liaison with his subordinates. Such a warm way of putting it. Violation of this regulation is punishable by attachment of all funds and reduction to the rank of private or, ~f the relationship iiue~feres with a unit’s combat efficiency, summary execution. If all of UNEF’s regulations could be broken SO Casually and consistently as that one was, it would be a very easygoing army.

But not one of the boys appealed to me. How they’d look after another year, I wasn’t sure.

“Tench-hut!” That was Lieutenant Hilleboe. It was a credit to my new reflexes that I didn’t jump to my feet. Everybody in the auditorium snapped to.

“My name is Lieutenant Hilleboe and I am your Second Field Officer.” That used to be “Field First Sergeant.” A good sign that an anny has been around too long is that it starts getting top-heavy with officers.

Hilleboe came on like a real hard-ass professional soldier. Probably shouted orders at the mirror every morning, while she was shaving. But I’d seen her profile and knew that she’d only been in action once, and only for a couple of minutes at that. Lost an arm and a leg and was commissioned, same as me, as a result of the tests they give at the regeneration clinic.

Hell, maybe she had been a very pleasant person before going through that trauma; it was bad enough just having one limb regrown.

She was giving them the usual first-sergeant peptalk, stern-but-fair: don’t waste my time with little things, use the chain of command, most problems can be solved at the fifth echelon.

It made me wish I’d had more time to talk with her earlier. Strike Force Command had really rushed us into this first muster-we were scheduled to board ship the next day-and I’d only had a few words with my officers.

Not enough, because it was becoming clear that Hilleboe and I had rather disparate philosophies about how to run a company. It was true that running it was her job; I only commanded. But she was setting up a potential “good guy-bad guy” situation, using the chain of command to so isolate herself from the men and women under her. I had planned not to be quite so aloof, setting aside an hour every other day when any soldier could come to me directly with grievances or suggestions, without permission from his superiors.

We had both been given the same information during our three weeks in the can. It was interesting that we’d arrived at such different conclusions about leadership. This Open Door policy, for instance, had shown good results in “modern” armies in Australia and America. And it seemed especially appropriate to our situation, in which everybody would be cooped up for months or even years at a time. We’d used the system on the Sangre y Victoria, the last starship to which I’d been attached, and it had seemed to keep tensions down.

She had them at ease while delivering this organizational harangue; pretty soon she’d call them to attention and introduce me. What would I talk about? I’d planned just to say a few predictable words and explain my Open Door policy, then turn them over to Commodore Antopol, who would say something about the Masaryk II. But I’d better put off my explanation until after I’d had a long talk with Hilleboe; in fact, it would be best if she were the one to introduce the policy to the men and women, so it wouldn’t look like the two of us were at loggerheads.

My executive officer, Captain Moore, saved me. He came rushing through a side door-he was always rushing, a pudgy meteor-threw a quick salute and handed me an envelope that contained our combat orders. I had a quick whispered conference with the Commodore, and she agreed that it wouldn’t do any harm to tell them where we were going, even though the rank and file technically didn’t have the “need to know.” One thing we didn’t have to worry about in this war was enemy agents. With a good coat of paint, a Tauran might be able to disguise himself as an ambulatory mushroom. Bound to raise suspicions.

Hilleboe had called them to attention and was dutifully telling them what a good commander I was going to be; that I’d been in the war from the beginning, and if they intended to survive through their enlistment they had better follow my example. She didn’t mention that I was a mediocre soldier with a talent for getting missed. Nor that I’d resigned from the army at the earliest opportunity and only got back in because conditions on Earth were so intolerable.

“Thank you, Lieutenant.” I took her place at the podium. “At ease.” I unfolded the single sheet that had our orders, and held it up. “I have some good news and some bad news.” What had been a joke five centuries before was now just a statement of fact.

“These are our combat orders for the Sade-138 campaign. The good news is that we probably won’t be fighting, not immediately. The bad news is that we’re going to be a target.”

They stirred a little bit at that, but nobody said anything Ion

or took his eyes off me. Good discipline. Or maybe just fatalism; I didn’t know how realistic a picture they had of their future. Their lack of a future, that is.

“What we are ordered to do.. . is to find the largest portal planet orbiting the Sade- 138 collapsar and build a base there. Then stay at the base until we are relieved. That will be two or three years, probably.

“During that time we will almost certainly be attacked. As most of you probably know, Strike Force Command has uncovered a pattern in the enemy’s movements from collapsar to collapsar. They hope eventually to trace this complex pattern back through tune and space and find the Taurans’ home planet. For the present, they can only send out intercepting forces, to hamper the enemy’s expansion.

“In a large perspective, this is what we’re ordered to do. We’ll be one of several dozen strike forces employed in these blocking maneuvers, on the enemy’s frontier. I won’t be able to stress often enough or hard enough how important this mission is-if UNEF can keep the enemy from expanding, we may be able to envelop him. And win the war.”

Preferably before we’re all dead meat. “One thing I want to be clear we may be attacked the day we land, or we may simply occupy the planet for ten years and come on home.” Fat chance. “Whatever happens, every one of us will stay in the best fighting trim all the time. In transit, we will maintain a regular program of calisthenics as well as a review of our training. Especially construction techniques- we have to set up the base and its defense facilities in the shortest possible time.”

God, I was beginning to sound like an officer. “Any questions?” There were none. “Then I’d like to introduce Commodore Antopol. Commodore?”

The commodore didn’t try to hide her boredom as she outlined, to this room full of ground-pounders, the characteristics and capabilities of Masaryk Ii. I had learned most of what she was saying through the can’s forcefeeding, but the last thing she said caught my attention.

“Sade-138 will be the most distant collapsar men have gone to. It isn’t even in the galaxy proper, hut rather is part

of the Large Magellanic Cloud, some 150,000 light years distant.

“Our voyage will require four collapsar jumps and will last some four months, subjective. Maneuvering into collapsar insertion will put us about three hundred years behind Stargate’s calendar by the time we reach Sade-138.”

And another seven hundred years gone, if I lived to return. Not that it would make that much difference; Marygay was as good as dead and there wasn’t another person alive who meant anything to me.

“As the major said, you mustn’t let these figures lull you into complacency. The enemy is also headed for Sade-138; we may all get there the same day. The mathematics of the situation is complicated, but take our word for it; it’s going to be a close race.

“Major, do you have anything more for them?” I started to rise. “Well. . .”

“Tench-hut!” Hilleboe shouted. Had to learn to expect that

“Only that I’d like to meet with my senior officers, echelon 4 and above, for a few minutes. Platoon sergeants, you’re responsible for getting your troops to Staging Area 67 at 0400 tomorrow morning. Your time’s your own until then. Dismissed.”

 

I invited the five officers up to my billet and brought out a bottle of real French brandy. It had cost two months’ pay, but what else could I do with the money? Invest it?

I passed around glasses but Alsever, the doctor, demurred. Instead she broke a little capsule under her nose and inhaled deeply. Then tried without too much success to mask her euphoric expression.

“First let’s get down to one basic personnel problem,” I said, pouring. “Do all of you know that I’m not homosexual?”

Mixed chorus of yes sirs and no sirs.

“Do you think this is going to. . . complicate my situation as commander? As far as the rank and tile?”

“Sir, I don’t-” Moore began.

“No need for honorifics,” I said, “not in this closed 100

joe naiueman

circle; I was a private four years ago, in my own time frame. When there aren’t any troops around, I’m just Man-della, or William.” I had a feeling that was a mistake even as I was saying it. “Go on.”

“Well, William,” he continued, “it might have been a problem a hundred years ago. You know how people felt then.”

“Actually, I don’t. All I know about the period from the twenty-first century to the present is military history.”

“Oh. Well, it was, uh, it was, how to say it?” His hands fluttered.

“It was a crime,” Alsever said laconically. “That was when the Eugenics Council was first getting people used to the idea of universal homosex.”

“Eugenics Council?”

“Part of UNEF. Only has authority on Earth.” She took a deep sniff at the empty capsule. “The idea was to keep people from making babies the biological way. Because, A, people showed a regrettable lack of sense in choosing their genetic partner. And B, the Council saw that racial differences had an unnecessarily divisive effect on humanity; with total control over births, they could make everybody the same race in a few generations.”

I didn’t know they had gone quite that far. But I suppose it was logical. “You approve? As a doctor.”

“As a doctor? I’m not sure.” She took another capsule from her pocket and rolled it between thumb and forefinger, staring at nothing. Or something the rest of us couldn’t see.

“In a way, it makes my job simpler. A lot of diseases simply no longer exist. But I don’t think they know as much about genetics as they think they do. It’s not an exact science; they could be doing something very wrong, and the results wouldn’t show up for centuries.”

She cracked the capsule under her nose and took two deep breaths. “As a woman, though, I’m all in favor of it.” Hilleboe and Rusk nodded vigorously.

“Not having to go through childbirth?”

“That’s part of it.” She crossed her eyes comically, looking at the capsule, gave it a final sniff. “Mostly,

though, it’s not.. . having to. . . have a man. Inside me. You understand. It’s disgusting.”

Moore laughed. “If you haven’t tried it, Diana, don’t-”

“Oh, shut up.” She threw the empty capsule at him playfully. “But it’s perfectly natural,” I protested.

“So is swinging through trees. Digging for roots with a blunt stick. Progress, my good major, progress.”

“Anyway,” Moore said, “it was only a crime for a short period. Then it was considered a, oh, curable.. .”

“Dysfunction,” Alsever said.

“Thank you. And now, well, it’s so rare. .. I doubt that any of the men and women have any strong feelings about it, one way or the other.”

“Just an eccentricity,” Diana said, magnanimously. “Not as if you ate babies.” “That’s right, Mandella,” Hilleboe said. “I don’t feel any differently toward you

because of it.”

“I-I’m glad.” That was just great. It was dawning on me that I had not the slightest idea of how to conduct myself socially. So much of my “normal” behavior was based

on a complex unspoken code of sexual etiquette. Was I suppose to treat the men like women, and vice versa? Or treat everybody like brothers and sisters? It was all very confusing.

I finished off my glass and set it down. “Well, thanks for your reassurances. That was mainly what I wanted to ask you about. . . I’m sure you all have things to do, goodbyes and such. Don’t let me hold you prisoner.”

They all wandered off except for Charlie Moore. He and

I decided to go on a monumental binge, trying to hit every bar and officer’s club in the sector. We managed twelve and probably could have hit them all, but I decided to get a few hours’ sleep before the next day’s muster.

The one time Charlie made a pass at me, he was very polite about it. I hoped my refusal was also polite-but figured I’d be getting lots of practice.

3

UNEF’s first starships had been possessed of a kind of spidery, delicate beauty. But with various technological improvements, structural strength became more important than conserving mass (one of the old ships would have folded up like an accordion if you’d tried a twenty-five-gee maneuver), and that was reflected in the design: stolid, heavy, functional-looking. The only decoration was the name MASARYK ii, stenciled in dull blue letters across the.

obsidian hull.

Our shuttle drifted over the name on its way to the loading bay, and there was a crew of tiny men and women doing maintenance on the hull.  With them as a reference, we could see that the letters were a good hundred meters tall. The ship was over a kilometer long (1036.5 meters, my latent memory said), and about a third that wide (319.4 meters).

That didn’t mean there was going to be plenty of elbowroom. In its belly, the ship held six large tachyondrive fighters and fifty robot drones. The infantry was tucked off in a corner. War is the province of friction, Chuck von Clausewitz said; I had a feeling we were going to put him to the test.

We had about six hours before going into the acceleration tank. I dropped my kit in the tiny billet that would be my home for the next twenty months and went off to explore.

Charlie had beaten me to the lounge and to the privilege of being first to evaluate the quality of Masaryk if’s coffee.

“Rhinoceros bile,” he said.

“At least  it  isn’t soya,” I said, taking a first cautious sip. Decided I might be longing for soya in a week.

The officers’ lounge was a cubicle about three meters by four, metal floor and walls, with a coffee machine and a

library readout. Six hard chairs and a table with a typer on it.

“Jolly place, isn’t it?” He idly punched up a general index on the library machine. “Lots of military theory.”

“That’s good. Refresh our memories.” “Sign up for officer training?”

“Me? No. Orders.”

“At least you have an excuse.” He slapped the on-off button and watched the green spot dwindle. “I signed up. They didn’t tell me it’d feel like this.”

“Yeah.” He wasn’t talking about any subtle problem:

burden of responsibility or anything. “They say it wears off, a little at a time.” All of that information they force into you; a constant silent whispering.

“Ah, there you are.” Hileboe came through the door and exchanged greetings with us. She gave the room a quick survey, and it was obvious that the Spartan arrangements met with her approval. “Will you be wanting to address the company before we go into the acceleration tanks?”

“No, I don’t see why that would be. . . necessary.” I almost said “desirable.” The art of chastising subordinates is a delicate art. I could see that I’d have to keep reminding Hilleboe that she wasn’t in charge.

Or I could just switch insignia with her. Let her experience the joys of command. “You  could, please, round  up  all  platoon  leaders  and  go  over the  immersion

sequence with them. Eventually we’ll be doing speed drills. But for now, I think the troops could use a few hours’ rest.” If they were as hungover as their commander.

“Yes, sir.” She turned and left. A little miffed, because what I’d asked her to do should properly have been a job for Riland or Rusk.

Charlie eased his pudgy self into one of the hard chairs and sighed. “Twenty months on this greasy machine. With her. Shit.”

“Well, if you’re nice to me, I won’t billet the two of you together.” “All right. I’m your slave forever. Starting, oh, next Fri

day.” He peered into his cup and decided against drinking the dregs. “Seriously, she’s going to be a problem. What are you going to do with her?”

“I don’t know.” Charlie was being insubordinate, too, of course. But he was my XO and out of the chain of command. Besides, I had to have one friend. “Maybe she’ll mellow, once we’re under weigh.”

“Sure.” Technically, we were already under weigh, crawling toward the Stargate collapsar at one gee. But that was only for the convenience of the crew; it’s hard to batten down the hatches in free fall. The trip wouldn’t really start until we were in the tanks.

The lounge was too depressing, so Charlie and I used the remaining hours of mobility to explore the ship.

The bridge looked like any other computer facility; they had dispensed with the luxury of viewscreens. We stood at a respectful distance while Antopol and her officers went through a last series of checks before climbing into the tanks and leaving our destiny to the machines.

Actually, there was a porthole, a thick plastic bubble, in the navigation room forward. Lieutenant Williams wasn’t busy, the pre-insertion part of his job being fully automated, so he was glad to show us around.

He tapped the porthole with a fingernail. “Hope we don’t have to use this, this trip.”

“How so?” Charlie said.

“We only use it if we get lost” If the insertion angle was off by a thousandth of a radian, we were liable to wind up on the other side of the galaxy. “We can get a rough idea of our position by analyzing the spectra of the brightest stars. Thumbprints. Identify three and we can triangulate.”

“Then find the nearest collapsar and get back on the rack,” I said.

“That’s the problem. Sade-l38 is the only collapsar we know of in the Magellanic Clouds. We know of it only because of captured enemy data. Even if we could find another collapsar, assuming we got lost in the cloud, we wouldn’t know how to insert.”

“That’s great.”

“It’s not as though we’d be actually lost,” he said with I’HI~ FOREVER WAR

193

a rather wicked expression. “We could zip up in the tanks, aim for Earth and blast away at full power. We’d get there in about three months, ship time.”

“Sure,” I said. “But 150,000 years in the future.” At twenty-five gees, you get to nine-tenths the speed of light in less than a month. From then on, you’re in the arms of Saint Albert.

“Well, that is a drawback,” he said. “But at least we’d find out who’d won the war.” It made you wonder how many soldiers had gotten out of the war in just that way. There  were  forty-two  strike  forces  lost  somewhere  and  unaccounted  for.  It  was possible that all of them were crawling through normal space at near-lightspeed and

would show up at Earth or Stargate one-by-one over the centuries.

A convenient way to go AWOL, since once you were out of the chain of collapsar jumps you’d be practically impossible to track  down. Unfortunately,  your jump sequence was  pre-programmed by Strike Force Command; the human navigator only came into the picture if a miscalculation slipped you into the wrong “wormhole,” and you popped out in some random part of space.

Charlie and I went on to inspect the gym, which was big enough for about a dozen people at a time. I asked him to make up a roster so that everyone could work out for an hour each day when we were out of the tanks.

The mess area was only a little larger than the gym- even with four staggered shifts, the meals would be shoulder-to-shoulder affairs-and the enlisted men and women’s lounge was even more depressing than the officers’. I was going to have a real morale problem on my hands long before the twenty months were up.

The armorer’s bay was as large as the gym, mess hail and both lounges put together. It had to be, because of the great variety of infantry weapons that had evolved over the centuries. The basic weapon was still the fighting suit, though it was much more sophisticated than that first model I had been squeezed into, just before the Aleph-Null campaign.

Lieutenant Riland, the armory officer, was supervising

his four subordinates, one from each platoon, who were doing a last-minute check of weapons storage. Probably the most important job on the whole ship, when you contemplate what could happen to all those tons of explosives and radioactives under twenty-five gees.

I returned his perfunctory salute. “Everything going all right, Lieutenant?”

“Yessir, except for those damned swords.” For use in the stasis field. “No way we can orient them that they won’t be bent. Just hope they don’t break.”

I couldn’t begin to understand the principles behind the stasis field; the gap between present-day physics and my master’s degree in the same subject was as long as the time that separated Galileo and Einstein. But I knew the effects.

Nothing could move at greater than 16.3 meters per second inside the field, which was a hemispherical (in space, spherical) volume about fifty meters in radius. Inside, there was no such thing as electromagnetic radiation; no electricity, no magnetism, no light. From inside your suit, you could see your surroundings in ghostly monochrome- which phenomenon was glibly explained to me as being due to “phase transference of quasi-energy leaking through from an adjacent tachyon reality,” so much phlogiston to me.

The result of it, though, was to make all conventional weapons of warfare useless. Even a nova bomb was just an inert lump inside the field. And any creature, Terran or Tauran, caught inside the field without the proper insulation would die in a fraction of a second.

At first it looked as though we had come upon the ultimate weapon. There were five engagements where whole Tauran bases were wiped out without any human ground casualties. All you had to do was carry the field to the enemy (four husky soldiers could handle it in Earth-gravity) and watch them die as they slipped in through the field’s opaque wall. The people carrying the generator were invulnerable except for the short periods when they might have to turn the thing off to get their bearings.

The sixth time the field was used, though, the Taurans were ready for it. They wore protective suits and were armed with sharp spears, with which they could breach the

suits of the generator-carriers. From then on the carriers were armed.

Only three other such battles had been reported, although a dozen strike forces had gone out with the stasis field. The others were still fighting, or still en route, or had been totally defeated. There was no way to tell unless they caine back. And they weren’t encouraged to come back if Taurans were still in control of “their” real estate-supposedly that constituted “desertion under fire,” which meant execution for all officers (although rumor had it that they were simply brainwiped, imprinted and sent back into the fray).

“Will we be using the stasis field, sir?” Riland asked.

“Probably. Not at first, not unless the Taurans are already there. I don’t relish the thought of living in a suit, day in and day out.” Neither did I relish the thought of using sword, spear, throwing knife; no matter how many electronic illusions I’d sent to Valhalla with them.

Checked my watch. “Well, we’d better get on down to the tanks, Captain. Make sure everything’s squared away.” We had about two hours before the  insertion sequence would start.

The room the tanks were in resembled a huge chemical factory; the floor was a good hundred meters in diameter and jammed with bulky apparatus painted a uniform, dull gray. The eight tanks were arranged almost symmetrically around the central elevator, the symmetry spoiled by the fact that one of the tanks was twice the size of the others. That would be the command tank, for all the senior officers and supporting specialists.

Sergeant Blazynski stepped out from behind one of the tanks and saluted. I didn’t return his salute.

“What the hell is that?” In all that universe of gray, there was one spot of color. “It’s a cat, sir.”

“Do tell.” A big one, too, and bright calico. It looked ridiculous, draped over the sergeant’s shoulder. “Let me rephrase the question: what the hell is a cat doing here?”

“It’s the maintenance squad’s mascot, sir.” The cat raised its head enough to hiss half-heartedly at me, then returned to its flaccid repose.

I looked at Charlie and he shrugged back. “It seems kiAd of cruel,” he said. To the sergeant: “You won’t get much use of it. After twenty-five gees, it’ll be just so much fur and guts.”

“Oh no, sir! Sirs.” He ruffed back the fur between the

creature’s shoulders. It had a fluorocarbon fitting imbedded there, just like the one above my hipbone. “We bought it at a store on Stargate, already modified. Lots of ships have them now, sir. The Commodore signed the forms for us.”

Well, that was her right; maintenance was under both of us equally. And it was her ship. “You couldn’t have gotten a dog?” God, I hated cats. Always sneaking around.

“No, sir, they don’t adapt. Can’t take free fall.”

“Did you have to make any special adaptations? In the tank?” Charlie asked.

“No sir. We had an extra couch.” Great; that meant I’d be sharing a tank with the animal. “We only had to shorten the straps.

“It takes a different kind of drug for the cell-wall strengthening, but that was included in the price.”

Charlie scratched it behind an ear. It purred softly but didn’t move. “Seems kind of stupid. The animal, I mean.”

“We drugged him ahead of time.” No wonder it was so inert; the drug slows your metabolism down to a rate barely adequate to sustain life. “Makes it easier to strap him in.”

“Guess it’s all right,” I said. Maybe good for morale. “But if it starts getting in the way, I’ll personally recycle it.”

“Yes, sir!” he said, visibly relieved, thinking that I couldn’t really do anything like that to such a cute bundle of fur. Try me, buddy.

So we had seen it all. The only thing left, this side of

the engines, was the huge hold where the fighters and drones waited, clamped in their massive cradles against the coming acceleration. Charlie and I went down to take a look, but there were no windows on our side of the airlock. I knew there’d be one on the inside, but the chamber was evacuated, and it wasn’t worth going through the fill-andwarm cycle merely to satisfy our curiosity.

I was starting to feel really supernumerary. Called Hil THE FOREVER WAR

197

leboe and she said everything was under control. With an

hour to kill, we went back to the lounge and had the computer mediate a game of Kriegspieler, which was just starting to get interesting when the ten-minute warning sounded.

The acceleration tanks had a “half-life-to-failure” of five weeks; there was a fifty- fifty chance that you could stay immersed for five weeks before some valve or tube popped and you were squashed like a bug underfoot. In practice, it had to be one hell of an emergency to justify using the tanks for more than two weeks’ acceleration. We were only going under for ten days, this first leg of our journey.

Five weeks or five hours, though, it was all the same as far as the tankee was concerned. Once the pressure got up to an operational level, you had no sense of the passage of time. Your body and brain were concrete. None of your senses provided any input, and you could amuse yourself for several hours just trying to spell your own name.

So I wasn’t really surprised  that no time seemed to have passed when I was suddenly dry, my body tingling with the return of sensation. The place sounded like an asthmatics’ convention in the middle of a hay field: thirty-nine people and one cat all coughing and sneezing to get rid of the last residues of fluorocarbon. While I was fumbling with my straps, the side door opened, flooding the tank with painfully bright light. The cat was the first one out, with a general scramble right behind him. For the sake of dignity, I waited until last.

Over a hundred people were milling around outside, stretching and massaging out cramps. Dignity! Surrounded by acres of young female flesh, I stared into their faces and desperately tried to solve a third-order differential equation

in my head, to circumvent the gallant reflex. A temporary expedient, but it got me to the elevator.

Hilleboe was shouting orders, getting people lined up, and as the doors closed I noticed that all of one platoon had a uniform light bruise, from head to foot. Twenty pairs of black eyes. I’d have to see both Maintenance and Medical about that.

After I got dressed. 4

We stayed at one gee for three weeks, with occasional pariods of free fall for navigation check, while the Masaiyk 11 made a long, narrow loop away from the collapsar Resh10, and back again. That period went all right, the people adjusting pretty well to ship routine. I gave them a minimum of busy-work and a maximum of training review and exercise-for their own good, though I wasn’t naive enough to think they’d see it that way.

After about a week of one gee, Private Rudkoski (the cook’s assistant) had a still, producing some eight liters a day of 95 percent ethyl alcohol. I didn’t want to stop him- life was cheerless enough; I didn’t mind as long as people showed up for duty sober-but I was damned curious both how he managed to divert the raw materials out of our sealed-tight ecology, and how the people paid for their booze. So I used the chain of conunand in reverse, asking Alsever to find out. She asked Jarvil, who asked Carreras, who sat down with Orban, the cook. Turned out that Sergeant Orban had set the whole thing up, letting Rudkoski do the dirty work, and was aching to brag about it to a trustworthy person.

If I had ever taken meals with the enlisted men and women, I might have figured out that something odd was going on. But the scheme didn’t extend up to officers’ country.

Through Rudkoski, Orban had juryrigged a ship-wide economy based on alcohol. It went like this:

Each meal was prepared with one very sugary dessert- jelly, custard or flan-which you were free to eat if you could stand the cloying taste. But if it was still on your tray when you presented it at the recycling window, Rudkoski would give you a Len-cent

chit and scrape the sugary stuff into a fermentation vat. He had two twenty-liter vats, one

“working” while the other was being filled.

The ten-cent chit was at the bottom of a system that allowed you to buy a half-liter of straight ethyl (with your choice of flavoring) for five dollars. A squad of five people who skipped all of their desserts could buy about a liter a week, enough for a party but not enough to constitute a public health problem.

When Diana brought me this information, she also brought a bottle of Rudkoski’s Worst-literally; it was a flavor that just hadn’t worked. It came up through the chain of command with only a few centimeters missing.

Its taste was a ghastly combination of strawberry and caraway seed. With a perversity not uncommon to people who rarely drink, Diana loved it. I had some ice water brought up, and she got totally blasted within an hour. For myself, I made one drink and didn’t finish it.

When she was more than halfway to oblivion, mumbling a reassuring soliloquy to her liver, she suddenly tilted her head up to stare at me with childlike directness.

“You have a real problem, Major William.”

“Not half the problem you’ll have in the morning, Lieutenant Doctor Diana.”

“Oh not really.” She waved a drunken hand in front of her face. “Some vitamins, some glu. . . cose, an eensy cc of adren. . . aline if all else fails. You.. . you. . . have… a real.. . problem.”

“Look, Diana, don’t you want me to-”

“What you need.. . is to get an appointment with that nice Corporal Valdez.” Valdez was the male sex counselor. “He has empathy. Itsiz job. He’d make you-”

“We talked about this before, remember? I want to stay the way I am.”

“Don’t we all.” She wiped away a tear that was probably one percent alcohol. “You know they call you the Old C’reer. No they don’t.”

She looked at the floor and then at the wall. “The 01′ Queer, that’s what.”

I had expected names worse than that. But not so soon. “I don’t care. The commander always gets names.”

“I know but.” She stood up suddenly and wobbled a “U’.,

little bit. “Too much t’ drink. Lie down.” She turned her back to me and stretched so hard that a joint popped. Then a seam whispered open and she shrugged off her tunic, stepped out of it and tiptoed to my bed. She sat down and patted the mattress. “Come on, William. Only chance.”

“For Christ’s sake, Diana. It wouldn’t be fair.”

“All’s fair,” she giggled. “And ‘sides, I’m a doctor. I can be cin’cal; won’t bother me a bit. Help me with this.” After five hundred years, they were still putting brassiere clasps in the back.

One kind of gentleman would have helped her get undressed and then made a quiet exit. Another kind of gentleman might have bolted for the door. Being neither kind, I closed in for the kill.

Perhaps fortunately, she passed out before we had made any headway. I admired the sight and touch of her for a long time before, feeling like a cad, I managed to gather everything up and dress her.

I lifted her out of the bed, sweet burden, and then realized that if anyone saw me canying her down to her billet, she’d be the butt of rumors for the rest of the campaign. I called up Charlie, told him we’d had some booze and Diana was rather the worse for it, and asked him whether he’d come up for a drink and help me haul the good doctor home.

By the time Charlie knocked, she was draped innocently in a chair, snoring softly.

He smiled at her. “Physician, heal thyself.” I off~red him the bottle, with a warning. He sniffed it and made a face.

“What is this, varnish?”

“Just something the cooks whipped up. Vacuum still.”

He set  it down carefully, as if it might explode if jarred. “I predict a coming shortage of customers. Epidemic of death by poisoning-she actually drank that vile stuff?”

“Well, the cooks admitted it was an experiment that didn’t pan out; their other flavors are evidently potable. Yeah, she loved it.”

“Well. . .” He laughed. “Damn! What, you take her legs and I take her arms?” THE FOREVER WAR

201

“No, look, we each take an arm. Maybe we can get her to do part of the walking.” She moaned a little when we lifted her out of the chair, opened one eye and said,

“Hello, Charlee.” Then she closed the eye and let us drag her down to the billet. No one saw us on the way, but her bunkmate, Laasonen, was sitting up reading.

“She really drank the stuff, eh?” She regarded her friend with wry affection. “Here, let me help.”

The three of us wrestled her into bed. Laasonen smoothed the hair Out of her eyes. “She said it was in the nature of an experiment.”

“More devotion to science than I have,” Charlie said. “A stronger stomach, too.” We all wished he hadn’t said that.

 

Diana sheepishly admitted that she hadn’t remembered anything after the first drink, and talking to her, I deduced that she thought Charlie had been there all along. Which was all for the best, of course. But oh! Diana, my lovely latent heterosexual, let me buy you a bottle of good scotch the next time we come into port. Seven hundred years from now.

We got back into the tanks for the hop from Resh-lO to Kaph-35. That was two weeks at twenty-five gees; then we had another four weeks of routine at one gravity.

I had announced my open door policy, but practically no one ever took advantage of it. I saw very little of the troops and those occasions were almost always negative: testing them on their training review, handing out reprimands, and occasionally lecturing classes. And they rarely spoke intelligibly, except in response to a direct question.

Most of them either had English as their native tongue or as a second language, but it had changed so drastically over 450 years that I could barely understand it, not at all if it was spoken rapidly. Fortunately, they had all been taught early twenty-first century English during their basic training; that language, or dialect, served as a temporal un -gua franca through which a twenty-fifth century soldier could communicate with someone who had been a contemporary of his nineteen-times-great-grandparents. lf there had still been such a thing as grandparents.

I thought of my first combat commander, Captain Stott- whom I had hated just as cordially as the rest of the company did-and tried to imagine how I would have felt if he had been a sexual deviate and I’d been forced to learn a new language for his convenience.

So we had discipline problems, sure. But the wonder was that we had any discipline at all. Hilleboe was responsible for that; as little as I liked her personally, I had to give her credit for keeping the troops in line.

Most of the shipboard graffiti concerned improbable sexual geometries between the Second Field Officer and her commander.

 

From Kapb-35 we jumped to Samk-78, from there to Ayin-129 and finally to Sade-

  1. 138. Most of the jumps were no more than a few hundred light years, but the last one was 140,000-supposedly the longest collapsar jump ever made by a manned craf

The time spent scooting down the wormhole from one collapsar to the next was always the same, independent of the distance. When I’d studied physics, they thought the duration of a collapsar jump was exactly zero. But a couple of centuries later, they did a complicated wave-guide experiment that proved the jump actually lasted some small fraction of a nanosecond. Doesn’t seem like much, but they’d had to rebuild physics from the foundation up when the collapsar jump was first discovered; they had to rear the whole damned thing down again when they found out it took time to get from A to B. Physicists were still arguing about it.

But we had more pressing problems as we flashed out of Sade-1 38’s collapsar field at three-quarters of the speed of light. There was no way to tell immediately whether the Taurans had beat us there. We launched a pre-programmed drone that would decelerate at 300 gees and take a preliminary look around. It would warn us if it detected any other ships in the system, or evidence of Tauran activity on any of the collapsar’s planets.

The drone launched, we zipped up in the tanks and the computers put us through a three-week evasive maneuver while the ship slowed down. No problems except that three weeks is a hell of a long time to stay frozen in the tank; for a couple of days afterward everybody crept around like aged cripples.

if the drone had sent back word that the Taurans were already in the system, we would immediately have stepped down to one gee and started deploying fighters and drones armed with nova bombs. Or we might not have lived that long: sometimes the Taurans could get to a ship only hours after it entered the system. Dying in the tank might not be the most pleasant way to go.

It took us a month to get back to within a couple of AUs of Sade-138, where the drone had found a planet that met our requirements.

It was an odd planet, slightly smaller than Earth but more dense. It wasn’t quite the cryogenic deepfreeze that most portal planets were, both because of heat from its core and because S Doradus, the brightest star in the cloud, was only a third of a light year away.

The strangest feature of the planet was its lack of geography. From space it looked like a slightly damaged billiard ball. Our resident physicist, Lieutenant Gim, explained its relatively pristine condition by pointing out that its anomalous, almost cometary orbit probably meant that it had spent most of its life as a “rogue planet,” drifting alone through interstellar space. The chances were good that it had never been struck by a large meteor until it wandered into Sade-138’s bailiwick and was

captured-forced  to  share  space  with  all  the  other  flotsam  the  collapsar  dragged around with it.

We left the Masaryk Ii in orbit (it was capable of landing, but that would restrict its visibility and getaway time) and shuttled building materials down to the surface with the six fighters.

It was good to get out of the ship, even though the planet wasn’t exactly hospitable. The atmosphere was a thin cold wind of hydrogen and helium, it being too cold even at noon for any other substance to exist as a gas.

“Noon” was when S Doradus was overhead, a tiny, painfully bright spark. The temperature slowly dropped at night, going from twenty-five degrees Kelvin down to seventeen degrees-which caused problems, because just be-fore dawn the hydrogen would start to condense out of the air, making everything so slippery that it was useless to do anything other than sit down and wait it out. At dawn a faint pastel rainbow provided the only relief from the black-and-white monotony of the landscape.

The ground was treacherous, covered with little granular chunks of frozen gas that shifted slowly, incessantly in the anemic breeze. You had to walk in a slow waddle to stay on your feet; of the four people who would die during the base’s construction, three would be the victims of simple falls.

The troops weren’t happy with my decision to construct the anti-spacecraft and perimeter defenses before putting up living quarters. That was by the book, though, and they got two days of shipboard rest for every “day” planetside- which wasn’t overly generous, I admit, since ship days were 24 hours long, and a day on the planet was 38.5 hours from dawn to dawn.

The base was completed in just less than four weeks, and it was a formidable structure indeed. The perimeter, a circle one kilometer in diameter, was guarded by twenty-five gigawatt lasers that would automatically aim and fire within a thousandth of a second. They would react to the motion of any significantly large object between the perimeter and the horizon. Sometimes when the wind was right and the ground damp with hydrogen, the little ice granules would stick together into a loose snowball and begin to roll. They wouldn’t roll far.

For early protection, before the enemy came over our horizon, the base was in the center of a huge mine field. The buried mines would detonate upon sufficient distortion of their local gravitational fields: a single Tauran would set one off if he came within twenty meters of it; a small spacecraft a kilometer overhead would also detonate it. There were 2800 of them, mostly lOO-microton nuclear bombs. Fifty of them were devastatingly powerful tachyon devices.

They were all scattered at random in a ring that extended from the limit of the lasers’ effectiveness, out another five kilometers.

Inside the base, we relied on individual lasers, microton

grenades, and a tachyon-powered repeating rocket launcher that had never been tried in combat, one per platoon. As a

last resort, the stasis field was set up beside the living quarters. Inside its opaque gray dome, as well as enough paleolithic weaponry to hold off the Golden Horde, we’d stashed a small cruiser, just in case we managed to lose all our spacecraft in the process of winning a battle. Twelve people would be able to get back to Stargale.

It didn’t do to dwell on the fact that the other survivors would have to sit on their hands until relieved by reinforcements or death.

The living quarters and administration facilities were all underground, to protect them from line-of-sight weapons. It didn’t do too much for morale, though; there were waiting lists for every outside detail, no matter how strenuous or risky. I hadn’t wanted the troops to go up to the surface in their free time, both because of the danger involved and the administrative headache of constantly checking equipment in and out and keeping track of who was where.

Finally I had to relent and allow people to go up for a few hours every week. There was nothing to see except the featureless plain and the sky (which was dominated by S Doradus during the day, and the huge dim oval of the galaxy at night), but that was an improvement over staring at the melted-rock walls and ceiling.

A favorite sport was to walk out to the perimeter and throw snowballs in front of the laser; see how small a snowball you could throw and still set the weapon off. It seemed to me that the entertainment value of this pastime was about equal to watching a faucet drip, but there was no real harm in it, since the weapons would only fire outward and we had power to spare.

For five months things went pretty smoothly. Such administrative problems as we had were similar to those we’d encountered on the Masaryk II. And we were in less danger as passive troglodytes than we had been scooting from collapsar to collapsar, at least until the enemy showed up.

I looked the other way when Rudkoski reassembled his still. Anything that broke the monotony of garrison duty was welcome, and the chits not only provided booze for the troops but gave them something to gamble with. I only interfered in two ways: nobody could go outside unless they were totally sober, and nobody could sell sexual favors. Maybe that was the Puritan in me, but it was, again, by the book. The opinion of the supporting specialists was split. Lieutenant Wilber, the psychiatric officer, agreed with me; the sex counselors Kajdi and Valdez didn’t. But then, they were probably coining money, being the resident “professionals.”

Five months of comfortably boring routine, and then along came Private Graubard.

 

For obvious reasons, no weapons were allowed in the living quarters. The way these people were trained, even a fistfight could be a duel to the death, and tempers were short. A hundred merely normal people would probably have been at each other’s throats after a week in our caves, but these soldiers had been hand-picked for their ability to get along in close confinement.

Still there were fights. Graubard had almost killed his ex-lover Schon when that worthy made a face at him in the chow line. He had a week of solitary detention (so did Schon, for having precipitated it) and then psychiatric counseling and punitive details. Then I transferred him to the fourth platoon, so he wouldn’t be seeing Schon every day.

The first time they passed in the halls, Graubard greeted Schon with a karate kick to the throat. Diana had to build him a new trachea. Graubard got a more intensive round of detention, counseling and details-hell, I couldn’t transfer him to another company-and then he was a good boy for two weeks. I fiddled their work and chow schedules so the two would never be in the same room together. But they met in a

corridor again, and this time it came out more even: Schon got two broken ribs, but Graubard got a ruptured testicle and lost four teeth.

THE FOREVER WAR 207

If it kept up, I was going to have at least one less mouth to feed.

By the Universal Code of Military Justice I could have ordered Graubard executed, since we were technically in a state of combat. Perhaps I should have, then and there. But Charlie suggested a more humanitarian solution, and I accepted it.

We didn’t have enough room to keep Graubard in soiltaiy detention  forever, which seemed to be the only humane yet practical thing to do, but they had plenty of room aboard the Masaiyk II, hovering overhead in a stationary orbit. I called Antopol and she agreed to take care of him. I gave her permission to space the bastard if he gave her any trouble.

We called a general assembly to explain things, so that the lesson of Graubard wouldn’t be lost on anybody. I was just starting to talk, standing on the rock dais with the company sitting in front of me, and the officers and Graubard behind me- when the crazy fool decided to kill me.

Like everybody else, Graubard was assigned five hours per week of training inside the stasis field. Under close supervision, the soldiers would practice using their swords and spears and whatnot on dummy Taurans. Somehow Graubard had managed to smuggle out a weapon, an Indian chakra, which is a circle of metal with a razor-keen outer edge. It’s a tricky weapon, but once you know how to use it, it can be much more effective than a regular throwing knife. (3raubard was an expert.

All in a fraction of a second, Graubard disabled the peopie on either side of him- hitting Charlie in the temple with an elbow while he broke Hilleboe’s kneecap with a kick-and slid the chakra out of his tunic and spun it toward me in one smooth action. It had covered half the distance to my throat before I reacted.

Instinctively I slapped out to deflect it and came within a centimeter of losing four fingers. The razor edge slashed open the top of my palm, but I succeeded in knocking the thing off course. And Graubard was rushing me, teeth bared in an expression I hope I never see again.

Maybe he didn’t realize that the old queer was really

only five years older than he; that the old queer had combat reflexes and three weeks of negative feedback kinesthesia training. At any rate, it was so easy I almost felt sorry for him.

His right toe was turning in; I knew he would take one more step and go into a savat~ leap. I adjusted the distance between us with a short ballestra and, just as both his feet left the ground, gave him an ungentle side-kick to the solar plexus. He was unconscious before he hit the ground. But not dead.

If I’d merely killed him in self-defense, my troubles would have been over instead of suddenly being multiplied.

A simple psychotic troublemaker a commander can lock up and forget about. But not a failed assassin. And I didn’t have to take a poll to know that executing him was not going to improve my relationship with the troops.

I realized that Diana was on her knees beside me, trying to pry open my fingers. “Check Hilleboe and Moore,” I mumbled, and to the troops: “Dismissed.”

“Don’t be an ass,” Charlie said. He was holding a damp rag to the bruise on the side of his head.

“You don’t think I have to execute him?”

“Stop twitching!” Diana was trying to get the lips of my wound to line up together so she could paint them shut. From the wrist down, the hand felt like a lump of ice.

“Not by your own hand, you don’t. You can detail someone. At random.” “Charlie’s right,” Diana said. “Have everybody draw a slip of paper out of a bowl.” I was glad Hilleboe was sound asleep on the other cot.

I didn’t need her opinion. “And if the person so chosen refuses?”

“Punish him and get another,” Charlie said. “Didn’t you learn anything in the can? You can’t abrogate your authority by publicly doing a job.. . that obviously should be detailed.”

“Any other job, sure. But for this. . . nobody in the company has ever killed. It would look like I was getting somebody else to do my moral dirty work.”

“If it’s so damned complicated,” Diana said, “why not just get up in front of the troops and tell them how complicated it is. Then have them draw straws. They aren’t children.”

There had been an army in which that sort of thing was done, a strong quasi- memory told me. The Marxist POUM militia in the Spanish Civil War, early twentieth. You obeyed an order only after it had been explained in detail; you could refuse if it didn’t make sense. Officers and men got drunk together and never saluted or used titles. They lost the war. But the other side didn’t have any fun.

“Finished.” Diana set the limp hand in my lap. “Don’t

try to use it for a half-hour. When it starts to hurt, you can use it.”

I inspected the wound closely. “The lines don’t match up. Not that I’m complaining.”

“You shouldn’t. By all rights, you ought to have just a stump. And no regeneration facilities this side of Stargate.”

“Stump ought to be at the top of your neck,” Charlie said. “I don’t see why you have any qualms. You should have killed the bastard outright.”

“I know that, goddainmid” Both Charlie and Diana jumped at my outburst. “Sorry, shit. Look, just let me do the worrying.”

“Why don’t you both talk about something else for a while.” Diana got up and checked the contents of her medical bag. “I’ve got another patient to check. Try to keep from exciting each other.”

“Graubard?” Charlie asked.

“That’s right. To make sure he can mount the scaffold without assistance.” “What if Hilleboe-”

“She’ll be out for another half-hour. I’ll send Jarvil down, just in case.”  She hurried out the door.

“The scaffold.. .” I hadn’t given that any thought. “How the hell are we going to execute him? We can’t do it indoors: morale. Firing squad would be pretty grisly.”

“Chuck him out the airlock. You don’t owe him any ceremony.”

“You’re probably right. I wasn’t thinking about him.” I wondered whether Charlie had ever seen the body of a person who’d died that way. “Maybe we ought to just stuff him into the recycler. He’d wind up there eventually.”

Charlie laughed. “That’s the spirit.”

“We’d have to trim him up a little bit. Door’s not very wide.” Charlie had a few suggestions as to how to get around that. Jarvil came in and more-or-less ignored us.

Suddenly the inlmnnary door banged open. A patient on a cart; Diana rushing alongside pressing on the man’s chest, while a private pushed. Two other privates were following, but hung back at the door. “Over by the wall,” she ordered.

It was Graubard. “Tried to kill himself,” Diana said, but that was pretty obvious. “Heart stopped.” He’d made  a noose out of his belt; it  was still banging limply around his neck.

There were two big electrodes with rubber handles hanging on the wall. Diana snatched them with one hand while she ripped his tunic open with the other. “Get your hands off the cart!” She held the electrodes apart, kicked a switch, and pressed them down onto his chest. They made a low hum while his body trembled and flopped. Smell of burning flesh.

Diana was shaking her head. “Get ready to crack him,” she said to Jarvil. “Get Doris down here.” The body was gurgling, but it was a mechanical sound, like plumbing.

She kicked off the power and let the electrodes drop, pulled a ring off her finger and crossed to stick her arms in the sterilizer. Jarvil started to rub an evil-smelling fluid over the man’s chest.

There was a small red mark between the two electrode burns. It took me a moment to recognize what it was. Jarvil wiped it away. I stepped closer and checked Graubard’s neck.

“Get out of the way, William, you aren’t sterile.” Diana felt his collarbone, measured down a little ways and made an incision straight down to the bottom of his breastbone. Blood welled out and Jarvil handed her an instrument that looked like big chrome-plated bolt-cutters. I looked away but couldn’t help hearing the thing crunch through his ribs. She asked for retractors and sponges and so on while I wandered back to  where I’d been sitting.  With the  corner of my eye  I  saw her working away inside his thorax, massaging his heart directly.

Charlie looked the way I felt. He called out weakly, “Hey, don’t knock yourself out, Diana.” She didn’t answer. Jarvil had wheeled up the artificial heart and was holding out two tubes. Diana picked up a scalpel and I looked away again.

He was still dead a half-hour later. They turned off the machine and threw a sheet over him. Diana washed the
blood off her arms and said, “Got to change. Back in a minute.” I got up and walked to her billet, next door. Had to know.

I raised my hand to knock but it was suddenly hurting like there was a line of fire drawn across it. I rapped with my left and she opened the door immediately.

“What-oh, you want something for your hand.” She was half-dressed, unseif- conscious. “Ask Jarvil.”

“No, that’s not it. What happened, Diana?”

“Oh. Well,” she pulled a tunic over her head and her voice was muffled. “It was my fault, I guess. I left him alone for a minute.”

“And he tried to hang himself.”

“That’s right.” She sat on the bed and offered me the chair. “I went off to the head and he was dead by the time I got back. I’d already sent Jarvil away because I didn’t want Hilleboe to be unsupervised for too long.”

“But, Diana. . . there’s no mark on his neck. No bruise, nothing.” She shrugged. “The hanging didn’t kill him. He had a heart attack.” “Somebody gave him a shot. Right over his heart.”

She looked at me curiously. “I did that, William. Adrenaline. Standard procedure.” You get that red dot of expressed blood if you jerk away from the projector while you’re getting a shot. Otherwise the medicine goes right through the pores, doesn’t

leave a mark. “He was dead when you gave him the shot?”

“That would be my professional opinion.” Deadpan. “No heartbeat, pulse, respiration. Very few other disorders show these symptoms.”

“Yeah. I see.”

“Is something. . . what’s the matter, William?”

Either I’d been improbably lucky or Diana was a very good actress. “Nothing. Yeah, I better get something for this hand.” I opened the door. “Saved me a lot of trouble.”

She looked straight into my eyes. “That’s true.”

 

Actually, I’d traded one kind of trouble for another. Despite the fact that there were several disinterested witnesses

to Graubard’s demise, there was a persistent rumor that I’d had Doc Alsever simply exterminate him-since I’d botched the job myself and didn’t want to go through a troublesome court-martial.

The fact was that, under the Universal Code of Military “Justice,” Graubard hadn’t deserved any kind of trial at all. All 1 had to do was say “You, you and you. Take this man out and kill him, please.” And woe betide the private who refused to carry out the order.

My relationship with the troops did improve, in a sense. At least outwardly, they showed more deference to me. But I suspected it was at least partly the cheap kind of respect you might offer any ruffian who had proved himself to be dangerous and volatile.

So Killer was my new name. Just when I’d gotten used to Old Queer.

The base quickly settled back into its routine of training and waiting. I was almost impatient for the Taurans to show up, just to get it over with one way or the other.

The troops had adjusted to the situation much better than I had, for obvious reasons. They had specific duties to perform and ample free time for the usual soldierly anodynes to boredom. My duties were more varied but offered little satisfaction, since the problems that percolated up to me were of the “the buck stops here” type; those with pleasing, unambiguous solutions were taken care of in the lower echelons.

I’d never cared much for sports or games, but found myself turning to them more and more as a kind of safety valve. For the first time in my life, in these tense, claustrophobic surroundings, I couldn’t escape into reading or study. So I fenced, quarterstaff and saber, with the other officers, worked myself to exhaustion on the exercise machines and even kept a jump-rope in my office. Most of the other officers played chess, but they could usually beat me-whenever I won it gave me the feeling I was being humored. Word games were difficuit because my language was an archaic

dialect that they  had trouble manipulating. And I lacked the time and talent to master “modern” English.

Joe tialdeman hi’)

For a while I let Diana feed me mood-altering drugs, but the cumulative effect of them was frightening-I was getting addicted in a way that was at first too subtle to bother me-so I stopped short. Then 1 tried some systematic psychoanalysis with Lieutenant Wilber. It was impossible. Although he knew all about my problem in an academic kind of way, we didn’t speak the same cultural language; his counseling me about love and sex was like me telling a fourteenth-century serf how best to get along with his priest and landlord.

And that, after all, was the root of my problem. I was sure I could have handled the pressures and frustrations of command; of being cooped up in a cave with these people who at times  seemed scarcely less  alien than  the enemy; even the near- certainty that it could lead only to painful death in a worthless cause-if only I could have had Mary-gay with me. And the feeling got more intense as the months crept by.

He got very stern with me at this point and accused me of romanticizing my position. He knew what love was, he said; he had been in love himself. And the sexual polarity of the couple made no difference-all right, I could accept that; that idea had been a clichй in my parents’ generation (though it had run into some predictable resistance in my own). But love, he said, love was a fragile blossom; love was a delicate crystal; love was an unstable reaction with a half-life of about eight months. Bullshit, I said, and accused him of wearing cultural blinders; thirty centuries of prewar society taught that love was one thing that could last to the grave and even beyond and if he had been born instead of hatched he would know that without being told!

Whereupon he would assume a wry, tolerant expression and reiterate that I was merely a victim of self-imposed sexual frustration and romantic delusion.

In retrospect, I guess we had a good time arguing with each other. Cure me, he didn’t.

I did have a new friend who sat in my lap all the time. It was the cat, who had the usual talent for hiding from people who like cats and cleaving unto those who have sinus trouble or just don’t like sneaky little animals. We

did have something in common, though, since to my knowledge be was the only other heterosexual male mammal within any reasonable distance, He’d been castrated, of course, but that didn’t make much difference under the circumstances.

It was exactly 400 days since the day we had begun construction. I was sitting at my desk not checking out Hilleboe’s new duty roster. The cat was on my lap, purring loudly even though I refused to pet it. Charlie was stretched out in a chair reading something on the viewer. The phone buzzed and it was the Commodore.

“They’re here.”

 

“I said they’re here. A Tauran ship just exited the collapsar field. Velocity .80c. Deceleration thirty gees. Give or take.”

Charlie was leaning over my desk. “What?” I dumped the cat. “How long? Before you can pursue?” I asked.

“Soon as  you get off the phone.” I switched off and went over to the logistic computer, which was a twin to the one on Masaryk ii and had a direct data link to it. While I tried to get numbers out of the thing, Charlie fiddled with the visual display.

The display was a hologram about a meter square by half a meter thick and was programmed to show the positions of Sade-l38, our planet, and a few other chunks of rock in the system. There were green and red dots to show the positions of our vessels and the Taurans’.

The computer said that the minimum time it could take the Taurans to decelerate and get back to this planet would be a little over eleven days. Of course, that would be straight maximum acceleration and deceleration all the way; we could pick them off like flies on a wall. So, like us, they’d mix up their direction of flight and degree of acceleration in a random way. Based on several hundred past records of enemy behavior, the computer was able to give us a probability table:

Unless, of course, Antopol and her gang of merry pirates managed to make a kill. The chances of that I had learned in the can, were slightly less than fifty-fifty.

But whether it took 28.9554 days or two weeks, those of us on the ground had to just sit on our hands and watch.

If Antopol was successful, then we wouldn’t have to fight until the regular garrison troops replaced us here and we moved on to the next collapsar.

“Haven’t left yet.” Charlie had the display cranked down to minimum scale; the planet was a white ball the size of a large melon and Masaryk II was a green dot off to the right some eight melons away; you couldn’t get both on the screen at the same time.

While we were watching a small green dot popped out of the ship’s dot and drifted away from it. A ghostly number 2 drifted beside it, and a key projected on the display’s lower left-hand corner identified it as 2-Pursuit Drone. Other nunibers in the key identified the Masaryk II, a planetary defense fighter and fourteen planetary defense drones. Those sixteen ships were not yet far enough away from one another to have separate dots.

The cat was rubbing against my ankle; I picked it up and stroked it. “Tell Hilleboe to call a general assembly. Might as well break it to everyone at once.”

The men and women didn’t take it very well, and I couldn’t blame them. We had all expected the Taurans to

attack much sooner-and when they persisted in not coming, the feeling grew that Strike Force Command had made a mistake and that they’d never show up at all.

I wanted the company to start weapons training in earnest; they hadn’t used any high-powered weapons in almost two years. So I activated their laser-fingers and passed out the grenade and rocket launchers. We couldn’t practice inside the base for fear of damaging the external sensors and defensive laser ring. So we turned off half the circle of gigawatt lasers and went out about a klick beyond the parimeter, one platoon at a time, accompanied by either me or Charlie. Rusk kept a close watch on the early-warning screens. If anything approached, she would send up a flare, and the platoon would have to get back inside the ring before the unknown came over the horizon, at which time the defensive lasers would come on automatically. Besides knocking out the unknown, they would fry the platoon in less than .02 second.

We couldn’t spare anything from the base to use as a target, but that turned out to be no problem. The first tachyon rocket we fired scooped out a hole twenty meters long by ten wide by five deep; the rubble gave us a multitude of targets from twice- man-sized on down.

The soldiers were good, a lot better than they had been with the primitive weapons in the stasis field. The best laser practice turned out to be rather like skeetshooting: pair up the people and have one stand behind the other, throwing rocks at random intervals. The one who was shooting had to gauge the rock’s trajectory and zap it before  it hit the ground. Their eye-hand coordination was impressive (maybe the Eugenics Council had done something right).

Shooting at rocks down to pebble-size, most of them could do better than nine out of ten. Old non-bioengineered me could hit maybe seven out of ten, and I’d had a good deal more practice than they had.

They were equally facile at estimating trajectories with the grenade launcher, which was a more versatile weapon than it had been in the past. Instead of shooting one-

microton bombs with a standard propulsive charge, it had four different charges and a choice of one-, two-, three- or

four-microton bombs. And for really close in-fighting, where it was dangerous to use the lasers, the barrel of the launcher would unsnap, and you could load it with a magazine of “shotgun” rounds. Each shot would send out an expanding cloud of a thousand tiny fiechettes that were instant death out to five meters and turned to hanniess vapor

at six.

The tachyon- rocket launcher required no skill whatsoever. All you had to do was to be careful no one was standing behind you when you fired it; the backwash from the

rocket was dangerous for several meters behind the launching tube. Otherwise, you just lined your target up in the crosshairs and pushed the button. You didn’t have to worry about trajectory; the rocket traveled in a straight line for all practical purposes. It reached escape velocity in less than a second.

It improved the troops’ morale to get out and chew up the landscape with their new toys. But the landscape wasn’t fighting back. No matter how physically impressive the weapons were, their effectiveness would depend on what the Taurans could throw back. A Greek phalanx must have looked pretty impressive,  but it wouldn’t do too well against a single man with a flamethrower.

And as with any engagement, because of time dilation, there was no way to tell what sort of weaponry they would have. They might have never heard of the stasis field. Or they might be able to say a magic word and make us disappear.

I was out with the fourth platoon, burning rocks, when Charlie called and asked me to come back in, urgent. I left Heimoff in charge.

“Another one?” The scale of the holograph display was such that our planet was pea-sized, about five centimeters from the X that marked the position of Sade-138. There were forty-one red and green dots scattered around the field; the key identified number 41 as Tauran Cruiser (2).

“You called Antopol?”

“Yeah.” He anticipated the next question. “It’ll take

almost a day for the signal to get there and back.” “It’s never happened before,” but of course Charlie knew that

“Maybe this coliapsar is especially important to them.”

“Likely.” So it was almost certain we’d be fighting on the ground. Even if Antopol managed to get the first cruiser, she wouldn’t have a fifty-fifty chance on the second one. Low on drones and fighters. “I wouldn’t like to be Antopol now.”

“She’ll just get it earlier.”

“I don’t know. We’re in pretty good shape.”

“Save it for the troops, William.” He turned down the display’s scale to where it showed only two objects: Sade138 and the new red dot, slowly moving.

 

We spent the next two weeks watching dots blink out. And if you knew when and where to look, you could go outside and see the real thing happening, a hard bright speck of white light that faded in about a second.

In that second, a nova bomb had put out over a million times the power of a gigawatt laser. It made a miniature star half a klick in diameter and as hot as the interior of the sun. Anything it touched it would consume. The radiation from a near miss could botch up a ship’s electronics beyond repair-two fighters, one of ours and one of theirs, had evidently suffered that fate, silently drifting out of the system at a constant velocity, without power.

We had used more powerful nova bombs earlier in the war, but the degenerate matter used to fuel them was unstable in large quantities. The bombs had a tendency to explode while they were still inside the ship. Evidently the Taurans had the same problem-or they had copied the process from us in the first place-because they had also scaled down to nova bombs that used less than a hundred kilograms of degenerate matter. And they deployed them much the same way we did, the warhead separating into dozens of pieces as it approached the target, only one of which was the nova bomb.

They would probably have a few bombs left over after they finished off Masaryk II and her retinue of fighters and

drones. So it was likely that we were wasting time and energy in weapons practice. The thought did slip by my conscience that I could gather up eleven people and board the fighter we had hidden safe behind the stasis field. It was pre-programmed

to take us back to Stargate.

I even went to the extreme of making a mental list of the eleven, trying to think of eleven people who meant more to me than the rest. Turned out I’d be picking six at random.

I put the thought away, though. We did have a chance, maybe a damned good one, even against a fully-armed cruiser. It wouldn’t be easy to get a nova bomb close enough to include us inside its kill-radius.

Besides, they’d space me for desertion. So why bother?

 

Spirits rose when one of Antopol’s drones knocked out the first Tauran cruiser. Not counting the ships left behind for planetary defense, she still had eighteen drones and two fighters. They wheeled around to intercept the second cruiser, by then a few light-hours away, still being harassed by fifteen enemy drones.

One of the Tauran drones got her. Her ancillary crafts continued the attack, but it was a rout. One fighter and three drones fled the battle at maximum acceleration, looping up over the plane of the ecliptic, and were not pursued. We watched them with morbid interest while the enemy cruiser inched back to do battle with us. The fighter was headed back for Sade-l38, to escape. Nobody blamed them. In fact, we sent them a farewell-good luck message; they didn’t respond, naturally, being zipped up in the tanks. But it would be recorded.

It took the enemy five days to get back to the planet and be comfortably ensconced in a stationary orbit on the other side. We settled in for the inevitable first phase of the attack, which would be aerial and totally automated: their drones against our lasers. I put a force of fifty men and women inside the stasis field, in case one of the drones got through. An empty gesture, really; the enemy could just

Joe Haldeman

stand by and wait for them to turn off the field, fry them the second it flickered out.

Charlie had a weird idea that I almost went for. “We could boobytrap the place.”

“What do you mean?” I said. “This place is booby-trapped, out to twenty-five klicks.”

“No, not the mines and such. I mean the base itself, here, underground.” “Go on.”

“There are two nova bombs in that fighter.” He pointed at the stasis field through a couple of hundred meters of rock. “We can roll them down here, boobytrap them, then bide everybody in the stasis field and wait.”

In a way it was tempting. It would relieve me from any responsibility for decision- making, leave everything up to chance. “I don’t think it would work, Charlie.”

He seemed hurt. “Sure it would.”

“No, look. For it to work, you have to get every single Tauran inside the kill-radius before it goes off-but they wouldn’t all come charging in here once they breached our defenses. Least of all if the place seemed deserted. They’d suspect something, send in an advance party. And after the advance party set off the bombs-”

“We’d be back where we started, yeah. Minus the base.

Sorry.”

I shrugged. “It was an idea. Keep thinking, Charlie.” I turned my attention back to the display, where the lopsided space war was in progress. Logically enough, the enemy wanted to knock out that one fighter overhead before he started to work on us. About all we could do was watch the red dots crawl around the planet and try to score. So far the pilot had managed to knock out all the drones; the enemy hadn’t sent any fighters after him yet.

I’d given the pilot control over five of the lasers in our defensive ring. They couldn’t do much good, though. A gigawatt laser pumps out a billion kilowatts per second at a range of a hundred meters. A thousand klicks up, though, the beam was attenuated to ten kilowatts. Might do some damage if it hit an optical sensor. At least confuse things.

“We could use another fighter. Or six.”
“Use up the drones,” I said. We did have a fighter, of course, and a swabbie attached to us who could pilot it. It might turn out to be our only hope, if they got us cornered in the stasis field.

“How far away is the other guy?” Charlie asked, meaning the fighter pilot who had turned tail. I cranked down the scale, and the green dot appeared at the right of the display. “About six light-hours.” He had two drones left, too near to him to show as separate dots, having expended one in covering his getaway. “He’s not accelerating any more, but he’s doing point nine gee.”

“Couldn’t do us any good if he wanted to.” Need almost a month to slow down.

At that low point, the light that stood for our own defensive fighter faded out. “Shit.”

“Now the fun starts. Should I tell the troops to get ready, stand by to go topside?” “No . . . have them suit up, in case we lose air. But I expect it’ll be a little while

before we have a ground attack.” I turned the scale up again. Four red dots were already creeping around the globe toward us.

 

I got suited up and came back to Administration to watch the fireworks on the monitors.

The lasers worked perfectly. All four drones converged on us simultaneously; were targeted and destroyed. All but one of the nova bombs went off below our horizon (the visual horizon was about ten kilometers away, but the lasers were mounted high and could target something at twice that distance). The bomb that detonated on our horizon had melted out a semicircular chunk that glowed brilliantly white for several minutes. An hour later, it was still glowing dull orange, and the ground temperature outside had risen to fifty degrees Absolute, melting most of our snow, exposing an irregular dark gray surface.

The next attack was also over in a fraction of a second, but this time there had been eight drones, and four of them got within ten klicks. Radiation from the glowing craters raised the temperature to nearly 300 degrees. That was above the melting point of water, and I was starting to get

joe riaiaeman

worried. The fighting suits were good to over a thousand degrees, but the automatic lasers depended on low- temperature superconductors for their speed.

I asked the computer what the lasers’ temperature limit

was, and it printed out TR  398-734-009-265, “Some  Aspects Concerning the Adaptability of Cryogenic Ordnance to Use in Relatively High-Temperature Environments,”

which had lots of handy advice about how we could insulate the weapons if we had access to a fully-equipped armorer’s shop. It did note that the response time of

automatic-aiming devices increased as the temperature increased, and that above some “critical temperature,” the

weapons would not aim at all. But there was no way to

predict any individual weapon’s behavior, other than to note that the highest critical temperature recorded was 790 degrees and the lowest was 420 degrees.

Charlie was watching the display. His voice was flat over the suit’s radio. “Sixteen this time.”

“Surprised?” One of the few  things we knew about Tauran psychology  was a certain compulsiveness about numbers, especially primes and powers of two.

“Let’s just hope they don’t have 32 left.” I queried the computer on this; all it could say was that the cruiser had thus far launched a total of 44 drones and that some cruisers had been known to carry as many as 128.

We had more than a half-hour before the drones would strike. I could evacuate everybody to the stasis field, and they would be temporarily safe if one of the nova bombs got through. Safe, but trapped. How long would it take the crater to cool down, if three or four-let alone sixteen-of the bombs made it through? You couldn’t live forever in a fighting suit, even though it recycled everything with remorseless efficiency. One week was enough to make you thoroughly miserable. Two weeks, suicidal. Nobody had ever gone three weeks, under field conditions.

Besides, as a defensive position, the stasis field could be a death-trap. The enemy has all the options since the dome is opaque; the only way you can find out what they’re up to is to stick your head out. They didn’t have to wade in with primitive weapons unless they were impatient. They

could keep the dome saturated with laser fire and wait for you to turn off the generator. Meanwhile harassing you by throwing spears, rocks, arrows into the dome-.you could return fire, but it was pretty futile.

Of course, if one man stayed inside the base, the others could wait out the next half-hour in the stasis field. If he didn’t come get them, they’d know the outside was hot. I chinned the combination that would give me a frequency available to everybody echelon 5 and above.

“This is Major Mandella.” That still sounded like a bad joke.

I outlined the situation to them and asked them to tell their troops that everyone in the company was free to move into the stasis field. I would stay behind and come retrieve them if things went well-not out of nobility, of course; I preferred taking the chance of being vaporized in a nanosecond, rather than almost certain slow death under the gray dome.

I chinned Charlie’s frequency. “You can go, too. I’ll take care of things here.” “No, thanks,” be said slowly. “I’d just as soon. . . Hey, look at this.”

The cruiser had launched another red dot, a couple of minutes behind the others. The display’s key identified it as being another drone. “That’s curious.”

“Superstitious bastards,” he said without feeling.

It turned out that only eleven people chose to join the fifty who had been ordered into the dome. That shouldn’t have surprised me, but it did.

As the drones approached, Charlie and I stared at the monitors, carefully not looking at the holograph display, tacitly agreeing that it would be better not to know when they were one minute away, thirty seconds. . . And then, like the other times, it was over before we knew it had started. The screens glared white and there was a yowl of static, and we were still alive.

But this time there  were  fifteen new holes on  the horizon-or closer!-and the temperature was rising so fast that the last digit in the readout was an amorphous blur.

j ~ ua.iti~u1WU

The number peaked in the high 800s and began to slide back down.

We had never seen any of the drones, not during that tiny fraction of a second it took the lasers to aim and fire.

But then the seventeenth one flashed over the horizon, zigzagging crazily, and stopped directly overhead. For an instant it seemed to hover, and then it began to fall. Half the lasers had detected it, and they were firing steadily, but none of them could aim; they were all stuck in their last firing position.

It glittered as it droppecLthe mirror polish of its sleek hull reflecting the white glow from the craters and the eerie flickering of the constant, impotent laser fire. I beard Charlie take one deep breath, and the drone fell so close you could see spidery Tauran numerals etched on the hull and a transparent porthole near the tip-then its engne flared and it was suddenly gone.

“What the hell?” Charlie said, quietly. The porthole. “Maybe reconnaissance.”

“I guess. So we can’t touch them, and they know it.”

“Unless the lasers recover.” Didn’t seem likely. “We better get everybody under the dome. Us, too.”

He said a word whose vowel had changed over the centuries, but whose meaning was clear. “No hurry. Let’s see what they do.”

We waited for several hours. The temperature outside stabilized at 690 degrees- just under the melting point of zinc, I remembered to no purpose-and I tried the manual controls for the lasers, but they were still frozen.

“Here they come,” Charlie said. “Eight again.” I started for the display. “Guess we’ll-”

“Wait! They aren’t drones.” The key identified all eight with the legend Troop Carrier.

“Guess they want to take the base,” he said. “Intact.” That, and maybe try out new weapons and techniques.

“It’s not much of a risk for them. They can always retreat and drop a nova bomb in our laps.”

I called Brill and had her go get everybody who was in the stasis field, set them up with the remainder of her platoon as a defensive line circling around the northeast and

northwest quadrants. I’d put the rest of the people on the other half-circle.

“I wonder,” Charlie said. “Maybe we shouldn’t put everyone topside at once. Until we know how many Taurans there are.”

That was a point. Keep a reserve, let the enemy underestimate our strength. “It’s an idea. . . There might be just 64 of them in eight carriers.” Or 128 or 256. I wished

our spy satellites had a finer sense of discrimination. But you can only cram so much into a machine the size of a grape.

I decided to let Brill’s seventy people be our first line of defense and ordered them into a ring in the ditches we had made outside the base’s perimeter. Everybody else would stay downstairs until needed.

If it turned out that the Taurans, either through numbers or new technology, could field an unstoppable force, I’d order everyone into the stasis field. There was a tunnel from the living quarters to the dome, so the people underground could go straight there in safety. The ones in the ditches would have to fall back under fire. If any of them were still alive when I gave the order.

I called in Hilleboe and had her and Charlie keep watch over the lasers. If they came unstuck, I’d call Brill and her people back. Turn on the automatic aiming system again, then sit back and watch the show. But even stuck, the lasers could be useful. Charlie marked the monitors to show where the rays would go;  he and Hilleboe could fire them manually whenever something moved into a weapon’s line- of-sight.

We had about twenty minutes. Brill was walking around the perimeter with her men and women,  ordering  them into the ditches a squad at a time, setting up overlapping fields of fire. I broke in and asked her to setup the heavy weapons so that they could be used to channel the enemy’s advance into the path of the lasers.

There wasn’t much else to do but wait. I asked Charlie to measure the enemy’s progress and try to give us an accurate count-down, then sat at my desk and pulled out a pad, to diagram Brill’s arrangement and see whether I could improve on it.

The cat jumped up on my Lap, mewling piteously. He’d evidently been unable to tell one person from the other, suited up. But nobody else ever sat at this desk. 1 reached up to pet him and he jumped away.

The first line that I drew ripped through four sheets of paper. It had been some time since I’d done any delicate work in a suit. I remembered how in training, they’d made us practice controlling the strength-amplification circuits by passing eggs from person to person, messy business. I wondered if they still had eggs on Earth.

The diagram completed, I couldn’t see any way to add to it. All those reams of theory crammed in my brain; there was plenty of tactical advice about envelopment and encirclement, but from the wrong point of view. If you were the one who was being encircled, you didn’t have many options. Sit tight and fight. Respond quickly to enemy concentrations of force, but stay flexible so the enemy can’t employ a diversionary force to divert strength from some predictable section of your perimeter. Make full use of air and space support, always good advice. Keep your head down and your chin up and pray for the cavalry. Hold your position and don’t contemplate Dienbienphu, the Alamo, the Battle of Hastings.

“Eight more carriers out,” Charlie said. “Five minutes. Until the first eight get here.”

So they were going to attack in two waves. At least two. What would I do, in the Tauran commander’s position? That wasn’t too far-fetched; the Taurans lacked imagination in tactics and tended to copy human patterns.

The first wave could be a  throwaway, a kamikaze attack to soften us up and evaluate our defenses. Then the second would come in more methodically, and finish  the job.  Or vice  versa:  the first group would have twenty minutes to get

entrenched; then the second could skip over their heads and hit us hard at one spot- breach the perimeter and overrun the base.

Or maybe they sent out two forces simply because two was a magic number. Or they could launch only eight troop carriers at a time (that would be bad, implying that the carriers were large; in different situations they had used

carriers holding as few as 4 troops or as many as 128).

“Three minutes.” I stared at the cluster of monitors that showed various sectors of the mine field. If we were lucky, they’d land out there, Out of caution. Or maybe pass over it low enough to detonate mines.

I was feeling vaguely guilty. I was safe in my hole, doodling, ready to start calling out orders. How did those seventy sacrificial lambs feel about their absentee commander?

Then I remembered how I had felt about Captain Stott that first mission, when he’d elected  to stay safely in orbit while we fought on the ground. The rush of remembered hate was so strong I had to bite back nausea.

“Hilleboe, can you handle the lasers by yourself?” “I don’t see why not, sir.”

I tossed down the pen and stood up. “Charlie, you take over the unit coordination; you can do it as well as I could.

I’m going topside.”

“I wouldn’t advise that, sir.”

“Hell no, William. Don’t be an idiot.” “I’m nзt taking orders, I’m giv-”

“You wouldn’t last ten seconds up there,” Charlie said. “I’ll take the same chance as everybody else.”

“Don’t you hear what I’m saying. They’ll kill you!”

“The troops? Nonsense. I know they don’t like me especially, but-”

“You haven’t listened in on the squad frequencies?” No, they didn’t speak my brand of English when they talked among themselves. “They think you put them out on the line for punishment, for cowardice. After you’d told them anyone was free to go into the dome.”

“Didn’t you, sir?” Hilleboe said.

“To punish them? No, of course not.” Not consciously. “They were just up there when I needed. . . Hasn’t Lieutenant Brili said anything to them?”

“Not that I’ve heard,” Charlie said. “Maybe she’s been too busy to tune in.” Or she agreed with them. “I’d better get-”

“There!” Hilleboe shouted. The first enemy ship was visible in one of the mine field monitors; the others appeared in the next second. They came in from random directions and weren’t evenly distributed around the base.

Five in the northeast quadrant and only one in the southwest.  I relayed the information to Bnll.

But we had predicted their logic pretty well; all of them were coming down in the ring of mines. One came close enough to one of the tachyon devices to set it off. The blast caught the rear end of the oddly streamlined craft, causing it to make a complete flip and crash nose-first. Side ports opened up and Taurans came crawling

out. Twelve of them; probably four left inside. If all the others had sixteen as well, there were only slightly more of them than of us.

In the first wave.

The other seven had landed without incident, and yes, there were sixteen each. Brill shuffled a couple of squads to conform to the enemy’s troop concentration, and she waited.

They moved fast across the mine field, striding in unison like bowlegged, top- heavy robots, not even breaking stride when one of them was blown to bits by a mine, which happened eleven times.

When they came over the horizon, the reason for their apparently random distribution was obvious: they had analyzed beforehand which approaches would give them the most natural cover, from the rubble that the drones had kicked up. They would be able to get within a couple of kilometers of the base before we got any clear line-of-sight of them. And their suits had augmentation circuits similar to ours, so they could cover a kilometer in less than a minute.

Brill had her troops open fire immediately, probably more for morale than out of any hope of actually hitting the enemy. They probably were getting a few, though it was hard to tell. At least the tachyon rockets did an impressive job of turning boulders into gravel.

The Taurans returned fire with some weapon similar to the tachyon rocket, maybe exactly the same. They rarely found a mark, though; our people were at and below ground level, and if the rocket didn’t hit something, it would keep going on forever, amen. They did score a hit on one of the gigawatt lasers, though, and the concussion that filtered

down to us was strong enough to make me wish we had burrowed a little deeper than twenty meters.

The gigawaus weren’t doing us any good. The Taurans must have figured out the lines of sight ahead of tune, and gave them wide berth. That turned  out to be fortunate, because it caused Charlie to let his attention wander from the laser monitors for a moment.

“What the hell?”

“What’s that, Charlie?” I didn’t take my eyes off the monitors. Waiting for something to happen.

“The ship, the cruiser-it’s gone.” I looked at the holograph display. He was right; the only red lights were those that stood for the troop carriers.

“Where did it go?” I asked inanely.

“Let’s play it back.” He programmed the display to go back a couple of minutes and cranked out the scale to where both planet and collapsar showed on the cube. The cruiser showed up, and with it, three green dots. Our “coward,”

attacking the cruiser with only two drones.

But he had a little help from the laws of physics.

Instead of going into collapsar insertion, he had skimmed around the collapsar field in a slingshot orbit. He had come out going nine-tenths of the speed of light; the drones were going .99c, headed straight for the enemy cruiser. Our planet was about a thousand light-seconds from the collapsar, so the Tauran ship had only ten seconds to detect and stop both drones. And at that speed, it didn’t matter whether you’d been hit by a nova-bomb or a spitball.

The first drone disintegrated the cruiser, and the other one, .01 second behind, glided on down to impact on the planet. The fighter missed the planet by a couple of hundred kilometers and hurtled on into space, decelerating with the maximum twenty-five gees. He’d be back in a couple of months.

But the Taurans weren’t going to wait. They were getting close enough to our lines for both sides to start using lasers, but they were also within easy grenade range. A good-size rock could shield them from laser fire, but the grenades and rockets were slaughtering them.

At first, Brill’s troops had the overwhelming advantage; joe naiaeman

fighting from ditches, they could only be harmed by an occasional lucky shot or an extremely well-aimed grenade (which the Taurans threw by hand, with a range of several hundred meters). Brill had lost four, but it looked as if the Tauran force was down to less than half its original size.

Eventually, the landscape had been torn up enough so that the bulk of the Tauran force was able to fight from holes in the ground. The fighting slowed down to individual laser duels, punctuated occasionally by heavier weapons. But it wasn’t smart to use up a tachyon rocket against a single Tauran, not with another force of unknown size only a few minutes away.

Something had been bothering me about that holographic replay. Now, with the battle’s lull, I knew what it was.

When that second drone crashed at near-lightspeed, how much damage had it done to the planet? I stepped over to the computer and punched it up; found out how much energy had been released in the collision, and then compared it with geological information in the computer’s memory.

Twenty times as much energy as the most powerful earthquake ever recorded. On a planet three-quarters the size of Earth.

On the general frequency: “Everybody-topside! Right now!” I palmed the button that would cycle and open the airlock and tunnel that led from Administration to the surface.

“What the hell, Will-” “Earthquake!” How long? “Move!”

Hilleboc and Charlie were right behind me. The cat was sitting on my desk, licking himself unconcernedly. I had an irrational impulse to put him inside my suit, which was the way he’d been carried from the ship to the base, but knew he wouldn’t tolerate more than a few minutes of it. Then I had the more reasonable impulse to simply vaporize him with my laser-finger, but by then the door was closed and we were swarming up the ladder. All the way up, and for some time afterward, I was haunted by the image of that helpless animal, trapped under tons of rubble, dying slowly as the air hissed away.

“Safer in the ditches?” Charlie said

“I don’t know,” I said. “Never been in an earthquake.” Maybe the walls of the ditch would close up and crush us.

I was surprised at how dark it was on the surface. S Doradus had almost set; the monitors had compensated for the low light level.

An enemy laser raked across the clearing to our left, making a quick shower of sparks when it flicked by a gigawatt mounting. We hadn’t been seen yet. We all

decided yes, it would be safer in the ditches, and made it to the nearest one in three strides.

There were four men and women in the ditch, one of them badly wounded or dead. We scrambled down the ledge and I turned up my image amplifier to log two, to inspect our ditchmates. We were lucky; one was a grenadier and they also had a rocket launcher. I could just make out the names on their helmets. We were in Brill’s ditch, but she hadn’t noticed us yet. She was at the opposite end, cautiously peering over the edge, directing two squads in a flanking movement. When,they were safely in position, she ducked back down. “Is that you, Major?”

“That’s right,” I said cautiously. I wondered whether any of the people in the ditch were among the ones after my scalp.

“What’s this about an earthquake?”

She had been told about the cruiser being destroyed, but not about the other drone. I explained in as few words as possible.

“Nobody’s come out of the airlock,” she said. “Not yet. I guess they all went into the stasis field.”

“Yeah, they were just as close to one as the other.” Maybe some of them were still down below, hadn’t taken my warning seriously. I thinned the general frequency to check, and then all hell broke loose.

The ground dropped away and then flexed back up; slammed us so hard that we were airborne, tumbling out of the ditch. We flew several meters, going high enough to see the pattern of bright orange and yellow ovals, the craters where nova bombs had been stopped. I landed on my feet but the ground was shifting and slithering so much that it was impossible to stay upright.

With a basso grinding I could feel through my suit, the cleared area above our base crumbled and fell in. Part of the stasis field’s underside was exposed when the ground subsided; it settled to its new level with aloof grace.

Well, minus one cat. I hoped everybody else had time and sense enough to get under the dome.

A figure came staggering out of the ditch nearest to me and I realized with a start that it wasn’t human. At that range, my laser burned a hole straight through his helmet; he took two steps and fell over backward. Another helmet peered over the edge of the ditch. I sheared the top of it off before he could raise his weapon.

I couldn’t get my bearings. The only thing that hadn’t changed was the stasis dome, and it looked the same from any angle. The gigawatt lasers were all buried, but one of them had switched on, a brilliant flickering searchlight that illuminated a swirling cloud of vaporized rock.

Obviously, though, I was in enemy territory. I started across the trembling ground toward the dome.

I couldn’t raise any platoon leaders. All of them but Brill were probably inside the dome. I did get Hilleboe and Charlie; told Hilleboe to go inside the dome and roust everybody out. If the next wave also had 128, we were going to need everybody.

The tremors died down and I found my way into a

“friendly” ditch-the cooks’ ditch, in fact, since the only people there were Orban and Rudkoski.

“Looks like you’ll have to start from scratch again, Private.” “That’s all right, sir. Liver needed a rest.”

1 got a beep from Hilleboe and chinned her on. “Sir… there were only ten people there. The rest didn’t make it.”

“They stayed behind?” Seemed like they’d had plenty of time. “I don’t know, sir.”

“Never mind. Get me a count, how many people we have, all totalled.” I tried the platoon leaders’ frequency again and it was still silent.

The three of us watched for enemy laser fire for a couple of minutes, but there was none. Probably waiting for reinforcements. Hilleboe called back “I only get fifty-three, sir. Some may be unconscious.”

“All right. Have them sit tight until-” Then the second wave showed up, the troop carriers roaring over the horizon with their jets pointed our way, decelerating. “Get some rockers on those bastards!” Hilleboe yelled to everyone in particular.  But nobody had managed to stay attached to a rocket launcher while he was being tossed around. No grenade launchers, either, and the range was too far for the band lasers to do any damage.

These carriers were four or five times the size of the ones in the first wave. One of them grounded about a kilometer in front of us, barely stopping long enough to disgorge its troops. Of which there were over 50, probably 64-times 8 made 512. No way we could hold them back.

“Everybody listen, this is Major Mandella.” I tried to keep my voice even and quiet. “We’re going to retreat back into the dome, quickly but in an orderly way. I know we’re scattered all over hell. If you belong to the second or fourth platoon, stay put for  a minute and give covering  fire while the first and third platoons,  and support, fall back.

“First and third and support, fall back to about half your present distance from the dome, then take cover and defend the second and fourth as they come back. They’ll go to the edge of the dome and cover you while you come back the rest of the way.” I  shouldn’t have said “retreat”; that  word wasn’t in the  book. Retrograde action.

There was a lot more retrograde than action. Eight or nine people were firing, and all the rest were in full flight.

Rudkoski and Orban had vanished. I took a few carefully aimed shots, to no great effect, then ran down to the other end of the ditch, climbed out and headed for the dome.

The Taurans started firing rockets, but most of them seemed to be going too high. I saw two of us get blown away before I got to my halfway point; found a nice big rock and hid behind it. I peeked out and decided that only two or three of the Taurans were close enough to be even remotely possible laser targets, and the better part of valor

would be in not drawing unnecessary attention to myself. I ran the rest of the way to the edge of the field and stopped to return fire. After a couple of shots, I realized that I was just making myself a target; as far as I could see there was only one other person who was still running toward the dome.

A rocket zipped by, so close I could have touched it. I flexed my knees and kicked, and entered the dome in a rather undignified posture.

Inside, I could see the rocket that had missed me drifting lazily through the gloom, rising slightly as it passed through to the other side of the dome. It would vaporize the instant it came out the other side, since all of the kinetic energy it had lost in abruptly slowing down to 16.3 meters per second would come back in the form of heat.

Nine people were lying dead, facedown just inside of the field’s edge. It wasn’t unexpected, though it wasn’t the sort of thing you were supposed to tell the troops.

Their fighting suits were intact-otherwise they wouldn’t have made it this far-but sometime during the past few minutes’ rough-and-tumble, they had damaged the coaling of special insulation that protected them from the stasis field. So as soon as they entered the field, all electrical activity in their bodies ceased, which killed them instantly. Also, since no molecule in their bodies could move faster than 16.3 meters per second, they instantly froze solid, their body temperature stabilized at a cool

0.426 degrees Absolute.

I decided not to turn any of them over to find out their names, not yet. We had to get some sort of defensive position worked out before the Taurans came through the dome. If they decided to slug it out rather than wait

With elaborate gestures, I managed to get everybody collected in the center of the field, under the fighter’s tail, where the weapons were racked.

There were plenty of weapons, since we had been prepared to outfit three times this number of people. After giving each person a shield and short-sword, I traced a question in the snow: GOOD ARCHERS? RAISE HANDS. (got five volunteers, then picked out three more so that all the bows would be in use. Twenty arrows per bow. They were the most effective long-range weapons we had; the

arrows were almost invisible in their slow ifight, heavily weighted and tipped with a deadly sliver of diamond-hard C-.

I arranged the archers in a circle around the fighter (its landing fins would give them partial protection from missiles coming in from behind) and between each pair of archers put four other people: two spear-throwers, one quarterstaff, and a person armed with battleax and a dozen throwing knives. This arrangement would theoretically take care of the enemy at any range, from the edge of the field

to hand-to-hand combat.

Actually, at some 600-to-42 odds, they could probably walk in with a rock in each hand, no shields or special weapons, and still beat the shit out of us.

Assuming they knew what the stasis field was. Their technology seemed up to date in all other respects.

For several hours nothing happened. We got about as bored as anyone could, waiting to die. No one to talk to, nothing to see but the unchanging gray dome, gray snow, gray spaceship and a few identically gray soldiers. Nothing to hear, taste or smell but yourself.

Those of us who still had any interest in the battle were keeping watch on the bottom edge of the dome, waiting for the first Taurans to come through. So it took us a second to realize what was going on when the attack did stait It came from above, a cloud of catapulted darts swarming in through the dome some thiity meters above the ground, headed straight for the center of the hemisphere.

The shields were big enough that you could hide most of your body behind them by crouching slightly; the people who saw the darts coming could protect themselves

easily. The ones who had their backs to the action, or were just asleep at the switch, had to rely on dumb luck for survival; there was no way to shout a warning, and it took only three seconds for a missile to get from the edge of the dome to its center.

We were lucky, losing only five. One of them was an archer, Shubik. I took over her bow and we waited, expecting a ground attack immediately.

It didn’t come. After a half-hour, I went around the circle and explained with gestures that the first thing you were supposed to do, if anything happened, was to touch the

person on your right. He’d do the same, and so on down the line.

That might have saved my life. The second dart attack, a couple of hours later, came from behind me. I felt the nudge, slapped the person on my tight, turned around and saw the cloud descending. I got the shield over my head, and they hit a split-second later.

I set down my bow to pluck three darts from the shield and the ground attack started.

It was a weird, impressive sight Some three hundred of them stepped into the field simultaneously, almost shoulder-to-shoulder around the perimeter of the dome. They advanced in step, each one holding a round shield barely large enough to hide his massive chest. They were throwing darts similar to the ones we had been barraged with.

I set up the shield in front of me-it had little extensions on the bottom to keep it upright-and with the first arrow I shot, I knew we had a chance. It struck one of them in the center of his shield, went straight through and penetrated his suit.

It was a one-sided massacre. The darts weren’t very effective without the element of surprise-but when one came sailing over my head from behind, it did give me a crawly feeling between the shoulder blades.

With twenty arrows I got twenty Taurans. They closed ranks every time one dropped; you didn’t even have to aim. After running out of arrows, I tried throwing their darts back at them. But their light shields were quite adequate against the small missiles.

We’d killed more than half of them with arrows and spears, long before they got into range of the hand-to-hand weapons. I drew my sword and waited. They still outnumbered us by better than three to one.

When they got within ten meters, the people with the chakram throwing knives had their own field day. Although the spinning disc was easy enough to see and took more

than a half-second to get from thrower to target, most of the Taurans reacted in the same ineffective way, raising up the shield to ward it off. The razor-sharp, tempered heavy blade cut through the light shield like a buzz-saw through cardboard.

The first hand-to-hand contact was with the quarter-staffs, which were metal rods two meters long that tapered at the ends to a double-edged, serrated knife blade. The Taurans had a cold-blooded–or valiant, if your mind works that way-method for dealing with them. They would simply grab the blade and die. While the human was trying to extricate his weapon from the frozen death-grip, a Tauran swordsman, with a scimitar over a meter long, would step in and kill him.

Besides the swords, they had a bob-like thing that was a length of elastic cord that ended with about ten centimeters of something like barbed wire, and a small weight to propel it. It was a dangerous weapon for all concerned; if they missed their target it would come snapping back unpredictably. But they hit their target pretty often, going under the shields and wrapping the thorny wire around ankles.

I stood back-to-back with Private Erikson, and with our swords we managed to stay alive for the next few minutes.

When the Taurans were down to a couple of dozen survivors, they just turned around and started marching out. We threw some darts after them, getting three, but we didn’t warn to chase after them. They might turn around and start hacking again.

There were only twenty-eight of us left standing. Nearly ten times that number of dead Taurans littered the ground, but there was no satisfaction in it.

They could do the whole thing over, with a fresh 300. And this time it would work.

We moved from body to body, pulling out arrows and spears, then took up places around the fighter again. Nobody bothered to retrieve the quarterstaffs. I counted noses:

Charlie and Diana were still alive (Hilleboe had been one of the quarterstaff victims), as well as two supporting officers. Wilber and Szydlowska. Rudkoski was still alive but Orban had taken a dart.

After a day of waiting, it looked as though the enemy

had decided on a war of attrition rather than repeating the

ground attack. Darts came in constantly, not in swarms anymore, but in twos and threes and tens. And from all different angles. We couldn’t stay alert forever; they’d get somebody every three or four hours.

We took turns sleeping, two at a time, on top of the stasis field generator. Sitting directly under the bulk of the fighter, it was the safest place in the dome.

Every now and then, a Tauran would appear at the edge of the field, evidently to see whether any of us were left.

Sometimes we’d shoot an arrow at him, for practice.

The darts stopped falling after a couple of days. I supposed it was possible that they’d simply run out of them.

Or maybe they’d decided to stop when we were down to twenty survivors.

There was a more likely possibility. I took one of the quarterstaffs down to the edge of the field and poked it through, a centimeter or so. When I drew it back, the point was melted off. When 1 showed it to Charlie, he rocked back and forth (the only way you can nod in a suit); this sort of thing had happened before, one of the first times the stasis field hadn’t worked. They simply saturated it with laser fire and waited for us to go stir-crazy and turn off the generator. They were probably sitting in their ships playing the Tauran equivalent of pinochle.

I tried to think. It was hard to keep your mind on something for any length of time in that hostile environment, sense-deprived, looking over your shoulder every few seconds. Something Charlie had said. Only yesterday. I couldn’t track it down. It wouldn’t have worked then; that was all I could remember. Then finally it came to me.

I called everyone over and wrote in the snow:

GET NOVA BOMBS FROM SHIP. CARRY TO EDGE OF FIELD.

MOVE FIELD.

Joe Ilableman

Szydlowska knew where the proper tools would be aboard ship. Luckily, we had left all of the entrances open before turning on the stasis field; they were electronic and would have been frozen shut. We got an assortment of wrenches from the engine room and climbed up to the cockpit. He knew how to remove the access plate that exposed a crawl space into the bomb-bay. I followed him in through the meter-wide tube.

Normally, I supposed, it would have been pitch-black.

But the stasis field illuminated the bomb-bay with the same dim, shadowless light that prevailed outside. The bomb-bay was too small for both of us, so I stayed at the end of the crawl space and watched.

The bomb-bay doors had a “manual override” so they were easy; Szydlowska just turned a hand-crank and we were in business. Freeing the two nova bombs from their cradles was another thing. Finally, he went back down to the engine room and brought back a crowbar. He pried one loose and I got the other, and we rolled them out the bomb-bay.

Sergeant Anghebov was already working on them by the time we climbed back down. All you had to do to arm the bomb was to unscrew the fuse on the nose of it and poke something around in the fuse socket to wreck the delay mechanism and safety restraints.

We carried them quickly to the edge, six people per bomb, and set them down next to each other. Then we waved to the four people who were standing by at the field generator’s handles. They picked it up and walked ten paces in the opposite direction. The bombs disappeared as the edge of the field slid over them.

There was no doubt that the bombs went off. For a couple of seconds it was hot as the interior of a star outside, and even the stasis field took notice of the fact: about a third of the dome glowed a dull pink for a moment, then was gray again. There was a slight acceleration, like you would feel in a slow elevator. That meant we  were drifting down to the bottom of the crater. Would there be a solid bottom? Or would we sink down through molten rock to be trapped like a fly in amber-didn’t pay to even think about that. Perhaps if it happened, we could blast our way out with the fighter’s gigawatt laser. Twelve of us, anyhow.

HOW LONG? Charlie scraped in the snow at my feet.

That was a damned good question. About all I knew was the amount of energy two nova bombs released. I didn’t know how big a fireball they would make, which would determine the temperature at detonation and the size of the crater. I didn’t know the heat capacity of the surrounding rock, or its boiling point I wrote: ONE WEEK, SHRUG?

HAVE TO THINK.

The ship’s computer could have told me in a thousandth of a second, but it wasn’t talking. I started writing equations m the snow, trying to get a maximum and minimum figure for the length of time it would take for the outside to cool down to 500 degrees. Anghelov, whose physics was much more up-to-date, did his own calculations on the other side of the ship.

My answer said anywhere from six hours to six days (although for six hours, the surrounding rock would have to conduct heat like pure copper), and Anghelov got five hours to 41/2 days. I voted for six and nobody else got a vote.

We slept a lot. Charlie and Diana played chess by scraping symbols in the snow; I was never able to hold the shifting positions of the pieces in my mind. I checked my figures several times and kept coming up with six days. I checked Anghelov’s computations~ too, and they seemed all right, but I stuck to my guns. It wouldn’t hurt us to stay in the suits an extra day and a half. We argued good-naturedly in terse shorthand.

There had been nineteen of us left the day we tossed the bombs outside. There were still nineteen, six days later, when I paused with my hand over the generator’s cutoff switch. What was waiting for us out there? Surely we had killed all the Taurans within several klicks of the explosion.

But there might have been a reserve force farther away, now waiting patiently on the crater’s lip. At least you could push a quarterstaff through the field and have it come back whole.

I dispersed the people evenly around the area, so they night not get us with a single shot. Then, ready to turn it ,ack on immediately if anything went wrong, I pushed.

8

My radio was still tuned to the general frequency; after more than a week of silence my ears were suddenly assaulted with loud, happy babbling.

We stood in the center of a crater almost a kilometer wide and deep. Its sides were a shiny black crust shot through with red cracks, hot but no longer dangerous. The hemisphere of earth that we rested on had sunk a good forty meters into the floor of the crater, while it had still been molten, so now we stood on a kind of pedestal.

Not a Tauran in sight

We rushed to the ship, sealed it and filled it with cool air and popped our suits. I didn’t press seniority for the one shower; just sat back in an acceleration couch and took deep breaths of air that didn’t smell like recycled Mandella.

The ship was designed for a maximum crew of twelve, so we stayed outside in shifts of seven to keep from straining the life support systems. I sent a repeating message to the other fighter, which was still over six weeks away, that we were in good shape and waiting to be picked up. 1 was reasonably certain he would have seven free berths, since the normal crew for a combat mission was only three.

It was good to walk around and talk again. I officially suspended all things military for the duration of our stay on the planet. Some of the people were survivors of Brill’s mutinous bunch, but they didn’t show any hostility toward mc.

We played a kind of nostalgia game, comparing the various eras we’d experienced on Earth, wondering what it would be like in the 700-years-future we were going back to. Nobody mentioned the fact that we would at best go back to a few months’ furlough and then be assigned to another strike force, another turn of the wheel.

Wheels. One day Charlie asked me from what counhiy my name originated; it sounded weird to him. I told him it originated from the lack of a dictionary and that if it were spelled right, it would look even weirder.

I got to kill a good half-hour explaining all the peripheral details to that. Basically, though, my parents were “hippies” (a kind of subculture in the late-twentieth- century America, that rejected materialism and embraced a broad spectrum of odd ideas) who lived with a group of other hippies in a small agricultural community. When my mother got pregnant, they wouldn’t be so conventional as to get married: this entailed the woman taking the man’s name, and implied that she was his property. But they got all intoxicated and sentimental and decided they would both change their names to be the same. They rode into the nearest town, arguing all the way as to what name would be the best symbol for the love-bond between them-I narrowly missed having a much shorter name-and they settled on Mandala.

A mandala is a wheel-like design the hippies had borrowed from a foreign religion, that symbolized the cosmos, the cosmic mind, God, or whatever needed a symbol. Neither my mother nor my father knew how to spell the word, and the magistrate in town wrote it down the way it sounded to him.

They named me William in honor of a wealthy uncle, who unfortunately died penniless.

The six weeks passed rather pleasantly: talking, reading, resting. The other ship landed next to ours and did have nine free berths. We shuffled crews so that each ship had someone who could get it out of trouble if the preprogrammed jump sequence malfunctioned. I assigned myself to the other ship, in hopes it would have some new books. It didn’t.

We zipped up in the tanks and took off simultaneously.

We wound up spending a lot of time in the tanks, just to keep from Looking at the same faces all day long in the crowded ship. The added periods of acceleration got us back to Stargate in ten months, subjective. Of course, it was 340 years (minus seven months) to the hypothetical objective observer.

There were hundreds of cruisers in orbit around Stargate. Bad news: with that kind of backlog we probably wouldn’t get any furlough at all.

I supposed I was more likely to get a court-martial than a furlough, anyhow. Losing 88 percent of my company, many of them because they didn’t have enough confidence in me to obey the direct earthquake order. And we were back where we’d started on Sade-138; no Taurans there, but no base either.

We got landing instructions and went straight down, no shuttle. There was another surprise waiting at the spaceport Dozens of cruisers were standing around on the ground (they’d never done that before for fear that Stargate would be hit)-and two captured Tauran cruisers as well. We’d never managed to get one intact.

Seven centuries could have brought us a decisive advantage, of course. Maybe we were winning.

We went through an airlock under a “returnees” sign.

After the air cycled and we’d popped our suits, a beautiful young woman came in with a cartload of tunics and told us, in perfectly-accented English, to get dressed and go to the lecture hail at the end of the corridor to our left.

The tunic felt odd, light yet warm. It was the first thing I’d worn besides a fighting suit or bare skin in almost a year.

The lecture hall was about a hundred times too big for the twenty-two of us. The same woman was there and asked us to move down to the front. That was unsettling; I could have sworn she had gone down the corridor the other way-I knew she had; I’d been captivated by the sight of her clothed behind.

Hell, maybe they had matter transmitters. Or teleportation. Wanted to save herself a few steps.

We sat for a minute and a man, clothed in the same kind of unadorned tunic the woman and we were wearing, walked across the stage with a stack of thick notebooks under each arm.

The woman followed him on, also carrying notebooks.
I looked behind me and she was still standing in the aisle.

To make things even more odd, the man was virtually a twin to both of them.

The man riffled through one of the notebooks and cleared his throat. “These books are for your convenience,” he said, also with perfect accent, “and you don’t have to read them if you don’t want to. You don’t have to do anything you don’t want to do, because.. . you’re free men and women. The war is over.”

Disbelieving silence.

“As you will read in this book, the war ended 221 years ago. Accordingly, this is the year 220. Old style, of course, it is 3138 A.D.

“You are the last group of soldiers to return. When you leave here, I will leave as well. And destroy Stargate. It exists only as a rendezvous point for returnees and as a monument to human stupidity. And shame. As you will read. Destroying it will be a cleansing.”

He stopped speaking and the woman started without a pause. “I am sorry for what you’ve been through and wish I could say that it was for good cause, but as you will read, it was not.

“Even the wealth you have accumulated, back salary and compound interest, is worthless, as I no longer use money or credit. Nor is  there such a thing as  an economy, in which to use these . .. things.”

“As you must have guessed by now,” the man took over, “I am, we are, clones of a single individual. Some two hundred and fifty years ago, my name was Kahn. Now it is Man.

“I had a direct ancestor in your company, a Corporal Larry Kahn. It saddens me that he didn’t come back.”

“I am over ten billion individuals but only one consciousness,” she said. “After you read, I will try to clarify this. I know that it will be difficult to understand.

“No other humans are quickened, since I am the perfect pattern. Individuals who die are replaced.

“There are some planets, however, on which humans are born in the normal, mammalian way. If my society is too alien for you, you may go to one of these planets. If you wish to take part in procreation, I will not discourage it.

Many veterans ask me to change their polarity to heterosexual so that they can more easily fit into these other societies. This I can do very easily.”

Don’t worry about that, Man, just make out my ticket.

“You will be my guest here at Stargate for ten days, after which you will be taken wherever you want to go,” he said. “Please read this book in the meantime. Feel free to ask any questions, or request any service.” They both stood and walked off the stage.

Charlie was sitting next to me. “Incredible,” he said. “They let.. . they encourage. . . men and women to do the again? Together?”

The female aisle-Man was sitting behind us, and she answered before I could frame a reasonably sympathetic, hypocritical reply. “It isn’t a judgment on your society,” she said, probably not seeing that he took it a little more personally than that. ‘1 only feel that it’s necessary as a eugenic safety device. I have no evidence that there is anything wrong with cloning only one ideal individual, but if it turns out to have been a mistake, there will be a large genetic pool with which to start again.”

She patted him on the shoulder. “Of course, you don’t have to go to these breeder planets. You can stay on one of my planets. I make no distinction between heterosexual play and homosexual.”

She went up on the stage to give a long spiel about where we were going to stay and eat and so forth while we were on Stargate, “Never been seduced by a computer before,”

Charlie muttered.

The 1143-year-long war had been begun on false pretenses and only continued because the two races were unable to communicate.

Once they could talk, the first question was “Why did you start this thing?” and the answer was “Me?”

The Taurans hadn’t known war for millennia, and toward the beginning of the twenty-first century it looked as though mankind was ready to outgrow the institution as well. But the old soldiers were still around, and many of them were in positions of power. They virtually ran the United Nations Exploratory and Colonization Group, that was taking advantage of the newly-discovered collapsar jump to explore interstellar space.

Many of the early ships met with accidents and disappeared. The ex-military men were suspicious. They armed the colonizing vessels, and the first time they met a Tauran ship, they blasted it.

They dusted off their medals and the rest was going to be history.

You couldn’t blame it all on the military, though. The evidence they presented for the Taurans’ having been responsible for the earlier casualties was laughably thin. The few people who pointed this out were ignored.

The fact was, Earth’s economy needed a war, and this one was ideal. It gave a nice hole to throw buckets of money into, but would unify humanity rather than dividing it.

The Taurans relearned war, after a fashion. They never got really good at it, and would eventually have lost.

The Taurans, the book explained, couldn’t communicate with humans because they had no concept of the individual; they had been natural clones for millions of years. Eventually, Earth’s cruisers were manned by Man, Kahn-clones, and they were for the first time able to get through to each other.

The book stated this as a bald fact. lasked a Man to explain what it meant, what was special about clone-to-clone communication, and he said that I a priori couldn’t understand it. There were no words for it. and my brain wouldn’t be able to accommodate the concepts even if there were words.

All right. It sounded a little fishy, but I was willing to accept it. I’d accept that up was down if it meant the war was over.

Man was a pretty considerate entity. Just for us twentytwo, he went to the trouble of rejuvenating a little restaurant-tavern and staffing it at all hours (I never saw a Man eat or drink-guess they’d discovered a way around it). I was sitting in there one evening, drinking beer and reading their book, when Charlie came in and sat down next to me. Without preamble, he said, “I’m going to give it a try.” “Give what a try?”

“Women. Hetero.” He shuddered. “No offense. .. it’s not really very appealing.” He patted my hand, looking distracted. “But the alternative.. . have you tried it?”

“Well. . . no, I haven’t.” Female Man was a visual treat, but only in the same sense as a painting or a piece of sculpture. I just couldn’t see them as human beings.

“Don’t.” He didn’t elaborate. “Besides, they say-he says, she says, it says-that they can change me back just as easily. If I don’t like it.”

“You’ll like it, Charlie.”

“Sure that’s what they say.”  He ordered a stiff drink. “Just  seems unnatural. Anyway, since, uh, I’m going to make the switch, do you mind if. . . why don’t we plan on going to the same planet?”

“Sure, Charlie, that’d be great.” I meant it. “You know where you’re going?” “Hell, I don’t care. Just away from here.”

“I wonder if Heaven’s still as nice-”

“No.” Charlie jerked a thumb at the bartender. “He lives there.” “I don’t know. I guess there’s a list.”

A man came into the tavern, pushing a cart piled high with folders. “Major Mandella? Captain Moore?”

“That’s us,” Charlie said.

“These are your military records. I hope you find them of interest. They were transferred to paper when your strike force was the only one outstanding, because it would have been impractical to keep the normal data retrieval networks running to preserve so few data.”

They always anticipated your questions, even when you didn’t have any.

My folder was easily live times as thick as Charlie’s. Probably thicker than any other, since I  seemed to be the only trooper  who’d made it through the whole duration. Poor Marygay. “Wonder what kind of report old Stott filed about me.” I flipped to the front of the folder.

Stapled to the front page was a small square of paper.

All the other pages were pristine white, but this one was tan with age and crumbling around the edges.

The handwriting was familiar, too familiar even after so long. The date was over 250 years old.

I winced and was blinded by sudden tears. I’d had no reason to suspect that she might be alive. But I hadn’t really known she was dead, not until I saw that date.

“William? What’s-”

“Leave me be, Charlie. Just for a minute.” I wiped my eyes and closed the folder. I shouldn’t even read the damned note. Going to a new life, I should leave the old ghosts behind.

But even a message from the grave was contact of a sort. I opened the folder again.

11 Oct 2878

William- All this is in your personnel file. But knowing you, you might just chuck it. So 1 made sure you’d get this note.

Obviously, I Live. Maybe you will, too. Join me.

I know from the records that you’re out at Sade138 and won’t be back for a couple of centuries. No problem.

I’m going to a planet they call Middle Finger, the fifth plane: out from Mizar. It’s two collapsar jumps, ten months subjective. Middle Finger is a kind of Coventry for heterosexuals. They call it a “eugenic control baseline.”

No matter. it took all of my money, and all the money of five other old-timers, but we bought a cruiser from UNEF. And we’re using it as a time machine.

So i’m on a relativistic shuttle, waiting for you. All it does is go out five light years and come back to Middle Finger, very fast. Every ten years I age about a month. So if you ‘re on schedule and still alive, I’ll only be twenty-eight when you get here. Hurry!

I never found anybody else and I don’t want anybody else. I don’t care whether you’re ninety years old or thirty. if I can’t be your lover, I’ll be your nurse.

-Marygay.

“Say, bartender.” “Yes, Major?”

“Do you know of a place called Middle Finger? Is it still there?”

“Of course it is. Where else would it be?” Reasonable question. “A very nice place. Garden planet. Some people don’t think it’s exciting enough.”

“What’s this all about?” Charlie said.

I handed the bartender my empty glass. “I just found out where we’re going.”

EPILOGUE

From The New Voice, Paxton, Middle Finger 24-6 14/2/3143

OLD-TIMER HAS FIRST BOY

Mazygay Potter-Mandella (24 Post Road, Paxton) gave birth Friday to a  fine baby boy, 3.1 kilos.

Maiygay lays claim to being the seoond-“oldeet” resident of Middle Finger, having been born In 1977. She fought through most of the Forever War and then waited for her mate on the time shuttle, 261 years.

The baby, not yet iwned, was delivered at home with the help of a friend of the family, Dr. Diana Aleever-Moore.

ABOUT THE AUTHOR

Joe Haldeman was born in the USA ifl 1943. At college he studied physics and astronomy He then served as a combat engineer in Vietnam from 1967 to 1969. He was severely wounded during the war and received a Purple Heart. Haldeman’s first SF story was ‘Out of Phase’, published in 1969. The Forever War was published in 1974 and became a huge success, winning both a Nebula award in 1975 and a Hugo in 1976. He wrote two other novels in the 1970s, Mindbridge and All My Sins Remembered, before starting the Worlds sequence in 1981. A novella version of The Hemingway Hoax (1990) won both Nebula and Hugo awards ifl ’90 and ‘9! respectively More recent titles include J’fone So Blind and 1968. Haldeman now combines his writing career with a position as adjunct professor teaching writing at MIT His latest novel, Forever Peace, won the igg8 Hugo award, and will be published in ~ by Millennium. He is presently working on a sequel to The Forever War, entitled Forever Free.

The End

Do you want more?

I have more posts in my Fictional Story Index here…

Fictional Stories

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

 

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 

 

The Star by Arthur C Clarke (full text)

This is a nice short story by Arthur C. Clarke. It is titled “The Star”. It’s actually wonderful. It’s the reason why many of us started reading science fiction short stories in the first place.

The Star

From The Nine Billion Names of God: The Best Short Stories of Arthur C. Clarke

It is three thousand light-years to the Vatican. Once, I believed that space could have no power over faith, just as I believed the heavens declared the glory of God’s handwork. Now I have seen that handiwork, and my faith is sorely troubled. I stare at the crucifix that hangs on the cabin wall above the Mark VI Computer, and for the first time in my life I wonder if it is no more than an empty symbol.

I have told no one yet, but the truth cannot be concealed. The facts are there for all to read, recorded on the countless miles of magnetic tape and the thousands of photographs we are carrying back to Earth. Other scientists can interpret them as easily as I can, and I am not one who would condone that tampering with the truth which often gave my order a bad name in the olden days.

The crew were already sufficiently depressed: I wonder how they will take this ultimate irony. Few of them have any religious faith, yet they will not relish using this final weapon in their campaign against me—that private, good-natured, but fundamentally serious war which lasted all the way from Earth. It amused them to have a Jesuit as chief astrophysicist: Dr. Chandler, for instance, could never get over it. (Why are medical men such notorious atheists?) Sometimes he would meet me on the observation deck, where the lights are always low so that the stars shine with undiminished glory. He would come up to me in the gloom and stand staring out of the great oval port, while the heavens crawled slowly around us as the ship turned over and over with the residual spin we had never bothered to correct.

“Well, Father,” he would say at last, “it goes on forever and forever, and perhaps Something made it. But how you can believe that Something has a special interest in us and our miserable little world—that just beats me.” Then the argument would start, while the stars and nebulae would swing around us in silent, endless arcs beyond the flawlessly clear plastic of the observation port.

It was, I think, the apparent incongruity of my position that cause most amusement among the crew. In vain I pointed to my three papers in the Astrophysical Journal, my five in the Monthly Notices of the Royal Astronomical Society. I would remind them that my order has long been famous for its scientific works. We may be few now, but ever since the eighteenth century we have made contributions to astronomy and geophysics out of all proportion to our numbers. Will my report on the Phoenix Nebula end our thousand years of history? It will end, I fear, much more than that.

I do not know who gave the nebula its name, which seems to me a very bad one. If it contains a prophecy, it is one that cannot be verified for several billion years. Even the word “nebula” is misleading; this is a far smaller object than those stupendous clouds of mist—the stuff of unborn stars—that are scattered throughout the length of the Milky Way. On the cosmic scale, indeed, the Phoenix Nebula is a tiny thing—a tenuous shell of gas surrounding a single star.

Or what is left of a star. . .

The Rubens engraving of Loyola seems to mock me as it hangs there above the spectrophotometer tracings. What would you, Father, have made of this knowledge that has come into my keeping, so far from the little world that was all the Universe you knew? Would your faith have risen to the challenge, as mine has failed to do?

You gaze into the distance, Father, but I have traveled a distance beyond any that you could have imagined when you founded our order a thousand years ago. No other survey ship has been so far from Earth: we are at the very frontiers of the explored Universe. We set out to reach the Phoenix Nebula, we succeeded, and we are homeward bound with our burden of knowledge. I wish I could lift that burden from my shoulders, but I call to you in vain across the centuries and the light-years that lie between us.

On the book you are holding the words are plain to read. AD MAIOREM DEI GLORIAM, the message runs, but it is a message I can no longer believe. Would you still believe it, if you could see what we have found?

We knew, of course, what the Phoenix Nebula was. Every year, in our Galaxy alone, more than a hundred stars explode, blazing for a few hours or days with hundreds of times their normal brilliance until they sink back into death and obscurity. Such are the ordinary novas—the commonplace disasters of the Universe. I have recorded the spectrograms and light curves of dozens since I started working at the Lunar Observatory.

But three or four times in every thousand years occurs something beside which even a nova pales into total insignificance.

When a star becomes a supernova, it may for a little while outshine all the massed suns of the Galaxy. The Chinese astronomers watched this happen in A.D. 1054, not knowing what it was they saw. Five centuries later, in 1572, a supernova blazed in Cassiopeia so brilliantly that it was visible in the daylight sky. There have been three more in the thousand years that have passed since then.

Our mission was to visit the remnants of such a catastrophe, to reconstruct the events that led up to it, and, if possible, to learn its cause. We came slowly in through the concentric shells of gas that had been blasted out six thousand years before, yet were expanding still. They were immensely hot, radiating even now with a fierce violet light, but were far too tenuous to do us any damage. When the star had exploded, its outer layers had been driven upward with such speed that they had escaped completely from its gravitational field. Now they formed a hollow shell large enough to engulf a thousand solar systems, and at its center burned the tiny, fantastic object which the star had now become—a White Dwarf, smaller than earth, yet weighing a million times as much.

The glowing gas shells were all around us, banishing the normal night of interstellar space. We were flying into the center of the cosmic bomb that had detonated millennia ago and whose incandescent fragments were still hurtling apart. The immense scale of the explosion, and the fact that the debris already covered a volume of space many millions of miles across, robbed the scene of any visible movement. It would take decades before the unaided eye could detect any motion in these tortured wisps and eddies of gas, yet the sense of turbulent expansion was overwhelming.

We had checked our primary drive hours before, and were drifting slowly toward the fierce little star ahead. Once it had been a sun like our own, but it had squandered in a few hours the energy that should have kept it shining for a million years. Now it was a shrunken miser, hoarding its resources as if trying to make amends for its prodigal youth.

No one seriously expected to find planets. If there had been any before the explosion, they would have been boiled into puffs of vapor, and their substance lost in the greater wreckage of the star itself. But we made the automatic search, as we always do when approaching an unknown sun, and presently we found a single small world circling the star at an immense distance. It must have been the Pluto of this vanished Solar System, orbiting on the frontiers of the night. Too far from the central sun ever to have known life, its remoteness had saved it from the fate of all its lost companions.

The passing fires had seared its rocks and burned away the mantle of frozen gas that must have covered it in the days before the disaster. We landed, and we found the Vault.

Its builders had made sure that we should. The monolithic marker that stood above the entrance was now a fused stump, but even the first long-range photographs told us that here was the work of intelligence. A little later we detected the continent-wide pattern of radioactivity that had been buried in the rock. Even if the pylon above the Vault had been destroyed, this would have remained, an immovable and all-but eternal beacon calling to the stars. Our ship fell toward this gigantic bull’s eye like an arrow into its target.

The pylon must have been a mile high when it was built, but now it looked like a candle that had melted down into a puddle of wax. It took us a week to drill through the fused rock, since we did not have the proper tools for a task like this. We were astronomers, not archaeologists, but we could improvise. Our original purpose was forgotten: this lonely monument, reared with such labor at the greatest possible distance from the doomed sun, could have only one meaning. A civilization that knew it was about to die had made its last bid for immortality.

It will take us generations to examine all the treasures that were placed in the Vault. They had plenty of time to prepare, for their sun must have given its first warnings many years before the final detonation. Everything that they wished to preserve, all the fruits of their genius, they brought here to this distant world in the days before the end, hoping that some other race would find it and that they would not be utterly forgotten. Would we have done as well, or would we have been too lost in our own misery to give thought to a future we could never see or share?

If only they had had a little more time! They could travel freely enough between the planets of their own sun, but they had not yet learned to cross the interstellar gulfs, and the nearest Solar System was a hundred light-years away. Yet even had they possessed the secret of the Transfinite Drive, no more than a few millions could have been saved. Perhaps it was better thus.

Even if they had not been so disturbingly human as their sculpture shows, we could not have helped admiring them and grieving for their fate. They left thousands of visual records and the machines for projecting them, together with elaborate pictorial instructions from which it will not be difficult to learn their written language. We have examined many of these records, and brought to life for the first time in six thousand years the warmth and beauty of a civilization that in many ways must have been superior to our own. Perhaps they only showed us the best, and one can hardly blame them. But their worlds were very lovely, and their cities were built with a grace that matches anything of man’s. We have watched them at work and play, and listened to their musical speech sounding across the centuries. One scene is still before my eyes—a group of children on a beach of strange blue sand, playing in the waves as children play on Earth. Curious whiplike trees line the shore, and some very large animal is wading in the shallows, yet attracting no attention at all.

And sinking into the sea, still warm and friendly and life-giving, is the sun that will soon turn traitor and obliterate all this innocent happiness.

Perhaps if we had not been so far from home and so vulnerable to loneliness, we should not have been so deeply moved. Many of us had seen the ruins of ancient civilizations on other worlds, but they had never affected us so profoundly. This tragedy was unique. It is one thing for a race to fail and die, as nations and cultures have done on Earth. But to be destroyed so completely in the full flower of its achievement, leaving no survivors—how could that be reconciled with the mercy of God?

My colleagues have asked me that, and I have given what answers I can. Perhaps you could have done better, Father Loyola, but I have found nothing in the Exercitia Spiritualia that helps me here. They were not an evil people: I do not know what gods they worshiped, if indeed they worshiped any. But I have looked back at them across the centuries, and have watched while the loveliness they used their last strength to preserve was brought forth again into the light of their shrunken sun. They could have taught us much: why were they destroyed?

I know the answers that my colleagues will give when they get back to Earth. They will say that the Universe has no purpose and no plan, that since a hundred suns explode every year in our Galaxy, at this very moment some race is dying in the depths of space. Whether that race has done good or evil during its lifetime will make no difference in the end: there is no divine justice, for there is no God.

Yet, of course, what we have seen proves nothing of the sort. Anyone who argues thus is being swayed by emotion, not logic. God has no need to justify His actions to man. He who built the Universe can destroy it when He chooses. It is arrogance—it is perilously near blasphemy—for us to say what He may or may not do.

This I could have accepted, hard though it is to look upon whole worlds and peoples thrown into the furnace. But there comes a point when even the deepest faith must falter, and now, as I look at the calculations lying before me, I have reached that point at last.

We could not tell, before we reached the nebula, how long ago the explosion took place. Now, from the astronomical evidence and the record in the rocks of that one surviving planet, I have been able to date it very exactly. I know in what year the light of this colossal conflagration reached the Earth. I know how brilliantly the supernova whose corpse now dwindles behind our speeding ship once shone in terrestrial skies. I know how it must have blazed low in the east before sunrise, like a beacon in that oriental dawn.

There can be no reasonable doubt: the ancient mystery is solved at last. Yet, oh God, there were so many stars you could have used. What was the need to give these people to the fire, that the symbol of their passing might shine above Bethlehem?

The End

Do you want more?

I hope that you enjoyed this. I have more posts in my fictional story index here…

Fictional Stories

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

 

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

Going through one of the poorer fifth tier cities in China, a video exposé.

Yeah. This is what it is like. I’ve got a video that you’ll all will want to see. It’s pretty good. Almost as good as being here. It’s a pretty much grass-roots view of China in one of the “back water” towns in the “hinterland”. LOL. I think that you will all enjoy it.

But first…

… let’s talk about things that aren’t so serious. I mean, why not? Right? Like Pistachios. And Jarts. And blueberry pop-tarts, and big breakfasts with baked beans, easy over eggs, and lots and lots of bacon. And coffee. Percolated coffee. Thick.

The postman always drinks twice.

Not so serious things.

Or, maybe serious.

And right off the bat I want to discuss some of the odd things that make me scratch my head in thought. Well, actually pet my beard, up and down, and go hummmm.It’s some thoughts that aren’t big enough for a post of their own, but curious enough not to omit.

And the first up is this little critter. Kinda cute. You know.

Cute little creature. I think that he is a really good candidate for an actual dragon. Don’t you?

I always thought that dragons were a creature from fantasy, and the legends of dragons might be from remote memories of dinosaurs in our common shared humanity. But here, it pretty much seems definitive. Here be an actual dragon.

So here I am, minding my own business and I come across this little picture. I look at it, scroll past it. Pause. Stop. Think about the little guy, and go back to him.

He’s sort of cute, eh?

And here’s a group of guys from “Trailer Park Boys”. Not so cute. They remind me of my friends from Arkansas. In fact, their stereotype can pretty much be found all over America.  Not that it’s bad mind you, but that it is not the narrative, its the way people interact with each other at different levels of financial success or distress.

Here’ to “the crew”!

Trailer Park Boys chilling out.

I know that it is supposed to be a comedy, but really I actually know a lot of people like this. It’s the human experience, don’t you know.

The human experience.

You all have a front-row-seat. Don’t you know.

And speaking about the human experience, look at this little tool. It’s advertised to massage the gums. But come on! You aren’t  going to tell me that that’s the real purpose of this little gizmo. Are you?

Designed to massage the gums.

No. The real reason and the real purpose of this little device is to make it easier to pick your nose. Now with this vibrating finger your nose can be really get all clean and worked up.

Next up is a nostalgic picture.

When a government is working, and efficient, and has crime under control, inflation doesn’t exist. All inflation can be traced back to government mismanagement at some level. And sure there are all kinds of excuses justifying it’s existence, I like to believe that it is a measure of government mismanagement.

With that in mind, look at this picture please…

The good old days.

…and then, boom!

We are back to serious things.

Where has Americans’ income gone?

By Ding Gang 

Ten years ago, I went to New York City with the correspondent group of then Chinese premier Wen Jiabao's delegation. After we arrived, the Chinese side invited some former US senior officials and entrepreneurs to hold a symposium. At that time, the trade imbalance between China and the US was already prominent.

Wen gave the example of an iPod player, whose price was about $290 in the US at the time, but a Chinese manufacturer can only get $6 from each sale. I remember there was a heated discussion in China at that time - we would exchange 800 million shirts for a Boeing plane.

Do Americans earn more because they make more money? From what I have learned during my visits to the US these years, prices of commodities did not change much, or even become cheaper as production bases have been moved to China and other countries. But wages for middle- and low-income Americans have not risen much, or none at all. Reports show that in a 2015 contract between the United Automobile Workers and the Detroit automakers, senior workers received just a 2 percent annual pay increase, after suffering a 10-year pay freeze.

Where has Americans' income gone?

To answer this question, we need to look at the earnings of the biggest companies in the stock market. Apple, for example, was number one, with a net income of $57.4 billion in 2020, up from $14 billion in 2010. Of course, the growth of income of the senior executives at big companies and the big investors is even more startling. In January, The New York Times reported that "America's richest 10 percent, who own more than 80 percent of US stocks, have seen their wealth more than triple in 30 years, while the bottom 50 percent, relying on their day jobs in real markets to survive, had zero gains." 

Economist Jonathan Rothwell listed an example in his book A Republic of Equals: A Manifesto for a Just Society: In Spain, Sweden and Iceland, doctors earn twice as much as the average worker, but in the US, physicians and surgeons earn nearly five times as much.

Such a huge gap between the rich and poor will bring at least two troubles for the future reforms in the US. First, the large-scale relief measures which aim at helping relieve the pressure of middle- and low-income Americans will actually help big enterprises, the rich and the upper class. In other words, the measures won't address the problem of the rich-poor gap.

Second, the US design of system is based on the principle of "profits first." But the increase of profits for big companies comes more and more at the cost of unemployment of the middle and lower classes. As New York Times columnist Thomas L. Friedman wrote, "We're in the middle of a pandemic that has crushed jobs and small businesses - but the stock market is soaring. That's not right. That's elephants flying. I always get worried watching elephants fly. It usually doesn't end well."

Both of these issues touch upon the old issue of raising taxes from big companies and from the rich. This will inevitably touch the foundation on which the US is built - competition in the free market economy which aims at improving efficiency. Moreover, getting vested interests to concede benefits is not an easy task.

If money can't be obtained from taxes, there is only one way to go: printing more money. When things get to this point, it is no longer just a question of whether the social divisions can be healed, whether people can be united to move forward.

US economist Stephen Roach recently pointed out that last year, the combined COVID-19 relief packages in the US hit a total of $5 trillion, or 24 percent of GDP in 2020. This far exceeds all records. On March 6, US Senate passed President Joe Biden's $1.9 trillion COVID-19 relief bill. 

Will this destroy the world's confidence in the dollar? After all, green note is the foundation of US hegemony.

Will all this destroy the world’s confidence in the United States dollar? Heck! You bet. It’s already destroyed, and most nations welcome alternatives. Leading the pack is China with Gold Backed digital yuan. And trading directly and electronically.

Which brings up this most timely article from the Economic Collapse Blog;

Brace Yourselves For The Most Dramatic Shift In The Standard Of Living In All Of U.S. History

They are assuring us that we don’t have to be concerned about “inflation” because they have everything under control.  Do you believe them?  The value of the U.S. dollar has been steadily declining for a long time, and most Americans have grown accustomed to having the cost of living rise at a faster pace than their paychecks do.  But over the past 12 months an enormous paradigm shift has begun.  Instead of devaluing our currency a little bit at a time, now our leaders are going “full Weimar”.

Our money supply is growing at an exponential rate, and this is becoming a major national crisis.  As I pointed out yesterday, it took from the founding of our county all the way to 2020 for M1 to reach 4 trillion dollars.  But then from the start of the pandemic to today, M1 has gone from 4 trillion dollars to 18 trillion dollars.  To call that “economic malpractice” would be way too kind.

The truth is that it is complete and utter lunacy, and we are all going to literally pay the price for such madness. Sadly, inflation is already starting to show up in a major way all throughout our economy.

For example, most Americans have noticed that the price of gasoline has really started to shoot up over the last several weeks

Gas prices have been increasing at the pump for the past few weeks, reaching a national average of $2.77 a gallon as of Monday, which is 39 cents higher than the same time in 2020, according to AAA.

A lot of people are alarmed by this, but the Federal Reserve insists that this is completely normal.

Meanwhile, the price of agricultural commodities has risen by 50 percent over the past year…

The price of agricultural commodities traded on the global stage has shot up by 50 percent since the middle of 2020, according to economists at Rabobank.
In a new report, the bank pins the lift in the price of wheat, corn, soy, sugar, and a range of other commodities on the northern La Niña, a weakening US currency, market speculators, and rising demand from importing nations.

As those prices are passed along to the consumer, you will be paying more for groceries at your local supermarket, but authorities assure us that prices will stabilize once the economy returns to “normal”.

The good news is that at least the price of food is not rising as fast as the price of lumber is

Lumber prices have increased more than 180 percent since last spring, and this price spike has caused the price of an average new single-family home to increase by $24,386 since April 17, 2020, according to the NAHB standard estimates of lumber used to build the average home.

Now that is some serious inflation!

There are so many people that have had to put their plans to build a home on hold in recent months because the price of lumber has gotten so ridiculously high.

But the experts at the Fed insist that those that are warning of hyperinflation just have wild imaginations. Over the course of the past year, our leaders have pumped trillions and trillions and trillions of dollars into the system, and all of that money has to go somewhere.

In such a highly inflationary environment, this sort of a thing can happen

A digital collage by American artist Beeple which exists only as a JPG file sold Thursday for a record $69.3 million at Christie’s, fetching more money than physical works by many better-known artists.
‘Everydays: The First 5,000 Days’ became the most expensive ever ‘non-fungible token’ (NFT) – a collectible digital asset that uses blockchain technology to turn virtual work into a unique item – after being listed at the start of the two-week auction for only $100.

The U.S. dollar is being transformed into “toilet paper money”, and we are rapidly approaching the point of no return.

At least if our paychecks were rising as fast as the cost of living was, American families would be able to keep up with the escalating prices. But of course that is not happening, and more Americans are falling out of the middle class with each passing day.

In fact, vast numbers of formerly middle class Americans no longer have jobs at all.  Last week another 712,000 Americans filed new claims for unemployment benefits, and the number of claims continues to hover around “four times the typical pre-crisis level”

Weekly jobless claims have remained stubbornly high for months, hovering around four times the typical pre-crisis level, although it’s well below the peak of almost 7 million that was reached when stay-at-home orders were first issued a year ago in March.
There are roughly 10 million fewer jobs than there were last year in February before the crisis began.

This is not what an “economic recovery” looks like.

The truth is that the U.S. economy is broken, and the only solution our leaders have is to print, borrow and spend even more money.  Now Biden and his minions are about to pump another 1.9 trillion dollars into the system. Do you think that will make the inflation crisis better or do you think that it will make it worse?

You don’t need to answer, because the answer is self-evident.

As prices soar into the stratosphere, life is going to become increasingly difficult for most Americans.

If your income does not rise as fast as prices are going up, your standard of living will go down. Of course you will be far from alone.  The vast majority of Americans are about to experience a dramatic shift in the standard of living, and most of the population doesn’t even realize what is happening.

All they know is that more government checks are on the way, and most of them are absolutely thrilled about that. But all of this printing, borrowing and spending has put us on a path to national financial suicide. As we continue to recklessly destroy the value of our currency, other nations will begin to realize that a move to a different reserve currency is needed.

And once the U.S. dollar is no longer the reserve currency of the world, there will never be any going back to the “good old days”.

We are so close to the economic endgame, and the word “collapse” is not nearly strong enough to describe what is eventually going to happen to the United States.

Yikes!

It makes me yearn for the good old days.

Well for all the good stuff that I have to say about China, now I am going to vent on some of the bad stuff. It’s not that I want to, but sometimes it’s just so frustrating. You want to eat some olives, and some slices of cheese, maybe Swiss or a fine Lorraine, and the only think that you can find is the pseudo cheese slices. Ugh!

It is difficult to truly enjoy cheese.

Ah. The Chinese enjoy everything, but cheese seems to elude them. Sigh.

Oh sure, you can find it here, but it’s not common and it’s not enjoyed the same way. It is sprinkled on items like you would sprinkle salt and pepper. Not layered and melted into a smooth creamy consistency. Like on Pizza.

It’s treated like a spice. Not as a major food group.

Which brings me to the delicious subject of Fondue. Now, I know it’s not all that popular in America these days, but at least there’s a few Americans get to try it at least once a year or so. And at that, when you pair it with a fine wine, it becomes a wonderful occasion.

Oh, and do not mistakenly believe that you need to buy any expensive fondue pots, pans or utensils to make it. You don’t. All you need is a pan, and the ingredients, and some long stemmed forks. That’s it. So what is stopping you?

Eh?

From HERE

Fondue is a traditional Swiss dish that is prepared from pieces of cheese, thermally melted with white wine in a special fondue pot – “caquelon”, to a creamy consistency, flavored with cherry rakia or cherry brandy. It’s tasted by dipping hard bread cubes, rolled in the melted cheese with special fondue forks.

 Fondue is a warm dish that can contain one or more types of cheese, usually Gruyere and Vacherine Fribourgeois. It is the main national dish of Switzerland together with с raclette. It is also known in the Eastern French regions Savoie and Franch-Comte since the 1950s where it is prepared with Beaufort cheese or Comte cheese.

Now, maybe the “officially correct” way of making fondue uses the dish, the forks, and the special cheeses. But we are just simple people wanting to have simple pleasures with our friends… RIGHT NOW.

Here’s a hint, you make use of what you have. And you call up your friends, and you add some wine. Some nice music, and you all work together to make that delicious cheesy fondue happen.

Delicious fondue.

And he continues on the narrative…

At the table, the fondue of melted cheeses is served in the so-called “caquelon” (a type of enameled, cast iron or ceramic pot) in which pieces of bread are dipped with a special fork with three prongs (there are also forks with two prongs, but they are mainly associated with the meat fondue – the Bourguignon or the Chinese type). The fondue pot (caquelon) is located on a metal pad (usually made of wrought iron) at the base of which is located the heat source (a heater or candles) that keeps the fondue at the desired temperature throughout the meal.

Fondue forks are long-stemmed with a round handle. A piece of bread or rarely potato slices (traditionally consumed with Fondue fribourgeoise) are dipped into the melted cheese stirring in a circular or a figure-eight motion of the fork. 

When removing the fork from the fondue, it should be rotated continuously in a circle so that the melted cheese doesn’t drip outside the pot. The soaked mouthful is served towards the mouth when the cheese is already cooled to a suitable temperature for consumption and thus a full taste is achieved.

It is a common rule for cheese producers to sell ready-made cheese mixes, especially for fondue preparation, which makes it possible to avoid the difficult choice of the cheese combination. In Switzerland, these cheese mixes can be found in supermarkets.

They are not generally found in the United States, and most certainly not in China.

But that’s the price you pay when you live in different areas. If I lived in Switzerland, I would be in “seventh Heaven” smunching on all that delicious food. I’ll tell you what.

There are many and varied Fondue recipes. For example, before beginning the fondue preparation, several cloves of garlic can be crushed at the bottom of the caquelon, then add the grated or sliced cheese and finally pour the wine.

The specific thin in the Fribourgeoise fondue recipe is that the wine is replaced with water. The fondue set is turned on on a slow fire while stirring periodically until the cheese is completely melted. Then pepper and other supplements are added according to the desired recipe, such as sliced in cubes shallots, morel mushroom, mustard, etc. Corn starch dissolved in a little bit of cherry brandy can be used to thicken and improve consistency in cases where more wine is added, for example, or when the fondue is more liquid.

Sometimes, a little bit of cardamom powder (which improves digestion) or other spices can be poured directly into the plate, where the cheese dipped bite is rolled right before consumption.

Finally, when the fondue is over, it is possible to have dregs or crust of toasted cheese, called “religieuse”, which is removed with a fork, sometimes it is quite difficult.

Also, at the end of the fondue you can add and prepare scrambled eggs.

Main varieties of Swiss cheese fondues

FONDUE CHEESE CONTENT
Moitié–Moitié 50% Gruyére and 50% Vacherin
Fribourgeoise 100% Vacherin Fribourgeois
Appenzelloise 100% Appenzeller
Neuchateloise 50% Gruyére and 50% Emmental
Central Switzerland 1/3 Gruyére, 1/3 Emmental, 1/3 Sbrinz
Savoyard 50% Emmental of Savoy and 50% Beaufort or Comté
Franc-Comtois 100% Comté

Now my deep secret…

I love cheese. Oh I really do. And you know you don’t appreciate things until you live without them. And that is so very true about cheese and China.

Like on hamburgers turning them magically into cheeseburgers. (It’s magical how it works.) You put the cheese on top of the burger after you cooked one side, and then you let the heat from inside the burger melt the cheese on to the paddy. You don’t rely on the heat around the burger to do it. Then you watch the cheese melt. It gets soft at the edges and then starts to wrap around the burger and starts to clutch it like a firm loving embrace.

Ahhh.

Turning hamburgers into cheeseburgers.

And…

As much as the delicious improvements to hamburgers come from cheese, so do improvements in just about anything else. You know like this…

Delicious cheeseburger.

Do you know what is better than thick gooey cheese on top of a cheeseburger? It’s thick gooey cheese inside of a cheeseburger. That’s what.

You know, it’s been years, but I used to make the “pizza burgers”. I would mix pizza sauce with the hamburger meat. Then put a chunk of mozzarella cheese in the middle of the patty and cook it that way. My only problem was that the meat would tend to crumble and resemble a “sloppy Joe” more than a burger. But it tasted oh so good. I’ll tell you what.

What a pizza burger is not…

There are many ideas of what a pizza-burger is. I’m gonna tell you all what it is not…

  • It is not a burger with pizza sauce instead of ketchup.
  • It is not an open bun burger (the top missing) with pepperoni slices.
  • It is not a mini-pizza the size of a hamburger.

And if you try to do an image search on Bing that is what you are going to find.  Sad. So very, very sad.

Not real pizza-burgers.

Nope. A real pizza burger has the burger consisting of meat and pizza sauce, and lots and lots of gooey melted cheese. That’s a pizza burger!

Smunching on a burger, and then enjoying life.

Maybe it’s time to go out and do some shopping. Eh?

Going shopping with Mom.

Moving on

You know that there is one very special thing that would really improve the taste of cheese. Aside from friends, and your favorite pets, and some nice tunes (music). Can you guess what I am thinking about?

Yes. You are right.

Alcohol.

Or, more specifically… wine.

Wine and a cheeseburger. So very delicious.

While I have my thoughts, other people are far better versed in explaining the nuances between the different kinds of wines.

A good hamburger is an indulgence. It is also pretty much always a little decadent, rich and hearty, which makes it a natural match for red wine. Sure, some white wines could work, and lots of sparkling wines too. But come on, let’s drink some red wine with our red meat.

  • A Red wine goes best with a hamburger / cheeseburger. You can tell if it is a red wine by it’s color. Red wine has a red color.

Below are some classic wine styles (and bottle recommendations) that pair with burgers, plus a couple of not-so-classic picks that worked well recently with a variety of burger styles — from a simple Swiss cheeseburger with all-American condiments, to a black-truffle-mayo-and-fried-egg stunner, to a bison burger with cheddar, caramelized onions and wasabi mayo.

I would never suggest a ho-hum wine just because burgers are, at the end of the night, just hot sandwiches with toppings.  With that in mind, only one of these 10 bottles rings up higher than $20. (140 RMB for those of you in China.)

Zinfandel

Not a “white Zinfandel” which is everywhere, but rather a “red Zinfandel”. A red Zinfandel wine is both fruity and spicy. Some fruit aromas such as raspberries, blackberries, cherries, cranberries. And there is a generous sprinkling of cinnamon and black pepper. Depending on the winemaking and ageing methods it undergoes Zinfandel can display a range of secondary and tertiary aromas.

Red Zinfandel Wine Information
The color of a zinfandel wine is deep red, bordering on black. Zinfandel is a spicy, peppery wine, with a hint of fruity flavor – berries or dark cherries are often the taste range. Zinfandel goes well with “typical American” food – pizza, burgers, and steaks. It’s hearty enough to match up with thick red sauces.

Zinfandel is one of the all-time classic burger wines. Big, jammy, juicy and spicy, it’s almost as if it were invented for this most-American of sandwiches.

Cabernet

A Taste of Cabernet Sauvignon | wine.co.za
https://wine.co.za/wine/wine.aspx?WINEID=41057

2021-2-16 · Cabernet Sauvignon is a noble variety red grape - and is usually deep red in colour, full-bodied, with dark fruit flavours. It arose out of an accidental breeding between a red Cabernet Franc and a white Sauvignon Blanc grape plant - which subsequently has become one of the most planted and popular varieties in the red wine world.

There used to be an old television commercial. I forget what was being sold. Maybe it was a Heinz product for “57 Steak Sauce”. Encouraging people to shake a particular steak sauce onto their hamburgers instead of ketchup, the TV advertising campaign went something like this:

"...Is a hamburger made of ground ham? No — it's made of ground steak."

As long as it is not a tannic powerhouse, a California cabernet sauvignon, best friend of the juicy steak, is probably going to be a good match for your burger. It’s got a rich flavor, and when you drink it after a bite of a fine thick, cheesy burger the taste really excels.

Oh, I get goosebumps thinking about it.

A nice Cabernet is my favorite, as well as a fine sweet Shiraz.

Malbec

Taste and Flavor Profile Malbec wines are dry, full-bodied, and exhibit rich, dark fruit nose and flavors like blackberry and red plum. They're juicy and jammy, with notes of vanilla, tobacco, dark chocolate, and oak. With medium acid and moderate levels of tannins, they pair well with food.

What Is Malbec Wine? - The Spruce Eats
www.thespruceeats.com/what-are-malbec-wines-3511186

Argentines love their beef, and they wouldn’t dream of eating one of their famous steaks without a glass of malbec. Naturally, malbec is also a great burger wine, with its velvety plum, blackberry, chocolate and earth.

Rhone varieties

The Rhône, a major river in France, rises in the Alps and flows south to the Mediterranean Sea. This river lends its name to the southern French wine region on its banks, the Rhône Valley, as well as its major AOC, Côtes du Rhône.

The indigenous grape varieties that grow in the region, like Syrah, Grenache, Mourvèdre, Viognier and Roussanne, are often referred to as Rhône grapes. So, regardless of their place of origin, wines made from these grapes are said to be Rhône-style wines the world over.

Rhone Blend
https://www.tastemonterey.com/rhone=blend
Definition: The Rhone region of France has a delightful selection of red varieties. There are 22 grapes allowed in the Rhone AOC, about half of them red. Most of these varieties are used as secondary blending partners, often comprising less than 10% of the blend. The primary red players of Rhone blends are Syrah, Grenache and Mourvèdre.

Can you imagine not seasoning a hamburger patty with a little bit of salt and black pepper? This is where the Rhone grape varieties come into play, especially the powerful and legendary syrah, which can range from floral to leathery, often with a bite of pepper.

Merlot

Most Merlot wines are thick and full-bodies. It’s sort of the “milkshake” of the wine world. It’s considered to be “heavy”, and if you are not used to it, it will get you drunk faster than the lighter wines. Because of this, you will need to drink it slowly and eat it with some fine beef or mutton.

A Taste of Merlot - wine.co.za
https://cellardirect.co.za/a-taste-of-merlot
The Eikendal Merlot 2017 has an attractive nose that reminds one of forest floor, violets, plums, black berries, cherries and peppery spices. On the palate the wine and full and rounded, with soft tannins and a rich taste of black berries and plums.

With richness and a silky mouthfeel, merlot is sort of the wine equivalent of a milkshake in this pairing scenario — if you consider that some people like the fizz and tang of soda with a burger, and others opt for a mouth-coating chocolate shake.

Here’s a picture where someone went into a White Castle fast-food franchise and got some sliders with their wine.

What a better moment than to think of Harold and Kumar…

Harold and Kumar go to White Castle.

So, here I am enjoying a home made cheese burger. (And no, I did not skimp on the tomatoes, and yes, I do enjoy a nice onion with my burger) and drinking it with a fine local wine; Great Wall. It’s a very reasonably priced good real wine. Basic. Just the way I like it.

And I thought that I would cruse the internet, like I used to back in the mid 1990’s during the Bill Clinton years. Back then I actually believed the “news”. Now I know better.

The state of American “news”

Let me say a few words about the state of “news” in America today…

It sucks.

Yup, that’s pretty much it.

Tell ’em George.

For Shits and Giggles

So, for shits and giggles I visited Free Republic to check out what narratives are being promoted these days.

I used to frequent it excessively. But it’s become the mouthpiece of the hard-right, and no longer stands for anything resembling free discourse. And my being perma-banned pretty much validated that belief. After all, what is more hypicritical than to promote the idea of a “Free Republic” where you can freely experss ideas, and then perma-ban a member because “your ideas and thoughts are not welcome here.”

No longer searching for truth and providing a medium for free exchange of ideas it has become a money making venue which now maintains a niche market that caters to a mixture of old-world-conservatives, war-mongering neocons, and the religious right.

One of the first things you learn once you've been out of the United States for six months or longer is just how absolutely bad the American "news" actually is. It's horrible. They lie, and they distort and they do everything in their power to make you afraid.

I check up on the American media enclaves from time to time so that I don’t go too far off the deep end. (It’s easy to do.) Hard right. Hard left. Mainstream. I mostly just scan the headlines. It tells me all that I need to know.

I try to give equal time to all the “news” venues. They all have soemthing to say. They all are visited by people who are searching. It’s just that they all manipulate to push their own agendas.

I am NOT saying bad things about liberals or conservatives, or moderates or any other flavor. I am saying that there are some seriously ill people with some very little minds, and very big mouths. If not properly corralled they will end up causing all the rest of us to endure some real pain.

Contemporaneous news…

BUCS Sign Brady To 4-Year Contract... 

Michelle Obama considers 'retiring' from public life... 

Rubio sides with Alabama workers in AMAZON union battle...

Bezos invited by Sanders to hearing on income, wealth inequality... 

Congress Leaning Towards Big Tech Breakup...

What the AT&T Split Teaches About Antitrust... 

GOOGLE Faces 'Very Large' EU Advertising Probe, Vestager Says...

Claims MICROSOFT's Stance on News Is Effort to Distract from Hack... 

'NEWSROOM' actress appears to have plagiarized NYTIMES essay... 

Spring forward forever? Push to keep daylight saving time year-round grows... 

UPDATE: 'Historic' snowstorm to pummel Wyoming, Colorado...

'6 FEET'...

'Impossible travel conditions'... 

USA had coldest February in 30 years... 

ISIS creates 'elite new cell of jihadis to carry out attacks on West'... 

Rioters Set Fire to Federal Courthouse in Portland 1 Day after Fencing Removed... 

MYSTERY: Number of twins being born at 'all-time high', researchers say... 

Two-Thirds of Italians Set For Lockdown as Pandemic Worsens... 

Germany declares 'third wave' has begun... 

LA primed for disaster, but virus took it to another level! 

HALF adults infected with virus have symptoms of depression... 

Mexico czar got infected -- then walked through Mexico City... 

EU Governments Push to Relax Ban on Travel From Rest of World... 

Maskless, boozing JETBLUE passenger faces $14,500 FAA fine... 

Latest hotel amenity: Free test... 

And yeah, Free Republic hasn’t changed, it’s still the anti-China crusade running hard and hot, plus the usual fearful articles, and a bunch of shit about anti-vax, anti-5G, anti-social reform, and anti-huawei. It’s anti-everything. Except for guns, walls, and war.

The only thing positive that I can say about it is that the culprits are pretty well brazen. They aren’t hiding their disgust about the rest of the world at all. It’s all pretty open, and well-aired. I’ve got to give them credit for that.

Yeah…

So I went through the first three pages and pulled out a slew of anti-China articles. And guess what? They are all from the same source. I wonder why they are spamming FR so aggressively?

Theyare all from the hard-religious-Right publication The Epoch Times.

What is The Epoch Times?. Dangerous Propaganda ...
https://medium.com/politically-speaking/what-is-the-epoch-times-e8f80d152a6f 

May 15, 2020 · The Epoch Times was started by John Tang in the year 2000 as a Chinese language newspaper. John Tang is a graduate of Georgia Tech who publicly supports the Fulan Gong...

All of the articles came from this singular source.

All of the anti-China articles are from this publication that advocates world war III to bring about global social change. Yeah, not all that different from other dooms-day-cults. Like Heaven’s Gate. Or the Jonestown Massacre in 1978. It’s curious to know that so many American conservatives are willing to listen, follow and fund a lunatic that follows in the same footsteps as the Jim Jones when he lead more than 900  followers to their deaths.

People do not drink the Kool-Aide that is being offered by The Epoch Times. It can lead you down a very dark, and scary, path.

Check out their religious-justified Anti-China war-mongering…

Let’s start here with this one. This one is simple. This article is just a rewording of a Reuters piece to bang-on China. Now the Reuters piece pretty much reports that the FCC is following the already in-place policies of the former Trump Administration. This republishing keeps the narrative alive. Giving the FR readership the idea that the Biden Administration is “keeping the heat on China”.

My comments:

It’s all fun and games for now. Just wait in ten years. When China and Russia tire of all this and the American electronic industry and software industry is under a graduated state of collapse. (Just like every other industry in America over the last few decades.) And then when it is tottering before the big fall, China, Russia and all of Europe pulls the rug out, and performs a “tit for tat” payback.

Oh, you all think that cannot happen?

How about this next article…

This one is a laugh. It’s implying and making statements that the Chinese people are fearful of what is going on and how the government is handing things. At a 93% Chinese approval rating the narrative doesn’t make sense. While I am sure that the local government is very active in Beijing, just as they are here, no one, and I do mean NOBODY is concerned. But the American readership knows none of that.

So they believe these LIES.

  • Beijing Pushes for Door-to-Door COVID-19 Vaccinations, Citizens Worry
    3/13/2021, 9:38:12 AM · by SeekAndFind · 11 replies
    Epoch Times ^ | 03/12/2021 | Alex Wu
    
    Chinese authorities recently launched a door-to-door COVID-19 vaccination program in Chaoyang District, in the capital city of Beijing. Some residents shared their concerns with The Epoch Times over their distrust of the Chinese Communist Party (CCP) and its propaganda about COVID-19 vaccines and the pandemic. Mainland Chinese media reported on March 10 that Beijing’s Chaoyang District began a new door-to-door vaccination program in residential communities and villages. The program is also carried out in five types of places such as government offices, the Central Business District (CBD), industrial parks, business offices, and school campuses. Chaoyang is where international companies, foreign...
    Now of course, all this anti-China narrative makes you think certain things about China. And since there are NO NAMES, and there are NO PICTURES, and there are NO VIDEOS, and no one is willing to provide links to the ACTUAL CHINESE DOCUMENTS, you all just believe what is being told. Yet the actual validity of what you read has as much worth as the ten year old riding the bike down the street.

And this one… it really cracks me up!

  • Nearly Half of Trump Supporters Won’t Take the CCP Virus Vaccine: NPR, PBS, Marist Poll
    3/13/2021, 9:35:04 AM · by SeekAndFind · 94 replies
    Epoch Times ^ | 03/12/2021 | Samuel Allegri
    
    Almost half of former President Trump’s supporters don’t plan to take a CCP virus vaccine according to a poll by NPR, PBS, and Marist. The poll indicates that 47 percent of people who identified themselves as Trump supporters would not want to be vaccinated when the doses became available to them. Upon widening the demographics, the survey found that 41 percent of Republicans would not take the vaccine, compared to only 11 percent of Democrats saying they wouldn’t take it. In total, about two-thirds of Americans polled said that they’ve already taken a vaccine or would take one when they...
    Do you want something funny? Half the Trump supporters won’t take ANY vaccine, let alone one from China. But it’ll be hard for them to get the Chinese vaccine inside of America because it is not being shipped to America.

Uh Duh!

I’ll tell ya, you’ve got to be a fucking brainless morn to actually believe the bullshit that is being peddled in America today.

Here’s another…

  • 3 Deaths in 9 Days After Hongkongers Get China’s Sinovac Vaccine
    3/13/2021, 5:21:07 AM · by SeekAndFind · 12 replies
    Epoch Times ^ | 03/12/2021 | Emma Yu
    
    Since Hong Kong began vaccinating the public with the China’s domestically-produced Sinovac COVID-19 vaccine, CoronaVac, on Feb. 26, three deaths in nine days have increased anxiety about the vaccine’s safety. On March 8, a 71-year-old man in Hong Kong died four days after receiving his vaccine shot. The patient was reported to be in good health before the vaccination. This was the third death in nine days in Hong Kong following a CoronaVac injection. It’s unclear whether the vaccine contributed to the deaths. Authorities have said they are investigating the causes of death. The first known death in Hong Kong...
    This one is simple. This article is just a rewording of a Jimmy Lai piece to bang-on China. He might be behind bars, and probably getting ready for organ harvesting, but his papers and media empire lives on…

…for now.

Hate. Hate. Hate.

And you all wonder why these sources and editors, and writers are being banned off the min platforms?

And here’s a hate spewing nonsense trying to associate the COVID-19 lite with China. You all want to know what these people look like to me…

  • Florida Gov. DeSantis Cancels All CCP Virus Fines Issued by Local Officials
    3/13/2021, 3:15:54 AM · by lightman · 36 replies
    epoch times ^ | 12 March A.D. 2021 | Lorenz Duchamps
    
    Florida Gov. Ron DeSantis signed an executive order that will eliminate all fines issued by local government officials over the past year to people and businesses in the state who violated restrictions related to the CCP (Chinese Communist Party) virus. The order (pdf) was signed after the Board of Executive Clemency approved DeSantis’s proposal on March 10 to categorically remit all fines related to local government CCP virus restrictions. “I hereby remit any fines imposed between March 1, 2020, and March 10, 2021, by any political subdivision of Florida related to local government COVID-19 restrictions,” DeSantis confirmed in the order,...

It’s all war-mongering antagonistic bullshit. And if left unchecked it will lead to war. And people are gonna die!

Listen to me.

These NEOCONS are Dangerous.

The History of the Neocon Takeover of the USA

Copied as found with editing to fit this venue. It’s a good read and worth your time. All credit to the author.

This is the interview I just did with authors, Elizabeth Gould and Paul Fitzgerald, who have written a definitive 4-part article on the origins and the  history of the Neocon movement. The influence of the Neoconservatives has been catastrophic to the American government – and to much of the world, yet as they point out, it never seems to end. The authors describe it as an elitist cult; a rabid ideology which doesn’t rely on facts to justify itself.

This is the interview I just did with authors, Elizabeth Gould and Paul Fitzgerald, who have written a definitive 4-part article on the origins and the  history of the Neocon movement. The influence of the Neoconservatives has been catastrophic to the American government – and to much of the world, yet as they point out, it never seems to end. The authors describe it as an elitist cult; a rabid ideology which doesn’t rely on facts to justify itself.

Senator J. William Fulbright identified the Neocons’ irrational system for making endless war in Vietnam 45 years ago, in a New Yorker article titled Reflections in Thrall to Fear: “Cold War psychology is the totally illogical transfer of the burden of proof from those who make charges to those who question them”, leading to “The ultimate illogic: war is the course of prudence and sobriety until the case for peace is proved under impossible rules of evidence [or never] – or until the enemy surrenders. Rational men cannot deal with each other on this basis…But these were not rational men and their need to further their irrational quest only increased with the loss of the Vietnam War.”

This same ideology drove the failed War in Iraq – and now, they’re at it again, with their foolhardy saber-rattling towards Russia.

The birth of the Neocon movement grew out of what had previously been known within the Eastern Establishment as “Team B”, in which official policies were tested by “competitive analysis”. The first Team B was created by George H. W. Bush, while he was Director of the CIA. This brought together very unlikely bedfellows, such as the ex-Trotskyite, James Burnham and Right Wing business interests, both of whom lobbied heavily for big military budgets, advanced weapons systems and aggressive action to confront Soviet Communism.

This Team B/Neocon doomsday cult managed to weather the defeat of the Vietnam War and their non-fact-based analyses continue to maintain a stranglehold on US policy.

James Burnham’s nihilist, elitist vision was criticized by George Orwell in his 1946 essay, Second Thoughts on James Burnham, in which he wrote, “What Burnham is mainly concerned to show [in the latter’s book, The Machiavellians] is that a democratic society has never existed and, so far as we can see, never will exist. Society is of its nature oligarchical, and the power of the oligarchy always rests upon force and fraud… Power can sometimes be won and maintained without violence, but never without fraud.” In fact, George Orwell’s classic book, 1984 was based on Burnham’s vision of the coming totalitarian state, which he described as “A new kind of society, neither Capitalist nor Socialist, and probably based upon slavery.”

There are many well-known godfathers of the Neoconservative agenda of “Endless War”, the guiding principle of America’s foreign policymakers today but Gould and Fitzgerald identify James Burnham as by far its most important figure, although he is little-known today.

Burnham was born in Chicago, the son of an English immigrant father. He attended Princeton University and later Oxford University’s Balliol College. He briefly became a close advisor to Communist revolutionary Leon Trotsky, from whom he learned the tactics and strategies of infiltration, political subversion and dirty tricks. Gould and Fitzgerald note that the Right Wing Neocon cult of “Endless War” is ironically rooted in Trotsky’s permanent “Communist Revolution” and they describe how James Burnham helped to turn this into the permanent battle plan for a global Anglo-American empire. They write, “All that was needed to complete Burnham’s dialectic was a permanent enemy and that would require a sophisticated psychological campaign to keep the hatred of Russia alive for generations.”

In 1941, Burnham renounced his allegiance to Trotsky and Marxist idealism and he moved towards a cruel realism, with his belief in the inevitable failure of democracy and the rise of the oligarch. During the following years, he wrote several books and memos, predicting the rise of a technocratic elite. By 1947, Burnham’s transformation from Communist radical to New World Order American Conservative was complete, landing him smack into the loving arms of America’s Right Wing defense establishment during and after World War II.

In my own writings, I’ve noted that the use of the word “Freedom” by the US Government, whether it be “Freedom Fries”, “Operation Iraqi Freedom” or “They hate us for our freedom,” has completely mangled the significance of this F-word, certainly from a Constitutional perspective. Gould and Fitzgerald trace the bastardization of this word to James Burnham:

“Burnham’s Freedom only applied to those intellectuals (the Machiavellians) willing to tell people the hard truth about the unpopular political realities they faced. These were the realities that would usher in a brave new world of the managerial class who would set about denying Americans the very Democracy they thought they already owned. As Orwell observed about Burnham’s Machiavellian beliefs, in his 1946 Second Thoughts, ‘Power can sometimes be won or maintained without violence, but never without fraud, because it is necessary to use the masses.’”

With the CIA’s 1950 founding of the Congress for Cultural Freedom (CCF), Gould and Fitzgerald write, “By its own admission, the CIA’s strategy of promoting the non-Communist Left would become the theoretical foundation of the Agency’s political operations against Communism over the next two decades.”

Today, it appears that this strategy has been a smashing success, where we see the so-called Left in the US playing the role of fulminating, pro-Establishment Statists, a behavior formerly relegated to the Right. Never, in my wildest dreams would I have imagined the “tolerant Left” behaving like an army of Phyllis Schlaflys!

Prior to the catastrophe that was the Vietnam War, the Right was the establishment. The factual defeat of the ideals which drove this war was instrumental to the rise of the 1960s Counterculture movement, which was an even bigger disaster for the Neocons than losing the war. The Counterculture needed to be co-opted by any means necessary and I believe this has been successfully achieved.

Gould and Fitzgerald write that, “CIA’s control over the non-Communist Left and the West’s ‘free’ intellectuals [enabled] the CIA to secretly disenfranchise Europeans and Americans from their own political culture in such a way they would never really know it.”

Gould and Fitzgerald cite historian Christopher Lasch, who wrote in 1969 of the CIA’s co-optation of the American Left: “The modern state… is an engine of propaganda, alternately manufacturing crises and claiming to be the only instrument that can effectively deal with them. This propaganda, in order to be successful, demands the cooperation of writers, teachers, and artists, not as paid propagandists or state-censored time-servers but as ‘free’ intellectuals capable of policing their own jurisdictions and of enforcing acceptable standards of responsibility within the various intellectual professions.”

We see this very much today, in the Late Night comedy of Stephen Colbert, Trevor Noah and SNL, the staff writers of which are largely hand-picked from the Harvard Lampoon, where young comedians are trained in a particular brand of comedy that deftly implants a fascist philosophy of extreme elitism and which fuses the ideals of the old Trotskyist left together with those of the right-wing Anglo-American elite, aka the Deep State.

The product of this fusion is called “Neoconservatism” – or its sneaky twin, “Neoliberalism”. The overt mission of this ideology is to roll back Russian influence everywhere. The covert mission is to reassert British cultural dominance over the Anglo-American Empire, maintained through propaganda. Traditionally, comedy has been used as a form of social and political criticism. Today, it cows the hapless consumer into submission to the hegemony.

Gould and Fitzgerald then inform us about the secret Information Research Department of the British and Commonwealth Foreign Office known as the IRD, which was funded by the CIA and served as a covert anti-Communist propaganda unit from 1946 until 1977. Gould and Fitzgerald cite Paul Lashmar and James Oliver, authors of Britain’s Secret Propaganda War, which describes how the IRD spread ceaseless disinformational propaganda (a mixture of lies and distorted facts) among top-ranking journalists working for major news agencies, including Reuters and the BBC and all other available channels. This was but one of many similar initiatives launched by the CIA’s Psychological Strategy Board, including Project Mockingbird and the abovementioned Congress for Cultural Freedom.

The mind is the ultimate battlefield. In my next talk with Gould and Fitzgerald, we will go into how the Deep State has designs on our dream life, in such figures as Robert Moss, a former assassin who now gives New Age workshops on “Active Dreaming.” (Incidentally, the New Age Movement was a CIA subproject of MK Ultra mind control programs). The soon-to-be-released 5G network will enable Virtual Reality, as predicted by Gould and Fitzgerald’s book, ‘The Voice: An Encrypted Monologue’, which takes the reader through the process of reclaiming one’s own narrative from the “noize” of unrelenting psychological warfare that saturates our environment.

Check out their book, ‘The Voice’ here:
https://www.forbiddenmedia.com/product/the-voice/

All this neocon “firehose” of disinformation from the hard-right seriously give me a headache. It’s like this…

(Since we are talking about 1994, and the Bill Clinton years of surfing the “web”.)

Oh, and where was I, oh yeah…

Video on the fifth tier cities in China

Let’s move away from the American “news” and let’s see a taste of what real reality actually is.

Here we just provide a video that is making the rounds in China. A fellow from the UK, who lives in China, has been making various You-tube videos of his experiences in China. And you can come across these kinds of videos all over the internet. But what makes this particular video so special is that it isn’t a first or second tier city. He’s making videos in the smaller “back woods” communities within China. It’s the real deal.

You see, most you-tube videos are of expats exploring Beijing, Shanghai, Guangzhou and Shenzhen. Cool and big cities most certainly. But they are first-tier enormous urban landscapes.  But those of us, like myself, live in the smaller communities.

Here he is visiting some of the “smaller communities”. To an American, or Brit, it looks like a city. To a Chinese person, it is considered a small village. It’s not big and it doesn’t qualify for high speed rail, or subway access. But it is still vibrant and alive as all of China is these days.

Here’s the video. Click on the picture for the video to open up in a new tab. It’s around 12 minutes or so long, and is narrated by a British expat. Please excuse his accent, and his “squirly” appearance. He’s a typical. Don’t you know.

I do hope that you enjoy it. It’s pretty much what it is like when you enter the Chinese version of “Fly over country”, and a “Red State”.

Now, go and get some cheese, a bottle of wine, some music and call up some friends. Time is too short to waste!

Do you want more?

I have more articles in my China experience section. Go here…

China Experience

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

 

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 

 

Synchronicities and affirmation prayer campaigns. How to sort out what is going on when we live in a world where everyone is trying to control our mind.

In this article we will look at an important part of prayer affirmation campaigns. And that is an element known as “synchronicity”. Now, “synchronicity” may or may not be part of the “sign posts” or “feedback loops” that your soul established for your consciousness to take note of. This is because synchronicity is often a direct answer or feedback to individual affirmations within a campaign.

A Personal Example

You know…

Yeah, you know that where I live now is sort of like a Chinese version of (the American television show) “Mayberry RFD”. It’s got that lower pace of life, a much more relaxed, laid back attitude, and a far calmer “feeling” to it. It’s not so hurried, upsetting, radical or drastic as what America (or any larger city) is today.

It’s actually a little bit like this as far as “feeling” goes…

Evenings in Zhuhai, China feel a little bit like this. Where all the neighbors come out in the evening. they play with the kids, or just hang out. They drink beer and eat chicken, or just laze about. It’s an easy and fine pace of life.

.

Ah, but sure. China does not resemble the “Deep South” in America. It’s totally and completely different. It has modern and advanced transportation, brand new and impressive buildings, High technology, and parks everywhere.

No. China does not physically resemble The small town of Grady, or Mayberry.

Zhuhai, China

.

But yet it does have the same “feeling“.

Yet, how, just how can I say that it resembles a scene from the movie “Doc Hollywood”?

Sometimes, heck – many times, the things that we wish for or want are not direct one-on-one comparisons. And this is a good example of that.

What I wanted, and asked for (And still maintain) in my personal affirmation campaigns;

  • I have a calm and relaxed pace of life in a beautiful area that is family friendly, stress free, clean, and full of fresh air and lush greenery.

I know, that it’s not for everyone, but heck, I live a “retired” life and I want a slower pace of life. Don’t you know. I want and maintain, a life where I eat food without being in a rush, where I say hi to all the neighbors and they all know me, and where the air is fresh, the trees are lush, the flowers are fragrant, and the neighborhood is safe.

Look at what I specified (in the affirmation above)…

  • Calm and relaxed pace of life.
  • Beautiful Area.
  • Family friendly environment.
  • Stress free.
  • Clean.
  • Full of fresh air.
  • Clean, neat and tidy.
  • With lush greenery.

You see, that I KNEW when (certain specific affirmations) were manifesting when there were elements of synchronicity that would crop up. In this particular example, Chinese words describing Zhuhai would manifest “all over the place”. As in advertisements, on Tiktok videos, on WeChat message, and the like.

Look at the above key “bullet points”.

And yes… I would start reading and seeing the same Chinese wording all… over… the place…

  • 平静和轻松的生活节奏。
  • 美丽的地区。
  • 家庭友好的环境。
  • 无压力。
  • 清洁。
  • 充满新鲜空气。
  • 干净、整洁、整洁。
  • 郁郁葱葱的绿色植物。

And it wasn’t one statement here, and then seven months later another. No. It was all of them, over and over within a very short span of time. Just a few days.

Suddenly the same words that I described in my affirmations, manifested physically within my life.

The people, and the interactions between them resembled a Chinese version of “small town” America.

.

Anyways…

Back to the point at hand.

What you want, but not what you specify…

it can be pretty confusing you know. That on one hand, if you specify things explicitly (within your prayer affirmations) that there will usually be some kind of unexpected or unforeseen system, condition, event or person that would rise up and surprise you.

You can be very specific in your affirmations. And your results will be just as specific. They will evolve from the point in time, and the world-line that you make them in.

.

While on the other hand, the best and most satisfying results were ones that were NOT explicitly specified, but rather “imaged” as a ultimate desire.

In the personal example above, I could have been very specific…

  • I live in the rural Southern States of America. The town is small, and relaxed, and doesn’t have direct interstate highway access. It is rather back-wards and isolated.

And I probably would have eventually gotten exactly what I specified. I might have ended up in New Iberia, Louisiana or some other deep Southern town / small city.

You can always be very specific during an affirmation prayer campaign. And if so, you will get exactly what you asked for.

.

And, is that bad?

Well…

Sometimes when you ask for really, very explicit things, you will automatically slide off your pre-birth world-line template. And where you end up might have all sorts of consequences that you might not be ready for.

In the movie “Doc Hollywood”, the main character literally crashes into the small town of Grady by destroying the local Judge’s fence while being helped by the town constable.

.

Why not? Why didn’t I do that? Why did I allow my affirmation to be so “broad based” and “open ended”?

When you conduct a prayer / affirmation campaign it STARTS at the point in time (upon the world-line) where you are presently. It doesn’t back-track a few months or years early. It starts when you start the campaign.

Which means that  the conditions of your life, at the time you start the campaign, are the initial starting conditions that the prayer / affirmations build upon.

The orange line running left to right is your life-line path. This is the route that you have been taking. Now, you have this GOAL that your affirmation / prayers define. Depending on WHEN you start your affirmations will determine exactly what your goal will manifest as.

.

So, for me, when I started my campaign I had already visited numerous locations all over the world. I had been to China. I had been to Japan. I had traveled all over the United States. So when I started my campaign, the best-fit solutions for my end goals resembled the closest opportunities based from my experiences. Which was China.

Perhaps, if I had not experienced China, and instead spent the majority of my life in Los Angles, New York City, or Chicago the results would have manifested differently…

Your life and experiences at the time of your initial affirmation prayer campaign will determine how your goals will manifest in your life.

.

Doc Hollywood
Benjamin Stone is a young doctor driving to L.A., where he is interviewing for a high-paying job as a plastic surgeon in Beverly Hills. He gets off the highway to avoid a traffic jam, but gets lost and ends up crashing into a fence in the small town of Grady. He is sentenced to 32 hours of community service at the local hospital. All he wants is to serve the sentence, get his car fixed and get moving, but gradually the locals become attached to the new doctor, and he falls for the pretty ambulance driver, Lou. Will he leave? 

-Written by Sami Al-Taher <staher2000@yahoo.com>

What is “synchronicity”?

So what about this “synchronicity”? What is it, and why is it important, and why (for goodness sakes) am I devoting an entire article to it?

Well, for starters, it is considered to be nonsense by the “experts”, and fundamental to understand ourselves by “spiritualists”.

Synchronicity is a phenomenon in which people interpret two separate—and seemingly unrelated—experiences as being meaningfully intertwined, even though there is no evidence that one led to the other or that the two events are linked in any other causal way. 

Though many people perceive signs or spiritual meaning in synchronistic events, most scientists believe that such events are more likely coincidences that only seem meaningful due to aspects of human thinking such as confirmation bias.

-Psychology Today

Some would say that coincidences are random, but if we look carefully into our lives, we realize it is not so. No matter what the “experts” say.

Some people believe that synchronicities can be guides when we do not know what to choose or what to change in our lives. It is like someone from above hears our silent prayers and talks to us through other people, images or events. As a matter of fact, Einstein described coincidences as being “God’s way of remaining unknown”.

Spiritual individuals may interpret coincidences as signs from God or the universe. However, there is no way to scientifically test these beliefs. While seeing coincidences as signs can provide a sense of purpose, following them too closely can lead to ignoring critical evidence. It’s best to weigh common sense, intuition, and verifiable facts when interpreting coincidences.

-Psychology Today

Synchronicity connects the material world to the spiritual world. It does so  through symbols. These symbols are not always understood but they do arise from the collective unconscious.

Remember; nothing is by chance. It is the direct result of our thoughts.

And for us, those who take an active and proactive role in shaping our future though prayer / affirmation campaigns, we can USE synchronicity as one (of many ways) to validate that we are “on the right track” and on the way towards our goals.

Examples of synchronicity in your life

In general, synchronicity is a very, very personal event. It is only something the YOU notice that the rest of the world seems oblivious to.

1)    The same numbers keep showing up over and over in your life.

2)    You have met someone out of the blue who talked about an event or said some sentences which in fact sounded like answers to that you have been asking yourself recently.

3)    Perfect timing! Things happen for you just when you need them most.

4)    Help and support appear in your life when you expect less from people you never met before.

In 1983, when I was dating my first wife she took a moment to pray. I didn't know what she was praying about. But right after she prayed, the DJ on the radio interrupted the song he was playing. He changed the song to one that was about "getting married and living happily ever after." He said on the air that he was so very sorry for interrupting the song and the music rotation schedule, but that he felt a strong urge to change the song to the one that he played.

And yes. You guessed it. My future-wife had prayed for "a sign" that would give her direction to get married to me or not.

Of course,the “experts” believe that all this is just coincidence, or that we are reading too much into what we observe. But that is not true at all.

The list of synchronicities can be endless and subjective as synchronicity is a rather complex phenomenon. These are a few general examples that we all experience at a certain point in our lives.

The best way to recognize your synchronicities is to “think less and feel more”, listen to your intuition. By being in tune with your inner voice, you can understand the outer signs easier.

Intuition is usually validated by an external “magic” or unusual event.

Therefore, if you seek an answer and you randomly read a sentence in a newspaper or watch a video related to your current situation, you will feel a revelation. Then you should ask yourself if that is the answer you were waiting for.

How can you use synchronicities?

The great value in synchronicities is that they can help us track our progress and goals within an affirmation / prayer campaign.

They act as a “wake up call”, or “alarm” for us to TAKE NOTICE that we have arrived [1] at a certain point of time, [2] a certain place, or [3] a goal has been fulfilled.

Synchronicities are like a big large sign that tells you that you have arrived at a specific point in time or a specific objective.

What inspired this post…

It began with  a comment…

First let me thank you big time for this.
Its good to read more about the technical side of prayers.

You already stated that you will have some more follow up posts and my guess is it involves synchronicities. And when you re going to do that one, and please don't feel in a rush, but Please include why they mean that someone performed a slide.

And if I may say so how its possible that I have had a lot of them after about a week into my pause on 2 occasions already. And I specifically tried to avoid causing a slide.

And my prayers in general have relative small improvements over my current life. And when it comes to my far out prayer, i still asked that it only materializes if its able without causing any strife or danger.

And besides that probably false positive I told you about a couple months ago , nothing shows up about that one. Yet.

Big thanks again. It feels good that you took the time and effort to write this.

And I hope my fellow MM readers find value in it too.

So…

What do I mean when I say that “someone has performed a slide…

Slides and Synchronicities.

Now a “slide” is an event that describes moving off your pre-birth world-line template onto a new world-line terrain.

It can roughly be described as…

  • Playing golf. Where you hit a ball and it goes off the fairway, and goes into the woods.
  • Driving on a road. Where you leave the highway, and take a “short cut” that ends up being a bumpy dirt road.
  • Flying on a plane to Paris, France, and when it finally lands, you find yourself in Zambia, Africa.
  • Going to eat a nice cheap dinner, and walking into an exclusive very-expensive high-end dining establishment.

If you map out your life-line, and you plot the highest probability paths that your life can take you, with the life and decisions you actually made, you would end up with a two dimensional topographical surface. This surface for all people is typically known as the pre-birth world-line template.

A “slide” happens when the world-line that you target, or that you end up going to is NOT on that topographic surface.

You “slide” off that map, and enter another map; a totally different map.

Now, the good news (or bad, depending on your point of view) is that you will automatically migrate back to your original world-line. It’s biologically encoded to the physical body that you inhabit. So, if you want to have an exceptional life, when your pre-birth world-line template would not allow you, you would need to keep on a steady and active affirmation prayer campaign(s) for the rest of your life.

A slide will take you onto “unknown” territory. It is a realm that your physical body is not pre-programmed to accept.

  • A slide will take you where your affirmation prayers lead you.
  • A slide can be an easier or harsher life. There is no way to determine which.
  • A slide happens automatically, and the only way for you to control it is to add “navigation affirmations” within your prayer campaign.

And while you might have to pass through all sorts of things, events, encounters and adventures to get there (your targeted objective that lies off the pre-birth world-line template) there will come a point in time when you will have arrived at your destination.

Now, destinations can be obtained anywhere. Most commonly they occur upon the pre-birth world-line template.

But when you get off the template, even for slight detours or deviations, you will know because you will experience Synchronicities.

What are Synchronicities according to Metallicman?

Synchronicities are echoes of similar world-lines that lie off of your present world-line topography.

Not helpful?

I know.

The best way that I can describe this is visually.

In the picture above you see three different topographical world-line templates. The very first one is the pre-birth world-line template that you were born into. You can see the life-line that you have taken in the goldenrod color. You were following the normal life and then you had a slide.

The slide took you off your pre-birth world-line template.

And then placed you on a completely new “map” with completely different topography.

Now, you will notice that upon your pre-birth world-line template were some goals that you want (Well, I used my own personal goals from the personal narrative that I presented earlier.)

  • Lush green
  • Relaxed clean
  • Calm pleasant

None of them were present simultaneously on your one individual world-line.  This was your pre-birth world-line template. They were present, but not all at one place. Not at one place at one time.

But they are on the new world-line template topographical surface that you slid towards.

The slide took you to a new topographical “map”. And it took you directly to your objectives; a place, a world-line, where all the criteria that you prayed for were present simultaneously on one world-line.

Now, you will notice another world-line topographic surface that lies “nearby” your own. You have never visited it. But it too has the criteria and goals that you have established in your affirmation prayers. But they too are not simultaneously located on one particular world-line.

The key here, and the point here, is that synchronicities are the “echoes” of your targets manifesting when you have arrived at a given world-line. When you have “arrived”, you will start seeing synchronicities that only you will notice.

In the picture above, I denoted the area of where your goals would manifest as a wide dispersed group of world-lines. This is the brown oval in the top and the bottom world-line topographical maps.

And then in the middle map you see that the points are all present; the goals are all present in on set world-line. The brown lines converge to that point.

This converging of goals manifests as synchronicities.

Yes, Synchronicities are a sign that you have arrived and your goals have been attained.

Synchronicities are meaningful coincidences.

Here's a story that I found on the internet. All credit to the author, and edited to fit this venue.  It's a very illustrative story...

I used to be a reporter for the Cincinnati Enquirer, back in my 20s, and for roughly half of my decade-long tenure there I kept hearing a call to quit and become a freelance writer, a decision I largely ignored for years because it was Scary Stuff.

However, after years of trying to ignore this call, the signs pointing toward it took on a whole new tack. This is how it began:

I was driving home from work one day, listening to a song on the radio called “Desperado,” by the Eagles, and as I pulled up to the curb in front of my house, the last line I heard before I turned off the car was “Don’t you draw the Queen of Diamonds, she’ll beat you if she’s able; the Queen of Hearts is always your best bet.” I turned off the ignition, opened the door, stepped my foot onto the curb, and there at my left foot was a playing card—the Queen of Hearts.

I just sat there utterly dumbfounded, and wondering, of course, what it meant?

When I mentioned the incident to a friend that evening, she said, with an extravagant quality of assuredness, that when you’re on the right path, the universe winks and nods at you from time to time, to let you know. She also said that once you start noticing these little cosmic cairns, once you understand that you’re on a path at all, you’ll begin to see them everywhere. It’s what happened, she reminded me, when I bought my Toyota and suddenly started seeing Toyotas everywhere.

I didn’t know I was even on a path, I told her, much less whether it was the right one. I simply found myself unable to make heads or tails of the episode, and ended up filing it under “Unexplained Phenomena,” along with esp, deja vu, spoon-bending, water-witching, spontaneous remission, and certain incomprehensible acts of human forgiveness.

But even more remarkable than finding that Queen card when I did, was that over the next two years, as I searched for a sense of clarity (and courage) about this call, I found five more Queen playing cards, in incredibly improbable locations all around the country: a sidewalk in Cincinnati, a conference room in Santa Fe, a sand dune in Cannon Beach Oregon, a mountain wilderness in Colorado six miles from the nearest trailhead. The whole thing made the Twilight Zone seem like Mister Rogers Neighborhood.

And every time I found another Queen card, the sheer unbelievability of it took another giant step forward, and eventually, it went so far beyond the laws of probability that I only barely hesitate to say that it’s impossible there was nothing more going on here than a statistical aberration. This was orchestrated by something with wits. Which shot my rational view of the universe pretty much to hell.

I come from a family of scientists, detectives, journalists, non-fiction writers, and New Yorkers—and you don’t get a more cynical bunch than this—and this stuff just doesn’t happen in our universe. And yet, though the phenomenon became more inscrutable with each find, in a way it also began making more and more sense. A pattern—more, a passageway—seemed to emerge.

I came to understand that this rather profound administering of chance was directing me toward something both my writing and my life needed at that time: more heart, less head. More intuition, less intellect. More of the inner life, the emotional life, the life of the senses. More listening. More of what Carl Jung referred to as the anima, the force of the feminine in a man’s life. And the Queen, of course, is the archetype of powerful feminine energy, which I felt myself being compelled toward by the kind of meaningful coincidence Jung called synchronicity.

Of course, he offers his ideas and thoughts to what it is all about…

Synchronicities are events connected to one another not by strict cause-and-effect, but by what in classical times were known as sympathies, by the belief that an acausal relationship exists between events on the inside and the outside of ourselves, crosstalk between mind and matter—which is governed by a certain species of attraction.

Jung believed that synchronicities mirror deep psychological processes, carry messages the way dreams do, and take on meaning and provide guidance to the degree they correspond to emotional states and inner experiences.

For example, you’re trying to decide whether to say yes or no to a particular opportunity and while driving on the freeway someone suddenly cuts in front of you and you notice the bumper sticker: Just Do It!

Or you’re struggling to focus your energies, not spread yourself so thin and scatter your interests and attentions among too many projects, and while taking photographs one afternoon, you drop your wide-angle lens and shatter it.

You can derive meaning from “just a coincidence” when an external event matches up with an event on the inside. It doesn’t always. You might be sitting in a waiting room, for instance, reading a magazine article about George Gershwin, when the receptionist sticks her head out the door and calls for the next patient, a Mr. Gershwin, and as outlandish as this may seem to you, if it finds no hook on the inside, it’s not a synchronicity, only an amazing coincidence. If it means something to you, however, then it’s amazing and potentially instructive.

A synchronicity is a coincidence that has an analog in the psyche, and depending on how you understand it, it can inform you, primarily through intuition and emotion, how near or far you are from what Carlos Castaneda calls “the path with heart.” Among shamanic cultures, says anthropologist Michael Harner in The Way of the Shaman, synchronicities are considered “a kind of homing beacon analogous to a radio directional signal indicating that the right procedures and methods are being employed.”

Like anything, you look at things through the lens of your very own personal experience. You might be a scientist, and you look at it from that view point. You might be a sociologist, and you look at it through that view point. You might be religious and so you look at it through that point of view.

It doesn’t mean that there is a right, or a wrong way of looking at things. Only a personal understanding of the events that you can accept.

Synchronicities are minor miracles, little mysteries that point to a bigger one, perhaps a central one, of which we’re all a part. In contemplating synchronicities, don’t just marvel at the laws of probability, but wonder at their meaning.

“The primary reality of synchronicities is emotional, not intellectual,” says Mark Holland, co-author of Synchronicity. “The reason they’re there is to make us feel something, and the feeling that our lives are rich and worth our reflection comes in part from our sense of the depth and mystery of life.”

In fact, maybe the most important thing synchronicities offer is astonishment. How often, after all, in the course of a day or a week or a month, do you find yourself thunderstruck, flabbergasted at life, amazed by its finesse? Synchronicities are like the glimpse of a wild animal seldom seen, the discovery of an arrowhead or a geode, the return of your purse by some good Samaritan. Far removed from the mundaneness that seems to characterize such a vast portion of daily life, they help reconnect you to your sense of awe, and given the tyranny of the commonplace, what a service!

No one has been able to fully explain synchronicity, so perhaps you should simply accept it as a wild card and an ordering principle, the height of absurdity and the depth of profundity, and a crack in the door through which you can catch sight of the universe and its mysterious ways.

There are no clear answers.

However, if you look at synchronicity as the obtainment of a goal that you have presented within your affirmation /prayer campaign, then you can accept the fact that you are “one the right track”, that things are falling in place, and that your affirmations are working.

An important point

Synchronicity does not automatically happen when you achieve a goal. You cannot plan for it unless you specifically add it to your affirmation / prayers. You cannot keep rowing a boat waiting for the fish to leap out of the water and tell you that this is the best fishing spot. It doesn’t work that way.

What Synchronicity means.

Synchronicity seems to be associated with “goal attainment” ONLY when otherwise you might miss, ignore, or overlook the situation that you find yourself in. It’s almost like a sign on the road that says “go no further, you have reached the end of the road”. It’s a way or a system to tells us that we reached our goals, and now know where we are and what to do next.

Pay attention to it.

Do you want more?

Ai! I have many more posts like this in my prayer affirmation Index here… Intention and Prayer Campaigns

Intention Campaigns

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index; Master Index

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

 

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 

 

 

 

 

The Wandering Earth and other Chinese entertainment that can stun and make you scratch your head with a WTF question mark.

When I was very young, I would go with my father as he would run errands, take care of errands, and visit friends. These distant memories are from the early 1960’s at a time when America was competent, growing, healthy and full of promise. At that time, the only threats that faced America were the Beatles and the Soviet Union. It was a time when a single nickel (a coin) could buy you a long necked soda, and a dollar bill could purchase  a full hamburger platter at the local diner.

In those days my father would periodically  visit friends and drag me along with him.  Of course, I was always happy to go. In those days it was all very casual, and not formal at all. He would maybe tell them that he was going to stop by in a day or two, and then a few days later we would visit. Depending on the friend it might be us sitting in a dim living room while a show was playing, and my dad and his friend drank a beer or two. At other times it might be the two sipping coffee while soft jazz or classical music played in the background.

Father taking his sons out fishing.

.

I well remember one visit. I was given these sweet cookies to smuch on while the television set was on. The show had these dancing chicks moving and grooving inside these hanging cages. They wore long white boots that went up to their knees. They wore these scandalous dresses that exposed their legs, and had these large hairdoos. The would clutch on the bars of the cage and swing and sway to the music. Their super colorful outfits would be stunning, and they seemed to really be enjoying themselves.

There would be this small crowd of folk dancing under the girls. The walls would be a constant cascade of flashing colors and these bright colored blobs that would change shape like honey or syrup on the walls. The guys and gals were always so fashionable. Even I could see that. Yes. Even at that early age. They were all in their twenties, more or less. Ancient in my eyes, but my father said that he really liked watching them dance.

Go-go Girls.

.

I guess that time changes. Duh! But you don’t realize it because most of the time the change is rather slow. For instance, at that time, the big scandal was the Beatles and their insane haircuts. They had bangs and the hair even touched the tops of their ears! Scandalous! And people started wearing patterned shirts. Then when I was attending middle school in the 1970’s we “graduated” to FM music. And an entire world opened up to us. No longer would we endure the static of the AM radio as we drove on a bridge, or when a big truck passed us on the road.

University years consisted of high-end stereo’s and these big ass speakers that inhabited our poster encapsulated cinder-block rooms. Depending on the friends that I was hanging out with we listened to either progressive rock, country and western, or The Grateful Dead which always seemed to lie in a class by itself. It wasn’t until the last few days of my final year that I was exposed to MTV. And when exposed to it, the girl that I was seeing at the time, and I spent hours in front of the televisions (in her parent’s rented hotel room) watching it.

Life is a cubicle. Heh heh. A taste of things to come. Yikes!

.

Working life as an adult was pretty much a completely different  situation. I only listened to the music going to or from work. And given that most of the radio (the radio stations during the 1990’s were all pretty much gobbled up by enormous radio syndicates) presented a very bland and uninspired mix of “classic” tunes, I ended up escaping to talk radio.

Today, with the internet, you can watch videos, and encounter all sorts of music. All you dare need do is explore and use various applications that you might favor. Whether this is Trance, Disco, Country and Western, Soul, Reggie, Classic, or anything in between.

But in America, I’ve noticed the same-old, same-old reoccurring all over again. Big, powerful forces, are monopolizing the internet narratives. Whether it is the “news” or the applications that we use, everything in the United States eventually migrates to one or two “big guy” corporations that squeeze out everyone else. And they end up with a monopoly on whatever venue that they control. This is true, whether it is the “news” or music.

Big companies gobble up the little guys. The Myth of Capitalism tells the story of how America has gone from an open, competitive marketplace to an economy where a few very powerful companies dominate key industries that affect our daily lives. Digital monopolies like Google, Facebook and Amazon act as gatekeepers to the digital world. Amazon is capturing almost all online shopping dollars.

.

But I am not in the United States. I am in China. And the music and “news” environment is quite different here.

Here, in this article we are going to chat a little bit about some of the more popular contemporaneous music that is floating around in China these days. And so, yes you are right, this is a China Music post.

The Wandering Earth

As the sun is dying out, people all around the world build giant planet thrusters to move Earth out of its orbit and sail Earth to a new star system. Yet the 2500-year journey comes with unexpected dangers, and in order to save humanity, a group of young people in this age of a wandering Earth fight hard for the survival of humankind. -IMDB

Here in this post. We’re going to talk a little bit about contemporaneous Chinese videos, music videos and movies. Life is too colorful and interesting to assume that the only worthwhile entertainment comes from the United States. It’s silly, and it’s false.  The world is filled with all sorts of interesting and provocative entertainment; you all just have to be aware of it.

So you give it a try and look about and see what is presented to you.

The very first video is a music video that was released in association with the Chinese movie titled “The Wandering Earth”. It’s actually a very very good movie.  It has a lot of borrowed elements obtained out of Hollywood.

(Which is for the most case, obtained through purchasing the Hollywood companies directly. As well as hiring the workers, hiring the actors, and the organizations and businesses that made the elements of Hollywood that we see today.)

Minus of course, the progressive “improvements” that you see in the latest Hollywood movies.

In a sense, the problem with “Ghostbusters” is similar to the ongoing problems with strong female characters in general. From the parts I saw, the approach to that movie was shallow and crass in that it painted nearly every male supporting character a bumbling idiot in need of female guidance. This was especially true of Kevin, the dim-witted secretary played by Chris Hemsworth.

I get that some of that approach was an effort to inject the kind of humor that made the original Ghostbusters so funny and memorable, but it really fell flat, almost to an insulting degree. It reinforced the notion men somehow need to be denigrated or taken down a peg for female characters to be strong.While it didn’t offend me, personally, it certainly undermined the story. 

A world full of idiot men isn’t that bad. That’s a huge part of the appeal for shows like “The Simpsons” and “Family Guy.” However, that kind of appeal doesn’t fit with that of Ghostbusters.

-The Mixed (And Misguided) Messages Of All-Female Movie Remakes

The Wandering Earth.

.

Anyways back the “The Wandering Earth”…

This movie depicts a scenario that is quite cataclysmic and rather upsetting. It’s sort of a Chinese version of the American staple starring Bruce Willis.  You know which one… ”Armageddon”.  In fact I would even go as far as to say that it’s a cross between “Mad Max at thunder dome” and the “end of the world opus “Armageddon”.

It has everything. It has heroes, a greater purpose to save the world for humanity, people working together, interpersonal relationships, a Love story, technology, Fear and horror. All wrapped up in an exciting package.

Plus there isn’t any of that social revisionist nonsense that seems to drive American Hollywood movies these days.

This movie was released in early 2019 during the CNY holiday. And, it made a big splash all throughout China and the world with one major exception; The United States. The fact is that hardly anybody in the United States heard of the movie.

Here’s a Bing Search for Science Fiction movies in 2019…

Screen capture of a Bing search for science fiction movies made in 2019. The Wandering Earth is nowhere to be found.

.

Oh, don’t misunderstand me. There were theater releases… a few. And some token showings. Here and…

…there.

But that’s it.

It’s not that the movie itself was banned, it’s just that all promotional activities for the movie itself was suppressed. You won’t find any promotional activities or promotions for this movie in the United States and there’s a reason for that.

And of course the reason is no longer in office.

Donald Trump and Mike Pompeo.

.

Anyways it’s an enjoyable science-fiction movie. And, um, it’s touching on many levels. It differs from American movies that were also made in the same year at the same time. And because of that you’ll notice that there are no gay people, lesbians or transgenders in the script.

It’s refreshing.

Now, it’s not that I have a problem with people of alternative lifestyles, it’s just that I don’t enjoy watching them. It’s the same way I feel when I watch two dogs having sex. It’s not repulsive, but it’s also not entertaining for me.

OK.

Don’t believe me. Check out this review…

“The Wandering Earth” cured my winter depression. 

Seriously: on opening night, I happily joined a packed Times Square auditorium-full of moviegoers watching this science-fiction adventure, which stars a talented ensemble of of Mandarin-speaking actors trying to stop the Earth from crashing into Jupiter. I left the theater hoping that “The Wandering Earth” would be one of this year’s Chinese New Year’s hits. It grossed $300 million in China during its opening week alone, a hopeful sign that we’ll see more entertainment as assured as this.

The setup might seem familiar at first. Two teams of astronauts fight to save the Earth years after its leaders transformed it into a planet-sized spaceship to escape destruction by an overactive sun. The first team is a two-man skeleton crew: the square-jawed Peiqiang Liu (Jing Wu) and his Russian cosmonaut buddy Makarov (Arkady Sharogradsky). The other is a small exploratory group led by Peiqiang’s feisty twentysomething son Qi Liu (Chuxio Qu) and his upbeat partner Duoduo Han (Jinmai Zhao). These factions respectively spend most of their time battling MOSS, an unhelpful computer in a remote space station; and exploring an ice-covered Earth in stolen all-terrain vehicles (some of which bring to mind “Total Recall,” specifically the tank-sized drill-cars).

But while director Frant Gwo and his writing team blend Cixin Liu’s source novel with elements from American-made sci-fi disaster films—including “Armageddon,” “The Day After Tomorrow,” and “Sunshine”—they synthesize them in a visually dynamic, emotionally engaging way that sets the project apart from its Western cousins, and marks it as a great and uniquely Chinese science fiction film.

For one thing, rather than build the tale around a lone hero ringed by supporting players, “The Wandering Earth” distributes bravery generously amid an ensemble that includes action hero Wu; rising stars Qu and Zhao; and comedy institution Man-Tat Ng, who plays a grey-bearded spaceman named Zi’ang Ha. The script, credited to a team of six, never valorizes a singular chest-puffing hero, nor does it scapegoat a mustache-twirling antagonist (not even MOSS, the sentient, HAL-9000-style computer program in the space station). 

The teamwork theme is cross-generational, too. Both Peiqiang and Ng (formerly the straight man to film comedy superstar Stephen Chow) are treated with reverence because they’re older, and are therefore presumed to have more experience and stronger moral fiber. The veterans work well with the film’s younger astronauts, whose optimism makes them as brazen as they are idealistic. 

This apolitical blockbuster about a post-climate-change disaster extends its belief in teamwork to the rest of the international community. The movie is filled with narrative diversions that reassure viewers that no single country’s leaders are smarter, more responsible, or more capable than the rest—except, of course, for the Chinese.

Second, “The Wandering Earth” looks better than most American special-effects spectaculars because it gives you breathing space to admire landscape shots of a dystopian Earth that suggest old fashioned matte-paintings on steroids. Although Gwo and his team realized their expensive-looking vision with the help of a handful of visual effects studios, including the Weta Workshop, they have somehow blended their many influences in bold, stylish ways that only Hollywood filmmakers like James Cameron and Steven Spielberg have previously managed.  

Third, the film’s creators breathe new life into hackneyed tropes. Gwo and his team take a little extra time to show off the laser beams, steering wheels, and hydraulic joints on their space cars and exoskeleton suits, to make the gear seem unique. And the storytelling goes extra mile to show viewers the emotional stress and natural obstacles that the characters must overcome while solving scientifically credible dilemmas (all vetted by the Chinese Academy of Sciences). This movie may not be the next “2001: A Space Odyssey,” but it’s everything “2010: The Year We Make Contact” should have been (and I like “2010,” a lot).

A week after seeing “The Wandering Earth,” I’m still marveling at how good it is. I can’t think of another recent computer-graphics-driven blockbuster that left me feeling this giddy because of its creators’ can-do spirit and consummate attention to detail. The future is here, and it is nerve-wracking, gorgeous, and Chinese. – Simon Abrams

The movie itself has a very interesting back-story.

For starters, no one wanted to make it…

Chinese movie industry had contacted some famous directors: James Cameron, Luc Besson, Alfonso... to name a few. All rejected the proposal. 

The job eventually landed on a not-so-famous director Frant Gwo, who was most passionate about the original story. 

Gwo and his colleagues wrote an outline of 25,000 words, which was way above normal. They spent 6 months together, working day and night, writing then rewriting the draft. In the end the draft alone has one million words. 

Each character you see in the film has detail background and stories.

And the funding for the film dried up. It was abandoned in mid-stride and left swinging in the wind for a spell.

Wanda Media originally invested heavily in this film, but later pulled out the fund for another romantic film. 

This move almost halt the film production, but luckily the team persuaded the actor Wu Jing to forfeit his pay, even made him investing in the film. Wu jing had one condition, that they must make it a "good film", which they faithfully achieved. 

The movie became a smashing hit in Chinese market, and Wu Jing will get his money back and much more. 

Interestingly, Wanda Group's other subsidiary, AMC theaters, is the major distributor of the film in the US. However, you will watch the film in subtitles without English voice dubbing.

A large portion of the story was removed from the movie. Leaving some head-scratchers as to what was actually going on…

Many scenes didn't make the final cut which made the story a bit confusing at times. 

For example, the original novel mentioned there's a growing distrust towards the United Earth Government among people as a conspiracy theory spreads stating that the Sun is not going to die any time soon and the whole Wandering Earth project is just a cover for elites to have total control over the population. 

This explains why those rescue teams carry weapons. And it also explains why Li Yiyi (the nerd) was very aggressive (swinging a wrench) when the main protagonists entered his truck as the truck was probably sacked by rebel forces. 

Also in the final scenes protesters are seen walking on streets of underground Beijing. This also explains why the "Firing Rock" (Lighter Core) is not stored near the earth engines. As earth engines are fusion cores and to start a fusion reaction it takes a lot of energy. 

It can be assumed that the "Firing Rock" (Lighter Core) is similar to a nuclear bomb and can't be lost to rebel's hands.

And there were some “nods” to actual events and international “tie-ins”…

The order in which the various international crews turned around to help push in the firing pin is the same order in which the various nations arrived to help China in the 2008 Sichuan earthquake.

When reading the American reviews, you will find that many of them are actually negative. Many were upset at the idea of people working together for the greater good. They wanted to see movies about singular heroes working alone and over coming odds.

Yeah.

The American way.

"While it might seem like it’s common sense to give up your seat on the bus for a pregnant person, it turns out some people don’t understand this concept and are so entitled, they think this world is entirely every person for themselves. 

Someone on Reddit asked if they were in the wrong for not giving up their seat on a public bus for a pregnant person after they had worked a long shift and had tired feet..."

-An unrelated discussion about giving up your seat to a pregnant woman.

They were also upset in the idea that the earth would pull together to save humanity.

In 2019, just about EVERY SINGLE REVIEWER believed that there would never be a threat or catastrophe that would end up pulling people together to fight a common cause…

…One year later the Coronavirus COVID-19 hit.

And the American audience was quite right.

In America it was every man (or women) for themselves. It was the rest of the world that pulled together to fight the common threat. Not America. Meanwhile a large segment of the population refused to work together and that proved to be an absolute fiasco with over a half a million deaths as of this writing.

.

Incidentally, an ex-girlfriend's sister's husband just died by the Coronavirus. It started as a light shallow itch in the back of his throat. He thought nothing about it. Two weeks later it hit him like a ton of bricks. He was hospitalized, and died in the hospital.

Anyways, many of the movie reviews were terribly negative. In fact, the reviews were SO ABSOLUTELY NEGATIVE, and the lack of MOVIE PROMOTION within the United States points to suppression.

And so, yeah the American movie reviews were negative.

But that’s actually meaningless, because many of the reviews were from “bots”. And you can spot them easily. Most movie reviews on IMDB are either one sentence comments, or three or more juicy paragraphs with great details and comments about specific scenes. These reviews all fit the following profile…

  • One paragraph long.
  • Two groups. A low rating between 1 and 3, and a “high” rating between 4 and 6.
  • No specific scenes, or characters mentioned.
"The Wandering Earth is an overly ambitious, laughably implausible, thoroughly confusing, clumsily edited mess. The plot runs amok with too many hollow characters making stupid decisions without reason. Action sequences were so badly shot and edited it was hard to figure out what was going on. The CGI varied from passable to worse than a 90's video game. Acting was stilted and expressionless. Dialog was rambling and inefficient with an overuse of weird computer voices to explain what was going on. By the end I couldn't care less about the characters and fell sleep." 3/10

-Overly ambitious, laughably implausible, clumsily edited mess 

Thus, the movie drops to the bottom of all Science Fiction listings. It falls like a stone.

Shadow Blocking

Which is why you can’t find it in general searches.

I’ll tell you, this nonsense about trying to influence what others do by swarming ‘bots on comment sections is irritating. I can take it on Amazon, and other product venues, but in the entertainment world, it’s just irritating.

Anyways…

The movie was released with a number of songs. In this case, the song was有种 by孟美岐 . It’s a catchy tune, and is a great listen. It has all sorts of scenes from the movie, that many Americans (apparently) think are “stale”, “boring”, and “uninspired” according to the internet.

Check out the video it opens up in a separate tab.

Here’s the Music Video (it is in a zipped file) so that it will not take forever to download…

I think that one of the biggest problems that Americans have about this movie is that it is culturally too different from the American culture. This movie is about people coming together to fight a disaster. Whereas, in most American Hollywood far, it’s a single lone person who takes a lead to fight an enemy single-handedly.

  • Die hard
  • Armageddon
  • Commando
  • Predator
  • Terminator
  • Dirty harry
  • Death Wish

But as much fun as it is to imagine yourself in the hero role fighting impossible odds, the truth is that the reality is something far different. We all need to grow up a little bit and come to this conclusion.

Commando

.

If the human species is to advance, it will be by group participation. Not by a handful of extraordinary people.

Don’t believe me?

How do you think a star basketball player would fare against an entire basketball team that trains and works together as one?

Plot Elements

The Wandering Earth.

The first plot element to go viral was an automatic “warm reminder” recited repeatedly by the giant carrier vehicles in the film, becoming somewhat of a catchphrase:

道路千万条,安全第一条;行车不规范,亲人两行泪。”
“Routes are countless. Safety is foremost. Unregulated driving, loved ones end up in tears.”

Not long after the film’s opening day, this robotic voice message could be heard both on Alibaba-owned navigation app Amap and Tencent-owned QQ Map, with variations also to be found on ride-hailing app Didi Chuxing.

People who had driven to reunite with family for the Spring Festival could also see these lines on public LED screens lining highways around Chongqing, Suzhou, and Shanghai:

On the way to Shanghai.

Anyways, long story short.

I personally believe (all internet manipulation aside) the movie “The Wandering Earth” appeals (resonates) to Chinese people because it…

  • Shows people working together for the common good.
  • Shows that governments can create huge constructions.
  • That by shared sacrifice, by both young and old, a future can manifest.

As such, these points are the opposite of what contemporaneous America stands for. In America…

  • The lone individual is far better than any group of people.
  • Governments cannot create huge constructions. Only private industry can.
  • No one need sacrifice anything. It’s a human Right to do your own thing.

No need to type away and aggressively tell me how wrong I am. I could be right or I could be wrong. It’s all a matter of opinion. Nothing more. Don’t get too caught up in it.

When I was a boy

Although news coverage brought increasingly disturbing reports as the decade progressed, prime-time programming presented an entirely different picture. The escapist fictional fare of prime time made little reference to what was being reported on the news. That began to change in the late 1960s and early ’70s, but the transition was an awkward one; some shows began to reflect the new cultural landscape, but most continued to ignore it. 

That Girl (ABC, 1966–71), an old-fashioned show about a single woman living and working in the big city—with the help of her boyfriend and her “daddy”—aired on the same schedule as The Mary Tyler Moore Show (CBS, 1970–77), a new-fashioned comedy about a single woman making it on her own. In the same week, one could watch The Lawrence Welk Show (ABC, 1955–71), a 15-year-old musical variety program that featured a legendary polka band, and Rowan and Martin’s Laugh-In (NBC, 1968–73), an irreverent new comedy-variety show plugged into the 1960s counterculture. 

The 1970–71 season was the last season for a number of series that had defined the old television landscape, including The Ed Sullivan Show, The Lawrence Welk Show, The Red Skelton Show, The Andy Williams Show, and Lassie, all of which had been on the air since the 1950s or earlier. Such traditional sitcoms as That Girl and Hogan’s Heroes also left the air at the end of that season, as did a number of lingering variety programs.

CBS was the first of the three networks to radically overhaul its program schedule, eliminating several shows that were still delivering very high ratings. Such CBS hits as The Jim Nabors Hour (CBS, 1969–71), Mayberry R.F.D., and Hee-Haw were all in the top 30 the year they were canceled by the network. The Beverly Hillbillies and Green Acres were also eliminated at the end of the 1970–71 season, and not a single rural comedy was left on CBS, the network that had based much of its competitive dominance in the 1960s on that genre.

Even before 1971, however, more-diverse programming had gradually been introduced to network TV, most notably on NBC. The Bill Cosby Show (1969–71), Julia (1968–71), and The Flip Wilson Show (1970–74) were among the first programs to feature African Americans in starring roles since the stereotyped presentations of Amos ’n’ Andy and Beulah (ABC, 1950–53). Rowan and Martin’s Laugh-In was proving, as had The Smothers Brothers Comedy Hour (CBS, 1967–69) a few seasons earlier, that even the soon-to-be-moribund variety-show format could deliver new and contemporary messages. Dramatic series such as The Mod Squad (ABC, 1968–73), The Bold Ones (NBC, 1969–73), and The Young Lawyers (ABC, 1970–71) injected timely social issues into traditional genres featuring doctors, lawyers, and the police. In another development, 60 Minutes (CBS, begun 1968) fashioned the modern newsmagazine into a prime-time feature.

-The late 1960s and early ’70s: the relevance movement

When I was a young boy, I watched televisions shows such as “The Lone Ranger” and “Superman”. And even “Diver Dan”, and the “Man from U.N.C.L.E.”. Whether it was “The Rat patrol”, or “Mr. Ed”, the stories all revolved around a singular person and their adventures. You couldn’t help but believe that one person can become great, do great things, and make a difference in the world… all by themselves.

The Man from U.N.C.L.E.

.

Not to mention that this singular person can become filthy rich and successful and have everything. All they needed to do was follow the dream offered to them by “democracy” and the promise of “freedom” and “Liberty” out of Washington DC.

.

Perhaps I am not the only one affected by all this programming. Perhaps entire generations have been programmed in such a way as to believe that all is well and good as long as they stay separate and don’t form into groups or organize themselves.

It’s an interesting thought.

Why would the government not want people to form associations? It seems really odd you know. But with the television programming in the 1960’s and 1970’s came a direct drop-off and collapse of independent clubs and fraternal organizations. Organizations such as the Polish Falcons, the ELK’s Lodge, the MOOSE lodges, and the Good-fellas Clubs all were restructured. And this restructuring was NOT organic, as many of us are expected to believe…

I’ll have to revisit this thought over some beer and (with) some pretty girls.

Or…

Maybe something really different.

It’s been a while since I ate some Greek food, or at least the Americanized versions. Maybe I should smunch on some delicious lamb and drink some frosty ones instead…

Delicious Greek food.

Let’s talk about other music videos associated with Chinese movies.

The Great Wall

Starring global superstar Matt Damon and directed by one of the most breathtaking visual stylists of our time, Zhang Yimou (Hero, House of Flying Daggers), The Great Wall tells the story of an elite force making a valiant stand for humanity on the world's most iconic structure.

-Amazon.com: The Great Wall [Blu-ray]

The Great Wall movie.

.

Again, more mixed reviews. Most Americans hated it.

Why is this, one must wonder. Perhaps Simon Abrams can give us a clue.

Chinese/American co-produced action-fantasy “The Great Wall” doesn’t feel like a McDonald’s-ified version of a Chinese film. True, when square-jawed Matt Damon fights alien monsters side-by-side with Chinese soldiers, the film sometimes feels like a spectacular big-budget action epic with a golden-age western-style hero. But the makers of “The Great Wall” succeed where many westerns fear to tread, namely by un-ironically valorizing the selfless collectivism that has become a cultural touchstone of modern Chinese cinema. “The Great Wall” has significant problems—namely with Damon and sidekick Pedro Pascal’s lack of bromantic chemistry—but chief among its rewards is its ability to marry its Eastern and Western sensibilities.

Damon and Pascal play William and Tovar, respectively, wandering European mercenaries who are captured by the Chinese army of the Nameless Order shortly after they slay a mysterious green monster. The monster, they are told, is a “Tei Tao,” one of a horde of creatures that attacks the now-famous Great Wall of China once every 60 years. William and Tovar are initially unmoved by the Nameless Order’s considerable plight; they want to make their fortunes by stealing gunpowder from their hosts, and selling it to European traders. But eventually, William and Tovar’s agendas drift apart after William becomes seduced by the formal control and selfless zeal that defines the Nameless Order.

And who wouldn’t be impressed? The Nameless Order marches around in colorful suits of armor that come in hues of indigo, crimson and cerulean. They launch themselves at their enemies using pulleys, bungee cords, hot-air balloons, boulder-spewing catapults, and many, many arrows. Each crowd shot in this film is remarkable, but not because director Zhang Yimou (“Hero,” “House of Flying Daggers“) and his assistant directors know how to direct extras. On the contrary, the impassive faces of the Nameless Order’s soldiers remind us that all of these people, together, are remarkable. In that sense, the scene where William admits that he killed a Tei Tao “alone,” without the aid of Tovar or his slain mercenary colleagues, is a significant reminder of the film’s communal ideology: William, as an undisciplined loner, must prove that he’s worth just as much as a selfless Chinese soldier. 

The film’s action scenes also exemplify a sense of precise, shared responsibility that one rarely sees in action-spectaculars. The army works together as a unit, just as the Tei Tao do. You can imagine how hard that philosophy might be to enforce given that it demands a big enough budget to focus on two warring armies’ clashing maneuvers. But no, the film’s action set pieces are not only thrillingly large-scale, but visually rapturous, despite a preponderance of computer-generated imagery. There are a handful of well-choreographed and well-directed, Damon-centric action sequences, but it’s very easy to be seduced by scenes that focus on impersonal warfare. In the latter scenes, the art department flexes their collective muscles with every lionhead-shaped helmet and barbed offensive weapon. Who could remain unmoved after watching a group of individuals dangle, thrust, and throw everything they’ve got at a legion of deranged-looking creatures?

Unfortunately, the film slows down whenever it becomes a buddy comedy starring William and Tovar. If I had to guess, I’d say that screenwriter Tony Gilroy (“Duplicity,” “The Bourne Legacy“) was brought on to the film to punch up Damon and Pascal’s wobbly scenes of light banter. But there’s no spark between the two actors. In these scenes, Damon and Pascal perform time-honored roles that you’ll find in many Asian films: the Caucasian performers who look like they wandered onto the wrong set and are unsure of what acting is. Damon orates through clenched teeth, which suits his fight scenes, but makes him sound constipated. Combine that with a weird Irish-inflected accent that presumably is meant to be generically European—his character boasts about fighting in various European conflicts—and you’ve got a crucial black hole where your leading man should be.

Thankfully, “The Great Wall” isn’t really about Damon’s character. In fact, it works best when he’s part of a group, though he does predictably drift into a leadership role eventually. William’s story is an assimilation narrative, after all, one where the hero sees the error of his past and tries to fit into a society that values utilitarian goals over individual needs. “The Great Wall” is unlike any American blockbuster you’ve seen, a conservative movie with action set pieces that are actually inventive and thrilling enough to be worthwhile. See it on as big a screen as you can.

The point is that in China, it is the group working together for the group that defines what China is. While in America it is the individual doing his “own thing” under the watchful eyes of his approved overseer. No wonder there is a clash in cultures.

  • China – The group works together as one.
  • America – The individual is supreme and does his “own thing” alone.

And no wonder why many Americans despise this move with it’s pretense that the group can accomplish great things for all, as opposed to a lone hero that accomplishes amazing feats, basks in endless glory, climbs to the top of a mountain of gold and gets the girl in the end.

Never the less, America withstanding, the reviews from the rest of the world were interesting…

I just saw it in Romania in a good 3d cinema. The movie tells a legend. And it tells it so beautifully! The cinematography is breathtaking and for all the duration I was more than a movie spectator, I felt part of that legend, a character from that story, present among the troops defending the wall. If a movie succeeds in delivering such an experience, the rest is not important anymore.

In this point, I don t care anymore about plot holes or historical inaccuracies, because I came to cinema to see a fantasy, a Chinese legend with monsters and heroes. And there I was, in a war story, set in a different world, filled with amazing elements of the old Chinese culture, surrounded by exceptional warriors, beautiful landscapes and bloodthirsty monsters. I am very saddened to see the negativity among critics and public surrounding this movie. Complaining about historical inaccuracies or being racist about the mix of races is so misplaced. I hope people will go see it and ignore the bad criticism and prejudices about it.

-There are many legends about The Great Wall, this is one of them

And here’s one from China…

I'm Chinese and I don't think to have Matt Damon as the main character is a white-washing or anything racist. 

Some foreigners are needed to show foreigner's perspective of the magnificent China : the Great Wall, the weapons, the army, etc.While the CGI, costume designers and production staffs are whites, 90%+ of the casts are Chinese. Even the directors are Chinese. The director Zhang Yimou pick Damon by his artistic choice. 

This is not a Chinese film, nor a Western film, this is definitely a collaboration, which prove that if we human, from East, and West, if we trust each other and to work together, we could achieved great things. 

If even Chinese are not offended, why white people called this film racist?

-The Opposite of White-washing

Scene from the Great Wall.

Some Americans enjoyed the movie…

I'll be honest, I thought that this movie will be very bad. 

Came out in the month where movies expected to be blessed with negative reviews, it was no surprise that I thought it would be one of those ill-fated movies.

In the end, I've underestimated it.

"The Great Wall" follows William (Matt Damon), a mercenary who tries to obtain the "Black Powder", a deadly explosive, only to get caught in a battle against monsters that awakens every 60 years. 

For those who doubt that it will be good, mark my words: Don't underestimate this movie. 

The movie was a surprise all the way to finish. 

The acting was great, The visuals were amazing, the cinematography was breathtaking, and the action were incredible. 

Matt Damon as always gives a fine performance as the hero (Heck, even his Mandarin, although only a word, is very good). 

Andy Lau were also great as Strategist Wang in his first Hollywood movie. 

The biggest surprise was the female lead played by Jing Tian, she's really good and surprisingly, pretty fluent in English. William Dafoe (I not expect him to be in this movie) is also very great. 

Lu Han (Yes EXO-L's! It's EXO's Luhan!) is a scene stealer. 

Although he has a small role, He's very good at acting and truly one of my favorite characters in the movie. 

My criticism was it's plot. 

It's basic idea is like Independence Day with Chinese culture mix. Although it actually worked very well, but *SPOILER ALERT!!!* Kill the queen or we all die?", come on writers, you can do better than this. 

Another one is the length. 

A 100 minute movie isn't enough for a story with this kind of scale. If only the studio made it like 2 hours, it would be better for more character development and understanding the story.

Additionally, I don't understand all the negative reviews, especially from Chinese audiences. 

I'm a Chinese-Indonesian, and I absolutely love this movie. 

I saw criticism about the main lead being American. 

I'm telling you, there are 5 main leads in the movie, and *SPOILER ALERT!!!* 3 of them are Chinese, Plus most of the focus IMO are directed to the Chinese main characters. 

Another one is that the movie isn't based on the legend of The Great Wall. 

This is a fantasy movie, so of course it's not! 

The film even mentioned it in the beginning!!! 

To me, these negative reviews are purely hate for this movie. I think that most of them haven't seen the movie and simply hate it for no reason.

Overall it was a surprisingly good movie, and arguably one of the greater movies in January. If you want to see a fantasy movie, this is a perfect movie for you.

-I've never thought it would be THIS good.

 

Yes. Those that did enjoy the movie were much like this fella…

I can't stand these unfair and unreliable reviews.

So, this is my first review for a Chinese film. I would say I felt the same feeling of excitement when I watched Lord of the rings (LOTR). 

I even like The Great Wall more than the hobbit.

We've watch hundreds of movies with bad reviews and we knew that as long a film has at least one 10/10 fair review, don't hesitate to watch a movie. 

Ignore the noise of negative people. 

Let them get sick with their views.This is great movie that combines history and fiction. Enjoy it! Just like what the actors said.. "TRUST"!!!

-I enjoy it a lot. Ignore the critics.

Maybe it’s just misunderstood…

I love the movie. If you haven't decided yet whether to watch it or not, well, it is a very entertaining popcorn flick with a LOT of monsters. Enjoyable to look at and pleasant to listen to.Here I just want to point out a few facts about the movie that many people might get wrong, mostly because of their preconceptions.

Spoilers ahead.

First, there are apparently some "white savior" moments in the movie, but there are also a lot of "Chinese savior" moments. So who saves whom doesn't really matter. The wall was miles long and there were hundreds of thousands of monsters, so Matt Damon shooting down three of them doesn't really make that much difference. 

The true contribution that he made in the movie was that he helped capture a monster alive with his whaling experience in Spain, which makes perfect sense because the other characters, living in inland areas, probably hadn't seen a whale in their life. People simply have different experiences and helping out each other is great.

Second, the relationship between the male and female protagonists was not a romantic one. In the end, they became two soldiers who understood, admired and trusted each other. It is not a clichéd love story. So, the white guy did not get the Asian girl -- and there is nothing wrong if they did love each other.Third, the movie is very fast paced and full of details. Reserve your judgment when you think there is a plot hole or something like that. Have a happy discussion with others before rushing to an angry or condescending conclusion. 

For example, I noticed someone mentioned in his or her review, as an example to show how stupid the movie is, that the catapults could not move and yet could hit the target at different spots. The fact is the catapults could move and the movie spent one second showing you exactly that. 

Also, the Crane Corp, which I heard many people claimed to be useless, was actually practical and powerful in killing and distracting the monsters in that the only weak spots of the monsters were the eyes, which were difficult to aim at from far away, and the monsters were much more dangerous horizontally than vertically.

Last but not least, I'd like to talk about the message of the movie. Some people think the movie just wants to make money. Some think it is China's propaganda tool. While I believe there is some truth in both arguments, the movie can be interpreted from a much brighter perspective and is by no means meaningless. 

Actually the movie has so many meanings that it struggles a little bit to deliver all of them. Trust, greed, courage, sacrifice, and so on. Too many for the movie to have a definite and strong theme. One interesting interpretation I read is that the movie intends to convey that the US and China should work together to defeat terrorism, the core of which is an ideology whose iconic color is also green (like the queen of the monsters). 

My own understanding though is one simple message: what truly differentiates us is not race or culture, but what we believe and how we act. Not a wholly fresh idea but definitely a peaceful one, especially from a U.S.-China co-production.

To put it in a nutshell, the movie is much smarter and much more considerate than many people think. I hope there will be sequels or prequels that can be even better.

-An easily misunderstood movie 

Personally, I thought the movie was pretty epic, but swords and monsters isn’t really my thing. So I gave it a “6”. But the negative review are wholly out of line. If you want a story about magic, barbarians, and conflict on a grand scale this movie does deliver. But it’s made in China, so that is going to automatically turn off the American sheeple. There’s no denying that fact.

Sheeple hate the Chinese. It’s what’s bread into them after four sustained and aggressive anti-China propaganda years. It’s a fact of life. It’s what it is. No more and no less.

And, here’s the video that was released in association with this movie. It’s pretty good, and was on the tops in China for a couple of years. I have it zipped up, and presented when you click on the link. As I stated earlier, this is the music video that came out along with the movie. It’s how Chinese Cinema seems to release movies these days…

And then there is this movie…

Passengers

But this movie was well received in America.

The spaceship, Starship Avalon, in its 120-year voyage to a distant colony planet known as the "Homestead Colony" and transporting 5,258 people has a malfunction in one of its sleep chambers. As a result one hibernation pod opens prematurely and the one person that awakes, Jim Preston (Chris Pratt) is stranded on the spaceship, still 90 years from his destination.

“Passengers is a truly brilliant movie, it’s hard to place it into a genre, but it is very much a sci fi love story. The concept is a great one, and it poses a fabulous question, could you force someone to spend their life with you, or live a solitary life. The idea is very original and very clever, the special effects are breathtaking, and the cinematography is first class. The main trio of actors do a superb job, but it’s the dazzling Jennifer Lawrence that stood out for me.”

Here’s the zipped music video that was released in China along with the movie. The song itself was a long-duration hit as well. I don’t think that it did so well in the USA. It seems that there wasn’t enough “booty wagging” and transgenders LGBT activity to render it of interest to Americans.

And what this is all about…

The next video is not associated with a movie. It is just a simple Chinese music video. Note that it is about the future and the dreams that we all have.

Indeed this is what it’s all about…

.

And seriously folks, I do wish and hope that your “Tomorrow 9” occurs for you. You have my wishes and good will. – Metallicman.

Do you want more?

I have more posts in my Chinese Music Index here…

Chinese Music Index

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

 

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 

 

 

 

 

Life is always about balance. Here we talk about the money vs freedom balance. Because that is the big tradeoff in America today.

After I exited the US Navy and “hit the road” looking for work (at a time when there were no jobs to be found anywhere) I discovered a number of “life truths” that have reshaped my life since. One of these truths is about personal labor. Where is that “sweet spot”? The point where you work just enough to provide for your family and have a good comfortable life, and yet live a happy unstressful life.
Because…I strongly discovered, as many of you too have as well, that in America it is either all-of-nothing. You either work in a corporate environment, devote a life to a career and obey the dictates of Human Resources in dress, behavior and life, or…
…Or you starve.
There is no “middle ground”.
Now, this is not true for everyone. Over time many people have discovered ways to enable them to somehow skirt the two extremes. While other’s like myself had to learn the “hard way” and didn’t reach that point until retirement.
The American culture is one where it is “every man for himself”, and you are a “success” if you climb to the top of a mountain of money and lord your life over all the rest. It’s the “King of the Jungle” attitude and exemplifies such people as Bill Clinton and Donald Trump.

Today, the base pay of those at the top is commonly 400 times that of their salaried staff, with many earning orders of magnitude more in stock options and perks. The elite one percent of Americans control $30 trillion of assets, while the bottom half have more debt than assets. The three richest Americans have more money than the poorest 160 million of their countrymen. Fully a fifth of American households have zero or negative net worth, a figure that rises to 37 percent for black families. The median wealth of black households is a tenth that of whites. The vast majority of Americans — white, black, and brown — are two paychecks removed from bankruptcy. 

Though living in a nation that celebrates itself as the wealthiest in history, most Americans live on a high wire, with no safety net to brace a fall.

With the COVID crisis, 40 million Americans lost their jobs, and 3.3 million businesses shut down, including 41 percent of all black-owned enterprises. Black Americans, who significantly outnumber whites in federal prisons despite being but 13 percent of the population, are suffering shockingly high rates of morbidity and mortality, dying at nearly three times the rate of white Americans. The cardinal rule of American social policy — don’t let any ethnic group get below the blacks, or allow anyone to suffer more indignities — rang true even in a pandemic, as if the virus was taking its cues from American history.

COVID-19 didn’t lay America low; it simply revealed what had long been forsaken. As the crisis unfolded, with another American dying every minute of every day, a country that once turned out fighter planes by the hour could not manage to produce the paper masks or cotton swabs essential for tracking the disease. The nation that defeated smallpox and polio, and led the world for generations in medical innovation and discovery, was reduced to a laughing stock as a buffoon of a president advocated the use of household disinfectants as a treatment for a disease that intellectually he could not begin to understand.

As a number of countries moved expeditiously to contain the virus, the United States stumbled along in denial, as if willfully blind. With less than four percent of the global population, the U.S. soon accounted for more than a fifth of COVID deaths. The percentage of American victims of the disease who died was six times the global average. Achieving the world’s highest rate of morbidity and mortality provoked not shame, but only further lies, scapegoating, and boasts of miracle cures as dubious as the claims of a carnival barker, a grifter on the make.

...

Odious as he may be, Trump is less the cause of America’s decline than a product of its descent. As they stare into the mirror and perceive only the myth of their exceptionalism, Americans remain almost bizarrely incapable of seeing what has actually become of their country. The republic that defined the free flow of information as the life blood of democracy, today ranks 45th among nations when it comes to press freedom. In a land that once welcomed the huddled masses of the world, more people today favor building a wall along the southern border than supporting health care and protection for the undocumented mothers and children arriving in desperation at its doors. In a complete abandonment of the collective good, U.S. laws define freedom as an individual’s inalienable right to own a personal arsenal of weaponry, a natural entitlement that trumps even the safety of children; in the past decade alone 346 American students and teachers have been shot on school grounds.

The American cult of the individual denies not just community but the very idea of society. No one owes anything to anyone. All must be prepared to fight for everything: education, shelter, food, medical care. What every prosperous and successful democracy deems to be fundamental rights — universal health care, equal access to quality public education, a social safety net for the weak, elderly, and infirmed — America dismisses as socialist indulgences, as if so many signs of weakness.

How can the rest of the world expect America to lead on global threats — climate change, the extinction crisis, pandemics — when the country no longer has a sense of benign purpose, or collective well-being, even within its own national community? 

Flag-wrapped patriotism is no substitute for compassion; anger and hostility no match for love. Those who flock to beaches, bars, and political rallies, putting their fellow citizens at risk, are not exercising freedom; they are displaying, as one commentator has noted, the weakness of a people who lack both the stoicism to endure the pandemic and the fortitude to defeat it. 

Leading their charge is Donald Trump, a bone spur warrior, a liar and a fraud, a grotesque caricature of a strong man, with the backbone of a bully.Over the last months, a quip has circulated on the internet suggesting that to live in Canada today is like owning an apartment above a meth lab. 

Canada is no perfect place, but it has handled the COVID crisis well, notably in British Columbia, where I live. Vancouver is just three hours by road north of Seattle, where the U.S. outbreak began. Half of Vancouver’s population is Asian, and typically dozens of flights arrive each day from China and East Asia. Logically, it should have been hit very hard, but the health care system performed exceedingly well. 

Throughout the crisis, testing rates across Canada have been consistently five times that of the U.S. On a per capita basis, Canada has suffered half the morbidity and mortality. For every person who has died in British Columbia, 44 have perished in Massachusetts, a state with a comparable population that has reported more COVID cases than all of Canada. As of July 30th, even as rates of COVID infection and death soared across much of the United States, with 59,629 new cases reported on that day alone, hospitals in British Columbia registered a total of just five COVID patients.

-Rolling Stone

Which pretty makes it difficult for the “little guy”. You know the one. Like you and I. Where all we want is just to be left alone, do our best to provide for our families, and have a little bit of fun on the side.

And the purpose of a nation, any nation, is to support and protect a society of “little guys” who all are trying to “live life in the pursuit of liberty”.

But you and I both know, that that is not what America is today. It’s a multi-tiered concentration camp. With the strongest mob bosses at top and he rest of us toiling for some scraps that they toss below from their stratospheric heights.

So, is America so corrupted, so out-of-wack that a average, normal, decent guy can’t have a family, a life without working himself to an early grave?

Yes. That’s the way it is.

In the United States, as of 19FEB21. Life expectancy is how long a baby born today can expect to live, on average. For males it was 75.1 years and for females, 80.5 years. The current life expectancy for China in 2021 is 77.13 years, a 0.22% increase from 2020.

No kidding.

The American Military Emprie

Have you ever wondered what it was like to live within a Global Military Empire like Nazi Germany, The British Empire in the 1800’s, and Ancient Babylon? Well, it’s pretty much like the way Americans live today.

There’s always an external threat that needs a war to fight. There’s always an increase , ever rising, in the prices for goods and services, and there’s always a feeling like society is slipping and going down hill. David Copperfield describes the life during the British military empire. And if you add cell-phones, trailer parks, and food-stamps you have America today.

.

And while I can discuss about how one “Hellfire Missile” can build a ton-load of hospitals, rebuild bridges, and feed entire families for a year, consider what other nations are using their treasury dollars on.

I am inside of China, and they are using their monies to build roads, bridges, hospitals. They are upgrading all infrastructure, and building parks. They are updating ferries, and there is a the construction of a new Mag-Lev rocket-train, even faster than the high-speed trains (already criss-crossing the nation), that will go from Shenzhen to Shanghai in three hours. Faster than a direct flight!

Chinese HST
Chinese high speed rail is commonplace all over China.

Local clinics are getting state-of-the art blood analysis equipment and patient diagnostic equipment. Clinics! Not like the USA where you need to get a doctors appointment to get you a hospital appointment, to get an analysis appointment to have anything done.

Blood work for my child, yesterday, occurred in 15 minutes. On site at a clinic. The entire cost was free. Because we are residents of the community. How much would it cost for us int he USA? How long would it take?

That is the difference between a nation that is investing in it’s people, and a Military Empire.

Sent to me by an influencer…

Back to the subject – Work & Life

I argue that when you live in a bad environment, whether it is a military empire, or a concentration camp, you are unable to find a work/life balance. It is just simply not possible. You have either one thing or the other thing. There is no balance.

So people find work-arounds.

If they do not, then they spend their entire life working and them when they reach retirement, they make do with what ever systems the government has in place for their retirement. And for Americans, it’s not good at all.

OK. Here’s a good article about this subject.

All credit to the author, reposted as found. No editing except to fit within this venue.

How to Come to Terms With Working For the Rest of Your Life

This is a question every man has to answer,

How did you come to terms with working full-time for the rest of your life?

I graduated from college this past May and just started my full-time job three weeks ago. I am a salaried employee with a required minimum of 50 working hours per week.

I’m grateful to have a job, but how the hell did you accept the fact that you’ve essentially been born to work? I see very few routes outside of working what feels like endless hours until I’m 60 (optimistic!) and can retire… to just sit around all day because I’m too old to do much of anything else

My friend, 60 is VERY optimistic if you just got out of college because the chances Social Security will be around in its current form by then is basically nil. That means most people that age are likely to work until they die.

All that said, there are a lot of options for what you can do on the working front. In fact, it gets back to my all-time favorite quote.

“There are no solutions. There are only trade-offs.” — Thomas Sowell

The best jobs require a lot of hours. You want to be a CEO, run a successful small business, or be a doctor, you are going to put in some serious hours to do that. You want the big house, the money, the prestige, you are going to have to work for it. You want to live somewhere expensive like NYC or San Francisco, then you should be prepared to work like a dog to make it happen.

If you are willing to forego that and make some lifestyle trade-offs, you may be surprised at what you can do.

For example, if you are outside of the big cities, you can live pretty well working 40 hours a week. Over time, especially if you get married to a woman that works, you can get yourself a house and have a vacation a year, a big-screen TV, and generally live comfortably. If you’re frugal, you can even save up some money doing that. Unfortunately, most people in that position aren’t frugal and they struggle when they get an unexpected bill, but that doesn’t have to be you.

You can take it even further if you like. Back in the day, I once had a roommate who has a fantastic salesman. He worked half the year selling and took the other half of the year off. If you want to live with roommates and keep it cheap, you may be able to squeak by working part-time. If you want to live out in the boonies with a little garden out back and some convenient land nearby for hunting and fishing, you may not have to work forty hours per week to do it.

 

What all this means is that you have real options in life. It just depends on which trade-off you want to make and guess what? The one with the most hours probably isn’t the best for everyone. There are guys who wake up one day in their forties with lots of money, but bad health and no one that cares about them because they have been working 70 hours a week from the time they were young until now. Are they better off than the guy who worked 20 hours a week his whole life, but took care of himself, had friends, and had a happier life? That’s a question you have to ask yourself.

It begins by asking what motivates you, what you want to have in your life and how much of your life you are willing to trade to make that happen.

And isn’t that the case?

What are you going to do? Work like a crazy madman on the quest to become another Donald Trump, Bill Gates, or Steve Jobs? So that you can sit upon billions and billions of dollars, while the nice day beckons outside, the fish are biting, and the cute girls would dearly wish that you would ask her out for a stroll on the beach and a cup of coffee.

Where are your friends? Where are your family in all this? Is that all there is to life? Work as some kind of corporate drone, and then retire as a starving old man?

Maybe there is another way… or, not.

We really need to take a good hard look at what the work environment is inside of America today. And compare it to the work environment in the rest of the world. And let me tell you… it is not pretty.

The following article is reprinted in it’s entirety, and edited to fit this venue. All credit to the authors.

“I’m gonna need you to come in on Saturday”: How “Office Space” got the modern workplace just right

Cubicles, layoffs, TPS report, flair — “Office Space” bombed at the box office, but endures because it was right

By Nikil Saval
The office could be any office. Cove fluorescents on a dimmer, modular shelving, the desk practically an abstraction. The whisper of sourceless ventilation. You are a trained observer and there is nothing to observe.

—David Foster Wallace, "The Pale King"

After the stock market crash, which emptied out the lofts and warehouses of San Francisco, eroding in an instant the frictionless, cloud-kicking fantasies of the dot-commers, another white-collar recession slung into place, and the office seemed to resume its role as the workplace everyone loved to hate.

Few cultural objects expressed this miasma of ill will better than the film “Office Space,” which appeared in 1999 at the very peak of the boom. Its theatrical run was a modest failure, but in retrospect it’s no surprise that a film so relentlessly dark and nasty would be overpowered by the delirium that gripped the end of the millennium.

This picture describes American workers all over America.

(From a reporter visiting a Microsoft annual meeting in 1997: “‘Why  are we at Microsoft? ’ bellowed billionaire Steve Ballmer, then the company’s executive vice president, to a crowd of nine thousand employees packed into the Kingdome, Seattle’s indoor stadium. ‘For  the money! ’ he screamed. ‘Show me the money! ’ The crowd responded with a roar: ‘Show me the money! ’ ”)

Running gags about staplers, misplaced memos, “Hawaiian Shirt Day,” and the specter of working lives wasted in dead-end, purposeless jobs for a gray tech company: no one appeared ready for that sort of humor in an era of raging exuberance—and anyway, the cubicle was dead, right?

Then the bubble burst; people woke up the following morning with their stock options erased; the beanbag chairs were gone, and they were in a cubicle again or unemployed  and desperately searching for a cubicle. “Office Space” found new life on the small screen, a medium that suited the office worker existence depicted in the film: long days huddled in front of a computer, followed by short nights exhausted on the couch, staring at a television.

What is good for the company?

In 1999 it barely recovered its $10 million budget in box office receipts; by 2003, it had become a cult classic, with more than two and a half million copies sold on video. (It screens on Comedy Central with the sort of mindless regularity that suggests a bored television office staff behind  it all. “What  do we fill the 2 to 5 p.m. slot with? ” “Fuck it, let’s just put on ‘Office Space’ again.”)

Everyone knows very happy white-collar people who can quote “Office Space” with as much fervor and accuracy as a pastor does the Gospels, and it’s a plausible  and routine  assumption that  repeated watchings of the film might offer a kind of therapy for stressed office workers:  a vent for an inarticulate rage that helps keep them humming away at bad jobs.

But anecdotally,  at least, it’s led to people quitting their jobs, and one Portland, Oregon, webmaster started a site, Bullshit Job, that doubled  as both a tribute  to the film and a page where workers could post all the insulting memos and e-mails their bosses sent out.

In other words, “Office Space,” and subsequent works in the general fraternity  of office satire, helped office workers recognize themselves as belonging to a particular kind of group—a recognition  that  the office always seemed to deny, since no matter where you were in the office, you were always presumed to be on your  way up. (Think of that line from the Stanwyck  film: “Baby Face is moving out of your class.”) And part of the brilliance of the film was its insistence that the jobs weren’t bad simply because the office workers were oppressed: they were intrinsically bad jobs, in a bad environment.

Promotion for years of dedication to the new office in the basement.
Promotion for years of dedication to the new office in the basement.

The setup for “Office Space” represents a larger shift in the understanding of office life. The paradigmatic narrative had been the entry of the rural woman into urban white-collar life, with its attendant sexual terrors; by mid-century, it was the travails of the middle manager attempting to avoid the conformist spirit of organizational life. But the plot of “Office Space”—reflecting the larger changes in the American economy—is about people being forced to leave an environment they hate, through layoffs; the same is true of the British show “The Office” (called, in an even more insulting euphemism, “redundancies”) and  of the  recent American novels of office life “Then We Came to the End” and “Personal Days.”

The prospect of losing one’s job forces the personal crisis: you come to know who your friends are, what your loyalties are worth, and what your job really is.

In “Office Space,” consultants come to examine the company’s structure  to give it a leaner  form; though their method  is unjust, they really do find people working useless jobs:

BOB SLYDELL ( JOHN C. McGINLEY) : What you do at Initech is you take the specifications from the customers, and you bring them down to the software engineers.

TOM SMYKOWSKI (RICHARD RIEHLE) : Yes, yes, that’s right.

BOB PORTER (PAUL WILLSON) : Well, then, I have to ask—why couldn’t the customers just take them directly to the software people?

TOM: I’ll tell you why. Because engineers are not good at dealing with customers.

SLYDELL: So you physically take the specs from the customer?

TOM : Well . . . no, my secretary does that. Or the fax.

PORTER : So then you must physically bring them to the software people?

TOM : Well, no. I mean, sometimes.

SLYDELL: What would you say you do here?TOM : Well, look, I already told you. I deal with the goddamn customers so the engineers don’t have to. (Screaming.) I have people skills! I am good at dealing with people! Can’t you understand that? What the hell is wrong with you people?

Tom Smykowski is defensive about his job, even though he can’t explain what it is he does. Peter Gibbons (Ron Livingston), the main protagonist, knows that his job—updating software for the Y2K switch—sucks, and he knows that it’s meaningless; from the vantage point of the new millennium, it seems especially useless.

Struggling to explain it to a waitress, Joanna (Jennifer Aniston), he says, “I sit in a cubicle, and I update bank software for the 2000 switch. Well, see they wrote all this bank software, and to save space they used two digits instead of four, so like 98 instead of 1998, uh, so I go through these thousands of lines of code and uh . . . It doesn’t really matter. I don’t like my job.”

Later Peter confesses to the consultants that his average workday consists of coming in fifteen minutes late and “just sort of spac[ing] out for about an hour . . . I do that for about another hour after lunch too. I’d say in a given week, I only do about fifteen minutes of real, actual work.”

The twist is that this honesty is exactly what the consultants prize—a kind of ironized version of the “truth-telling” organization man of “The Man in the Gray Flannel Suit.” Though Peter stops showing up to work and wrecks his work space by dismantling his cubicle walls, the consultants offer him a promotion. “[He’s] just a straight shooter with upper management written all over him,” one consultant says to Peter’s boss.

In return, however, they fire two engineers with actual experience, who happen to be Peter’s friends. This sets in motion the increasingly madcap (and implausible) third act, when Peter and his laid-off friends try to program a virus that would scam the company they despise out of thousands of dollars. At the end of the film, one of the company’s disgruntled employees, the sublime mutterer Milton Waddams (Stephen Root), sets the building on fire.

Peter’s engineer friends have moved from Initech to its competitor Initrode; Peter himself takes a blue-collar job in construction—preferring the outdoor life to his stationary warren of cubicles.

“Office Space” occupies such a tremendous place in the American office worker’s imaginary about his workplace it’s a shame that its effect—or the effect of the larger discourse it’s a part of—has tended to be shallow and focused on the cubicle and dumb bosses.

The “space” in “Office Space” was largely a symbol—of an uncaring, even ruthless organization.

Its real targets were the unholy expectations of the modern workplace, which asked for dedication and commitment, offering none in return.

What do you say you do here?

It doubled the force of its condemnation by extending it to other kinds of workplaces. The waitress Joanna works in a chain diner called Chotchkie’s, whose absurd expectations closely resemble those of the office. Part of her job involves donning wacky buttons with slogans and symbols on them, called “flair.” At one point, her boss takes her aside to chastise her about her flair.

STAN (MIKE JUDGE) : Joanna! . . . We need to talk about your flair.

JOANNA : Really? I have fifteen pieces on (demonstrating).

STAN : Fifteen is the minimum, mmkay. It’s up to you whether you want to just do the bare minimum. Brian for example has thirty-seven pieces of flair—and a terrific smile.

JOANNA : Okay, so you want me to wear more?

STAN : (Sighing.) Look, Joanna,  people can get a cheeseburger anywhere, they come to Chotchkie’s for the atmosphere  and the attitude. That’s what the flair’s about. It’s about fun.

JOANNA : So . . . more, then.

STAN : Look, we want you to express yourself. Mmkay? Now, if you feel the bare minimum is enough, well, okay, but some people choose to wear more, and we encourage that. You do want to express yourself, don’t you?

Joanna’s boss occupies the same place as the office consultants: looking for intangible, personality-based outward expressions as signs of being a “straight  shooter”—rather than establishing obvious benchmarks that one meets simply to garner a paycheck.

Her suspenders laden with flair suggest nothing  so much as cubicle walls, decked out to show one’s “individuality.” The human attachments in “Office Space” were so strange and obsessive—Milton and his now infamous need to keep his red Swingline stapler—that it was hard to believe there was anything still left to express.

Those  still immune  to the satire  of flair, however,  are encouraged  to check out  the catalogs of the office supplier  Baudville, which offers, among other  choice items, rhinestone-encrusted lanyards as well as T-shirts  for appreciation weeks with slogans like “Smells like Team Spirit” and “I Put the ‘Zing’ in Amazing.”

After such knowledge as “Office Space” offered, what  orgiveness? How could one acknowledge the essential failure of the office to deliver on its promised utopia—and go on as if nothing had happened?

For many, the question was merely rhetorical:  they were out of work and stringing together temporary gigs as best they could. But for others, the dream of a better office lived on in different ways: some saw technology  as still offering a way of moving office work out of the office, into a broader  sphere of public life; others saw that the office needed to be made vastly more humane and responsive to its increasingly apathetic denizens.

These two paths were united by a single goal: the desire to make work enjoyable, to return it to an innocence that generations of workplace mistakes had rendered corrupt.

In an arresting and bleak phrase, the sociologist Max Weber had described the progress of rationality and scientific demystification as leading to a gradual “disenchantment of the world.” Something like that had happened  to office work: the rosy image of the office as a distinct,  and  distinctly  middle-class, alternative  to the travails  of factory  work  and other  manual  labor  had suffered too many jolts to survive. The office would have to be re-enchanted.

Excerpted from “Cubed: A Secret History of the Workplace” by Nikil Saval.

Nothing new, right?

Ah. You all know about this, and have watched the movie.

And maybe things are changing… at a glacial pace. For those of you who have planned a life independent of the corporate treadmill, you are truly fortunate. You own your own businesses and define your hours to your own liking and your very own familial needs.

But for the rest of us…

…those that were taught in our public schools that the key for success was working hard, getting good grades and working for a company that would take care of us… (and provide us with a great pension)…

…what of us?

The way that white collar workers do their work didn’t just happen that way, but it was a result of deliberate choices – from the architecture of the buildings that the work is done in to the furniture that the workers sit on. I hadn’t thought too deeply about it, thinking that the way things are was just a bit like the way things were, only with computers. I was wrong, and Saval tracks the changes, focused on the United States from the industrial revolution on. The white-collar worker has not been devoid of the standardization and alienation that the blue-collar worker had and rebelled against. The white-collar worker just never saw their white-collar chains; instead, they looked up, hoping to move up the ladder (no matter how false that metaphor is or was).

The potential for striving has, writ large, been the barrier to class to recognition of the white-collar worker for generations. The lack of upward mobility except for into the white-collar ranks is what led to unionism and workers improving their lots. The myth of upward mobility in white-collar terms is a form of social control that is not readily seen.

Saval tracks this, and it makes me think if this has been a deliberate move. As production has been mechanized, there are fewer production workers and more support staff in ancillary roles to production. As more workers move out of production and the workforce is more and more professionalized, white-collar membership is the mass of workers. It is the cube that keeps them apart and alienated. Maybe it is a prison of sorts.

-A Novel History of the Place of Work

What of us?

Where is our “life balance”?

American Work-Life Balance

  • According to the Center for American PROGRESS on the topic of work and family life balance, “in 1960, only 20 percent of mothers worked. Today, 70 percent of American children live in households where all adults are employed.”. U.S. Department of Labor statistics back up this data, and notes that 75% of those women working full time. I don’t care who stays home and who works in terms of gender (work opportunity equality for all – it’s a family choice). Either way, when all adults are working (single or with a partner), that’s a huge hit to the American family and free-time in the American household.
  • The U.S. is the ONLY country in the Americas without a national paid parental leave benefit. The average is over 12 weeks of paid leave anywhere other than Europe and over 20 weeks in Europe.
  • Zero industrialized nations are without a mandatory option for new parents to take parental leave. That is, except for the United States.

American Average Work Hours:

  • At least 134 countries have laws setting the maximum length of the work week; the U.S. does not.
  • In the U.S., 85.8 percent of males and 66.5 percent of females work more than 40 hours per week.
  • According to the ILO, “Americans work 137 more hours per year than Japanese workers, 260 more hours per year than British workers, and 499 more hours per year than French workers.”
  • Using data by the U.S. BLS, the average productivity per American worker has increased 400% since 1950. One way to look at that is that it should only take one-quarter the work hours, or 11 hours per week, to afford the same standard of living as a worker in 1950 (or our standard of living should be 4 times higher). Is that the case? Obviously not. Someone is profiting, it’s just not the average American worker.

American Paid Vacation Time & Sick Time:

The Impact of Too Much Work

I’m not telling you to work less hours. If you genuinely love what you do and are doing it for the right reasons, you are more than entitled to spend all of your waking hours plugging away.

But for many of us, more work leads to more stress and a lower quality of life. Without time to unwind, take care of your home, spend time with loved ones, enjoy our hobbies, connect with friends, and generally live a more balanced life. Stress is the #1 cause of health problems – mentally and physically. And there are few things that stress us out on a consistent basis like work does, especially when it takes away from all of the other things that life has to offer.

Americans are the Outliers

And if all of this data tells anything, it’s that we are the outliers, not the norm. Why are we the outliers?

  • Our companies fairly ruthlessly let people go. We want to keep our jobs and not be a ‘low performer’ compared to others.
  • The decline of the union has led to less paid time off and other leave benefits.
  • Cultural value of money over everything else. We love money, we want more of it, and we think money can buy happiness. And the more we work, the more we get paid.
  • It’s been drilled in our heads that we are lazy compared to emerging market counterpart workers in India, Mexico, China, and other parts of Asia. Who isn’t? And what is our mental image of the work environments in those locales? To validate those fears, our jobs are being outsourced to the cheap labor in those countries. In reality, the U.S. trails only Norway and Luxembourg (2 tiny countries) productivity per person.
  • Our legislative branch of the government (on both sides of the aisle) has been bought and as a result has shied away from passing laws that protect workers that every other industrialized nation has passed.
  • We generally don’t fight for our working rights. We take what is given to us.

What we All Need to Remember

What we all need to remind ourselves is that it doesn’t have to be this way.

  • It’s OK to ask to move to fewer hours at work.
  • It’s OK to take a week-long vacation if we need to.
  • It’s OK to ask to work from home.
  • It’s OK to take a month of unpaid leave while you raise a child.
  • It’s OK… you get the idea.

Don’t let life pass you by in the name of fear, circumstance, greed, or misguided hopes. Sometimes you just need to draw a line in the sand and say “enough is enough”.

And yeah.

I can hear it now…

If you don't like America so much, then leave. 'Merica is the best! Rah Rah Rah.

U.S. Americans’ Work-Life Balance Is Exceedingly Imbalanced

Research shows many Americans who receive paid time off are afraid to take it because of workplace pressures. But it turns out the European vacation mindset could actually help boost productivity.

The European Union’s Working Time Directive guarantees EU workers at least 20 paid vacation days per year, contrary to the United States, which does not have a statutory minimum annual leave requirement. Some European countries mandate additional time off; the UK, France, Austria, Denmark, Finland, Luxembourg, and Sweden all require 25 or more paid annual leave days. OECD data shows more time off doesn’t have to translate to lower productivity. On the contrary, some of the most productive countries, measured by GDP per hour worked, were in Europe.

The United States ranks far lower.

And don’t tell me that there are worst places in the world. Sure there are. But that is not the issue. The issue is [1] what is going wrong, and [2] how to fix it.

Belgium
Workers here enjoy an average of 8.6 hours of leisure per day—which trumps their 7.4-hour work days. Locals really value quality family time, getting home in time for dinner each night, and taking a full month-long vacation over the summer to coincide with school breaks.

Austria

Many offices in Austria have an 8–5 workday—except for Fridays, when employees are encouraged to go home at 3 p.m. Never ending “summer Fridays” aside, the country is also one of the best in the world for people looking to relocate; 80% of expats in Austria said their work-life balance improved since moving there (compared to the 53% global average).

Germany

The German government has several regulations in place to make sure its citizens don’t overwork themselves. And the work-hour regulations (Arbeitszeitgesetz) state that workers cannot put in more than 48 hours a week—or work Sundays or national holidays.

Luxembourg

Working on Sundays is outlawed in Luxembourg (though exceptions include maintenance and security jobs). The country also scores well in the sleep and vacation departments, with citizens getting an average of 7.2 hours of sleep per night and a minimum of five weeks paid annual leave—in addition to national holidays.

Spain

Although many employees do not take advantage of early afternoon siestas there is still an annual vacation allowance of 30 days.

France

French workers spend the most time—9.3 hours per day—devoted to leisure and relaxation. In 2017, France also introduced a law that allows workers the “right to disconnect” from afterhours work emails.

The French work hard, but the OECD thinks that gender inequality in the workplace is holding it back. While 78 percent of women work full-time, they say, “access to the labour [sic] market of mothers of young or large families could be improved but would likely require a more equal share of caring activities between parents.” In other words, women could be having a better work-life balance in France if their partners stepped up their childcare and were given longer paternity leave, and other support structures from their employers. That’s also a factor that affects U.S. families, where paid paternal and maternal leave aren’t mandated by national law.

Finland

At first glance, work hours in Finland look pretty similar to those in the United States: Monday through Friday, 8–5. However, their lunch breaks are one to two hours long. A great advocate of healthy living and rejuvenation, the government gave its citizens four “Nature Days” to celebrate its 100th birthday outdoors.

The Netherlands

Although the Netherlands only gives workers nine bank holidays per year, they compensate with the shortest work week of all the countries surveyed (averaging at 30.3 hours). Add to that 20 to 25 annual vacation days and extremely generous parental leave policies, this makes the Netherlands one of the highest-ranking countries for employees to enjoy a good work-life balance.

According to the OECD, the Netherlands had the best work-life balance in 2018, with Denmark, last year’s winner, losing out narrowly. In every area, the Dutch came out on top.

One of the big factors for the Dutch, says the OECD, is hours worked. “In the Netherlands, less than 0.5 percent of employees work very long hours, the lowest rate in the OECD where the average is one percent,” they write. About one percent of men work very long hours, compared with almost no women.” They define “long hours” as over 50 hours per week.

In the U.S., by comparison, the OECD says that 11 percent of employees put in long slogs, including 16 percent of men and 7 percent of women. The Netherlands has strict working week laws to prevent people working over 60 hours. Dutch culture doesn’t put as much emphasis on working till you’re exhausted, writes Business Culture, and encourages leaving leisure time. “They have clearly defined working hours and they respect them,” Business Culture writes.

You can take sick leave for up to two years and still receive 70 percent of your salary, according to a survey by the employment site Glassdoor. It’s the most generous sick-leave policy in Europe. Compared to the U.S., where there is no policy, it frankly boggles the mind.

Sweden
Sweden enjoys 25 annual vacation days, along with 16 months of paid family leave and 14 bank holidays per year, making it one of the more generous countries in terms of in Europe for employees.

Prisons in Sweden are meant to rehabilitate criminals, which helps explain why the country’s recidivism rate—how frequently people return to prison—is so low. In 2014, it was 40 percent, about half that of the U.S. And Swedish penitentiaries more closely resemble American offices or college dorm rooms than they do prisons, according to The Guardian.

Denmark
Denmark balances salary against cost of living well, and average daily leisure hours (8.8 per day) way outnumber work hours (6.6 per day). According to U.S. News & World Report, this is also the 2nd best country in the world to raise children. Both mothers and fathers are entitled to 23 weeks of parental leave, plus mothers get an extra four weeks of leave before their expected due date.

Unemployed workers in Denmark get 90 percent of previous earnings for up to 104 weeks, the most generous unemployment benefits in the EU, the Glassdoor survey said. This far outpaces the U.S., where unemployment pays 40 to 50 percent of earnings for up to 26 weeks.

Only 0.5% of Dutch employees regularly work very long hours, which is the lowest rate in the OECD, where the average is 13%. Instead, they devote around 16 hours per day to eating, sleeping and leisurely pursuits.

The Netherlands also boasts very low rates of youth unemployment, high literacy levels, below average levels of child income poverty and high levels of life satisfaction in childhood – over 93% of 11-15-year-old children report above average life satisfaction, for instance.

Work responsibilities are also shared among Dutch families, with the number of women in employment doubling from 35% in the early 1980s to 69.9% today, which is well above the OECD average of 57.5%.

There is also a strong sense of community in the Netherlands, where 90% of people say they know a friend or family member they could count on in times of trouble. This is slightly better than the 89% reported across other OECD countries.

Australia

The OECD reports that “when asked to rate their general satisfaction with life on a scale from 0 to 10, Australians gave it a 7.3 grade on average, higher than the OECD average of 6.5.” In the U.S., the grade is 6.9. Comparatively, though, Australia isn’t actually that good at work-life balance.

“Full-time workers devote 60 percent of their day on average, or 14.4 hours, to personal care (eating, sleeping, etc.) and leisure (socialising [sic] with friends and family, hobbies, games, computer and television use, etc.) — less than the OECD average of 15 hours,” says the OECD. It’s only just behind the U.S. in work-life rankings, ranking 32nd to the U.S.’s 31st. Less time for leisure and fun means a less balanced, more stressed country.

Brazil

In Brazil, work-life balance goes in a different direction: while only 7 percent of workers work long hours, the average income is significantly lower than the OECD average, and 64 percent of people have a paid job, compared to 69 percent in the U.S. The Brazilian economy is recovering from a slump, which is why working hours are currently a bit lower than other countries.

Ugh!

The average American works a staggering 1,836 hours a year, which is a good deal more than most of the world. Something something, the American Dream, blah blah blah. But with all that time put in at the office, Americans surely get loads of vacation days to keep them productive and not just freebasing K-cups on a day-to-day basis, right? Wrong. They’re definitely freebasing K-cups.

Compared to other developed nations, Americans get very little — if any — paid vacation time. As a small consolation, they do get approximately 10 days a year off for holidays.

Well, officially, that is.

GM required that you could only take the vacation during the plant shut downs, you had no ability to determine when you could take your vacation. And at that, you were required to keep your phone with you at all times to keep connected to the middle management in case you are needed.

So that’s a big issue.

It results in “burnout”.

Hey! Did you know that “burnout” is an American thing. yeah. Russians, French, and Chinese don’t get it. Just Americans do.

Dear BS Job, three months ago my boss told us that we would produce a draft without discussing the project requirements with the VP first, in our boss’ words to “show we’re innovative”. Then we’d share the project with upper management, “wow them” and “invite feedback”. [Ed: I love how ‘feedback’ basically means ‘harsh criticism’ these days.] We did so, delivered on-time no less, and it sat on the veep’s desk for nearly a month. A week before product launch, VP looks at our draft, tells us it’s all wrong, and we have to redo EVERYTHING! Of course the veep blames our boss and the boss blames us, even though we did everything we were told.

A recent report has found that the United States is the only advanced economy that does not require employers to provide paid vacation time. Almost 1-in-4 Americans do not receive any paid vacation or paid holidays, trailing far behind most of the rest of the world’s rich nations, according to the report.

“No-Vacation Nation Revisited,” released earlier this year by the Center for Economic and Policy Research reviewed the international labor laws impacting paid vacation and holidays in 21 rich nations. The countries included 16 European countries, Australia, Canada, Japan, New Zealand, and the United States, all major economies that are members of the Organization for Economic Cooperation and Development.

Some highlights of the report:

For the United States:

  •   Workers have no statutory right to paid vacations.
  • The sum of the average paid vacation and paid holidays provided to workers in the private sector ― 16 in total ― would not meet even the minimum required by law in 19 other rich countries, the report notes.
  • The lack of paid vacation and paid holidays is particularly acute for low-wage workers, part-time workers, and for employees of small businesses. (Workers in  small businesses are less likely to have any paid vacation (69 percent) than those in medium and large establishments (86 percent); only 49 percent of low-wage workers have paid vacation, compared to 90 percent of high-wage workers; part-time workers are far less likely to have paid vacations (35 percent) than full-time workers (91 percent).
  • The gap between paid time off in the United States and the rest of the world is even larger when legal holidays are included. U.S. law does not guarantee any paid holidays, but most rich countries provide between 5 and 13 per year, in addition to paid vacation days.

But Americans are so proud of the USA…

For other rich countries:

  •   Workers in the European Union are legally guaranteed at least 20 paid vacation days per year, with 25 and even 30 or more days in some countries.
  •   Canada and Japan guarantee at least 10 days of paid vacation per year.
  • Five countries even mandate that employers pay vacationing workers a small premium above their standard pay in order to help with vacation-related expenses.
  •   Most other rich countries have also established legal rights to paid holidays over and above paid vacation days.
  •   Several foreign countries offer additional time off for younger and older workers, shift workers, and those engaged in community service including jury duty and for activities like union duties, getting married, or moving.
“The United States is the only advanced economy in the world that does not guarantee its workers paid vacation days and paid holidays,” John Schmitt, senior economist and co-author of the report, said in a statement. “Relying on businesses to voluntarily provide paid leave just hasn’t worked.”

Rebecca Ray and Milla Sanes were co-authors of the study.

The center studied this topic initially in 2007, but since then, little progress has been made, the researchers noted.

“It is striking that six years after we first looked at this topic absolutely nothing has changed. U.S. law and U.S. employer behavior still lags far behind the rest of the rich countries in the world,” Schmitt added.

So let’s get back to “brass tacks”

People need to have a plan to manage their lives within a crazy, ever-changing society. One that is very fast, and proceeding ahead faster and faster with technological advances. You cannot count on work, society, or your government to help you out. You need to plan on how to survive within the confines of what is at your reach.

We need a plan. And it has to be better than fighting over every single penny, and grasping for every little thing.

You have skills. Improve them. Advertise them. Use them.

You are part of community. Embrace your role. Be helpful and supportive of others in your community.

Realize that no one can help you. You are on your own. And as such, strengthen your bonds with others in your local community and make sure that you and your family have a great work/life balance. It is critical for your happiness and critical for your health.

And while other nations, other peoples and other societies have it better than you Americans do, do not get all upset about that. That’s not your problem. Getting to have the same kind of happiness and life balance that they have, is.

It starts with you.

As American culture, society, and industry has become isolated from PEOPLE and their families, so has the American government. This “double tap” has caused a deep impression on the combined American psyche. And it’s not a good one. In fact, I can argue that this effect has been so horrible that it has created a downward spiral with the United States seems to be entrapped within.

Click

There was an Adam Sandler movie made in 2006 titled “Click“.  And this movie take a good comedic look at the Work/life balance that exists within the United States today.

Michael Newman (Sandler) is a hard working family man, who must please his boss (Hasselhoff), in order to get promoted. Problem is he gets less time with his family, and wishes for a remote in which he can control his life. This soon comes true for Newman, when he meets Morty (Walken), a crazy sales clerk, who has the ultimate remote. A remote in which he can do anything, including muting, skipping and dubbing his life. He finds this to be the opportunity in which he can not only skip every argument, but also skip to his promotion. He sees this as a good idea, until the remote goes horribly wrong. 

-Written by Film_Fan

It was Adam Sandler’s most underrated movie.His role was that of a ordinary workaholic of that time trying to put up a better living for his family but he misunderstood his priorities and try to make the family happy but instead went on avoiding their company. It a fine piece of art and a wonderful chemistry of husband and wife.

It’s a comedy, but it’s also a drama. It is something that maybe all of us need to take a good long look at.

Scrooge was granted visions of Christmas Past and Christmas Future, and reformed his life. What happens to Adam Sandler in “Click” is like what happened to Scrooge, except with a lot more Christmases. He needs more than one lesson and he gets more than one lesson. Way more.In “Being There,” the hero Chance has spent all of his life watching television. When he wanders out to freedom and is threatened on the street, he clicks a TV remote control to get another channel.
In “Click,” Sandler plays Michael, an architect who is given a universal remote that’s truly Universal. With it, he can take control of his life: freeze a scene, fast-forward, reverse, mute the sound, select the chapters of his choice and even witness his parents at the moment of his conception (that’s, of course, in the “Making of” documentary).Of course.

 

The movie is being sold as a comedy, but you know what? This isn’t funny. Yes, there are some laughs, as when he finds he can turn the dog’s barking up and down, or play around with the settings for hue and contrast, or when he discovers the picture-in-picture feature that allows him to watch the ballgame no matter what else is going on around him. But the movie essentially involves a workaholic who uses the universal remote to skip over all the bad stuff in his life and discovers in the process that he is missing life itself.

Take away the gimmick of the universal remote, and this is what a lot of us do, and it’s sad.

Yes.

That’s me…

…and yes. That’s you.

It’s not just sad, it’s brutal.

There’s an undercurrent of cold, detached cruelty in the way Michael uses the magical device. He turns off the volume during an argument with his wife. He fast-forwards through a boring family dinner, and later through foreplay. He skips ahead to avoid a bad cold. He jumps to the chapter where he gets a promotion. Eventually, he realizes the family dog has died and been replaced by another, his kids have grown up, his wife is married to someone else, and he weighs 400 pounds. It happened while he wasn’t paying attention.

Surprisingly good 
25 June 2006I walked into the movie theater expecting to see Adam Sandler make a complete buffoon of himself. However, when I came out I was impressed. There was a depth to this movie I did not see coming, and it took me completely off guard. "Click" proved to be a powerful, emotional, and humorous piece of work. There was a certain philosophical message in this movie, in which I think, we all take for granted. Adam Sandler did a great job in playing a work-aholic.

Like many other Sandler movies, this one lingers studiously over bodily functions. After losing enormous amount of weight, for example, Michael plays with a big flap of loose skin around his stomach, plopping it up and down long after any possible audience curiosity has been satisfied. During an argument with his boss (David Hasselhoff), he freeze-frames the boss, jumps on his desk and farts. When he puts his boss back on “play,” the boss inexplicably decides his secretary has put feces in his salad. Anyone who can’t tell poop from lettuce doesn’t deserve to be a senior partner.

They teach you that in business school.

Maybe that’s why she decided to have a sex change.

But I digress…

Michael is surrounded by patient and saintly people. His wife, Donna (Kate Beckinsale), loves him but despairs of reaching him. She has that standard wifely role of complaining when he has to work late and can’t be at the swimming meet/Fourth of July party, etc. Michael’s parents (Henry Winkler and Julie Kavner) are sweet and loving but kvetch too much and talk too slowly, so Michael zaps right through the time he has remaining with them.

Like many of us do.

And then, before we realize it, they are all out of our lives forever.

We went ahead and chased that ‘almighty dollar”. We followed our careers, and we chased after the money to “make a better life for ourselves”, but you all know… it really wasn’t necessary.

As long as you can balance your TIME with your MONEY you will be fine. It is when you mistakenly believe that you need certain “essentials” instead of time with family and friends that things end up going wrong.

Here is the handy-dandy Metallicman cheat-sheet chart to help you all plan out your life…

And where are you on it right now?

It’s a valid question.

Now, let’s compare the work/life balance of Americans to the rest of the world, and let’s include the modern contemporaneous HR limitations about actions and behaviors on employees when they are not at work. Compare the USA work/life balance to the rest of the world…

America is truly the leader …

…in making a lot of money. But how about having a decent life to go along with that money that we all earn? I mean do we all have to end up becoming a mega-billionaire in order to be able to take a day off to watch our sons play a softball game? Do we need to work long, long days all the time, jsut to be able to afford one night out a week in a restaurant?

Think about it people!

The automobile

People now drive these amazingly expensive vehicles. They have all sorts of things. Such as heated seats, power windows, power seat adjustments, wifi, super-charged engines, custom colors and interiors, and all sorts of enhancements. And yeah. That is why they are all so expensive.

And to drive these cars, we all take out loans. Because the cars are so expensive.

But really, if you can get by without a car, you could save an enormous amount of money every month. And then use that money on the down payment on a house that you could rent out instead…

…or not.

The point is that you don’t really need an expensive car. If you have a great life, with great family and fantastic friends, do you REALLY need to have the most expensive car on the block, a ski boat, a pool in the backyard, and a five bedroom, three bath, McMansion?

I argue that you do not.

My co-workers got me a birthday cake, celebration at 3pm in the breakroom. Little did they know (nor did I) that the purpose of my prior meeting at 2pm with my manager was to lay me off. I was escorted out, I am in the parking lot, one of them just texted me now, photo of cake, saying they are “eating it in my memory”. hahaha I even requested that they all wear Hawaiian shirts, including me, so I got fired wearing my f___ing flowery Hawaiian shirt. Needless to say, I got no cake either.

And with that keep in mind that this need for making more and more money is a sickness. It is ingrained in our American culture and it is resulting in some very disturbing trends. Obesity, death rates, addictions, crime, and a general collapse of society. And any one who thinks that this all… this status quo needs to be preserved needs their head examined. It needs to change.

It needs to change.

Change starts with you.

I see cracks in the society that embraces this sickness, and while it all appears worrisome, it shouldn’t be. It should be welcomed. Because change is long, long overdue. America has to change. It’s citizens are dying in the society that the government has constructed for it.

Change starts with you.

Make your life a good one.

Do you want more?

I have more posts in my life and happiness index, here…

Life & Happiness

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

 

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 

 

 

 

Hemi-Sync Radiance (Full Package)

This is an introductory post.

This post contains Hemi-Sync music / audio tracks. Hemi-Sync is a method of control that uses sounds to center the activity in the brain. When the brain is fully centered, it becomes easier to exist within this reality. Or even more specifically, easier to be able to use your consciousness to control your brain.

The files are FLAC files. Not MP3.

They should play on almost all cell phones and computers. If you have any doubt you can probably download an APP that will allow you to listen to them.

MP3 is the most popular format while FLAC (Free Lossless Audio Codec) is a less known alternative. The main difference between the two is in how they compress the audio information. MP3 is a lossy format where parts of the audio information that people are not likely to hear are discarded. On the other hand, as the name suggests, FLAC is lossless.

-Difference Between MP3 and FLAC | DifferenceBetween

Hemi-Sync contains frequencies and audio wavelengths that are traditionally considered as “unnecessary” and thus is often removed using an MP3 format to cut down on the file size. That is why they are in the FLAC format.

MP3 vs. FLAC

This Post

This is an introductory post.

It engages the listener to Hemi-Sync, and gives them an experience as to what consciousness centering is all about. Do not expect any great experiences, enlightenment or seeing visions. It doesn’t work that way. Instead, it retrains the brain to be better organized. For some people they find this particular set of music very relaxing and calming. For others, who prefer an over-wrought mind, find it uncomfortable.

The link will download a ZIP file. Just place it where you want, and copy the files in order, to the player of your preference. You should listen to them in order in one sitting. It will be around a half and hour of listening.

This is an introductory post to give you an idea of how the brain / consciousness centering activity works.

Radiance (Full Package)

“Immerse yourself in an ethereal “homecoming” of the soul with the frequency-raising music of Aeoliah and Hemi-Sync Aeoliah is internationally known for his healing and uplifting music that nurtures body, mind and spirit. Radiance combines the harmonizing and transcendent effects of Aeoliah’s music with powerful Hemi-Sync meditation frequencies to transport you into higher more expanded states of consciousness. The spiritual communions made possible by this divinely inspired composition are emotionally engaging; the feelings engendered deeply touching and profound.”

“Use for massage and energy healing work or for deep, experiential meditation. Instruments featured: piano synthesizers, flute, voice and angelic choir. Length: 61 minutes. Supports massage and energy work, deep meditation Features Hemi-Sync sound technologies to balance and focus the brain.”

  • Harmonic Resonance 10:44
  • Starseed Sanctuary 10:10
  • Inner Chamber 6:11
  • The Treasure 10:18
  • Hearts of the Future 6:03
  • Isis Maria 5:06
  • Ascension Activation Portal 8:29
  • Stargate 3:30

The files

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/01-Harmonic-Resonancegood.flac" text="Download 01" target="_blank"] 01-Harmonic-Resonance (FLAC, but slow download)

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/01-Harmonic-Resonancegood.zip" text="Download 01" target="_blank"] 01-Harmonic-Resonance (ZIP file)

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/02-Starseed-Sanctuarygood.flac" text="Download 02" target="_blank"] 02-Starseed-Sanctuary (FLAC, but slow download)

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/02-Starseed-Sanctuarygood.zip" text="Download 02" target="_blank"] 02-Starseed-Sanctuary (ZIP file)

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/03-Inner-Chambergood.flac" text="Download 03" target="_blank"] 03-Inner-Chamber (FLAC, but slow download)

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/03-Inner-Chambergood.zip" text="Download 03" target="_blank"] 03-Inner-Chamber (ZIP file)

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/04-The-Treasure.zip" text="Download 04" target="_blank"] 04-The-Treasure (ZIP file)

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/05-Hearts-of-the-Future.zip" text="Download 05" target="_blank"] 05-Hearts-of-the-Future (ZIP file)

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/06-Isis-Maria.zip" text="Download 06" target="_blank"] 06-Isis-Maria (ZIP file)

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/07-Ascension-Activation-Portal.zip" text="Download 07" target="_blank"] 07-Ascension-Activation-Portal (ZIP file)

[easy_media_download url="https://metallicman.com/wp-content/uploads/2021/02/08-Stargate.zip" text="Download 08" target="_blank"] 08-Stargate (ZIP file)

Important note

This particular group of audio files are perfect for undoing the noise, the “news” and the hassles of daily life. They serve a “reset button” role in re-centering the position of your consciousness within your brain. It is an absolute necessity if you really want your affirmation prayers to work efficiently.

You can play it while you are walking or resting.

I think that resting is best, but you need to wear headphones or ear-buds for the effect to manifest. You just cannot simply have it playing as noise in the background. It will not work that way. The ONLY way that this will work is if you are wearing headphones (ear buds), and either resting, exercising or walking.

With the best (by far) way to get the full effect of the system is to lie down in bed and allow the system to work.

Details

Label: Monroe Products
Release Year: 2007
Genre: Metamusic
Sample Rate: 44100 Hz
Channels: 2
Bits per Sample: 16
Avg Bitrate: 640 kbps
Codec: reference lib
FLAC 1.3.2 20170101
Source: CDRip (AccurateRip verified)

Do you want more?

I have more posts in my Hemi-Sync Sub-Index here;

Hemi-Sync

.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

Metallicman Donation
Other Amount:
Please kindly enter any notes that you would like to attach to the donation here:

 


	

Contemporaneous China through the eyes of NZBZ. Lets spend some time for humanity. MM is tired of hit and run fellows of ill intent.

You only see a handful of comments on MM. But in truth, I average many more comments than what I allow to present itself on the site.

Just because you don’t read the disparaging comments, doesn’t mean that they don’t exist. I get a “shit load”. I just don’t print them. It’s all pretty much what you would expect. It’s nonsense like this…

I regret having read your articles. At least you had the decency to quote Alexandyr Solsenetzyn. Frankly I would rather perish than live in China, if I had to choose. 

You’ve turned your back on the land of your fathers. 

It’s all pretty irritating.

Here’s another asshole.

Moreover, there was NO HOLOCAUST of 6 million Jews, and in fact this not only an egregious lie, but a truth inversion. 

It was the Germans who were holocausted at the end of and after the war in far greater numbers than the great 6 million Jew hoax

Which is why I don’t post any comments automatically and why I pre-screen everything. If someone wants to get on a “soapbox” to repeat the narratives from the Alt-Right, or mainstream they can do so. Just not on this forum.

You’ve lost the plot, clearly. All I have to do is go to LiveLeak and search for “China accident compilation” to know that everything you’re saying about China is false. 

“False”, eh?

Why would I even want to check anything on Google or “LiveLeak” when all I need to do is open up my window and look outside?

Um. Maybe it’s because I live here.

I do not have to check with the Google department of propaganda to see what China is like. I just look out my window. I just walk out my front door. I just pull out my cell-phone and take a picture. Like this one.

.

Here at MM we have ZERO tolerance for this nonsense. Whether it is a hard-Right racist, or some idiot trying to troll me…

You did not answer my claim that in “many parts of China, people still live in huts and heat/cook with yak dung.” Your picture is meaningless. 

Are there, or are there not, millions of people in China still living in huts and heating/cooking with yak dung? 

How many of them would you guesstimate? 

1M? 10M? 100M? 300M?

This is not a “freedom of speech” (anything goes) website. Before you try to comment, you should read my commenting guidelines. I have them in two locations. [1] Main Index, and [2] The Grey Web. Commenting is a privilege.

This is my place.

I am God here.

I really do not need the bullshit that seems to saturate everything about the United States today. The United States is completely going to shit, with many people just wailing in near-insanity. The American government is out of control. The American companies are out of control, and everyone is trying to grab whatever they can before the entire house of cards collapses.

Everything is being kept propped up with lies and a manipulative media.

Do you think that this kind of “news” is a sign of a healthy society? A healthy population? A healthy government?

...have a little fun and whatever you do - turn off the news! I have been killing off my social media accounts and you would be amazed how much more peaceful life is without them! Why try to impress people you don't like or even know? 

-prepper365

Meanwhile, I live in China. With my family.

I just want to be left alone, though there are elements back in the USA that want to drag me, kicking and screaming, back into the maelstrom and inferno.

It's the usual malevolent players. You know which ones. The same folk that China has perma-banned from ever setting foot near China.

My life is good.

My friends are kind. My food is delicious. My happiness is special.

I just report on what I experience. This is quite different from what you will find elsewhere on the internet. There, most people report and repeat what they have read about on other internet websites.

.

So please bear with me as I take my time to pre-screen each and every one of your comments. And I know that it is frustrating for you. You ask “Did my comment get posted? Why the wait?” Then, maybe you check back a couple of time throughout the day to see what is going on. Maybe you reload your browser. Eh?

But I need to do this.

These “hit and run” jackasses don’t even bother reading the posting guidelines in the top index. They just assume that this site is just another website like all the rest, and they act accordingly.

And so MM gets flooded with nonsense like this…

This is not correct. You are spouting nonsense!

Hitler DID rebuild Germany, after taking the reigns of power back from the genocidal and parasitic Rothschild Jewish bankers, who had already spread Jewish communism into Russia and Eastern Europe, when he cancelled the undeserved & unwarranted post WW1 Treaty of Versailles land grabs and crushing debt payments and backing the German Deutschmark by German Labor, and outlawing usury (Jewish economic tyranny/terrorism via debt and interest). He also setup fair and equal trade deals w other countries like Mexico & other South American countries. Once the parasitic Jew was rightly removed from power, the economy took off like a rocket. All because of Hitler.

Typically, I am not reporting what others said (well, there are exceptions), but when it comes to China and MAJestic I report my own personal experiences. And those things are what seemingly gets everyone so darn upset.

No one cares about my posts on food, the nature of the universe, souls and consciousness, KTV’s, cats, art and literature. Which are the posts and articles that I am most proud about. But boy oh boy, do they get “hot and bothered” when I dare talk about China.

How dare I say anything good about those “Godless Communists!”

Jeeze!

If you don’t like it, or feel that it makes America look bad, well that’s just too terrible. If you want to see what China is like you don’t read the American “news” with opinions and articles on Reddit about what others think. You get an airplane ticket and you fly on over.

it’s not difficult.

All in all, it’s pretty simple.

The life that manifests around you in the world; in this reality, is not due to popular opinion. It’s generated by thoughts. Primarily YOUR thoughts. Not the thoughts of those around you. As THIS post most clearly explains.

Here’s what I wake up to. Every day.

View from my living room.

.

Well, it’s time for some humanity.

Webster Dictionary 
Humanity (noun) the quality of being human; the peculiar nature of man, by which he is distinguished from other beings. Etymology: [L. humanitas: cf. F. humanit. See Human.] Humanity (noun) mankind collectively; the human race.
What does humanity mean?

www.definitions.net/definition/humanity

As time moves forward I see how culturally, socially and intellectually isolated Americans are getting. As the American government, the American companies, the American oligarchy clamps down harder and harder against the average person they become more and more isolated, and….

…well neurotic.

neurotic. ( njʊˈrɒtɪk) adj. 
(Psychiatry) of, relating to, or afflicted by neurosis. n. (Psychiatry) a person who is afflicted with a neurosis or who tends to be emotionally unstable or unusually anxious.
Neurotic - definition of neurotic by The Free Dictionary

www.thefreedictionary.com/neurotic

The rest of the world is growing. They are moving forward. They are moving away from the old ways of doing things, and working on providing a far more prosperous life for their citizens.

Typical China.
Typical China.

America is unaware of this. instead, Americans cover in fear. “They” are “going to steal our democracy!” they chant.

It is sad and (I suppose) alarming. But it’s not my problem.

It’s YOURS.

Typical America.
Typical America.

.

Meanwhile inside of China, everyone is starting to close up shop. The CNY is fast approaching and everyone is gearing up for the month long holiday. And this week is full of business dinners and celebrations. Like this one from one of my friends.

They are having fun.

It’s been a difficult year for everyone, but that hasn’t stopped them from getting together and celebrating.

CNY party inside of China.
Company CNY party in China.

.

Some things that I want to point out;

Everyone is holding up these red bags and red envelopes. Those are monetary gifts from the company. Typical bonuses are one to three months salary in CASH. Thus the bag. Three months in $100 bills are too thick to put into a red (colored) envelope. Plus, who knows what other surprises are placed in those red bags, eh? How big were your bonuses from your company this year?

Notice that there are children there. Yes, China is very family-friendly. You can bring your children to company parties, and get togethers. You don’t need to worry that some American “alphabet” government agency will not fine or arrest you for “child labor”, or “change your insurance” because of the addition of minors to an adult venue. What was your company end-of-the-year party like? Did it include children and families?

Stuffed bulls. 2021 is the Year of the Bull. So it is rather commonplace to give stuffed animals and display picture of the year’s animal. 2020 was the year of the rat. Not very many people retained their stuffed rat plushies, though. Did your company hand out presents or gifts aside from a ball-pint pen that had the company logo? What were the most popular gifts that your company handed out and gave to you?

Year of the rat.
Stuffed rat doll.

Drinking alcohol. There are glasses of red wine and of course white wine. All Chinese business and social affairs include alcohol. It’s a cultural norm, and the idea that children will watch the adults drink is culturally normal. Doesn’t it feel good to relax with your coworkers and down a few drinks? Perhaps you might have a few humorous stories that you might want to share, eh?

Happy CNY you all!

American “news” reports on CNY…

Tell me please, how the American “news” is reporting all these events. It’s a huge event. Half of the world are celebrating it. Nothing in America. It’s not “important”. So what is “important”?

So, let’s check out the American “news” and see what they are reporting. First up, Yahoo!

Yahoo! Screen capture 4FEB21 at 9:47am China Time.

.

Nope. No reporting what so ever.

Next up is MSN…

MSN news.
MSN screen capture 4FEB21 at 9:55am China time.

.

Nope. No reporting what so ever.

All in all pretty much all stable. Both Yahoo! and MSN seem to have a good mixture of light stuff and heavy stuff, and seems to put the political stuff off to the side. In other words all the DOOM and GLOOM doesn’t really dominate.

So where are all these maniacs coming from that are invading MM? Where do they get all their ideas about “Jews” and “China”?

Next up… FOX “news”…

FOX news.
FOX “news” screen capture 4FEB21 at 9:59am.

.

You know guys, it’s kind of a mystery to me.

None of the big American “news” outlets seem to be all that bad. They seem to post a mixture of “news”. I just am unable to point any kind of finger towards why some people would get these kinds of radical ideas that they try to bombard my comment section with.

So I checked out FOX world “news”.

Look what I discovered…

Hey! Did you know that China is trying to infiltrate American politics? I didn’t. Most Chinese, and that includes the government, just DOESN’T GIVE A RAT’S ASS about American politics. Everyone here (in China) thinks it’s just one big loony bin.

.

America…

loony bin
Insane asylum. The word “lunatic” comes from the word for “moon”; madness was associated in many cultures with the effect of the phases of the moon on the human mind. From lunatic came loony, and loony bin was where insane people were incarcerated. The phrase is now considered insulting in the extreme, as are “booby hatch” (originally a covered passageway down a ship deck), “funny farm,” “drool academy,” and “foam rubber city” (a reference to padded cells).

Posting about humanity.

Ok. Enough of my rant-a-thon.

rant ( plural rants ) A criticism done by ranting.  A wild, emotional, and sometimes incoherent articulation. A type of  dance step usually performed in clogs, and particularly (but not  exclusively) associated with the English North West Morris tradition.

rant - Wiktionary

Just bear with me when I check your comments. I have other things going on in my life and I am not tethered to my PC 24-7. I try to check at least two times a day, and often much more than that. I’ve found that I must guard against spamming, track-back assaults, commenting barrages, and other such nonsense. And please forgive me if you want to hijack MM to become a soapbox for your anger and pent-up angst.

Let’s get to what this post is all about.

It’s about humanity.

This post is about some videos by NZBZ. They are a Pop / RAP group of of China. They are pretty representative, and their videos show scenes and life about what modern China is all about. As well as contemporaneous Chinese pop lifestyle. So when I read the pure hatred and bullshit that spews forth from the “five eyes” out of America, I just shake my head, and get back to my real life.

Five Eyes Alliance: Everything You Need to Know | Business ...
https://www.businessleader.co.uk/five-eyes-alliance-everything-you-need-to-know/73523

2019-9-20 · Before 2003, very little about the five eyes alliance  was known to the public. Things got clearer in 2013 when a former NSA  contractor, Edward Snowden, leaked some documents connected to the Five Eyes alliance. These documents brought to light the widespread surveillance on the public’s online activities by this alliance.

A life not unlike what is portrayed in these videos. If you want to see what China is, then check out these videos. They will help paint a picture of Chinese culture and society. It’s one (at least for now) that is inclusive, traditional, respects diversity, is helpful, positive and rewards people based on merit.

What. Is. Wrong. With. That?

I put the videos here on MM, but just have a link instead of a photo to access them. I think that the page would load faster that way, and easier for you all to enjoy.

南征北战NZBZ – 二十多岁

“Twenty Years Old”.

What kind of difference are you going to make in the world? You are twenty years old, and the entire world awaits to see what you are going to do. Do not be shy. Make a difference.

.

You can view the video HERE on MM. Comes up in a different window.

南征北战NZBZ – 冠军

“Champion”.

To become great and realize your full potential, you must get down deep and reach down. Strive. Push, and be the best you can be. Nothing is impossible.

.

You can view the video HERE on MM. Comes up in a different window.

南征北战NZBZ – 骄傲的少年

“Proud teenager”.

The Chinese society has gone through oh so much. The Chinese people have had to deal with so much. The individuals all had to put themselves aside for the better good, and now after decades, and centuries of strife, striving and pushing, they have achieved so much. And more is coming…

.

You can view the video HERE on MM. Comes up in a different window.

南征北战NZBZ – 生来倔强

“Born stubborn”.

Face up to history and face the future bravely. Perseverance of the heart and footsteps… No one can stop it… I don’t care if people laugh at me…

.

You can view the video HERE on MM. Comes up in a different window.

南征北战NZBZ – 搓搓

“It’s a slug”.

We can all change. Maybe we come from a humble background, but we can change. Maybe it takes ten years. But we will change. Keep your feet on the ground, and follow that road before you. Believe.

.

You can view the video HERE on MM. Comes up in a different window.

南征北战NZBZ – 我的天空

“My Sky”.

This song is perhaps the Anthem of Chinese youth today.

Goodby to the old, and hello – hello to the new. To the new life, and to the new beginnings. It’s all possible… and watch what I can do.

.

You can view the video HERE on MM. Comes up in a different window.

南征北战NZBZ – 哈尼宝贝

“Hani baby”. Ha Ni Bao Bei 哈尼宝贝.

It’s a love song about a girl. It’s also very popular in China. Kind of catchy. Upbeat.

.

You can view the video HERE on MM. Comes up in a different window.

南征北战NZBZ – 别无他求

“There’s no other desire”.

Some Great Reviews;

Listener 1: “A particularly meaningful song dedicated to left-behind children. In fact, from the early songs such as “My Sky” and “Proud Boy”, it is nothing new for us to speak up for left-behind children today. It is also inspiring and full of positive energy, and it also proves our uniqueness with songs. The realistic lyrics plus the melodic euphemism lyric, let listen to people unconsciously fall into it. “

Listener 2: “It is really rare for The Southern Campaign to personally produce the lyrics and music creation of the new song ‘Nothing Else’. The whole song is melodious and melodious, and under the deep and vigorous voice of the three, it expresses the yearning of the left-behind children for their parents on the other end of the phone, as long as you are there. “

Listener 3: “the song” has no other desire “inspired a public welfare activities, last year, fighting NZBZ led volunteers to the countryside, all the way to see a lot of left-behind children, not their parents around them, helpless and lonely desolation in their world, and they have sprung up in thinking inspiration, record the miss and thinking of leaving. “

Listener 4: “To love someone is to love them until seven points are enough, but there are still three points left to love yourself. Love is too full, for him is not happiness, but a burden. The truth of the world, originally is so simple, whether love things, or lovers, to be temperate. Full moon is deficient, full water is overflowing, sometimes, too much love is not love, but great harm. “

.

You can view the video HERE on MM. Comes up in a different window.

Hot Tub Time Machine

You all know that we can find inspiration in the strangest things. And in this post, I used the Chinese music group NZBZ to help describe China as what it is today. It is inspirational. And only a fool, a real goodness-to-gracious fool, would think otherwise.

China is taking the world and society seriously. The people, the musicians, the workers, the society are all working together. The entire nation came together and worked as one during the 2020 pandemic. This was not an accident. People realize that when they work together, there is a synergy.

1 + 1 = 5

We become greater though collaborative effort.

And you know what, we all can work together to make the world a better place. Not by being the “lone wolf” struggling to climb to the top of that pile of money. But working together with others to make the world a better place to live in.

China is showing us the way.

Don’t like what you hear on the “news”? Well, you can do something about it.

Yes, you.

No, I’m not talking about building a school, investing billions of dollars in one social program or the other. I am taking about a million people each doing one small thing. Be kind. Pick up the trash on the road. Help that dog or cat that needs a home. Be nicer to the cashier who had a bad day.

Show some humanity.

In the American comedy “Hot Tub Time Machine” the characters go into a Hot Tub and go back in time. They end up reliving one of the pivotal moments in their lives. And then realizing, with the eyes of experience placed in their young bodies, that they can make a difference. That they can change things. That they can have a good life, and make the world a better place.

Hot Tub Time Machine. You can make a difference.
Hot Tub Time Machine.

And you know what?

You don’t need a “time machine” to do it.

You can do it right now.

Say “hi” to the person next to you. Buy a co-worker a cup of tea or coffee. Open the door for the old man, or help the girl on the bike who dropped a package. And if there is nothing you can do… then just smile.

That’s all you need to do.

Just smile.

The world today that you see on the “news” is in many ways the direct result of bad actions by bad people. That are magnified a million, billion times by electronic amplification. When you have a jackass typing bad things on the computer in the basement of his rented house, those words of hate and disgust is then transmitted to a million others who then have to feel his words manifest into disgusting influences.

Stop it.

The Deer Hunter

Robbie never made it.

It was hard growing up in Western PA. The steel mills were all shutting down. The coal mines were closing as well. Most of my friends couldn’t find steady work. At best they did gig jobs or landed part-time work at minimum wage. When they weren’t working, they drank. They did drugs. They watched television or played games, or stared into the glowing blue monitor of their computer.

The deer hunter.
Western Pennsylvania. Scene from the movie “The Deer Hunter”. It was filmed near the house where I grew up.

Meanwhile entire towns and communities fell apart.

Robbie became a statistic.

But not to me.

We used to talk, and drink. We would go out fishing, take walks in the woods or just ride in his International Harvester Scout (a Jeep like vehicle) where we would talk. He was a kind person who wanted to do good, but there just wasn’t any positive outlets for him.

There was nothing there.

He tried to make walking paths in the wood so that people could enjoy nature. But that got him arrested for trespassing, and destruction of “Pennsylvania game lands”. He tried to take in lost dogs, but all that ended up was flooding his mobile home house with nine ravenously hungry German Shepard’s. He was always talking big, and hustling for a buck or two.

But gainful employment always eluded him.

Western PA.
Western Pennsylvania. My heritage.

He would try to get work. He was personable. He was kind and likable. But the America where he lived did not have a role in society for him. In America you either make money or you are useless. And he, as hard as he tried, couldn’t break through the limits.

He died alone. He died with a needle in his arm in darkness with the television on, and him lying cold on his brown plaid, distressed, living room couch. A can of beer on the coffee table. And a job application under the ash tray.

It’s not his fault.

It’s the society that permitted this to occur.

What are YOU doing to make the world a better place?

No, I’m not talking about writing a letter to the editor demanding that taxes be raised “for the children”. Nor am I talking about censoring anyone.

I am talking about you volunteering. I am talking about you contributing. I am talking about you stop allowing those with negative, hateful, or damaging language from affecting society. I am talking about you doing something physically that helps your community.

In our world, you must give to receive. In Pennsylvania and in America, so many have taken, taken, and taken for so long that those that are left behind are left to rot and die. Not just figuratively. They are dying literally.

When you go out today, just be more aware of how you affect the world around you. Your thoughts, your actions, and your participation are required to make the world a better, safer, and more desirable place to live.

Do you want more?

I have more posts in my China Music Index here…

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index
  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

If you enjoy what you see, it would be helpful if you could assist in hosting this forum. A donation would be appreciated.

Some Christmas videos out of China. I hope that you enjoy them.

This is a quick and simple post. It’s just some Christmas videos out of China. Contrary what what you might read, China celebrates Christmas robustly. It’s just celebrated differently. That’s all.

These are not the best videos, the most profound or the greatest. They are simply the top listed ones on the Chinese music application KouGuo. (Which means nothing, actually.)

First up is a rather old music video. This one was made in the 1990’s (my guess) but pretty much illustrates what the meaning of Christmas is for many people in China. I would say that it’s not so much about buying presents, and “spreading good cheer” as it is about people and relationships.

.

The next up… an Enya Christmas. This video is not a music video from the 1990’s like the first one. Instead, this is contemporary views of China on Christmas day. My guess is that it is either Shenzhen or Guangzhou. But I don’t know for certain. It’s a pretty interesting take on what it is like in China. Music by Enya placed with snapshots of daily life inside of China on Christmas day.

.

And here’s another music video. This one is again older. Why so many older videos? Well, think about it. Why does everyone still watch “It’s a Charlie Brown Christmas”? It’s the same thing. Nostalgia.

Here’s what they watch in China…

.

Of course, the media is overflowing with all sorts of American -produced Christmas themed music videos and “specials”. But do you really want to hear another rendition of “It’s beginning to look a lot like Christmas” by Michael Bublé?

Here’s some typical Chinese Christmas fare…

.

Of course all the television shows have a segment devoted to Christmas themed events and amusements. Much like they do in the United States. Here’s some typical fare…

.

Another music video.

.

I do hope that you enjoyed this post.

Do you want more?

I have more posts in my Music Of China Index here…

Chinese Pop Music

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index
  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

If you enjoy what you see, it would be helpful if you could assist in hosting this forum. A donation would be appreciated.

How to play the KTV (and bar) dice game in a cup when you are in China. The various rules and games, and some fun advisement’s

In this article we are going to look at some of the most common games that drunk adults play in China. It’s a fundamental part of Chinese culture. This is true whether you are in a bar, or a KTV, or just messing around eating BBQ outside at 4am. Playing with dice is a (seemingly) national pastime.

We are going to talk about two subjects primarily…

  • Drinking alcohol.
  • Playing games in the KTV.

I am covering it here as part of my business KTV section. You don’t need to go to a business KTV to play it. But knowing how to play the game is going to be really helpful to be able to control your drinking. Otherwise, you will be too drunk to do anything when it comes time to go to your hotel room.

You do NOT want that.

Oh, HELL no!

You need to control your alcohol intake, and part of being able to do that is to understand the drinking games that you will play with your companion.

So listen up.

Ok. So here you are….

You have had a very fulfilling meal. You impressed your hosts with your drinking ability, and you gave them great face by eating all sorts of delicious Chinese food. Now it is time to play. So, arrangements have been made, and your are driven into a non-descript building with a tiny-tiny sign that says KTV on it, and you depart the car in the basement. Your aide helps you into the elevator and you find yourself being led to the KTV room for the evenings festivities. You’ve already selected a companion and after you two clink glasses of beer together she picks up a big plastic cup with five dice inside of it. What now?

What now?

Here we are going to discuss some of the dice games that you can play. You only need to know one or two. Then just use that as a basic of getting drunk. Have fun, and don’t get too caught up on what you are doing. Just relax, and have fun.

It is part of the Chinese culture, especially among Chinese men, to drink beer or Baijiu when gathering with friends and family during hotpot dinner or KTV sessions. So be ready to 干杯gān bēi (drink up). It is part of Chinese culture to toast with people that are with you in order to make them feel that you consider them and care about them. If someone toasts with you ten times in ten minutes, just go with it and drink up ten times.

-6 Interesting facts about Chinese KTVs

The beauty of China‘s drinking games is that they cut down on the rules, leaving plenty of time for pure, unadulterated imbibing. Whether your preferred tipple is a beer or a cocktail, there’s a game to suit you.

Strong boss.
In China, a very successful boss is someone who can drink very strong alcohol and hold his own and maintain “face” while the rest of the world watches on.

.

Realize that everything listed here is conducted with healthy quantities of alcohol. If you cannot or will not drink alcohol, I would advise you NOT to come to China.

Warning! Before going to China on business or for pleasure, make sure you understand the cultural implications of drinking, or not drinking, alcohol, or you will most likely suffer unwanted consequences. It’s not always lighthearted fun and games in China.

To boil down this complicated issue to one sentence: You can have friends, be rich and drink …. or don’t drink and be lonely and poor. 

While that might seem overly exaggerated to some, anyone familiar with drinking culture in China can tell you that at best, this is a slightly black and white, yet mostly accurate, description.

-China Educational Tours

Understand China well; If you cannot drink alcohol, they will take your money, but you will have ZERO respect.

Non-drinker.
In China, the ability to drink hard alcohol in large quantities is a measure of your worth. If you cannot do it, you will be judged as something undesirable. It is better to try to handle the alcohol and throw it all out in the privacy of the bathroom than lose face to your peers of business associates. This is a Fair Warning.

A Quick Review

The dice games usually occur at a KTV after a full night of eating and drinking in a restrurant.

It should come as no surprise that China has more of a collective culture and less of an individualistic one. Therefore, in social situations, things are done more as a group and less as an individual. Drinking is done no differently.

You’ll be at a restaurant, sitting at a round table with white table cloth, and 10-20 other people. Depending on the occasion, there could be multiple tables (for weddings, it’s of course the entire room). Snacks and then food will be served, along with copious amounts of alcohol.

However, usually everyone drinks together, at certain times (not necessarily whenever they feel like it) and rarely alone. Someone next to you may say “quànjiǔ” which means “let’s drink together” and is a way for them to propose a toast. Or, the most senior host may propose a toast for the table before the junior host does the same.

Throughout the evening, there will be many, many, truly many toasts – both small toasts between you and the person next to you or on the other side of the table, and large toasts for the whole table.

Drink alcohol.
If you cannot drink alcohol, don’t even bother coming to China…!

.

If it’s a wedding or other multi-table event, people will gradually begin to just walk from table to table toasting almost everyone they see.

Note: for anyone not familiar with the custom of “toasting”, it means that drinking alcohol with the toaster is pretty much obligatory.

The drinks are usually in small shot glasses (sometimes only half the size of a regular shot glass) and will be beer or red wine if you’re lucky, baijiu if you’re not.

Toasts are little more than the word “Ganbei”, although they can be longer. For those curious minds asking,

“Would it be ok for someone to toast me with Ganbei and instead of downing the drink all at once, I just take a sip (as they down theirs)?” 

The answer – NO! Not really.

It is an action considered to be RUDE by the majority of people. No one is going to force you to shotgun your drink, but it leaves an awkward, slightly offended moment, as if someone goes to high-5, or fist-bump, another person and the other person just walks by. In the end though, it’s your choice.

If invited to an event – be it a business-type meeting, a wedding, a graduation, etc. – you will more than likely, be EXPECTED to drink.

And it IS expected.

And there will be pressure to do so (more pressure if you’re male, less pressure if you’re female).

Your glass will be filled (and refilled), and refilled yet again.

People will make toasts or raise their glass towards you, and … most importantly, it will be considered incredibly rude to refuse.

China does not give the same consideration to individual preference regarding drinking as is normally done in English-speaking countries (especially in Canada and the US). This is something that they have never of. It is something that is simply NOT done.

In other words, “No thanks, I’m good” is not usually considered acceptable and instead will likely be considered rude, insulting, and offensive.

To decline an offer of drinking is to insult your host.

To illustrate, imagine being invited to someone’s house for dinner and doing one of the following: 1) not eating or drinking anything, all while sitting at the table with a disgusted look; 2) going to someone’s house for dinner and telling the host their house is ugly, their furniture looks cheap, their decoration is in poor taste.

Refusing a drink in China is considered somewhere in between those two illustrations. It is a MAJOR Faux Pas.

Faux Pas
A faux pas is a socially awkward or tactless act, especially one that violates accepted social norms, standard customs, or the rules of etiquette. The expression faux pas comes from French, where it means "false step", "misstep". It has been used in English for over 300 years.

-Wikipedia
Drinking.
In China, you will discover that even tiny petite little girls will be able to drink very strong alcohol. If you cannot compete against them, how can you compete against hard steely company bosses? China is all about relationships and the competition building them.

.

Rejecting the drink is felt as a rejection of the person offering the drink. It is not seen to have anything to do with a person’s personal taste preference, comfort level, tolerance, or previous drinking habits. Thus, the unintentional insult that a western may convey when turning down alcohol, will spill over into, and harm, business and social relationships.

Beware.

In between toasts, there will be lots of eating, joking, storytelling (probably all in Mandarin unless you’re in a bilingual, bicultural group), and some drinking games as the night progresses. All the while, people will be toasting one another faster than a swarm of bees hitting a flower parade.

Ten to fifty toasts per hour is a pretty reasonable expectation.

Once dinner is over, the party could continue into the wee hours of the morning at a KTV or massage parlor. If it’s a business dinner, and you want to sign a contract or close a deal, expect the night to go ‘til late. I’ve been out as late at 7am the next morning.

Check my other posts on this subject on how to control the timing and events.

Loser #2.
Non-alcohol drinkers are viewed within China as losers, thief’s, untrustworthy, dangerous, sly, and a big problem. It’s sort of the way that the homeless in San Francisco are viewed as they shit on the sidewalk.

Some advice

The following is from an article titled “Ganbei – Chinese Drinking Culture” . Reproduced as found, minus the pictures. Edited to fit this venue, and all credit to the original author(s) and all credit to the website at the link listed. I would suggest you visit this most excellent site.

Toasting culture and rules

It’s bad enough if you refuse a drink, don’t insult your hosts more by messing up the toasting rules too.

1) Toasts are not usually long, draw-out affairs that take minutes to complete. These types of toasts are saved for more ceremonious occasions. Often, a “toast” simply means someone turns in your direction or physically approaches you, lifts their full (shot) glass and says the magic word, “Ganbei!”. That’s it. You’ve now been toasted (or, colloquially speaking, ‘ganbeied’) and you’re now expected to grab your full (shot) glass and drink. Don’t have a full glass? No worries. The person doing the ganbeiing will gladly fill it for you before proposing the ganbei.

2) Toasts are somewhat of a power move in China. The person giving the toast is seen to have the power and the person accepting the toast is somewhat acknowledging that power. Therefore, the most senior host at a banquet will usually offer the first toast — accidentally stealing this opportunity from them is very bad form indeed. This ‘power’ issue is more the case early on in the evening than later after everyone is sloshed, but never forget what toasting truly represents in China.

Toasting.
If you cannot handle your alcohol, don’t bother coming to China.

3) Stand and raise your glass for formal toasts when others at the table do so.

"干杯"(Gānbēi)is the drinking toast equivalent of “cheers” in English. However, beware of the fact that “干杯” literally translates to “dry glass.” You WILL be expected to finish your drink after the toast. 

"我敬你一杯” (Wǒ jìng nǐ yībēi) is used to toast to a specific person. The person being toasted to is expected to at least take a sip of his/her drink. 

A more generous way of making a toast to someone is “我干了,你随意” (Wǒ gànle, nǐ suíyì), which means “I will finish my glass, but you can drink at your leisure.”

4) In general, it’s a good rule to follow those around you, especially the elders at the table.

5) Avoid taking a drink alone. Only drink with the group. Never singularly – Western style. You should wait until a toast is given and then drink with the group. Or, wait until someone decides to toast you. Or, if you’re thirsty and really want the drink, try to find someone who you think is probably on, or below, your ‘social level’ (for example, a similar or lower rank in the company or of similar age in a social circle) and toast them.

6) You’ll want to avoid, at first ,toasting people significantly higher in rank, or older, than you as this can be seen as disrespectful (see #2). Unlike in the West, toasting the senior, important members of a group is not necessarily taken as a token of respect from the toaster to the toastee, but instead a slightly disrespectful gesture that implies you feel you are more important than he/she is. If you’re brand new to the group and you have no idea who anyone’s position is and you accidentally toast the boss at the beginning of the evening, it will usually be seen as humorous and you’ll get a pass for being a foreigner who didn’t know better. As the night wears on, it will become more acceptable to toast those of higher rank and age if you choose to do so.

Bosses, and Leaders can initiate toasts.

Friends and colleagues can toast to each other.

Avoid toasting upwards. You toast on your own stratified level, and only toast upwards when invited.

7) Use your right hand to hold your glass when toasting and drinking. You can place your left hand under the glass to show greater respect while someone is giving a toast if you would like. It’s a two-handed toast, and very respectful.

8) When you clink glasses together, always lower yours and clink the top of your glass a bit lower than the person(s) you are toasting – this shows respect. You won’t be thrown out on your keister for accidentally clinking your glass higher than theirs, but you’ll get bonus points for properly following the cultural norms.

When clinking glasses, the junior people should always hold their glass lower than those of senior folks. This not only applies to business situations, but also to family dinners (i.e. son-in-law will hold his glass lower than father-in-law).

If you are late to the party, you are expected to punish yourself by drinking. Most latecomers actually enjoy the “punishment,” as it allows them to catch up to the rest of the people at the party.

Whoever proposes a toast is always expected to finish his or her glass. 

Lastly, it is considered extremely rude to refuse a drink after someone toasts you. The amount you drink after someone toasts you is tied to the amount of respect you are showing them. Of course, “drying your glass” is considered the utmost respect at the dinner table.

Getting down to business

In many western countries, people often do business sober, or mostly sober, and then get inebriated afterwards to celebrate.

China kind of does this in reverse.

Drinking, especially drinking to the point of getting drunk, is a way to establish trust between individuals or groups. Without it, most Chinese feel uncomfortable moving forward with people/a person who they don’t trust. It’s a big NO-NO when doing any kind of business, or having personal relationships inside of China.

So, a question many westerns have is, “When should I get down to business?” Well, don’t do it at the table. You do not do it there. And you don’t even start considering this issue until everyone is good and drunk and the boss (hosting the event) shows his opinion of you up-front. Usually that means buying you a girl or two, and going to a KTV.

Lonely and shunned.
Non-drinkers in China are shunned.

.

The Chinese drinking table is not the same as a London or NYC lunch booth.

Think of the table as merely the interview. You’re being sized up. You’re being evaluated on a wide range of different aspects. Mostly, people are just wondering can they trust you.

Although you may hint or lightly touch on business issues at the table, the drinking session is mostly to form a human bond for doing business later — perhaps even at the late-night karaoke joint across the street. So, just relax, enjoy yourself, focus on making strong social connections, and remember that here, business can wait. Furthermore, for obvious reasons, the drinking table is not the place to sign contracts or make critical decisions.

Status symbol – there’s no such thing as “the cheap stuff”

With alcohol being such a status and power symbol, it’s not easy to find inexpensive bottles of wine and hard liquor (unless you want Baijiu).

Good stuff.
53% alcohol – the “good” stuff.

.

A lot of the consumption is at the higher end of the scale with some parties resembling a club table of big name NBA or Hip-Hop stars. Some wines are proudly displayed and consumed that run tens of thousands of dollars per bottle.

This only occurs of course in the richest of social circles, but if you’re lucky, you may get an exclusive invite to one of these gatherings which means that one glass of something you’re drinking may cost more than your entire trip, and the whole bottle could easily be worth more than your car.

Baijiu 白酒 (bái jiǔ) – Also called sorghum wine, Baijiu is the drink of choice for business dinners in China. This clear liquor is as intoxicating as tequila, with the added burning stench of rubbing alcohol. The most popular brand of Baijiu is Maotai, which can be as strong as 60% alcohol-by-volume (compared to an average vodka at 40% ABV.)

Red Wine 红酒 (hóng jiǔ) – Red wine has increased in popularity at Chinese dinner tables in recent years along with the rising interest in luxury goods. Popular choices include top French wines such as Lafit or Latour.

Beer – 啤酒 (pí jiǔ)Popular Chinese beer brands include Tsingtao and Harbin Beer, which are crisp, refreshing lagers that can cool the burning sensations from drinking Baijiu.

(Some speciality alcohols may be consumed on certain holidays, such as huangjiu during DuanWu Festival. Chinese minorities also often have their own unique fermentation processes for different wines and spirits.)

Specific Alcohols

The Chinese have their own phonetically-similar versions of most English-named drinks, so make sure you know your drink names or you may end up with something that you did not order!

ChinesePinyinEnglish
威士忌wēishìjìWhiskey
伏特加fútèjiā Vodka
約翰走路yuēhànzǒulùJohnny Walker
香槟xiângbînChampagne
白兰地báilándìBrandy
贝利尼bèilìníBellini
玛格丽特mǎgélìtèMargarita
蓝色夏威夷lánsèxiàwēiyíBlue Hawaii
曼哈顿mànhādùnManhattan
黑色露西亚hēisèlòuxīyàBlack Russian
白俄罗斯báièluósīWhite Russian
马蒂尼mǎdìníMartini
金汤力jīntānglìGin and tonic
威士忌可乐wēishìjìkělèWhiskey coke
血腥玛丽xuèxīngmǎlìBloody Mary
莫吉托mòjítuōMojito

Other tips

1) There are no spouses at formal business dinners. Business may eventually be conducted at some point in the night and those talks alone may be uninteresting to your spouse.

2) The party doesn’t always end at the restaurant. It often continues to other places that are, let’s say, not exactly appropriate for taking a spouse. Many westerns would be surprised at how much business gets done in a sauna or while laying on your stomach getting a massage – neither place, in China, would be the type of place where most people would want to take their spouses.

Actually, I would say that about 90% of the time the after dinner is at a "Business KTV" with Long-time and short-time girls. The remaining 10% is a mixture of venues that can include a massage or sauna (with long-time and short-time girls).

3) No Tipping. Tipping is not the norm in China and your host will probably cover the check, so no need to leave a tip or offer to “chip in.”

Everyone drinks.
Everyone in China drinks alcohol. To reject the offer to drink alcohol is an insult to the person, their culture and to their way of life. DON’T DO IT!

Chui Niu, AKA Dice

A staple of bars and KTV joints across the country, Chui Niu, known to foreigners simply as Dice, is perhaps China’s favorite drinking game. Though the rules are simple, the results are dangerous, so make sure you know your limits when attempting this game.

Each player begins with a cup and five six-sided dice. When it is your turn, you shake your dice in the cup and look at the result surreptitiously. Then, you must say the total number of dice of a certain figure, for example “three threes,” that you believe are contained under the sum of everyone’s cups. This continues in a circle, with each subsequent player upping the ante – that is, you cannot guess twos after fives have already been spoken for. So you can say “two sixes” after someone has said “four fives,” but you cannot say “six twos” after someone has said “five fours.”

Drinking in China.
If you cannot drink alcohol in China, you will be considered a misfit and a loser.

.

The game is about pushing your luck and calling people out on their bullshit. When you think someone has said an impossible figure, you can shout “bu xing,” meaning “not possible,” and everyone must show their dice. If the player who said the number guessed less than or exactly the correct number, the person who shouted “bu xing” must drink.

If the guesser was above the correct number, however, he or she is the one who must drink.

So you played some dice, what’s next?

If invited to KTV, you’re pretty much expected to sing, regardless of your ability (or lack of). If someone in the room has the voice of an angel – or is borderline blackout drunk – you can expect them to take the standing mic at the front of the room and throw a few shapes while they’re immersed in the moment.

The audience typically claps and cheers no matter how good or painful the entertainment, and this tends to magnify as the evening wears on and alcohol consumption peaks.

No one gives a rat’s ass if you can sing or not. If you want to give and maintain face you MUST appear to be enjoying the entire evening.

As the average KTV room is ridiculously loud, chatting is practically impossible. Therefore, expect personal distance to go out of the window as mouth-to-ear conversation becomes the norm.

Chinese version of a successful businessman.
Chinese version of a successful businessman.

How to KTV

1) Drink some booze and grow a pair

2) Think of a song/ an artist

3) Select the English interface unless your zhōngwén is hěn lìhài 

4) If it’s a song name you’re after, type the first letter of each word from the song title (e.g. ‘Don’t Look Back in Anger’ would be ‘d-l-b-i-a’). If it’s an artist or band, start typing their name and it should pop up

5) Select and queue the song

6) Choose to sing with either the original song playing, half-solo (faint lyrics) or solo

7) Put on a good show for your audience

Drinking at the table.
Why are you in China if you are not going to embrace the culture and experience life?

.

Song Genres

The availability of English songs is reflected by the price and reputation of the KTV facility. Practically all KTVs stock some classic English hits, while upscale KTVs (such as 纯K) have plenty of modern tracks to choose from. Expect to see a plethora of English and American pop and rock songs from the likes of The Wanted, Linkin Park, Fall Out Boy, Coldplay, Jason Mraz, Black Eyed Peas and Lady Gaga.

Sing a song or two, then Genbei a round or two. Then back to your girl and pick up the plastic cup. You might want to play an American version of the dice…

The American Game with Five Dice

This is an American game, but seemingly adapts well to a Chinese KTV. The gals won’t understand what you are saying, but they will get the gist of the game and you all will have a rocking good time playing it.

I will honestly say that this is the most popular game in China that I have played and almost every gal understands how to play it.

To communicate that you want to play this game you simply take three dice. Show the four, the five, and the six in a lineup together. They almost always nod their heads in understanding.

Five dice game.
American style five dice game.

.

Players take turns rolling all five dice.

Now it’s your turn.

What you are trying to do is get a 6-5-4 combination.

Three dice.
You are trying to get one (four) + one (five) + one (six)

.

You are permitted three tries.

If, after three tries, you just cannot get a “4 + 5 + 6”, then you must drink. And the game proceeds to the next person. Who then starts his / her turn.

But more often than not, you will be successful in getting this roll.

So, if all three appear on the first roll, the player’s “point” is the sum of the remaining two dice. Here’s some examples…

Die 1Die 2Die 3Die 4Die 5Score
456111+1=2
456232+3=5
456444+4=8
456535+3=8
456666+6=12
Examples of play combinations

OK. So now, you have a score.

It’s the next person’s turn.

You compare the scores. The low score drinks.

So, how do you keep track of each other's score? You leave the score dice as they fell in place. Then you compare them. It's much easier that way.

But wait!

[1] You can try to get a better score by rolling again. You have up to three rolls, so theoretically, if you scored a 4+5+6 on the first roll, you can get as many as two other tries to better your score. But, you MUST take the score(s) from the earlier 4+5+6 rolls and include them in your latest score.

Example…

Die 1Die 2Die 3Die 4Die 5Score
125340
456111+1=[2]
45632[2]+3+2=7
Example of a play combination
[2] If a 6 or a 6 and a 5 appear on the first roll (but no 4), they are set aside. You can use them to get a better score on the next roll.

Example…

Die 1Die 2Die 3Die 4Die 5Score
561110
[5][6]1230
[5][6]4232+3=5
An example of carry-over play.

It is entirely possible for a player to roll three times and get no point because the 6-5-4 was never made. The player with the highest point wins.

The preferred choice of alcohol (in China) is baijiu. Baijiu is high proof, and because drinking in China has been categorized as masculine, most men tend to drink it. Though there are times when they tend to drink beer or wine, nothing shows your masculinity like baijiu. 

However, it’s not always about finishing your shot of baijiu before anyone else. There’s an etiquette to drinking when you find yourself sharing drinks with business associates, be mindful of how you behave.

When someone toasts, it is expected of you to finish your cup, or ganbei. When people do toast, be sure to clink your glass against everyone’s. If the person you’re toasting against is your superior, be sure to bring your glass lower than theirs when you clink glasses. 

But beware, if you clink glasses, it means you want to go bottoms up! If you’re not sure how to work yourself into the Chinese drinking culture, just be sure to use both hands when offering, receiving, or drinking your beverage.

-Idigculture

So, for the non-drinkers out there…

So you are reading this, and you say “Hey! I don’t drink alcohol, and I am a better person for it!” To which I reply “good for you!”. But don’t come to China.

That is like saying to an American,

"Hey! I like American and respect all Americans except... 

I hate pizza, hate hamburgers, and hotdogs. 

I do not like the American flag, and football, baseball, and cars. 

But aside from that, America is great. 

Just don't shoot off any fireworks, play rock music, and I despise country and western music. But yeah. I love America. Oh, and by the way, I hate cars! And your "news" sucks, and the idea that people love football is disgusting to me. I think all football fans belong in a mental hospital!

But, yeah, America is great!"

And if you are like that, well… we all know what kind of a person you actually are. Don’t we?

Alternative dice games

Back to the games.

If you are up to it, you might want to try, or be taught, some of the more popular dice games in China. I’m sure that the gals will be able to teach you. One of the most popular is Liar Dice.

Liar Dice – Chui Niu, 吹牛

Liar’s Dice is, as the name implies, is a game that encourages bluffing. Each player shakes a cup containing five dice. Without revealing their roll, each player in turn must call out their prediction for the total number of a certain face-value (such as 5 x 6s) for all the dice on the table, including their own. The next player must either up the bid or call the previous player a liar. The aim of the game is to avoid being called out on your bluff and losing, which inevitably leads to the downing whatever is in your glass. If you’ve never player before, don’t worry. It sounds way more complicated than it actually is.

-eChinaCities

Over time this game has gained a lot of popularity among the locals. It has stood the test of time as one of the best and most thrilling dice game that one can enjoy in China.

The rules are simple, two or more players seated at a table making bids and rolling the dice. Well, albeit you are wondering what the fun is in such a setting. Well, bluffing and wit are the primary attributes that you need to sit at this table. Bluffing and counter-bluffing are merely ways for the players to display courage and challenge each other to a duel of rolling the dice. If your opponents make a bid, you can raise the stakes even higher, or declare it to be a bluff.

There is a need for strategy and tact when playing the game.

When playing Liar dice, you have to be vigilant. It’s a good source for an adrenaline rush, not knowing what is coming your way keeps your senses heightened. At the end of it all, emerging as the winner is the best feeling anyone can have and you will quickly realize why the locals hold the game in such high regard.

It is not for the faint-hearted, but for the strong-spirited. That said when in China you cannot afford to sit out of a game of Liar dice. It is on such tables that you will make long lasting international friendships and get a glimpse of the fun side of China. In short popular dice games in China is a real glimpse into the Chinese culture.

Liar’s dice is by far the most popular dice game in almost all cultures. This game can be played with as many players as there are dice to go around and requires focus and strategy. Although the gambling aspect of this game can be stressful, it is also what makes it the most fun. (Warning: Do not begin playing this game without perfecting your poker face. The bluff is probably the only place where a language barrier comes in handy.)

Need: At Least 2 People, 5 die per person and 1 cup per person

Objective: You want to be the last person in the game with any amount of die in your cup.

Rules:

  • Everyone sits in a circle in order to have as much privacy as possible
  • Each round consists of a dice shake up and a cup flip.
  • When you flip your cup only open it up enough for yourself to see what kind of hand you rolled at first.
  • The first person to speak is whoever lost the last game first or whoever just lost the last round.
  • The number one is a wildcard and can count as any number.
  • When the first person speaks, they use the dice they have to estimate how many of a certain number there will be amongst all of the players dice.
For example the first player will start out by saying: There are eight threes amongst all of us (including the 1’s in their calculation, which would count as threes).
  • Then when it’s the next person’s turn they are allowed to do one of the following:

a. Call that person’s bluff

b. Up the ante by saying that they believe there are one or higher of that same number amongst all of them. For example: There are nine threes amongst all of us.

c. Up the ante by saying that they believe there are either the same amount or higher of a number that is higher than the original number being estimated about. For example: there are eight fours amongst all of us.

  • You cannot however, guess a lower amount of the original number or estimate a higher amount of a number lower than the original.
  • The estimations will carry on and increase in one number or another until eventually someone is forced to call someone’s bluff.
  • In the case of calling someone’s bluff, everyone must open their cups and put the dice that are relevant to the last guess on top of their upside down cup (so if the last call was eight fours, each player must put all their fours and ones on top of their upside down cup). If there are in fact less than what the player estimated of that certain number then they will lose a die. However, if they are equal to or more of the number (including ones) that the player estimated then the person calling the bluff would lose a die.
  • After someone loses a die a new round is begun and people must adjust their estimates based on the new odds with the lost die accounted for.
  • When one loses all of their die then they are out of the game.
  • The final two people in the game will battle it out until one of them is the only person left with any number of die in their cup.

To make this into a drinking game: Instead of making the person who guesses wrong lose a dice, have them take a drink.  By playing this way the odds will remain the same but the more drinking that occurs will only lead to a snowball effect of bad guesses and more drinking.

Strategy:

  • Always account for the number of dice left in the game, do not make estimations based on the original number because odds dwindle fast.
  • Attempt to make a guess that is high enough to force one of your competitors to call another competitor’s bluff before it is your turn to guess again. The later you guess in a round the more likely you will be to fail.
  • Don’t forget about the ones!! They can be your best friend and worst enemy in any round. Make sure they are accounted for!
  • Beware of dice flippers!
  • This game is particularly easy in loud clubs as you can just use your hands to show “eight fours”. Learning how to count to ten with one hand in Chinese is important here, so learn the hand signals here.

What if you are too drunk to play?

In Chinese culture, people show great respect to elders and authorities, and it may also be applied to the Chinese drinking culture, just hold the glass lower than them when clinking glasses. What’s more, you’d better finish your glass.

-TopChinaTravel

Bad move. You shoul be drinking beer in the KTV. This is like water. It’s often under 5% alcohol, and you should be able to handle it. But if you are unable, try this game, after you belt out another song or two…

大话 (big talk, bluff, boast)

Alcohol, being the bedrock of Chinese society that it is, is a very important social tool. But this tool itself is not just limited to just a beverage and container. It’s the mind.

The rules of 大话 (big talk, bluff, boast) can sound fairly complicated, but once you have played a couple of times, it is fairly simple and intuitive.

OVERVIEW

Each player has 5 dice and one cup with which they use to shake and cover the dice. The object of the game is to carefully predict the total number of dice with one particular face value rolled without going over. Oh, and “1” is a wild card.

Players take turns calling, with each call needing to have a higher value than the previous one.

Calls are made in the form of two numbers, for example “five 2s”. The first number indicates the quantity, the second indicates the face value of the dice. For the first call of each game, the minimum the first number in the pair can be is the number of players plus one. To increase the value for the next call, you simply treat it the pair of numbers as one number. For example, “five 4s” would be bigger than “five 2s” but smaller than “six 3s”.

EXAMPLE OF PLAY ADVANCEMENT

Take a game with two players. This means that the total number of dice in play will be 10. They both roll and take a look at their dice.

Player A has dice with face values of 1, 3, 3, 5, 6.

Player B has dice with face values of 2, 3, 5, 5, 5.

Player A starts the game by calling “three 3s”. This indicates that A estimates that there are at least three dice with a value of 3 on the table. A can complete this condition with just his own dice because 1s are wild.

Player B calls “three 5s”. B has to increase the value of the call, so to be safe B decides to call something that is certain to be correct—because B has three himself.

A calls “four 3s”.

B calls “four 5s”.

A calls “five 3s”.

B stops the game.

DECIDING THE WINNER

The winner is decided when one player no longer believes that the last call made is possible and actively stops the game. The players then show their dice and tally up the total. If the call is sufficient, then the one who made the last call is declared the winner. If the call is insufficient, the the one who ended the game is the winner.

In the above example, B would be the winner.

VARIATIONS

There are many variations that can be implemented. Adding more players means that the total number of dice in the game increases and with it the minimum call must be raised.

It is possible to call 1s. If this happens then 1s are no longer wild and they have the highest dice value (you can call them after 6s). This normally happens with the first call.

Some people may make a call followed by the word 斋 (zhāi). This also means that 1s are no longer wild. To put the wilds back into play, a player must increase the quantity of the next call (the first number) by two.

Hope that all made sense. If it didn’t then just try play a few times and you’ll master the strategy in no time.

Non-drinker.
Chinese concept of what a non-drinker is like.

Still too drunk?

How to survive from Chinese drinking frenzy? If you’re going to a Chinese meal, you can hardly get rid of drinking. By knowing some tips, you may enjoy the meal better.

Never be late. You will be “punished” for more glasses of wine if you’re the last one for the party.

Be aware that you may need a couple of hours until the end. Make sure you eat some food, and remember to take less Baijiu. If you really have to drink, you can choose beer instead.

Once you’re in, you’re in. If you don’t refuse at the beginning of the dinner, maybe you will be encouraged to drink more till the end. You can wisely pretend that you can’t drink and politely request a pot of tea, so that you could participate in the toasts and cheers.
 
Take it easy. Your Chinese friends won’t blame on you if you’re unable to drink, but you’d better let them know the fact at the very beginning. They care more about whether you enjoy the time with them.

-TopChinaTravel
Prepare to get sloshed.
Welcome to my world.

.

Try this next game… It’s so simple that it is ridiculous!

One and Six (Yi Liu, 一六)

Although Liar Dice is a favorite game, there are other alternatives you explore. With two cups, ten dice and at least two players it is possible to participate in a game of One And Six. Unlike Liar Dice, the game is more laid-back. There is no need for strategy as it is merely won by luck and skill.

With One And Six it is easy to chat and socialize at the same time. The truth is if you are one of those people who loves a more subtle environment, a night out playing One And Six might simply be what you need. There may not be any need for strategy, but like with any game the winner is always the person who had the best plan. The beauty of this game lies in its simplicity.

Introduction: One & Six is a dice game that involves absolutely no strategy and at least two people with five die each. This game is the most simple to play in social situations because not only does it involve zero focus but it also clearly decides a winner.

Need: At least 2 players, 2 Cups & 10 Die (5 per cup)

Objective: Be the first person without any dice in your cup.

Rules:

  • Each round consists of a dice shake up and a cup flip.
  • When you flip your cup, flip it downwards and pry it open to reveal what numbers you rolled.
  • If you role a one, remove that die from your cup and put it out of bounds. That die has been lost from the game. Continue rolling with the remaining dice.
  • If you role a six, remove that die from your cup and give it to the person on your right.
  • If you role neither a six nor a one then wait to see what your opposition rolled and do nothing.
  • Continue shaking and flipping the remaining dice until one of you has no die left in your cup.

As a drinking game: Whoever runs out of dice last must drink for the number of seconds that there are dice left in their cup.

Strategy: None besides being Irish.

The Game with Three Dice

This game is played with three dice instead of five, and it is really simple. If you are really drunk, sick with simple.

The three dice game is called Cee-Lo. It is apparently derived from an ancient Chinese dice game. And it is well known in hip hop culture.

  • Any number can play but the game consists of a series of battles between two players.
  • Each player in the pair rolls all three dice until one of four recognized combinations appears. A 4-5-6 combination is the best combination.
  • A “trip” is all three dice the same and is the next best combination.
  • Next comes a pair with one die different. The different die becomes the “point.” The worst possible combination is 1-2-3, which always loses.

SZ’ ‘NG LUK

This is a game of gambling, and is a great way to lose money. Don’t gamble in a KTV, and keep focused on why you are there. But if you don’t want to heed my advice, then here are the rules…

This game is called sz’ ‘ng luk, ‘four, five, six’, commonly contracted to sing luk.

It is played with three dice…

The throws in it in the order of their rank are:

  • Any three alike, from three ‘sixes’ down, called wai5
  • ‘Four, five, six’ called sing luk, or ch‘un fa6
  • Two alike, the odd die counting, from six down to ace, the last throw being called yat fat, ‘ace negative’
  • ‘One, two, three’ called mò lung, ‘dancing dragon’ or shé tsai, ‘little snake’.

The first player is determined, on throwing around, to be the one who throws the highest number of red spots.

The first player throws until he makes one of the above mentioned casts.

If he throws sing luk (four, five, six); three alike; or two alike, six high, each of the players at once drink to him.

But if he throws mò lung or yat fat, he drinks instead.

If he throws two alike, five, four, three, or two high, the next player on his left throws.

If the latter makes a higher cast, the first player must drink to him, but if a lower cast, he must drink to the first player.

The third player throws in the same way, and the game is continued until the first player is out-thrown.

Another game similar to this is…

KON MÍN YÉUNG

Kon mín yéung, ‘pursuing sheep’, is played with six dice.

It is typically a game played for small stakes, usually for something to eat, and is seldom resorted to by professional gamblers. In it the player throws until he gets three alike, when the sum of the spots on the other dice is counted. The throws in the order of their rank are:

  • Six 6’s called tái mín yéung, ‘large sheep’
  • Six 5’s, 4’s, 3’s, 2’s, or 1’s called mín yéung kung, ‘rams’
  • Three alike and 6, 6, 5 called mín yéung ná, the ‘ewe’
  • Three alike, and the other throws than the above. These are designated by the number representing the sum of the throws with the three odd dice.

The throws, tái mín yéung and mín yéung kung, take all the stakes.

If mín yéung ná, or any other cast of three alike, is made, the next player throws until he gets three alike, when he pays if his throw is lower, or is paid if it is higher, as in sing luk.

The throw of three 4’s is called wong p‘ang fúi.

‘A boy and a girl were betrothed by their parents. The girl's father died, and the family having been reduced to poverty, her brother sold the girl to become a prostitute. 

This she resented, and anxious to find her betrothed, whose face she well remembered, she caused it to be advertised that she would yield herself to the man who could throw three 4’s with the dice. 

Many, attracted by her beauty, tried and failed, until her husband, Wong p‘ang fúi, who had obtained the rank of a senior wrangler at the provincial examination, presented himself. 

For him she substituted loaded dice, with which he threw three 4’s whereupon she disclosed herself, and they were happily united.’

How to survive a Chinese drinking frenzy

The following is from a CNN article titled ” How to survive a Chinese drinking frenzy” By Trista Baldwin 22 February, 2012. Posted as found with very little editing. All credit to the original author.

If you thought shotgunning a beer in under five seconds back in uni was the pinnacle of drinking prowess, you’ve probably never been properly ganbei’d.
China’s version of “down the hatch” is a bit like the Power Hour, which involves repeated and prolonged shooting of small amounts of alcohol -- red wine if you’re lucky, baijiu if you’re not.

Though observed in all social circles, ganbei is particularly prominent in China’s formal banquet culture, where business suits and government officials rub elbows, talk business and get completely sloshed.

Shanghai-based food and wine critic Lawrence Lo and a few seasoned ganbei-ers, shared the secrets of this thoroughly intoxicating custom. 

1. You’re in, or you’re out
While you’re not obliged to chug the night away, it is tacitly expected at a business banquet.

“There’s probably more pressure to drink than there is on your 21st birthday,” says a senior accountant who prefers the name Will Thomas. In his early 30s, Canadian Thomas attends regular company banquets with suppliers and other accountants in Shanghai.

If you are going to pass, “set your rule at the beginning,” advises Hong Kong-born Lawrence Lo, 39, who also runs LHY Etiquette Consultancy to gap the Chinese and Western drinking culture. “Because once you’re in, you’re in. There's no room for flip-flopping.”

Q: The question is -- how to get out?

A: Make an excuse

All is fair in love and ganbei, and a white lie might save everyone's face. The best excuses are religious or health reasons, though be prepared for jovial ridicule.

What’s the best get-out-of-jail-free card? Pregnancy.

Either being on medication to get your wife pregnant, or, for women, being or trying to get pregnant (though be prepared for questions six months down the line), will do the trick.

2. Women get a free pass
“One of the reasons I like China is that if you have the title and the position, you’re treated as an equal and get the same title respect,” says a British lady, who prefer to be addressed as Lucy Morgan. Morgan has lived and worked in China in both the government and private sectors for more than 30 years.

Ironically, while you’ll be invited to the banquets, you won’t be expected to drink. However, if you choose to partake, rule number one applies.

Q: What is Rule #1 - Props for the female ganbei

A: “Women get double points for ganbei’ing,” says Will Thomas.

Some men may offer to do a full ganbei while you do a quarter or half ganbei, but quite often you’ll be expected to keep pace with the crowd. For Morgan, it’s about proving that if you’re an equal, you’re an equal.

“I wasn’t going to be seen as the ‘little girlie’ back then or the ‘older woman’ now,” she says, referring to her experience 15 years ago when she out-ganbei’d the vice-mayor of Chengdu with 12 shots of baijiu.

Whoever draws the short straw gets to be the next ganbei rep.

3. Elect a representative
Believe it or not, “if you need to represent your company at a banquet, you can bring someone along and delegate them to drink for you,” says Lo.

Talk about authority.

In Morgan's experience, she has rarely seen a woman elect a drinking buddy (as women aren’t expected to drink anyway) -- it’s usually older or weak-livered businessmen.

Q: If you go this route your fellow diners may jeer, but it does serve a purpose.

A: Saving company face

Joining in the inebriated merriment is in many ways viewed as a sign of goodwill and hospitality on the part of the company or organization you represent. In fact, this is often a sneaky way to get your best hitter up to the plate.

“The elected drinker is usually someone you do not want to drink with, because they can drink a lot," says Thomas. "They will probably deny that they can drink -- it's a lie.”

We're betting Bill opted for red wine over baijiu when going head-to-head with former Chinese President Jiang Zemin.

4. Pace yourself
It’s a long ride once you’re on the ganbei train.

“At a banquet, there are usually eight to 10 courses, and there will be a ganbei with each,” says Lo.

In addition, the host will usually toast the group and the guest of honor.
The second most senior host will toast the second most senior guest, and so on and so forth. It’s also not uncommon for challenges to strike up between tables.

Q: So, how can you last the night without bringing the banquet back up?

A: Be sneaky

Over the course of 30 years, Morgan has picked up a trick or two. One way to lower the intensity is to downgrade your poison -- switch from baijiu to wine, or ganbei beer instead. Although the idea of shooting wine is less than palatable for many, it's the lesser of two evils.

While at informal occasions you can ganbei non-alcoholic beverages, Morgan says, it’s highly unusual at formal occasions. Beer is as non-alcoholic as it gets.

Another trick? “Pour a bit of water in your wine,” she suggests, “or switch to a half-ganbei -- banbei ganbei.”

And lastly, humor. “If you get people laughing, they won’t care how much you drink.”

5. Don’t bring the spouse
Chinese banquets are primarily business affairs -- spouses are seldom invited to join.

“You should always check first [before bringing a spouse along],” advises Lo.
There are several reasons for this, the most compelling being that deals may not get closed over the course of the banquet.

The KTV close

“It’s still a very macho culture,” explains Lo. “Sometimes business is done [or concluded] away from the dinner table at KTVs or massage parlors."

The retreat to more “nefarious” locales, as Morgan jokes, or playing liar dice with pretty young girls selected for the occasion, is not a scene that spouses can readily partake in (and may disapprove of).

But, as Thomas points out: “It depends on who you’re with. Often you just go to a genuine karaoke -- and more drinking.”

So you don’t want to drink…

Some advice on how for those who want to be “the Ugly American”

I do not recommend being a non-drinker in China, and it is impossible to conduct business or integrate into Chinese society without drinking. So forget about playing dice, once you insult your hosts by not drinking, you won’t ever be asked out again. And if you truly want to be the ostracized loner inside of China, then read these suggestions.

Chinese version of a successful businessman.
Chinese version of a successful businessman.

The following is from an article titled “Ganbei – Chinese Drinking Culture” . Reproduced as found, minus the pictures. Edited to fit this venue, and all credit to the original author(s) and all credit to the website at the link listed.

So, what can you do if you don’t like drinking and still want to do business or have friends in China? Don’t lose hope, there are a few things you can do to diminish the negative consequences and unintentional insult from not drinking.

1) Avoid at all costs – On a personal note, I’m no teetotaler, but drinking isn’t my favorite social activity. So, on one of the dozen occasions per year I have a drink, it’s rarely more than a beer or glass of wine, and I avoid hard liquor at all costs. After living for many years in China, it’s safe to say that avoiding a drinking bash wasn’t easy.

My solution to the issue was to simply avoid all occasions where I’d be pressured to drink.

Luckily, my job didn’t necessitate the infamous Chinese business dinners, so my career didn’t suffer from my abstinence, but my social life did.

If you don’t need to close contracts or don’t mind having fewer friends and missing out on weddings and other special celebrations, this is the best course of action. On the bright side, if you’re not a big drinker then once you make friends with other non-big drinkers, you’ll have friendships that are more likely to last and be enjoyable.

2) Be all in or all out, no middle – If you need successful business dinners for your job or want to experience all the fun of semi-formal and formal social dinners, then from the beginning, you need to decide to be all in or all out.

Don’t try the middle ground of, “Ok, I’ll just have one/a little.”

There is no such thing (generally) as “a little” in China and you’ll later find yourself in the unfortunate position of offending your host when you can’t drink anymore or are in the fast lane to drunk-as-a-skunk-ville.

The better option is to make up an excuse of why you can’t touch alcohol at all – no beer, wine, or liquor.

Excuses that are usually accepted are:

a) religious reasons (for example, “I’m not allowed to drink because of my religion”, which isn’t actually far fetched as Islam and some sects of Protestantism do ban alcohol consumption);

b) health reasons (for example, “I have an alcohol allergy that can kill me or make my stomach bleed”);

c) have a Chinese friend give a heartfelt, long explanation on your behalf (if you’re lucky enough to be friends with a well-respected member of the group, or the host him/herself, then they may be able to interject on your behalf and explain to the group your preference for not drinking).

d) I’m pregnant (think about this one carefully if you’ll be in the same company of people in the next 6-12 months).

Chinese version of a successful businessman.
Chinese version of a successful businessman.

Options that don’t work so well are:

a) I’m in AA/a recovering alcoholic – China does not have the same familiarity, knowledge, and acceptance regarding recovery programs as in the West and thus it may be taken as a lie. Even if you are in a program, it may not be the best excuse to give.

b) I have to work early/get up early – this usually doesn’t stop the pressure to drink and you’ll be faced with having to insult your host by flat-out refusing.

c) my spouse doesn’t like it/let me – the same as in B.

d) I’m not feeling well tonight – same as in B.

e) I drank too much last night – This would only encourage more pressure to throw ‘em back.

f) anything that mentions the police or driving – sorry, that just doesn’t cut it, and the police mention may get you a hearty laugh.

China is not a "police state like the USA is. The police just leave you alone, and the Chinese have no concept of "sobriety checks" or "sobriety roadblocks".

Whatever excuse you choose, make it ironclad and make sure to stick to it.

Keep in mind, even with an ironclad excuse, there may still be some obvious, lingering awkwardness. While your hosts/coworkers/friends may not have taken a full-throated offence to your rejection of their toasts, even the best sounding excuses will put a barrier between you and the rest. You may save some face and prevent the insult, but you’ll have done little to make friends or business partners.

3)Being a women kinda gets you a pass, just being a foreigner doesn’t – Women are not expected to drink as much as men, and they usually don’t get as much pressure to drink as men, especially in a purely business setting (the operative word here is “usually”, not “always”).

So, practically this means that women may be able to get away with more excuses than men and not still get an onslaught of pressure. Casual settings can span the gambit from less pressure than usual to actually more pressure as your date, or friends, try to get you to ‘loosen up a little’.

Chinese girls can hold their own.
Chinese women are tigers in a kitten’s body.

.

Men, on the other hand, even foreign men, will still be pushed frequently to drink (unless you give one of those ironclad excuses listed in #2).

In fact, if you’re the sole foreigner at the table (or one of only a few), then you could easily be considered the de facto guest of honor and in such an honorable position, it’s an honor to get your glass filled – both for you and the person doing the filling.

The thought goes something like this: for the guest of honor, it’s “Look at me. I’m so popular, people can’t wait to fill my glass.”; and for the filler, it’s “Look at me.

This very important person is allowing me to fill their glass and then they’re drinking what I just gave them. I must be important if they’re accepting my gift because they wouldn’t accept this from just anyone.”

4) Pace yourself – if you decide to go for it and choose the “all in” option, make sure to pace yourself. These drinking affairs can easily go for +5 hours and occasionally turn into an all-night event (say 6pm to 6am).

Importantly, the drink of choice (as mentioned above) is the super strong, ultra fiery local spirit Baijiu.

It doesn’t take a Big Bang physicist to calculate how long you can last throwing back these puppies. Instead, you possibly can opt for something less strong – like beer or wine. While this may not always be an option, it’s usually acceptable and available (it’s a good idea to nonchalantly inquire about Baijiu alternatives before accepting an invitation.) It may feel awkward doing “shots” of wine, but it’s probably the lesser of two evils.

Another sneakier alternative is to water down your Baijiu shots. If you see other people doing this openly, then take it as the green light to follow suit. If you don’t, then you may have to break out your best James Bond skills.

Don't do this. I have NEVER seen this done. If you are caught doing it, your "face" will forever be ruined. You eaither act like a man and take it or decline. Don't be a coward and make matters worse by insulting your host.

-Metallicman

5) Food is your friend – Reaching deep into the bag of college drinking tricks, food can be your best friend. Full stomachs make alcohol take longer to be absorbed into the bloodstream, especially carbs and fat.

So, in preparation for your drinking adventure, fill up as close to the drinking hour as possible, on breads, noodles, rice, fatty meats, and skins (like chicken skin). If possible, try to keep eating as long as you’re drinking.

There is always plenty of food available at these dinners, but there will be a lot of vegetable dishes and seafood which do little to slow absorption and you may not really like the other food options. So, stuffing your backpack with granola or nut bars that you sneak into the bathroom isn’t a bad idea either.

6) Keep it light, Humor is a good friend too – If you’ve started down the drinking road and need to get off the highway, even if you’ve only taken a few sips or a few shots and just don’t want to drink anymore, keep in mind that humor can be a powerful friend.

Depending on your crowd, you may be able to deflect some pressure (and some extra shots) by being able to make jokes at your expense. Comments like, “Oh, I’m such a baby. I just can’t drink like you guys. Hahaha.” or “I’m _____ (clumsy, loud, etc.) enough when I’m not drinking, so I really can’t get drunk tonight.”

This may not completely stop the toasts and shots, but (again, depending on the crowd) it may decrease them and give you a moment to get your bearings.

7) A pinch … ‘drinker’ (borrowing from a baseball reference) – This one is a real “Are you serious??” moment.

If this is a business dinner and if you have some amount of authority within your company, you can actually assign a drinking rep to drink for you.

You should read that again and maybe pause before continuing, but it’s not a joke.

It’s a custom followed in China, as higher-level company authorities who don’t wish to get wasted but don’t want to offend their host, will attend the dinner and bring along a drinking rep to do all the drinking for them – and they of course assign some of the highest-tolerance people on the planet.

This option doesn’t really work though outside of a business-like setting.

Chinese version of a successful businessman.
Chinese version of a successful businessman.

Suggestions for a Fun KTV Evening

In my opinion, KTV is a really fun way to spend some hours doing something that is what millions of locals enjoy doing, so you can consider it an authentic cultural experience. I have many hours of KTV and karaoke under my belt so here are my suggestions for having a fun time, especially if you’re in a big group of people you might not know well.

  • Pick songs that are up-tempo. Nothing drags a KTV evening down like slow songs.
  • Find the “cancel” or “next” button on the song machine. Many songs have refrains that go on for-ev-er. Feel free to hit “next” to go to the next song (unless it’s your boss singing).
  • Choose songs that are fun to sing as a group.
  • Take some time to practice your own signature KTV song in the shower so you can really impress your friends with “Beat It!”. Include some key dance moves.
...for those who enjoy drinking, you can be very successful and happy in China. You’ll get bonus points for following along with the group and for making such a good effort at keeping up. In fact, anyone who at least tries hard to accept toasts, especially Baijiu toasts, will get some credit for doing so even if they have to bow out early and stop accepting every toast from every person.

You’ll also have a front row seat to a side of Chinese culture few get to experience and may end up having the time of your life. Afterall, the Chinese haven’t continued this tradition for 1000s of years because it’s dull and boring. For the more reluctant, maybe you can think of this using the age-old Las Vegas adage - what goes on in China, stays in China. 

For many adult travelers, a good ole Chinese drinking fest may be the perfect excuse to let loose and have some fun without your party antics necessarily ending up on someone’s Facebook feed (btw, Facebook is still banned in China).

For women, the bonus points multiply. A western woman who can keep up with everyone at the table, especially at a baijiu fest, and walk out on her own accord while many others are knocking glasses over and accidentally pulling tablecloths off, will usually win mad respect from literally everyone.

Also, these events don’t happen every weekend. As a visitor to China, you’ll be lucky to attend just one event like this. If you have to travel for extended periods on business or move to China on your own, these events may happen only once every couple of months.

-China Educational Tours

When I conduct business, I usually have business KTV’s and dinner events at least three to eight times a month when business is booming. During the COVID-19 coronavirus pandemic, hardly never. In fact, I only went out two time that entire year!!!!!

During the holiday, and of course, during Chinese New Year, for the three or four week long holiday, drinking and playing is just about every night.

Phew!

Don’t forget…!

Let’s do a quick review.

Drinking is very common inside of China.
Drinking in China is as common as eating a hamburger in America.

.

While in Western countries you simply clink and drink, in China there are a few more rules for drinking, whereby the most important rule is to give face.  If you want to toast an important host or guest, be sure to take note of some basic guidelines:

• The first toast:  If you are the guest, you shouldn’t initiate the very first toast as this is seen as impolite. It should always be made by the main host.

To clink or not to clink:  If the party is big and the table is wide, people usually prefer to clink glasses. But to show respect, make sure that the brink of your glass is lower than theirs. When the glasses clink, you’ll have to干杯 gānbēi, meaning literally ‘dry the cup’, or ‘bottoms up’. If your glasses don’t clink and only touch the other person’s cup with your fingers, you signal 随意 (suíyì), or ‘as you wish’, and you can drink whatever amount you want.

 • Respectful toastWhen you are offering to toast 敬酒 (jìngjiǔ), meaning respectful drink, you are putting yourself in an inferior position and so you might want to toast everyone who outranks you.

Eat a lot: To avoid getting too drunk, the key is to eat. Usually there will be plenty of foods at a Chinese banquet, so there should be nothing to worry about.  However keep in mind that with every course, there will of course be a ganbei with every guest!

non-drinker.
China is a nation where even the religious monks drink alcohol, as do the children. Not to drink automatically labels you as a societal outcast.

Conclusion

I well remember when I met my wife’s grandmother. She was a short, short little frail ball of a woman. She smiled at me with little strands of grey hair sticking up on her head. She stood up to my lower chest in height (and I think that she was wearing high loafers). He poured me a full glass of 53% Baijiu in a tall tumbler. Then she poured herself the same size and raised it to me in a salute.

She then raised the glass to me, clinked my glass and said Ganbei so loud that the entire city could hear. You could feel the ground rumble at our feet. I was a little taken back that her voice was so breathtakingly loud.

And it was like time stopped.

You could hear a pin drop. All eyes were on me.

I could feel all 400 people watching my every move. Goose-pimples crawling up my arm, and I could feel my spine tingling. I glanced over at my wife, who was fully expecting me to “do the right thing”. And I looked about the room, and I could see such a wide range of emotions.

We both raised the glasses to our lips in unison, and together we emptied those two glasses. Then when emptied, she raised it up high and I did the same.

Everyone cheered.

Then I began going table to table…

… drinking the same amount to each of them…

…to all of the 24 tables in the room. Ugh!

Alcohol.
This is not water. This is a full tumbler of 53% alcohol. Are you up to the challenge?
Drinking alcohol is a vital part of Chinese custom and culture.  Those from northern China actually consider drinking and friendship the same thing because during business dinners for example, drinking can lead to friendship, sealing deals and developing better work relationships. Every shot of baijiu brings the business partners a little closer and so drinking is seen as a supplementary part of the working life.

Drinking to cement relationships has a long history in china.  Famous poets like 李白 (Lǐbái), have also praised alcohol multiple times in his poems, with one famous saying: 酒逢知己千杯少 (jiǔ féng zhī jǐ qiān bēi shǎo); “When one drinks with a friend, a thousand cups are not enough”.  

Of course, LiBai is talking about the 盅 (zhōng), which are the small cups traditionally used when drinking in China, but it emphasizes the importance of alcohol and relationships!

-Hutong school

A KTV, especially a business KTV is a very important institution within China. Most Westerners, and non-businessmen inside of China are unaware of the significance of this venue and the importance of maintaining face. Here we discuss some dice games so that the businessmen can play with the girl that he selected out of the line up.

Maintain your face, and give respect. Have fun and show it. You all will be just fine.

Drink or not, your choice.
In China, “good guys” and “powerful businessmen” drink alcohol. Outcasts, social misfits, and losers do not.

Do you want more?

I have more posts in my Business KTV Index here…

Business KTV's

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

If you enjoy what you see, it would be helpful if you could assist in hosting this forum. A donation would be appreciated.

A handbook or preparation checklist for a business KTV trip.

Heh, heh. Make no mistake, you will need to be prepared. And this post / article is my notes that I use to make sure that I am a well-prepared “boy scout” when I go off to one of these venues next time.

So, here is a handbook or a check list that I have made of what you should and should not do when going to a business KTV in China. This is also part story. It is a narrative of my latest excursion and the mistakes that I made there. Maybe an astute reader might learn a thing or two in the process.

If you all don’t know what all this is about, then I would suggest that you first read my series of posts on Business KTV’s in China and Asia. You can click on this link and go there directly.

Business KTV's
Warning. This is adult stuff for adults. It can be offensive to people with softer personalities. Read at your own risk.

Introduction

Ohhh. I am so sore.

It’s been three days now, and my body is still not up to par. I attribute it to massive quantities of fake Hennessy VSOP, and my age, and the over all stress of the long trip to and from the factory and the clubs. But next time, I will be better prepared. I’ll tell you what.

It’s day three, and I am still sore…

  • My arms feel like an elephant is squashing them.
  • My joints are all gritty and sandy.
  • My shoulders and neck are puffy and sore.
  • My stomach is slowly healing.

You know, I’m really pretty good with these kinds of adventures, but the last year (the year of the dog) 2020 was terrible. On all fronts, and in all areas, and the pandemic and the world-wide industrial / economic collapse was horrible for business, as well as for business trips to the KTV venues.

Seriously, I hadn’t gone to any of these venues for a long time. Maybe twelve months. And as such, I was not really ready for my lack of conditioning.

Blame the pandemic.

But…

Yeah. I take full responsibly for my lack of preparation and conditioning.

It’s like body building, or sports. You have to maintain a certain degree of exercise and stamina. You need to practice and give your body warm-up exercises and exercises so that you will be fit for the game or the event. And that is so true about working, and business KTV’s.

But next time…

Next time…

I will be far better prepared. And while you all might think that I am an expert in these matters (well, I am), I also make mistakes and have issues. And you all need to prepare for what you will expect, and how you can handle them. Let’s talk about these things right now.

Your health

If you are younger, say under 40 years old, your health will not be that much of an issue. You can be wildly out of shape, but still be able to enjoy a business outing and KTV venue. The problem comes when you start getting older.

I am in my 60’s. You can say that I am 60-ish. Heh heh, and I am no longer that young 20-year old stud full of piss and vinegar. So, this article is written for us older folk. maybe 35 and up who actually go to these venues. (After all, and you need to keep in mind, that most men who go to these venues are over 35 years old.)

So, I am going to be blunt.

Long before you start going to any of these business venues, you will need to perform daily exercises. If you are not moderately healthy, then these venues and a dinner and drinking will absolutely wreck havoc on your body. As I well am experiencing now. You must be moderately healthy. Moderately.

Try to do daily exercise.

It doesn’t have to be anything overly strenuous, but it should be some sit-ups, some pushups or some arm curls. Alternatively try some walking, or bicycle riding. All very important. Don’t put if off. It’s not to work out hard, the purpose is to maintain a moderately healthy lifestyle. A “couch potato” will never be able to enjoy one of these venues.

On the good side is that older men who exercise will see results faster than when they were young. So instead of needing to do 100 pushups when I was in my early 20's, I now only need to do 20.

On the negative side, it's much harder to do those few pushups. Yikes!

In general, I do eat well, and I walk most every day. But still one bad batch of fake hard alcohol can put me down “surer than shit”. I’ll tell you what.

It’s not that the other bosses know that the alcohol is fake. They thought that they were honoring me by giving me Hennessy, but it was fake. I can tell. I do know my whiskey and my VSOP. They just didn’t know, and they would lose their “face” if I told them. So when offered such a drink, you need to be prepared.

And if offered, you need to drink it.

When offered alcohol

Now, I have covered this before, but I will revise it to these new “rules of engagement”.

Suggest that you drink White Wine. Or, bring your own alcohol with you.

53 degree white wine is powerful and potent stuff for certain. But it is real. REAL. The really expensive stuff is good, and will not give you any head or muscle aches. But it is really pricey. So knowing that everyone will intend to get drunk, make sure that you are drinking real, and genuine alcohol. Not some kind of locomotive degreaser mixed with flavorings to approximate an expensive Western beverage.

And all Chinese know the differences between “good” white wine and “cheap” shit.

I am not being facetious.

Hennessy cognac
They say that sales of Hennessy cognac in the whole world exceeds its production by 3-4 times. This means that only every 3-4 bottle Hennessy – original cognac, and the volume of counterfeit reaches 80%.

However, this information is not confirmed. But, most likely, not very far from the truth.

One of the leaders in the number of counterfeits of course, is the Hennessy XO cognac to distinguish a fake, you need to pay attention to the following signs:

1. Volume of 0.5 liters. Hennessy XO Cognac in 0.5l. is performed. Original cognac is bottled only in bottles of 0.35 and 0.7 liters.;

2. Sheath tube. Original plug and the shell made with special technology (including laser engraving and hologram Holosleeve), very snug to the glass bottle. Casing should be reminiscent of the inexpensive wines produced domestically.

A very large number of fake accounts for the lion’s share of Hennessy VSOP cognac counterfeit accounts, bottled in the form of a jar, 0.5 liter. Therefore, we recommend absolutely refuse to buy a bottle of Hennessy VSOP in this form and volume. Better buy regular round bottle.

If no reasonable alternatives not, and buy a bottle of brandy-flask is inevitable, then you should pay attention to the following (they are similar to symptoms for Hennessy XO):

1. Cap. It should not resemble the usual vodka. Hennessy cognac house a lot of attention to producing jams and their protection, discussed above.

2. Relief image of a hand with a halberd. It must be present in the upper part of the front side of the bottle.

-How to verify the authenticity of the alcohol (10 pictures)

The best time to suggest this is before the dinner. If your hosts don’t have any bottles on hand, you go out ad buy some real stuff from a supermarket.

So make sure that you do so way before anyone goes into their office storage closet and pulls out some XO, VSOP or Whiskey from one of the cases in their stash. Ask for either real white wine, or real red wine.

Other than that, you stick with beer.

In this instance, the factory paid 1000 RMB for this bottle of fake Hennessy at a restaurant in a small village town. Of course it was fake. By the time it was purchased, it was too late to say no. Ugh!

Have your aide specify either white wine, or red wine. No hard alcohol.

The red wine is usually hit or miss. It depends on the size of the company and a little bit about the owners experience with wine. In general, I would say that about 70% of the time that the red wine would be real. But it’s the remaining 30% of the time that you got to watch out for.

My preference is always for red wine. It’s healthier for you, and will help us older guys in the bedroom.

But white wine is a guarantee that the alcohol is genuine.

White wine.
Some “white wine”, 53 degree pure power from my personal stash.

.

Make sure that you specify either red or white wine, and stay away from hard alcohol if you are going to have any romping and play in a business KTV.

Avoid Fake or potentially fake alcohol

It’s not just the terrible side effects, fake alcohol can really harm you. It can damage your body and trigger cancer. Do not fuck with it.

Drinking alcohol containing these chemicals can cause nausea and vomiting, abdominal pain, drowsiness and dizziness. It can also lead to kidney or liver problems and even coma. Methanol, a substance which can be used in fake vodka, may cause permanent blindness.

-The dangers of fake alcohol | Drinkaware

Some notes on the girls

This last trip I was offered three or even more girls to have fun with. They really wanted me to have a good time, and well, the more the merrier, right?

I was not thinking (all that fucking fake alcohol) and declined. I just settled on one chick.

What was I thinking? I told them my usual “I’m a man, not a machine”, but in hindsight, I was wrong.

This particular factory always offers me multiple girls to play with. Why did I decline? I don’t know. Maybe it’s my prudish side.

The first group(s) of girls were short-time girls. We told them to go away as we were only interested in long-time girls. Here we see the manager talking to the girls.

.

Next time, I’ll ask for a basketball team sized entourage of chicks and see what happens. Maybe they will get a volume-discount from the manager. LOL.

Have your aide specify that if possible, you are fine with multiple long-time girls.

And that’s another thing.

Why the Hell didn’t I get the name card of the manager. I must always get her card. You know, for future referrals. Ugh!

Have your aide get the business card of the Girl’s manager for you.

All in all

Over all, this last business trip was the first one that I had in over twelve months due to the fucking coronavirus.

Only one in twelve months!

Unbelievable!

Keep in mind that these excursions used to be from one to three times a week.

I blame it solidly on the coronavirus, and the fucking asshole who unleashed it on CNY 2020, Donald Trump and his army of neocon assholes.

RANT – WARNING – RANT -WARNING – RANT – WARNING

So, and a nice big FUCK YOU to Donald Trump and Mike Pompeo. I hope that you get a taste of the turmoil that you unleashed on the rest of the world.

In my view it is like America has had a massive case of diarrhea for the last forty years, and Donald Trump was the orifice that enabled it to spew forth. It’s just that he painted a big old bulls eye on me, my business, my family and my friends. FUCK HIM.

Let’s keep all that shit in the United States, ok? Let it rot and fester and get resolved THERE. Stop trying to release it upon the rest of the world. OK? Am I clear about this?

Anyways, I know that my strong opinions on Trump and his anti-China crusade is going to rankle the hides of my of my American readership. Sorry. But you were (for the last four years) just spectators.

You were spectators of the “news”. You read this article or that article, and you clapped with glee as he terrorized this other nation and that other nation. It was fun, because you weren’t affected. “Look at us! Woo Woo”

So what? You only had to pay 30% more for your appliances, and goods. No biggie! In your mind anything was fine just as long Donald Trump was “sticking it to” those hyper progressive Marxists. No more social-reengineering! That’s worth it, right?

America was a republic for less than 20 years, and then became a democracy. Democracies always become oligarchy-ruled military empires. The only way off this “merry-go-round” is either a complete collapse of the government or an evolution to some other kind of government structure. And, judging from the 2020 election, it seems that most American want Marxism. I think it’s stupid, and crazy, but who am I to say? Whatever floats your boat, eh?

Yeah. So, Trump, yeah, he built a wall. Didn’t he? And he put Hillary Clinton in prison. Right? That’s what he did, right? He got America back to working, and more restaurants and stores are open than ever before! He’s a genius!

Americans are now proud to be called Americans. Why; a whole two other nations in the entire world now accepts Americans! You can travel to West Gianna, and East Bumfuck without problem. All thanks to the reincarnation of Jesus Christ himself! And the great new realignments in the Geo-Political area that Mike Pompeo has mapped out.

Yeah. I get it.

I was like youse guys.

This God damn fucking clown painted a big red bullseye on China. Then this fucking jackass carpet bombed China with FUCKING seven (S-E-V-E-N) bio-weapons. First to destroy all the food, and then to destroy the Chinese people. All these honking big assed viral agents are so enormous that it takes weeks for them to dissipate under normal H-UV.

Then he steamed five carriers comprising three separate assault armadas to the South China Sea. Yeah, and you all laughed and clapped so happy that Donald was going to finally “teach China a lesson”. Woo Hoo! Weeeee!

Except it didn’t work out that way. Did it? Nope. The USA (and British) navies were thoroughly tromped by the Chinese, and the navies slithered back home. It was a MASSIVE DEFEAT. And I am going to write about it… soon. It’s a very interesting story. And one that you won’t read about anywhere else.

The USA got it’s ass handed to them. Bet ya didn’t read about it on FOX, CNN or MSN, did you?

You see, America is crude and judges by appearances only. And China presents a nice pleasant face while holding some very strong and powerful capabilities. It’s an often over looked aspect of China. One that only idiots and fools make.

Only fools misjudge by appearance.

Only an idiot would judge a person by it’s appearance. You need to see the entire picture in great detail. You do not make a cardboard cut-out, and throw darts at it. Thinking that there won’t be any repercussions. Oh there will be. And Trump has sent in motion some very long-term negatives for the people of the United States.

So. No. I’m not going to give him a pass. And neither is anyone else.

RANT – WARNING – RANT -WARNING – RANT – WARNING

Anyways… Phew! I’ve got off the subject again.

All in all, I was prepared. More or less. The news about the trip and the resulting plans were given to me the day before the event. Normally this would be considered “short notice”, but I was ready. And super willing, let me tell you.

It is no accident that I was ready and willing to visit a factory and talk with colleagues. This is, and has been, an important part of my life. What is a restaurant without customers? What is a hospital without patients? What is a pet store without pets? Meeting and talking about product design, development and manufacture is my life. And it has been asleep ever since Donald Trump decided to unleash the pandemic upon the world.

So when the time came, I was up for it.

And it pretty much went well.

The drive to the factory

Most of the factories are far away from where I live and my offices. Typically they are at least a one hour drive, and this one was a four hour drive. We hit the road and drove out of the various third tier cities until we hit real countryside, and then it was just the winding band of the highway rolling in and out through tunnels and over these enormous bridges.

Driving to the factory.
Driving to the factory.

Urination and smoke breaks were every hour or so. And we made good time. The rest areas were pretty much the same, though the pandemic had really put a “damper” on the travel plans of many a Chinese citizen, and so some of the normally open venues in the various plazas were shut down, with rolled corrugated doors locking things silent.

I would say about 20% of the stores and “fast food” establishments were shut down.

But the driving was nice. We drove through the green hills, under a nice blue sky with the mandatory or obligatory white cumulus clouds. It was fine, if long.

And it was great to see my friends and associates. They looked good. And it was great to sit down and drink tea, smoke some cigarettes with them, and generally deal with the matters at hand. On the work scene, it was great to get back to a factory, and the smells and the feeling of the environment really energized me.

After doing our business at the factory, we de-camped for the night’s festivities. Left left the car at the factory and then from that moment on, we were under the full hospitality of the factory and our fellow boss friends.

Dinner

Of course, the factory was in the more rural areas, and so we drove for about twenty minutes to a larger town. You might refer to it as fifth-tier city. And there we sat down on the veranda while the table was being laid out, and the alcohol was being decanted.

First Mistake

From now on, I will always insist on either White Wine or Red Wine. No "hard alcohol". This was a fake VSOP, and they offered it as homage to me, but I know fake, and fake alcohol is very bad for one's health.

This is an issue that should have been brought up by my aide while at the factory. As they discussed the drinking libations in the office over tea. I need to step up my game, I'll tell you what.

We had some delicious food. As you all can well see. It was some local Guangzhou fare with (hairy) crab, shrimp, fish, turtle, shellfish, and more.

Endowed with criss-crossing waterways on the Pearl River Delta and a meandering coastline along the South China Sea, Guangdong Province is a paradise for seafood lovers. Archaeologists suggest that the history of Cantonese seafood diet can be traced back to as early as 10,000 years ago. Today, fresh seafood still plays a prominent role in Cantonese culinary culture.

Steamed fish.
Fresh fish. Steamed with light local seasoning.

Guangzhou is the capital city of Guangdong, which is famous in China and throughout the world as the home of Cantonese food, known here locally as Yuecai or 粤菜. Cantonese food is perhaps the most famous Chinese food around the world, mainly because the first and second wave Chinese immigrants came from the south of China; Guangdong, Fujian, and Hainan.

And it is a center of food. Well, we were in a small town of Gurandong, and the local regional tastes of the food were unique and special. Really special and very delicious.

Seafood in Cantonese cuisine is famous for its optimal preservation of authentic flavor and scintillating freshness. Steaming fish seasoned with light soy sauce, ginger and spring onions is one of the favorite dishes for Cantonese foodies. Only the freshest fish can be selected to cook in this fashion; otherwise, strong spices and juices are required to cover the repugnant odor. The time used to steam the fish also needs to be strictly controlled to ensure the perfect tenderness of the texture.

Fresh steamed river shrimp
Fresh steamed river shrimp.

Guangzhou, near the sea, is rich in seafood, offering many delicious dishes. Reputable seafood dishes cover Salt and Pepper Shrimp, Salmon Sashimi, Steamed Scallops with Minced Garlic, Curry Crabs, Cheese Lobster, etc.

Deep-fried garlic with rice noodles is a widely accepted dressing for steamed shellfish such as scallops, oysters or prawns. Springy scallops or prawns are boiled and garnished with garlic and rice noodles. Cantonese people prefer the light and delicate dressing that brings out the natural sweetness of seafood.

Shellfish with garlic, ginger and peppers.
Shellfish with garlic, ginger and peppers.

Historically, Cantonese foodies’ craving for seafood used to be largely constrained by poor storage conditions. But, you know, back in the 1980s, the seafood restaurants introduced fish tanks from which waiters would pull the still live animal, weigh it and send it to the chef to be cooked.

Today, such “cook-to-order” eateries are a popular and iconic practice in all Cantonese seafood restaurants.

Check out my hairy crab.

The hairy crab that I demolished. Delicious.
The hairy crab that I demolished. Delicious.

.

You know, all food aside, it was this decision to accept the fake alcohol that was the big mistake that affected the rest of the night.

Now, I was well prepared otherwise.

I had taken four capsules of the anti-alcohol herbal remedy twenty minutes before dinner, and then again about one and a half hours into the meal. The stuff works. You will feel the effects of the alcohol, but it won’t be all that bad.

Always take the JinBao medicine when engaged in these adventures.

The problem is that it doesn’t work with fake alcohol (locomotive degreaser). It only works with real potable alcohol.

Anti-alcohol absorption medicine. You take four capsules at least 20 minutes before drinking. It will greatly retard the absorption of the alcohol into your blood system.
Anti-alcohol absorption medicine. You take four capsules at least 20 minutes before drinking. It will greatly retard the absorption of the alcohol into your blood system.

So…

Well, I did take the medicine and it did help somewhat.

But the problem was that this stuff was fake. You could take it the moment you took a sip. Hennessy is smooth. This was like drinking gravel. While it is possible that it was just locally distilled spirits with a name of a well-beloved Western alcohol on it, it is just equally possible that it has other “alterations” and substances included to make it more “delicious”.

How to distinguish a fake from Hennessey original

Cork - sits tightly and does not "go" from side to side with a slight effort. It has a company logo and brand name.

Bottle and container - no damage, scuffs, cracks and so on are allowed.

The original cognac is produced in a more pot-bellied bottle. In addition, the capacity has a large width in comparison with a fake.

Fake Hennessy has the color of weak tea with lemon. The original features a rich cognac color.

The absence of an excise stamp is the brightest sign of a Hennessey fake. If the excise stamp has blurry letters or text in a foreign language, then this is also the most obvious sign of non-original products.

The original cognac has excise stampin which in Russian (in the case of Russia) the volume of the container, the name of the beverage and the duration of exposure are indicated

The bottle of original cognac is engraved in the form of clusters and grape leaves.

The front side of the original bottle has a sticker, on the back side of which there is a Hennessy brand logo.

The bottom of the original bottle has a clear symmetrical pattern.

In Russia, you can not buy Hennessy cognac volume of 0.5 liters. If you see a container of such a volume, before you - fake products.

-How to detect real Hennessy from fake. Cognac "Hennessy …

What ever those other things were, they pretty much gutted out this ol’ body of mine.

Medicine bag

Every traveler to China for business needs to carry with them some medications. You might never need them, but if you are an “old fart” like myself, you probably will need to have them on hand.

Of course, you need to be able to slow down the absorption of alcohol into your system. If you are over 40, this is mandatory. Thus the picture above of the Jiu Bao.

And, being older, you will probably need some kind of antacid. I was prepared in this area as well. I carried two different types. Both are similar to the TUMS that you can buy in the United States. Antacid is very important. Whether it is just a ton load of good alcohol, or a few glasses of fake alcohol, or seafood, or spicy food, or the side effects of ED medication, you will need to have something to control your stomach upset. Here’s what I brought…

antacids.
Antacids used during the next day on the trip back home.

.

Now you all shouldn’t misunderstand. The purpose of this business meeting and fun is to have and build a good and great relationship. This means that I must give the host the best face as possible. You do not, NOT, refuse anything.

In general, to avoid all these problems, you will need to really reduce your alcohol intake. Yet you must do so without losing face to the other bosses. The way to do this is to do something that I forgot to do. I needed to tell the other bosses that I have a “weak stomach” and that I couldn’t have anything spicy, or drink too much alcohol. And they would have honored me. I would tell them that I could drink jut a little bit, but if I drank too much, I might get really sick and then show them this bottle…

Do not drink too much.
My excuse to lower the amount of alcohol that I drink.

.

Now, most people who have never been to China won’t understand, and teetotalers won’t get it. Just like Donald Trump and Mike Pompeo couldn’t “get it”. In China you are judged by how much you drink. If you do not drink, you are not trusted.

Which pretty much doomed Donald Trump’s meetings in China from day one.

So what I should have done was drink some, and then refrain from serious drinking. I could participate on a few “bottoms ups”. But no more than ten. And then just sip on some sudsy beers in the KTV.

Then shown them this bottle of medicine to that they wouldn’t lose face. (The medicine is for hard drinkers who are starting to get alcohol-related tears or problems int heir stomachs. It’s worked for me before, but it’s been so long since I’ve done this that I had forgot the most basic rules for an older man going to a KTV; seriously reduce your alcohol content.

After the dinner and off to the KTV

Now, I knew that this particular visit would include a KTV visit, and this KTV visit would include girls. Every other time it did, and I have had some remarkable times at these establishments with these folk.

So, right off the bat, on the day of the trip I took a Cialis in the morning.

It is advertised that the Cialis would take at least three hours before it could even be considered to work, so why so early? Let me tell you a little story…

Just like there is fake VSOP, there is fake Cialis. Mostly, the fake Cialis is a mixture of Viagra (Sildenafil). Now, this is NOT what we want. The purpose of the Cialis is to "lay forth a ground work foundation" where my old-man body starts to respond to sex like it was 40 years old. And Sildenafil doesn't do that. It is an ED that gives you an erection that lasts for about 40 minutes, and then it is gone.

So that is what happened to me once. I am ready to go, and am heading out to the countryside, away from a pharmacy, and I plopped in this drug only to find myself getting an erection 15 minutes later is the car on the way to the factory. And then absolutely NOTHING when I really needed it, later on in the night.

So by taking the Cialis early on in the morning, you can see if it is fake or not. If it is fake, then you can troop over to a pharmacy and get something else. But at least you will be ready.

And for me, yeah. It did kick in when I needed it, but the fake booze was too strong and far too fake.

The KTV

Look, I’ve talked about the KTV before. Big room, singing and dancing and all that. This KTV was a big place with tons of girls, but a little tiny sign pointing to a parking garage. It was nice, and big and had all the trappings. Maybe not as nice as other venues that I have played in, but this one had a great an diverse selection of girls and the prices were really reasonable.

Early on in the KTV.
My aide talking with the factory boss at the KTV. When we just sit down and arrive.

.

The first group of girls being trooped out were short-time girls. And my aides made it clear that I wanted long-time girls for the night. So they trooped out and a new batch after batch, after batch came out. Our factory sponsor got a free girl to play with, I guess that she was new and “learning the ropes”. And I was told to pick out two or three girls, and I declined. (What was I thinking?) and I settled on the first girl, in the first line up. WTF?

A pretty lass, for certain, but I was not up to my game.

Next time will be different.

Long time girls.
The long time girls trooped in in squads of eight or so, there must have been about twenty or so groups before we were satisfied.

.

And we played some dice, drank some beer, and then at 11:30 pm, my aide came and told me that it was “Cinderella time” and I and the chick were driven to our hotel for the night. This was not an accident. This is a SOP from now on. My aide stayed behind and got shitfaced drunk until 3am at the KTV with the other bosses and managers, while us top Bosses either went back home or went to the rooms with our gals.

Cinderella rules are in effect, and all arrangements must be pre-arranged.

Full time girls are multiple shots, but all I wanted to do was sleep. So we slept and got to play around at 7 in the morning the next day. And after she left for home, I started to compose some notes on what went right and what went wrong. And here they are…

What I did Right

Being myself, and having to wait for a long, long time for such an opportunity to be at a factory and to meet with other bosses was a great thing. And I made sure that I was ready. So I did many things right.

Brought and took the alcohol-reducing medicine. This helped a lot, but the medicine could not do anything about the fake booze. No matter what you take, the locomotive degreaser that you drink will mess up your body surer than shit.

I wore the appropriate clothing. I checked the weather reports for the regions we were going to. I dressed to fit my role and made sure that it was comfortable and appropriate for the venue.

I took Cialis in the morning. The only thing is that instead of three hours to work, it didn’t seem to do anything until 12 hours later. But it was “good” and “real” and it did it’s job. It’s just that I had to get a lot of the alcohol and locomotive degreaser out of my system first.

I brought Viagra and took it. But the timing was off. It did not start after 15 minutes. It is unknown why not. Maybe the fake alcohol. Instead, I couldn’t so anything. So we went to sleep. It took 3 hours to turn on. And we were both asleep, and by the time it finally did actually kick in, my chick didn’t want to have sex then. Now, I had taken the medicine at the right time, on the way to the hotel. But it did not actuate. In case anyone is aware, if you drink so heavily, and the Cialis is suppressed, a boost of Viagra will kick things in motion.

I brought extra condoms. Usually I rely on the girl to have the condoms. Don’t. If she runs out, then what? Always have some condoms on you. They are not expensive and you can select the size that you prefer. I didn’t need or use them in this instance, but I was a good boy-scout.

Left KTV early at 11:30pm. Me and the other boss went our own ways. This was pre-planned with my aide(s) and it makes life much simpler. In effect you box in the events of the day. You know when you will eat, party, and sleep. You have control over the timing, not your host.

Got a long-time girl, who was briefed in expectations. This was also pre-arranged. No short time girls. A long-time girl will spend the night and you will get the opportunity for multiple shots. You will also be able to rest. These meetings while there is a fun component to them are always stressful, as you can see by the medicines that I must take. What was she told? Multiple shots, all pre-paid, and not to bother me with tips or charges.

Brought antacid. Initially, I questioned myself, but figured better ready than sorry. And I was so very correct in bringing the medicine. I had terrible gas the next day, and my stomach was a gurgle all the next two days.

Brought stomach medicine to show as an excuse to control drinking. Yes I did, but I FORGOT to show it. WTF? So, even though I had it on me, with me, and ready to pull out my jacket pocket, I forgot all about it, and even when I saw them pull out the VSOP (which I knew was fake, from prior adventures) I still held back. Never again.

Brought a change of clothing. The days of an over-night business trip and returning home with the smoke-filled alcohol smelling clothing are over. I had brought a fresh change of comfortable clothing and it was really nice to put on the next day.

Selected a cheaper inexpensive hotel early on. I had previous adventures with this particular factory where the girls would select the hotel for us to stay at. Not us, and not the factory bosses. And the girls, well, they selected some really pricey ones, and the prices were so high, we suspected that they got a cut out of the rate. This time the factory boss selected the hotel based on our criteria and he handled all the details and got the room himself. So we did not need to deal with it.

What I did wrong

I did many things wrong, and they all detracted from my enjoyment, my health and my happiness. I tell you this that they will not be repeated. OMG! When I see a bottle of XO, VSOP or any other hard alcohol, the alarm bells should be ringing! “Do not touch that!”

I drank hard, fake alcohol. I should have shown the stomach medicine bottle and refrained from heavy drinking of hard, fake alcohol. I could, and should have drank only a sip. A sip as in a tea-spoon.

Moderation in smoking. I should have severely limited my cigarette intake. I needed to accept but only occasionally, and then only taken a few precious few puffs. Not more.

Tended to my ejaculation discipline. What is the point in getting a long-time girl if you cannot get multiple shots? Eh? My aide had specified 2 shot ejaculations. Before and after sleep. But I was not up for it. The fake alcohol tore me up. (And he was stunned that I did not take advantage of this opportunity.)

Brought a spare bottle of water. The two bottles in the hotel are never enough. After drinking you need water, and so you need to make sure that you bring an extra bottle with you to have on hand.

Brought some instant coffee. This is not a critical issue. But most hotels in China do not have coffee, or serve coffee, and if you get a breakfast (most of these kinds of establishments don’t offer that service) won’t have coffee. So you bring your own. I should of brought a few packets. Just keep them in my kit in case I needed them. It would have been nice.

Bring aspirin. What was I thinking? Always bring aspirin.

Bring / Use a cock-ring (even if it is homemade). A “cock ring” is a mechanical contrivance for older men, and for men with ED. Cock rings are rings that are worn around the base of the penis and sometimes the testicles to make erections harder, bigger, and longer-lasting. They come in different materials, from flexible silicone and rubber to leather and metal. A ring for ED works by slowing the blood flow back from the erect penis, allowing it to stay hard longer. It works best if you’re able to get a partial or full erection. The thing about this is that I have always considered this a sex toy, but now as an older man, I see it as a prosthetic to help me maintain an erection. Don’t be proud. If you need help in the bedroom, you need to get all the help you can. Make sure that the size fits you and make sure to wash the device afterwards.

Exercise (pushups, sit-ups and arm curls). For months prior to the trip, I had stopped exercising. When the time came for fun, I was out of the game. You need to make sure that you have a minimum level of physical exercise.

When offered multiple girls – accept. Uh. Duh! Do not let bad fake alcohol throw you for a loop. Keep with the plan. Be up to the task and make sure that you up your game.

Some final words

From a business point of view, the trip was a success. I had some gains, and I really enjoyed visiting the factory and meeting up with my friends and colleagues. It was really great. The food was outstanding, the friendships were strengthened, and I made some new friends in the process.

But, I made some mistakes. Mistakes that detracted from my enjoyment and pleasures, and possibly damaged my health. And I wasn’t the only one to notice this.

When I came home my wife was furious! “How stupid was I to let myself get so drunk that my weekend following the trip was so lame.? I should know better” And she was right. I do know better, and the Trump Pandemic interruption to my normal state of affairs is no excuse.

Don’t be like me.

  • Plan ahead.
  • Know what to expect.
  • Avoid fake and hard alcohol like your life depends on it.
  • Make sure your aide knows what arrangements to make.
  • Be healthy and maintain that health.
  • Remember that you have two tasks; conduct business, and maintain face.

Focus.

And hopefully you’ll all have a great time in your future adventures in a business KTV.

I’ve got another one coming up. Let’s see if I can handle it better. We will see.

Do you want more?

I have more posts on this subject in my Business KTV Index here…

Business KTV's

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

The sad story of American Women – Part I

The American society has devolved into a heartless “dog eat dog” world where it is every person for themselves. I have blamed the progressive “improvements” on this trend, but in truth there are many reasons, and many causes. And a simple black and white card-board cutout will not provide all the answers.

When I see American women today, I feel sad.

It’s almost like they are trying to perpetually trying to stay in their 20’s. In looks. In actions. In dating. In relationships. In everything.

They are not moving on. They are not considering families, generational growth. Growth as a person, and growth in spiritual, mental and emotional venues. They are somehow entranced in this idea of a perpetual “Springtime”, and not looking at the beauty of Summer, Fall and Winter.

There’s a lot that I can say on this level and on this subject, but as a man I am restricted in speaking about what I know. And what I know is this… As a man, you don’t actually start living life until you pass your 50’s. It is there when you really come into your own.

Now…

That’s for men.

For women, it’s different.

Women go through stages of growth. And I find that the woman in her 30’s and 40’s much more “rounded out” and healthier (emotionally and physically) when they were younger in their 20’s. And if they take care of themselves, maintain an active (face to face) social life, can extend this attribute (characteristic) up and into their very senior years.

But that is just me, and a 20-year old won’t have any understanding what I am talking about.

Sigh.

Anyways, there’s a bunch of articles out there about women who have foregone raising a family and having long term relationships in favor of a career or a life of eternal flings. These are sad people. They are lonely, shallow and fucked up in the head.

People, it’s our relationships with each other that enables us to grow.

You don’t have relationships…

…you don’t grow.

Here’s a good article titled “She needs more men!” and posted way back in November 18, 2012 by Dalrock. It is reprinted as found, but modified to fit this venue. I included the pictures of drag-lines for nostalgic purposes, but note that all credit to the original author.

She needs more men!

Badger writes about a woman at a dinner party who recently tried to enlist him in her friend’s quest to keep her dating hopper filled with new men:

“Do you have any normal friends for my friend to date?”

Vaguely confused by the hasty presumption that I was a dating sourcer, but detecting an opportunity for a silent manosphere laugh, I replied “you’d have to tell me more.”

“Well, she’s been dating guys from OKCupid and says she just can’t find any normal guys there.”

Now I was irked. It would have been one thing if she said her friend worked long hours with all women and just wasn’t meeting men, or had had trouble getting back on the carousel horse after a breakup. 

But she’s swimming in men and is striking out wildly. 

And I happen to know that a significant portion of the young men in my city are on OKCupid, so I know there’s a few good fish in that pond.

As Badger points out, the woman is presuming quite a lot in expecting a stranger to fix her friend’s dysfunctional dating strategy:

The fact that she saw me as a possible conduit for her issue of the day smacked of a combination of megalomania and an appeal to the male instinct for problem-solving – “maybe you can help me fix this!” 

Expecting me to leap into the coat closet and re-emerge in my Captain Save-A-Ho suit, ready to line up cannon fodder for her chica amiga who couldn’t generate her own romantic sales leads.

What strikes me even more is the widespread denial of the larger issue.  Once a woman sets out with a strategy other than one and done marriage, she all too often becomes a ravenous beast with a need to constantly fill her hopper with more men.

Most of these men will be either outright rejected or (worse) added to her stable of beta orbiters.

Strip mining for men.
Strip mining for men.

Strip mining machines are typically stuck for life in the very pits they create.  While younger prospectors are starting up in rich ground, as a strip miner for men ages she ends up forever reprocessing less and less promising tailings.

A drag-line.
Strip mining for men. A drag-line.

Eventually nearly all of the most promising ore has been lost forever in an unintended environmental impact or has been snapped up by more astute miners. 

Even if she does come across a promising nugget, the years and hard mining operations have taken their toll;  her battered sluice box can no longer even slow down most nuggets, let alone retain them.

This is why if you come across a site focused on single women (or single mothers), there is a never ending obsession with feeding the hopper.  More men.  More men.  Must find more men.  Anyone and everyone is enlisted in the obsessive task of devouring through mountains of men in an attempt to relive the glory days of their early mining experience.

To be fair, the constant need for new romantic prospects isn’t limited just to choice addicted women in today’s Sexual Marketplace (SMP). 

Those men who find themselves repeatedly in the first 2-3 stages of the strip mining operation have little choice but to look for new hoppers to throw themselves into. 

Some men have figured out the business of dealing with strip miners, and deliberately set out to play the role of hookup, fling, and (as part of a soft harem strategy) boyfriend.  A much larger group of men unwittingly end up playing the role of forever scraped aside top soil, beta orbiter, and the sucker who chivalrously pays for dates with the miner while she has sex with rockbanddrummer for free.

But either way there is a critical qualitative difference.  Those men who are setting out for a life of sexual variety are very honest about the moral and practical reality of their choice and the continuing need for new ore in the hopper. 

They aren’t pretending to be seeking the one, and therefore don’t need to lie to themselves and others about the nature of their operations. 

As a result, they are able to come up with effective strategies to keep operational costs down and efficiently retain as much of what they are seeking for as long as possible.

It is worth noting that the entire process is often mistaken for something more quaint due to the denial at the core of the operation.  Strip miners work hard to associate themselves with the romantic image of their grandmothers and even sisters who spent a few years in their late teens and early twenties carefully panning for a husband. 

Finding a proper husband is no small task, and many women still quietly take this seriously. 

They understand that devouring mountains is counterproductive, and instead work to locate rich areas to prospect and carefully sift to avoid discarding the prize or falling for the flash of fools gold. 

They don’t attract the attention of the much more visible strip miners because they aren’t devouring mountains, and when they think they have found a good prospect they aren’t about to tip their hand to other miners.

Wise prospectors also understand the vital importance of holding on to their gold once they find it.

Conclusion

It’s a cute story and great narrative about dating.

All men, who have endured a divorce and then were thrust back into the later-life dating scene, has experienced these kinds of gals. Oh not everyone is out there strip-mining for men. Maybe only one in ten. But they are out there.

And it is sad.

In certain ways it’s like the little kid that that uses up a box of tissue to clean his nose. One after the other. Pull out, wipe, and then discard. Over and over again. Not paying attention that the box is running out and the fresh clean tissues are all used up.

Nothing is better in the world than having relationships.

That is with men, with women, with associates, and with colleagues. Relationships are what adds color to our lives and depth to our souls.

I, for one, have a very large and healthy collection of friendships. It was one of the things that I set out to do when I moved to China. It turns out that most people like food, many like to drink and a large percentage love music and playing around. Sure beats staring into a blue glow of a computer monitor late at night.

Men, women, we all need each other.

Don’t be a lone-wolf. Be part of something bigger.

Do you want more?

I have more posts in my Happiness Index here…

Life & Happiness

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

How the Late Rock God Eddie Van Halen Hacked His Guitar

Well, this year has really been something. Hasn’t it? First the Coronavirus and then everything from killer zombie hornets to the death of Eddie Van Halen. Next thing on the list is Global Thermonuclear War. Well, that’s what the neocons in Washington DC, and Alex Jones are all pushing towards. Jeeze! Can’t we all get a break?

Yeah. Eddie Van Halen died.

I guess when you get older you start seeing your family and friends die off. It’s a sobering reality. And pretty unnerving. I have close friends who have cancer and some who survived it, and some that didn’t. I have friends that have died from AIDs / HIV, and friends that disappeared off the face of the map. I have friends that I no longer talk with regularly, but still consider them an important part of my life.

Change is normal. It is to be expected.

It’s just that some of this change is unexpected (it shouldn’t be) and is a shock when it happens.

Eddie is supposed to be the best guitarist in all of history. I don’t know about that. I would think that Rory Gallagher and Robin Trower would give him some serious competition for that role. But all that is like comparing XO with VSOP. Both are great beverages that you drink during good times. All is good, and everyone is equally great.

Now the thing that I really like about Eddie Van Halen is his tinkering with the mechanics of the guitar and the embrace of new techniques and styles. He used these new changes to change what the guitar is and how it is used. The world has been a better place ever since.

As this fine song illustrates.

Why Can’t This Be Love – Eddie Van Halen

Well, one of the things that I love about Eddie, aside from his fantastic music is his love of hacking mechanical things. It’s one of my pleasures, and I’m not referred to as a “mad scientist” for nothing. Here’s a great article on this subject.

Check it out!

The following is a complete 2020 reprint from a Popular Mechanics 2015 article in Popular Science titled “How the Late Rock God Eddie Van Halen Hacked His Guitar”. It is reprinted as found, and all credit to the author; Eddie Van Halen.

How the Late Rock God Eddie Van Halen Hacked His Guitar

The legend didn’t just transform the guitar forever—he even patented his game-changing innovations. Here’s how he redefined rock and roll, in his own words. By Eddie Van Halen Oct 6, 2020

Eddie Van Halen and his guitar.
Eddie Van Halen.

Eddie Van Halen, the legendary guitarist and leader of the pioneering metal band Van Halen, passed away on October 6, 2020 at age 65, after battling cancer. Widely considered to be the greatest guitarist of his generation—and maybe of all time—it isn’t a stretch to say the rock god influenced every modern player who came after him. Van Halen’s wildly inventive innovations, including tapping, or the act of playing the guitar using both left and right hands on the neck, redefined what musicians could do with the instrument—and what rock and roll music could sound like. Van Halen even patented some of his game-changing techniques.

Van Halen wrote this piece for Popular Mechanics in 2015, discussing his patents, rebuilding his guitars and amps, and searching for his signature sound. To honor him, Pop Mech is reprinting the article in its entirety. May he rest in peace.


I’ve always been a tinkerer. It comes from my dad. Growing up, we lived in a house in Pasadena that had no driveway. You used an alley that ran through the middle of the block, behind all the houses, to get to your backyard or the garage. Well, the neighbor behind us had a U-Haul trailer up on car jacks and loaded with cinder block.

One night my dad came home from a gig at three in the morning. He had a little heat going, he’d had a few drinks, so he says, “This thing is blocking me from getting in again.” So he got out of the car and tried to move it. As soon as he lifted the trailer, the jack fell over, and it chopped his finger off.

This was a problem. Besides the obvious reasons, he played clarinet and saxophone. On a sax, you don’t need to seal the hole with your finger. A valve closes over it. But with a clarinet, you have to seal the hole, so he took a saxophone valve cover and adapted it to work on his clarinet.

Another funny thing was later in his life, when he started losing his teeth. You need your bottom teeth to play a reed instrument. Instead of going to the dentist, he made himself a perfectly shaped prosthesis out of white Teflon that filled the gap where his teeth were missing. He slipped that in when he had to play. Watching him do that kind of stuff instilled a curiosity in me. If something doesn’t do what you want it to, there’s always a way to fix it.

Stock Guitars

My playing style really grew from the fact that I couldn’t afford a distortion pedal. I had to try to squeeze those sounds out of my guitar. The first real work I did was in my bedroom. I added pickups, because I didn’t like the sound of the originals.

I couldn’t afford a router—I didn’t even know what a router was—so I started hammering away with a screwdriver. That didn’t work at all. Chunks of wood flew off and there was sawdust flying all over the place. But I was on a mission. I knew what I wanted and I just kept at it until I finally got there.

I couldn't afford a distortion pedal. I had to try to squeeze those sounds out of my guitar.

Most guitar necks are too round on the back, so I took sandpaper and reshaped the neck to be very flat. I actually refretted a few guitars early on because I wanted to shave the fingerboard down and make the neck even flatter. The flatter it was, the farther I could bend a string without fretting out, or choking the sound when the string hits a fret higher on the neck.

The other issue, with Fenders, at least, was the clear lacquer they’d put on the neck. When you sweat, your fingers either slide all over the place or get sticky. I couldn’t stand that, so when I built my first guitar, I used natural wood. My own sweat and oil would soak in to make it smooth. It took a lot of playing to get it that way but, eventually, it just felt so much better than any synthetic product you could put on there. This content is imported from YouTube. You may be able to find the same content in another format, or you may be able to find more information, at their web site.

https://www.youtube.com/embed/z_lwocmL9dQ?v=z_lwocmL9dQ&start=0&enablejsapi=1&origin=https://www.popularmechanics.com

The Whammy Bar

Vibrato bars (also called whammy bars or tremolos) just didn’t stay in tune. The problem was the nut—the string guides at the end of the guitar neck. On the first album I used a standard, nonlocking Fender tremolo. The string is angled down from the nut to the tuning pegs, creating tension that, after the string slides back and forth when you use the whammy bar, keeps the string from returning to its original slot. I made my own nut with really smooth indentations—big and round like the bottom of a boat. I put a drop of 3-In-One oil in there, too, so the string would be extra slippery. Advertisement – Continue Reading Below

On top of that, instead of winding the string down on the tuning peg, creating an angle and causing that tension, I would wind it up so that, from the nut all the way back to the bridge, the string was level. Otherwise there could be hangups in the nut that would make the guitar go out of tune when you went crazy on the whammy bar.

The only problem this caused was when you hit an open string, where your fingers aren’t holding it down. Without that tension, the string would pop out of the nut slot, so I’d have to remember to put my finger on the far side of the nut to hold things together.

Eddie Van Halen working in his garage.

Amps

If it was movable, or turnable, or anything that resembled something that could go up or down, I would mess with it to make the amp run hotter. I opened the amp up and saw this thing. I found out later it was a bias control, which controls the power to the output tubes. I’m poking around, and all of a sudden I touch this huge blue thing and my God, it was like being punched in the chest by Mike Tyson. My whole body flexed stiff, and it must have thrown me five feet. I’d touched a capacitor. I didn’t know they held voltage.

The Marshall amp I brought home from the store where I worked was only good if you turned it all the way up. Any lower and you’d lose the distortion. I needed that, but it was impossible to play anywhere with the volume that loud, so I tried everything, from leaving the thick plastic cover on it to facing it backwards to putting it face down. I’d blow a fuse twice an hour.

I touch this huge blue thing and my God, it was like being punched in the chest by Mike Tyson.

Luckily, I stumbled onto the Variac transformer soon after. I’d bought another Marshall amp, and I had no idea that it was actually a European model. I plugged it in, and I’m waiting for it to warm up and thinking, I got ripped off here, there’s no sound coming out! Pissed off, I came back an hour later to give it another shot.

I’d left the amp on the whole time. I didn’t know it was set on 220, so when I turn my guitar on it sounds like a full-blown Marshall, all the way up, except really, really quiet. That was when I realized there was something going on with the voltage. There were these cheesy light dimmers in the house, and I hooked it up to one of those.

Of course I wired it backwards and shorted out the whole house, so I went down to a place in Pasadena and asked if there was some kind of industrial-size variable transformer that would let me adjust voltage, and they introduced me to the Variac. It’s just a huge light dimmer. I plugged it into the amp and controlled the voltage from that. That became my volume knob. I would set the voltage depending on the size of the room we were playing, getting all that feedback at any volume.

Pickups

My first real guitar was a Les Paul Goldtop. I was a total Eric Clapton freak, and I saw old pictures of him playing a Les Paul. Except his had humbucking pickups, and mine had the soapbar, P-90 single coils. The first thing I did with that guitar was chisel it out in the back and put a humbucker in. When we were playing gigs, people kept saying, “How is he getting that sound out of single—coil soapbar pickups?” Since my hand was covering the humbucker, they never realized that I’d put it in.

When my guitar was black and white, I cut out my own pickguard so it would cover the holes from the pickup I’d removed. But when I painted red on top of the black and white, which is how it is now, it didn’t look cool with that black pickguard. It covered most of the paint job. I decided just to take the switch and cram it in the middle and put a nonworking pickup in the front because I didn’t use it. I wasn’t trying to trick anyone. Bottom line is, I didn’t know how to hook it back up. Advertisement – Continue Reading Below

The last real step for me was adding paraffin wax to my pickup. Pickups can have this really high-end squeal, like the annoying screech of feedback you sometimes hear when someone speaks into a microphone. I thought maybe what was causing that with a guitar was the coil windings vibrating. So what I did—and I have no idea where this idea came from—was buy a hot plate and bricks of paraffin, and borrow a Yuban coffee can from my mom to put the wax in.

Of course I ruined a lot of pickups, because the plastic frames would melt before I had a chance to yank the pickup out. But finally, when I had a chance to really keep an eye on it, as soon as I saw the pickup start to heat up and shrivel a little bit I’d yank it out.

Man, the first time I put that in—between the Variac, the beast that Marshall was, and now the pickup not having unwanted feedback—the combination was just ideal. That was heaven to me. When all those things came together, it was like, okay, I’m going crazy with the whammy bar, I got my Marshall with the Variac, there’s no stopping me.

🎸 The Patents of Eddie Van Halen

U.S. Patent #388117. Guitar peghead: Placing the tuning pegs on the opposite sides of the headstocks helps the strings hold tension. It also obviates the need for string trees, guides that clamp down on your strings and hinder string replacement.

U.S. ­Patent #4656917 ­Musical instrument support: A bracket that swings down from the back of the guitar, supporting it at a 90-degree angle from your body and letting you play the instrument like a lap guitar.

Eddie Van Halen Patent.

How to Play Like Eddie

(Or at least look a little more like him when you do play.)

Van Halen started manufacturing his own equipment in 2007 under the brand EVH Gear. His newest offering, the Wolfgang WG Standard, launched in the spring. Named for Van Halen’s son, the entry-level guitar is made from extremely lightweight and porous basswood, providing the perfect resonance for musicians who are heavy on the treble and fade. The neck is maple, with a deliberately minimal satin finish.

More Good Stuff

From Eddie Van Halen. Enjoy.

Panama (Live) – Eddie Van Halen & David Lee Roth (on vocals)

Conclusion

I first saw in in 1982, in a Miami concert starring Journey, Sammi Hagar (the Red Rocker), Aerosmith and David Lee Roth / Eddie Halen. At that time in my life, I had just left the Navy and was chilling out in Fort Pierce, Florida with a girl I was living with at the time. And the news of the concert came out and we bought tickets.

It was a glorious time.

Met my first Cuban girls at that concert. It lasted all day, and they turned the hoses on us to keep us all cool from the hot Southern Florida sun. He rocked. It was an amazing time.

Memories.

You know, you get old and the people that you can share your memories with get fewer and fewer. Pretty soon, I will be another old dinosaur. Already people are unaware of such things as…

  • Rex fast food restaurants.
  • They stand incredulous when I say that phones used to be on the wall connected with a wire.
  • That cameras took pictures with a limit of only 12 to 24 pictures possible.
  • Water used to be free.
  • A week’s worth of gasoline was under $5.

Ai!

This is my tribute to a great man, a fantastic guitarist, and a fellow tinkerer and inventor. I do believe that he lived his life well. And that’s it, isn’t it? To live life well, good, make a difference int he world around you and move on to even bigger and better things.

I cannot think of anything better to say than…

Mr. Eddie van Halen, I salute you, you God damn glorious bastard!

I salute you.

AC/DC – For those about to rock, we salute you (Live)

Do you want more?

I have more posts in my Life & Happiness Index here…

Life & Happiness

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

The big lie that everyone is equal

It’s really a pretty big lie that everyone is equal. No one is.

We are all different. We have different types of hair, different ways of thinking, different likes in food, different views of attractiveness, and different ways of handling things.

Conformity is a tool used to control groups of people.

When you need to handle unruly herds of people, or things (like herding kittens), you need to create rules and ways of handling things. Back in the days when organized schools first started popping up, the fierce independence of the children were bleached out of them to make room for order and control.

During this time, as the children grew up and became adults they began to consider that level of control and uniformity as necessary for “a great society”. And when the adults became politicians, they repeated that action and placed rule after rule, and law after law. One after the other. All in an effort to make a well-organized, well-run nation.

But it’s not really true.

Independent thought, differences in ability, thoughts, emotions and opinions are what makes the world an interesting and colorful place. You really don’t want to relive the old days of conformity under some kind of grand master plan do you?

We try to force people into boxes to make them equal. You know, sort of like the scene in the Movie Pink Floyd’s “The Wall”, where all the students are being repackaged into clones of each other.

You know.

Maybe something like this…

Is everyone equal in a court of law?

Is everyone equal in skills or ability?

Is everyone equal in beauty or attractiveness?

So WHY is America so obsessed with this notion of “equality”? No one is equal at anything. Instead, I argue that it is our DIFFERENCES that should be treasured. Not our similarities.

But…

That’s not how American schools operate…

In School, everyone is equal.

And that indoctrination in school has entered American society. Like it or not. And that has since spread (like a dangerous virus) throughout the world…

One of the big lies in America today is that everyone is equal. It’s a lie because no one is actually equal. Everyone is different.

Quite. Different.

And that is a good thing.

Now the progressive liberals in the audience would be confused with this. As they equate “equality” with “democracy”, and “uniqueness” with “diversity”. You can’t do that and make those comparisons. They are nonsensical.

And the traditional conservatives want to make everyone fit into some kind of pre-determined role within society. One with stratification, and one where “misfits” have no place. It’s an exclusionary vision. It’s the “lone wolf” concept, where everyone is part of a tribe, with roles and set behaviors. All following a great parent-figure; their “leader”. And the misfits…

…well, they can just go somewhere else to die. Put them in a hospital. Put them on some kind of social program. Move them away, far away from the rest of society. They don’t belong. They are too different.

Which makes me want to have a cup of coffee and write this rant…

Do we really want to be like everyone else?

We see this all over the place.

There is NO SUCH THING as true equality. Yet, there is a large contingent of people that believe in this myth.

And I see it in the comment sections of social media. Where an eleven year old is commenting with a forty year old construction worker. The social media’s comment section gives the appearance that they are equal, but in “real life” they are world’s apart in knowledge, experience, ability and perceptions.

In truth, and in a real-world situation, the construction worker really wouldn’t give his “time of day” to the young opinionated kid.

Which is why metallicman screens all comments. It’s a grey-web don’t ya know. No one is equal. But everyone get’s an equal shot at saying their piece.

Other Places

On the LinkedIN social network, you can actually see the qualifications, experiences and abilities of the people commenting. This gives you advantage. And you can easily separate the commenters into groups;

  • Knowledgeable, savvy, experienced.
  • Young, idealistic, inexperienced.

Which is really handy-dandy in deciding whether or not to comment, respond or get dragged into a debate. If you see that the person is probably a ‘bot, or a youngster, or maybe a CEO (in name only) with no substantive background, you can well decide to ignore their sparring.

We need to know with whom we are talking with.

In “real life” you discuss matters as equals, with others that you consider to be equal to you. Whether it is an a social environment or in a work environment. You all know this. You talk differently with your bosses, boss than you do with a co-worker. Don’t you.

But social media has taken those natural barriers away.

Now we don’t really know whom we are talking with. Is is a peer, an equal, or someone we respect, or is it a snot nosed kid, a paid-for troll, or a drunk 20-something in his mother’s basement?

I like to imagine them trying to debate me face-to-face.

More than likely they would be pissing in their pants long before they skedaddled out the door.

You have to know who you are dealing with.

Which is a handy thing to be able to do; you only comment when it adds something to the discourse. You try to keep it focused, positive and kind if you can.

Metallicman Comments

Most of the Metallicman comments are worthy.

Meaning that they provide something of value to the discourse, and it enhances the site. You don’t have to crawl through comment after comment of insults, or distractions, or nonsense that you might find on other venues. (You know, I screen everyone. Yes. Even you Rob. LOL.)

Have you ever seen that?

You are reading a great article, and you get to the comment section and find that it has been hijacked by others, and the dialog went “off the rails” and on to some other subject? Yeah. Most of that is intentional. As most Trolls are paid to be assholes.

Anyways.

I like to think that the MM comment section is one of the best on the web. There’s good “meaty” stuff there from all over the world. And while not everyone agrees with each other, or holds the same point of view, everyone contributes equally. Some of which are truly outstanding.

It’s not like you have a stream of one-word gibberish from “participants” like this…

Hey!

Did any of those comments have meaning for you? Did they make sense to you? Are they contributing to the dialog in any way?

I read them, and I get this image of a 1950’s style robot with beeping and flashing red eyes, and brushed steel skin.

Contemporaneous commenters on most American social media platforms.

This equal participation is quite different from being fractionally equal to each other. Because I value our differences as key contribution vectors.

Contributions. Discussion. Social interaction.

Adds value to our life.

VALUE.

Time to chill over coffee

Back in the 1960’s and 1970’s there used to be a big old tureen of coffee in the backroom of where we would work. It did not matter what kind of work that you were doing. All companies provided free, thick and ample coffee to their employees. And as such, employees were free to drink as much as they want, with as much sugar and cream as they would like. This was thick, syrup-like deep coffee, with lots of sugar and real whipped cream.

Coffee Time!

We would drink the coffee in what ever was provided. Often is was one of about twenty old reused (over and over) old coffee cups. Sometimes it was styrofoam cups that we would use and then discard. When I worked in the military it was a big white cup emblazoned with the name of a project that I might be working on. I had about twenty of such cups lying all over my office(s) at one point in time.

Typical mug for people involved in Military development systems.

Anyways…

Drinking coffee and chatting about work is a pastime that I have come to appreciate. You know, when you go to a trade-show and you meet others in your industry, and you all can relate “war stories” about the same kinds of issues or the same kinds of problems… well it’s a bonding experience.

Whether it is something like this…

...That style mill is NOT a Sieg product, many enjoy it or the Precision Mathews branded version of it. I think Brian Rupnow has the Busy Bee one which is painted in Canadian paint. I can't specifically tell you if it is good for those jobs. I would think so, as long as it is mild steel and nothing hardened.

Or maybe something like this…

...With a nightmare engine, you may have tolerances set "by the book" on the ring gap, the mains and rod bearings, but every time you torque the bolts, you have to use a 4 foot pipe wrench on the crank pulley to turn it over. How does a normal rebuild become a nightmare? You may know or have heard of the scenario. You waste three gasket sets putting it together… tearing it down… putting it together… tearing it down etc, each time waiting for the UPS truck and new parts that you should've put in right off or replacements for new parts you destroyed on your first attempt. (Note: there's nothing I dislike more than cleaning gasket surfaces that I cleaned the weekend before). What should have been a weekend project has taken months, much of the time spent trying to avoid the shop so you can forget this perplexing failure. Many times, you are not at fault for a rebuild working out this way. It can happen frequently with engines that seized up in a hurry. Fortunately most rebuilds are undertaken because the tractor was just getting tired and nothing catastrophic happened to force the rebuild. By catastrophic, I mean something happened that made the engine stick hard and stick fast… while it was spinning at 1500 RPM.

Or on a different kind of subject…

We started off buy purchasing 1×12” fir from a local guy who has a small saw mill for his vast amount of timber. It’s always fun to dream of new creations when we go there. One thing when going through a small saw mill is the price of lumber is so much cheaper than the local hardware store. But the boards all needed plained so we bought a plainer to get this job done. It took five times per board going through the plainer. It was a full days job...

Or maybe something like this…

...now we must use the new FinCEN reports, which are available only electronically through the BSA E-Filing System. FinCEN is no longer accepting legacy reports, and that is a real pain in the ass...

To something not work related…

...You wouldn't need an alarm clock if you lived in the barn. That's because horses have a clock in their heads. Ask anyone who has to explain daylight-saving time to their horses. The better you can stick to a routine, the happier the horses are. That's not just referring to time, either. Make any other changes gradually, too, such as feed, water and turnout times.

Horses need friends. They're happy in a group situation, and if you see a horse who's a loner, he probably has some problem. Perhaps he's ill or hurting, or he's been pushed out of the group. You'll know right off that he's not a happy camper. The buddy doesn't have to be another horse, though that's preferable...

So what?

So what? Why is the “coffee break” so friggin’ important?

It’s simple really.

We are humans. We are not machines. We don’t go into a cube, at a certain time, stare into a lighted monitor for a set period of time, and then leave. We are social creatures, and we need social stimulation. The Coffee Break does that.

We can talk freely about anything.

A coffee break allows you to be able to speak freely about things.

Ah.

The freedom of speech.

It actually means something, don’t you know.

Being able to speak freely, with friends, colleagues, family or business associates is the bedrock of society. It is important. We can share our hopes, dreams, lives and frustrations together. We don’t need to hide behind politically correct speech or be quiet because someone might be offended.

Being able to speak freely.

And…

so…

I think that many of us have substituted “social media” for face-to-face in-person chatting and dialogs. We have forgotten that there is a difference between chatting with a kid on the street is different than with a coworker, which is much different than chatting in “mixed company” in a church, or some other social venue.

Conformity and “free speech”

When you have those that openly talk about limiting speech or print for one reason or the other, what you are seeing is that they are forcing people to conform.

Their vision of Heaven is one in which everyone wears the same state-issue wings. And all wear the same white robes, and all say the same things, in the same way.

Yet…

If you have a “free for all” where they are no rules, it becomes colorful and interesting. But only for a while…

A short while.

That marvelous utopia tends to collapse because there will always be a percentage who would abuse it. Whether it is a company trying to profit from it by spam, a couple of bored teenagers, a crusty old ill-tempered senior citizen, or an enraged SJW type, all it takes is a few misfits to spoil the entire “pot of chili”.

Which is why you need a minimum of rules, and a very flexible police force.

Here, on MM for instance… the rules are simple.

  • Don’t Troll.
  • Don’t insult me.
  • Don’t spam.
  • Don’t derail the discussion.
  • Don’t try to advertise.
  • Don’t be an asshole.

Aside from that, it’s pretty much a free-for-all. It’s colorful, and interesting and fun.

  • It’s not white = only approved comments that fit within the expectations of the readership.
  • It’s not black = anything goes. It’s not policed in anyway what so ever.
  • It’s grey. Minor policing of a chaotic situation.

And when you think about it, isn’t that the way everything should operate? Instead of having a 56 page manual on how to dress, like they did in General Motors, just replace it with a simple sentence “Dress appropriately for the task, role and situation.”

I like to believe that this “grey area” of discourse works for 95% of the population. And the policing keeps the 5% at bay and under control. It’s a mix of what is wanted and desired as opposed to abuse by those whom have poor social skills.

Conclusion

We need to realize that the ideal situation (in anything) is complex, colorful, chaotic and curious. To maintain that condition, you need to police it. You need to control the elements that want to either [1] destroy the individual environment (for what ever reason), or those that [2] want to improve the situation (usually invoking some kind of conformity).

This is true whether it is here at Metallicman, or in you local community.

We need to be on the constant alert for the people who wish to change what exists into something else…

…be it a free-for-all with trolls, commercials, profanity and other distortions…

…or, someone’s idea of utopia. One that can only be obtained with a great deal of laws, regulations and rules of behavior.

Do you want more?

I have more posts like this in my Happiness Index here…

Life & Happiness

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

An introduction to Thailand by an occasional traveler, and a lover of great food, pretty girls and sunshine

Here, in this post, I am going to discuss the wonderful land of smiles; Thailand. It is an enchanting country. It is filled with happy, easy going people, cheap prices, lots of open fresh air, seas of beauty, mountains and hills, and lots and lots of great delicious food. I haven’t written about this place at all because the vast bulk of my time is spent within China. But this is a great place, as is the rest of South East Asia, and I have a few things to say about it.

Read this post. Then re-read this sentence. As far as a man is concerned, Thailand is really the land of the free.

“The Thais are proud to say their country has never been colonized and delighted to explain that translated in to English, Thailand means "land of the free". 

Many Thais genuinely believe their country is free and that regular citizens are afforded a level of freedom that doesn't exist outside the Kingdom's borders.

Given the way certain parts of Thailand's history are explained in the local education system, it's no surprise. 

But the way that some foreigners resident in Thailand also feel more free in Thailand than they do in Farangland (Oh, “farang”, or for those of you who hate that word, Caucasian) floors me.”

- Thailand, Land of the Free

One of the places that I would live at, from time to time, was Thailand. It’s a truly amazing place, with beautiful beaches, excellent delicious food, warm welcoming climate, a decent infrastructure, and hordes of sexy willing women. What’s not to like?

“hhahahhha  Pattaya! 

I ran into a few FAT and outraged American "chang nams"...

chang(elephant) 
nam(water)

...chang nam is a hippo and polite word for American (women) wildebeast that seem to stray into Pattaya now and again. (The great white water buffalo.)

There were three American chang nams that ganged up on me, asking me all sorts of "triggered questions.

"When their mouths stopped flapping, I ran my fingers across my lips, then launched into international sign language dialogue. 

One of the girls said ".....oh shit, he's deaf---and THEY APOLOGIZED."

My sign language is very good and I suggest all ZHers to at least learn a few phrases correctly in dealing with hostile, bat-shit crazy American women and their failed NGOs in Thailand and Cambodia. 

These American goody-two-shoes are the ones that demonize sex and then run around to the back room to boom Somchai.

PS...To call someone "Somchai" in Tai and Kampuchea, really you are saying "John Doe." "Somchai" is better than saying "....where's Woody?"

- buttmint (reply to) MaxThrust Aug 12, 2017 10:57 AM

I have visited Thailand with my Chinese wife numerous times.  We have stayed at everything from fancy hotels to cute residential home-stays. We have frequented the bars and clubs and sampled the night life there, we have even had an adventure where a taxi driver drove us out into the middle of the jungle and left us there to rot at 4:30 am! 

Thailand, since it is so close to China, is my 2nd home.

It’s a very cheap (from China) two hour flight and BOOM!, I am in a land where all the girls call me a “Handsome Man”.

"Hey! Handsome Man!"

Though, I have never sampled the female fun there, it’s enough to know that I could if I wanted to.

Personally, I find the girls there a little chubbier and shorter than my tastes allow.  (You can see for yourself in the pictures and videos herein.) However, that’s just me.

My ideal woman is a curvy and robust Chinese gal, with a handsome face, and a smile that last forever. Long black hair is an extra five points. Brown eyes improves the look another five points, and having an oval face tacks on another ten points.

(The taller “girls” tend to be ladyboys… yikes!)

In any event, Thailand is a beautiful place with cheap prices, delicious food, and nature everywhere.  It is paradise.

People come to visit and LIVE in Thailand for all sorts of reasons;

“There isn't one kind of person that comes to Thailand there are many. 

There's the sex starved older English gent that finds paradise in the Neon lights of Pattaya to the American couple who have figured out that they don't want to live there lives drowned in debt only to realize they've missed out on Living their lives. 

You'll find a lot of grumpy old men who are single and spend their time Farang bashing on Thai Visa and younger guys who party to the break of dawn every night. 

The English teacher who's looking for "world experience" I'm sure you've met all sorts of people in Thailand.”

-From here; http://www.livingthai.org/why-choose-to-live-in-thailand.html

And, for guys, often the reason is for sex…

“The problem with sex is that it’s a natural male instinct to fuck everything that moves, not just the hottest, but anything attractive – you’ll never stop looking, but you might stop chasing. God help you if you’re very good looking, getting ‘opportunities’ thrown at you wherever you live. You may never escape this vice – and it will cost you big time.”

-BobbyT

Thailand is a different place for certain. Different is Good.

“Frustrated foreign residents are known to have the odd rant about life in Thailand at times, but sometimes we need to take a look at the big picture and consider that things are not as bad as they may seem. 

A mate who gets around Bangkok by motorbike tells me that whenever he parks his motorbike, he leaves his helmet resting on the handlebars, attached by a simple clip. This is something he would never do in his native Belgium for it would likely not be there when he returned but in 10 years of riding in Bangkok no-one has ever taken his helmet. 

Still, I have never seen his helmet and maybe the shocking pink color and the slogan I love ladyboys in Thai on the front has something to do with it?”

-Stickman

Pattaya

“Never in my life did I imagine I would ever turn down a girlfriend of mine for sex until I got to Thailand; 

...there’s just only so much I can handle. 

American women (and I’m sure British and Australian women are very similar) use sex more as a tool in a relationship, and they’re usually willing to sacrifice the enjoyment from sex just to prove a point. 

I don’t find this too often in Thailand and that’s a beautiful thing.”

-LivingThai

I’ve been to Pattaya numerous times.

A famous Pattaya themed T-shirt, sold at many of the vendor’s stalls about town, sums up the divergent opinions about the place. “Good guys go to heaven, bad guys go to Pattaya”.

I can’t say the beach is all that great.  But it has (had) an amazing street devoted to bars and night life.  Both my wife and I felt like we had died and gone to heaven.

The first time we went there, we separated from the group (that we had been with) and went solo exploring this amazing area. Of course, you have the go-go girls, and the bar girls and all of that. However, what really struck me was the presence of old fat western guys who had plopped themselves down at a bar and who were nursing a beer.

What?

You call that fun and exciting?

We bar hopped each and every time we visited that town. 

First Impressions

My first experience was awesome. I must tell the reader that when you go into these bars, you will see (easily) 20 to 30 totally nude gals standing and dancing slowly in super high heels.

That has since changed, and as of 2016 they all seem to be wearing some kind of attire.

With a short old 70-year old grandmother walking around them with a meter long switch telling them to arch their backs, etc. The girls seemed fine.  (They were) all thin and in their 20’s. A patron might come in and pick a girl and pay the girl and get a blow-job on the spot.  (I’ve seen it done numerous times.)

You can even take the girls upstairs for a short-time (this is known as a “short time girl”). However, to be honest, you see 30 girls without a lick of clothing on it’s not really arousing.

Since then, the local government placed laws requiring the gals to wear clothing. I have mixed feelings about it.

It’s just a bunch of nude girls.

Old fat men (Bogan) nursing beers in Pattaya bar street.

Pattaya is known (outside of Thailand) for one place. 

Sex.

I think I can safely say that Patong Beach is now Bogan central for Thailand. 

A Bogan is a bit of a derogatory term for a person who hails from the western suburbs of Sydney, West Auckland or the working class areas of Melbourne. 

In Thailand they are normally identified as blokes, or sheilas, with minimal attire – a beer brand singlet, billabong shorts and flip flops – and whose main priorities in life are knocking back copious amounts of beer and watching their preferred style of footy. 

Take a stroll down Soi Bangla in the early evening and the sports bars lining each side of the street will be packed with Bogans getting their fixes of beer and footy. 

The thing is, though, if you hang around the place for long enough you’ll soon pick up on the idea there are Bogans from all over the world cruising the streets and crowding the shopping malls of Patong. 

Aside from your bog standard Aussie and Kiwi Bogans, there are also English, Russian, German, Scandinavian, Indian and even Thai Bogans to be seen.

That being said, let me be the first to point out that the Pattaya Police Chief say’s that there is no such thing as prostitution in Pattaya.  Apparently it doesn’t exist.

And it is true that sex can be obtained.

However, it is not as common and rampant as the British tabloids make it out to be. There’s only a precious few areas that you can get your “fix” for secual excitement. The rest of Pattaya is like the rest of Thailand, very conservative and very religious.

Pattaya City officials, local police units and administrative units of Chon Buri held a press conference on the new policy; Pattaya Happy Zone, which has been immediately implemented with the main purpose of keeping popular areas of Pattaya under control and crime-free. 

The Happy Zone is being enforced in the infamous Walking Street in order to control all illegal activities to make sure that the holiday experience in Pattaya is hassle-free for everyone.

Pol Col Apichai Krobpetch, the Pattaya police superintendent, told the magazine “Spectrum” that Pattaya is not a hub for the sex trade.

He was upset about the British media’s stories, insisting they were fabricated.British newspapers The Sun, Mirror and the Daily Star recently ran articles describing Pattaya as “the world’s sex capital” and as a “modern-day Sodom and Gomorrah”, sparking anger among government officials, especially PM Prayut, who vowed to crack down on illegal businesses and prostitution in Pattaya, viewing them as a major embarrassment for Thailand.

Offshore Bar – Pattaya, Thailand.

http://www.chiangraitimes.com/pattaya-police-chief-says-there-is-no-such-thing-as-prostitution-in-pattaya.html

“There is no such thing as prostitution in Pattaya,” says Col Apichai. “Where did they get the figure of 27,000 sex workers in Pattaya? Anyone can make up this information.

Ah.

But sex and booze. Well, that’s something that I happen to enjoy.

And I am not afraid to admit it. Many a fine night has been spent with a female companion drinking wine, singing and dancing, and chatting and eating delicious food, and then having some great sex. It’s a wonderful way to pass the time, make new friends, and just relax.

Which brings me to the most awesome bar street. 

(Drinking booze, rock music and sex everywhere.) When I went there with my wife, we were just floored over by the sheer size and awesomeness of it.  It put New Orleans French Quarter to shame.  It is amazing and awesome at the same time.

We first visited Pattaya during a Chinese organized tour for tasting all the food of Thailand. 

The reader should realize that I am (what is called) “a foodie”.

A foodie is someone who has a deep interest in food. In addition to being interested in food itself, foodies are also interested in the back story: the history, production, science, and industry of food. As a general rule, foodies are amateurs, rather than professionals working in some aspect of the food industry, and many of them are self-taught.

-What is a Foodie?

We were not disappointed.

After one of the many, many dinners we were taken to the “bar street” for a look around.  The tour guide would not let us go alone, so we had to sign a “safety waiver” and off we went.  We’ve been in love with the place ever since.

It is not like what is portrayed in the American movie “Hangover II”, that is unless you are a drinker. Never the less it is an awesome place.  I actually prefer it over New Orleans “French Quarter”, Reno, Los Vegas, Macao, and some of the more “interesting” places that I have explored.

Both myself and my wife think of it as an awesome place.

First meal I had in Pattaya was fresh crab and shellfish.

The servings are huge and the prices were cheaper than in China. This I’m super surprised about even looking at the western meals around Pattaya are much cheaper than China also. Must be something to do with the amount of competition here. One thing that is first noticeable about the food in Pattaya is it tastes way better than what you get in China. I don’t really know why. This is probably because it’s either Isaan food and central food and I’m in the right place for that.

Since I’m a big foody I fell in love with the place immediately. (Not to mention all the pretty girls calling me a “handsome man”!)

A fine Thai curry chicken dish.

Pattaya is a very small place, well it’s not exactly small but the truth is that really, it’s just not that big. Pattaya is more concentrated making it easier to get around. This is especially true in the center of all “the action”.

Traffic isn’t as bad as people say though there are way more of those little mini-bicycle-car-like things (hoons) here which is expected.

Most of the hoons are either motorbike taxis or tourists joyriding. I hate driving in Thailand but it’s a must if you want to cover more ground quickly and save money and hassles getting taxis.

A lot of people walk in Pattaya compared to Bangkok. Bangkok is a city. Pattaya is a small coastal town.

If you’re a first time visitor to Pattaya then get ready for a surprise. This is like nothing you’ll have ever experienced before. This has to be one of the greatest concentrations of night time venues anywhere in the world.

The famous walking street is the epicenter of the Pattaya’s party scene, stretching from Bali Hai pier in the south to beach road at the north. This neon lit street is closed to traffic from around 6pm until the early morning. This mile long strip of pure hedonism is a mecca for party goers from all over the world. Lined with a mix of Go Go bars, drinking bars, restaurants, world class nightclubs and live music venues anyone who visits Pattaya has to experience this party wonderland.

(Don’t be afraid of entering any of the bars, clubs or go go’s you won’t get bitten (unless you want). The workers are all super friendly and the bad old days of getting ripped off are long gone. So be brave, and go on in.)

Metallicman in a Northern Bangkok 7-11 during the “Water Festival” (Songkran). That white stuff on my face is some kind of talc mixed with water that they put on you after they soak you with water. I don’t understand it, but I’ll bet it has an interesting story behind it.

Water Festival

The reader can just simply forget about staying dry during the water festival.  Little children, and not so little adults man each and every corner with plastic water guns, water machine guns, and water bazookas. The wife ended up staying in the room for three days afraid to go out and get soaked.

The Water Festival is the New Year’s celebrations that take place in east and South-east Asian countries such as China, Cambodia, Laos, Myanmar Taiwan and Thailand.

It is called the ‘Water Festival’ by Westerners because they notice people splashing or pouring water at one another as part of the cleansing ritual to welcome the New Year. Traditionally people gently sprinkled water on one another as a sign of respect, but as the new year falls during the hottest month in South East Asia, many people end up dousing strangers and passersby in vehicles in boisterous celebration.

The act of pouring water is also a show of blessings and good wishes. It is believed that on this Water Festival, everything old must be thrown away, or it will bring the owner bad luck.

Here’s what another expat has to say about this festival;

“Songkran in Pattaya is celebrated for 7 days from the 13th until 19th April. It's difficult to avoid getting wet.

No food carts or girls ordering food get attacked with high-powered water guns and buckets as the Thais respect food. The Thais also consider people walking the sois with their luggage on the way to check-in to hotels.

Even long after the sun has set, the Thais still playing with water don't target people who are dry and heading out for dinner.

You know where I'm going with this… 

Of course, some young Thais on bikes riding the sois and drinking too much end up having fights. From my week-long Songkran experience here, having played with water and sat and observed, it's the dickhead Farangs with high-powered water guns hitting people in the face, attacking people with food, people going out dressed for a night out etc.

Even drunk bargirls manage to recognise and respect people who want to avoid water later in the evening. While writing this email in a dry area on sois 7 with 45 baht beers, I have witnessed 2 altercations between Westerners with high-powered guns attacking people who are dry. Only 28 more hours left of this craziness then off to track Everest. I'm confident Everest will be less to endure.“

-https://www.stickmanbangkok.com/weekly-column/2016/04/sensational-soda/

Going for a visit

It’s not just about the sleazy side of Pattaya and thousands of people a night descend here to watch some great live music acts, eat fresh seafood over the water or watch one of the numerous street performers. Even organized tours of Chinese and Korean tourists regularly wander up and down the street to soak up the atmosphere. The smaller alleys are called “Soi”. Check out the map below.

As a man, I have to admit that Thailand has so much going for it.

The cost of living is very cheap.  In fact, it is even cheaper than China.  If you have enough money saved away (stashed from the clutches of your first or second wives) you can live quite comfortably. Further, it is beautiful. The weather is NOT SNOWY. The food is awesome, though you might need to learn the language or use a cute girl to translate to order.  The beaches, mountains, and history are amazing…And, the girls… the girls are all cute, beautiful and AVAILABLE. What’s not to love?

Pattaya bar street map. All credit to the amazing Mike Baird.

Hotels in Pattaya.

Here’s just some informationt that I collected from my files. As Asia, both China and Thailand is in a constant state of flux, probably the best thing that you can do is chat with a local and get the best deals for your particular situation. Never the less, you can consider this guide as a helpful venue to get you started.

More information can be found here;

Wave Hotel Pattaya

The wave hotel is by far the best combination of luxury, value, and location in Pattaya; this is where the smart ballers stay. The price is around 160 dollars a night, but when you see this place, you will feel like it is a bargain. The rooms are high class, and the location can’t be beat. They offer a nice sized pool and garden area, along with a par. They also have free wifi access. The staff here will treat you like royalty.

Hilton Hotel Pattaya

Located dead center of Walking street and on the beach… What more can you expect from the Hilton? They offer an amazing infinity pool and pool side bar “Shore Bar”, which offers stunning views. They also have many rooftop restaurants with incredible views as well. This is a great getaway no matter what kind of vacation you are having in Pattaya. Don’t forget, Central Pattaya Beach is located downstairs!

Dusit D2 Baraquda Pattaya Hotel

Dusit D2 Baraquda Pattaya Hotel is a little different from the first two 5 star hotels offered here, Dusit D2 Baraqude offers a nice fusion between Thai and Western architecture so you will get a little culture, it is also suited in an excellent location right on walking street and offers amazing views of the surrounding area and ocean.

Pattaya Marriott Resort and Spa

The Marriott name speaks for itself, especially here in Pattaya. The Marriott is one of only a few five star hotels located in Pattaya and it shines here as one of the best hotels. It offers an amazing swimming pool and outdoor lounge, fine dining inside, in an excellent location, and high-speed internet access in every room. The gardens here are also amazing. They also offer a breakfast buffet every morning for all guests until 10:30am… If you are not too hung over!!

Intimate Hotel by Tim Boutique Hotel

Intimate Hotel by Tim Boutique Hotel is easily my choice for a medium budget traveler. It offers free wifi, stunning views across the city, Jacuzzi tubs in some rooms, a great rooftop bar/restaurant. It also provides a small, but nice fitness center and a decent international buffet. From here, you are within walking distance to Pattaya Beach, and also some of the shopping malls in the city such as Central Festival Beach and Royal Garden Plaza.

The Scenery City Hotel

Traveling on a budget? The Scenery City Hotel is my favorite budget hotel in Pattaya. They offer very nice clean rooms with a balcony for under 1000 baht per night. Free wifi is offered in public areas (some rooms can grab access from the public areas if within reach). Located right in the center of Pattaya, the location can’t be beat. The rooms are extremely clean and held to a very high standard, the staff is also very friendly and informative. Basically, everything is within walking distance of here, including the beaches.

Areca Lodge Hotel

Another one of my favorite hotels in Pattaya on Walking Street is the Areca Lodge Hotel. This is one of the most popular hotels in Pattaya with good reason. The prices are borderline cheap/medium so it fits most people’s budgets. They offer free in room wifi, and the rooms are extremely clean. Areca offers two large pools with Jacuzzis and other amenities including a fitness center, sauna and on-site eateries, also all rooms have balconies.

Hard Rock Hotel

The Hard Rock Hotel name speaks for itself, not much explaining needed here. Geared for the active crowd who would rather have a fun time with activities rather than a quiet time. The rooms are all modern, hip, and cool with purple styling. Every room offers a sea view or city view, depending which side of the building you’re on. The pool is one of the best in Pattaya and they offer lots of activities in the pool/garden area. It also offers many restaurants, bars and lounges including the world’s popular signature Hard Rock Café.

Sooi-Tee Guest House

For those of you on a super budget, I highly recommend the Sooi-Tee Guest House. Rooms start around 500 or 600 baht a night and all offer free wifi. The location is perfect and each room has AirCon, which you won’t find in a lot of guest houses in this price range. The rooms are clean and offer a baloney. Staying at a place like this is is good because you get that nice homey feel and special attention from the staff.

View Talay 6 Pattaya Beach Condominium

View Talay 6 Pattaya Beach Condominium by Honey is one of the best medium priced hotels in Pattaya and also one of the most popular. Located on the beach, it offers great views of the ocean and city. There is a nice pool with a pool side bar and massage service on site. The location couldn’t be beat if you want to be on the beach AND close to Walking Street. This hotel also offers free wifi.

Bars and Nightclubs

There are many great nightclubs on the Pattaya walking street. Each has its own vibe and feel and most will be open until the sun comes up.

The clubs are extremely popular and are generally very busy every night of the week. Most don’t get going until after midnight. The clubs are a favorite of both younger tourist and locals. Here are some links to all the bars. (Up to date when I was last there, back in 2017.)

“Walking street really is one of a kind. Little could I have known, that all I had to do was jump on a plane and instantly be transformed into a ‘handsome man’ . It’s a blast. The vegas of the east. Minus gambling.”

-学习如何水肺潜水 JANUARY 5, 2017 AT 7:01 AM

Girls of the Toy Box.
69ers Beer Bar
Facebook Seite
Soi 7, Central Pattaya (umgezogen von der Soi 8)
A
Apple BarSoi Chaiyapruek, Jomtien Beach
Armageddon BarSoi LK Metro, um die Ecke von der Soi Buakhao
Atlantic BarPattaya Second Road
Aussie BarSoi 7, Central Pattaya
B
Barracuda Bar
Facebook Seite
Naklua Road, Nord-Pattaya
Billabong Bar & HotelSoi LK Metro, Central Pattaya
Booze Lounge
Facebook Seite
Soi Khao Talo, Ost-Pattaya (ca. 1,5 Kilometer hinter der Sukhumvit Road)
Borussia Park
Facebook Seite
Deutsches Gästehaus und Bar, Naklua Road
Brass Monkey BarSoi Nern Plub Wan, Soi 26, Ost-Pattaya
Buffalo Bar
Facebook Seite
Pattaya Third Road, Central Pattaya
Butcher’s Arms PubEnglischer Pub & Gästehaus, Soi Buakhao
C
Caddyshack
Facebook Seite
Pub & Gästehaus, Pattaya Third Road, Soi 17
Camel ToeGentleman Club, Soi Korpai 10
Candy LocaRompho Barkomplex, Jomtien Second Road
The Castle Fetish Club
Facebook Seite
Pattaya Third Road, Central Pattaya (neben der Buffalo Bar)
Champions Sports BarRompho Barkomplex, Jomtien Second Road
D
Devil’s Den
Facebook Seite
Soi LK Metro, Central Pattaya (vormalig Hell’s Club)
E
Easy R-Con Bar
Facebook Seite
Soi Buakhao, Central Pattaya
F
FLB BarWalking Street, Süd-Pattaya
G
The Golf ClubSports Bar, Soi LK Metro, Central Pattaya
Gulliver’s TavernPattaya Beach Road, Nord-Pattaya; Walking Street
I
I-Rovers Sports Bar
Facebook Seite
Bar, Restaurant & Gästehaus, Soi LK Metro, Central Pattaya
J
Jameson’s Irish PubSoi Sukrudee (Soi A.R.), Central Pattaya
Joy’s Paradise
Facebook Seite
Deutsche Bar und Gästehaus, Soi Welcome Jomtien
K
Kåres Party BarPattaya Second Road
Kawaii BarSoi 6 (Soi Yodsak), Central Pattaya
Kiss Kool BarSoi 6 (Soi Yodsak), Central Pattaya
KitCat Club Lounge410/8-10 Thappraya Road, Dongtan Beach, Jomtien
L
La La Land BarSoi 6 (Soi Yodsak), Central Pattaya
Legends Pool & Sports Bar
Facebook page
Pattaya Klang Soi 5, Central Pattaya
Lord Nelson Sports Bar
Facebook Seite
Pub, Restaurant & Gästehaus, Soi 6 (Soi Yodsak)
Lucky Love BarSoi 6 (Soi Yodsak), Central Pattaya
M
Mai Lu Si BarSoi Buakhao, gegenüber vom Pattaya City Hospital
Maxies BarSoi 16, Walking Street, Süd-Pattaya
M Club
Facebook Seite
Gentleman Club, Pattaya Third Road, Soi 14
Medusa Bar & RestaurantDeutsches Restaurant und Short Time Bar auf der Soi Wat Boon am Jomtien
Metro Bar & ApartmentsSoi LK Metro, Central Pattaya
Moonshine PlaceAmerikanische Bar and Gästehaus, Jomtien Soi 4
Murphy’s Law PubSoi LK Metro, Central Pattaya
N
Night Wish BarSoi 6 (Soi Yodsak), Central Pattaya
Nong Beer BarSoi Diana
O
O Bar
Facebook Seite
Soi 6 (Soi Yodsak), Central Pattaya
One BarDeutsche Bar, Soi Night Out, Central Pattaya Road
P
Passion Gentleman’s ClubThappraya Road (Hanuman Statue), Jomtien
Pattaya Beer GardenPattaya Beach Road (Eingang zur Walking Street)
The Pig & Whistle Pub
Facebook Seite
Soi 7, Central Pattaya
PJ DJ Bar & Guesthouse
Facebook Seite
Soi 7, Central Pattaya (vormalig Anna Jet Bar)
The Pussy ClubSoi 6 (Soi Yodsak), Central Pattaya
Q
Queen Victoria Inn
Facebook Seite
Englischer Pub, Restaurant & Hotel, Soi 6
Quickie BarSoi 6 (Soi Yodsak), Central Pattaya
R
Retox Sports Bar
Facebook Seite
Soi Lengkee, zwischen Pattaya Third Road und Soi Buakhao
Retox Game On
Facebook Seite
Soi Honey, um die Ecke von der Pattaya Second Road
The Rock House
Facebook Seite
Bar & Gästehaus, Soi LK Metro
Ruby ClubSoi 6 (Soi Yodsak), Central Pattaya
Rum Dum BarRompho Barkomplex, Jomtien Second Road
Ryan’s BarNaklua Road, Nord-Pattaya (vor der Soi Wongamat)
S
Saigon Girl BarSoi 6 (Soi Yodsak), Central Pattaya
Sailor InnNorwegisches Restaurant & Gästehaus, Soi 13/2
Scandinavia Bar & RestaurantPattaya Beach Road, zwischen Soi 13 und Soi Yamato
Scandinavia Beach ClubPattaya Beach Road, zwischen Soi 5 and Soi 6
Scooters BarSoi Buakhao, Central Pattaya
Secrets Bar & Nightclub
Facebook Seite
Soi 14, Walking Street, Süd-Pattaya
Sexy In The CitySoi 6 (Soi Yodsak), Central Pattaya
Shooters Coyote Bar
Facebook Seite
Coyote Bar & Gästehaus, Soi 7, Central Pattaya
Siam CatsDeutsche Bar and Gästehaus, Jomtien Soi 5
Simple SimonEnglisches Restaurant & Bar, Jomtien Soi 5
Smurf BarDeutsche Bar, Soi Buakhao (Nähe Pattaya Klang)
The Sportsman Pub
Facebook Seite
Pattaya Soi 13
T
Telephone BarSoi Batman, Süd-Pattaya
Tim Bar BeerBar und A-GoGo, Pattaya Second Road, Süd-Pattaya
V
Valentines Bar
Facebook Seite
Soi Lengkee, zwischen Pattaya Third Road und Soi Buakhao
Viper Bar
Facebook Seite
Coyote Bar, Pratamnak Road Soi 4 (gegenüber vom Asia Hotel)
W
WhyNot BarDeutsche Aircon-Bar, 179/86 Naklua Road
Wombat BarBar, Restaurant & Gästehaus, Jomtien Beach Road

Some fun Links;

I would say that the number one advantage of visiting or living in Pattaya, is the “walking street” and the things that you can purchase there. As a man, I can see distinct advantages in living there.

“Last night we were in Gulliver's and one sight made me chuckle.

A large group of Brits walked in and took up about three tables. The women were obese but their boyfriends (how the hell did they get guys) were trim. 

The group was approached by four waitresses and two beer promotion girls. 

The waitresses were pretty with slim figures and you can guess how good the promotion girls looked. 

Needless to say the guys were enthralled by the display and had trouble ordering, much to the displeasure of the blobs sat around them.

I bet there were a few arguments back in the hotel rooms later. 

Welcome to Thailand, boys! I bet they are currently praying for their girlfriends to get food poisoning so they can go out unhindered and get some ‘cultural experiences’.”

- Praying for food poisoning!

Buying a Car in Thailand

Yes, the initial purchase of a car in Thailand will probably cost more than it would in the United States. A small-engined Japanese sedan such as a Toyota Corolla or Honda Civic runs from 800,000 baht up to 1,000,000 plus for a fully loaded, top model.  This is much more than you would pay in most Western countries. European or luxury cars can cost as much as 3 times what the exact same model would cost in the West.

Used Cars

The initial purchase price of a new (or second hand) car will also be greater than the West, but that is where the idea of car ownership in Thailand being expensive ends.

The good news is that cars devalue at a much, much slower rate in Thailand than they do elsewhere.  Indeed, Hondas and Toyotas will command a high resale price due to their perceived reliability.  You can pretty much expect vehicles from either of these manufacturers to devalue at not much more than 10% per year. A Honda Civic in good condition and with reasonable mileage that cost 800,000 in 2003 would go for around 400,000 baht now. A two-year old Toyota Vios that has travelled 30,000 km may sell for only 100,000 baht less than the exact same car brand new. If resale value is a concern choose carefully as not all cars from certain manufacturers maintain a great resale value.

Take note. European manufactured vehicles depreciate in value at a frightening high rate.

Pickup Trucks

If the price of a new car is beyond your budget, consider a pickup truck. Pickup trucks are subject to a different tax rate than sedans and as such are much cheaper. You can get a new pickup for as little as 500,000 baht or a fully featured model with a luxurious interior that gives it the feel of a well-speced sedan for around 700,000 baht. Pickup trucks sell very well in Thailand because they represent good value.

Other Costs

The cost of gasoline in Thailand is fairly low. Granted that it is a little bit more expensive than North America and about the same price as you would pay in Australia, but it is however much, much cheaper than what you’d pay in Europe.

Insurance is cheap and policies don’t seem to have an excess so if you have an accident you pay nothing (unless of course the police demands a donation!)

The cost of getting a vehicle serviced in Thailand is ridiculously low. For a small to medium sized Japanese vehicle you’re looking at around 1,000 baht per service at the franchised dealer. No, not a corner garage but a franchised dealer where they use the right oil, genuine parts and the workshop is so clean you could just about eat your rice off the floor.

Not that one should break the traffic laws, but fines for traffic infringements are ridiculously low. If you are miraculously issued with an official ticket, the odds are it will be in the range of 400 – 800 baht. Usually it won’t even cost that much however as the friendly police will invariably offer you a pay now discount.

Conclusion

This is just my introduction to Thailand, and as you might have guessed, I have a lot to say. So expect many more posts.

One of the things that I like about the world is how different other places are. As an American, I was programmed into believing that the “American way of life” was the best; that it was superior to all other forms of governance, and that so many people want to come to America to experience it.

Well, it’s not. Not by a long shot, and when you leave the “Exceptional American Experience” you realize just how much of your life that you have wasted pursuing that “American Dream”.

More to follow.

In the mean time appreciate what you have, and if you don’t have what you need to be happy, open up your horizons and go for it.

Nothing will put a smile on your face quicker than a “romp in the hay” with a beautiful and pretty girl after a day of quaffing beer and eating delicious food.

You can have some wonderful massages in Thailand.

Do you want more?

I have more posts in my KTV Index here…

Business KTV's

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

MUGWUMP 4 (1959) by Robert Silverberg the complete text of this fine science fiction story

This is a nice tight little science fiction story. It’s pretty much about a normal guy who gets tangled up with forces way beyond his understanding. It’s a cute little comedy and fun recreational reading during these hot July afternoons.

Enjoy.

MUGWUMP FOUR

Al Miller was only trying to phone the Friendly Finance Corpo­ration to ask about an extension on his loan. It was a Murray Hill number, and he had dialed as far as MU-4 when the receiver clicked queerly and a voice said, “Come in, Operator Nine. Oper­ator Nine, do you read me?”

Al frowned. “I didn’t want the operator. There must be some­thing wrong with my phone if—”

“Just a minute. Who are you?”

“I ought to ask you that,” Al said. “What are you doing on the other end of my phone, anyway? I hadn’t even finished dialing. I got as far as MU-4 and—”

“Well? You dialed MUgwump 4 and you got us. What more do you want?” A suspicious pause. “Say, you aren’t Operator Nine!”
“No, I’m not Operator Nine, and I’m trying to dial a Murray Hill number, and how about getting off the line?”

“Hold it, friend. Are you a Normal?”
Al blinked “Yeah—yeah, I like to think so.”
“So how’d you know the Number?”

“Dammit, I didn’t know the number! I was trying to call some­one, and all of a sudden the phone cut out and I got you, whoever the blazes you are.”
“I’m the communications warden at MUgwump 4,” the other said crisply. “And you’re a suspicious individual. We’ll have to in­vestigate you.”

The telephone emitted a sudden burping sound. Al felt as if his feet had grown roots. He could not move at all. It was awkward to be standing there at his own telephone in the privacy of his own room, as unbending as the Apollo Belvedere. Time still moved, he saw. The hand on the big clock above the phone had just shifted from 3:30 to 3:31.

Sweat rivered down his back as he struggled to put down the phone. He fought to lift his left foot. He strained to twitch his right eyelid. No go on all counts; he was frozen, all but his chest mus­cles—thank goodness for that. He still could breathe.

A few minutes later matters became even more awkward when his front door, which had been locked, opened abruptly. Three strangers entered. They looked oddly alike: a trio of Tweedle­dums, no more than five feet high, each wide through the waist, jowly of face and balding of head, each wearing an inadequate sin­gle-breasted blue-serge suit.

Al discovered he could roll his eyes. He rolled them. He wanted to apologize because his unexpected paralysis kept him from act­ing the proper part of a host, but his tongue would not obey. And on second thought, it occurred that the little bald men might be connected in some way with that paralysis.

The reddest-faced of the three little men made an intricate ges­ture and the stasis ended. Al nearly folded up as the tension that gripped him broke. He said, “Just who the deuce—”

We will ask the questions. You are Al Miller?”
Al nodded.

“And obviously you are a Normal. So there has been a grave error. Mordecai, examine the telephone.”

The second little man picked up the phone and calmly disem­boweled it with three involved motions of his stubby hands. He frowned over the telephone’s innards for a moment; then, hum­ming tunelessly, he produced a wire-clipper and severed the tele­phone cord.

“Hold on here,” Al burst out. “You can’t just rip out my phone like that! You aren’t from the phone company!”

“Quiet,” said the spokesman nastily. “Well, Mordecai?”

The second little man said, “Probability one to a million. The cranch interval overlapped and his telephone matrix slipped. His call was piped into our wire by error, Waldemar.”

“So he isn’t a spy?” Waldemar asked.

“Doubtful. As you see, he’s of rudimentary intelligence. His dialing our number was a statistical fluke.”

“But now he knows about Us,” said the third little man in a surprisingly deep voice. “I vote for demolecularization.”

The other two whirled on their companion. “Always blood­thirsty, eh, Giovanni?” said Mordecai. “You’d violate the Code at the snap of a meson.”
“There won’t be any demolecularization while I’m in charge,” added Waldemar.

“What do we do with him, then?” Giovanni demanded. Mordecai said, “Freeze him and take him down to Head­quarters. He’s their problem.”
“I think this has gone about as far as it’s going to go,” Al ex­ploded at last. “However you three creeps got in here, you’d better get yourselves right out again, or—”

“Enough,” Waldemar said. He stamped his foot. Al felt his jaws stiffen. He realized bewilderedly that he was frozen again. And frozen, this time, with his mouth gaping foolishly open.

he trip took about five minutes, and so far as Al was con­cerned, it was one long blur. At the end of the journey the blur lifted for an instant, just enough to give Al one good glimpse of his surroundings—a residential street in what might have been Brook­lyn or Queens (or Cincinnati or Detroit, he thought morbidly)— before he was hustled into the basement of a two-family house. He found himself in a windowless, brightly lit chamber cluttered with complex-looking machinery and with a dozen or so alarmingly identical little bald-headed men.

The chubbiest of the bunch glared sourly at him and asked, “Are you a spy?”

“I’m just an innocent bystander. I picked up my phone and started to dial, and all of a sudden some guy asked me if I was Op­erator Nine. Honest, that’s all.”

“Overlapping of the cranch interval,” muttered Mordecai. “Slipped matrix.”
“Umm. Unfortunate,” the chubby one commented. “We’ll have to dispose of him.”

“Demolecularization is the best way,” Giovanni put in immedi­ately.

“Dispose of him humanely, I mean. It’s revolting to think of taking the life of an inferior being. But he simply can’t remain in this fourspace any longer, not if he Knows.”

“But I don’t know!” Al groaned. “I couldn’t be any more mixed-up if I tried! Won’t you please tell me—”

“Very well,” said the pudgiest one, who seemed to be the leader. “Waldemar, tell him about Us.”

Waldemar said, “You’re now in the local headquarters of a se­cret mutant group working for the overthrow of humanity as you know it. By some accident you happened to dial our private com­munication exchange, MUtant 4—”

“I thought it was MUgwump 4,” Al interjected.

“The code name, naturally,” said Waldemar smoothly. “To continue: You channeled into our communication network. You now know too much. Your presence in this space-time nexus jeop­ardizes the success of our entire movement. Therefore we are forced—”

“To demolecularize—” Giovanni began.

“Forced to dispose of you,” Waldemar continued sternly. “We’re humane beings—most of us—and we won’t do anything that would make you suffer. But you can’t stay in this area of space-time. You see our point of view, of course.”

Al shook his head dimly. These little potbellied men were mu­tants working for the overthrow of humanity? Well, he had no reason to think they were lying to him. The world was full of little potbellied men. Maybe they were all part of the secret organi­zation, Al thought.

“Look,” he said, “I didn’t want to dial your number, get me? It was all a big accident. But I’m a fair guy. Let me get out of here and I’ll keep mum about the whole thing. You can go ahead and overthrow humanity, if that’s what you want to do. I promise not to interfere in any way. If you’re mutants, you ought to be able to look into my mind and see that I’m sincere—”

“We have no telepathic powers,” declared the chubby leader curtly. “If we had, there would be no need for a communications network in the first place. In the second place, your sincerity is not the issue. We have enemies. If you were to fall into their hands—”

“I won’t say a word! Even if they stick splinters under my fingernails, I’ll keep quiet!”

“No. At this stage in our campaign we can take no risks. You’ll have to go. Prepare the temporal centrifuge.”

Four of the little men, led by Mordecai, unveiled a complicated-looking device of the general size and shape of a concrete mixer. Waldemar and Giovanni gently shoved Al toward the machine. It came rapidly to life: dials glowed, indicator needles teetered, loud buzzes and clicks implied readiness.

Al said nervously, “What are you going to do to me?”

Waldemar explained. “This machine will hurl you forward in time. Too bad we have to rip you right out of your temporal ma­trix, but we’ve no choice. You’ll be well taken care of up ahead, though. No doubt by the twenty-fifth century our kind will have taken over completely. You’ll be the last of the Normals. Practi­cally a living fossil. You’ll love it. You’ll be a walking museum piece.”

“Assuming the machine works,” Giovanni put in maliciously. “We don’t really know if it does, you see.”

Al gaped. They were busily strapping him to a cold copper slab in the heart of the machine. “You don’t even know if it works?

“Not really,” Waldemar admitted. “Present theory holds that time-travel works only one way—forward. So we haven’t been able to recover any of our test specimens and see how they reacted. Of course, they do vanish when the machine is turned on, so we know they must go somewhere.”

Oh,” Al said weakly.

He was trussed in thoroughly. Experimental wriggling of his right wrist showed him that. But even if he could get loose, these weird little men would only “freeze” him and put him into the ma­chine again.

His shoulders slumped resignedly. He wondered if anyone would miss him The Friendly Finance Corporation certainly would. But since, in a sense, it was their fault he was in this mess now, he couldn’t get very upset about that. They could always sue his estate for the three hundred dollars he owed them, if his estate was worth that much.

Nobody else was going to mind the disappearance of Albert Miller from the space-time continuum, he thought dourly. His par­ents were dead, he hadn’t seen his one sister in fifteen years, and the girl he used to know in Topeka was married and at last report had three kids.

Still and all, he rather liked 1969. He wasn’t sure how he would take to the twenty-fifth century—or the twenty-fifth century to him.

“Ready for temporal discharge,” Mordecai sang out.

The chubby leader peered up at Al. “We’re sorry about all this, you understand. But nothing and nobody can be allowed to stand in the way of the Cause.”
“Sure,” Al said. “I understand.”

The concrete-mixer part of the machine began to revolve, bear­ing Al with it as it built up tempokinetic potential. Momentum in­creased alarmingly. In the background Al heard an ominous dron­ing sound that grew louder and louder, until it drowned out everything else. His head reeled. The room and its fat little mu­tants went blurry. He heard a pop! like the sound of a breaking balloon.

It was the rupturing of the space-time continuum. Al Miller went hurtling forward along the fourspace track, head first. He shut his eyes and hoped for the best.

When the dizziness stopped, he found himself sitting in the mid­dle of an impeccably clean, faintly yielding roadway, staring up at the wheels of vehicles swishing by overhead at phenomenal speeds. After a moment or two more, he realized they were not airborne, but simply automobiles racing along an elevated roadway made of some practically invisible substance.

So the temporal centrifuge had worked! Al glanced around. A crowd was collecting. A couple of hundred people had formed a big circle. They were pointing and muttering. Nobody approached closer than fifty or sixty feet.
They weren’t potbellied mutants. Without exception they were all straight-backed six-footers with full heads of hair. The women were tall, too. Men and women alike were dressed in a sort of tunic-like garment made of iridescent material that constantly changed colors.

A gong began to ring, rapidly peaking in volume. Al scrambled to his feet and assayed a tentative smile.

“My name’s Miller. I come from 1969. Would somebody mind telling me what year this is, and—”

He was drowned out by two hundred voices screaming in terror. The crowd stampeded away, dashing madly in every direction, as if he were some ferocious monster. The gong continued to clang loudly. Cars hummed overhead. Suddenly Al saw a squat, beetle-shaped black vehicle coming toward him on the otherwise empty road. The car pulled up half a block away, the top sprang open, and a figure clad in what might have been a diver’s suit—or a spacesuit—stepped out and advanced toward Al.
“Dozzinon murrifar volan,” the armored figure called out.

“No speaka da lingo,” Al replied. “I’m a stranger here.”

To his dismay he saw the other draw something shaped like a weapon and point it at him. Al’s hands shot immediately into the air. A globe of bluish light exuded from the broad muzzle of the gun, hung suspended for a moment, and drifted toward Al. He dodged uneasily to one side, but the globe of light followed him, descended, and wrapped itself completely around him.

It was like being on the inside of a soap bubble. He could see out, though distortedly. He touched the curving side of the globe experimentally; it was resilient and springy to the touch, but his finger did not penetrate.

He noticed with some misgiving that his bubble cage was start­ing to drift off the ground. It trailed a rope-like extension, which the man in the spacesuit deftly grabbed and knotted to the rear bumper of his car. He drove quickly away—with Al, bobbing in his impenetrable bubble of light, tagging willy-nilly along like a caged tiger, or like a captured Gaul being dragged through the streets of Rome behind a chariot.

He got used to the irregular motion after a while, and relaxed enough to be able to study his surroundings. He was passing through a remarkably antiseptic-looking city, free from refuse and dust. Towering buildings, all bright and spankingly new-looking, shot up everywhere. People goggled at him from the safety of the pedestrian walkways as he jounced past.

After about ten minutes the car halted outside an imposing building whose facade bore the words ISTFAQ BARNOLL. Three men in spacesuits appeared from within to flank Al’s captor as a kind of honor guard. Al was borne within.

He was nudged gently into a small room on the ground floor. The door rolled shut behind him and seemed to join the rest of the wall; no division line was apparent. A moment later the balloon popped open, and just in time, too; the air had been getting quite stale inside it.

Al glanced around. A square window opened in the wall and three grim-faced men peered intently at him from an adjoining cu­bicle. A voice from a speaker grid above Al’s head said, “Murrifar althrosk?”

“Al Miller, from the twentieth century. And it wasn’t my idea to come here, believe me.”

“Durberal haznik? Quittimar? Dorbfenk?”

Al shrugged. “No parley-voo. Honest, I don’t savvy.”

is three interrogators conferred among themselves—taking what seemed to Al like the needless precaution of switching off the mike to prevent him from overhearing their deliberations. He saw one of the men leave the observation cubicle. When he returned, some five minutes later, he brought with him a tall, gloomy-look­ing man wearing an impressive spade-shaped beard.

The mike was turned on again. Spadebeard said rumblingly, “How be thou hight?”
“Eh?”

“An thou reck the King’s tongue. I conjure thee speak!”

Al grinned. No doubt they had fetched an expert in ancient lan­guages to talk to him. “Right language, but the wrong time. I’m from the twentieth century. Come forward a ways.”

Spadebeard paused to change mental gears. “A thousand par­dons—I mean, sorry. Wrong idiom. Dig me now?”

“I follow you. What year is this?”

“It is 2431. And from whence be you?”

“You don’t quite have it straight, yet. But I’m from 1969.”

“And how come you hither?”

“I wish I knew,” Al said. “I was just trying to phone the loan company, see. . . anyway, I got involved with these little fat guys who wanted to take over the world. Mutants, they said they were. And they decided they had to get rid of me, so they bundled me into their time machine and shot me forward. So I’m here.”
“A spy of the mutated ones, eh?”

“Spy? Who said anything about being a spy? Talk about jump­ing to conclusions! I’m—”

“You have been sent by Them to wreak mischief among us. No transparent story of yours will deceive us. You are not the first to come to our era, you know. And you will meet the same fate the others met.”

Al shook his head foggily. “Look here, you’re making some big mistake. I’m not a spy for anybody. And I don’t want to get in­volved in any war between you and the mutants—”

“The war is over. The last of the mutated ones was extermi­nated fifty years ago.”

“Okay, then. What can you fear from me? Honest, I don’t want to cause any trouble. If the mutants are wiped out, how could my spying help them?”
“No action in time and space is ever absolute. In our fourspace the mutants are eradicated—but they lurk elsewhere, waiting for their chance to enter and spread destruction.”

Al’s brain was swimming. “Okay, let that pass. But I’m not a spy. I just want to be left alone. Let me settle down here some­where—put me on probation—show me the ropes, stake me to a few credits, or whatever you use for money here. I won’t make any trouble.”

“Your body teems with microorganisms of disease long since extinct in this world. Only the fact that we were able to confine you in a force-bubble almost as soon as you arrived here saved us from a terrible epidemic of ancient diseases.”

“A couple of injections, that’s all, and you can kill any bacteria on me,” Al pleaded. “You’re advanced people. You ought to be able to do a simple thing like that.”

“And then there is the matter of your genetic structure,” Spade- beard continued inexorably. “You bear genes long since elimi­nated from humanity as undesirable. Permitting you to remain here, breeding uncontrollably, would introduce unutterable confu­sion. Perhaps you carry latently the same mutant strain that cost humanity so many centuries of bloodshed!”

“No,” Al protested. “Look at me. I’m six feet tall, no pot­belly, a full head of hair—”

“The gene is recessive. But it crops up unexpectedly.”

“I solemnly promise to control my breeding,” Al declared. “I won’t run around scattering my genes all over your shiny new world. That’s a promise.”

“Your appeal is rejected,” came the inflexible reply.

Al shrugged. He knew when he was beaten. “Okay,” he said wearily. “I didn’t want to live in your damn century anyway. When’s the execution?”
Execution?” Spadebeard looked stunned. “The twentieth-cen­tury referent—yes, it is! Dove’s whiskers, do you think we would— would actually—”

He couldn’t get the word out. Al supplied it.

“Put me to death?”

Spadebeard’s expression was sickly. He looked ready to retch. Al heard him mutter vehemently to his companions in the observa­tion cubicle: “Gomirn def larriraog! Egfar!”

“Murrifar althrosk,” suggested one of his companions.

Spadebeard, evidently reassured, nodded. He said to Al, “No doubt a barbarian like yourself would expect to be—to be made dead.” Gulping, he went gamely on. “We have no such vindictive intention.”
“Well, what are you going to do to me?”

“Send you across the timeline to a world where your friends the mutated ones reign supreme,” Spadebeard replied. “It’s the least we can do for you, spy.”

The hidden door of his cell puckered open. Another space-suited figure entered, pointed a gun, and discharged a blob of blue light that drifted toward Al and rapidly englobed him He was drawn by the trailing end out into a corridor.

It hadn’t been a very sociable reception, here in the twenty-fifth Century, he thought as he was tugged along the hallway. In a way, he couldn’t blame them. A time-traveler from the past was bound to be laden down with all sorts of germs. They couldn’t risk letting him run around breathing at everybody. No wonder that crowd of onlookers had panicked when he opened his mouth to speak to them.

The other business, though, that of his being a spy for the mu­tants—he couldn’t figure that out at all. If the mutants had been wiped out fifty years ago, why worry about spies now? At least his species had managed to defeat the underground organization of potbellied little men. That was comforting. He wished he could get back to 1969 if only to snap his fingers in their jowly faces and tell them that all their sinister scheming was going to come to nothing.

Where was he heading now? Spadebeard had said, Across the timeline to a world where the mutated ones reign supreme. What­ever across the timeline meant, Al thought.


He was ushered into an impressive laboratory room and, bubble and all, was thrust into the waiting clasps of something that looked depressingly like an electric chair. Brisk technicians bustled around, throwing switches and checking connections.

Al glanced appealingly at Spadebeard. “Will you tell me what’s going on?”
“It is very difficult to express it in medieval terms,” the linguist said. “The device makes use of dollibar force to transmit you through an inverse dormin vector—do I make myself clear?”
“Not very.”
“Unhelpable. But you understand the concept of parallel con­tinua at least, of course.”
“No.”

“Does it mean anything to you if I say that you’ll be shunted across the spokes of the time-wheel to a totality that is simulta­neously parallel and tangent to our fourspace?”

“I get the general idea,” Al said dubiously, though all he was really getting was a headache. “You might as well start shunting me, I suppose.”

Spadebeard nodded and turned to a technician. “Vorstrar althrosk,” he commanded.

“Murrifar.”

The technician grabbed an immense toggle switch with both hands and groaningly dragged it shut. Al heard a brief shine of closing relays. Then darkness surrounded him.

Once again he found himself on a city street. But the pavement was cracked and buckled, and grass blades shot up through the neglected concrete.

A dry voice said, “All right, you. Don’t sprawl there like a ninny. Get up and come along.”

Al peered doubtfully up into the snout of a fair-sized pistol of enormous caliber. It was held by a short, fat, bald-headed man. Four identical companions stood near him with arms folded. They all looked very much like Mordecai, Waldemar, Giovanni, and the rest, except that these mutants were decked out in futuristic-look­ing costumes bright with flashy gold trim and rocketship insignia.

Al put up his hands. “Where am I?” he asked hesitantly.

“Earth, of course. You’ve just come through a dimensional gateway from the continuum of the Normals. Come along, spy. Into the van.”

“But I’m not a spy,” Al mumbled protestingly, as the five little men bundled him into a blue-and-red car the size of a small yacht. “At least, I’m not spying on you. I mean—”

“Save the explanations for the Overlord,” was the curt instruc­tion.

Al huddled miserably cramped between two vigilant mutants, while the others sat behind him. The van moved seemingly of its own volition, and at an enormous rate. A mutant power, Al thought. After a while he said,

Could you at least tell me what year this is?”

“It is 2431,” snapped the mutant to his left.

“But that’s the same year it was over there.”

“Of course. What did you expect?”

The question floored Al. He was silent for perhaps half a mile more. Since the van had no windows, he stared morosely at his feet. Finally he asked, “How come you aren’t afraid of catching my germs, then? Over back of—ah—the dimensional gateway, they kept me cooped up in a force-field all the time so I wouldn’t con­taminate them. But you go right ahead breathing the same air I do.”

“Do you think we fear the germs of a Normal, spy?” sneered the mutant at Al’s right. “You forget that we’re a superior race.” Al nodded. “Yes. I forgot about that.”

The van halted suddenly and the mutant police hustled Al out, past a crowd of peering little fat men and women, and into a co­lossal dome of a building whose exterior was covered completely with faceted green glass. The effect was one of massive ugliness.

They ushered him into a sort of throne room presided over by a mutant fatter than the rest. The policeman gripping Al’s right arm hissed, “Bow when you enter the presence of the Overlord.”

Al wasn’t minded to argue. He dropped to his knees along with the others. A booming voice from above rang out, “What have you brought me today?”

“A spy, your nobility.”

“Another? Rise, spy.”

Al rose. “Begging your nobility’s pardon, I’d like to put in a word or two on my own behalf—”

“Silence!” the Overlord roared.

Al closed his mouth. The mutant drew himself up to his full height, about five feet one, and said, “The Normals have sent you across the dimensional gulf to spy on us.”

“No, your nobility. They were afraid I’d spy on them, so they tossed me over here. I’m from the year 1969, you see.” Briefly, he explained everything, beginning with the bollixed phone call and ending with his capture by the Overlord’s men a short while ago.

The Overlord looked skeptical. “It is well known that the Nor­mals plan to cross the dimensional gulf from their phantom world to this, the real one, and invade our civilization. You’re but the latest of their advance scouts.

Admit it!”

“Sorry, your nobility, but I’m not. On the other side they told me I was a spy from 1969, and now you say I’m a spy from the other dimension. But I tell you—”
“Enough!” the mutant leader thundered. “Take him away. Place him in custody. We shall decide his fate later!”

Someone else already occupied the cell into which Al was thrust. He was a lanky, sad-faced Normal who slouched forward to shake hands once the door had clanged shut.

“Thurizad manifosk,” he said.

“Sorry. I don’t speak that language,” said Al.

The other grinned. “I understand. All right: greetings. I’m Dar­ren Phelp. Are you a spy too?”

“No, dammit!” Al snapped. Then: “Sorry. Didn’t mean to take it out on you. My name’s Al Miller. Are you a native of this place?”

“Me? Dove’s whiskers, what a sense of humor! Of course I’m not a native! You know as well as I do that there aren’t any Nor­mals left in this fourspace continuum.”

“None at all?”

“Hasn’t been one born here in centuries,” Phelp said. “But you’re just joking, eh? You’re from Baileffod’s outfit, I suppose.”
“Who?”

“Baileffod. Baileflod! You mean you aren’t? Then you must be from Higher Up!” Phelp thrust his hands sideways in some kind of gesture of respect. “Penguin’s paws, Excellency, I apologize. I should have seen at once—”
“No, I’m not from your organization at all,” Al said. “I don’t know what you’re talking about, really.”

Phelp smiled cunningly. “Of course, Excellency! I understand completely.”

“Cut that out! Why doesn’t anyone ever believe me? I’m not from Baileffod and I’m not from Higher Up. I come from 1969. Do you hear me, 1969? And that’s the truth.”

Phelp’s eyes went wide. “From the past?

Al nodded. “I stumbled into the mutants in 1969 and they threw me five centuries ahead to get rid of me. Only when I ar­rived, I wasn’t welcome, so I was shipped across the dimensional whatzis to here. Everyone thinks I’m a spy, wherever I go. What are you doing here?”

Phelp smiled. “Why, I am a spy.”

“From 2431?”

“Naturally. We have to keep tabs on the mutants somehow. I came through the gateway wearing an invisibility shield, but it popped an ultrone and I vizzed out. They jugged me last month, and I suppose I’m here for keeps.”

Al rubbed thumbs tiredly against his eyeballs. “Wait a minute— how come you speak my language? On the other side they had to get a linguistics expert to talk to me.”

“All spies are trained to talk English, stupid. That’s the lan­guage the mutants speak here. In the real world we speak Vorkish, naturally. It’s the language developed by Normals for com­munication during the Mutant Wars. Your ’linguistics expert’ was probably one of our top spies.”
“And over here the mutants have won?”

“Completely. Three hundred years ago, in this continuum, the mutants developed a two-way time machine that enabled them to go back and forth, eliminating Normal leaders before they were born. Whereas in our world, the real world, two-way time travel is impossible. That’s where the continuum split begins. We Normals fought a grim war of extermination against the mutants in our fourspace and finally wiped them out, despite their superior men­tal powers, in 2390. Clear?”

“More or less.” Rather less than more, Al added privately. “So there are only mutants in this world, and only Normals in your world.”
“Exactly.”

“And you’re a spy from the other side.”

“You’ve got it now! You see, even though strictly speaking this world is only a phantom, it’s got some pretty real characteristics. For instance, if the mutants killed you here, you’d be dead. Per­manently. So there’s a lot of rivalry across the gateway; the mu­tants are always scheming to invade us, and vice versa. Confiden­tially, I don’t think anything will ever come of all the scheming.”

“You don’t?”

“Nah,” Phelp said. “The way things stand now, each side has a perfectly good enemy just beyond reach. But actually going to war would be messy, while relaxing our guard and slipping into peace would foul up our economy. So we keep sending spies back and forth, and prepare for war. It’s a nice system, except when you happen to get caught, like me.”
“What’ll happen to you?”

Phelp shrugged. “They may let me rot here for a few decades. Or they might decide to condition me and send me back as a spy for them. Tiger tails, who knows?”

“Would you change sides like that?”

“I wouldn’t have any choice—not after I was conditioned,” Phelp said. “But I don’t worry much about it. It’s a risk I knew about when I signed on for spy duty.”

Al shuddered. It was beyond him how someone could volun­tarily let himself get involved in this game of dimension-shifting and mutant-battling. But it takes all sorts to make a continuum, he decided.

Half an hour later three rotund mutant police came to fetch him. They marched him downstairs and into a bare, ugly little room where a battery of interrogators quizzed him for better than an hour. He stuck to his story, throughout everything, until at last they indicated they were through with him. He spent the next two hours in a drafty cell, by himself, until finally a gaudily robed mu­tant unlocked the door and said, “The Overlord wishes to see you.”

The Overlord looked worried. He leaned forward on his throne, fist digging into his fleshy chin. In his booming voice—Al realized suddenly that it was artificially amplified—the Overlord rumbled, “Miller, you’re a problem.”
“I’m sorry your nobil—”

Quiet! I’ll do the talking.”

Al did not reply.

The Overlord went on, “We’ve checked your story inside and out, and confirmed it with one of our spies on the other side of the gate. You really are from 1969, or thereabouts. What can we do with you? Generally speaking, when we catch a Normal snooping around here, we psychocondition him and send him back across the gateway to spy for us. But we can’t do that to you, because you don’t belong on the other side, and they’ve already tossed you out once. On the other hand, we can’t keep you here, maintaining you forever at state expense. And it wouldn’t be civilized to kill you, would it?”

“No, your nobil—”

Silence!

Al gulped. The Overlord glowered at him and continued think­ing out loud. “I suppose we could perform experiments on you, though. You must be a walking laboratory of Normal microor­ganisms that we could synthesize and fire through the gateway when we invade their fourspace. Yes, by the Grome, then you’d be useful to our cause! Zechariah?”

“Yes, Nobility?” A ribbon-bedecked guardsman snapped to at­tention.

“Take this Normal to the Biological Laboratories for examina­tion. I’ll have further instructions as soon as—”

Al heard a peculiar whanging noise from the back of the throne room. The Overlord appeared to freeze on his throne. Turning, Al saw a band of determined-looking Normals come bursting in, led by Darren Phelp.
There you are!” Phelp cried. “I’ve been looking all over for you!” He was waving a peculiar needle-nozzled gun.
“What’s going on?” Al asked.

Phelp grinned. “The Invasion! It came, after all! Our troops are pouring through the gateway armed with these freezer guns. They immobilize any mutant who gets in the way of the field.”

“When—when did all this happen?”

“It started two hours ago. We’ve captured the entire city! Come on, will you? Whiskers, there’s no time to waste!”

“Where am I supposed to go?”

Phelp smiled. “To the nearest dimensional lab, of course. We’re going to send you back home.”

A dozen triumphant Normals stood in a tense knot around Al in the laboratory. From outside came the sound of jubilant singing. The Invasion was a howling success.

As Phelp had explained it, the victory was due to the recent in­vention of a kind of time-barrier projector. The projector had cut off all contact between the mutant world and its own future, pre­venting time-traveling mutant scouts from getting back to 2431 with news of the Invasion. Thus two-way travel, the great mutant advantage, was nullified, and the success of the surprise attack was made possible.

Al listened to this explanation with minimal interest. He barely understood every third word, and, in any event, his main concern was in getting home.
He was strapped into a streamlined and much modified version of the temporal centrifuge that had originally hurled him into 2431. Phelp explained things to him.

“You see here, we set the machine for 1969. What day was it when you left?”

“Ah—October ten. Around three thirty in the afternoon.”

“Make the setting, Frozz.” Phelp nodded. “You’ll be shunted back along the time-line. Of course, you’ll land in this continuum, since in our world there’s no such thing as pastward time travel. But once you reach your own time, all you do is activate this small transdimensional generator, and you’ll be hurled across safe and sound into the very day you left, in your own fourspace.”

“You can’t know how much I appreciate all this,” Al said warmly. He felt a pleasant glow of love for all mankind, for the first time since his unhappy phone call. At last someone was taking sympathetic interest in his plight.

At last, he was on his way home, back to the relative sanity of 1969, where he could start forget­ting this entire nightmarish jaunt. Mutants and Normals and spies and time machines—

“You’d better get going,” Phelp said. “We have to get the occu­pation under way here.”
“Sure,” Al agreed. “Don’t let me hold you up. I can’t wait to get going—no offense intended.”

“And remember—soon as your surroundings look familiar, jab the activator button on this generator. Otherwise you’ll slither into an interspace where we couldn’t answer for the consequences.”

Al nodded tensely. “I won’t forget.”

“I hope not. Ready?”

“Ready.”

Someone threw a switch. Al began to spin. He heard the pop­ping sound that was the rupturing of the temporal matrix. Like a cork shot from a champagne bottle, Al arched out backward through time, heading for 1969.

He woke in his own room on Twenty-third Street. His head hurt. His mind was full of phrases like temporal centrifuge and transdimensional generator.

He picked himself off the floor and rubbed his head.

Wow, he thought. It must have been a sudden fainting spell. And now his head was full of nonsense.

Going to the sideboard, he pulled out the half-empty bourbon bottle and measured off a few fingers’ worth. After the drink, his nerves felt steadier.

His mind was still cluttered with inexplicable thoughts and images.

inister little fat men and complex machines, gleaming roadways and men in fancy tunics.

A bad dream, he thought.

Then he remembered. It wasn’t any dream. He had actually taken the round trip into 2431, returning by way of some other continuum. He had pressed the generator button at the proper time, and now here he was, safe and sound. No longer the football of a bunch of different factions. Home in his own snug little fourspace, or whatever it was.

He frowned. He recalled that Mordecai had severed the tele­phone wire. But the phone looked intact now. Maybe it had been fixed while he was gone. He picked it up. Unless he got that loan extension today, he was cooked.

There was no need for him to look up the number of the Friendly Finance Corporation; he knew it well enough. He began to dial. MUrray Hill 4—
The receiver clicked queerly. A voice said, “Come in, Operator Nine.

perator Nine, do you read me?”

Al’s jaw sagged in horror. This is where I came in, he thought wildly.

He struggled to put down the phone.

ut his muscles would not respond. It would be easier to bend the sun in its orbit than to break the path of the continuum. He heard his own voice say, “I didn’t want the operator. There must be something wrong with my phone if—”

“Just a minute. Who are you?”

Al fought to break the contact. But he was hemmed away in a small corner of his mind while his voice went on, “I ought to ask you that. What are you doing on the other end of my phone, any­way? I hadn’t even finished dialing. I got as far as MU-4 and—”

Inwardly Al wanted to scream.

No scream would come. In this continuum the past (his future) was immutable. He was caught on the track, and there was no escape. None whatever. And, he real­ized glumly, there never would be.

Do you want more?

I have a ton load of science fiction stories for your enjoyment here in my Science Fiction Index…

Fictional Stories

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

Learning about China by looking at exceptionally pretty and beautiful girls (7)

Here we continue with our exploration of China by focusing our attention on the ladies there. For the longest time, I have devoted my time to the Trump Trade Wars, DIY dimensional portal construction, the art that all ended with the progressive movement in 1913, and science fiction stories. Yet, I felt that if you don’t take the time to “smell the roses”; meaning look at pretty girls and enjoy good food, you are truly losing out on what life can provide. Thus this post.

Again, just click on the picture to see the video. It should open up in a new separate tab. Depending on the internet traffic at your location it could be either slow or fast. Enjoy.

Do you want more?

I have more videos in my Attractive Chinese Girls Index here…

Pretty Chinese Girls

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

The rest of the world is living life, what is holding you back? Is it the belief that you are somehow “special”?

Americans are constantly reinforced how dark and gloomy the rest of the world is. They are told that America must fight wars all over the globe to “free people” from the evils of Communism, and “to spread democracy” and the “American way of life”. It’s all a big nasty lie. The rest of the world is doing just fine thank you. And Americans need to straighten up, get their collective shit together and stop putting their nose in everyone else’s’ business.

This post consists of some videos from the rest of the world. And no, it doesn’t look like a “save the children” commercial either. People are living life, having fun and just enjoying themselves. They are not probed, monitored, reported on, and over taxed. They are doing just fine. And we are going to have some glimpses into their lives right about now.

Meanwhile in America

Ah. The Drudge Report offers us a snapshot of how America is doing. It’s a fucking mess, I’ll tell you what.

I will tell you how bad things are.

I know that change is a normal art of life, but man….

And yet, if you go on American social media it’s still the same old narrative. America is so fantastic and so wonderful and so great that it must be the “will of God”, and all the rest of the world is evil. America needs to get involved and “teach them lessons”.

Today, Sen. Ernst says, we face a different supply chain threat: China.
"We rely on communist China for far too much," she explains.

She hasn't only been talking about the Chinese threat. Sen. Ernst recently wrote a letter to Treasury Secretary Steven Mnuchin in which she stressed that the U.S. must be more aggressive toward China, particularly after the communist regime's mishandling and cover-up of the coronavirus. China's role in the pandemic resulted in the loss of thousands of American lives, as well as devastating economic consequences.

-Joni Ernst Focuses on China in First TV Ad of the Year

Oh Fucking Brother!

"...the communist regime's mishandling and cover-up of the coronavirus..."

Please give me a fucking break.

The videos of people dying all over China during CNY were everywhere.  I myself have collected thousands.  And while this was going on, you had President Trump telling everyone that "nothing is going on", and that you don't need to wear a mask. The idea that there was some sort of coverup is just political theater.

What “mishandling”?

Six days after discovery, everything went public and under lock-down. If anyone is mishandling anything it is the idiots running the United States right now.

Anyways…

America is in bad shape. And the general “rule of thumb” (historically) is to claim some foreign threat. Then launch a war, claiming that it’s all in “self-defense”. Right now, the build up is all against China.

But if Trump loses the election, the build up will be redirected against Russia.

And if so, then it looks like the John Tutor narrative will really start to have some legs...

… Nuclear War.

But of course, the PTB, the Oligarchy aren’t thinking this. They just simply cannot envision a life where they are not inside their nice comfortable homes living life and sipping tea while fondling with their pearl necklaces. They cannot envision major change on the order of Genghis Khan level disruptions.

Instead, they are pushing the same, tired old, narrative…

Export “democracy” to the rest of the world so that they can be as fantastically wonderful as Americans are, with all those American “freedoms”!

American freedoms in action. Let's export this to the rest of the world!
American freedoms in action. Let’s export this to the rest of the world! Woo woo!

Well…

Let me tell you’se guys. The rest of the world doesn’t want any “American exceptionalism”. They just wish to be left alone, and the dearly wish that America would just “go away”.

Why?

Because the are NOT suffering. They are NOT some starving village out of a “Save the Children” commercial. And most people are very, very far removed to that 0.0003% of people who live in the elitist bubble in Washington DC where stocks, bonds and public manipulation are the norm.

They are having fun.

They are living life.

Having fun like everyone used to back in the day, in America before all those progressive "improvements".
Having fun like everyone used to back in the day, in America before all those progressive “improvements”.
Here's some glimpses into the rest of the world. The images are from South East Asia. This includes Laos, Vietnam, Cambodia, Indonesia, Thailand and Burma. Now, there is a lot of cultural back-and-forth going on in these areas, so there is a chance that I am mixing up a few regions with nearby societies. lease excuse the mistakes if appropriate.

Burma Music Video

Most Americans don’t know where Myanmar is.

It’s a small nation West of Thailand. It’s relatively poor, but peaceful. The only time most Americans hear about it has to do with some military excursion one way or the other. Then suddenly the news is plastered with buzz-words and trigger phrases. You know the drill “democracy”, “military junta”, “regime” and “communist”.

But aside from that, Americans are kept ignorant.

Myanmar map.
Myanmar map.

Americans just do not care.

“Out of sight is out of mind” as we all used to say.

At most, Americans might look at the news and see what constitutes as a video portrayal of events and daydream of starting a KFC franchise, introducing those “poor starving people” to ATM machines, and American social media. Not realizing of course, that they already have all of this. Each and every bit, only under their terms. Not under the oppressive terms of the iron-fisted American empire.

Anyways…

Here’s what it’s really like.

This is what the rest of the world is like.

And while you Americans were out having a BBQ with some chicken, some hamburgers, bags of potato chips, and watermelon, this is what other people were doing. While you were flying the red, white and blue flag on your porch, and drinking some fine Budweiser beer or PBR. Only…

Only…

Only…

…they didn’t need an official government holiday to do it. They just went out and lived life. Because for the rest of the world, just about every day is a holiday.

Check out this (partial) MV video from Burma…

Burma music video.

Ah it’s one of my favorites.

Now for some bad news…

THE PENTAGON IS PREPARING A NEW WAR IN SOUTH-EAST ASIA
Political Islam against China
by Thierry Meyssan

You are probably aware that you are incompletely informed about what is brewing in Myanmar, and you probably haven’t heard about the military coalition that is preparing to attack that country. And yet, as Thierry Meyssan reveals here, these current events have been in preparation by Riyadh and Washington since 2013. Don’t take sides before you read this article and digest the information.

https://www.voltairenet.org/article198141.html

All in the name of “freedom” and “democracy”…

But, take a gander. Do these people seem to need “democracy”, or “The American way of life”, or “American exceptionalism” that Donald Trump so loudly proclaim?

DO you really think that they want ANYTHING that America exports right now?

Do they look like they eat a lot of McDonald’s hamburgers, are all worked up and stressed about the IRS reporting dates, the up coming November elections, the new laws on social distancing on beaches or fishing? Nope. They are not. Because that nightmare world that all Americans live within is far, far removed for the lifestyle, and the societies of the rest of the world.

Thirteen years ago my life changed forever.

Colin Powell, then US Secretary of State and the most credible person in George W. Bush’s cabinet, made the case for war in Iraq on February 5, 2003.

As a young military intelligence officer at the time, watching from a makeshift army base in Kuwait not far from the Iraq border.

Back then I was a true believer, trusting that the government was a force for good “making the world safe for democracy. . .”

But that night it all changed.

Powell told the world unequivocally that Iraq had weapons of mass destruction, an assertion that history has proven categorically wrong.

But within the intelligence community, many people knew the appalling truth immediately.

That night it became clear to me that the government was lying and that the whole case for war was being fabricated.

It was crushing, like finding out everything I’d been told throughout my life was total bullshit.

So for the first time, I broke out of the spell and began questioning. Everything.

I started learning about the extraordinary political power of the military industrial complex that President Eisenhower warned about.

That led me to the fraud of many previous wars going as far as the Mexican War in 1845, one deeply criticized by Abraham Lincoln himself.

That led me to the Constitution, to which all military officers swear an oath to support and defend…

… and it surely didn’t seem like supporting or defending the Constitution in waging an ill-conceived, illegal war.

Needless to say I couldn’t talk to my professional colleagues. Everyone was so gung-ho, I felt like an outcast.

When I returned home, things didn’t improve.

While I was away the country had noticeably turned into a police state.
Yet people seemed oblivious to the change, drinking in the propaganda like a spiked punch bowl.

All the loud, bombastic nonsense and pledges of allegiance were merely illusions masking modern day serfdom.

-Simon Black

And now, well America is really knee-deep in shit. And it desperately needs a major military conflict for the PTB to stay in control and they are all “chomping at the bit” to make it happen.

It’s terrifying.

Yes. I know. I am in a “safe place”. And I know that change is going to happen. But, you all do know that I want peaceful change. Not violent change. And these elitists sitting in Washington DC are just delusional. On both sides of the isle. They do not know what they are asking, and the result will NOT be what they expect.

Hey!

Did the starvation of China work? How about the mass riots in Hong Kong? What about the UN going against the Uighur “concentration camps” (nonsensical, but still) or of course the COVID-19 bioweapons attack against China on the CNY eve 2020? How did it all pan out.?

Do you all somehow think that a war with a major power is going to be a “walk in the park”?

The United States needs to leave the rest of the world alone.

It needs to concentrate on it’s own problems and start fixing things.

No one believes the United States any longer. All the masks of self-righteous truth and Godliness has been stripped away to expose the evil dark underneath.
No one believes the United States any longer. All the masks of self-righteous truth and Godliness has been stripped away to expose the evil dark underneath.

Instead of filling their own pockets and letting the rest of the nation suffer. And that is what is going on right now. Those trillions of dollar bailouts are not going tot he citizens. They are, instead, going to the politically connected and the cronies that support the PTB. This is not good…

It’s like throwing kerosene on a smoldering fire.

Yet…

The rest of the world is living life. Having fun. Chilling.

Let’s go to Thailand.

Local Thailand Music

Of course, in Thailand you can hear classic American Rock blaring from the bars and nightclubs, but if you listen carefully and go outside the tourist areas you can find real genuine local music. And it’s all pretty darn cool.

One of the most curious things that fascinated me is that the local music, and the styles all tended to resemble the hotter sections of Southern Mexico. And I am not the first to notice it.

A curious new trend has emerged in Bangkok, where young Thai men are identifying as “Mexican gangsters.” 

On the outside, many of these Thais resemble the Cholos who inspire them. Their clothes, tattoos, and hairstyles emulate those sported by real homies.

What became clear is that the Thai obsession with these groups is simply an appreciation of their aesthetic. All of the men we spoke with were captivated by “the clean and simple style” that “worked well in this (Thai) weather,” as one gang member said. 

So while they might appear and look like Mexican gangsters, they really emulate their style and appearance. As they both have a similar build / stature, a similar family lifestyle, a similar society, and similar weather. One should not, however, think that they aren’t able to handle themselves were “push comes to shove”. Thailand is the land of “kick boxing” and they do not play.

I dont know about the clothes but on a hand to hand combat fight my moneys on the thai boys! 

They would kick their ass in about 2 seconds with that martial arts shit! 

As for the idiot who wondered wtf this story got to do with anything? Get the f**k outta here! U need a change of pace sometimes! 

I saw a little woman from thailand once bring a grownass man to the floor with a damn broom handle once honest! If i hadnt seen it myself i wouldnt have believed it! 

I was like dayuum!

Anonymous
March 20, 2014 at 11:19 PM

Perhaps what most separates the Thais from the Mexicans they imitate is that almost all of them hold innocuous 9-5s as teachers, policemen and bureaucrats. Many are family men, and some admitted to consulting their wives before getting certain tattoos.

Thailand Music Video

In a nutshell, this is a brotherhood of style-conscious men who bond over baggy white shirts and gothic-baroque tattoos.

That’s not to say that the Thais don’t have an understanding of the fierce take-no-shit Cholo attitude. Thanks to Youtube and movies they’re very much aware of that culture’s hyper-aggressiveness and machismo. One Balcony Pain gang member – Mr. Shiro Local – bared his inner gangster to us when he rapped a few lines from an original song he had written called “Fuck the Popo” in which he compared corrupt Thai police to parasites in his scrotum. 

Such outright vitriol is rarely directed towards people in authority in Thailand, where the dominant culture is one of extreme deference towards the powerful. Yet, you’d be hard pressed to find Thais who disagree with Mr. Local’s assessment of Bangkok’s cops. Perhaps a little more Mexican gangstah chutzpah is exactly what Thailand needs. 

Just some local Thai men being themselves and living life.

Nice. Eh?

Local societies doing local things. People living life.

Good. Right?

Well…

Not everyone thinks so…

Trump, Pompeo and Bolton.
Trump, Pompeo and Bolton.

Now all this is going on while the PTB and the Oligarchy are moving military forces around the world. Both the American and English forces are moving in preparation for the World War that America so desperately needs…

What this article in fact announces is the state of war between the Anglo-American Empire and the PRC which with characteristic euphemism is called "hybrid". 

https://www.ft.com/content/20910e6d-5ae7-4026-aaee-947e22c3dd60

"Britain’s armed forces pivot east to face growing China threat
Security and defence review dominated by ways to counter Beijing’s hybrid warfare"

The FT as a mouthpiece of the Establishment is announcing that the conventional warfare elements are being mobilised as cover for covert operations already in progress for at least 18 months.
By placing visible assets near the "front" any PRC responses to covert action can be dressed as provocations justifying selective escalation.

Since the AAE is aware that it is unable to challenge PLAN elements in Chinese territorial waters or EEZ, these naval elements serve to inhibit or disrupt maritime traffic toward or from China.

The preoccupation with repeated instances of viral infection not only consume resources but reinforce the negative public image of the PRC and force its "self-isolation".

Since at the same time the promoters of the "pandemic" are determined to drive Trump out of office at all costs, both to restore control over the W**** House and hence the state bureaucracy and to continue the rollout against China and Russia unobstructed, there is little reason to expect any change in the WHO status before December.

By that time the scale of basic economic disaster will require the draconian "public health (in fact policing) measures" on a far more coordinated scale than at present is apparent.

We are going through what might best be called an indoctrination phase. This is a shift from overt criminal policing or political repression to a general "health and safety" doctrine- already well anchored in Britain and the US (the white dominions).

My gut feeling is that the protests in the US are in fact a managed "watertight integrity" test. The natural anger and opposition to all sorts of real and imagined injustice is being selectively detonated. This will starve the real opposition of the "oxygen" it needs to resist the next phase of suppression.

It would be nice to think that it is all more chaotic and less subject to management than I describe above. However, I see little cause for optimism of this sort.

Dr. T. P. Wilkinson
魏巴克

Yeah.

I know that it is depressing. It’s like you have this really nice calm life. It’s fine and tranquil and then suddenly American planes zoom overhead and release a fireball that completely wipes out your small village.

Americans spreading “democracy”.

Like what happened in Panama…

In Libya…

In Afghanistan, Syria…

And many, many other places…

Syria before and after America spread "democracy" and let them have a fine taste of American "freedom".
Syria before and after America spread “democracy” and let them have a fine taste of American “freedom”.

Hey! I know it’s depressing.

You want to know what’s even more depressing?

It’s that any attack on China or Russia will end up with nuclear attacks on American soil. And yet somehow, somehow and in some crazy way, the American and British PTB Oligarchy leadership doesn’t think that this will happen. Thinking that a war with China will be limited to conventional weapons in the South China Sea is absolutely foolish.

What is the matter with these people?

  • It will not be limited to conventional weapons.
  • It will not be limited to the South China Sea.

Don’t they realize that on the grand scale of things that you just cannot move about… being a destroyer of things and NOT have some “flash back”?

Look at these videos.

America is a bubble of insanity.

Has anyone seen this from Rod Campbell of Australia Institute? He claims thousands of bot accounts controlled by US government spread the Wuhan bioweapons narrative nearly 5 million times in the first half of 2020:
 
https://www.facebook.com/HongKongGoodNews/videos/200057774713941/

The life of the people in the rest of the world is…

… normal.

The life of Americans in America is…

…abnormal.

People… the rest of the world is not like this. The rest of the world is normal and doing well. The rest of the world is living life and having fun. They are not in the same kind of extremes that Americans are enduring.

It is like night…

… and day.

Having fun at the clubs in Thailand.
Having fun at the clubs in Thailand.

They are not living in this bubble of extreme freak-out that exists within the USA today. They are innocents. They are just minding their own business. And when the brave American bombs start dropping, there will be a response…

… you want to avoid the areas where the response(s) will end up.

Come on…

Look at the rest of the world.

What about life in Cambodia?

How about checking things out there…eh?

America Needs a War

As I am trying to make the point, I want you the reader to pay attention and listen. It’s simple really.

  • Americans are living within a “bubble”.
  • The rest of the world lies outside this “bubble”.

They are happy, doing well, and want to be left alone. They are now protected with strong military alliances with peer-capable weapons, serious and powerful leadership, and an understanding of the stakes and the evils of the American Military Empire as it exists today.

America needs a war. It is the only technique remaining in the arsenal of control that will allow the United States to stay coherent and not break apart through internal division.

The severity of the internal American discord is an indicator of how serious the projected war that America wants…

…and the rest of the world is aware of this.

If you all think that the Chinese, and the Russians are going to sit back and allow the America to go initiate a global war to preserve their control over the rapidly crumbling American structure…

…you are sadly mistaken.

Right now, and keep this in mind… China is STILL at DEFCON ONE. Last week they just called up all of the national reservists. All of them. (What? You didn’t see this in the American or Western press did you?) If you think that China is going to permit the five aircraft (2 + 3 = 5) carriers heading to China right now, and the two invasion flotillas to be a threat, you are crazy.

Chinese and Russian military advisors have been having a flurry of meetings over this last month.

Do not think that the rest of the world is going to sit back and pretend that nothing is going on? Don’t be silly. The leadership of both China and Russia are experts that obtained their positions through merit. Not though some diversity checklist and under-the-table bribes.

Meanwhile…

…In Cambodia.

Party Time in Cambodia

It’s “party time”. There, just like it used to be in America, people would customize their vehicles and make them into mobile party-centers. Then all they would need to do is go to an empty parking lot and have a good time. Sort of like this…

Cambodian Music Video

Yeah.

The rest of the world is just having fun. They are just enjoying themselves. There’s nothing wrong with that.

But, you would never know that this was going on from reading the American news…

To Americans within their own bubble, they are convinced that they are “special”, and “wonderful”, and all is “great”.

How could they get this kind of idea?

Well…

It’s because it has been drummed into them ever since they first started attending school, and getting participation trophies for showing up.

But…

I’ve got news for everyone.

Americans are NOT special. In fact, most aren’t really functional at the most basic level. The PTB realize this, and many people are starting to wake up to this frightening situation.

Here’s one…

The following is the full text of an article titled “RAW TRUTH: Why 97% of lives really DON’T matter at all to the future of humanity” by Mike Adams. It was found on Natural News and all credit to the Author.

The opinions are of the author and not necessairly that of Metallicman. So please take advisements. Realize that there are changes and you need to be a participant or else you will be flushed down the drain with the rest. Be advised.

Aside from that. Realize that other people are starting to "wake up" and look around...

RAW TRUTH: Why 97% of lives really DON’T matter at all to the future of humanity

Sunday, July 05, 2020 by: Mike Adams

(Natural News) The mantra of “Black Lives Matter” has been pounded into our heads 24 hours a day, and we’re told it’s an enlightened, progressive statement while anyone who claims “White lives matter” is somehow a racist bigot. Even worse, those who utter “ALL lives matter” are now threatened with being killed by this Harvard graduate, and people are being fired from their jobs for claiming “all lives matter.”

In truth, almost no lives really matter to the future of humanity, and that’s because roughly 97% of the people are oblivious, clueless consumers who are doing absolutely nothing to help secure a legacy of truth and freedom for future generations.

If you are sitting on your ass, watching The View and devouring Weight Watchers donuts as you allow the TV to fill your brain with mush, your life actually doesn’t matter. If you were to cease to exist, nothing about the future of human history would change one iota.

If you are still watching CNN and predominantly using Facebook, Twitter and Google because you don’t realize all the voices of truth have been banned by the authoritarian Left, your life probably doesn’t matter. You are nothing but a mind puppet of the globalist-run corporate propagandists (Big Tech and Big Media).

If you conform to the insane demands of the progressive left-wing fascists because you cower in fear over being “cancelled,” your life doesn’t matter, either.

If you’re not standing up against the lunatic left-wing mob to defend logic, reason and rationality, your existence really doesn’t matter. You aren’t changing history; you’re being used as a “useful idiot” to help achieve the aims of the anti-human globalists who despise human populations.

If you’re not fighting for the right to speak, the right to disagree and the right to question the lunacy of the mob, your life doesn’t matter.

If you’re doped up on a dozen prescription drugs to the point that you’re mentally incapacitated and can barely process events happening right in front of you, your life doesn’t matter. (But you might get nominated as the Democratic Presidential candidate, notably.)

If you vote for candidates based on political tribalism without having any real clue what those candidates stand for, your life doesn’t matter.

If you think you are owed everything without having to work for anything, your life doesn’t matter.

If you think the answer to today’s existential threats to our nation, our freedom and our Bill of Rights are no big deal and everybody should just “think positive,” then your life doesn’t really matter. You will accomplish nothing that matters.

Approximately 97% of the lives of Americans today don’t matter one bit. They are NPCs — Non-Player Characters — also known as PLFs (Programmable Life Forms), and they will do whatever they are told by the media, the corporations and the fake establishment “authorities.” They add exactly zero value to society and if they vanished tomorrow, the course of human history wouldn’t be altered one bit.

Here’s a video showcasing some members of the 97% — the clueless masses whose lives are pointless and inconsequential. Watch as they explain how the United States of America achieved its independence “in 1964” by fighting “the Civil War” against “America.” Seriously… and two of them are teachers!

Whose lives matter? Those who are informed and who make a difference. The 3%.

Only about 3% of the population have any clue about our history and are actively engaged in shaping our future. That’s fewer than 10 million people nationwide.

It is these 10 million people who will determine our shared future and whether or not we end up enslaved under left-wing tyranny or are able to reclaim our constitutional republic and defeat the anti-American forces that are rising.

So out of a nation of 330 million people, about 320 million lives make no difference at all. They are what globalists call “useless eaters,” and they are on the list to be exterminated via global depopulation efforts that are now underway via the plandemic and the coming coronavirus “vaccine.”

Another way to look at this is by simply being active in the effort to spread the truth and defend humanity against tyranny, you matter more than any 33 other people who are oblivious. Your life “counts” more, in other words, because you’re fighting to make a difference. And the 97% figure for the clueless masses is actually quite generous. The real number is probably more like 99%, which means one active, aware person “matters” more than 99 other people who are clueless.

What makes your life matter isn’t the color of your skin or your political affiliation. What determines whether you matter is how committed you are to fighting for human freedom and opposing authoritarianism and tyranny. Notably, people who really matter are self-selected: They alone determine that they wish to take a stand and make a difference. No one can appoint you to this position of relevance; you must seize it for yourself and become a person whose life really does make a positive difference in the world.

How to make your life matter

If you are an oblivious conformist who surrenders to the lunatic mob, your life is pointless and redundant. But if you want your life to really matter, you must break away from the mob and start thinking (and acting) for yourself.

There are many, many people who have set the example of independent thinking that you might allow to inspire yourself to do the same:

… and many others. There are lots of examples out there. Are you among these types of independent thinkers who challenge the status quo and work to truly empower and uplift humanity with wisdom and knowledge?

Don’t go through life on a pointless, narcissistic rampage of a cult-like obedience to the puppet masters of our time: Think for yourself. Learn history. Share knowledge. Branch out and away from the content controllers at Facebook, YouTube, Google and Twitter. Change the channel and stop allowing yourself to be brainwashed by CNN, MCNBC and NPR.

Use alternative platforms for sharing real knowledge, like…

  • Brighteon.com
  • Spreely.com
  • Parler.com.

Seek out uncommon knowledge and use it to empower yourself. Create your own channels and post your own videos, texts or articles.

Freedom is so easily accessible that you can find it by typing a URL into your browser. Instead of typing “Facebook.com,” type “Brighteon.com” and discover a whole new world.

Be someone who matters.

Change the future for the better. Join those of us who are doing this work every single day, dedicating our lives to protecting human freedom and a sustainable future of abundance and liberty for all.

97% of lives don’t matter, but yours can. What will you choose to do with it?

Be the Rufus

Make no mistake. Those that will survive and be permitted to procreate and live life through this tumultuous time period with be those that are aware, kind and knowledgeable. But most certainly have the kind of sentience deserving of mankind.

Sitting on a couch, smunching on pork rinds, and demanding that taxes get raised so that you can still keep using your food stamps is not representative of the future of mankind.

This is…

The rest of the world is starting to fight back.

The rest of the world is changing.

The way things have been is coming to an end, and people are starting to fight back. They demand stability, families, and stable relationships. They tire of the vermin… rich and the poor that prey on the rest of society. Now, with the proper tools, and the proper motivation they have declared a new order of society.

Have you noticed?

  • The CIA/NED instigation of the Hong Kong “pro-democracy” movement and the pay-offs of the Judges to let the criminals have a pass. Even when it came to killing people, damaging critical infrastructure, and maiming people.

And…

  • China changing the law. Throwing out the judges. Shutting down the CIA/NED “safe spaces”. Arresting and severely punishing the wrong-doers. Organ harvesting with life-time of hard-labor in the salt mines for many many years. No wonder that even Joshua Wong has disbanded his organization.

It’s all starting to come down. It’s all starting to fall apart.

USA compared to China. 2020.
USA compared to China. 2020.

And the petty criminals and the evil folk in positions of power must change or else they will face the consequences.

Remember this;

A functional nation devotes 100% of it's time, energy and resources on bettering the lives of it's people.

If it is unable to do that, or devotes a smaller percentage of time, money and effort to the domestic needs of it's people, it is disfunctional.

The world, and the human race, needs functional, healthy and substantive people, organizations and governments. Anything less MUST be purged and culled from existence.

Do you want more?

I have more articles like this in my Happiness Index here…

Life & Happiness

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

Robert A. Heinlein – Lazarus Long 1, Methuselahs Children (full text)

This is the full text of Robert Heinlein’s novel “Methuselahs Children”. It is the first of a series of novels that features the character of “Lazarus Long”. This is great escapist reading and as you read it, take a gander at the world around us. Check out the news. Who’s to say that the PTB aren’t some version of the elite that are described in this story…eh? Remember that this is an absolutely fictional work. Yet, we can see some interesting parallels with the super wealthy on this planet and the systems that they have co-opted for their own personal purposes.

Imagine a universe where selective breeding and carefully planned marriages (with subtle financial encouragement from a secretive group called the Howard Foundation) were carried out over the last 150 years.

Further, imagine that their selective breeding of humans have resulted in a group of humans that have the extraordinary trait of extreme longevity. Yeah. They live really, really, really long lives.

Enter Lazarus Long, the patriarch of the Family.

Lazarus, born Woodrow Wilson Smith, carries his two hundred plus years quite well! When pressed for his true age, he’s either not telling or he won’t admit that he truly doesn’t know himself!

In 2125, a series of events result in the global administration and the remainder of earth’s population discovering the Family’s existence.

A frenzy of enraged jealousy erupts as a maddened, frustrated world seeks to discover the secret fountain of youth they are convinced the Family is guarding for their own use. Hounded by the threat of murder, torture, brainwashing and ultimate extinction by their shorter lived neighbors, the Family flees earth on an untested starship.

In Methuselah’s Children, Heinlein has crafted an exciting novel, a message, a screenplay and the movie script all at once. Descriptive passages, while compelling and very cleverly written are sparse and infrequent and the plot is almost exclusively driven by razor-sharp dialogue.

Heinlein’s method of conveying the story through his characters’ mouths has got wit; it’s got dialect; it’s got humor and intelligence; it’s got sensible science; it’s got humanity and it’s got credibility.

Their expressions and manner of speaking firmly place the origins of the story in the 1940s USA but somehow Heinlein has managed to inject enough charm to leave it timeless.

Hard sci-fi runs rampant through every page …

Methuselahs Children

Methuselah’s Children

PART I

“MARYSPERLING, you’re a fool not to marry him!”

Mary Sperling added up her losses and wrote a check before answering, “There’s too much difference in age.” She passed over her credit voucher. “I shouldn’t gamble with you- sometimes I think you’re a sensitive.”

“Nonsense! You’re just trying to change the subject. You must be nearly thirty and you won’t be pretty forever.” Mary smiled wryly. “Don’t I know it!”

“Bork Vanning can’t be much over forty and he’s a plus citizen. You should jump at the chance.” “You jump at it. I must run now. Service, Ven.”

“Service,” Ven answered, then frowned at the door as it contracted after Mary Sperling. She itched to know why Mary would not marry a prime catch like the Honorable Bork Vanning and was almost as curious as to why and where Mary was going, but the custom of privacy stopped her.

Mary had no intention of letting anyone know where she was going. Outside her friend’s apartment she dropped down a bounce tube to the basement, claimed her car from the robopark, guided it up the ramp and set the controls for North Shore. The car waited for a break in the traffic, then dived into the high-speed stream and hurried north. Mary settled back for a nap.

When its setting was about to run out, the car beeped for instructions; Mary woke up and glanced out. Lake Michigan was a darker band of darkness on her right. She signaled traffic control to let her enter the local traffic lane; it sorted out her car and placed her there, then let her resume manual control. She fumbled in the glove compartment.

The license number which traffic control automatically photographed as she left the controlways was not the number the car had been wearing.

She followed a side road uncontrolled for several miles, turned into a narrow dirt road which led down to the shore, and stopped. There she waited, lights out, and listened. South of her the lights of Chicago glowed; a few hundred yards inland the controlways whined, but here there was nothing but the little timid noises of night creatures. She reached into the glove compartment, snapped a switch; the instrument panel glowed, uncovering other dials behind it. She studied these while making adjustments. Satisfied that no radar watched her and that nothing was moving near her, she snapped off the instruments, sealed the window by her and started up again.

What appeared to be a standard Camden speedster rose quietly up, moved out over the lake, skimming it-dropped into the water and sank. Mary waited until she was a quarter mile off shore in fifty feet of water, then called a station. “Answer,” said a voice.

“‘Life is short—’”

”’-but the years are long.’”

“‘Not,’” Mary responded, “‘while the evil days come not.’”

“I sometimes wonder,” the voice answered conversationally. “Okay, Mary. I’ve checked you.” “Tommy?”

“No-Cecil Hedrick. Are your controls cast loose?” “Yes. Take over.”

Seventeen minutes later the car surfaced in a pool which occupied much of an artificial cave. When the car was beached, Mary got out, said hello to the guards and went on through a tunnel into a large underground room where fifty or sixty men and women were seated. She chatted until a clock announced midnight, then she mounted a rostrum and faced them.

“I am,” she stated, “one hundred and eighty-three years old. Is there anyone here who is older?”

No one spoke. After a decent wait she went on, “Then in accordance with our customs I declare this meeting opened. Will you choose a moderator?”

Someone said, “Go ahead, Mary.” When no one else spoke up, she said, “Very well.” She seemed indifferent to the honor and the group seemed to share her casual attitude-an air of never any hurry, of freedom from the tension of modern life.

“We are met as usual,” she announced, “to discuss our welfare and that of our sisters and brothers. Does any Family representative have a message from his family? Or does anyone care to speak for himself?”

Aman caught her eye and spoke up. “Ira Weatheral, speaking for the Johnson Family. We’ve met nearly two months early. The trustees must have a reason. Let’s hear it.” She nodded and turned to a prim little man in the first row. “Justin … if you will, please.”

The prim little man stood up and bowed stiffly. Skinny legs stuck out below his badly-cut kilt. He looked and acted like an elderly, dusty civil servant, but his black hair and the firm, healthy tone of his skin said that he was a man in his prime. “Justin Foote,” he said precisely, “reporting for the trustees. It has been eleven years since the Families decided on the experiment  of letting the public know that there were, living among them, persons who possessed a probable, life expectancy far in excess of that anticipated by the average man, as well as other persons who had proved the scientific truth of such expectation by having lived more than twice the normal life span of human beings.”

Although he spoke without notes he sounded as if he were reading aloud a prepared report. What he was saying they all knew but no one hurried him; his audience had none of the febrile impatience so common elsewhere. “In deciding,” he droned on, “to reverse the previous long-standing policy of silence and concealment as to the peculiar aspect in which we differ from the balance of the human race, the Families were moved by several considerations. The reason for the original adoption of the policy of concealment should be noted:

“The first offspring resulting from unions assisted by the Howard Foundation were born in 1875. They aroused no comment, for they were in no way remarkable. The Foundation was an openly-chartered non-profit corporation—”

On March 17, 1874, Ira Johnson, medical student, sat in the law offices of Deems, Wingate, Alden, & Deems and listened to an unusual proposition. At last he interrupted the senior partner. “Just a moment! Do I understand that you are trying to hire me to marry one of these women?”

The lawyer looked shocked. “Please, Mr. Johnson. Not at all” “Well, it certainly sounded like it.”

“No, no, such a contract would be void, against public policy. We are simply informing you, as administrators of a trust, that should it come about that you do marry one of the young ladies on this list it would then be our pleasant duty to endow each child of such a union according to the scale here set forth. But there would be no Contract with us involved, nor is there any ‘proposition’ being made to you-and we certainly do not urge any course of action on you. We are simply informing you of certain facts.”

Ira Johnson scowled and shuffled his feet. “What’s it all about? Why?”

“That is the business of the Foundation. One might put it that we approve of your grandparents.” “Have you discussed me with them?” Johnson said sharply.

He felt no affection for his grandparents. Atight-fisted foursome-if any one of them had had the grace to die at a reasonable age he would not now be worried about money enough to finish medical school.

“We have talked with them, yes. But not about you.”

The lawyer shut off further discussion and young Johnson accepted gracelessly a list of young women, all strangers, with the intention of tearing it up the moment he was outside the office. Instead, that night he wrote seven drafts before he found the right words in which to start cooling off the relation between himself and his girl back home. He was glad that he had

never actually popped the question to her-it would have been deucedly awkward.

When he did marry (from the list) it seemed a curious but not too remarkable coincidence that his wife as well as himself had four living, healthy, active grandparents.

“-an openly chartered non-profit corporation,” Foote continued, “and its avowed purpose of encouraging births among persons of sound American stock was consonant with the customs  of that century. By the simple expedient of being closemouthed about the true purpose of the Foundation no unusual methods of concealment were necessary until late in that period during the World Wars sometimes loosely termed ‘The Crazy Years—’”

Selected headlines April to June 1969: BABYBILL BREAKS BANK

2-year toddler youngest winner $1,000,000 TVjackpot White House phones congrats

COURT ORDERS STATEHOUSE SOLD

Colorado Supreme Bench Rules State Old Age Pension Has First Lien All State Property

N.Y. YOUTH MEET DEMANDS UPPER LIMIT ON FRANCHISE “U.S. BIRTH RATE ‘TOP SECRET!’”-DEFENSE SEC CAROLINACONGRESSMAN  COPS  BEAUTYCROWN

“Available for draft for President” she announces while starting tour to show her qualifications

IOWARAISES VOTING AGE TO FORTY-ONE

Rioting on Des Moines Campus

EARTH-EATING FAD MOVES WEST: CHICAGO PARSON EATS CLAYSANDWICH IN PULPIT

“Back to simple things,” he advises flock.

LOS ANGELES HI-SCHOOL MOB DEFIES SCHOOL BOARD

“Higher Pay, Shorter hours, no Homework-We Demand Our Right to Elect Teachers, Coaches.”

SUICIDE RATE UP NINTH SUCCESSIVE YEAR

AEC Denies Fall-Out to Blame

”’-The Crazy Years.’ The trustees of that date decided-correctly, we now believe-that any minority during that period of semantic disorientation and mass hysteria was a probable target for persecution, discriminatory legislation, and even of mob violence. Furthermore the disturbed financial condition of the country and in particular the forced exchange of trust securities for government warrants threatened the solvency of the trust.

“Two courses of action were adopted: the assets of the Foundation were converted into real wealth and distributed widely among members of the Families to be held by them as owners-of-record; and the so-called ‘Masquerade’ was adopted as a permanent policy. Means were found to simulate the death of any member of the Families who lived to a socially embarrassing age and to provide him with a new identity in another part of the country.

“The wisdom of this later policy, though irksome to some, became evident at once during the Interregnum of the Prophets. The Families at the beginning of the reign of the First Prophet had ninety-seven per cent of their members with publicly avowed ages of less than fifty years. The close public registration enforced by the secret police of the Prophets made changes of public identity difficult, although a few were accomplished with the aid of the revolutionary Cabal.

“Thus, a combination of luck and foresight saved our Secret from public disclosure. This was well-we may be sure that things would have gone harshly at that time for any group possessing a prize beyond the power of the Prophet to confiscate.

“The Families took no part as such in the events leading up to the Second American Revolution, but many members participated and served with credit in the Cabal and in the fighting which preceded the fall of New Jerusalem. We took advantage of the period of disorganization which followed to readjust the ages of our kin who had grown conspicuously old. In this we were aided by certain members of the Families who, as members of the Cabal, held key posts in the Reconstruction.

“It was argued by many at the Families’ meeting of 2075, the year of the Covenant, that we should reveal ourselves, since civil liberty was firmly reestablished. The majority did not agree at that time … perhaps through long habits of secrecy and caution. But the renascence of culture in the ensuing fifty years, the steady growth of tolerance and good manners, the semantically sound orientation of education, the increased respect for the custom of privacy and for the dignity of the individual-all of these things led us to believe that the time had at last come when it was becoming safe to reveal ourselves and to take our rightful place as an odd but nonetheless respected minority in society.

“There were compelling reasons to do so. Increasing numbers of us were finding the ‘Masquerade’ socially intolerable in a new and better society. Not only was it upsetting to pull up roots and seek a new background every few years but also it grated to have to live a lie in a society where frank honesty and fair dealing were habitual with most people. Besides that, the Families as a group had learned many things through our researches in the bio-sciences, things which could be of great benefit to our poor shortlived brethren. We needed freedom to help them.

“These and similar reasons were subject to argument. But the resumption of the custom of positive physical identification made the ‘Masquerade’ almost untenable. Under the new orientation a sane and peaceful citizen welcomes positive identification under appropriate circumstances even though jealous of his right of privacy at all other times-so we dared not object; it would have aroused curiosity, marked us as an eccentric group, set apart, and thereby have defeated the whole purpose of the ‘Masquerade.’

“We necessarily submitted to personal identification. By the time of the meeting of 2125, eleven years ago, it had become extremely difficult to counterfeit new identities for the ever- increasing number of us holding public ages incompatible with personal appearance; we decided on the experiment of letting volunteers from this group up to ten per cent of the total membership of the Families reveal themselves for what they were and observe the consequences, while maintaining all other secrets of the Families’ organization.

“The results were regrettably different from our expectations.”

Justin Foote stopped talking. The silence had gone on for several moments when a solidly built man of medium height spoke up. His hair was slightly grizzled-unusual in that group-and his face looked space tanned. Mary Sperling had noticed him and had wondered who he was-his live face and gusty laugh had interested her. But any member was free to attend the conclaves of the Families’ council; she had thought no more of it.

He said, “Speak up, Bud. What’s your report?”

Foote made his answer to the chair. “Our senior psychometrician should give the balance of the report. My remarks were prefatory.”

“For the love o’—” the grizzled stranger exclaimed. “Bud, do you mean to stand there and admit that all you had to say were things we already knew?” “My remarks were a foundation … and my name is Justin Foote, not Bud.’”

Mary Sperling broke in firmly. “Brother,” she said to the stranger, “since you are addressing the Families, will you please name yourself? I am sorry to say that I do not recognize you.”

“Sorry, Sister. Lazarus Long, speaking for myself.”

Mary shook her head. “I still don’t place you.”

“Sorry again-that’s a ‘Masquerade’ name I took at the time of the First Prophet … it tickled me. My Family name is Smith … Woodrow Wilson Smith.” “‘Woodrow Wilson Sm—’ How old are you?”

“Eh? Why, I haven’t figured it lately. One hun … no, two hundred and-thirteen years. Yeah, that’s right, two hundred and thirteen.” There was a sudden, complete silence. Then Mary said quietly, “Did you hear me inquire for anyone older than myself?”

“Yes. But shucks, Sister, you were doing all right. I ain’t attended a meeting of the Families in over a century. Been some changes.” “I’ll ask you to carry on from here.” She started to leave the platform.

“Oh no!” he protested. But she paid no attention and found a seat. He looked around, shrugged and gave in. Sprawling one hip over a corner of the speaker’s table he announced, “All right, let’s get on with it. Who’s next?”

Ralph Schultz of the Schultz Family looked more like a banker than a psychometrician. He was neither shy nor absent-minded and he had a flat, underemphasized way of talking that carried authority. “I was part of the group that proposed ending the ‘Masquerade.’ I was wrong. I believed that the great majority of our fellow citizens, reared under modern educational methods, could evaluate any data without excessive emotional disturbance. I anticipated that a few abnormal people would dislike us, even hate us; I even predicted that most people would envy us-everybody who enjoys life would like to live a long time. But I did not anticipate any serious trouble. Modern attitudes have done away with interracial friction; any who still harbor race prejudice are ashamed to voice it. I believed that our society was so tolerant that we could live peacefully and openly with the shortlived.

“I was wrong.

“The Negro hated and envied the white man as long as the white man enjoyed privileges forbidden the Negro by reason of color. This was a sane, normal reaction. When discrimination was removed, the problem solved itself and cultural assimilation took place. There is a similar tendency on the part of the shortlived to envy the long-lived. We assumed that this expected reaction would be of no social importance in most people once it was made clear that we owe our peculiarity to our genes-no fault nor virtue of our own, just good luck in our ancestry.

“This was mere wishful thinking. By hindsight it is easy to see that correct application of mathematical analysis to the data would have given a different answer, would have spotlighted the false analogy. I do not defend the misjudgment, no defense is possible. We were led astray by our hopes.

“What actually happened was this: we showed our shortlived cousins the greatest boon it is possible for a man to imagine … then we told them it could never be theirs. This faced them with an unsolvable dilemma. They have rejected the unbearable facts, they refuse to believe us. Their envy now turns to hate, with an emotional conviction that we are depriving them of their rights … deliberately, maliciously.

“That rising hate has now swelled into a flood which threatens the welfare and even the lives of all our revealed brethren … and which is potentially as dangerous to the rest of us. The danger is very great and very pressing.” He sat down abruptly.

They took it calmly, with the unhurried habit of years. Presently a female delegate stood up. “Eve Barstow, for the Cooper Family. Ralph Schultz, I am a hundred and nineteen years old, older, I believe, than you are. I do not have your talent for mathematics or human behavior but I have known a lot of people. Human beings are inherently good and gentle and kind. Oh, they have their weaknesses but most of them are decent enough if you give them half a chance. I cannot believe that they would hate me and destroy me simply because I have lived a long time. What have you to go on? You admit one mistake-why not two?”

Schultz looked at her soberly and smoothed his kilt. “You’re right, Eve. I could easily be wrong again. That’s the trouble with psychology; it is a subject so terribly complex, so many unknowns, such involved relationships, that our best efforts sometimes look silly in the bleak light of later facts.” He stood up again, faced the others, and again spoke with flat authority. “But I am not making a long-range prediction this time; I am talking about facts, no guesses, not wishful thinking-and with those facts a prediction so short-range that it is like predicting that an egg will break when you see it already on its way to the floor. But Eve is right … as far as she went. Individuals are kind and decent … as individuals and to other individuals. Eve  is in no danger from her neighbors and friends, and I am in no danger from mine. But she is in danger from my neighbors and friends -and I from hers. Mass psychology is not simply a summation of individual psychologies; that

is a prime theorem of social psychodynamics -not just my opinion; no exception has ever been found to this theorem. It is the social massaction rule, the mob-hysteria law, known and used by military, political, and religious leaders, by advertising men and prophets and propagandists, by rabble rousers and actors and gang leaders, for generations before it was formulated in mathematical symbols. It works. It is working now.

“My colleagues and I began to suspect that a mob-hysteria trend was building up against us several years ago. We did not bring our suspicions to the council for action because we could not prove anything. What we observed then could have been simply the mutterings of the crackpot minority present in even the healthiest society. The trend was at first so minor that we could not be sure it existed, for all social trends are intermixed with other social trends, snarled together like a plate of spaghetti-worse than that, for it takes an abstract topological space of many dimensions (ten or twelve are not uncommon and hardly adequate) to describe mathematically the interplay of social forces. I cannot overemphasize the complexity of the problem.

“So we waited and worried and tried statistical sampling, setting up our statistical universes with great care.

“By the time we were sure, it was almost too late. Socio-psychological trends grow or die by a ‘yeast growth’ law, a complex power law. We continued to hope that other favorable factors would reverse the trend-Nelson’s work in symbiotics, our own contributions to geriatrics, the great public interest in the opening of the Jovian satellites to immigration. Any major break- through offering longer life, and greater hope to the shortlived could end the smouldering resentment against us.

“Instead the smouldering has burst into flame, into an uncontrolled forest fire. As nearly as we can measure it, the rate has doubled in the past thirty-seven days and the rate itself is accelerated. I can’t guess how far or how fast it will go-and that’s why we asked for this emergency session. Because we can expect trouble at any moment.” He sat down hard, looking tired.

Eve did not argue with him again and no one else argued with him at all; not only was Ralph Schultz considered expert in his own field but also every one of them, each from his own viewpoint, had seen the grosser aspects of the trend building up against their revealed kin. But, while the acceptance of the problem was unanimous, there were as many opinions about what to do about it as there were people present. Lazarus let the discussion muddle along for two hours before he held up a hand. “We aren’t getting anywhere,” he stated, “and it looks like we won’t get anywhere tonight. Let’s take an overall look at it, hitting just the high spots:

“We can—” He started ticking plans off on his fingers- “do nothing, sit tight, and see what happens. “We can junk the ‘Masquerade’ entirely, reveal our full numbers, and demand our rights politically.

“We can sit tight on the surface and use our organization and money to protect our revealed brethren, maybe haul ‘em back into the ‘Masquerade.’ “We can reveal ourselves and ask for a place to colonize where we can live by ourselves.

“Or we can do something else. I suggest that you sort yourselves out according to those four major points of view-say in the corners of the room, starting clockwise in that far right hand corner-each group hammer out a plan and get it ready to submit to the Families. And those of you who don’t favor any of those four things gather in the middle of the room and start scrappin’ over just what it is you do think. Now, if I hear no objection, I am going to declare this lodge recessed until midnight tomorrow night. How about it?”

No one spoke up. Lazarus Long’s streamlined version of parliamentary procedure had them somewhat startled; they were used to long, leisurely discussions until it became evident that one point of view had become unanimous. Doing things in a hurry was slightly shocking.

But the man’s personality was powerful, his years gave him prestige, and his slightly archaic way of speaking added to his patriarchal authority; nobody argued. “Okay,” Lazarus announced, clapping his hands once. “Church is out until tomorrow night.” He stepped down from the platform.

Mary Sperling came up to him. “I would like to know you better,” she said, looking him in the eyes. “Sure, Sis. Why not?”

“Are you staying for discussion?”

“Could you come home with me?”

“Like to. I’ve no pressing business elsewhere.”

“Come then.” She led him through the tunnel to the underground pool connecting with Lake Michigan. He widened his eyes at the pseudo-Camden but said nothing until they were submerged.

“Nice little car you’ve got.” “Yes.”

“Has some unusual features.”

She smiled. “Yes. Among other things, it blows up-quite thoroughly-if anyone tries to investigate it.” “Good.” He added, “You a designing engineer, Mary?”

“Me? Heavens, no! Not this past century, at least, and I no longer try to keep up with such things. But you can order a car modified the way this one is through the Families, if you want one. Talk to-“

“Never mind, I’ve no need for one. I just like gadgets that do what they were designed to do and do it quietly and efficiently. Some good skull sweat in this one.” “Yes.” She was busy then, surfacing, making a radar check, and getting them back ashore without attracting notice.

When they reached her apartment she put tobacco and drink close to him, then went to her retiring room, threw off her street clothes and put on a soft loose robe that made her look even smaller and younger than she had looked before. When she rejoined Lazarus, he stood up, struck a cigarette for her, then paused as he handed it to her and gave a gallant and indelicate whistle.

She smiled briefly, took the cigarette, and sat down in a large chair, pulling her feet under her. “Lazarus, you reassure me.” “Don’t you own a mirror, girl?”

“Not that,” she said impatiently. “You yourself. You know that I have passed the reasonable life expectancy of our people-I’ve been expecting to die, been resigned to it, for the past ten years. Yet there you sit … years and years o1der than I am. You give me hope.”

He sat up straight. “You expecting to die? Good grief, girl-you look good for another century.”

She made a tired gesture. “Don’t try to jolly me. You know that appearance has nothing to do with it. Lazarus, I don’t want to die!” Lazarus answered soberly, “I wasn’t trying to kid you, Sis. You simply don’t look like a candidate for corpse.”

She shrugged gracefully. “Amatter of biotechniques. I’m holding my appearance at the early thirties.”

“Or less, I’d say. I guess I’m not up on the latest dodges, Mary. You heard me say that I had not attended a get-together for more than a century. As a matter of fact I’ve been completely out of touch with the Families the whole time.”

“Really? May I ask why?”

“Along story and a dull one. What it amounts to is that I got bored with them. I used to be a delegate to the annual meetings. But they got stuffy and set in their ways-or so it seemed to me. So I wandered off. I spent the Interregnum on Venus, mostly. I came back for a while after the Covenant was signed but I don’t suppose I’ve spent two years on Earth since then. I like  to move around.”

Her eyes lit up. “Oh, tell me about it! I’ve never been out in-deep space. Just Luna City, once.”

“Sure,” he agreed. “Sometime. But I want to hear more about this matter of your appearance. Girl, you sure don’t look your age.”

“I suppose not. Or, rather, of course I don’t. As to how it’s done, I can’t tell you much. Hormones and symbiotics and gland therapy and some psychotherapy-things like that. What it adds up to is that, for members of the Families, senility is postponed and that senescence can be arrested at least cosmetically.” She brooded for a moment. “Once they thought they were on the track of the secret of immortality, the true Fountain of Youth. But it was a mistake. Senility is simply postponed … and shortened. About ninety days from the first clear warning-then death from old age.” She shivered. “Of course, most of our cousins don’t wait-a couple of weeks to make certain of the diagnosis, then euthanasia.”

“The hell you say! Well, I won’t go that way. When the Old Boy comes to get me, he’ll have to drag me-and I’ll be kicking and gouging eyes every step of the way!”

She smiled lopsidedly. “It does me good to hear you talk that way. Lazarus, I wouldn’t let my guards down this way with anyone younger than myself. But your example gives me courage.” “We’ll outlast the lot of ‘em, Mary, never you fear. But about the meeting tonight: I haven’t paid any attention to the news and I’ve only recently come earthside-does this chap Ralph Schultz

know what he is talking about?”

“I think he must. His grandfather was a brilliant man and so is his father.” “I take it you know Ralph.”

“Slightly. He is one of my grandchildren.” “That’s amusing. He looks older than you do.”

“Ralph found it suited him to arrest his appearance at about forty, that’s all. His father was my twenty-seventh child. Ralph must be-let me see-oh, eighty or ninety years younger than I am, at least. At that, he is older than some of my children.”

“You’ve done well by the Families, Mary.”

“I suppose so. But they’ve done well by me, too. I’ve enjoyed having children and the trust benefits for my thirty-odd come to quite a lot. I have every luxury one could want.” She shivered again. “I suppose that’s why I’m in such a funk-I enjoy life.”

“Stop it! I thought my sterling example and boyish grin had cured you of that nonsense.” “Well you’ve helped.”

“Mmm … look, Mary, why don’t you marry again and have some more squally brats? Keep you too busy to fret.” “What? At my age? Now, really, Lazarus!”

“Nothing wrong with your age. You’re younger than I am.” She studied him for a moment. “Lazarus, are you proposing a contract? If so, I wish you would speak more plainly.”

His mouth opened and he gulped. “Hey, wait a minute! Take it easy! I was speaking in general terms … I’m not the domestic type. Why, every time I’ve married my wife has grown sick of the sight of me inside of a few years. Not but what I-well, I mean you’re a very pretty girl and a man ought to-“

She shut him off by leaning forward and putting a hand over his mouth, while grinning impishly. “I didn’t mean to panic you, cousin. Or perhaps I did-men are so funny when they think they are about to be trapped.”

“Well-” he said glumly.

“Forget it, dear. Tell me, what plan do you think they will settle on?”

“That bunch tonight?’

“Yes.”

“None, of course. They won’t get anywhere. Mary, a committee is the only known form of life with a hundred bellies and no brain. But presently somebody with a mind of his own will bulldoze them into accepting his plan. I don’t know what it will be.”

“Well … what course of action do you favor?”

“Me? Why, none. Mary, if there is any one thing I have learned in the past couple of centuries, it’s this: These things pass. Wars and depressions and Prophets and Covenants-they pass. The trick is to stay alive through them.”

She nodded thoughtfully. “I think you are right.”

“Sure I’m right. It takes a hundred years or so to realize just how good life is.” He stood up and stretched. “But right now this growing boy could use some sleep.” “Me, too.”

Mary’s flat was on the top floor, with a sky view. When she had come back to the lounge she had cut the inside lighting and let the ceiling shutters fold back; they had been sitting, save for an invisible sheet of plastic, under the stars. As Lazarus raised his head in stretching, his eye had rested on his favorite constellation. “Odd,” he commented. “Orion seems to have added a fourth star to his belt.”

She looked up. “That must be the big ship for the Second Centauri Expedition. See if you can see it move.” “Couldn’t tell without instruments.”

“I suppose not,” she agreed. “Clever of them to build it out in space, isn’t it?”

“No other way to do it. It’s too big to assemble on Earth. I can doss down right here, Mary. Or do you have a spare room?”

“Your room is the second door on the right. Shout if you can’t find everything you need.” She put her face up and kissed him goodnight, a quick peck. “‘Night.” Lazarus followed her and went into his own room.

Mary Sperling woke at her usual hour the next day. She got up quietly to keep from waking Lazarus, ducked into her ‘fresher, showered and massaged, swallowed a grain of sleep surrogate to make up for the short night, followed it almost as quickly with all the breakfast she permitted her waistline, then punched for the calls she had not bothered to take the night before. The phone played back several calls which she promptly forgot, then she recognized the voice of Bork Vanning. “‘Hello,’” the instrument said. “‘Mary, this is Bork, calling at twenty- one o’clock. I’ll be by at ten o’clock tomorrow morning, for a dip in the lake and lunch somewhere. Unless I hear from you it’s a date. ‘Bye, my dear. Service.’”

“Service,” she repeated automatically. Drat the man! Couldn’t he take no for an answer? Mary Sperling, you’re slipping!-a quarter your age and yet you can’t seem to handle him. Call him and leave word that-no, too late; he’d be here any minute. Bother!

Chapter 2

WHEN LAZARUS went to bed he stepped out of his kilt and chucked it toward a wardrobe which snagged it, shook it out, and hung it up neatly. “Nice catch,” he commented, then glanced down at his hairy thighs and smiled wryly; the kilt had concealed a blaster strapped to one thigh, a knife to the other. He was aware of the present gentle custom against personal weapons, but he felt naked without them. Such customs were nonsense anyhow, foolishment from old women-there was no such thing as a “dangerous weapon,” there were only dangerous men.

When he came out of the ‘fresher, he put his weapons where he could reach them before sprawling in sleep.

He came instantly wide awake with a weapon in each hand … then remembered where he was, relaxed, and looked around to see what had wakened him.

It was a murmur of voices through the air duct. Poor soundproofing he decided, and Mary must be entertaining callers-in which case he should not be slug-a-bed. He got up, refreshed himself, strapped his best friends back on his thighs, and went looking for his hostess.

As the door to the lounge dilated noiselessly in front of him the sound of voices became loud and very interesting. The lounge was el-shaped and he was out of sight; he hung back and listened shamelessly. Eavesdropping had saved his skin on several occasions; it worried him not at all-he enjoyed it. Aman was saying, “Mary, you’re completely unreasonable! You know you’re fond of me, you admit that marriage to me would be to your advantage. So why won’t you?”

“I told you, Bork. Age difference.”

“That’s foolish. What do you expect? Adolescent romance? Oh, I admit that I’m not as young as you are … but a woman needs an older man to look up to and keep her steady. I’m not too old for you; I’m just at my prime.”

Lazarus decided that he already knew this chap well enough to dislike him. Sulky voice.

Mary did not answer. The man went on: “Anyhow, I have a surprise for you on that point. I wish I could tell you now, but … well, it’s a state secret.” “Then don’t tell me. It can’t change my mind in any case, Bork.”

“Oh, but it would! Mmm … I will tell you-I know you can be trusted.” “Now, Bork, you shouldn’t assume that-“

“It doesn’t matter; it will be public knowledge in a few days anyhow. Mary … I’ll never grow old on you!” “What do you mean?” Lazarus decided that her tone was suddenly suspicious.

“Just what I said. Mary, they’ve found the secret of eternal youth!” “What? Who? How? When?”

“Oh, so now you’re interested, eh? Well, I won’t keep you waiting. You know these old Johnnies that call themselves the Howard Families?’ “Yes … I’ve heard of them, of course,” she admitted slowly. “But what of it? They’re fakes.”

“Not at all. I know. The Administration has been quietly investigating their claims. Some of them are unquestionably more than a hundred years old-and still young!” “That’s very hard to believe.”

“Nevertheless it’s true.” “Well … how do they do it?”

“Ah! That’s the point. They claim that it is a simple matter of heredity, that they live a long time because they come from long lived stock. But that’s preposterous, scientifically incompatible with the established facts. The Administration checked most carefully and the answer is certain: they have the secret of staying young.”

“You can’t be sure of that.”

“Oh, come, Mary! You’re a dear girl but you’re questioning the expert opinion of the best scientific brains in the world. Never mind. Here’s the part that is confidential. We don’t have their secret yet-but we will have it shortly. Without any excitement or public notice, they are to be picked up and questioned. We’ll get the secret-and you and I will never grow old! What do you think of that? Eh?”

Mary answered very slowly, almost inaudibly, “It would be nice if everyone could live a long time.”

“Huh? Yes, I suppose it would. But in any case you and I will receive the treatment, whatever it is. Think about us, dear. Year after year after year of happy, youthful marriage. Not less than  a century. Maybe even—”

“Wait a moment, Bork. This ‘secret’ It wouldn’t be for everybody?”

“Well, now … that’s a matter of high policy. Population pressure is a pretty unwieldy problem even now. In practice it might be necessary to restrict it to essential personnel-and their wives. But don’t fret your lovely head about it; you and I will have it.”

“You mean I’ll have it if I marry you.”

“Mmm … that’s a nasty way to put it, Mary. I’d do anything in the world for you that I could-because I love you. But it would be utterly simple if you were married to me. So say you will.” “Let’s let that be for the moment. How do you propose to get this ‘secret’ out of them?”

Lazarus could almost hear his wise nod. “Oh, they’ll talk!”

“Do you mean to say you’d send them to Coventry if they didn’t?”

“Coventry? Hm! You don’t understand the situation at all, Mary; this isn’t any minor social offense. This is treason-treason against the whole human race. We’ll use means! Ways that the Prophets used … if they don’t cooperate willingly.”

“Do you mean that? Why, that’s against the Covenant!”

“Covenant be damned! This is a matter of life and death-do you think we’d let a scrap of paper stand in our way? You can’t bother with petty legalities in the fundamental things: men live by-not something they will fight to the death for. And that is precisely what this is. These … these dog-in-the-manger scoundrels are trying to keep life itself from us. Do you think we’ll bow to ‘custom’ in an emergency like this?”

Mary answered in a hushed and horrified voice: “Do you really think the Council will violate the Covenant?”

“Think so? The Action-in-Council was recorded last night. We authorized the Administrator to use ‘full expediency.’” Lazarus strained his ears through a long silence. At last Mary spoke. “Bork-“

“Yes, my dear?”

“You’ve got to do something about this. You must stop it.” “Stop it? You don’t know what you’re saying. I couldn’t and I would not if I could.”

“But you must. You must convince the Council. They’re making a mistake, a tragic mistake. There is nothing to be gained by trying to coerce those poor people. There is no secret!”

“What? You’re getting excited, my dear. You’re setting your judgment up against some of the best and wisest men on the planet. Believe me, we know what we are doing. We don’t relish using harsh methods any more than you do, but it’s for the general welfare. Look, I’m sorry I ever brought it up. Naturally you are soft and gentle and warmhearted and I love you for it. Why not marry me and not bother your head about matters of public policy?”

“Marry you? Never!”

“Aw, Mary-you’re upset. Give me just one good reason why not?”

“I’ll tell you why! Because I am one of those people you want to persecute!” There was another pause. “Mary … you’re not well.”

“Not well, am I? I am as well as a person can be at my age. Listen to me, you fool! I have grandsons twice your age. I was here when the First Prophet took over the country. I was here when Harriman launched the first Moon rocket. You weren’t even a squalling brat-your grandparents hadn’t even met, when I was a woman grown and married. And you stand there and glibly propose to push around, even to torture, me and my kind. Marry you? I’d rather marry one of my own grandchildren!”

Lazarus shifted his weight and slid his right hand inside the flap of his kilt; he expected trouble at once. You can depend on a woman, he reflected, to blow her top at the wrong moment. He waited. Bork’s answer was cool; the tones of the experienced man of authority replaced those of thwarted passion. “Take it easy, Mary. Sit down, I’ll look after you. First I want you to

take a sedative. Then I’ll get the best psychotherapist in the city-in the whole country. You’ll be all right.”

“Take your hands off me!” “Now, Mary …

Lazarus stepped out into the room and pointed at Vanning with his blaster. “This monkey giving you trouble, Sis?” Vanning jerked his head around. “Who are you?” he demanded indignantly. “What are you doing here?”

Lazarus still addressed Mary. “Say the word, Sis, and I’ll cut him into pieces small enough to hide.”

“No, Lazarus,” she answered with her voice now under control. “Thanks just the same. Please put your gun away. I wouldn’t want anything like that to happen.” “Okay.” Lazarus holstered the gun but let his hand rest on the grip.

“Who are you?” repeated Vanning. “What’s the meaning of this intrusion?”

“I was just about to ask you that, Bud,” Lazarus said mildly, “but we’ll let it ride. I’m another one of those old Johnnies you’re looking for … like Mary here.”

Vanning looked at him keenly. “I wonder-” he said. He looked back at Mary. “It can’t be, it’s preposterous. Still it won’t hurt to investigate your story. I’ve plenty to detain you on, in any event, I’ve never seen a clearer case of antisocial atavism.” He moved toward the videophone.

“Better get away from that phone, Bud,” Lazarus said quickly, then added to Mary, “I won’t touch my gun, Sis. I’ll use my knife.” Vanning stopped. “Very well,” he said in annoyed tones, “put away that vibroblade. I won’t call from here.”

“Look again, it ain’t a vibroblade. It’s steel. Messy.”

Vanning turned to Mary Sperling. “I’m leaving. If you are wise, you’ll come with me.” She shook her head. He looked annoyed, shrugged, and faced Lazarus Long. “As for you, sir, your primitive manners have led you into serious trouble. You will be arrested shortly.”

Lazarus glanced up at the ceiling shutters. “Reminds me of a patron in Venusburg who wanted to have me arrested.” “Well?”

“I’ve outlived him quite a piece.”

Vanning opened his mouth to answer-then turned suddenly and left so quickly that the outer door barely had time to clear the end of his nose. As the door snapped closed Lazarus said musingly, “Hardest man to reason with I’ve met in years. I’ll bet he never used an unsterilized spoon in his life.”

Mary looked startled, then giggled. He turned toward her. “Glad to see you sounding perky, Mary. Kinda thought you were upset.” “I was. I hadn’t known you were listening. I was forced to improvise as I went along.”

“Did I queer it?”

“No. I’m glad you came in-thanks. But we’ll have to hurry now.”

“I suppose so. I think he meant it-there’ll be a proctor looking for me soon. You, too, maybe.” “That’s what I meant. So let’s get out of here.”

Mary was ready to leave in scant minutes but when they stepped out into the public hall they met a man whose brassard and hypo kit marked him as a proctor. “Service,” he said. “I’m looking for a citizen in company with Citizen Mary Sperling. Could you direct me?”

“Sure,” agreed Lazarus. “She lives right down there.” He pointed at the far end of the corridor. As the peace officer looked in that direction, Lazarus tapped him carefully on the back of the head, a little to the left, with the butt of his blaster, and caught him as be slumped.

Mary helped Lazarus wrestle the awkward mass into her apartment. He knelt over the cop, pawed through his hypo kit, took a loaded injector and gave him a shot. “There,” he said, “that’ll keep him sleepy for a few hours.” Then he blinked thoughtfully at the hypo kit, detached it from the proctor’s belt. “This might come in handy again. Anyhow, it won’t hurt to take it.” As an afterthought he removed the proctor’s peace brassard and placed it, too, in his pouch.

They left the apartment again and dropped to the parking level. Lazarus noticed as they rolled up the ramp that Mary had set the North Shore combination. “Where are we going?” he asked.

“The Families’ Seat. No place else to go where we won’t be checked on. But we’ll have to hide somewhere in the country until dark.”

Once the car was on beamed control headed north Mary asked to be excused and caught a few minutes sleep. Lazarus watched a few miles of scenery, then nodded himself.

They were awakened by the jangle of the emergency alarm and by the speedster slowing to a stop. Mary reached up and shut off the alarm. “All cars resume local control,” intoned a voice. “Proceed at speed twenty to the nearest traffic control tower for inspection. All cars resume local control. Proceed at-“

She switched that off, too. “Well, that’s us,” Lazarus said cheerfully. “Got any ideas?”

Mary did not answer. She peered out and studied their surroundings. The steel fence separating the high-speed controlway they were on from the uncontrolled local-traffic strip lay about fifty yards to their right but no changeover ramp broke the fence for at least a mile ahead-where it did, there would be, of course, the control tower where they were ordered to undergo inspection. She started the car again, operating it manually, and wove through stopped or slowly moving traffic while speeding up. As they got close to the barrier Lazarus felt himself shoved into the cushions; the car surged and lifted, clearing the barrier by inches. She set it down rolling on the far side.

Acar was approaching from the north and they were slashing across his lane. The other car was moving no more than ninety but its driver was taken by surprise-he had no reason to expect another car to appear out of nowhere against him on a clear road: Mary was forced to duck left, then right, and left again; the car slewed and reared up on its hind wheel, writhing against the steel grip of its gyros. Mary fought it back into control to the accompaniment of a teeth-shivering grind of herculene against glass as the rear wheel fought for traction.

Lazarus let his jaw muscles relax and breathed out gustily. “Whew!” he sighed. “I hope we won’t have to do that again.”

Mary glanced at him, grinning. “Women drivers make you nervous?”

“Oh, no, no, not at all! I just wish you would warn me when something like that is about to happen.”

“I didn’t know myse1f,” she admitted, then went on worriedly, “I don’t know quite what to do now. I thought we could lie quiet out of town until dark … but I had to show my hand a Little when I took that fence. By now somebody will be reporting it to the tower. Mmm.

“Why wait until dark?” he asked. “Why not just bounce over to the lake in this Dick Dare contraption of yours and let it swim us home?”

“I don’t like to,” she fretted. “I’ve attracted too much attention already. Atrimobile faked up to look like a groundster is handy, but … well, if anyone sees us taking it under water and the proctors hear of it, somebody is going to guess the answer. Then they’ll start fishing-everything from seismo to sonar and Heaven knows what else.”

“But isn’t the Seat shielded?”

“Of course. But anything that big they can find-if they know what they’re looking for and keep looking.”

“You’re right, of course,” Lazarus admitted slowly. “Well, we certainly don’t want to lead any nosy proctors to the Families’ Seat. Mary, I think we had better ditch your car and get lost.” He frowned. “Anywhere but the Seat.”

“No, it has to be the Seat,” she answered sharply. “Why? If you chase a fox, he-“

“Quiet a moment! I want to try something.” Lazarus shut up; Mary drove with one hand while she fumbled in the glove compartment. “Answer,” a voice said.

“Life is short-” Mary replied.

They completed the formula. “Listen,” Mary went on hurriedly, “I’m in trouble-get a fix on me.” “Okay.”

“Is there a sub in the pool?” “Yes.”

“Good! Lock on me and home them in.” She explained hurriedly the details of what she wanted, stopping once to ask Lazarus if he could swim. “That’s all,” she said at last, “but move! We’re short on minutes.”

“Hold it, Mary!” the voice protested. “You know I can’t send a sub out in the daytime, certainly not on a calm day. It’s too easy to-“ “Will you, or won’t you!”

Athird voice cut in. “I was listening, Mary-Ira Barstow. We’ll pick you up.” “But-” objected the first voice.

“Stow it, Tommy. Just mind your burners and home me in. See you, Mary.” “Right, Ira!”

While she had been talking to the Seat, Mary had turned off from the local-traffic strip into the unpaved road she had followed the night before, without slowing and apparently without looking. Lazarus gritted his teeth and hung on. They passed a weathered sign reading CONTAMINATED AREA-PROCEED AT YOUR OWN RISK and graced with the conventional purple trefoil. Lazarus blinked at it and shrugged-he could not see how, at the moment, his hazard could be increased by a neutron or so.

Mary slammed the car to a stop in a clump of stunted trees near the abandoned road. The lake lay at their feet, just beyond a low bluff. She unfastened her safety belt, struck a cigarette, and relaxed. “Now we wait. It’ll take at least half an hour for them to reach us no matter how hard Ira herds it. Lazarus, do you think we were seen turning off into here?”

“To tell the truth, Mary, I was too busy to look.”

“Well nobody ever comes here, except a few reckless boys.”

(“-and girls,” Lazarus added to himself.) Then he went on aloud, “I noted a ‘hot’ sign back there. How high is the count?”

“That? -Oh, pooh. Nothing to worry about unless you decided to build a house here. We’re the ones who are hot. If we didn’t have to stay close to the communicator, we-“ The communicator spoke. “Okay, Mary. Right in front of you.”

She looked startled. “Ira?”

“This is Ira speaking but I’m still at the Seat. Pete Hardy was available in the Evanston pen, so we homed him in on you. Quicker.” “Okay-thanks!” She was turning to speak to Lazarus when he touched her arm.

“Look behind us.”

Ahelicopter was touching down less than a hundred yards from them. Three men burst out of it. They were dressed as proctors.

Mary jerked open the door of the car and threw off her gown in one unbroken motion. She turned and called, “Come on!” as she thrust a hand back inside and tore a stud loose from the instrument panel. She ran.

Lazarus unzipped the belt of his kilt and ran out of it as he followed her to the bluff. She went dancing down it; he came after with slightly more caution, swearing at sharp stones. The blast shook them as the car exploded, but the bluff saved them.

They hit the water together.

The lock in the little submarine was barely big enough for one at a time; Lazarus shoved Mary into it first and tried to slap her when she resisted, and discovered that slapping will not work under water. Then he spent an endless time, or so it seemed, wondering whether or not he could breathe water. “What’s a fish got that I ain’t got?” he was telling himself, when the outer latch moved under his hand and he was able to wiggle in.

Eleven dragging seconds to blow the lock clear of water and he had a chance to see what damage, if any, the water had done to his blaster.

Mary was speaking urgently to the skipper. “Listen, Pete-there are three proctors back up there with a whiny. My car blew up in their faces just as we hit the water. But if they aren’t all dead or injured, there will be a smart boy who will figure out that there was only one place for us to go-under water. We’ve got to be away from here before they take to the air to look for us.”

“It’s a losing race,” Pete Hardy complained, slapping his controls as he spoke. “Even if it’s only a visual search, I’ll have to get outside and stay outside the circle of total reflection faster than he can gain altitude-and I can’t.” But the little sub lunged forward reassuringly.

Mary worried about whether or not to call the Seat from the sub. She decided not to; it would just increase the hazard both to the sub and to the Seat itself. So she calmed herself and waited, huddled small in a passenger seat too cramped for two. Peter Hardy swung wide into deep water, hugging the bottom, picking up the Muskegon-Gary bottom beacons and conned himself in blind.

By the time they surfaced in the pool inside the Seat she had decided against any physical means of communication, even the carefully shielded equipment at the Seat. Instead she hoped to find a telepathic sensitive ready and available among the Families’ dependents cared for there. Sensitives were scarce among healthy members of the Howard Families as

they were in the rest of the population, but the very inbreeding which had conserved and reinforced their abnormal longevity had also conserved and reinforced bad genes as well as good; they had an unusually high percentage of physical and mental defectives. Their board of genetic control plugged away at the problem of getting rid of bad strains while conserving the longevity strain, but for many generations they would continue to pay for their long lives with an excess of defectives.

But almost five per cent of these defectives were telepathically sensitive.

Mary went straight to the sanctuary in the Seat where some of these dependents were cared for, with Lazarus Long at her heels. She braced the matron. “Where’s Little Stephen? I need him.”

“Keep your voice down,” the matron scolded. “Rest hour-you can’t.”

“Janice, I’ve got to see him,” Mary insisted. “This won’t wait. I’ve got to get a message out to all the Families-at once.”

The matron planted her hands on her hips. “Take it to the communication office. You can’t come here disturbing my children at all hours. I won’t have it.” “Janice, please! I don’t dare use anything but telepathy. You know I wouldn’t do this unnecessarily. Now take me to Stephen.”

“It wouldn’t do you any good if I did. Little Stephen has had one of his bad spells today.”

“Then take me to the strongest sensitive who can possibly work. Quickly, Janice! The safety of every member may depend on it.” “Did the trustees send you?”

“No, no! There wasn’t time!”

The matron still looked doubtful. While Lazarus was trying to recall how long it had been since he had socked a lady, she gave in. “All right-you can see Billy, though I shouldn’t let you. Mind you, don’t tire him out.” Still bristling, she led them along a corridor past a series of cheerful rooms and into one of them. Lazarus looked at the thing on the bed and looked away.

The matron went to a cupboard and returned with a hypodermic injector. “Does he work under a hypnotic?” Lazarus asked.

“No,” the matron answered coldly, “he has to have a stimulant to be aware of us at all.” She swabbed skin on the arm of the gross figure and made the injection. “Go ahead,” she said to Mary and lapsed into grim-mouthed silence.

The figure on the bed stirred, its eyes rolled loosely, then seemed to track. It grinned. “Aunt Mary!” it said. “Oooh! Did you bring Billy Boy something?’ “No,” she said gently. “Not this time, hon. Aunt Mary was in too much of a hurry. Next time? Asurprise? Will that do?’

“All right,” it said docilely.

“That’s a good boy.” She reached out and tousled its hair; Lazarus looked away again. “Now will Billy Boy do something for Aunt Mary? Abig, big favor?” “Sure.”

“Can you hear your friends?” “Oh, sure.”

“All of them?”

“Uh huh. Mostly they don’t say anything,” it added. “Call to them.”

There was a very short silence. “They heard me.”

“Fine! Now listen carefully, Billy Boy: All the Families-urgent warning! Elder Mary Sperling speaking. Under an Action-in-Council the Administrator is about to arrest every revealed member. The Council directed him to use ‘full expedience’-and it is my sober judgment that they are determined to use any means at all, regardless of the Covenant, to try to squeeze out of us the so-called secret of our long lives. They even intend to use the tortures developed by the inquisitors of the Prophets!” Her voice broke. She stopped and pulled herself together. “Now get busy! Find them, warn them, hide them! You may have only minutes left to save them!”

Lazarus touched her arm and whispered; she nodded and went on:

“If any cousin is arrested, rescue him by any means at all! Don’t try to appeal to the Covenant, don’t waste time arguing about justice rescue him! Now move!” She stopped and then spoke in a tired, gentle voice, “Did they hear us, Billy Boy?”

“Sure.”

“Are they telling their folks?”

“Uh huh. All but Jimmie-the-Horse. He’s mad at me,” it added confidentially. “‘Jimmie-the-Horse’? Where is he?”

“Oh, where he lives.”

“In Montreal,” put in the matron. “There are two other sensitives there-your message got through. Are you finished?” “Yes …” Mary said doubtfully. “But perhaps we had better have some other Seat relay it back.”

“No!” “But, Janice-“

“I won’t permit it. I suppose you had to send it but I want to give Billy the antidote now. So get out.”

Lazarus took her arm. “Come on, kid. It either got through or it didn’t; you’ve done your best. Agood job, girl.”

Mary went on to make a full report to the Resident Secretary; Lazarus left her on business of his own. He retraced his steps, looking for a man who was not too busy to help him; the guards at the pool entrance were the first he found. “Service-” be began.

“Service to you,” one of them answered. “Looking for someone?” He glanced curiously at Long’s almost complete nakedness, glanced away again-how anybody dressed, or did not dress, was a private matter.

“Sort of,” admitted Lazarus. “Say, Bud, do you know of anyone around here who would lend me a kilt?”

“You’re looking at one,” the guard answered pleasantly. “Take over, Dick-back in a minute.” He led Lazarus to bachelors’ quarters, outfitted him, helped him to dry his pouch and contents, and made no comment about the arsenal strapped to his hairy thighs. How elders behaved was no business of his and many of them were even touchier about their privacy than most people. He had seen Aunt Mary Sperling arrive stripped for swimming but had not been surprised as he had heard Ira Barstow briefing Pete for the underwater pickup; that the elder with her chose to take a dip in the lake weighed down by the hardware did surprise him but not enough to make him forget his manners.

“Anything else you need?’ he asked. “Do those shoes fit?

“Well enough. Thanks a lot, Bud.” Lazarus smoothed the borrowed kilt. It was a little too long for him but it comforted him. Aloin strap was okay, he supposed-if you were on Venus. But he had never cared much for Venus customs. Damn it, a man liked to be dressed. “I feel better,” he admitted. “Thanks again. By the way, what’s your name?”

“Edmund Hardy, of the Foote Family.”

“That so? What’s your line?”

“Charles Hardy and Evelyn Foote. Edward Hardy-Alice Johnson and Terence Briggs-Eleanor Weatheral. Oliver-“ “That’s enough. I sorta thought so. You’re one of my great-great-grandsons.”

“Why, that’s interesting,” commented Hardy agreeably. “Gives us a sixteenth of kinship, doesn’t it-not counting convergence. May I ask your name? “Lazarus Long.”

Hardy shook his head. “Some mistake. Not in my line.”

“Try Woodrow Wilson Smith instead. It was the one I started with.” “Oh, that one! Yes, surely. But I thought you were … uh—”

“Dead? Well, I ain’t.”

“Oh, I didn’t mean that at all,” Hardy protested, blushing at the blunt Anglo-Saxon monosyllable. He hastily added, “I’m glad to have run across you, Gran’ther. I’ve always wanted to hear the straight of the story about the Families’ Meeting in 2012.”

“That was before you were born, Ed,” Lazarus said gruffly, “and don’t call me ‘Gran’ther.’” “Sorry, sir-I mean ‘Sorry, Lazarus.’ Is there any other service I can do for you?”

“I shouldn’t have gotten shirty. No-yes, there is, too. Where can I swipe a bite of breakfast? I was sort of rushed this morning.”

“Certainly.” Hardy took him to the bachelors’ pantry, operated the autochef for him, drew coffee for his watch mate and himself, and left. Lazarus consumed his “bite of breakfast”-about three thousand calories of sizzling sausages, eggs, jam, hot breads, coffee with cream, and ancillary items, for he worked on the assumption of always topping off his reserve tanks because you never knew how far you might have to lift before you had another chance to refuel. In due time he sat back, belched, gathered up his dishes and shoved them in the incinerator, then went looking for a newsbox.

He found one in the bachelors’ library, off their lounge. The room was empty save for one man who seemed to be about the same age as that suggested by Lazarus’ appearance. There the resemblance stopped; the stranger was slender, mild in feature, and was topped off by finespun carroty hair quite unlike the grizzled wiry bush topping Lazarus. The stranger was bending over the news receiver with his eyes pressed to the microviewer.

Lazarus cleared his throat loudly and said, “Howdy.”

The man jerked his head up and exclaimed, “Oh! Sorry-I was startled. Do y’ a service?” “I was looking for the newsbox. Mind if we throw it on the screen?”

“Not at all.” The smaller man stood up, pressed the rewind button, and set the controls for projection. “Any particular subject?” “I wanted to see,” said Lazarus, “if there was any news about us-the Families.”

“I’ve been watching for that myself. Perhaps we had better use the sound track and let it hunt.” “Okay,” agreed Lazarus, stepping up and changing the setting to audio. “What’s the code word?’ “‘Methuselah.’”

Lazarus punched in the setting; the machine chattered and whined as it scanned and rejected the track speeding through it, then it slowed with a triumphant click. “The DAILY DATA,” it announced. “The only midwest news service subscribing to every major grid. Leased videochannel to Luna City. Tri-S correspondents throughout the System. First, Fast, and Most! Lincoln, Nebraska-Savant Denounces Oldsters! Dr. Witweli Oscarsen, President Emeritus of Bryan Lyceum, calls for official reconsideration of the status of the kin group styling themselves the ‘Howard Families.’ ‘It is proved,’ he says. ‘that these people have solved the age-old problem of extending, perhaps indefinitely, the span of human life. For that they are  to be commended; it is a worthy and potentially fruitful research. But their claim that their solution is no more than hereditary predisposition defies both science and common sense. Our modern knowledge of the established laws of generics enables us to deduce with

certainty that they are withholding from the public some secret technique or techniques whereby they accomplish their results.

“‘It is contrary to our customs to permit scientific knowledge to be held as a monopoly for the few. When concealing such knowledge strikes at life itself, the action becomes treason to the race. As a citizen, I call on the Administration to act forcefully in this matter and I remind them that the situation is not one which could possibly have been foreseen by the wise men who drew up the Covenant and codified our basic customs. Any custom is man-made and is therefore a finite attempt to describe an infinity of relationships. It follows as the night from day that any custom necessarily has its exceptions. To be bound by them in the face of new—’”

Lazarus pressed the hold button. “Had enough of that guy?

“Yes, I had already heard it.” The stranger sighed. “I have rarely heard such complete lack of semantic rigor. It surprises me-Dr. Oscarsen has done sound work in the past.” “Reached his dotage,” Lazarus stated, as he told the machine to try again. “Wants what he wants when he wants it-and thinks that constitutes a natural law.”

The machine hummed and clicked and again spoke up. “The DAILYDATA, the only midwest news-“

“Can’t we scramble that commercial?” suggested Lazarus. His companion peered at the control panel. “Doesn’t seem to be equipped for it.”

“Ensenada, Baja California. Jeffers and Lucy Weatheral today asked for special proctor protection, alleging that a group of citizens had broken into their home, submitted them to personal indignity and committed other asocial acts. The Weatherals are, by their own admission, members of the notorious Howard Families and claim that the alleged incident could be traced to that supposed fact. The district provost points out that they have offered no proof and has taken the matter under advisement. Atown mass meeting has been announced for tonight which will air-“

The other man turned toward Lazarus. “Cousin, did we hear what I thought we heard? That is the first case of asocial group violence in more than twenty years … yet they reported it like a breakdown in a weather integrator.”

“Not quite,” Lazarus answered grimly. “The connotations of the words used in describing us were loaded.”

“Yes, true, but loaded cleverly. I doubt if there was a word in that dispatch with an emotional index, taken alone, higher than one point five. The newscasters are allowed two zero, you know.”

“You a psychometrician?”

“Uh, no. I should have introduced myself. I’m Andrew Jackson Libby.” “Lazarus Long.”

“I know. I was at the meeting last night.”

“‘Libby … Libby,” Lazarus mused. “Don’t seem to place it in the Families. Seems familiar, though.” “My case is a little like yours-“

“Changed it during the Interregnum, eh?”

“Yes and no. I was born after the Second Revolution. But my people had been converted to the New Crusade and had broken with the Families and changed their name. I was a grown

man before I knew I was a Member.”

“The deuce you say! That’s interesting-how did you come to be located … if you don’t mind my asking?” “Well, you see I was in the Navy and one of my superior officers-“

“Got it! Got it! I thought you were a spaceman. You’re Slipstick Libby, the Calculator.” Libby grinned sheepishly. “I have been called that.”

“Sure, sure. The last can I piloted was equipped with your paragravitic rectifier. And the control bank used your fractional differential on the steering jets. But I installed that myself-kinda borrowed your patent.”

Libby seemed undisturbed by the theft. His face lit up. “You are interested in symbolic logic?”

“Only pragmatically. But look, I put a modification on your gadget that derives from the rejected alternatives in your thirteenth equation. It helps like this: suppose you are cruising in a field of density ‘x’ with an n-order gradient normal to your course and you want to set your optimum course for a projected point of rendezvous capital ‘A’ at matching-in vector ‘rho’ using automatic selection the entire jump, then if-“

They drifted entirely away from Basic English as used by earthbound laymen. The newsbox beside them continued to hunt; three times it spoke up, each time Libby touched the rejection button without consciously hearing it.

“I see your point,” he said at last. “I had considered a somewhat similar modification but concluded that it was not commercially feasible, too expensive for anyone but enthusiasts such as yourself. But your solution is cheaper than mine.”

“How do you figure that?”

“Why, it’s obvious from the data. Your device contains sixty-two moving parts, which should require, if we assume standardized fabrication processes, a probable-” Libby hesitated momentarily as if he were programming the problem. “-a probable optimax of five thousand two hundred and eleven operation in manufacture assuming null-therblig automation, whereas mine-“

Lazarus butted in. “Andy,” he inquired solicitously, “does your head ever ache?”

Libby looked sheepish again. “There’s nothing abnormal about my talent,” he protested. “It is theoretically possible to develop it in any normal person.”

“Sure,” agreed Lazarus, “and you can teach a snake to tap dance once you get shoes on him. Never mind, I’m glad to have fallen in with you. I heard stories about you way back when you were a kid. You were in the Cosmic Construction Corps, weren’t you?”

Libby nodded. “Earth-Mars Spot Three.”

“Yeah, that was it-chap on Mars gimme the yarn. Trader at Drywater. I knew your maternal grandfather, too. Stiffnecked old coot.” “I suppose he was.”

“He was, all right. I had quite a set-to with him at the Meeting in 2012. He had a powerful vocabulary.” Lazarus frowned slightly. “Funny thing, Andy … I recall that vividly, I’ve always had a good memory-yet it seems to be getting harder for me to keep things straight. Especially this last century.”

“Inescapable mathematical necessity,” said Libby. “Huh? Why?”

“Life experience is linearly additive, but the correlation of memory impressions is an unlimited expansion. If mankind lived as long as a thousand years, it would be necessary to invent some totally different method of memory association in order to be eclectively time-binding. Aman would otherwise flounder helplessly in the wealth of his own knowledge, unable to evaluate. Insanity, or feeble-mindedness.”

“That so?” Lazarus suddenly looked worried. “Then we’d better get busy on it.” “Oh, it’s quite possible of solution.” “Let’s work on it. Let’s not get caught short.”

The newsbox again demanded attention, this time with the buzzer and flashing light of a spot bulletin: “Hearken to the DATA, flash! Nigh Council Suspends Covenant! Under the Emergency Situation clause of the Covenant an unprecedented Action-in-Council was announced today directing the Administrator to detain and question all members of the so-called Howard Families-by any means expedient! The Administrator authorized that the following statement be released by all licensed news outlets: (I quote) ‘The suspension of the Covenant’s civil guarantees applies only to the group known as the Howard Families except that government agents are empowered to act as circumstances require to apprehend speedily the persons affected by the Action-in-Council. Citizens are urged to tolerate cheerfully any minor inconvenience this may cause them; your right of privacy will be respected in every way possible; your right of free movement may be interrupted temporarily, but full economic

restitution will be made.”

“Now, Friends and Citizens, what does this mean?-to you and you and also you! The DAILYDATAbrings you now your popular commentator, Albert Reifsnider:

“Reifsnider reporting: Service, Citizens! There is no cause for alarm. To the average free citizen this emergency will be somewhat less troublesome than a low-pressure minimum too big for the weather machines. Take it easy! Relax! Help the proctors when requested and tend to your private affairs. If inconvenienced, don’t stand on custom-cooperate with Service!

“That’s what it means today. What does it mean tomorrow and the day after that? Next year? It means that your public servants have taken a forthright step to obtain for you the boon of a longer and happier life! Don’t get your hopes too high … but it looks like the dawn of a new day. Ah, indeed it does! The jealously guarded secret of a selfish few will soon—”

Long raised an eyebrow at Libby, then switched it off.

“I suppose that,” Libby said bitterly, “is an example of ‘factual detachment in news reporting.’”

Lazarus opened his pouch and struck a cigarette before replying. “Take it easy, Andy. There are bad times and good times. We’re overdue for bad times. The people are on the march again … this time at us.”

Chapter 3

THE BURROW KNOWN as the Families’ Seat became jammed as the day wore on. Members kept trickling in, arriving by tunnels from downstare and from Indiana. As soon as it was dark a traffic jam developed at the underground pool entrance-sporting subs, fake ground cars such as Mary’s, ostensible surface cruisers modified to dive, each craft loaded with refugees some half suffocated from lying in hiding on deep bottom most of the day while waiting for a chance to sneak in.

The usual meeting room was much too small to handle the crowd; the resident staff cleared the largest room, the refectory, and removed partitions separating it from the main lounge. There at midnight Lazarus climbed onto a temporary rostrum. “Okay,” he announced, “let’s pipe it down. You down in front sit on the floor so the rest can see. I was born in 1912. Anybody older?”

He paused, then added, “Nominations for chairman speak up.”

Three were proposed; before a fourth could be offered the last man nominated got to his feet. “Axel Johnson, of the Johnson Family. I want my name withdrawn and I suggest that the others do likewise. Lazarus cut through the fog last night; let him handle it. This is no time for Family politics.”

The other names were withdrawn; no more were offered. Lazarus said, “Okay if that’s the way you want it. Before we get down to arguing I want a report from the Chief Trustee. How about it, Zack? Any of our kinfolk get nabbed?’

Zaccur Barstow did not need to identify himself; he simply said, “Speaking for the Trustees: our report is not complete, but we do not as yet know that any Member has been arrested. Of the nine thousand two hundred and eighty-five revealed Members, nine thousand one hundred and six had been reported, when I left the communication office ten minutes ago, as having reached hiding, in other Family strongholds, or in the homes of unrevealed Members, or elsewhere. Mary Sperling’s warning was amazingly successful in view of how short the time was from the alarm to the public execution of the Action-in-Council-but we still have one hundred and seventy-nine revealed cousins unreported. Probably most of these will trickle in during the next few days. Others are probably safe but unable to get in touch with us.”

“Get to the point, Zack,” Lazarus insisted. “Any reasonable chance that all of them will make it home safe?” “Absolutelynone.”

“Why?”

“Because three of them are known to be in public conveyances between here and the Moon, traveling under their revealed identities. Others we don’t know about are almost certainly caught in similar predicaments.”

“Question!” Acocky little man near the front stood up and pointed his finger at the Chief Trustee. “Were all those Members now in jeopardy protected by hypnotic injunction?” “No. There was no—”

“I demand to know why not!”

“Shut up!” bellowed Lazarus. “You’re out of order. Nobody’s on trial here and we’ve got no time to waste on spilled milk. Go ahead, Zack.”

“Very well. But I will answer the question to this extent: everyone knows that a proposal to protect our secrets by hypnotic means was voted down at the Meeting which relaxed the ‘Masquerade.’ I seem to recall that the cousin now objecting helped then to vote it down.”

“That is not true! And I insist that—”

“PIPE DOWN!” Lazarus glared at the heckler, then looked him over carefully. “Bud, you strike me as a clear proof that the Foundation should ‘a’ bred for brains instead of age.” Lazarus looked around at the crowd. “Everybody will get his say, but in order as recognized by the chair. If he butts in again, I’m going to gag him with his own teeth-is my ruling sustained?”

There was a murmur of mixed shock and approval; no one objected. Zaccur Barstow went on, “On the advice of Ralph Schultz the trustees have been proceeding quietly for the past three months to persuade revealed Members to undergo hypnotic instruction. We were largely successful.” He paused.

“Make it march, Zack,” Lazarus urged. “Are we covered? Or not?”

“We are not. At least two of our cousins certain to be arrested are not so protected.”

Lazarus shrugged. “That tears it. Kinfolk, the game’s over. One shot in the arm of babble juice and the ‘Masquerade’ is over. It’s a new situation-or will be in a few hours. What do you propose to do about it?”

In the control room of the Antipodes Rocket Wallaby, South Flight, the telecom hummed, went spung! and stuck out a tab like an impudent tongue. The copilot rocked forward in his gymbals, pulled out the message and tore it off.

He read it, then reread it. “Skipper, brace yourself.” “Trouble?”

“Read it.”

The captain did so, and whistled. “Bloody! I’ve never arrested anybody. I don’t believe I’ve even seen anybody arrested. How do we start?” “I bow to your superior authority.”

“That so?” the captain said in nettled tones. “Now that you’re through bowing you can tool aft and make the arrest.” “Uh? That’s not what I meant. You’re the bloke with the authority. I’ll relieve you at the conn.”

“You didn’t read me. I’m delegating the authority. Carry out your orders.” “Just a moment, Al, I didn’t sign up for—”

“Carry out your orders!” “Aye aye, sir!”

The copilot went aft. The ship had completed its reentry, was in its long, flat, screaming approach-glide; he was able to walk-he wondered what an arrest in free-fall would be like? Snag him with a butterfly net? He located the passenger by seat check, touched his arm. “Service, sir. There’s been a clerical error. May I see your ticket?”

“Why, certainly.”

“Would you mind stepping back to the reserve stateroom? It’s quieter there and we can both sit down.” “Not at all.”

Once they were in the private compartment the chief officer asked the passenger to sit down, then looked annoyed. “Stupid of me!-I’ve left my lists in the control room.” He turned and left. As the door slid to behind him, the passenger heard an unexpected click. Suddenly suspicious, he tried the door. It was locked.

Two proctors came for him at Melbourne. As they escorted him through the skyport he could hear remarks from a curious and surprisingly unfriendly crowd: “There’s one of the laddies now!” “Him? My word, he doesn’t look old.” “What price ape glands?” “Don’t stare, Herbert.” “Why not? Not half bad enough for him.”

They took him to the office of the Chief Provost, who invited him to sit down with formal civility. “Now then, sir,” the Provost said with a slight local twang, “if you will help us by letting the orderly make a slight injection in your arm—”

“For what purpose?”

“You want to be socially cooperative, I’m sure. It won’t hurt you.”

“That’s beside the point. I insist on an explanation. I am a citizen of the United States.”

“So you are, but the Federation has concurrent jurisdiction in any member state-and I am acting under its authority. Now bare your arm, please.” “I refuse. I stand on my civil rights.”

“Grab him, lads.”

It took four men to do it. Even before the injector touched his skin, his jaw set and a look of sudden agony came into his face. He then sat quietly, listlessly, while the peace officers waited for the drug to take effect. Presently the Provost gently rolled back one of the prisoner’s eyelids and said, “I think he’s ready. He doesn’t weigh over ten stone; it has hit him rather fast. Where’s that list of questions?”

Adeputy handed it to him; he began, “Horace Foote, do you hear me?’

The man’s lips twitched, he seemed about to speak. His mouth opened and blood gushed down his chest.

The Provost bellowed and grabbed the prisoner’s head, made quick examination. “Surgeon! He’s bitten his tongue half out of his head!”

The captain of the Luna City Shuttle Moonbeam scowled at the message in his hand. “What child’s play is this?” He glared at his third officer. “Tell me that, Mister.”

The third officer studied the overhead. Fuming, the captain held the message at arm’s length, peered at it and read aloud: “-imperative that subject persons be prevented from doing themselves injury. You are directed to render them unconscious without warning them.” He shoved the flimsy away from him. “What do they think I’m running? Coventry? Who do they think they are?-telling me in my ship what I must do with my passengers! I won’t-so help me, I won’t! There’s no rule requiring me to … is there, Mister?”

The third officer went on silently studying the ship’s structure.

The captain stopped pacing. “Purser! Purser! Why is that man never around when I want him?” “I’m here, Captain.”

“About time!”

“I’ve been here all along, sir.”

“Don’t argue with me. Here-attend to this.” He handed the dispatch to the purser and left.

Ashipfitter, supervised by the purser, the hull officer, and the medical officer, made a slight change in the air-conditioning ducts to one cabin; two worried passengers sloughed off their cares under the influence of a nonlethal dose of sleeping gas.

“Another report, sir.”

“Leave it,” the Administrator said in a tired voice.

“And Councilor Bork Vanning presents his compliments and requests an interview.” “Tell him that I regret that I am too busy.”

“He insists on seeing you, sir.”

Administrator Ford answered snappishly, “Then you may tell the Honorable Mr. Vanning that be does not give orders in this office!” The aide said nothing; Administrator Ford pressed his fingertips wearily against his forehead and went on slowly, “Na, Gerry, don’t tell him that. Be diplomatic but don’t let him in.”

“Yes, sir.”

When he was alone, the Administrator picked up the report. His eye skipped over official heading, date line, and file number: “Synopsis of Interview with Conditionally Proscribed Citizen Arthur Sperling, full transcript attached. Conditions of Interview: Subject received normal dosage of neosco., having previously received unmeasured dosage of gaseous hypnotal. Antidote—”How the devil could you cure subordinates of wordiness? Was there something in the soul of a career civil servant that cherished red tape? His eye skipped on down:

“-stated that his name was Arthur Sperling of the Foote Family and gave his age as one hundred thirty-seven years. (Subject’s apparent age is forty-five plus-or-minus four: see bio report attached.) Subject admitted that he was a member of the Howard Families. He stated that the Families numbered slightly more than one hundred thousand members. He was asked to correct this and it was suggested to him that the correct number was nearer ten thousand. He persisted in his original statement.”

The Administrator stopped and reread this part.

He skipped on down, looking for the key part: “-insisted that his long life was the result of his ancestry and had no other cause. Admitted that artificial means had been used to preserve his youthful appearance but maintained firmly that his life expectancy was inherent, not acquired. It was suggested to him that his elder relatives had subjected him without his knowledge to treatment in his early youth to increase his life span. Subject admitted possibility. On being pressed for names of persons who might have performed, or might be performing, such treatments he returned to his original statement that no such treatments exist.

“He gave the names (surprise association procedure) and in some cases the addresses of nearly two hundred members of his kin group not previously identified as such in our records. (List attached) His strength ebbed under this arduous technique and he sank into full apathy from which he could not be roused by any stimuli within the limits of his estimated tolerance (see Bio Report).

“Conclusions under Expedited Analysis, Kelly-Holmes Approximation Method: Subject does not possess and does not believe in the Search Object. Does not remember experiencing Search Object but is mistaken. Knowledge of Search Object is limited to a small group, of the order of twenty. Amember of this star group will be located through not more than triple- concatenation elimination search. (Probability of unity, subject to assumptions: first, that topologic social space is continuous and is included in the physical space of the Western Federation and, second, that at least one concatenative path exists between apprehended subjects and star group. Neither assumption can be verified as of this writing, but the first assumption is strongly supported by statistical analysis of the list of names supplied by Subject of previously unsuspected members of Howard kin group, which analysis also supports Subject’s estimate of total size of group, and second assumption when taken negatively

postulates that star group holding Search Object has been able to apply it with no social-space of contact, an absurdity.)

“Estimated Time for Search: 71 hrs, plus-or-minus 20 hrs. Prediction but not time estimate vouched for by cognizant bureau. Time estimate will be re—”

Ford slapped the report on a stack cluttering his oldfashioned control desk. The dumb fools! Not to recognize a negative report when they saw one-yet they called themselves psychographers!

He buried his face in his hands in utter weariness and frustration.

Lazarus rapped on the table beside him, using the butt of his blaster as a gavel. “Don’t interrupt the speaker,” he boomed, then added, “Go ahead but cut it short.”

Bertram Hardy nodded curtly. “I say again, these mayflies we see around us have no rights that we of the Families are bound to respect. We should deal with them with stea1th, with cunning, with guile, and when we eventually consolidate our position … with force! We are no more obligated to respect their welfare than a hunter is obliged to shout a warning at his quarry. The—”

There was a catcall from the rear of the room. Lazarus again banged for order and tried to spot the source. Hardy ploughed steadily on. “The so-called human race has split in two; it is time we admitted it. On one side, Homo vivens, ourselves … on the other-Homo moriturus! With the great lizards, with the sabertooth tiger and the bison, their day is done. We would no more mix our living blood with theirs than we would attempt to breed with apes. I say temporize with them, tell them any tale, assure them that we will bathe them in the fountain of youth- gain time, so that when these two naturally antagonistic races join battle, as they inevitably must, the victory will be ours!”

There was no applause but Lazarus could see wavering uncertainty in many faces. Bertram Hardy’s ideas ran counter to thought patterns of many years of gentle living yet his words seemed to ring with destiny. Lazarus did not believe in destiny; he believed in … well, never mind-but he wondered how Brother Bertram would look with both arms broken.

Eve Barstow got up. “If that is what Bertram means by the survival of the fittest,” she said bitterly, “I’ll go live with the asocials in Coventry. However, he has offered a plan; I’ll have to offer another plan if I won’t take his. I won’t accept any plan which would have us live at the expense of our poor transient neighbors. Furthermore it is clear to me now that our mere presence, the simple fact of our rich heritage of life, is damaging to the spirit of our poor neighbor. Our longer years and richer opportunities make his best efforts seem futile to him-any effort save   a hopeless struggle against an appointed death. Our mere presence saps his strength, ruins his judgment, fills him with panic fear of death.

“So I propose a plan. Let’s disclose ourselves, tell all the truth, and ask for our share of the Earth, some little corner where we may live apart. If our poor friends wish to surround it with a great barrier like that around Coventry, so be it-it is better that we never meet face to face.”

Some expressions of doubt changed to approval. Ralph Schultz stood up. “Without prejudice to Eve’s basic plan, I must advise you that it is my professional opinion that the psychological insulation she proposes cannot be accomplished that easily. As long as we’re on this planet they won’t be able to put us out of their minds. Modern communications-“

“Then we must move to another planet!” she retorted.

“Where?” demanded Bertram Hardy. “Venus? I’d rather live in a steam bath. Mars? Worn-out and worthless.” “We will rebuild it,” she insisted.

“Not in your lifetime nor mine. No, my dear Eve, your tenderheartedness sounds well but it doesn’t make sense. There is only one planet in the System fit to live on-we’re standing on it.” Something in Bertram Hardy’s words set off a response in Lazarus Long’s brain, then the thought escaped him. Something … something that he had heard of said just a day or two ago

… or was it longer than? Somehow it seemed to be associated with his first trip out into space, too, well over a century ago. Thunderation! it was maddening to have his memory play tricks on him like that—

Then he had it-the starship! The interstellar ship they were putting the finishing touches on out there between Earth and Luna. “Folks,” he drawled, “before we table this idea of moving to another planet, let’s consider all the possibilities.” He waited until he had their full attention. “Did you ever stop to think that not all the planets swing around this one Sun?”

Zaccur Barstow broke the silence. “Lazarus … are you making a serious suggestion?” “Dead serious.”

“It does not sound so. Perhaps you had better explain.”

“I will.” Lazarus faced the crowd. “There’s a spaceship hanging out there in the sky, a roomy thing, built to make the long jumps between stars. Why don’t we take it and go looking for our own piece of real estate?”

Bertram Hardy was first to recover. “I don’t know whether our chairman is lightening the gloom with another of his wisecracks or not, but, assuming that he is serious, I’ll answer. My objection to Mars applies to this wild scheme ten times over. I understand that the reckless fools who are actually intending to man that ship expect to make the jump in about a century – then maybe their grandchildren will find something, or maybe they won’t. Either way, I’m not interested. I don’t care to spend a century locked up in a steel tank, nor do I expect to live that long. I won’t buy it.”

“Hold it,” Lazarus told him. “Where’s Andy Libby?” “Here,” Libby answered! standing up.

“Come on down front. Slipstick, did you have anything to do with designing the new Centarus ship?” “No. Neither this one nor the first one.”

Lazarus spoke to the crowd. “That settles it. If that ship didn’t have Slipstick’s finger in the drive design, then she’s not as fast as she could be, not by a good big coefficient. Slipstick, better get busy on the problem, son. We’re likely to need a solution.”

“But, Lazarus, you mustn’t assume that—” “Aren’t there theoretical possibilities?” “Well, you know there are, but—”

“Then get that carrot top of yours working on it.” “Well … all right.” Libby blushed as pink as his hair.

“Just a moment, Lazarus.” It was Zaccur Barstow. “I like this proposal and I think we should discuss it at length not let ourselves be frightened off by Brother Bertram’s distaste for it. Even  if Brother Libby fails to find a better means of propulsion-and frankly, I don’t think he will; I know a little something of field mechanics-even so, I shan’t let a century frighten me. By using cold-rest and manning the ship in shifts, most of us should be able to complete one hop. There is—”

“What makes you think,” demanded Bertram Hardy, “that they’ll let us man the ship anyhow?”

“Bert,” Lazarus said coldly, “address the chair when you want to sound off. You’re not even a Family delegate. Last warning.”

“As I was saying,” Barstow continued, “there is an appropriateness in the long-lived exploring the stars. Amystic might call it our true vocation.” He pondered. “As for the ship Lazarus suggested; perhaps they will not let us have that … but the Families are rich. If we need a starship-or ships-we can build them, we can pay for them. I think we had better hope that they will let us do this … for it may be that there is no way, not another way of any sort, out of our dilemma which does not include our own extermination.”

Barstow spoke these last words softly and slowly, with great sadness. They bit into the company like damp chill. To most of them the problem was so new as not yet to be real; no one had voiced the possible consequence of failing to find a solution satisfactory to the shortlived majority. For their senior trustee to speak soberly of his fear that the Families might be exterminated-hunted down and killed-stirred up in each one the ghost they never mentioned.

“Well,” Lazarus said briskly when the silence had grown painful, “before we work this idea over, let’s hear what other plan anyone has to offer. Speak up.”

Amessenger hurried in and spoke to Zaccur Barstow. He looked startled and seemed to ask to have the message repeated. He then hurried across the rostrum to Lazarus, whispered to him. Lazarus looked startled. Barstow hurried out.

Lazarus looked back at the crowd. “We’ll take a recess,” he announced. “Give you time to think about other plans and time for a stretch and a smoke.” He reached for his pouch. “What’s up?” someone called out.

Lazarus struck a cigarette, took a long drag, let it drift out. “We’ll have to wait and see,” he said. “I don’t know. But at least half a dozen of the plans put forward tonight we won’t have to bother to vote on. The situation has changed again-how much, I couldn’t say.”

“What do you mean?”

“Well,” Lazarus drawled, “it seems the Federation Administrator wanted to talk to Zack Barstow right away. He asked for him by name … and he called over our secret Families’ circuit.” “Huh? That’s impossible!”

“Yep. So is a baby, son.”

Chapter 4

ZACCUR BARSTOW TRIED to quiet himself down as he hurried into the phone booth.

At the other end of the same videophone circuit the Honorable Slayton Ford was doing the same thing-trying to calm his nerves. He did not underrate himself. Along and brilliant public career crowned by years as Administrator for the Council and under the Covenant of the Western Administration had made Ford aware of his own superior ability and unmatched experience; no ordinary man could possibly make him feel at a disadvantage in negotiation.

But this was different.

What would a man be like who had lived more than two ordinary lifetimes? Worse than that-a man who had had four or five times the adult experience that Ford himself had had? Slayton Ford knew that his own opinions had changed and changed again since his own boyhood; he knew that the boy he had been, or even the able young man he had been, would be no match for the mature man he had become. So what would this Barstow be like? Presumably he was the most able, the most astute, of a group all of whom had had much more experience than Ford could possibly have-how could he guess such a man’s evaluations, intentions, ways of thinking, his possible resources?

Ford was certain of only one thing: he did not intend to trade Manhattan Island for twenty-four dollars and a case of whisky, nor sell humanity’s birthright for a mess of pottage.

He studied Barstow’s face as the image appeared in his phone. Agood face and strong … it would be useless to try to bully this man. And the man looked young-why, he looked younger than Ford himself! The subconscious image of the Administrator’s own stern and implacable grandfather faded out of his mind and his tension eased off. He said quietly, “You are Citizen Zaccur Barstow?”

“Yes, Mister Administrator.”

“You are chief executive of the Howard Families?”

“I am the current speaker trustee of our Families’ Foundation. But I am responsible to my cousins rather than in authority over them.” Ford brushed it aside. “I assume that your position carries with it leadership. I can’t negotiate with a hundred thousand people.”

Barstow did not blink. He saw the power play in the sudden admission that the administration knew the true numbers of the Families and discounted it. He had already adjusted himself to the shock of learning that the Families’ secret headquarters was no longer secret and the still more upsetting fact that the Administrator knew how to tap into their private communication system; it simply proved that one or more Members had been caught and forced to talk.

So it was now almost certain that the authorities already knew every important fact about the Families.

Therefore it was useless to try to bluff-just the same, don’t volunteer any information; they might not have all the facts this soon. Barstow answered without noticeable pause. “What is it you wish to discuss with me, sir?”

“The policy of the Administration toward your kin group. The welfare of yourself and your relatives.”

Barstow shrugged. “What can we discuss? The Covenant has been tossed aside and you have been given power to do as you like with us-to squeeze a secret out of us that we don’t have. What can we do but pray for mercy?”

“Please!” The Administrator gestured his annoyance. “Why fence with me? We have a problem, you and I. Let’s discuss it openly and try to reach a solution. Yes?”

Barstow answered slowly, “I would like to … and I believe that you would like to, also. But the problem is based on a false assumption, that we, the Howard Families, know how to lengthen human life. We don’t.”

“Suppose I tell you that I know there is no such secret?”

“Mmm … I would like to believe you. But how can you reconcile that with the persecution of my people? You’ve been harrying us like rats.”

Ford made a wry face. “There is an old, old story about a theologian who was asked to reconcile the doctrine of Divine mercy with the doctrine of infant damnation. ‘The Almighty,’ he explained, ‘finds it necessary to do things in His official and public capacity which in His private and personal capacity He deplores.’”

Barstow smiled in spite of himself. “I see the analogy. Is it actually pertinent?” “I think it is.”

“So. You didn’t call me simply to make a headsman’s apology?”

“No. I hope not. You keep in touch with politics? I’m sure you must; your position would require it.” Barstow nodded; Ford explained at length:

Ford’s administration had been the longest since the signing of the Covenant; he had lasted through four Councils. Nevertheless his control was now so shaky that he could not risk forcing a vote of confidence-certainly not over the Howard Families. On that issue his nominal majority was already a minority. If he refused the present decision of the Council, forced it to   a vote of confidence, Ford would be out of office and the present minority leader would take over as administrator. “You follow me? I can either stay in office and try to cope with this problem while restricted by a Council directive with which I do not agree … or I can drop out and let my successor handle it.”

“Surely you’re not asking my advice?”

“No, no! Not on that. I’ve made my decision. The Action-in-Council would have been carried out in any case, either by me or by Mr. Vanning-so I decided to do it. The question is: will I have your help, or will I not?”

Barstow hesitated, while rapidly reviewing Ford’s political career in his mind. The earlier part of Ford’s long administration had been almost a golden age of statesmanship. Awise and practical man, Ford had shaped into workable rules the principles of human freedom set forth by Novak in the language of the Covenant. It had been a period of good will, of prosperous expansion, of civilizing processes which seemed to be permanent, irreversible.

Nevertheless a setback had come and Barstow understood the reasons at least as well as Ford did. Whenever the citizens fix their attention on one issue to the exclusion of others, the situation is ripe for scalawags, demagogues, ambitious men on horseback. The Howard Families, in all innocence, had created the crisis in public morals from which they now suffered, through their own action, taken years earlier, in letting the shortlived learn of their existence. It mattered not at all that the “secret” did not exist; the corrupting effect did exist. Ford at least understood the true situation- “We’ll help,” Barstow answered suddenly. “Good. What do you suggest?”

Barstow chewed his lip. “Isn’t there some way you can stall off this drastic action, this violation of the Covenant itself?” Ford shook his head. “It’s too late.”

“Even if you went before the public and told the citizens, face to face, that you knew that-“

Ford cut him short. “I wouldn’t last in office long enough to make the speech. Nor would I be believed. Besides that-understand me clearly, Zaccur Barstow-no matter what sympathy I may have personally for you and your people, I would not do so if I could. This whole matter is a cancer eating into vitals of our society; it must be settled. I have had my hand forced, true

… but there is no turning back. It must be pressed on to a solution.”

In at least one respect Barstow was a wise man; he knew that another man could oppose him and not be a villain. Nevertheless he protested, “My people are being persecuted.”

“Your people,” Ford said forcefully, “are a fraction of a tenth of one per cent of all the people … and I must find a solution for all! I’ve called on you to find out if you have any suggestions toward a solution for everyone. Do you?”

“I’m not sure,” Barstow answered slowly. “Suppose I concede that you must go ahead with this ugly business of arresting my people, of questioning them by unlawful means-I suppose I have no choice about that-“

“You have no choice. Neither have I.” Ford frowned. “It will be carried out as humanely as I can manage it-I am not a free agent.”

“Thank you. But, even though you tell me it would be useless for you yourself to go to the people, nevertheless you have enormous propaganda means at your disposal. Would it be possible, while we stall along, to build up a campaign to convince the people of the true facts? Prove to them that there is no secret?” Ford answered, “Ask yourself: will it work?”

Barstow sighed. “Probably not.”

“Nor would I consider it a solution even if it would! The people-even my trusted assistants-are clinging to their belief in a fountain of youth because the only alternative is too bitter to think about. Do you know what it would mean to them? For them to believe the bald truth?”

“Go on?’

“Death has been tolerable to me only because Death has been the Great Democrat, treating all alike. But now Death plays favorites. Zaccur Barstow, can you understand the bitter, bitter jealousy of the ordinary man of-oh, say ‘fifty’- who looks on one of your sort? Fifty years … twenty of them he is a child, he is well past thirty before he is skilled in his profession. He is forty before he is established and respected. For not more than the last ten years of his fifty he has really amounted to something.”

Ford leaned forward in the screen and spoke with sober emphasis: “And now, when he has reached his goal, what is his prize? His eyes are failing him, his bright young strength is gone, his heart and wind are ‘not what they used to be.’ He is not senile yet … but he feels the chill of the first frost. He knows what is in store for him. He knows-he knows!

“But it was inevitable and each man learned to be resigned to it.”

“Now you come along,” Ford went on bitterly. “You shame him in his weakness, you humble him before his children. He dares not plan for the future; you blithely undertake plans that will not mature for fifty years-for a hundred. No matter what success he has achieved, what excellence he has attained, you will catch up with him, pass him-outlive him. In his weakness you are kind to him.

“Is it any wonder that he hates you?”

Barstow raised his head wearily. “Do you hate me, Slayton Ford?”

“No. No, I cannot afford to hate anyone. But I can tell you this,” Ford added suddenly, “had there been a secret, I would have it out of you if I had to tear you to pieces!”

“Yes. I understand that.” Barstow paused to think. “There is little that we of the Howard Families can do. We did not plan it this way; it was planned for us. But there is one thing we can offer.”

“Yes?”

Barstow explained.

Ford shook his head. “Medically what you suggest is feasible and I have no doubt that a half interest in your heritage would lengthen the span of human life. But even if women were willing to accept the germ plasm of your men-I do not say that they would-it would be psychic death for all other men. There would be an outbreak of frustration and hatred that would split the human race to ruin. No, no matter what we wish, our customs are what they are. We can’t breed men like animals; they won’t stand for it.”

“I know it,” agreed Barstow, “but it is all we have to offer … a share in our fortune through artificial impregnation.”

“Yes. I suppose I should thank you but I feel no thanks and I shan’t. Now let’s be practical. Individually you old ones are doubtless honorable, lovable men. But as a group you are as dangerous as carriers of plague. So you must be quarantined.”

Barstow nodded. “My cousins and I had already reached that conclusion.” Ford looked relieved. “I’m glad you’re being sensible about it.”

“We can’t help ourselves. Well? Asegregated colony? Some remote place that would be a Coventry of our own? Madagascar, perhaps? Or we might take the British Isles, build them up again and spread from there into Europe as the radioactivity died down.”

Ford shook his head. “Impossible. That would simply leave the problem for my grandchildren to solve. By that time you and yours would have grown in strength; you might defeat us. No, Zaccur Barstow, you and your kin must leave this planet entirely!”

Barstow looked bleak. “I knew it would come to that. Well where shall we go?” “Take your choice of the Solar System. Anywhere you like.”

“But where? Venus is no prize, but even if we chose it, would they accept us? The Venerians won’t take orders from Earth; that was settled in 2020. Yes, they now accept screened immigrants under the Four Planets Convention but would they accept a hundred thousand whom Earth found too dangerous to keep? I doubt it.”

“So do I. Better pick another planet.”

“What planet? In the whole system there is not another body that will support human life as it is. It would take almost superhuman effort, even with unlimited money and the best of modern engineering, to make the most promising of them fit for habitation.”

“Make the effort. We will be generous with help.”

“I am sure you would. But is that any better solution in the long run than giving us a reservation on Earth? Are you going to put a stop to space travel?”

Ford sat up suddenly. “Oh! I see your thought. I had not followed it through, but let’s face it. Why not? Would it not be better to give up space travel than to let this situation degenerate into open war? It was given up once before.”

“Yes, when the Venerians threw off their absentee landlords. But it started up again and Luna City is rebuilt and ten times more tonnage moves through the sky than ever did before. Can you stop it? If you can, will it stay stopped?”

Ford turned it over and over in his mind. He could not stop space travel, no administration could. But could an interdict be placed on whatever planet these oldsters were shipped to? And would it help? One generation, two, three … what difference would it make? Ancient Japan had tried some solution like that; the foreign devils had come sailing in anyhow. Cultures could not be kept apart forever, and when they did come in contact, the hardier displaced the weaker; that was a natural law.

Apermanent and effective quarantine was impossible. That left only one answer-an ugly one. But Ford was toughminded; he could accept what was necessary. He started making plans, Barstow’s presence in the screen forgotten. Once he gave the Chief Provost the location of the Howard Families headquarters it should be reduced in an hour, two at the most unless they had extraordinary defenses-but anywise it was just a matter of time. From those who would be arrested at their headquarters it should be possible to locate and arrest every other member of their group. With luck he would have them all in twenty-four to forty-eight hours.

The only point left undecided in his mind was whether to liquidate them all, or simply to sterilize them. Either would be a final solution and there was no third solution. But which was the more humane?

Ford knew that this would end his career. He would leave office in disgrace, perhaps be sent to Coventry, but he gave it no thought; he was so constituted as to be unable to weigh his own welfare against his concept of his public duty.

Barstow could not read Ford’s mind but he did sense that Ford had reached a decision and he surmised correctly how bad that decision must be for himself and his kin. Now was the time, he decided, to risk his one lone trump.

“Mister Administrator-“

“Eh? Oh, sorry! I was preoccupied.” That was a vast understatement; he was shockingly embarrassed to find himself still facing a man he had just condemned to death. He gathered formality about him like a robe. “Thank you, Zaccur Barstow, for talking with me. I am sorry that-“

“Mister Administrator!”

“Yes?”

“I propose that you move us entirely out of the Solar System.” “What?” Ford blinked. “Are you speaking seriously?”

Barstow spoke rapidly, persuasively, explaining Lazarus Long’s half-conceived scheme, improvising details as he went along, skipping over obstacles and emphasizing advantages.

“It might work,” Ford at last said slowly. “There are difficulties you have not mentioned, political difficulties and a terrible hazard of time. Still, it might.” He stood up. “Go back to your people. Don’t spring this on them yet. I’ll talk with you later.”

Barstow walked back slowly while wondering what he could tell the Members. They would demand a full report; technically he had no right to refuse. But he was strongly inclined to cooperate with the Administrator as long as there was any chance of a favorable outcome. Suddenly making up his mind, he turned, went to his office, and sent for Lazarus.

“Howdy, Zack,” Long said as he came in. “How’d the palaver go?”

“Good and bad,” Barstow replied. “Listen-” He gave him a brief, accurate resume. “Can you go back in there and tell them something that will hold them?” “Mmm … reckon so.”

“Then do it and hurry back here.”

They did not like the stall Lazarus gave them. They did not want to keep quiet and they did not want to adjourn the meeting. “Where is Zaccur?”-“We demand a report!”-“Why all the mystification?”

Lazarus shut them up with a roar. “Listen to me, you damned idiots! Zack’ll talk when he’s ready-don’t joggle his elbow. He knows what he’s doing.” Aman near the back stood up. “I’m going home!”

“Do that,” Lazarus urged sweetly. “Give my love to the proctors.” The man looked startled and sat down.

“Anybody else want to go home?” demanded Lazarus. “Don’t let me stop you. But it’s time you bird-brained dopes realized that you have been outlawed. The only thing that stands between you and the proctors is Zack Barstow’s ability to talk sweet to the Administrator. So do as you like the meeting’s adjourned.”

“Look, Zack,” said Lazarus a few minutes later, “let’s get this straight. Ford is going to use his extraordinary powers to help us glom onto the big ship and make a getaway. Is that right?” “He’s practically committed to it.”

“Hmmm-He’ll have to do this while pretending to the Council that everything he does is just a necessary step in squeezing the ‘secret’ out of us-he’s going to double-cross ‘em. That right?”

“I hadn’t thought that far ahead. I-“ “But that’s true, isn’t it?”

“Well … yes, it must be true.”

“Okay. Now, is our boy Ford bright enough to realize what he is letting himself in for and tough enough to go through with it?”

Barstow reviewed what he knew of Ford and added his impressions from the interview. “Yes,” he decided, “he knows and he’s strong enough to face it.” “All right. Now how about you, pal? Are you up to it, too?” Lazarus’ voice was accusing.

“Me? What do you mean?”

“You’re planning on double-crossing your crowd, too, aren’t you? Have you got the guts to go through with it when the going gets tough?”  “I don’t understand you, Lazarus,” Barstow answered worriedly. “I’m not planning to deceive anyone-at least, no member of the Families.”

“Better look at your cards again,” Lazarus went on remorselessly. “Your part of the deal is to see to it that every man, woman and child takes part in this exodus. Do you expect to sell the idea to each one of them separately and get a hundred thousand people to agree? Unanimously? Shucks, you couldn’t get that many to whistle ‘Yankee Doodle’ unanimously.”

“But they will have to agree,” protested Barstow. “They have no choice. We either emigrate, or they hunt us down and kill us. I’m certain that is what Ford intends to do. And he will.” “Then why didn’t you walk into the meeting and tell ‘em that? Why did you send me in to give ‘em a stall?”

Barstow rubbed a hand across his eyes. “I don’t know.”

“I’ll tell you why,” continued Lazarus. “You think better with your hunches than most men do with the tops of their minds. You sent me in there to tell ‘em a tale because you knew damn well the truth wouldn’t serve. If you told ‘em it was get out or get killed, some would get panicky and some would get stubborn. And some old-woman-in-kilts would decide to go home and stand on his Covenant rights. Then he’d spill the scheme before it ever dawned on him that the government was playing for keeps. That’s right, isn’t it?”

Barstow shrugged and laughed unhappily. “You’re right. I didn’t have it figured out but you’re absolutely right.”

“But you did have it figured out,” Lazarus assured him. “You had the right answers. Zack, I like your hunches; that’s why I’m stringing along. All right, you and Ford are planning to pull a whizzer on every man jack on this globe-I’m asking you again: have you got the guts to see it through?”

Chapter 5

THE MEMBERS STOOD AROUND in groups, fretfully. “I can’t understand it,” the Resident Archivist was saying to a worried circle around her. “The Senior Trustee never interfered in my work before. But he came bursting into my office with that Lazarus Long behind him and ordered me out.”

“What did he say?” asked one of her listeners.

“Well, I said, ‘May I do you a service, Zaccur Barstow? and be said, ‘Yes, you may. Get out and take your girls with you.’ Not a word of ordinary courtesy!”

“Alot you’ve got to complain about,” another voice added gloomily. It was Cecil Hedrick, of the Johnson Family, chief communications engineer. “Lazarus Long paid a call on me, and he was a damned sight less polite.”

“What did he do?”

“He walks into the communication cell and tells me he is going to take over my board-Zaccur’s orders. I told him that nobody could touch my burners but me and my operators, and anyhow, where was his authority? You know what he did? You won’t believe it but he pulled a blaster on me.”

“You don’t mean it!”

“I certainly do. I tell you, that man is dangerous. He ought to go for psycho adjustment. He’s an atavism if I ever saw one.” Lazarus Long’s face stared out of the screen into that of the Administrator. “Got it all canned?” he demanded.

Ford cut the switch on the facsimulator on his desk. “Got it all,” he confirmed.

“Okay,” the image of Lazarus replied. “I’m clearing.” As the screen went blank Ford spoke into his interoffice circuit. “Have the High Chief Provost report to me at once-in corpus.”

The public safety boss showed up as ordered with an expression on his lined face in which annoyance struggled with discipline. He was having the busiest night of his career, yet the Old Man had sent orders to report in the flesh. What the devil were viewphones for, anyway, he thought angrily-and asked himself why he had ever taken up police work. He rebuked his boss by being coldly formal and saluting unnecessarily. “You sent for me, sir.”

Ford ignored it. “Yes, thank you. Here.” He pressed a stud a film spool popped out of the facsimulator. “This is a complete list of the Howard Families. Arrest them.”

“Yes, sir.” The Federation police chief stared at the spool and debated whether or not to ask how it had been obtained-it certainly hadn’t come through his office … did the Old Man have an intelligence service he didn’t even know about?

“It’s alphabetical, but keyed geographically,” the Administrator was saying. “After you put it through sorters, send the-no, bring the original back to me. You can stop the psycho interviews, too,” he added. “Just bring them in and hold them. I’ll give you more instructions later.”

The High Chief Provost decided that this was not a good time to show curiosity. “Yes, sir.” He saluted stiffly and left.

Ford turned back to his desk controls and sent word that he wanted to see the chiefs of the bureaus of land resources and of transportation control. On afterthought he added the chief of the bureau of consumption logistics.

Back in the Families’ Seat a rump session of the trustees was meeting; Barstow was absent. “I don’t like it,” Andrew Weatherall was saying. “I could understand Zaccur deciding to delay reporting to the Members but I had supposed that he simply wanted to talk to us first. I certainly did expect him to consult us. What do you make of it, Philip?”

Philip Hardy chewed his lip. “I don’t know. Zaccur’s got a head on his shoulders … but it certainly seems to me that he should have called us together and advised with us. Has he spoken with you, Justin?”

“No, he has not,” Justin Foote answered frigidly.

“Well, what should we do? We can’t very well call him in and demand an accounting unless we are prepared to oust him from office and if he refuses. I, for one, am reluctant to do that.” They were still discussing it when the proctors arrived.

Lazarus heard the commotion and correctly interpreted it-no feat, since he had information that his brethren lacked. He was aware that he should submit peacefully and conspicuously to arrest-set a good example. But old habits die hard; he postponed the inevitable by ducking into the nearest men’s ‘fresher.

It was a dead end. He glanced at the air duct-no, too small. While thinking he fumbled in his pouch for a cigarette; his hand found a strange object, he pulled it out. It was the brassard he bad “borrowed” from the proctor in Chicago.

When the proctor working point of the mop-squad covering that wing of the Seat stuck his head into that ‘fresher, he found another “proctor” already there. “Nobody in here,” announced Lazarus. “I’ve checked it.”

“How the devil did you get ahead of me?’

“Around your flank. Stoney Island Tunnel and through their air vents.” Lazarus trusted that the real cop would be unaware that there was no Stoney Island Tunnel “Got a cigarette on you?” “Huh? This is no time to catch a smoke.”

“Shucks,” said Lazarus, “my legate is a good mile away.” “Maybe so,” the proctor replied, “but mine is right behind us.”

“So? Well, skip it-I’ve got something to tell him anyhow.” Lazarus started to move past but the proctor did not get out of his way. He was glancing curiously at Lazarus’ kilt. Lazarus had turned it inside out and its blue lining made a fair imitation of a proctor’s service uniform-if not inspected closely.

“What station did you say you were from?” inquired the proctor.

“This one,” answered Lazarus and planted a short jab under the man’s breastbone. Lazarus’ coach in rough-and-tumble had explained to him that a solar plexus blow was harder to dodge than one to the jaw; the coach bad been dead since the roads strike of 1966, his skill lived on.

Lazarus felt more like a cop with a proper uniform kilt and a bandolier of paralysis bombs slung under his left arm. Besides, the proctor’s kilt was a better fit. To the right the passage outside led to the Sanctuary and a dead end; he went to the left by Hobson’s choice although he knew he would run into his unconscious benefactor’s legate. The passage gave into a hall which was crowded with Members herded into a group of proctors. Lazarus ignored his kin and sought out the harassed officer in charge. “Sir,” he reported, saluting smartly, “There’s sort of a hospital back there. You’ll need fifty or sixty stretchers.”

“Don’t bother me, tell your legate. We’ve got our hands full.”

Lazarus almost did not answer; he had caught Mary Sperling’s eye in the crowd-she stared at him and looked away. He caught himself and answered, “Can’t tell him, sir. Not available.” “Well, go on outside and tell the first-aid squad.”

“Yes, sir.” He moved away, swaggering a little, his thumbs hooked in the band of his kilt. He was far down the passage leading to the transbelt tunnel serving the Waukegan outlet when he heard shouts behind him. Two proctors were running to overtake him.

Lazarus stopped in the archway giving into the transbelt tunnel and waited for them. “What’s the trouble?’ he asked easily as they came up.

“The legate—”began one. He got no further; a paralysis bomb tinkled and popped at his feet. He looked surprised as the radiations wiped all expression from his face; his mate fell

across him.

Lazarus waited behind a shoulder of the arch, counted seconds up to fifteen: “Number one jet fire! Number two jet fire! Number three jet fire!”-added a couple to be sure the paralyzing effect had died away. He had cut it finer than he liked. He had not ducked quite fast enough and his left foot tingled from exposure.

He then checked. The two were unconscious, no one else was in sight. He mounted the transbelt. Perhaps they had not been looking for him in his proper person, perhaps no one had given him away. But he did not hang around to find out. One thing he was damn’ well certain of, he told himself, if anybody had squealed on him, it wasn’t Mary Sperling.

It took two more parabombs and a couple of hundred words of pure fiction to get him out into the open air. Once he was there and out of immediate observation the brassard and the remaining bombs went into his pouch and the bandolier ended up behind some bushes; he then looked up a clothing store in Waukegan.

He sat down in a sales booth and dialed the code for kilts. He let cloth designs flicker past in the screen while he ignored the persuasive voice of the catalogue until a pattern showed up which was distinctly unmilitary and not blue, whereupon he stopped the display and punched an order for his size. He noted the price, tore an open-credit voucher from his wallet, stuck it into the machine and pushed the switch. Then he enjoyed a smoke while the tailoring was done.

Ten minutes later he stuffed the proctor’s kilt into the refuse hopper of the sales booth and left, nattily and loudly attired. He had not been in Waukegan the past century but he found a middle-priced autel without drawing attention by asking questions, dialed its registration board for a standard suite and settled down for seven hours of sound sleep.

He breakfasted in his suite, listening with half an ear to the news box; he was interested, in a mild way, in hearing what might be reported concerning the raid on the Families. But it was   a detached interest; he had already detached himself from it in his own mind. It had been a mistake, he now realized, to get back in touch with the Families-a darn good thing he was clear of it all with his present public identity totally free of any connection with the whing-ding.

Aphrase caught his attention: “-including Zaccur Barstow, alleged to be their tribal chief.

“The prisoners are being shipped to a reservation in Oklahoma, near the ruins of the Okla-Orleans road city about twenty-five miles east of Harriman Memorial Park. The Chief Provost describes it as a ‘Little Coventry,’ and has ordered all aircraft to avoid it by ten miles laterally. The Administrator could not be reached for a statement but a usually reliable source inside the administration informs us that the mass arrest was accomplished in order to speed up the investigations whereby the administration expects to obtain the ‘Secret of the Howard Families’-their techniques for indefinitely prolonging life. This forthright action in arresting and transporting every member of the outlaw group is expected to have a salutary effect in breaking down the resistance of their leaders to the legitimate demands of society. It will bring home forcibly to them that the civil rights enjoyed by decent citizens must not be used as a cloak behind which to damage society as a whole.

“The chattels and holdings of the members of this criminal conspiracy have been declared subject to the Conservator General and will be administered by his agents during the imprisonment of-“

Lazarus switched it off. “Damnation!” he thought. “Don’t fret about things you can’t help.” Of course, he had expected to be arrested himself … but he had escaped. That was that. It wouldn’t do the Families any good for him to turn himself in-and besides, he owed the Families nothing, not a tarnation thing.

Anyhow, they were better off all arrested at once and quickly placed under guard. If they had been smelled out one at a time, anything could have happened-lynchings, even pogroms. Lazarus knew from hard experience how close under the skin lay lynch law and mob violence in the most sweetly civilized; that was why he had advised Zack to rig it-that and the fact that Zack and the Administrator had to have the Families in one compact group to stand a chance of carrying out their scheme. They were well off … and no skin off his nose.

But he wondered how Zack was getting along, and what he would think of Lazarus’ disappearance. And what Mary Sperling thought-it must have been a shock to her when he turned up making a noise like a proctor. He wished he could straighten that out with her.

Not that it mattered what any of them thought. They would all either be lightyears away very soon … or dead. Aclosed book.

He turned to the phone and called the post office. “Captain Aaron Sheffield,” he announced, and gave his postal number. “Last registered with Goddard Field post office. Will you please have my mail sent to-” He leaned closer and read the code number from the suite’s mail receptacle.

“Service,” assented the voice of the clerk. “Right away, Captain.” “Thank you.”

It would take a couple of hours, he reflected, for his mail to catch up with him-a half hour in trajectory, three times that in fiddle-faddle. Might as well wait here … no doubt the search for him had lost itself in the distance but there was nothing in Waukegan he wanted. Once the mail showed up he would hire a U-push-it and scoot down to—

To where? What was he going to do now?

He turned several possibilities over in his mind and came at last to the blank realization that there was nothing, from one end of the Solar System to the other, that he really wanted to do.   It scared him a little. He had once heard, and was inclined to credit, that a loss of interest in living marked the true turning point in the battle between anabolisim and catabolism-old age.

He suddenly envied normal shortlived people-at least they could go make nuisances of themselves to their children. Filial affection was not customary among Members of the Families; it

was not a feasible relationship to maintain for a century or more. And friendship, except between Members, was bound to be regarded as a passing and shallow matter. There was no

one whom Lazarus wanted to see.

Wait a minute … who was that planter on Venus? The one who knew so many folk songs and who was so funny when he was drunk? He’d go look him up. It would make a nice hop and  it would be fun, much as he disliked Venus.

Then he recalled with cold shock that he had not seen the man for-how long? In any case, he was certainly dead by now.

Libby had been right, he mused glumly, when he spoke of the necessity for a new type of memory association for the long-lived. He hoped the lad would push ahead with the necessary research and come up with an answer before Lazarus was reduced to counting on his fingers. He dwelt on the notion for a minute or two before recalling that he was most unlikely ever to see Libby again.

The mail arrived and contained nothing of importance. He was not surprised; he expected no personal letters. The spools of advertising went into the refuse chute; he read only one item,  a letter from Pan-Terra Docking Corp. telling him that his convertible cruiser I Spy had finished her overhaul and had been moved to a parking dock, rental to start forthwith. As instructed, they had not touched the ship’s astrogational controls-was that still the Captain’s pleasure?

He decided to pick her up later in the day and head out into space. Anything was better than sitting Earthbound and admitting that he was bored.

Paying his score and finding a jet for hire occupied less than twenty minutes. He took off and headed for Goddard Field, using the low local-traffic level to avoid entering the control pattern with a flight plan. He was not consciously avoiding the police because he had no reason to think that they could be looking for “Captain Sheffield”; it was simply habit, and it would get him to Goddard Field soon enough.

But long before he reached there, while over eastern Kansas, he decided to land and did so.

He picked the field of a town so small as to be unlikely to rate a full-time proctor and there he sought out a phone booth away from the field. Inside it, he hesitated. How did you go about calling up the head man of the entire Federation-and get him? If he simply called Novak Tower and asked for Administrator Ford, he not only would not be put through to him but his call would be switched to the Department of Public Safety for some unwelcome inquiries, sure as taxes.

Well, there was only one way to beat that, and that was to call the Department of Safety himself and, somehow, get the Chief Provost on the screen-after that he would play by ear. “Department of Civil Safety,” a voice answered. “What service, citizen?”

“Service to you,” he began in his best control-bridge voice. “I am Captain Sheffield. Give me the Chief.” He was not overbearing; his manner simply assumed obedience. Short silence— “What is it about, please?”

“I said I was Captain Sheffield.” This time Lazarus’ voice showed restrained annoyance. Another short pause— “I’ll connect you with Chief Deputy’s office,” the voice said doubtfully.

This time the screen came to life. “Yes?” asked the Chief Deputy, looking him over.

“Get me the Chief-hurry.” “What’s it about?”

“Good Lord, man-get me the Chief! I’m Captain Sheffield!”

The Chief Deputy must be excused for connecting him; he had had no sleep and more confusing things had happened in the last twenty-four hours than he had been able to assimilate. When the High Chief Provost appeared in the screen, Lazarus spoke first. “Oh, there you are! I’ve had the damnedest time cutting through your red tape. Get me the Old Man and move! Use your closed circuit.”

“What the devil do you mean? Who are you?”

“Listen, brother,” said Lazarus in tones of slow exasperation, “I would not have routed through your damned hidebound department if I hadn’t been in a jam. Cut me in to the Old Man. This is about the Howard Families.”

The police chief was instantly alert. “Make your report.”

“Look,” said Lazarus in tired tones, “I know you would like to look over the Old Man’s shoulder, but this isn’t a good time to try. If you obstruct me and force me to waste two hours by reporting in corpus, I will. But the Old Man will want to know why and you can bet your pretty parade kit, I’ll tell him.”

The Chief Provost decided to take a chance-cut this character in on a three-way; then, if the Old Man didn’t burn this joker off the screen in about three seconds, he’d know he had played safe and guessed lucky. If he did-well, you could always blame it on a cross-up in communications. He set the combo.

Administrator Ford looked flabbergasted when he recognized Lazarus in the screen. “You?’ he exclaimed. “How on Earth—Did Zaccur Barstow—” “Seal your circuit!” Lazarus cut in.

The Chief Provost blinked as his screen went dead and silent. So the Old Man did have secret agents outside the department … interesting-and not to be forgotten.

Lazarus gave Ford a quick and fairly honest account of how he happened to be at large, then added, “So you see, I could have gone to cover and escaped entirely. In fact I still can. But I want to know this: is the deal with Zaccur Barstow to let us emigrate still on?”

“Yes, it is.”

“Have you figured out how you are going to get a hundred thousand people inboard the New Frontiers without tipping your hand? You can’t trust your own people, you know that.”  “I know. The present situation is a temporary expedient while we work it out.”

“And I’m the man for the job. I’ve got to be, I’m the only agent on the loose that either one of you can afford to trust. Now listen-“

Eight minutes later Ford was nodding his head slowly and saying, “It might work. It might. Anyway, you start your preparations. I’ll have a letter of credit waiting for you at Goddard.” “Can you cover your tracks on that? I can’t flash a letter of credit from the Administrator; people would wonder.”

“Credit me with some intelligence. By the time it reaches you it will appear to be a routine banking transaction.” “Sorry. Now how can I get through to you when I need to?”

“Oh, yes-note this code combination.” Ford recited it slowly. “That puts you through to my desk without relay. No, don’t write it down; memorize it.” “And how can I talk to Zack Barstow?

“Call me and I’ll hook you in. You can’t call him directly unless you can arrange a sensitive circuit.” “Even if I could, I can’t cart a sensitive around with me. Well, cheerio-I’m clearing.”

“Good luck!”

Lazarus left the phone booth with restrained haste and hurried back to reclaim his hired ship. He did not know enough about current police practice to guess whether or not the High Chief Provost had traced the call to the Administrator; he simply took it for granted because he himself would have done so in the Provosts’ shoes. Therefore the nearest available proctor was probably stepping on his heels-time to move, time to mess up the trail a little.

He took off again and headed west, staying in the local, uncontrolled low level until he reached a cloud bank that walled the western horizon. He then swung back and cut air for Kansas City, staying carefully under the speed limit and flying as low as local traffic regulations permitted. At Kansas City he turned his ship in to the local U-push-it agency and flagged a ground taxi, which carried him down the controlway to Joplin. There he boarded a local jet bus from St. Louis without buying a ticket first, thereby insuring that his flight would not be recorded until the bus’s trip records were turned in on the west coast.

Instead of worrying he spent the time making plans.

One hundred thousand people with an average mass of a hundred and fifty-no, make it a hundred and sixty pounds, Lazarus reconsidered-a hundred and sixty each made a load of sixteen million pounds, eight thousand tons. The I Spy could boost such a load against one gravity but she would be as logy as baked beans, It was out of the question anyhow; people did not stow like cargo; the I Spy could lift that dead weight-but “dead” was the word, for that was what they would be.

He needed a transport.

Buying a passenger ship big enough to ferry the Families from Earth up to where the New Frontiers hung in her construction orbit was not difficult; Four Planets Passenger Service would gladly unload such a ship at a fair price. Passenger trade competition being what it was, they were anxious to cut their losses on older ships no longer popular with tourists. But a passenger ship would not do; not only would there be unhealthy curiosity in what he intended to do with such a ship, but-and this settled it-he could not pilot it single-handed. Under the Revised Space PrecautionaryAct, passenger ships were required to be built for human control throughout on the theory that no automatic safety device could replace human judgment in an emergency.

It would have to be a freighter.

Lazarus knew the best place to find one. Despite efforts to make the Moon colony ecologically self-sufficient, Luna City still imported vastly more tonnage than she exported. On Earth this would have resulted in “empties coming back”; in space transport it was sometimes cheaper to let empties accumulate, especially on Luna where an empty freighter was worth more as metal than it had cost originally as a ship back Earthside.

He left the bus when it landed at Goddard City, went to the space field, paid his bills, and took possession of the I Spy, filed a request for earliest available departure for Luna. The slot he was assigned was two days from then, but Lazarus did not let it worry him; he simply went back to the docking company and indicated that he was willing to pay liberally for a swap, in departure time. In twenty minutes he had oral assurance that he could boost for Luna that evening.

He spent the remaining several hours in the maddening red tape of interplanetary clearance. He first picked up the letter of credit Ford had promised him and converted it into cash. Lazarus would have been quite willing to use a chunk of the cash to speed up his processing just as he had paid (quite legally) for a swap in slot with another ship. But he found himself unable to do so. Two centuries of survival had taught him that a bribe must be offered as gently and as indirectly as a gallant suggestion is made to a proud lady; in a very few minutes he came to the glum conclusion that civic virtue and public honesty could be run into the ground-the functionaries at Goddard Field seemed utterly innocent of the very notion of cumshaw, squeeze, or the lubricating effect of money in routine transactions. He admired their incorruptibility; he did not have to like it-most especially when filling out useless forms cost him the time he had intended to devote to a gourmet’s feast in

the Skygate Room.

He even let himself be vaccinated again rather than go back to the I Spy and dig out the piece of paper that showed he had been vaccinated on arrival Earthside a few weeks earlier.

Nevertheless, twenty minutes before his revised slot time, he lay at the controls of the I Spy, his pouch bulging with stamped papers and his stomach not bulging with the sandwich he had managed to grab. He had worked out the “Hohmann’s-S” trajectory he would use; the results had been fed into the autopilot. All the lights on his board were green save the one which would blink green when field control started his count down. He waited in the warm happiness that always filled him when about to boost.

Athought hit him and he raised up against his straps. Then he loosened the chest strap and sat up, reached for his copy of the current Terra Pilot and Traffic Hazards Supplement. Mmm…

New Frontiers hung in a circular orbit of exactly twenty-four hours, keeping always over meridian 106 degrees west at declination zero at a distance from Earth center of approximately twenty-six thousand miles.

Why not pay her a call, scout out the lay of the land?

The I Spy, with tanks topped off and cargo spaces empty, had many mile-seconds of reserve boost. To be sure, the field had cleared him for Luna City, not for the interstellar ship … but, with the Moon in its present phase, the deviation from his approved flight pattern would hardly show on a screen, probably would not be noticed until the film record was analyzed at some later time-at which time Lazarus would receive a traffic citation, perhaps even have his license suspended. But traffic tickets had never worried him … and it was certainly worthwhile to reconnoitre.

He was already setting up the problem in his ballistic calculator. Aside from checking the orbit elements of the New Frontiers in the Terra Pilot Lazarus could have done it in his sleep; satellite-matching maneuvers were old hat for any pilot and a doubly-tangent trajectory for a twenty-four hour orbit was one any student pilot knew by heart.

He fed the answers into his autopilot during the count down, finished with three minutes to spare, strapped himself down again and relaxed as the acceleration hit him. When the ship went into free fall, he checked his position and vector via the field’s transponder. Satisfied, he locked his board, set the alarm for rendezvous, and went to sleep.

Chapter 6

ABOUT FOUR HOURS LATER the alarm woke him. He switched it off; it continued to ring-a glance at his screen showed him why. The Gargantuan cylindrical body of the New Frontiers lay close aboard. He switched off the radar alarm circuit as well and completed matching with her by the seat of his pants, not bothering with the ballistic calculator. Before he had completed the maneuver the communications alarm started beeping. He slapped a switch; the rig hunted frequencies and the vision screen came to life. Aman looked at him. “New Frontiers calling: what ship are you?”

“Private vessel I Spy, Captain Sheffield. My compliments to your commanding officer. May I come onboard to pay a call?”

They were pleased to have visitors. The ship was completed save for inspection, trials, and acceptance; the enormous gang which had constructed her had gone to Earth and there was no one aboard but the representatives of the Jordan Foundation and a half dozen engineers employed by the corporation which had been formed to build the ship for the foundation. These few were bored with inactivity, bored with each other, anxious to quit marking time and get back to the pleasures of Earth; a visitor was a welcome diversion.

When the I Spy’s airlock had been sealed to that of the big ship, Lazarus was met by the engineer in charge-technically “captain” since the New Frontiers was a ship under way even though not under power. He introduced himself and took Lazarus on a tour of the ship. They floated through miles of corridors, visited laboratories, storerooms, libraries containing hundreds of thousands of spools, acres of hydroponic tanks for growing food and replenishing oxygen, and comfortable, spacious, even luxurious quarters for a crew colony of ten thousand people. “We believe that the Vanguard expedition was somewhat undermanned,” the skipper-engineer explained. “The socio-dynamicists calculate that this colony will be able to maintain the basics of our present level of culture.”

“Doesn’t sound like enough,” Lazarus commented. “Aren’t there more than ten thousand types of specialization?”

“Oh, certainly! But the idea is to provide experts in all basic arts and indispensable branches of knowledge. Then, as the colony expands, additional specializations can be added through the aid of the reference libraries-anything from tap-dancing to tapestry weaving. That’s the general idea though it’s out of my line. Interesting subject, no doubt, for those who like it.”

“Are you anxious to get started?” asked Lazarus.

The man looked almost shocked. “Me? D’you mean to suggest that I would go in this thing? My dear sir, I’m an engineer, not a damn’ fool.” “Sorry.”

“Oh, I don’t mind a reasonable amount of spacing when there’s a reason for it-I’ve been to Luna City more times than I can count and I’ve even been to Venus. But you don’t think the man who built the Mayflower sailed in her, do you? For my money the only thing that will keep these people who signed up for it from going crazy before they get there is that it’s a dead cinch they’re all crazy before they start.”

Lazarus changed the subject. They did not dally in the main drive space, nor in the armored cell housing the giant atomic converter, once Lazarus learned that they were unmanned, fully- automatic types. The total absence of moving parts in each of these divisions, made possible by recent developments in parastatics, made their inner workings of intellectual interest only, which could wait. What Lazarus did want to see was the control room, and there he lingered, asking endless questions until his host was plainly bored and remaining only out of politeness.

Lazarus finally shut up, not because he minded imposing on his host but because he was confident that he had learned enough about the controls to be willing to chance conning the ship.

He picked up two other important data before he left the ship: in nine Earth days the skeleton crew was planning a weekend on Earth, following which the acceptance trials would be held. But for three days the big ship would be empty, save possibly for a communications operator-Lazarus was too wary to be inquisitive on this point. But there would be no guard left in her because no need for a guard could be imagined. One might as well guard the Mississippi River.

The other thing he learned was how to enter the ship from the outside without help from the inside; he picked that datum up through watching the mail rocket arrive just as he was about to leave the ship.

At Luna City, Joseph McFee, factor for Diana Terminal Corp., subsidiary of Diana Freight Lines, welcomed Lazarus warmly. “Well! Come in, Cap’n, and pull up a chair. What’ll you drink?” He was already pouring as he talked-tax-free paint remover from his own amateur vacuum still. “Haven’t seen you in … well, too long. Where d’you raise from last and what’s the gossip there? Heard any new ones?”

“From Goddard,” Lazarus answered and told him what the skipper had said to the V.I.P. McFee answered with the one about the old maid in free fall, which Lazarus pretended not to have heard. Stories led to politics, and McFee expounded his notion of the “only possible solution” to the European questions, a solution predicated on a complicated theory of McFee’s as to why the Covenant could not be extended to any culture below a certain level of industrialization. Lazarus did not give a hoot either way but he knew better than to hurry McFee; he nodded at the right places, accepted more of the condemned rocket juice when offered, and waited for the right moment to come to the point.

“Any company ships for sale now, Joe?”

“Are there? I should hope to shout. I’ve got more steel sitting out on that plain and cluttering my inventory than I’ve had in ten years. Looking for some? I can make you a sweet price.” “Maybe. Maybe not. Depends on whether you’ve got what I want.”

“You name it, I’ve got it. Never saw such a dull market. Some days you can’t turn an honest credit.” McFee frowned. “You know what the trouble is? Well, I’ll tell you-it’s this Howard Families commotion. Nobody wants to risk any money until he knows where he stands. How can a man make plans when he doesn’t know whether to plan for ten years or a hundred? You mark my words: if the administration manages to sweat the secret loose from those babies, you’ll see the biggest boom in long-term investments ever. But if not well, long-term holdings won’t be worth a peso a dozen and there will be an eat-drink-and-be-merry craze that will make the Reconstruction look like a tea party.”

He frowned again. “What kind of metal you looking for?” “I don’t want metal, I want a ship.”

McFee’s frown disappeared, his eyebrows shot up. “So? What sort?” “Can’t say exactly. Got time to look ‘em over with me?”

They suited up and left the dome by North Tunnel, then strolled around grounded ships in the long, easy strides of low gravity. Lazarus soon saw that just two ships had both the lift and the air space needed. One was a tanker and the better buy, but a mental calculation showed him that it lacked deck space, even including the floor plates of the tanks, to accommodate eight thousand tons of passengers. The other was an older ship with cranky piston-type injection meters, but she was fitted for general merchandise and had enough deck space. Her pay load was higher than necessary for the job, since passengers weigh little for the cubage they clutter-but that would make her lively, which might be critically important.

As for the injectors, he could baby them-he had herded worse junk than this.

Lazarus haggled with McFee over terms, not because he wanted to save money but because failure to do so would have been out of character. They finally reached a complicated three- cornered deal in which McFee bought the I Spy for himself, Lazarus delivered clear title to it unmortgaged and accepted McFee’s unsecured note in payment, then purchased the freighter by endorsing McFee’s note back to him and adding cash. McFee in turn would be able to mortgage the I Spy at the Commerce Clearance Bank in Luna City, use the proceeds plus cash or credit of his own to redeem his own paper-presumably before his accounts were audited, though Lazarus did not mention that.

It was not quite a bribe. Lazarus merely made use of the fact that McFee had long wanted a ship of his own and regarded the I Spy as the ideal bachelor’s go-buggy for business or pleasure; Lazarus simply held the price down to where McFee could swing the deal. But the arrangements made certain that McFee would not gossip about the deal, at least until he had had time to redeem his note. Lazarus further confused the issue by asking McFee to keep his eyes open for a good buy in trade tobacco … which made McFee sure that Captain Sheffield’s mysterious new venture involved Venus, that being the only major market for such goods. Lazarus got the freighter ready for space in only four days through lavish bonuses and overtime payments. At last he dropped Luna City behind him, owner and master of the City of Chillicothe. He shortened the name in his mind to Chili in honor of a favorite dish he had not tasted in a long time-fat red beans, plenty of chili powder, chunks of meat . .

. real meat, not the synthetic pap these youngsters called “meat.” He thought about it and his mouth watered. He had not a care in the world.

As he approached Earth, he called traffic control and asked for a parking orbit, as he did not wish to put the Chili down; it would waste fuel and attract attention. He had no scruples about orbiting without permission but there was a chance that the Chili might be spotted, charted, and investigated as a derelict during his absence; it was safer to be legal.

They gave him an orbit; he matched in and steadied down, then set the Chili’s identification beacon to his own combination, made sure that the radar of the ship’s gig could trip it, and took the gig down to the auxiliary small-craft field at Goddard. He was careful to have all necessary papers with him this time; by letting the gig be sealed in bond he avoided customs and was cleared through the space port quickly. He had no destination in mind other than to find a public phone and check in with Zack and Ford-then, if there was time, try to find some real chili. He had not called the Administrator from space because ship-to-ground required relay, and the custom of privacy certainly would not protect them if the mixer who handled the call overheard a mention of the Howard Families.

The Administrator answered his call at once, although it was late at night in the longitude of Novak Tower. From the puffy circles under Ford’s eyes Lazarus judged that he had been living at his desk. “Hi,” said Lazarus, “better get Zack Barstow on a three-way. I’ve got things to report.”

“So it’s you,” Ford said grimly. “I thought you had run out on us. Where have you been?” “Buying a ship,” Lazarus answered. “As you knew. Let’s get Barstow.”

Ford frowned, but turned to his desk. By split screen, Barstow joined them. He seemed surprised to see Lazarus and not altogether relieved. Lazarus spoke quickly: “What’s the matter, pal? Didn’t Ford tell you what I was up to?”

“Yes, he did,” admitted Barstow, “but we didn’t know where you were or what you were doing. Time dragged on and you didn’t check in … so we decided we had seen the last of you.”

“Shucks,” complained Lazarus, “you know I wouldn’t ever do anything like that. Anyhow, here I am and here’s what I’ve done so far-” He told them of the Chili and of his reconnaissance of the New Frontiers. “Now here’s how I see it: sometime this weekend, while the New Frontiers is sitting out there with nobody inboard her, I set the Chili down in the prison reservation, we load up in a hurry, rush out to the New Frontiers, grab her, and scoot. Mr. Administrator, that calls for a lot of help from you. Your proctors will have to look the other way while I land and load. Then we need to sort of slide past the traffic patrol. After that it would be a whole lot better if no naval craft was in a position to do anything drastic about the New Frontiers-if there is   a communication watch left in her, they may be able to holler for help before we can silence them.”

“Give me credit for some foresight,” Ford answered sourly. “I know you will have to have a diversion to stand any chance of getting away with it. The scheme is fantastic at the best.” “Not too fantastic,” Lazarus disagreed, “if you are willing to use your emergency powers to the limit at the last minute.”

“Possibly. But we can’t wait four days.” “Why not?’ “The situation won’t hold together that long.” “Neither will mine,” put in Barstow.

Lazarus looked from one to the other. “Huh? What’s the trouble? What’s up?” They explained:

Ford and Barstow were engaged in a preposterously improbable task, that of putting over a complex and subtle fraud; a triple fraud with a different face for the Families, for the public, and for the Federation Council. Each aspect presented unique and apparently insurmountable difficulties.

Ford had no one whom he dared take into his confidence, for even his most trusted personal staff member might be infected with the mania of the delusional Fountain of Youth … or might not be, but there was no way to know without compromising the conspiracy. Despite this, he had to convince the Council that the measures he was taking were the best for achieving the Council’s purpose.

Besides that, he had to hand out daily news releases to convince the citizens that their government was just about to gain for them the “secret” of living forever. Each day the statements had to be more detailed, the lies more tricky. The people were getting restless at the delay; they were sloughing off the coat of civilization, becoming mob.

The Council was feeling the pressure of the people. Twice Ford had been forced to a vote of confidence; the second he had won by only two votes. “I won’t win another one-we’ve got to move.”

Barstow’s troubles were different but just as sticky. He had to have confederates, because his job was to prepare all the hundred thousand members for the exodus. They had to know, before the time came to embark, if they were to leave quietly and quickly. Nevertheless he did not dare tell them the truth too soon because among so many people there were bound to be some who were stupid and stubborn … and it required just one fool to wreck the scheme by spilling it to the proctors guarding them.

Instead he was forced to try to find leaders who he could trust, convince them, and depend on them to convince others. He needed almost a thousand dependable “herdsmen” to be sure of getting his people to follow him when the time came. Yet the very number of confederates he needed was so great as to make certain that somebody would prove weak.

Worse than that, he needed other confederates for a still touchier purpose. Ford and he had agreed on a scheme, weak at best, for gaining time. They were doling out the techniques used by the Families in delaying the symptoms of senility under the pretense that the sum total of these techniques was the “secret.” To put over this fraud Barstow had to have the help  of the biochemists, gland therapists, specialists in symbiotics and in metabolism, and other experts among the Families, and these in turn had to be prepared for police interrogation by the Families’ most skilled psychotechnicians … because they had to be able to put over the fraud even under the influence of babble drugs. The hypnotic false indoctrination required for this was enormously more complex than that necessary for a simple block against talking. Thus far the swindle had worked … fairly well. But the discrepancies became more hard to explain each day.

Barstow could not keep these matters juggled much longer. The great mass of the Families, necessarily kept in ignorance, were getting out of hand even faster than the public outside. They were rightfully angry at what had been done to them; they expected anyone in authority to do something about it-and do it now!

Barstow’s influence over his kin was melting away as fast as that of Ford over the Council.

“It can’t be four days,” repeated Ford. “More like twelve hours … twenty-four at the outside. The Council meets again tomorrow afternoon.” Barstow looked worried. “I’m not sure I can prepare them in so short a time. I may have trouble getting them aboard.”

“Don’t worry about it,” Ford snapped. “Why not?”

“Because,” Ford said bluntly, “any who stay behind will be dead-if they’re lucky.”

Barstow said nothing and looked away. It was the first time that either one of them had admitted explicitly that this was no relatively harmless piece of political chicanery but a desperate and nearly hopeless attempt to avoid a massacre and that Ford himself was on both sides of the fence.

“Well,” Lazarus broke in briskly, “now that you boys have settled that, let’s get on with it. I can ground the Chili in-” He stopped and estimated quickly where she would be in orbit, how long  it would take him to rendezvous. “-well, by twenty-two Greenwich. Add an hour to play safe. How about seventeen o’clock Oklahoma time tomorrow afternoon? That’s today, actually.”

The other two seemed relieved. “Good enough,” agreed Barstow. “I’ll have them in the best shape I can manage.”

“All right,” agreed Ford, “if that’s the fastest it can be done.” He thought for a moment. “Barstow, I’ll withdraw at once all proctors and government personnel now inside the reservation barrier and shut you off. Once the gate contracts, you can tell them all.”

“Right. I’ll do my best.”

“Anything else before we clear?” asked Lazarus. “Oh, yes-Zack, we’d better pick a place for me to land, or I may shorten a lot of lives with my blast.” “Uh, yes. Make your approach from the west. I’ll rig a standard berth marker. Okay?”

“Okay.”

“Not okay,” denied Ford. “We’ll have to give him a pilot beam to come in on.”

“Nonsense,” objected Lazarus. “I could set her down on top of the Washington Monument.” “Not this time, you couldn’t. Don’t be surprised at the weather.”

As Lazarus approached his rendezvous with the Chili he signaled from the gig; the Chili’s transponder echoed, to his relief-he had little faith in gear he had not personally overhauled and a long search for the Chili at this point would have been disastrous.

He figured the relative vector, gunned the gig, flipped, and gunned to brake-homed-in three minutes off estimate, feeling smug. He cradled the gig, hurried inside, and took her down. Entering the stratosphere and circling two-thirds of the globe took no longer than he had estimated. He used part of the hour’s leeway he had allowed himself by being very stingy in his

maneuvers in order to spare the worn, obsolescent injection meters. Then he was down in the troposphere and making his approach, with skin temperatures high but not dangerously

so. Presently he realized what Ford had meant about the weather. Oklahoma and half of Texas were covered with deep, thick clouds. Lazarus was amazed and somehow pleased; it

reminded him of other days, when weather was something experienced rather than controlled. Life had lost some flavor, in his opinion, when the weather engineers had learned how to

harness the elements. He hoped that their planet-if they found one!-would have some nice, lively weather.

Then he was down in it and too busy to meditate. In spite of her size the freighter bucked and complained. Whew! Ford must have ordered this little charivari the minute the time was set- and, at that, the integrators must have had a big low-pressure area close at hand to build on.

Somewhere a pattern controlman was shouting at him; he switched it off and gave all his attention to his approach radar and the ghostly images in the infra-red rectifier while comparing what they told him with his inertial tracker. The ship passed over a miles-wide scar on the landscape-the ruins of the Okla-Orleans Road City. When Lazarus had last seen it, it had been noisy with life. Of all the mechanical monstrosities the human race had saddled themselves with, he mused, those dinosaurs easily took first prize.

Then the thought was cut short by a squeal from his board; the ship had picked up the pilot beam.

He wheeled her in, cut his last jet as she scraped, and slapped a series of switches; the great cargo ports rumbled open and rain beat in.

Eleanor Johnson huddled into herself, half crouching against the storm, and tried to draw her cloak more tightly about the baby in the crook of her left arm. When the storm had first hit, the child had cried endlessly, stretching her nerves taut. Now it was quiet, but that seemed only new cause for alarm.

She herself had wept, although she had tried not to show it. In all her twenty-seven years she had never been exposed to weather like this; it seemed symbolic of the storm that had overturned her life, swept her away from her cherished first home of her own with its homey oldfashioned fireplace, its shiny service cell, its thermostat which she could set to the temperature she liked without consulting others-a tempest which had swept her away between two grim proctors, arrested like some poor psychotic, and landed her after terrifying indignities here in the cold sticky red clay of this Oklahoma field.

Was it true? Could it possibly be true? Or had she not yet borne her baby at all and this was another of the strange dreams she had while carrying it?

But the rain was too wetly cold, the thunder too loud; she could never have slept through such a dream. Then what the Senior Trustee had told them must be true, too-it had to be true; she had seen the ship ground with her own eyes, its blast bright against the black of the storm. She could no longer see it but the crowd around her moved slowly forward; it must in front of her. She was close to the outskirts of the crowd she would be one of the last to get aboard.

It was very necessary to board the ship-Elder Zaccur Barstow had told them with deep solemnness what lay in store for them if they failed to board. She had believed earnestness; nevertheless she wondered how it could possibly be true-could anyone be so wicked, so deeply and terribly wicked as to want to kill anyone as harmless and helpless as herself and her baby?

She was struck by panic terror-suppose there was no room left by the time she got up to the ship? She clutched her baby more tightly; the child cried again at the pressure. Awoman in the crowd moved closer and spoke to her “You must be tired. May I carry the baby for a while?”

“No. No, thank you. I’m all right.” Aflash of lightning showed the woman’s face; Eleanor Johnson recognized her Elder Mary Sperling.

But the kindness of the offer steadied her. She knew now what she must do. If they were filled up and could take no more, she must pass her baby forward, hand to hand over the heads of the crowd. They could not refuse space to anything as little as her baby.

Something brushed her in the dark. The crowd was moving forward again.

When Barstow could see that loading would be finished in a few more minutes he left his post at one of the cargo doors and ran as fast as he could through the splashing sticky mud to the communications shack. Ford had warned him to give notice just before they raised ship; it was necessary to Ford’s plan for diversion. Barstow fumbled with an awkward un-powered door, swung it open and rushed up. He set the private combination which should connect him directly to Ford’s control desk and pushed the key.

He was answered at once but it was not Ford’s face on the screen. Barstow burst out with, “Where is the Administrator? I want to talk with him,” before he recognized the face in front of him.

It was a face well known to all the public-Bork Vanning, Leader of the Minority in the Council. “You’re talking to the Administrator,” Vanning said and grinned coldly. “The new Administrator. Now who the devil are you and why are you calling?”

Barstow thanked all gods, past and present, that recognition was onesided. He cut the connection with one unaimed blow and plunged out of the building.

Two cargo ports were already closed; stragglers were moving through the other two. Barstow hurried the last of them inside with curses and followed them, slammed pell-mell to the control room. “Raise ship!” he shouted to Lazarus. “Fast!”

“What’s all the shoutin’ fer?” asked Lazarus, but he was already closing and sealing the ports. He tripped the acceleration screamer, waited a scant ten seconds … and gave her power. “Well,” he said conversationally six minutes later, “I hope everybody was lying down. If not, we’ve got some broken bones on our hands. What’s that you were saying?”

Barstow told him about his attempt to report to Ford.

Lazarus blinked and whistled a few bars of Turkey in the Straw. “It looks like we’ve run out of minutes. It does look like it.” He shut up and gave his attention to his instruments, one eye on his ballistic track, one on radar-aft.

Chapter 7

LAZARUS HAD his hands full to jockey the Chili into just the right position against the side of the New Frontiers; the overstrained meters made the smaller craft skittish as a young horse. But he did it. The magnetic anchors clanged home; the gas-tight seals slapped into place; and their ears popped as the pressure in the Chili adjusted to that in the giant ship. Lazarus dived for the drop hole in the deck of the control room, pulled himself rapidly hand over hand to the port of contact, and reached the passenger lock of the New Frontiers to find himself facing the skipper-engineer.

The man looked at him and snorted. “You again, eh? Why the deuce didn’t you answer our challenge? You can’t lock onto us without permission; this is private property. What do you mean by it?”

“It means,” said Lazarus, “that you and your boys are going back to Earth a few days early-in this ship.” “Why, that’s ridiculous!”

“Brother,” Lazarus said gently, his blaster suddenly growing out his left fist, “I’d sure hate to hurt you after you were so nice to me … but I sure will, unless you knuckle under awful quick.”

The official simply stared unbelievingly. Several of his juniors had gathered behind him; one of them sunfished in the air, started to leave. Lazarus winged him in the leg, at low power; he jerked and clutched at nothing. “Now you’ll have to take care of him,” Lazarus observed.

That settled it. The skipper called together his men from the announcing system microphone at the passenger lock; Lazarus counted them as they arrived-twenty-nine, a figure he had been careful to learn on his first visit. He assigned two men to hold each of them. Then he took a look at the man he had shot.

“You aren’t really hurt, bub,” he decided shortly and turned to the skipper-engineer. “Soon as we transfer you, get some radiation salve on that burn. The Red Cross kit’s on the after bulkhead of the control room.”

“This is piracy! You can’t get away with this.”

“Probably not,” Lazarus agreed thoughtfully. “But I sort of hope we do.” He turned his attention back to his job. “Shake it up there! Don’t take all day.”

The Chili was slowly being emptied. Only the one exit could be used but the pressure of the half hysterical mob behind them forced along those in the bottleneck of the trunk joining the two ships; they came boiling out like bees from a disturbed hive.

Most of them had never been in free fall before this trip; they burst out into the larger space of the giant ship and drifted helplessly, completely disoriented. Lazarus tried to bring order into  it by grabbing anyone he could see who seemed to be able to handle himself in zero gravity, ordered him to speed things up by shoving along the helpless ones-shove them anywhere, on back into the big ship, get them out of the way, make room for the thousands more yet to come. When he had conscripted a dozen or so such herdsmen he spotted Barstow in the emerging throng, grabbed him and put him in charge. “Keep ‘em moving, just anyhow. I’ve got to get for’ard to the control room. If you spot Andy Libby, send him after me.”

Aman broke loose, from the stream and approached Barstow. “There’s a ship trying to lock onto ours. I saw it through a port.” “Where?” demanded Lazarus.

The man was handicapped by slight knowledge of ships and shipboard terms, but he managed to make himself understood. “I’ll be back,” Lazarus told Barstow. “Keep ‘em moving-and don’t let any of those babies get away-our guests there.” He holstered his blaster and fought his way back through the swirling mob in the bottleneck.

Number three port seemed to be the one the man had meant. Yes, there was something there. The port had an armor-glass bull’s-eye in it, but instead of stars beyond Lazarus saw a lighted space. Aship of some sort had locked against it.

Its occupants either had not tried to open the Chili’s port or just possibly did not know how. The port was not locked from the inside; there had been no reason to bother. It should have opened easily from either side once pressure was balanced … which the tell-tale, shining green by the latch, showed to be the case.

Lazarus was mystified.

Whether it was a traffic control vessel, a Naval craft, or something else, its presence was bad news. But why didn’t, they simply open the door and walk in? He was tempted to lock the port from the inside, hurry and lock all the others, finish loading and try to run for it.

But his monkey ancestry got the better of him; he could not leave alone something he did not understand. So he compromised by kicking the blind latch into place that would keep them from opening the port from outside, then slithered cautiously alongside the bull’s-eye and sneaked a peep with one eye.

He found himself staring at Slayton Ford.

He pulled himself to one side, kicked the blind latch open, pressed the switch to open the port. He waited there, a toe caught in a handihold, blaster in one hand, knife in the other.

One figure emerged. Lazarus saw that it was Ford, pressed the switch again to close the port, kicked the blind latch into place, while never taking his blaster off his visitor. “Now what the hell?” he demanded. “What are you doing here? And who else is here? Patrol?”

“I’m alone.”

“Huh?”

“I want to go with you … if you’ll have me.”

Lazarus looked at him and did not answer. Then he went back to the bull’s-eye and inspected all that he could see. Ford appeared to be telling the truth, for no one else was in sight. But that was not what held Lazarus’ eye.

Why the ship wasn’t a proper deep-space craft at all. It did not have an air1ock but merely a seal to let it fasten to a larger ship; Lazarus was staring right into the body of the craft. It looked like-yes, it was a “Joy-boat Junior,” a little private strato-yacht, suitable only for point-to-point trajectory, or at the most for rendezvous with a satellite provided the satellite could refuel it for the return leg.

There was no fuel for it here. Alightning pilot possibly could land that tin toy without power and still walk away from it provided he had the skill to play Skip-to-M’Lou in and out of the atmosphere while nursing his skin temperatures-but Lazarus wouldn’t want to try it. No, sir! He turned to Ford. “Suppose we turned you down. How did you figure on getting back?”

“I didn’t figure on it,” Ford answered simply.

“Mmm— Tell me about it, but make it march; we’re minus on minutes.”

Ford had burned all bridges. Turned out of office only hours earlier, he had known that, once all the facts came out, life-long imprisonment in Coventry was the best he could hope for-if he managed to avoid mob violence or mindshattering interrogation.

Arranging the diversion was the thing that finally lost him his thin margin of control. His explanations for his actions were not convincing to the Council. He had excused the storm and the withdrawing of proctors from the reservation as a drastic attempt to break the morale of the Families-a possible excuse but not too plausible. His orders to Naval craft, intended to keep them away from the New Frontiers, had apparently not been associated in anyone’s mind with the Howard Families affair; nevertheless the apparent lack of sound reason behind them had been seized on by the opposition as another weapon to bring him down. They were watching for anything to catch him out-one question asked in Council concerned certain monies from the Administrator’s discretionary fund which had been paid indirectly to one Captain Aaron Sheffield; were these monies in fact expended in the public interest?

Lazarus’ eyes widened. “You mean they were onto me?”

“Not quite. Or you wouldn’t be here. But they were close behind you. I think they must have had help from a lot of my people at the last.”

“Probably. But we made it, so let’s not fret. Come on. The minute everybody is out of this ship and into the big girl, we’ve got to boost.” Lazarus turned to leave.

“You’re going to let me go along?”

Lazarus checked his progress, twisted to face Ford. “How else?” He had intended at first to send Ford down in the Chili. It was not gratitude that changed his mind, but respect. Once he had lost office Ford had gone straight to Huxley Field north of Novak Tower, cleared for the vacation satellite Monte Carlo, and had jumped for the New Frontiers instead. Lazarus liked that. “Go for broke” took courage and character that most people didn’t have. Don’t grab a toothbrush, don’t wind the cat-just do it! “Of course you’re coming along,” he said easily: “You’re my kind of boy, Slayton.”

The Chili was more than half emptied now but the spaces near the interchange were still jammed with frantic mobs. Lazarus cuffed and shoved his way through, trying not to bruise women and children unnecessarily but not letting the possibility slow him up. He scrambled through the connecting trunk with Ford hanging onto his belt, pulled aside once they were through and paused in front of Barstow.

Barstow stared past him. “Yeah, it’s him,” Lazarus confirmed. “Don’t stare-it’s rude. He’s going with us. Have you seen Libby?”

“Here I am, Lazarus.” Libby separated himself from the throng and approached with the ease of a veteran long used to free fall. He had a small satchel strapped to one wrist. “Good. Stick around. Zack, how long till you’re all loaded?”

“God knows. I can’t count them. An hour, maybe.”

“Make it less. If you put some husky boys on each side of the hole, they can snatch them through faster than they are coming. We’ve got to shove out of here a little sooner than is humanly possible. I’m going to the control room. Phone me there the instant you have everybody in, our guests here out, and the Chili broken loose. Andy! Slayton! Let’s go.”

“Later, Andy. We’ll talk when we get there?’

Lazarus took Slayton Ford with him because he did not know what else to do with him and felt it would be better to keep him out of sight until some plausible excuse could be dreamed up for having him along. So far no one seemed to have looked at him twice, but once they quieted down, Ford’s well-known face would demand explanation.

The control room was about a half mile forward of where they had entered the ship. Lazarus knew that there was a passenger belt leading to it but he didn’t have time to look for it; he simply took the first passageway leading forward. As soon as they got away from the crowd they made good time even though Ford was not as skilled in the fishlike maneuvers of free fall as were the other two.

Once there, Lazarus spent the enforced wait in explaining to Libby the extremely ingenious but unorthodox controls of the starship. Libby was fascinated and soon was putting himself through dummy runs. Lazarus turned to Ford. “How about you, Slayton? Wouldn’t hurt to have a second relief pilot.”

Ford shook his head. “I’ve been listening but I could never learn it. I’m not a pilot” “Huh? How did you get here?”

“Oh. I do have a license, but I haven’t had time to keep in practice. My chauffeur always pilots me. I haven’t figured a trajectory in many years.” Lazarus looked him over. “And yet you plotted an orbit rendezvous? With no reserve fuel?”

“Oh, that. I had to.”

“I see. The way the cat learned to swim. Well, that’s one way.” He turned back to speak to Libby, was interrupted by Barstow’s voice over the announcing system: “Five minutes, Lazarus! Acknowledge.”

Lazarus found the microphone, covered the light under it with his hand and answered, “Okay, Zack! Five minutes.” Then he said, “Cripes, I haven’t even picked a course. What do you think, Andy? Straight out from Earth to shake the busies off our tail? Then pick a destination? How about it, Slayton? Does that fit with what you ordered Navy craft to do? “No, Lazarus, no!” protested Libby. “Huh? Why not?”

“You should head right straight down for the Sun.” “For the Sun? For Pete’s sake, why?”

“I tried to tell you when I first saw you. It’s because of the space drive you asked me to develop.” “But, Andy, we haven’t got it.”

“Yes, we have. Here.” Libby shoved the satchel he had been carrying toward Lazarus. Lazarus opened it.

Assembled from odd bits of other equipment, looking more like the product of a boy’s workshop than the output of a scientist’s laboratory, the gadget which Libby referred to as a “space drive” underwent Lazarus’ critical examination. Against the polished sophisticated perfection of the control room it looked uncouth, pathetic, ridiculously inadequate.

Lazarus poked at it tentatively. “What is it?’ he asked. “Your model?” “No, no. That’s it. That’s the space drive.”

Lazarus looked at the younger man not unsympathetically. “Son,” he asked slowly, “have you come unzipped?”

“No, no, no!” Libby sputtered. “I’m as sane as you are. This is a radically new notion. That’s why I want you to take us down near the Sun. If it works at all, it will work best where light pressure is strongest.”

“And if it doesn’t work,” inquired Lazarus, “what does that make us? Sunspots?”

“Not straight down into the Sun. But head for it now and as soon as I can work out the data, I’ll give you corrections to warp you into your proper trajectory. I want to pass the Sun in a very fiat hyperbola, well inside the orbit of Mercury, as close to the photosphere as this ship can stand. I don’t know how close that is, so I couldn’t work it out ahead of time. But the data will be here in the ship and there will be time to correlate them as we go.”

Lazarus looked again at the giddy little cat’s cradle of apparatus. “Andy … if you are sure that the gears in your head are still meshed, I’ll take a chance. Strap down, both of you.” He belted himself into the pilot’s couch and called Barstow. “How about it, Zack?” “Right now!”

“Hang on tight!” With one hand Lazarus covered a light in his leftside control panel; acceleration warning shrieked throughout the ship. With the other he covered another; the hemisphere in front of them was suddenly spangled with the starry firmament, and Ford gasped.

Lazarus studied it. Afull twenty degrees of it was blanked out by the dark circle of the nightside of Earth. “Got to duck around a corner, Andy. We’ll use a little Tennessee windage.” He started easily with a quarter gravity, just enough to shake up his passengers and make them cautious, while he started a slow operation of precessing the enormous ship to the direction he needed to shove her in order to get out of Earth’s shadow. He raised acceleration to a half gee, then to a gee.

Earth changed suddenly from a black silhouette to a slender silver crescent as the half-degree white disc of the Sun came out from behind her. “I want to clip her about a thousand miles out, Slipstick,” Lazarus said tensely, “at two gees. Gimme a temporary vector.” Libby hesitated only momentarily and gave it to him. Lazarus again sounded acceleration warning and boosted to twice Earth-normal gravity. Lazarus was tempted to raise the boost to emergency-full but he dared not do so with a shipload of groundlubbers; even two gees sustained for a long period might be too much of a strain for some of them. Any Naval pursuit craft ordered to intercept them could boost at much higher gee and their selected crews could stand it. But it was just a chance they would have to take … and anyhow, he reminded himself, a Navy ship could not maintain a high boost for long; her mile-seconds were strictly limited by her reaction-mass tanks.

The New Frontiers had no such oldfashioned limits, no tanks; her converter accepted any mass at all, turned it into pure radiant energy. Anything would serve-meteors, cosmic dust, stray atoms gathered in by her sweep field, or anything from the ship herself, such as garbage, dead bodies, deck sweepings, anything at all. Mass was energy. In dying, each tortured gram gave up nine hundred million trillion ergs of thrust. The crescent of Earth waxed and swelled and slid off toward the left edge of the hemispherical screen while the Sun remained dead

ahead. Alittle more than twenty minutes later, when they were at closest approach and the crescent, now at half phase, was sliding out of the bowl screen, the ship-to-ship circuit came to life. “New Frontiers!” a forceful voice sounded. “Maneuver to orbit and lay to! This is an official traffic control order.”

Lazarus shut it off. “Anyhow,” he said cheerfully, “if they try to catch us, they won’t like chasing us down into the Sun! Andy, it’s a clear road now and time we corrected, maybe; You want to compute it? Or will you feed me the data?”

“I’ll compute it,” Libby answered. He had already discovered that the ship’s characteristics pertinent to astrogation, including her “black body” behavior, were available at both piloting stations. Armed with this and with the running data from instruments he set out to calculate the hyperboloid by which he intended to pass the Sun. He made a half-hearted attempt to use the ship’s ballistic calculator but it baffled him; it was a design he was not used to, having no moving parts of any sort, even in the exterior controls. So he gave it up as a waste of time and fell back on the strange talent for figures lodged in his brain. His brain had no moving parts, either, but he was used to it.

Lazarus decided to check on their popularity rating. He switched on the ship-to-ship again, found that it was still angrily squawking, although a little more faintly. They knew his own name now-one of his names-which caused him to decide that the boys in the Chili must have called traffic control almost at once. He tut-tutted sadly when he learned that “Captain Sheffield’s” license to pilot had been suspended. He shut it off and tried the Naval frequencies … then shut them off also when he was able to raise nothing but code and scramble, except that the words “New Frontiers” came through once in clear.

He said something about “sticks and stones may break my bones-” and tried another line of investigation. Both by long-range radar and by paragravitic detector he could tell that there were ships in their neighborhood but this alone told him very little; there were bound to be ships this close to Earth and he had no easy way to distinguish, from these data alone, an unarmed liner or freighter about her lawful occasions from a Naval cruiser in angry pursuit.

But the New Frontiers had more resources for analyzing what was around her than had an ordinary ship; she had been specially equipped to cope unassisted with any imaginable strange conditions. The hemispherical control room in which they lay was an enormous multi-screened television receiver which could duplicate the starry heavens either in view-aft or view-forward at the selection of the pilot. But it also had other circuits, much more subtle; simultaneously or separately it could act as an enormous radar screen as well, displaying on it the blips of any body within radar range.

But that was just a starter. Its inhuman senses could apply differential analysis to doppler data and display the result in a visual analog. Lazarus studied his lefthand control bank, tried to remember everything be had been told about it, made a change in the set up.

The simulated stars and even the Sun faded to dimness; about a dozen lights shined brightly.

He ordered the board to check them for angular rate; the bright lights turned cherry red, became little comets trailing off to pink tails-all but one, which remained white and grew no tail. He studied the others for a moment, decided that their vectors were such that they would remain forever strangers, and ordered the board to check the line-of-sight doppler on the one with a steady bearing.

It faded to violet, ran halfway through the spectrum and held steady at blue-green. Lazarus thought a moment, subtracted from the inquiry their own two gees of boost; it turned white again. Satisfied he tried the same tests with view-aft.

“Lazarus-“ “Yeah, Lib?”

“Will it interfere with what you are doing if I give you the corrections now?”

“Not at all. I was just taking a look-see. If this magic lantern knows what it’s talking about, they didn’t manage to get a pursuit job on our tail in time.” “Good. Well, here are the figures …”

“Feed ‘em in yourself, will you? Take the conn for a while. I want to see about some coffee and sandwiches. How about you? Feel like some breakfast?”

Libby nodded absent-mindedly, already starting to revise the ship’s trajectory. Ford spoke up eagerly, the first word he had uttered in a long, time. “Let me get it. I’d be glad to.” He seemed pathetically anxious to be useful.

“Mmm … you might get into some kind of trouble, Slayton. No matter what sort of a selling job Zack did, your name is probably ‘Mud’ with most of the members. I’ll phone aft and raise somebody.”

“Probably nobody would recognize me under these circumstances,” Ford argued. “Anyway, it’s a legitimate errand-I can explain that.” Lazarus saw from his face that it was necessary to the man’s morale. “Okay … if you can handle yourself under two gees.”

Ford struggled heavily up out of the acceleration couch he was in. “I’ve got space legs. What kind of sandwiches?”

“I’d say corned beef, but it would probably be some damned substitute. Make mine cheese, with rye if they’ve got it, and use plenty of mustard. And a gallon of coffee. What are you having, Andy?”

“Me? Oh, anything that is convenient,”

Ford started to leave, bracing himself heavily against double weight, then he added, “Oh-it might save time if you could tell me where to go.” – “Brother,” said Lazarus, “if this ship isn’t pretty well crammed with food, we’ve all made a terrible mistake. Scout around. You’ll find some.”

Down, down, down toward the Sun, with speed increasing by sixty-four feet per second for every second elapsed. Down and still down for fifteen endless hours of double weight. During this time they traveled seventeen million miles and reached the inconceivable speed of six hundred and forty miles per second. The figures mean little-think instead of New York to Chicago, a half hour’s journey even by stratomail, done in a single heartbeat.

Barstow had a rough time during heavy weight. For all of the others it was a time to lie down, try hopelessly to sleep, breathe painfully and seek new positions in which to rest from the burdens of their own bodies. But Zaccur Barstow was driven by his sense of responsibility; he kept going though the Old Man of the Sea sat on his neck and raised his weight to three hundred and fifty pounds.

Not that he could do anything for them, except crawl wearily from one compartment to another and ask about their welfare. Nothing could be done, no organization to relieve their misery was possible, while high boost continued. They lay where they could, men, women, and children crowded together like cattle being shipped, without even room to stretch out, in spaces never intended for such extreme overcrowding.

The only good thing about it, Barstow reflected wearily, was that they were all too miserable to worry about anything but the dragging minutes. They were too beaten down to make trouble. Later on there would be doubts raised, he was sure, about the wisdom of fleeing; there would be embarrassing questions asked about Ford’s presence in the ship, about Lazarus’ peculiar and sometimes shady actions, about his own contradictory role. But not yet.

He really must, he decided reluctantly, organize a propaganda campaign before trouble could grow. If it did-and it surely would if he didn’t move to offset it, and … well, that would be the last straw. It would be.

He eyed a ladder in front of him, set his teeth, and struggled up to the next deck. Picking his way through the bodies there he almost stepped on a woman who was clutching a baby too tightly to her. Barstow noticed that the infant was wet and soiled and he thought of ordering its mother to take care of the matter, since she seemed to be awake. But he let it go-so far as he knew there was not a clean diaper in millions of miles. Or there might be ten thousand of them on the deck above … which seemed almost as far away.

He plodded on without speaking to her. Eleanor Johnson had not been aware of his concern. After the first great relief at realizing that she and her baby were safe inside the ship she had consigned all her worries to her elders and now felt nothing but the apathy of emotional reaction and of inescapable weight. Baby had cried when that awful weight had hit them, then had become quiet, too quiet. She had roused herself enough to listen for its heartbeat; then, sure that he was alive, she had sunk back into stupor.

Fifteen hours out, with the orbit of Venus only four hours away, Libby cut the boost. The ship plunged on, in free fall, her terrific speed still mounting under the steadily increasing pull of the Sun. Lazarus was awakened by no weight. He glanced at the copilot’s couch and said, “On the curve?”

“As plotted.”

Lazarus looked him over. “Okay, I’ve got it. Now get out of here and get some sleep. Boy, you look like a used towel.” “I’ll just stay here and rest.”

“You will like hell. You haven’t slept even when I had the com; if you stay here, you’ll be watching instruments and figuring. So beat it! Slayton, chuck him out.”

Libby smiled shyly and left. He found the spaces abaft the control room swarming with floating bodies but he managed to find an unused corner, passed his kilt belt through a handihold, and slept at once.

Free fall should have been as great a relief to everyone else; it was not, except to the fraction of one per cent who were salted spacemen. Free-fall nausea, likes seasickness, is a joke only to those not affected; it would take a Dante to describe a hundred thousand cases of it. There were anti-nausea drugs aboard, but they were not found at once; there were medical men among the Families, but they were sick, too. The misery went on.

Barstow, himself long since used to free flight, floated forward to the control room to pray relief for the less fortunate. “They’re in bad shape,” he told Lazarus. “Can’t you put spin on the ship and give them some let-up? It would help a lot.”

“And it would make maneuvering difficult, too. Sorry. Look, Zack, a lively ship will be more important to them in a pinch than just keeping their suppers down. Nobody dies from seasickness anyhow … they just wish they could.”

The ship plunged on down, still gaining speed as it fell toward the Sun. The few who felt able continued slowly to assist the enormous majority who were ill.

Libby continued to sleep, the luxurious return-to-the-womb sleep of those who have learned to enjoy free fall. He had had almost no sleep since the day the Families had been arrested; his overly active mind had spent all its time worrying the problem of a new space drive.

The big ship precessed around him; he stirred gently and did not awake. It steadied in a new attitude and the acceleration warning brought him instantly awake. He oriented himself, placed himself flat against the after bulkhead, and waited; weight hit him almost at once-three gees this time and he knew that something was badly wrong. He had gone almost a quarter mile aft before he found a hide-away; nevertheless he struggled to his feet and started the unlikely task of trying to climb that quarter mile-now straight up-at three times his proper weight, while blaming himself for having let Lazarus talk him into leaving the control room.

He managed only a portion of the trip … but an heroic portion, one about equal to climbing the stairs of a ten-story building while carrying a man on each shoulder … when resumption of free fall relieved him. He zipped the rest of the way like a salmon returning home and was in the control room quickly. “What happened?”

Lazarus said regretfully, “Had to vector, Andy.” Slayton Ford said nothing but looked worried.

“Yes, I know. But why?’ Libby was already strapping himself against the copilot’s couch while studying the astrogational situation. “Red lights on the screen.” Lazarus described the display, giving coordinates and relative vectors.

Libby nodded thoughtfully. “Naval craft. No commercial vessels would be in such trajectories. Aminelaying bracket.”

“That’s what I figured. I didn’t have time to consult you; I had to use enough mile-seconds to be sure they wouldn’t have boost enough to reposition on us.” “Yes, you had to.” Libby looked worried. “I thought we were free of any possible Naval interference.”

“They’re not ours,” put in Slayton Ford. “They can’t be ours no matter what orders have been given since I-uh, since I left. They must be Venerian craft.”

“Yeah,” agreed Lazarus, “they must be. Your pal, the new Administrator, hollered to Venus for help and they gave it to him-just a friendly gesture of interplanetary good will.” Libby was hardly listening. He was examining data and processing it through the calculator inside his skull. “Lazarus… this new orbit isn’t too good.”

“I know,” Lazarus agreed sadly. “I had to duck … so I ducked the only direction they left open to me-closer to the Sun.” “Too close, perhaps.”

The Sun is not a large star, nor is it very hot. But it is hot with reference to men, hot enough to strike them down dead if they are careless about tropic noonday ninety-two million miles away from it, hot enough that we who are reared under its rays nevertheless dare not look directly at it.

At a distance of two and a half million miles the Sun beats out with a flare fourteen hundred times as bright as the worst ever endured in Death Valley, the Sahara, or Aden. Such radiance would not be perceived as heat or light; it would be death more sudden than the full power of a blaster. The Sun is a hydrogen bomb, a naturally occurring one; the New Frontiers was skirting the limits of its circle of total destruction.

It was hot inside the ship. The Families were protected against instant radiant death by the armored walls but the air temperature continued to mount. They were relieved of the misery of free fall but they were doubly uncomfortable, both from heat and from the fact that the bulkheads slanted crazily; there was no level place to stand or lie, The ship was both spinning on its axis and accelerating now; it was never intended to do both at once and the addition of the two accelerations, angular and linear, met “down” the direction where outer and after bulkheads met. The ship was being spun through necessity to permit some of the impinging radiant energy to re-radiate on the “cold” side. The forward acceleration was equally from necessity, a forlorn-hope maneuver to pass the Sun as far out as possible and as fast as possible, in order to spend least time at perihelion, the point of closest approach.

It was hot in the control room. Even Lazarus had voluntarily shed his kilt and shucked down to Venus styles. Metal was hot to the touch. On the great stellarium screen an enormous circle of blackness marked where the Sun’s disc should have been; the receptors had cut out automatically at such a ridicubus demand.

Lazarus repeated Libby’s last words. “‘Thirty-seven minutes to perihelion.’ We can’t take it, Andy. The ship can’t take it.” “I know. I never intended us top this close.”

“Of course you didn’t. Maybe I shouldn’t have maneuvered. Maybe we would have missed the mines anyway. Oh, well-” Lazarus squared his shoulders and filed it with the might-have- beens. “It looks to me, son, about time to try out your gadget.” He poked a thumb at Libby’s uncouth-looking “space drive.” “You say that all you have to do is to hook up that one connection?”

“That is what is intended. Attach that one lead to any portion of the mass to be affected. Of course I don’t really know that it will work,” Libby admitted. “There is no way to test it.” “Suppose it doesn’t?’

“There are three possibilities.” Libby answered methodically. “In the first place, nothing may happen.” “In which case we fry.”

“In the second place, we and the ship may cease to exist as mattei as we know it.” “Dead, you mean. But probably a pleasanter way.”

“I suppose so. I don’t know what death is. In the third place, if my hypotheses are correct, we will recede from the Sun at a speed just under that of light.” Lazarus eyed the gadget and wiped sweat from his shoulders. “It’s getting hotter, Andy. Hook it up-and it has better be good!”

Andy hooked it up.

“Go ahead,” urged Lazarus. “Push the button, throw the switch, cut the beam. Make it march.” “I have,” Libby insisted. “Look at the Sun.”

“Huh? Oh!”

The great circle of blackness which had marked the position of the Sun on the star-speckled stellarium was shrinking rapidly. In a dozen heartbeats it lost half its diameter; twenty seconds later it had dwindled to a quarter of its original width.

“It worked,” Lazarus said softly. “Look at it, Slayton! Sign me up as a purple baboon-it worked!” “I rather thought it would,” Libby answered seriously. “It should, you know.”

“Hmm-That may be evident to you, Andy. It’s not to me. How fast are we going?” “Relative to what?”

“Uh, relative to the Sun.”

“I haven’t had opportunity to measure it, but it seems to be just under the speed of light. It can’t be greater.” “Why not? Aside from theoretical considerations.”

“We still see.” Libby pointed at the stellarium bowl.

“Yeah, so we do,” Lazarus mused. “Hey! We shouldn’t be able to. I ought to doppler out.”

Libby looked blank, then smiled. “But it dopplers right back in. Over on that side, toward the Sun, we’re seeing by short radiations stretched to visibility. On the opposite side we’re picking up something around radio wavelengths dopplered down to light.”

“And in between?”

“Quit pulling my leg, Lazarus. I’m sure you can work out relatively vector additions quite as well as I can.” “You work it out,” Lazarus said firmly. “I’m just going to sit here and admire it. Eh, Slayton?”

“Yes. Yes indeed.”

Libby smiled politely. “We might as well quit wasting mass on the main drive.” He sounded the warner, then cut the drive. “Now we can return to normal conditions.” He started to disconnect his gadget.

Lazarus said hastily, “Hold it, Andy! We aren’t even outside the orbit of Mercury yet. Why put on the brakes?” ‘Why, this won’t stop us. We have acquired velocity; we will keep it.”

Lazarus pulled at his cheek and stared. “Ordinarily I would agree with you. First Law of Motion. But with this pseudospeed I’m not so sure. We got it for nothing and we haven’t paid for it- in energy, I mean. You seem to have declared a holiday with respect to inertia; when the holiday is over, won’t all that free speed go back where it came from?”

“I don’t think so,” Libby answered. “Our velocity isn’t ‘pseudo’ anything; it’s as real as velocity can be. You are attempting to apply verbal anthropomorphic logic to a field in which it is not pertinent. You would not expect us to be transported instantaneously back to the lower gravitational potential from which we started, would you?”

“Back to where you hooked in your space drive? No, we’ve moved.”

“And we’ll keep on moving. Our newly acquired gravitational potential energy of greater height above the Sun is no more real than our present kinetic energy of velocity. They both exist.” Lazarus looked baffled. The expression did not suit him. ‘~I guess you’ve got me, Andy. No matter how I slice it, we seemed to have picked up energy from somewhere. But where? When

I went to school, they taught me to honor the Flag, vote the straight party ticket, and believe in the law of conservation of energy. Seems like you’ve violated it. How about it?”

“Don’t worry about it,” suggested Libby. “The so-called law of conservation of energy was merely a working hypothesis, unproved and unprovable, used to describe gross phenomena. Its terms apply only to the older, dynamic concept of the world. In a plenum conceived as a static grid of relationships, a ‘violation’ of that ‘law’ is nothing more startling than a discontinuous function, to be noted and described. That’s what I did. I saw a discontinuity in the mathematical model of the aspect of mass-energy called inertia. I applied it. The mathematical model turned out to be similar to the real world. That was the only hazard, really-one never knows that a mathematical model is similar to the real world until you try it.”

“Yeah, yeah, sure, you can’t tell the taste till you bite it-but, Andy, I still don’t see what caused it!” He turned toward Ford. “Do you, Slayton?” Ford shook his head. “No. I would like to know … but I doubt if I could understand it.”

“You and me both. Well, Andy?”

Now Libby looked baffled. ‘But, Lazarus, causality has nothing to do with the real plenum. A fact simply is. Causality is merely an oldfashioned-postulate of a pre-scientific philosophy.”

“I guess,” Lazarus said slowly, “I’m oldfashioned.” Libby said nothing. He disconnected his apparatus.

The disc of black continued to shrink. When it had shrunk to about one sixth its greatest diameter, it changed suddenly from black to shining white, as the ship’s distance from the Sun again was great enough to permit the receptors to manage the load.

Lazarus tried to work out in his head the kinetic energy of the ship-one half the square of the velocity of light (minus a pinch, he corrected) times the mighty tonnage of -the New Frontiers. The answer did not comfort him, whether he called it ergs or apples.

Chapter 8

“FIRST THINGS FIRST,” interrupted Barstow. “I’m as fascinated by the amazing scientific aspects of our present situation as any of you, but we’ve got work to do. We’ve got to plan a pattern for daily living at once. So let’s table mathematical physics and talk about organization.”

He was not speaking to the trustees but to his own personal lieutenants, the key people in helping him put over the complex maneuvers which had made their escape possible-Ralph Schultz, Eve Barstow, Mary Sperling, Justin Foote, Clive Johnson, about a dozen others.

Lazarus and Libby were there. Lazarus had left Slayton Ford to guard the control room, with orders to turn away all visitors and, above all, not to let anyone touch the controls. It was a make-work job, it being Lazarus’ notion of temporary occupational therapy. He bad sensed in Ford a mental condition that he did not like. Ford seemed to have withdrawn into himself. He answered when spoken to, but that was all. It worried Lazarus.

“We need an executive,” Barstow went on, “someone who, for the time being will have very broad powers to give orders and have them carried out. He’ll have to make decisions, organize us, assign duties and responsibilities, get the internal economy of the ship working. It’s a big job and I would like to have our brethren hold an election and do it democratically. That’ll have to wait; somebody has to give orders now. We’re wasting food and the ship is-well, I wish you could have seen the ***’fre$ier*** I tried to use today.”

“Zaccur … “Yes, Eve?”

“It seems to me that the thing to do is to put it up to the trustees. We haven’t any authority; we were just an emergency group for something that is finished now.”

“Ahrruniph-” It was Justin Foote, in tones as dry and formal as his face. “I differ somewhat from our sister. The trustees are not conversant with the full background; it would take time we can ill afford to put them into the picture, as it were, before they would be able to judge the matter. Furthermore, being one of the trustees myself, I am able to say without bias that the trustees, as an organized group, can have no jurisdiction because legally they no longer exist.”

Lazarus looked interested. “How do you figure that, Justin?”

“Thusly: the board of trustees were the custodians of a foundation which existed as a part of and in relation to a society. The trustees were never a government; their sole duties had to do with relations between the Families and the rest of that society. With the ending of relationship between the Families and terrestrial society, the board of trustees, ipso facto, ceases to exist. it is one with history. Now we in this ship are not yet a society, we are an anarchistic group. This present assemblage has as much-or as little-authority to initiate a society as has any part group.

Latarus cheered and clapped. “Justin,” he applauded, “that is the neatest piece of verbal juggling I’ve heard in a century. Let’s get together sometime and have a go at solipsism.” Justin Foote looked pained. “Obviously-” he began.

“Nope! Not another word! You’ve convinced me, don’t spoil it. If that’s how it is, let’s get busy and pick a bull moose. How about you, Zack? You look like the logical candidate.” Barstow shook his head. “I know my limitations. I’m an engineer, not a political executive; the Families were just a hobby with me. We need an expert in social administration.”

When Barstow had convinced them that he meant it, other names were proposed and their qualifications debated at length. In a group as large as the Families there were many who had specialized in political science, many who had served in public office with credit.

Lazarus listened; he knew four of the candidates. At last he got Eve Barstow aside and whispered with her. She looked startled, then thoughtful, finally nodded.

She asked for the floor. “I have a candidate to propose,” she began in her always gentle tones, “who might not ordinarily occur to you, but who is incomparably better fitted, by temperament, training, and experience, to do this job than is anyone as yet proposed. For civil administrator of the ship I nominate Slayton Ford.”

They were flabbergasted into silence, then everybody tried to talk at once. “Has Eve lost her mind? Ford is back on Earth!”-“No, no, he’s not. I’ve seen him-here-in the ship.”-“But it’s out of the question!”-“Him? The Families would never accept him!”-“Even so, he’s not one of us.”

Eve patiently kept the floor until they quieted. “I know my nomination sounds ridiculous and I admit the difficulties. But consider the advantages. We all know Slayton Ford by reputation and by performance. You know, every member of the Families knows, that Ford is a genius in his field. It is going to be hard enough to work out plans for living together in this badly overcrowded ship; the best talent we can draw on will be no more than enough.”

Her words impressed them because Ford was that rare thing in history, a statesman whose worth was almost universally acknowledged in his own lifetime. Contemporary historians credited him with having saved the Western Federation in at least two of its major development crises; it was his misfortune rather than his personal failure that his career was wrecked on a crisis not solvable by ordinary means.

“Eve,” said Zaccur Barstown “1 agree with your opinion of Ford and I myself would be glad to have him as our executive. But how about all of the others? To the Families-everyone except ourselves here present-Mr. Administrator Ford symbolizes the persecution they have suffered. I think that makes him an impossible candidate.”

Eve was gently stubborn. “I don’t think so. We’ve already agreed that we will have to work up a campaign to explain away a lot of embarrassing facts about the last few days. Why don’t we do it thoroughly and convince them that Ford is a martyr who sacrificed himself to save them? He is, you know.”

“Mmm … yes, he is. He didn’t sacrifice himself primarily on our account, but there is no doubt in my mind that his personal sacrifice saved us. But whether or not we can convince the others, convince them strongly enough that they will accept him and take orders from him … when he is now a sort of personal devil to them-well, I just don’t know. I think we need expert advice. How about it, Ralph? Could it be done?’

Ralph Schultz hesitated. “The truth of a proposition has little or nothing to do with its psychodynamics. The notion that ‘truth will prevail’ is merely a pious wish; history doesn’t show it. The fact that Ford really is a martyr to whom we owe gratitude is irrelevant to the purely technical question you put to me.” He stopped to think. “But the proposition per se has certain sentimentally dramatic aspects which lend it to propaganda manipulation, even in the face of the currently accepted strong counterproposition. Yes … yes, I think it could be sold.”

“How long would it take you to put it over?”

“Mmm … the social space involved is both ‘tight’ and ‘hot’ in the jargon we use; I should be able to get a high positive ‘k’ factor on the chain reaction-if it works at all. But it’s an unsurveyed field and I don’t know what spontaneous rumors are running around the ship. If you decide to do this, I’ll want to prepare some rumors before we adjourn, rumors to repair Ford’s reputation-then about twelve hours from now I can release another one that Ford is actually aboard . Because he intended from the first to throw his lot in with us.”

“Ub, I hardly think he did, Ralph.” – “Are you sure, Zaccur?”

“No, but-Well …

“You see? The truth about his original intentions is a secret between him – and his God. You don’t know and neither do I. But the dynamics of the proposition are a separate matter. Zaccur, by the time my rumor gets back to you three or four times, even you will begin to wonder.” The psychornetrician paused to stare at nothing while he consulted an intuition refined by almost a century of mathematical study of human behavior. “Yes, it will work. If you all want to do it, you will be able to make a public announcement inside of twenty-four hours.”

“I so move!” someone called out.

Afew minutes later Barstow had Lazarus fetch Ford to the meeting place. Lazarus did not explain to him why his presence was required; Ford entered the compartment like a man come to judgment, one with a bitter certainty that the outcome will be against him. His manner showed fortitude but not hope. His eyes were unhappy.

Lazarus had studied those eyes during the long hours they had been shut up together in the control room. They bore an expression Lazarus had seen many times before in his long life. The condemned man who has lost his final appeal, the fully resolved suicide, little furry things exhausted and defeated by struggle with the unrelenting steel of traps-the eyes of each of these hold a single expression, born of hopeless conviction that his time has run out.

Ford’s eyes had it.

Lazarus had seen it grow and had been puzzled by it. To be sure, they were all in a dangerous spot, but Ford no more I than the rest. Besides, awareness of danger brings a live expression; why should Ford’s eyes hold the signal of death? Lazarus finally decided that it could only be because Ford had reached the dead-end state of mind where suicide is necessary. But why? Lazarus mulled it over during the long watches in the control room and reconstructed the logic of it to his own satisfaction. Back on Earth, Ford had been important among his own kind, the shortlived. His paramount position had rendered him then almost immune to the feeling of defeated inferiority which the long-lived stirred up in normal men. But now he was the only ephemeral in a race of Methuselas.

Ford had neither the experience of the elders nor the expectations of the young; he felt inferior to them both, hopelessly outclassed. Correct or not, he felt himself to be a useless pensioner, an impotent object of charity.

To a person of Ford’s busy useful background the situation was intolerable. His very pride and strength of character were driving him to suicide. As he came into the conference room Ford’s glance sought out Zaccur Barstow. “You sent for me, sir?’

“Yes, Mr. Administrator.” Barstow explained briefly the situation and the responsibility thel wanted him to assume. “You are under no compulsion,” he concluded, “but we need your services if you are willing to serve. Will you?”

Lazarus’ heart felt light as he watched Ford’s expression change to amazement. “Do you really mean that?” Ford answered slowly. “You’re not joking with me?” “Most certainly we mean it!”

Ford did not answer at once and when he did, his answer seemed irrelevant. “May I sit down?”

Aplace was found for him; he settled heavily into the chair and covered his face with his hands. No one spoke. Presently he raised his head and said in a steady voice, “If that is your will,   I will do my best to carry out your wishes.”

The ship required a captain as well as a civil administrator. Lazarus had been, up to that time, her captain in a very practical, piratical sense but he balked when Barstow proposed that it be made a formal title. “Huh uh! Not me. I may just spend this trip playing checkers. Libby’s your man. Seriousminded, conscientious, former naval officer-just the type for the job.”

Libby blushed as eyes turned toward him. “Now, really,” he protested, “while it is true that I have had to command ships in the course of my duties, it has never suited me. I am a staff officer by temperament. I don’t feel like a commanding officer.”

“Don’t see how you can duck out of it,” Lazarus persisted. “You invented the go-fast gadget and you are the only one who understands how it works. You’ve got yourself a job, boy.”

“But that does not follow at all,” pleaded Libby. “1 am perfectly willing to be astrogator, for that is consonant with my talents. But I very much prefer to serve under a commanding officer.” Lazarus was smugly pleased then to see how Slayton Ford immediately moved in and took charge; the sick man was gone, here again was the executive. “It isn’t a matter of your

personal preference, Commander Libby; we each must do what we can. I have agreed to direct social and civil organization; that is consonant with my training. But I can’t command the

ship as a ship; I’m not trained for it. You are. You must do it.”

Libby blushed pinker and stammered. “I would if I were the only one. But there are hundreds of spacemen among the Families and dozens of them certainly have more experience; and talent for command than I have. If you’ll look for him, you’ll find the right man.”

Ford said, “What do you think, Lazarus?”

“Um. Andy’s got something. Acaptain puts spine into his ship … or doesn’t, as the case may be. If Libby doesn’t hanker to command, maybe we’d better look around.”

Justin Foote had a microed roster with him but there was no scanner at hand with which to sort it. Nevertheless the memories of the dozen and more present produced many candidates. They finally settled on Captain Rufus “Ruthless” King.

Libby was explaining the consequences of his lightpressure drive to his new commanding officer. “The loci of our attainable destinations is contained in a sheaf of paraboloids having their apices tangent to our present course. This assumes that acceleration by means of the ship’s normal drive will always be applied so that the magnitude our present vector, just under the speed of light, will be held constant. This will require that the ship be slowly precessed during the entire maneuvering acceleration. But it will not be too fussy because of the enormous difference in magnitude between our present vector and the maneuvering vectors being impressed on it. One may think of it roughly as accelerating at right angles to Our course.”

“Yes, yes, I see that,” Captain King cut in, “but why do you assume that the resultant vectors must always be equal to our present vector?”

“Why, it need not be if the Captain decides otherwise,” Libby answered, looking puzzled, “but to apply a component that would reduce the resultant vector below our present speed would simply be to cause us to backtrack a little without increasing the scope of our present loci of possible destinations. The effect would only increase our flight time, to generations, even to centuries, if the resultant-“

“Certainly, certainly! I understand basic ballistics, Mister. But why do you reject the other alternative? Why not increase our speed? Why can’t I accelerate directly along my present course  if I choose?”

Libby looked worried. “The Captain may, if he so orders. But it would be an attempt to exceed the speed of light. That has been assumed to be impossible-“ “That’s exactly what I was driving at: ‘Assumed.’ I’ve always wondered if that assumption was justified. Now seems like a good time to find out.”

Libby hesitated, his sense of duty struggling against the ecstatic temptations of scientific curiosity. “If this were a research ship, Captain, I would be anxious to try it. I can’t visualize what the conditions would be if we did pass the speed of light, but it seems to me that we would be cut off entirely from the electromagnetic spectrum insofar as other bodies are concerned. How could we see to astrogate?”

Libby had more than theory to worry him; they were “seeing” now only by electronic vision. To the human eye itself the hemisphere behind them along their track was a vasty black; the shortest radiations had dopplered to wavelengths too long for the eye. In the forward direction stars could still be seen but their visible “light” was made up of longest Hertzian waves crowded in by the ship’s incomprehensible speed. Dark “radio stars” shined at first magnitude; stars poor in radio wavelengths had faded to obscurity. The familiar constellations were changed beyond easy recognition. The fact that they were seeing by vision distorted by Doppler’s effect was confirmed by spectrum analysis; Fraunhofer’s lines had not merely shifted toward the violet end, they had passed beyond, out of sight, and previously unknown patterns replaced them.

“Hmm …” King replied. “I see what you mean. But I’d certainly like to try it, damn if I wouldn’t! But I admit it’s out of the question with passengers inboard. Very well, prepare for me roughed courses to type ‘0’ stars lying inside this trumpet-flower locus of yours and not too far away. Say ten lightyears for your first search.”

“Yes, sir. I have. I can’t offer anything in that range in the ‘0’ types.” “So? Lonely out here, isn’t it? Well?’

“We have Tau Ceti inside the locus at eleven lightyears.” – “A05, eh? Not too good.”

“No, sir. But we have a true Sol type, a 02-catalog ZD9817. But it’s more than twice as far away.”

Captain King chewed a knuckle. “I suppose I’ll have to put it up to the elders. How much subjective time advantage are we enjoying?” “I don’t know, sir.”

“Eh? Well work it out! Or give me the data and I will. I don’t claim to be the mathematician you are, but any cadet could solve that one. The equations are simple enough.” –

“So they are, sir. But I don’t have the data to substitute in the time-contraction equation . . -. because I have no way now to measure the ship’s speed. The violet shift is useless to use; we don’t know what the lines mean. I’m afraid we must wait until we have worked up a much longer baseline.”

King sighed. “Mister, I sometimes wonder why I got into this business. Well, are you willing to venture a best guess? Long time? Short time?”

“Uh … a long time, sir. Years.”

“So? Well, I’ve sweated it out in worse ships. Years, eh? Play any chess?”

“I have, sir.” Libby did not mention that he had given up the game long ago for lack of adequate competition. “Looks like we’d have plenty of time to play. King’s pawn;to king four.”

“King’s knight to bishop three.”

“An unorthodox player, eh? Well, I’ll answer you later. I suppose I’d better try to sell them the 02 eyen though it takes longer … and I suppose I’d better caution Ford to start some contests and things. Can’t have ‘em getting coffin fever.”

“Yes, sir. Did I mention deceleration time? It works out to just under one Earth year, subjective, at a negative one-gee, to slow us to stellar speeds.” “Eh? We’ll decelerate the same way we accelerated-with your lightpressure drive.”

Libby shook his head. “I’m sorry, sir. The drawback of the lightpressure drive is that it makes no difference what your previous course and speed may be; if you go inertialess in the near neighborhood of a star, its light pressure kicks you away from it like a cork hit by a stream of water. Your previous momentum is canceled out when you cancel your inertia.”

“Well,” King conceded, “let’s assume that we will follow your schedule. I can’t argue with you yet; there are still some things about that gadget of yours that I don’t understand.” “There are lots of things about it,” Libby answered seriously, “that I don’t understand either.”

The ship had flicked by Earth’s orbit less than ten minutes after Libby cut in his space drive. Lazarus and he had discussed the esoteric physical aspects of it all the way to the orbit of Mars-less than a quarter hour. Jupiter’s path was far distant when Barstow called the organization conference. But it killed an hour to find them all in the crowded ship; by the time he called them to order they were a billion miles out beyond the orbit of Saturn-elapsed time from “Go!” less than an hour and a half.

But the blocks get longer after Saturn. Uranus found them still in discussion. Nevertheless Ford’s name was agreed on and he had accepted before the ship was as far from the Sun as  is Neptune. King had been named captain, had toured his new command with Lazarus as guide, and was already in conference with his astrogator when the ship passed the orbit of Pluto nearly four billion miles deep into space, but still less than six hours after the Sun’s light had blasted them away.

Even then they were not outside the Solar System, but between them and the stars lay nothing but the winter homes of Sol’s comets and hiding places of hypothetical trans-Plutonian planets-space in which the Sun holds options but can hardly be said to own in fee simple. But even the nearest stars were still lightyears away. New Frontiers was headed for them at a pace which crowded the heels of light-weather cold, track fast.

Out, out, and still farther out … out to the lonely depths where world lines are almost straight, undistorted by gravitation. Each day, each month … each year … their headlong flight took them farther from all humanity.

PART TWO

The ship lunged on, alone in the desert of night, each lightyear as empty as the last. The Families built up a way of life in her.

The New Frontiers was approximately cylindrical. When not under acceleration, she was spun on her axis to give pseudo-weight to passengers near the outer skin of the ship; the outer or “lower” compartments were living quarters while the innermost or “upper” compartments were storerooms and so forth. Between compartments were shops, hydroponic farms and such. Along the axis, fore to aft, were the control room, the converter, and the main drive.

The design will be recognized as similar to that of the larger free-flight interplanetary ships in use today, but it is necessary to bear in mind her enormous size. She was a city, with ample room for a colony of twenty thousand, which would have allowed the planned complement of ten thousand to double their numbers during the long voyage to Proxima Centauri.

Thus, big as she was, the hundred thousand and more of the Families found themselves overcrowded fivefold.

They put up with it only long enough to rig for cold-sleep. By converting some recreation space on the lower levels to storage, room was squeezed out for the purpose. Somnolents require about one per cent the living room needed by active, functioning humans; in time the ship was roomy enough for those still awake. Volunteers for cold-sleep were not numerous  at first-these people were more than commonly aware of death because of their unique heritage; cold-sleep seemed too much like the Last Sleep. But the great discomfort of extreme overcrowding combined with the equally extreme monotony of the endless voyage changed their minds rapidly enough to provide a steady supply for the little death as fast as they could be accommodated.

Those who remained awake were kept humping simply to get the work done-the ship’s houskeeping, tending the hydroponic farms and the ship’s auxiliary machinery and, most especially, caring for the somnolents themselves. Biomechanicians have worked out complex empirical formulas describing body deterioration and the measures which must be taken  to offset it under various conditions of impressed acceleration, ambient temperature, the drugs used, and other factors such as metabolic age, body mass, sex, and so forth. By using the upper, low-weight compartments, deterioration caused by acceleration (that is to say, the simple weight of body tissues on themselves, the wear that leads to flat feet or bed sores) could be held to a minimum. But all the care of the somnolents had to be done by hand-turning them, massaging them, checking on blood sugar, testing the slow-motion heart actions, all the tests and services necessary to make sure that extremely reduced metabolism does not

slide over into death. Aside from a dozen stalls in the ship’s infirmary she had not been designed for cold-sleep passengers; no automatic machinery had been provided. All this tedious care of tens of thousands of somnolents had to be done by hand.

Eleanor Johnson ran across her friend, Nancy Weatheral, in Refectory 9-D—called “The Club” by its habitues, less flattering things by those who avoided it. Most of its frequenters were young and noisy. Lazarus was the only elder who ate there often. He did not mind noise, he enjoyed it.

Eleanor swooped down on her friend and kissed the back of her neck. “Nancy! So you are awake again! My, I’m glad to see you!” Nancy disentangled herself. “H’lo, b~e. Don’t spill my coffee.”

“Well! Aren’t you glad to see me?”

“Of course I am. But you forget that while it’s been a year to you, it’s only yesterday to me. And I’m still sleepy.” “How long have you been awake, Nancy?”

“Acouple of hours. How’s that kid of yours?”

“Oh, he’s fine!” Eleanor Johnson’s face brightened. “You wouldn’t know him-he’s shot up fast this past year. Almost up to my shoulder and looking more like his father every day.”

Nancy changed the subject. Eleanor’s friends made a point of keeping Eleanor’s deceased husband out of the conversation. “What have you been doing while I was snoozing? Still teaching primary?” –

“Yes. Or rather ‘No.’ I stay with the age group my Hubert is in. He’s in junior secondary now.”

“Why don’t you catch a few months’ sleep and skip some of that drudgery, Eleanor? You’ll make an old woman out of yourself if you keep it up;” – – “No,” Eleanor refused, “not until Hubert is old enough not to need me.”

“Don’t be sentimental. Half the female volunteers are women with young children. I don’t blame ‘em a bit. Look at me-from my point of view the trip so far has lasted only seven months. I could do the rest of it standing on my head.”

Eleanor looked stubborn. “No, thank you. That may be all right for you, but I am doing very nicely as I am.”

Lazarus had been sitting at the same counter doing drastic damage to a sirloin steak surrogate. “She’s afraid she’ll miss something,” he explained. “I don’t blame her. So am I.” Nancy changed her tack. “Then have another child, Eleanor. That’ll get you relieved from routine duties.”

“It takes two to arrange that,” Eleanor pointed out.

“That’s no hazard. Here’s Lazarus, for example. He’d make a Aplus father.”

Eleanor dimpled. Lazarus blushed under his permanent tan. “As a matter of fact,” Eleanor stated evenly, “I proposed to him and was turned down.” Nancy sputtered into her coffee and looked quickly from Lazarus to Eleanor. “Sorry. I didn’t know.”

“No harm,” answered Eleanor. “It’s simply because I am one of his granddaughters, four times removed.”

“But …” Nancy fought a losing fight with the custom of privacy. “Well, goodness me, that’s well within the limits of permissible consanguinity. What’s the hitch? Or should I shut up?” “You should,” Eleanor agreed.

Lazarus shifted uncomfortably. “I know I’m oldfashioned,” he admitted, “but I soaked up some of my ideas a long time ago. Genetics or no genetics, I just wouldn’t feel right marrying one of my own grandchildren.”

Nancy looked amazed. “I’ll say you’re oldfashioned!” She added, “Or maybe you’re just shy. I’m tempted to propose to you myself and find out.” Lazarus glared at her. “Go ahead and see what a surprise you get!”

Nancy looked him over coolly. “Mmn …” she meditated.

Lazarus tried to outstare her, finally dropped his eyes: “I’ll have to ask you ladies to excuse me,” he said nervously. “Work to do.” Eleanor laid a gentle hand on his arm. “Don’t go, Lazarus. Nancy is a cat and can’t help it. Tell her about the plans for landing.” “What’s that? Are we going to land? When? Where?”

Lazarus, willing to be mollified, told her. The type G2, or Sol-type star, toward which they had bent their course years earlier was now less than a lightyear away-a little over seven light- months-and it was now possible to infer by parainterferometric methods that the star (ZD9817, or simply “our” star) had planets of some sort.

In another month, when the star would be a half lightyear away, deceleration would commence. Spin would be taken off the ship and for one year she would boost backwards at one gravity, ending near the star at interplanetary rather than interstellar speed, and a search would be made for a planet fit to support human life. The search would be quick and easy as the only planets they were interested in would shine out brilliantly then, like Venus from Earth; they were not interested in elusive cold planets, like Neptune or Pluto, lurking in distant shadows, nor in scorched cinders ilke Mercury, hiding in the flaming skirts of the mother star.

If no Earthlike planet was to be had, then they must continue on down really close to the strange sun and again be kicked away by light pressure, to resume hunting for a home

elsewhere-with the difference that this time, not harassed by police, they could select a new course with care.

Lazarus explained that the New Frontiers would not actually land in either case; she was too big to land, her weight would wreck her. Instead, if they found a planet, she would be thrown into a parking orbit around her and exploring parties would be sent down in ship’s boats. – –

As soon as face permitted Lazarus left the two young women and went to the laboratory where the Families continued their researches in metabolism and gerontology. He expected to find Mary Sperling there; the brush with Nancy Weatheral had made him feel a need for her company. If he ever did marry again, he thought to himself, Mary was more his style. Not that he seriously considered it; he felt that a iiaison between Mary and himself would have a ridiculous flavor of lavender and old lace.

Mary Sperling, finding herself cooped up in the ship and not wishing to accept the symbolic death of cold-sleep, had turned her fear of death into constructive channels by volunteering to be a laboratory assistant in the continuing research into longevity. She was not a trained biologist but she had deft fingers and an agile mind; the patient years of the trip had shaped her into a valuable assistant to Dr. Gordon Hardy, chief of the research.

Lazarus found her servicing the deathless tissue of chicken heart known to the laboratory crew as “Mrs. ‘Avidus.” Mrs. ‘Avidus was older than any member of the Families save possibly Lazarus himself; she was a growing piece of the original tissue obtained by the Families from the Rockefeller Institute in the twentieth century, and the tissues had been alive since early  in the twentieth century even then. Dr. Hardy and his predecessors had kept their bit of it alive for more than two centuries now, using the Carrel-Lindbergh-O’Shaug techniques and still Mrs. ‘Avidus flourished.

Gordon Hardy had insisted on taking the tissue and the apparatus which cherished it with him to the reservation when he was arrested; he had been equally stubborn about taking the living tissue along during the escape in the Chili. Now Mrs. ‘Avidus still lived and grew in the New Frontiers, fifty or sixty pounds of her-blind, deaf, and brainless, but still alive.

Mary Sperling was reducing her size. “Hello, Lazarus,” she greeted him. “Stand back. I’ve got the tank open.” He watched her slice off excess tissue. “Mary,” he mused, “what keeps that silly thing alive?”

“You’ve got the question inverted,” she answered, not looking up; “the proper form is: why should it die? Why shouldn’t it go on forever?” – “I wish to the Devil it would die!” came the voice of Dr. Hardy from behind them. “Then we could observe and find out why.” – –

“You’ll never find out why from Mrs. ‘Avidus, boss,” Mary answered, hands and eyes still busy. “The key to the matter is in the gonads-she hasn’t any.” ‘Hummph! What do you know about it?”

“Awoman’s intuition. What do you know about it?”

“Nothing, -absolutely nothing!-which puts me ahead of you and your intuition.” “Maybe. At least,” Mary added slyly, “1 knew you before you were housebroken.”

“Atypical female argument. Mary, that lump of muscle cackled and laid eggs before either one of us was born, yet it doesn’t know anything.” He scowled at it. “Lazarus, I’d gladly trade it for one pair of carp. male and female.” –

“Why carp?” asked Lazarus.

“Because carp don’t seem to die. They get killed, or eaten, or starve to death, or succumb to infection, but so far as we know they don’t die.” “Why not?”

“That’s what I was trying to find out when we were rushed off on this damned safari. They have unusual intestinal flora and it may have something to do with that. But I think it has to do with the fact that they never stop growing.”

Mary said something inaudibly. Hardy said, “What are you muttering about? Another intuition?”

“I said, ‘Amoebas don’t die.’ You said yourself that every amoeba now alive has been alive for, oh, fifty million years or so. Yet they don’t grow indefinitely larger and they certainly can’t have intestinal flora.”

“No guts,” said Lazarus and blinked.

“What a terrible pun, Lazarus. But what I said is true. They don’t die. They just twin and keep on living.”

“Guts or no guts,” Hardy said impatiently, “there may be a structural parallel. But I’m frustrated for lack of experimental subjects. Which reminds me: Lazarus, I’m glad you dropped in. I want you to do me a favor.”

“Speak up. I might be feeling mellow.”

“You’re an interesting case yourself, you know. You didn’t follow our genetic pattern; you anticipated it. I don’t want your body to go into the converter; I want to examine it.”

Lazarus snorted. “‘Sail right with me, bud. But you’d better tell your successor what to look for-you may not live that long. And I’ll bet you anything that you like that nobody’ll find it by poking around in my cadaver!”

The planet they had hoped for was there when they looked for it, green, lush, and young, and looking as much like Earth as another planet could. Not only was it Earthlike but the rest of the system duplicated roughly the pattern of the Solar System-small terrestrial planets near this sun, large Jovian planets farther out. Cosmologists had never been able to account for the Solar System; they had alternated between theories of origin which had failed to stand up and sound mathematico-physical “proofs” that such a system could never have originated in the first place. Yet here was another enough like it to suggest that its paradoxes were not unique, might even be common.

But more startling and even more stimulating and certainly more disturbing was another fact brought out by telescopic observation as they got close to the planet. The planet held life . . , intelligent life … civilized life.

Their cities could be seen. Their engineering works, strange in form and purpose, were huge enough to be seen from space just as ours can be seen.

Nevertheless, though it might mean that they must again pursue their weary hegira, the dominant race did not appear to have crowded the available living space. There might be room for their little colony on those broad continents. If a colony was welcome…

“To tell the truth,” Captain King fretted, “I hadn’t expected anything like this. Primitive aborigines perhaps, and we certainly could expect dangerous animals, but I suppose I unconsciously assumed that man was the only really civilized race. We’re going to have to be very cautious.”

King made up a scouting party headed by Lazatus; he had come to have confidence in Lazarus’ practical sense and will to survive. King wanted to head the party himself, but his concept of his duty as a ship’s captain forced him to forego it. But Slayton Ford could go; Lazarus chose him and Ralph Schultz and his lieutenants. The rest of the party were specialists- biochemist, geologist, ecologist, stereographer, several sorts of psychologists and sociologists to study the natives including one authority in McKelvy’s structural theory of communication whose task would be to find some way to talk with the natives.

No weapons.

King flatly refused to arm them. “Your scouting party is expendable, he told Lazarus bluntly; “for we can not risk offending them by any sort of fighting for any reason, even in self-defense. You are ambassadors, not soldiers. Don’t forget it.”

Lazarus returned to his stateroom, came back and gravely delivered to King one blaster. He neglected to mention the one still strapped to his leg under his kilt.

As King was about to tell them to man the boat and carry out their orders they were interrupted by Janice Schmidt, chief nurse to the Families’ congenital defectives. She pushed her way past and demanded the Captain’s attention. –

Only a nurse could have obtained it at that moment; she had professional stubbornness to match his and half a century more practice at being balky. He glared at her. “What’s the meaning of this interruption?”

“Captain, I must speak with you about one of my children.”

“Nurse, you are decidedly out of order. Get out. See me in my office-after taking it up with the Chief Surgeon.”

She put her hands on her hips. “You’ll see me now. This is the landing party, isn’t it? I’ve got something you have to hear before they leave.” King started to speak, changed his mind, merely said, “Make it brief.”

She did so. Hans Weatheral, a youth of some ninety years and still adolescent in appearance through a hyper-active thymus gland, was one of her charges. He had inferior but not moronic mentality, a chronic apathy, and a neuro-muscular deficiency which made him too weak to feed himself-and an acute sensitivity to telepaths.

He had told Janice that he knew all about the planet around which they orbited. His friends on the planet had told him about it … and they were expecting him.

The departure of the landing boat was delayed while King and Lazarus investigated. Hans was matter of fact about his information and what little they could check of what he said was correct. But he was not too helpful about his “friends.” “Oh, just people,” he said, shrugging at their stupidity. “Much like back home. Nice people. Go to work, go to school, go to church. Have kids and enjoy themselves. You’ll like them.”

But he was quite clear about one point: his friends were expecting-him; therefore he must go along.

Against his wishes and his better judgment Lazarus saw added to his party Hans Weatheral, Janice Schmidt, and a stretcher for Hans.

When the party returned three days later Lazarus made a long private report to King while the specialist reports were being analyzed and combined. “It’s amazingly like Earth, Skipper, enough to make you homesick. But it’s also different enough to give you the willies-llke looking at your own face in the mirror and having it turn out to have three eyes and no nose. Unsettling.”

“But how about the natives?”

“Let me tell it. We made a quick swing of the day side, for a bare eyes look. Nothing you haven’t seen through the ‘scopes. Then I put her down where Hans told me to, in a clearing near the center of one of their cities. I wouldn’t have picked the place myself; I would have preferred to land in the bush and reconnoitre. But you told me to play Hans’ hunches.”

“You were free to use your judgment,” King reminded

“Yes, yes. Anyhow we did it. By the time the techs had sampled the air and checked for hazards there was quite a crowd around us. They-well, you’ve seen the stereographs.” “Yes. Incredibly android.”

“Android, hell! They’re men. Not humans, but men just the same.” Lazarus looked puzzled. “I don’t like it.”

King did not argue. The pictures had shown bipeds seven to eight feet tall, bilaterally symmetric, possessed of internal skeletal framework, distinct heads, lens-and-camera eyes. Those eyes were their most human and appealing features; they were large, limpid, and tragic, like those of a Saint Bernard dog.

It was well to concentrate on the eyes; their other features were not as tolerable. King looked away from the loose, toothless mouths, the bifurcated upper lips. He decided that it might take a long, long time to learn to be fond of these creatures. “Go ahead,” he told Lazarus.

“We opened up and I stepped out alone, with my hands empty and. trying to look friendly and peaceable. Three of them stepped forward-eagerly, I would say. But they lost interest in me at once; they seemed to be waiting for somebody else to come out. So I gave orders to carry Hans out.

“Skipper, you wouldn’t believe it. They fawned over Hans like a long lost brother. No, that doesn’t describe it. More like a king returning home in triumph. They were polite enough with the rest of us, in an offhand way, but they fairly slobbered over Hans.” Lazarus hesitated. “Skipper? Do you believe in reincarnation?”

“Not exactly. I’m open-minded about it. I’ve read the report of the Frawling Committee, of course.” –

“I’ve never had any use for the notion myself. But how else could you account for the reception they gave Hans?” “I don’t account for it. Get on with your report. Do you think it is going to be possible for us to colonize here?”

“Oh,” ‘ud Lazarus, “they left no doubt on that point. You see, Hans really can talk to them, telepathically. Hans tells us that – their gods have authorized us to live here-and the natives have already made plans to receive us.”

“That’s right. They want us.” – “Well! That’s a relief.”

“Is it?”

King studied Lazarus’ glum features. “You’ve made a report favorable on every point. Why the sour look?” “I don’t know. I’d just rather we found a planet of our own. Skipper, anything this easy has a hitch in it.”

Chapter 2

THE Jockaira (or Zhacheira, as some prefer) turned an entire city over to the colonists.

Such astounding cooperation, plus the sudden discovery by almost every member of the Howard Families that he was sick for the feel of dirt under foot and free air in his lungs, greatly speeded the removal from ship to ground. It had been anticipated that at least an Earth year would be needed for such transition and that somnolents would be waked only as fast as they could be accommodated dirtside, But the limiting factor now was the scanty ability of the ship’s boats to transfer a hundred thousand people as they were roused.

The Jockaira city was not designed to fit the needs of human beings. The Jockaira were not human beings, their physical requirements were somewhat different, and their cultural needs as expressed in engineering were vastly different. But a city, any city, is a machine to accomplish certain practical ends: shelter, food supply, sanitation, communication; the internal logic  of these prime requirements. as applied by diiferent creatures to different environments, will produce an unlimited number of answers. But, as applied by any race of warm-blooded, oxygen-breathing androidal creatures to a particular environment, the results, although strange, are necessarily such that Terran humans can use them. In some ways the Jockaira city looked as wild as a pararealist painting, but humans have lived in igloos, grass shacks, and even in the cybernautomated burrow under Antarctina; these humans could and did move into the Jockaira city-and of course at once set about reshaping it to suit

them better.

It was not difficult even though there was much to be done. There were buildings already standing-shelters with roofs on them, the artificial cave basic to all human shelter requirements.  It did not matter what the Jockaira had used such a structure for; humans could use it for almost anything: sleeping, recreation, eating, storage, production. There were actual “caves” as well, for the Jockaira dig in more than we do. But humans easily turn troglodyte on occasion, in New York as readily as in Antarctica.

There was fresh potable water piped in for drinking and for limited washing. Amajor lack lay in plumbing; the city had no overall drainage system. The “Jocks” did not waterbathe and their personal sanitation requirements differed from ours and were taken care of differently. Amajor effort had to be made to jury-rig equivalents of shipboard refreshers and adapt them   to hook in with Jockaira disposal arrangements. Minimum necessity ruled; baths would remain a rationed luxury until water supply and disposal could be increased at least tenfold. But baths are not a necessity.

But such efforts at modification were minor compared with the crash program to set up hydroponic farming, since most of the somnolents could not be waked until a food supply was assured. The do-it-now crowd wanted to tear out every bit of hydroponic equipment in the New Frontiers at once, ship it down dirtside, set it up and get going, while depending on stored supplies during the changeover; a more cautious minority wanted to move only a pilot plant while continuing to grow food in the ship; they pointed out that unsuspected fungus or virus on the strange planet could result in disaster …starvation.

The minority, strongly led by Ford and Barstow and supported by Captain King, prevailed; one of the ship’s hydroponic farms was drained and put out of service. Its machinery was broken down into parts small enough to load into ship’s boats.

But even this never reached dirtside. The planet’s native farm products turned out to be suitable for human food and the Jockaira seemed almost pantingly anxious to give them away. Instead, efforts were turned to establishing Earth crops in native soil in order to supplement Jockaira foodstuffs with sorts the humans were used to. The Jockaira moved in and almost took over that effort; they were superb “natural” farmers (they had no need for synthetics on their undepleted planet) and seemed delighted to attempt to raise anything their guests wanted.

Ford transferred his civil headquarters to the city as soon as a food supply for more than a pioneer group was assured, while King remained in the ship. Sleepers were awakened and ferried to the ground as fast as facilities were made ready for them and their services could be used. Despite assured food, shelter, and drinking water, much needed to be done to provide minimum comfort and decency. The two cultures were basicially different. The Jockaira seemed always anxious to be endlessly helpful but they were often obviously baffled at what the humans tried to do. The Jockaira culture did not seem to include the idea of privacy; the buildings of the city had no partitions in them which were not loadbearing-and few that were; they tended to use columns or posts. They could not understand why the humans would break up these lovely open spaces into cubicles and passageways; they simply could not comprehend why any individual would ever wish to be alone for any purpose whatsoever.

Apparently (this is not certain, for abstract communication with them never reached a subtle level) they decided eventually that being alone held a religious significance for Earth people. In any case they were again helpful; they provided thin sheets of material which could be shaped into partitions-with their tools and only with their tools. The stuff frustrated human engineers almost to nervous collapse. No corrosive known to our technology affected it; even the reactions that would break down the rugged fluorine plastics used in handling uranium compounds had no effect on it. Diamond saws went to pieces on it, heat did not melt it, cold did not make it brittle. It stopped light, sound, and all radiation they were equipped to try on it. Its tensile strength could not be defined because they could not break it. Yet Jockaira tools, even when handled by humans, could cut it, shape it, reweld it.

The human engineers simply had to get used to such frustrations. From the criterion of control over environment through technology the Jockaira were as civilized as humans. But their developments had been along other lines.

The important differences between the two cultures went much deeper than engineering technology. Although ubiquitously friendly and helpful the Jockaira were not human. They thought differently, they evaluated differently; their social structure and language structure reflected their unhuman quality and both were incomprehensible to human beings.

Oliver Johnson, the semantician who had charge of developing a common language, found his immediate task made absurdly easy by the channel of communication through Hans Weatheral. “Of course,” he explained to Slayton Ford and to Lazarus, “Hans isn’t exactly a genius; he just misses being a moron. That limits the words I can translate through him to ideas he can understand. But it does give me a basic vocabulary to build on.”

“Isn’t that enough?” asked Ford. “It seems to me that – I have heard that eight hundred words will do to convey any idea.”

“There’s some truth in that,” admitted Johnson. “Less than a thousand words will cover all ordinary situations. I have selected not quite seven hundred of their terms, operationals and substantives, to give us a working lingua franca. But subtle distinctions and fine discriminations will have to wait until we know them better and understand them. Ashort vocabulary cannot handle high abstractions.”

“Shucks,” said Lazarus, “seven hundred words ought to be enough. Me, I don’t intend to make love to ‘em, or try to discuss poetry.”

This opinion seemed to be justified; most of the members picked up basic Jockairan in two weeks to a month after being ferried down and chattered in it with their hosts as if they had talked it all their lives. All of the Earthmen had had the usual sound grounding in mnemonics and semantics; a short-vocabulary auxiliary language was quickly learned under the stimulus of need and the circumstance of plenty of chance to practice-except, of course, by the usual percentage of unshakable provincials who felt that it was up to “the natives” to learn English.

The Jockaira did not learn English. In the first place not one of them showed the slightest interest. Nor was it reasonable to expect their millions to learn the language of a few thousand. But in any case the split upper lip of a Jockaira could not cope with “m,” “p,” and “b,” whereas the gutturals, sibilants, dentals, and clicks they did use could be approximated by the human throat.

Lazarus was forced to revise his early bad impression of the Jockaira. It was impossible not to like them once the strangeness of their appearance had worn off. They were so hospitable, so generous, so friendly, so anxious to please. He became particularly attached to Kreei Sarloo, who acted as a sort of liaison officer between the Families and the Jockaira. Sarloo held a position among his own people which could be trans1ated roughly as “chief,” “father,” “priest,” or “leader” of the Kreel family or tribe. He invited Lazarus to visit him in the Jockaira city nearest the colony. “My people will like to see you and smell your skin,” he said. “It will be a happymaking thing. The gods will be pleased.”

Sarloo seemed almost unable to form a sentence without making reference to his gods. Lazarus did not mind; to another’s religion he was tolerantly indifferent. “I will come, Sarloo, old bean. It will be a happymaking thing for me, too.”

Sarloo took him in the common vehicle of the Jockaira, a wheelless wain shaped much like a soup bowl, which moved quietly and rapidly over the ground, skimming the surface in apparent contact. Lazarus squatted on the floor of the vessel while Sarloo caused it to speed along at a rate that made Lazarus’ eyes water.

“Sasloo,” Lazarus asked, shouting to make himself heard against the wind, “how does this thing work? What moves it?’ “The gods breathe on the-” Sarloo used a word not in their common language. “-and cause it to need to change its place.”

Lazarus started to ask for a fuller explanation, then shut up. There had been something familiar about that answer and he now placed it; he had once given a very similar answer to one of the water people of Venus when he was asked to explain the diesel engine used in an early type of swamp tractor. Lazarus had not meant to be mysterious; he had simply been

tongue-tied by inadequate common language. Well, there was a way to get around that- “Sarloo, I want to see pictures of what happens inside,” Lazarus persisted, pointing. “You have pictures?”

“Pictures are,” Sarloo acknowledged, “in the temple. You must not enter the temple.” His great eyes looked mournfully at Lazarus, giving him a strong feeling that the Jockaira chief grieved over his friend’s lack of grace. Lazarus hastily dropped the subject.

But the thought of Venerians brought another puzzler to mind. The water people, cut off from the outside world by the eternal clouds of Venus, simply did not believe in astronomy. The arrival of Earthmen had caused them to readjust their concept of the cosmos a little, but there was reason to believe that their revised explanation was no closer to the truth. Lazarus wondered what the Jackaira thought about visitors from space. They had shown no surprise—or had they? –

“Sarloo,” he asked, “do you know where my brothers and I come from?’

“I know,” Sarloo answered. “You come from a distant sun -so distant that many seasons would come and go while light traveled that long journey.” – Lazarus felt mildly astonished. “Who told you that?’

“The gods tell us. Your brother Libby spoke on it.”

Lazarus was willing to lay odds that the gods had not got around to mentioning it until after Libby explained it to Kreel Sarloo. But he held his peace. He still wanted to ask Sarloo if he had been surprised to have visitors arrive from the skies but he could think of no Jockairan term for surprise or wonder. He was still trying to phrase the question when Sarloo spoke again:

“The fathers of my people flew through the skies as you did, but that was before the coming of the gods. The gods, in their wisdom, bade us stop.”

And that, thought Lazarus, is one damn big lie, from pure panic. There was not the slightest indication that the Jockaira had ever been off the surface of their planet.

At Sarloo’s home that evening Lazarus sat through a long session of what he assumed was entertainment for the guest of honor, himself. He squatted beside Sarloo on a raised portion of the floor of the vast common room of the clan Kreel and listened to two hours of howling that might have been intended as singing. Lazarus felt that better music would result from stepping on the tails of fifty assorted dogs but he tried to take it in the spirit in which it seemed to be offered.

Libby, Lazarus recalled, insisted that this mass howling which the Jockaira were wont to indulge in was, in fact,he had to sdmit that Llbby the ***$ork*** ***$ttsr*** than he did in some ways~ Libby had been delighted to discover that the Jockaira were excellent and subtle mathematicians. In particular they had a grasp of number that ***pi 1/4$Ileled j~ own w~d- ‘ta1~,fl~r -arithmetics irene lnoredl~ pvved for ncnnal human***. Anumber, any number ***I*ip *** to them a unique entity, to be grasped in itself ***si net idIy as ft*** grouping of smaller numbers. In consequence they used any convenient positional or exponential notation with any base, rational irrational, or variable-~,***-~ st-a***. It was supreme luck, Lazarus mused, that Libby was available to act as mathematical interpreter between the Jockaira and the Families, else it would have been impossible to grasp a lot of the new technologies the Jockaira were showing them.

He wondered why the Jockaira showed no interest in learning human technologies they were offered in return?

The howling discord died away and Lazarus brought his thoughts back to the scene around him. Food was brought; the Kreel family tackled it with the same jostling enthusiasm with which Jockaira did everything. Dignity, thought Lazarus—lean idea which never caught on here. Alarge bowl, full two feet across and brimful of an amorpheous meal, was placed in front  of Kreel Sarloo. Adozen Kreels crowded atound it and started grabbing~giving no precedence to their senior. But Sadoo casually slapped a few of them out of the way and plunged a hand into the dish, brought forth a gob of the ration and rapidly kneaded it into a ball in the palm of his double-thumbed hand. Done, he shoved it towards Lazarus’ mouth.

Lmarus war not squeamish-but he had to remind bimself first, that food for Jockaira was food for men, and second that he could not catch anything from them anyhow, before he could bring himself to try the proffered morsel.

He took a large bite. Mmmm… not too bad-bland and sticky, no particular flavor. Not good eithet~but could be swallowed. Grimly determined to uphold the hon of his race, he ate on, while promising himself a proper meal in the near future. When lie’ (cit that to swallow another mouthful would be to invite physical and social diaaster.

***$~ed Up sl.~Ze h**dM st~ha m~ uite$bmsndc~d IttoSssfoo ,kWasIn.pired dljdmflitey For Ike zest of the mast Lazarus fe4 Sexton, fed bun until bin anne were tired until he m~ at ha hosts ability o tuck it away**

After eating they slept and Lazarus slept with the famiy *** lIte**ly*** They slept where they had eaten, without beds, disposed as casually as leaves on a path or puppies. To his aurprise, Lazarus slept well and did not awoke until false suns in the cavern roof glowed in ***mysse,~as s~rmpath~c to-***new dawn. Sarloo was still asleep near him and giving out most humanlike snores. Lazarus found that one infant Jockaira was cuddled spoon fashion against his own stomach. He felt a movement behind his back~ a rustle at his thigh. He turned cautiously and found that another Jockaira-a six-year-old in human equivalence-had extracted his blaster from its holster and was now gazing curiously into its muzzle.

With hasty caution Lazarus removed the deadly toy from the child’s unwilling fingers, noted with relief that the safety was still on and reholstered it. Lazarus received a reproach for look; the kid seemed about to cry. “Hush,” whispered Lazarus, “you’ll wake your o1d man. Here—”- He gathered the child into his left arm, and cradled it against his side. The little Jockaira snuggled up to him, laid a soft moist mouth against his side, and promptly went to sleep.

Lazarus looked down at him. “You’re a cute little devil,” he said softly. “I-could grow right fond of you if 1 could ever get used to your smell.”

Some of the incidents between the two races would bave been funny bad they not been charged with potential trouble: for example, the case of Eleanor Johnson’s son Hubert This gangling adolescent was a confirmed sidewalk-superintendent. One day he was watching two technicians, one human and one Jockaira, adapt a Jockaira power source to the feed of Earth-type machinery. Tbe Jockaira was apparently amused by the boy and, in an obviously friendly spirit, picked him up.

Hubert began to scream.

His mother, never far from him, joined battle. She lacked strength and skill to do the utter destruction she was bent on; the big nonhuman was unhurt, but it created a nasty situation. Administrator Ford and Oliver Johnson tried very hard to explain the incident to the amazed Jockaira. Fortunately, they seemed grieved rather than vengeful.

Ford then called in Eleanor Johnson. “You have endangered the entire colony by your stupidity-“ “But I-“

“Keep quiet! If you hadn’t spoiled the boy rotten, he would have behaved himself. If you weren’t a maudlin fool. you would have kept your hands to yourself. The boy goes to the regular development classes henceforth and you are to let him alone. At the lightest sign of animosity on your part toward any of the natives, I’ll have you subjected to a few years’ cold-rest. Now get out!”

Ford was forced to use almost as strong measures on Janice Schmidt. The interest shown in Hans Weatheral by the Jockaira extended to all the telepathic defectives. The natives seemed to be reduced to a state of quivering adoration by the mere fact that these could communicate with them directly. Kreel Sarloo informed Ford that he wanted the sensitives to be housed separately from the other defectives in the evacuated temple of the Earthmen’s city and that the Jockaira wished to wait on them personally. It was more of an order than a request.

Janice Schmidt submitted ungracefully to Ford’s insistence that the Jockaira be humored in the matter in return for all that they had done, and Jockaira nurses took over under her jealous eyes.

Every sensitive of intelligence level higher than the semimoronic Hans Weatheral promptly developed spontaneous and extreme psychoses while being attended by Jockaira.

So Ford had another headache to straighten out. Janice Schmidt was more powerfully and more intelligently vindictive than was Eleanor Johnson. Ford was s-tpr~d to bind Janice over to keep the peace under the threat of retiring her completely from the care of her beloved “children.” Kreel Sarloo, distressed and apparently shaken to his core, accepted a compromise whereby Janice and her junior nurses resumed care of the poor psychotics while Jockaira continued to minister to sensitives of moron level and below.

But the greatest difficulty arose over … surnames. Jockaira each had an individual name and a surname. Surnames were limited in number, much as they were in the Families. A native’s surname referrect equally to his tribe and to the temple in which he worshipped.

Kreel Sarloo took up the matter with Ford. “High Father of the Strange Brothers,” he said, “the time has come for you and your children to choose your surnames.” (The rendition of Sarloo’s speech into English necessarily contains inherent errors.)

Ford was used to difficulties in understanding the Jockaira. “Sarloo, brother and friend,” he answered, “I hear your words but I do not understand. Speak more fully.”

Sarloo began over. “Strange brother, the seasons come and the seasons go and there is a time of ripening. The gods tell us that you, the Strange Brothers, have reached the time in your education (?) when you must select your tribe and your temple. I have come to arrange with you the preparations (ceremonies?) by which each will choose his surname. I speak for the gods in this. But let me say for myself that it would make me happy if you, my brother Ford, were to choose the temple Kreel.”

Ford stalled while he tried to understand what was implied. “I am happy that you wish me to have your surname. But my people already have their own surnames.”

Sarloo dismissed that with a flip of his lips. “Their present surnames are words and nothing more. Now they must choose their real surnames, each the name of his temple and of the god whom he will worship. Children grow up and are no longer children.”

Ford decided that he needed advice. “Must this be done at once?” “Not today, but in the near future. The gods are patient.”

Ford called in Zaccur Barstow, Oliver Johnson, Lazarus Long, and Ralph Schultz, and described the interview. Johnson played back the recording of the conversation and strained to catch the sense of the words. He prepared several possible translations but failed to throw any new light on the matter.

“It looks,” said Lazarus, “like a case of join the church or get out.”

“Yes,” agreed Zaccur Barstow, “that much seems to come through plainly. Well, I think we can afford to go through the motions. Very few of our people have religious prejudices strong enough to forbid their paying lip service to the native gods in the interests of the general welfare.”

“I imagine you are correct,” Ford said. “I, for one, have no objection to adding Kreel to my name and taking part in their genuflections if it will help us to live in peace.” He frowned. “But I would not want to see our culture submerged in theirs.”

“You can forget that,” Ralph Schultz assured him. “No matter what we have to do to please them, there is absolutely no chance of any real cultural assimilation. Our brains are not like theirs-just how different I am only beginning to guess.”

“Yeah,” said Lazarus, ” ‘just how different.’”

Ford turned to Lazarus. “What do you mean by that? What’s troubling you?”  “Nothing. Only,” he added, “I never did share the general enthusiasm for this place.”

They agreed that one man should take the plunge first, then report back. Lazarus tried to grab the assignment on seniority, Schultz claimed it as a professional right; Ford overruled them and appointed himself, asserting that it was his duty as the responsible executive. –

Lazarus went with him to the doors of the temple where the induction was to take place. Ford was as bare of clothing as the Jockaira, but Lazarus, since he was not to enter the temple, was able to wear his kilt. Many of the colonists, sunstarved after years in the ship, went bare when it suited them, just as the Jockaira did. But Lazarus never did. Not only did his habits run counter to it, but a blaster is an extremely conspicuous object on a bare thigh.

Kreel Sarloo greeted them and escorted Ford inside. Lazarus called out after them, “Keep your chin up, pal!”

He waited. He struck a cigarette and smoked it. He walked up and down. He had no way to judge how long it would be; it seemed, in consequence, much longer than it was.

At last the doors slid back and natives crowded out through them. They seemed curiously worked up about something and none of them came near Lazarus. The press that still existed in the great doorway separated, formed an aisle, and a figure came running headlong through it and out into the open.

Lazarus recognized Ford.

Ford did not stop where Lazarus waited but plunged blindly on past. He tripped and fell down. Lazarus hurried to him.

Ford made no effort to get up. He lay sprawled face down, his shoulders heaving violently, his frame shaking with sobs. Lazarus knelt by him and shook him. “Slayton,” he demanded, “what’s happened? What’s wrong with you?” Ford turned wet and horror-stricken eyes to him, checking his sobs momentarily. He did not speak but he seemed to recognize Lazarus. He flung himself on Lazarus, clung to him, wept more violently than before.

Lazarus wrenched himself free and slapped Ford hard. “Snap out of it!” he ordered. “Tell me what’s the matter.”

Ford jerked his head at the slap and stopped his outcries but he said nothing. His eyes looked dazed. Ashadow fell across Lazarus’ line of sight; he spun around, covering with his blaster. Kreel Sarloo stood a few feet away and did not come closer-not because of the weapon; he had never seen one before.

“You!” said Lazarus. “For the-What did you do to him?”

He checked himself and switched to speech that Sarloo could understand. “What has happened to my brother Ford?” “Take him away,” said Sarloo, his lips twitching. “This is a bad thing. This is a very bad thing.”

“You’re telling me!” said Lazarus. He did not bother to translate.

Chapter 3

THE SAME CONFERENCE as before, minus its chairman, met as quickly as possible. Lazarus told his story, Shultz reported on Ford’s condition. “The medical staff can’t find anything wrong with him. All I can say with certainty is that the Administrator is suffering from an undiagnosed extreme psychosis. We can’t get into communication with him.”

“Won’t he talk at all?” asked Barstow.

“Aword or two, on subjects as simple as food or water. Any attempt to reach the cause of his trouble drives him into incoherent hysteria.” “No diagnosis?”

“Well, if you want an unprofessional guess in loose language, I’d say he was scared out of his wits. But,” Schultz added, “I’ve seen fear syndromes before. Never anything like this.”  “I have,” Lazarus said suddenly.

“You have? Where? What were the circumstances?’

“Once,” said Lazarus, “when I was a kid, a couple of hundred years back, I caught a grown coyote and penned him up. I had a notion I could train him to be a hunting dog. It didn’t work. “Ford acts just the way that coyote did.”

An unpleasant silence followed. Schultz broke it with, “I don’t quite see what you mean. What is the parallel?’

“Well,” Lazarus answered slowly, “this is just my guess. Slayton is the only one who knows the true answer and he can’t talk. But here’s my opinion: we’ve had these Jockaira doped out all wrong from scratch. We made the mistake of thinking that because they looked like us, in a general way, and were about as civilized as we are, that they were people. But they aren’t people at all. They are … domestic animals.

“Wait a minute now!” he added. “Don’t get in a rush. There are people on this planet, right enough. Real people. They lived in the temples and the Jockaira called them gods. They are gods!”

Lazarus pushed on before anyone could interrupt. “I know what you’re thinking. Forget it. I’m not going metaphysical on you; I’m just putting it the best I can. I mean that there is something living in those temples and whatever it is, it is such heap big medicine that it can pinch-hit for gods, so you might as well call ‘em that. Whatever they are, they are the true dominant race on this planet-its people! To them, the rest of us, Jocks or us, are just animals, wild or tame. We made the mistake of assuming that a local religion was merely superstition. It ain’t.”

Barstow said slowly, “And you think this accounts for what happened to Ford?’ “I do. He met one, the one called Kreel, and it drove him crazy.”

“I take it,” said Schultz, “that it is your theory that any man exposed to this … this presence … would become psychotic?” “Not exactly,” answered Lazarus. “What scares me a damn’ sight more is the fear that I might not go crazy!”

That same day the Jockaira withdrew all contact with the Earthmen. It was well that they did so, else there would have been violence. Fear hung over the city, fear of horror worse than death, fear of some terrible nameless thing, the mere knowledge of which would turn a man into a broken mindless animal. The Jockaira no longer seemed harmless friends, rather clownish despite their scientific attainments, but puppets, decoys, bait for the unseen potent beings who lurked in the “temples.”

There was no need to vote on it; with the single-mindedness of a crowd stampeding from a burning building the Earthmen wanted to leave this terrible place. Zaccur Barstow assumed command. “Get King on the screen. Tell him to send down every boat at once. We’ll get out of here as fast as we can.” He ran his fingers worriedly through his hair. “What’s the most we can load each trip, Lazarus? How long will the evacuation take?”

Lazarus muttered. “What did you say?

“I said, ‘It ain’t a case of how long; it’s a case of will we be let.’ Those things in the temples may want more domestic animals-us!”

Lazarus was needed as a boat pilot but he was needed more urgently for his ability to manage a crowd. Zaccur Barstow was telling him to conscript a group of emergency police when Lazarus looked past Zaccur’s shoulder and exclaimed, “Oh oh! Hold it, Zack-school’s out.”

Zaccur turned his head quickly an4 saw, approaching with stately dignity across the council hail, Kreel Sarloo. No one got in his way.

They soon found out why. Zaccur moved forward to greet him, found himself stopped about ten feet from the Jockaira. No clue to the cause; just that-stopped. “I greet you, unhappy brother,” Sarloo began.

“I greet you, Krecl Sarloo.”

“The gods have spoken. Your kind can never be civilized (?).You and your brothers are to leave this world.” Lazarus let out a deep sigh of relief. –

“We are leaving, Kreel Sarloo,” Zaccur answered soberly.

“The gods require that you leave. Send your bother Libby to me.”

Zaccur sent for Libby, then turned back to Sarloo. But the Jockaira had nothing more to say to them; he seemed indifferent to their presence. They waited.

Libby arrived. Sarloo held him in a long conversation. Barstow and Lazarus were both in easy earshot and could see their lips move, but heard nothing. Lazarus found the circumstance very disquieting. Damn my eyes, he thought, I could figure several ways to pull that trick with the right equipment but I’ll bet none of ‘em is the right answer-and I don’t see any equipment.

The silent discussion ended, Sarloo stalked off without farewell. Libby turned to the others and spoke; now his voice could be heard. “Sarloo tells me,” he began, brow wrinkled in puzzlement, “that we are to go to a planet, uh, over thirtytwo lightyears from here. The gods have decided it.” He stopped and bit his lip.

“Don’t fret about it,” advised Lazarus. “Just be glad they want us to leave. My guess is that they could have squashed us flat just as easily. Once we’re out in space we’ll pick our. own destination.”

“I suppose so. But the thing that puzzles me is that he mentioned a time about three hours~away as being our departure from this system.” “Why, that’s utterly unreasonable,” protested Barstow. “Impossible. We haven’t the boats to do it.”

Lazarus said nothing. He was ceasing to have opinions.

Zaccur changed his opinion quickly. Lazarus acquired one, born of experience. While urging his cousins toward the field where embarkation was proceeding, he found himself lifted up, free of the ground. He struggled, his arms and legs met no resistance but the ground dropped away. He closed his eyes, counted ten jets, opened them again. He was at least two miles  in the air.

Below him, boiling up from the city like bats from a cave, were uncountable numbers of dots and shapes, dark against the sunlit ground. Some were close enough for him to see that they were men, Earthmen, the Families.

The horizon dipped down, the planet became a sphere, the sky turned black. Yet his breathing seemed normal, his blood vessels did not burst.

They were sucked into clusters around the open ports of the New Frontiers like bees swarming around a queen. Once inside the ship Lazarus gave himself over to a case of the shakes. Whew! he sighed to himself, watch that first step-it’s a honey!

Libby sought out Captain King as soon as he was inboard and had recovered his nerve. He delivered Sarloo’s message.

King seemed undecided. “I don’t know,” he said. “You know more about the natives than I do, inasmuch as I have hardly put foot to ground. But between ourselves, Mister, the way they sent my passengers back has me talking to myself. That was the most remarkable evolution I have ever seen performed.”

“I might add that it was remarkable to experience, sir,” Libby answered unhumorously. “Personally I would prefer to take up ski jumping. I’m glad you had the ship’s access ports open.”  “I didn’t,” said King tersely. “They were opened for me.”

They went to the control room with the intention of getting the ship under boost and placing a long distance between it and the planet from which they had been evicted; thereafter they would consider destination and course. “This planet that Sarloo described to you,” said King, “does it belong to a G-type star?”

“Yes,” Libby confirmed, “an Earth-type planet accompanying a Sol-type star. I have its coordinates and could. identify from the catalogues. But we can forget it; it is too far away.’ “So …” King activated the vision system for the stellarium. Then neither of them said anything for several long moments. The images of the heavenly bodies told their own story. With no orders from King, with no hands at the controls, the New Frontiers was on her long way again, headed out, as if she had a mind of her own.

“I can’t tell you much,” admitted Libby some hours later to a group consisting of King, Zaccur Barstow, and Lazarus Long. “I was able to determine, before we passed the speed of light-or appeared to-that our course then was compatible with the idea that we have been headed toward the star named by Kreel Sarloo as the destination ordered for us by his gods. We continued to accelerate and the stars faded out. I no longer have any astrogational reference points and I am unable to say where we are or where we are going,”

“Loosen up, Andy,” suggested Lazarus. “Make a guess.”

“Well … if our world line is a smooth function-if it is, and I have no data-then we may arrive in the neighborhood of star PK3722, where Kreel Sarloo said we were going.” “Rummph!” Lazarus turned to King. “Have you tried slowing down?”

“Yes,” King said shortly. “The controls are dead.” “Mmmm … Andy, when do we get there?”

Libby shrugged helplessly. “I have no frame of reference. What is time without a space reference?”

Time and space, inseparable and one-Libby thought about it long after the others had left. To be sure, he had the space framework of the ship itself and therefore there necessarily was ship’s time. Clocks in the ship ticked or hummed or simply marched; people grew hungry, fed themselves, got tired, rested. Radioactives deteriorated, physio-chemical processes moved toward states of greater entropy, his own consciousness perceived duration.

But the background of the stars, against which every timed function in the history of man had been measured, was gone. So far as his eyes or any instrument in the ship could tell him, they had become unrelated to the rest of the universe.

What universe?

There was no universe. It was gone.

Did they move? Can there be motion when there is nothing to move past?

Yet the false weight achieved by the spin of the ship persisted. Spin with reference to what? thought Libby. Could it be that space held a true, absolute, nonrelational texture of its own, like that postulated for the long-discarded “ether” thatthe classic Michelson-Morley experiments had failed to detect? No, more than that-had denied the very possibility of its existence? -had for that matter denied the possibility of speed greater than light. Had the ship actually passed the speed of light? Was it not more likely that this was a coffin, with ghosts as passengers, going nowhere at no time?

But Libby itched between his shoulder blades and was forced to scratch; his left leg had gone to sleep; his stomach was beginning to speak insistently for food-if this was death, he decided, it did not seem materially different from life.

With renewed tranquility, he left the control room and headed for his favorite refectory, while starting to grapple with the problem of inventing a new mathematics which would include all the new phenomena. The mystery of how the hypothetical gods of the Jockaira had teleported the Families from ground to ship he discarded. There had been no opportunity to obtain significant data, measured data; the best that any honest scientist could do, with epistemological rigor, was to include a note that recorded the fact and stated that it was unexplained. It was a fact; here he was who shortly before had been on the planet; even now Schultz’s assistants were overworked trying to administer depressant drugs to the thousands who had gone to pieces emotionally under the outrageous experience. But Libby could not explain it and, lacking data, felt no urge to try. What he did want to do was to deal with world lines in a plenum, the basic problem of field physics.

Aside from his penchant for mathematics Libby was a simple person. He preferred the noisy atmosphere of the “Club,” refectory 9-D, for reasons different from those of Lazarus. The company of people younger than himself reassured him; Lazarus was the only elder he felt easy with.

Food, he learned, was not immediately available at the Club; the commissary was still adjusting to the sudden change. But Lazarus was there and others whom he knew; Nancy Weatheral scrunched over and made room for him. “You’re just the man I want to see,” she said. “Lazarus is being most helpful. Where are we going this time and when do we get there?” –

Libby explained the dilemma as well as he could. Nancy wrinkled her nose. “That’s a pretty prospect, I must say! Well, I guess that means back to the grind for little Nancy.” “What do you mean?”

“Have you ever taken care of a somnolent? No, of course you haven’t. It gets tiresome. Turn them over, bend their arms, twiddle their tootsies, move their heads, close the tank and move on to the next one. I get so sick of human bodies that I’m tempted to take a vow of chastity.”

“Don’t commit yourself too far,” advised Lazarus. “Why would you care, you old false alarm?” Eleanor Johnson spoke up. “Fm glad to be in the ship again. Those slimy Jockaira-ugh!”

Nancy shrugged. “You’re prejudiced, Eleanor. The Jocks are okay, in their way. Sure, they aren’t exactly like us, but neither are dogs. You don’t dislike dogs, do you?’ “That’s what they are,” Lazarus said soberly. “Dogs.”

“Huh?”

“I don’t mean that they are anything like dogs in most ways-they aren’t even vaguely canine and they certainly are our equals and possibly our superiors in some things … but they are dogs just the same. Those things they call their ‘gods’ are simply their masters, their owners. We couldn’t be domesticated, so the owners chucked us out.”

Libby was thinking of the inexplicable telekinesis the Jockaira-or their masters-had used. “I wonder what it would have been like,” he said thoughtfully, “if they had been able to domesticate us. They could have taught us a lot of wonderful things”

“Forget it,” Lazarus said sharply. “It’s not a man’s place to be property.” “What is a man’s place?”

“It’s a man’s business to be what he is … and be it in style!” Lazarus got up. “Got to go.”

Libby started to leave also, but Nancy stopped him. “Don’t go. I want to ask you some questions. What year is it back on~ Earth?”

Libby started to answer, closed his mouth. He started to answer a second time, finally said, “I don’t know how to answer that question. It’s like saying, ‘How high is up?”

“I know I probably phrased it wrong,” admitted Nancy. ‘1 didn’t do very well in basic physics, but I did gather the idea that time is relative and simultaneity is an idea which applies only to two points close together in the same framework. But just the same, I want to know something. We’ve traveled a lot faster and farther than anyone ever did before, haven’t we? Don’t our clocks slow down, or something?”

Libby got that completely baffled look which mathematical-physicists wear whenever laymen try to talk about physics in nonmathematical language. “You’re referring to the Lorentz-2 FitzGerald contraction. But, if you’ll pardon me, anything one says about it in words is necessarily nonsense.”

“Why?” she insisted.

“Because … well, because the language is inappropriate. The formulae used to describe the effect loosely called a contraction presuppose that the observer is part of the phenomenon. But verbal language contains the implicit assumption that we can stand outside the whole business and watch what goes on. The mathematical language denies the very possibility of any such outside viewpoint. Every observer has his own world line; he can’t get outside it for a detached viewpoint.”

“But suppose he did? Suppose we could see Earth right now?”

‘~There I go again,” Libby said miserably. “I tried to talk about it in words and all I did was to add to the confusion. There is no way to measure time in any absolute sense when two events are separated in a continuum. All you can measure is interval.”

“Well, what is interval? So much space and so much time.”

“No, no, no! It isn’t that at all. Interval is … well, it’s interval. I can write down formulae about it and show you how we use it, but it can’t be defined in words. Look, Nancy, can you write the score for a full orchestration of a symphony in words?” –

“No. Well, maybe you could but it wonld take thousands of times as long.”

“And musicians still could not play it until you put it back into musical notation. That’s what I meant,” Libby went on, “when I said that the language was inappropriate. I got into a difficulty like this once before in trying to describe the lightpressure drive. I was asked why, since the drive depends on loss of inertia, we people inside the ship had felt no loss of inertia. There was no answer, in words. Inertia isn’t a word; it is a mathematical concept used in mathematically certain aspects of a plenum. I was stuck.”

Nancy looked baffled but persisted doggedly. “My question still means something, even if I didn’t phrase it right. You can’t just tell me to run along and play. Suppose we turned around and went back the way we came, all the way to Earth, exactly the same trip but in reverse-just double the ship’s time it has been so far. All right, what year would it be on Earth when we got there?’

“It would be … let me see, now-” The almost automatic processes of Libby’s brain started running off the unbelievably huge and complex problem in accelerations, intervals, difform motion. He was approaching the answer in a warm glow of mathematical revery when the problem suddenly fell to pieces on him, became indeterminate. He abruptly realized that the problem had an unlimited number of equally valid answers.

But that was impossible. In the real world, not the fantasy world of mathematics, such a situation was absurd. Nancy’s question had to have just one answer, unique and real. Could the whole beautiful structure of relativity be an absurdity? Or did it mean that it was physically impossible ever to backtrack an interstellar distance?

“I’ll have to give some thought to that one,” Libby said hastily and left before Nancy could object.

But solitude and contemplation gave him no clue to the problem. It was not a failure of his mathematical ability; he was capable, he knew, of devising a mathematical description of any group of facts, whatever they might be. His difficulty lay in having too few facts. Until some observer traversed interstellar distances at speeds approximating the speed of light and returned to the planet from which he had started there could be no answer. Mathematics alone has no content, gives no answers.

Libby found himself wondering if the hills of his native Ozarks were still green, if the smell of wood smoke still clung to the trees in the autumn, then he recalled that the question lacked any meaning by any rules he knew of. He surrendered to an attack of homesickness such as he had not experienced since he was a youth in the Cosmic Construction Corps, making his first deep-space jump.

This feeling of doubt and uncertainty, the feeling of lostness and nostalgia, spread throughout the ship. On the first leg of their journey the Families had had the incentive that had kept the covered wagons crawling across the plains. But now they were going nowhere, one day led only to the next. Their long lives were become a meaningless burden.

Ira Howard, whose fortune established the Howard Foundation, was born in 1825 and died in 1873-of old age. He sold groceries to the Forty-niners in San Francisco, became a wholesale sutler in the American War of the Secession, multiplied his fortune during the tragic Reconstruction.

Howard was deathly afraid of dying. He hired the best doctors of his time to prolong his life. Nevertheless old age plucked him when most men are still young. But his will commanded that his money be used to lengthen human life. The administrators of the trust found no way to carry out his wishes other than by seeking out persons whose family trees showed congenital predispositions toward long life and then inducing them to reproduce in kind. Their method anticipated the work of Burbank; they may or may not have known of the illuminating researches of the Monk Gregor Mendel.

Mary Sperling put down the book she had been reading when Lazarus entered her stateeoom. He picked it up. “What are you reading, Sis? ‘Ecclesiastes.’ Hmm … I didn’t know you were religious.” He read aloud:

“‘Yea, though he live a thousand years twice told, yet hath he seen no good: do not all go to one place?’

“Pretty grim stuff, Mary. Can’t you find something more cheerful? Even in The Preacher?’ His eyes skipped on down. “How about this one? ‘For to him that is joined to all the living there is hope-‘ Or … mnunm, not too many cheerful spots. Try this: ‘Therefore remove sorrow from thy heart, and put away evil from thy flesh: for childhood and youth are vanity.’ That’s more my style; I wouldn’t be young again for overtime wages.”

“I would.”

“Mary, what’s eating you? I find you sitting here, reading the most depressing book in the Bible, nothing but death and funerals. Why?” She passed a hand wearily across her eyes. “Lazarus, I’m getting old. What else is there to think about?’

“You? Why, you’re fresh as a daisy!”

She looked at him. She knew that he lied; her mirror showed her the greying hair, the relaxed skin; she felt it in her bones. Yet Lazarus was older than she … although she knew, from what she had learned of biology during the years she had assisted in the longevity research, that Lazarus should never have lived to be as old as he was now. When he was born the program had reached only the third generation, too few generations to eliminate the less durable strains-except through some wildly unlikely chance shuffling of genes.

But there he stood. “Lazarus,” she asked, “how long do you expect to live?”

“Me? Now that’s an odd question. I mind a time when I asked a chap that very same question-about me, I mean, not about him. Ever hear of Dr. Hugo Pinero?” “‘Pinero… Pinero…’ Oh, yes, ‘Pinero the Charlatan.’”

“Mary, he was no charlatan. He could do it, no foolin’. He could predict accurately when a man would die.” “But-Go ahead. What did he tell you?”

“Just a minute. I want you to realize that he was no fake. His predictions checked out right on the button-if he hadn’t died, the life insurance companies would have been ruined. That was before you were born, but I was there and I know. Anyhow, Pinero took my reading and it seemed to bother him. So he took it again. Then he returned my money.”

“What did he say?”

“Couldn’t get a word out of him. He looked at me and he looked at his machine and he just frowned and clammed up. So I can’t rightly answer your question.” “But what do you think about it, Lazarus? Surely you don’t expect just to go on forever?”

“Mary,” he said softly, “Fm not planning on dying. I’m not giving it any thought at all.”

There was silence. At last she said, “Lazarus, I don’t want to die. But what is the purpose of our long lives? We don’t seem to grow wiser as we grow older. Are we simply hanging on after our tune has passed? Loitering in the kindergarten when we should be moving on? Must we die and be born again?”

“I don’t know,” said Lazarus, “and I don’t have any way to find out… and I’m damned if I see any sense in my worrying about it. Or you either. I propose to hang onto this life as long as I can and learn as much as I can. Maybe wishing and understanding are reserved for a later existence and maybe they aren’t for us at all, ever. Either way, I’m satisfied to be living and enjoying it. Mary my sweet, carpe that old diem! It’s the only game in town.”

The ship slipped back into the same monotonous routine that had obtained during the weary years of the first jump. Most of the Members went into cold-rest; the others tended them, tended the ship, tended the hydroponds. Among the somnolents was Slayton Ford; cold-rest was a common last resort therapy for functional psychoses.

The flight to star PK3722 took seventeen months and three days, ship’s time.

The ship’s officers had as little choice about the journey’s end as about its beginning. Afew hours before their arrival star images flashed back into being in the stellarium screens and the ship rapidly decelerated to interplanetary speeds. No feeling of slowing down was experienced; whatever mysterious forces were acting on them acted on all masses alike. The New Frontiers slipped into an orbit around a live green planet some hundred million miles from its sun; shortly Libby reported to Captain King that they were in a stable parking orbit.

Cautiously King tried the controls, dead since their departure. The ship surged; their ghostly pilot had left them.

Libby decided that the simile was incorrect; this trip had undoubtedly been planned for them but it was not necessary to assume that anyone or anything had shepherded them here. Libby suspected that the “gods” of the dog-people saw the plenum as static; their deportation was an accomplished fact to them before it happened-a concept regrettably studded with unknowns-but there were no appropriate words. Inadequately and incorrectly put into words, his concept was that of a “cosmic cam,” a world line shaped for them which ran out of normal space and back into it; when the ship reached the end of its “cam” it returned to normal operation.

He tried to explain his concept to Lazarus and to the Captain, but he did not do well. He lacked data and also had not had time to refine his mathematical description into elegance; it satisfied neither him nor them.

Neither King nor Lazarus had time to give the matter much thought. Barstow’s face appeared on an interstation viewscreen. “Captain!” he called out. “Can you come aft to lock seven? We have visitors!”

Barstow had exaggerated; there was only one. The creature reminded Lazarus of a child in fancy dress, masqueraded as a rabbit. The little thing was more android than were the Jockaira, though possibly not mammalian. It was unclothed but not naked, for its childlike body was beautifully clothed in short sleek golden fur. Its eyes were bright and seemed both merry and intelligent.

But King was too bemused to note such detail. Avoice, a thought, was ringing in his head: “… so you are the group leader …” it said. “… welcome to our world … we have been expecting you … the (blank.) told us of your coming…”

Controlled telepathy. Acreature, a race, so gentle, so civilized, so free from enemies, from all danger and strife that they could afford to share their thoughts with others-to share more than their thoughts; these creatures were so gentle and so generous that they were offering the humans a homestead on their planet. This was why this messenger had come: to make that offer.

To King’s mind this seemed remarkably like the prize package that had been offered by the Jockaira; he wondered what the boobytrap might be in this proposition.

The messenger seemed to read his thought”… look into our hearts… we hold no malice toward you … we share your love of life and we love the life in you … “We thank you,” King answered formally and aloud. “We will have to confer.” He turned to speak to Barstow, glanced back. The messenger was gone.

The Captain said to Lazarus, “Where did he go?” “Huh? Don’t ask me.”

“But you were in front of the lock.”

“I was checking the tell-tales. There’s no boat sealed on outside this lock-so they show. I was wondcring if they were working right. They are. How did he get into the ship? Where’s his rig?’

“How did he leaver’ “Not past me!”

“Zaccur, he came in through this lock, didn’t he? “I don’t know.”

“But he certainly went out through it”

“Nope,” denied Lazarus. “This lock hasn’t been opened. The deep-space seals are still in place. See for yourself.” King did. “You don’t suppose,” he said slowly, “that he can pass through-“

“Don’t look at me,” said Lazarus. “I’ve got no more prejudices in the matter than the Red Queen. Where does a phone image go when you cut the circuit?” He left, whistling softly to himself. King did not recognize the tune. Its words, which Lazarus did not sing, started with:

“Last night I saw upon the stair Alittle man who wasn’t there-“

Chapter 4

THERE WAS NO CATCH to the offer. The people of the planet-they had no name since they had no spoken language and the Earthmen simply called them “The Little People”-the little creatures really did welcome them and help them. They convinced the Families of this without difficulty for there was no trouble in communication such as there had been with the Jockaira. The Little People could make even subtle thoughts kndwn directly to the Earthmen and in turn could sense correctly any thought directed at them. They appeared either to ignore or not to be able to read any thought not directed at them; communicatibn with them was as controlled as spoken speech. Nor did the Earthmen acquire any telepathic powers among themselves.

Their planet was even more like Earth than was the planet of the Jockaira. It was a little larger than Earth but had a slightly lower surface gravitation, suggesting a lower average density- the Little People made slight use of metals in their culture, which may be indicative.

The planet rode upright in its orbit; it had not the rakish tilt of Earth’s axis. Its orbit was nearly circular; aphelion differed from perihelion by less than one per cent. There were no seasons. Nor was there a great heavy moon, such as Earth has, to wrestle its oceans about and to disturb the isostatic balance of its crust. Its hills were low, its winds were gentle, its seas were placid. To Lazarus’ disappointment, their new home, had no lively weather; it hardly had weather at all; it had climate, and that of the sort that California patriots would have the rest of the Earth believe exists in their part of the globe.

But on the planet of the Little People it really exists.

They indicated to the Earth people where they were to land, a wide sandy stretch of beach running down to the sea. Back of the low break of the bank lay mile on mile of lush meadowland, broken by irregular clumps of bushes and trees. The landscape had a careless neatness, as if it were a planned park, although there was no evidence of cultivation. It was here, a messenger told the first scouting party, that they were welcome to live.

There seemed always to be one of the Little People present when his help might be useful-not with the jostling inescapable overhelpfulness of the Jockaira, but with the unobtrusive readiness to hand of a phone or a pouch knife. The one who accompanied the first party of explorers confused Lazarus and Barstow by assuming casually that he had met them before, that he had visited them in the ship. Since his fur was rich mahogany rather than golden, Barstow attributed the error to misunderstanding, with a mental reservation that these people might possibly be capable of chameleonlike changes in color. Lazarus reserved his judgment.

Barstow asked their guide whether or not his people had any preferences as to where and how the Earthmen were to erect buildings. The question had been bothering him because a preliminary survey from the ship had disclosed no cities. It seemed likely that the natives lived underground-in which case he wanted to avoid getting off on the wrong foot by starting something which the local government might regard as a slum.

He spoke aloud in words directed at their guide, they having learned already that such was the best way to insure that the natives would pick up the thought.

In the answer that the little being flashed back Barstow caught the emotion of surprise. “… must you sully the sweet countryside with interruptions? … to what purpose do you need to form buildings? . .

“We need buildings for many purposes,” Barstow explained. “We need them as daily shelter, as places to sleep at night. We need them to grow our food and prepare it for eating.” He considered trying to explain the processes of hydroponic farming, of food processing, and of cooking, then dropped it, trusting to the subtle sense of telepathy to let his “listener” understand. “We need buildings for many other uses, for workshops and laboratories, to house the machines whereby we communicate, for almost everything we do in our everyday life.”

“Be patient with me …” the thought came, since I know so little of your ways … but tell me do you prefer to sleep in such as that? …” He gestured toward the ship’s boats they had come down in, where their bulges showed above the low bank. The thought he used for the boats was too strong to be bound by a word; to Lazarus’ mind came a thought of a dead, constricted space-a jail that had once harbored him, a smelly public phone booth.

“It is our custom.”

The creature leaned down and patted the turf. “… is this not a good place to sleep? …”

Lazarus admitted to himself that it was. The ground was covered with a soft spring turf, grasslike but finer than grass, softer, more even, and set more closely together. Lazarus took off his sandals and let his bare feet enjoy it, toes spread and working. It was, he decided, more like a heavy fur rug than a lawn. –

“As for food …”” their guide went on, “… why struggle for that which the good soil gives freely? . . come with me…”

He took them across a reach of meadow to where low bushy trees hung over aT meandering brook. The “leaves” were growths the size of a man’s hand, irregular in shape, and an inch or more in thickness. The little person broke off one and nibbled at it daintily.

Lazarus plucked one and examined it. It broke easily, like a well-baked cake. The inside was creamy yellow, spongy but crisp, and had a strong pleasant odor, reminiscent of mangoes. “Lazarus, don’t, eat that!” warned Barstow. “It hasn’t been analyzed~”

“… it is harmonious with your body . .

Lazarus sniffed it again. “I’m willing to be a test case, Zack.” “Oh, well-” Barstow shrugged. “I warned you. You will anyhow.”

Lazarus did. The stuff was oddly pleasing, firm enough to suit the teeth, piquant though elusive in flavor. It settled down happily in his stomach and made itself at home.

Barstow refused to let anyone else try the fruit until its effect on Lazarus was established. Lazarus took advantage of his exposed and privileged position to make a full meal-the best, he decided, that he had had in years.

“… will you tell me what you are in the habit of eating? …” inquired their little friend. Barstow started to reply but was checked by the creature’s thought: “… all of you think about it . .” no further thought message came from him for a few moments, then he flashed, “… that is enough . . -. my wives will take care of it …”

Lazarus was not sure the image meant “wives” but some similar close relationship was implied. It had not yet been established that the Little People were bisexual-or what.

Lazarus slept that night out under the stars and let their clean impersonal light rinse from him the claustrophobia of the ship. The constellations here were distorted out of easy recognition, although he could recognize, he decided, the cool blue of Vega and the orange glow of Antares. -The one certainty was the Milky Way, spilling its cloudy arch across the sky just as at home. The Sun, he knew, could not be visible to the naked eye even if he knew where to look for it; its low absolute magnitude would not show up across the lightyears. Have to get hold of Andy, he thought sleepily, work out its coordinates and pick it out with instruments. He fell asleep before it could occur to him to wonder why he should bother.

Since no shelter was needed at night they landed everyone as fast as boats could shuttle them down. The crowds were dumped on the friendly soil and allowed to rest, picnic fashion, until the colony could be organized. At first they ate supplies brought down from the ship, but Lazarus’ continued good health caused the rule against taking chances with natural native foods to be re1axed shortly. After that they ate mostly of the boundlein rai’gesse of the plants and used ship’s food only to vary their diets.

Several days after the last of them had been landed Lazarus was exploring alone some distance from the camp. He came across one of the Little People; the native greeted him with the same assumption of earlier acquaintance which all of them seemed to show and led Lazarus to a grove of low trees still farther from base. He indicated to Lazarus that he wanted him to eat.

Lazarus was not particularly hungry but he felt compelled to humor such friendliness, so he plucked and ate. He almost choked in his astonishment. Mashed potatoes and brown gravy!

“… didn’t we get it right? – . .” came an anxious thought.

“Bub,” Lazarus said solemnly, “I don’t know what you planned to do, but this is just fine!” Awarm burst of pleasure invaded his mind. “… try the next tree . .

Lazarus did so, with cautious eagerness. Fresh brown bread and sweet butter seemed to be the combination, though a dash of ice cream seemed to have crept in from somewhere.

He was hardly surprised when the third tree gave strong evidence of having both mushrooms and charcoal-broiled steak in its ancestry. “… we used your thought images almost entirely

…” explained his companion. “… they were much stronger than those of any of your wives …”

Lazarus did not bother to explain that he was not married. The little person added, “… there has not yet been time to simulate the appearances and colors your thoughts showed does it matter much to you? .

Lazarus gravely assured him that it mattered very little.

When he returned to the base, he had considerable difficulty in convincing others of the seriousness of his report.

One who benefited greatly from the easy, lotus-land quality of their new home was Slayton Ford. He had awakened from cold rest apparently recovered from his breakdown except in one respect: he had no recollection of whatever it was he had experienced in the temple of Kreel. Ralph Schultz considered this a healthy adjustment to an intolerable experience and dismissed him as a patient.

Ford seemed younger and happier than he had appeared before his breakdown. He no longer held formal office among the Members-indeed there was little government of any sort; the Families lived in cheerful easy-going anarchy on this favored planet-but he was still addressed by his title and continued to be treated as an elder, one whose advice was sought, whose judgment was deferred to, along with Zaccur Barstow, Lazarus, Captain King, and others. The Families paid little heed to calendar ages; close friends might differ by a century. For years they had benefited from his skilled administration; now they continued to treat him as an elder statesman, even though two-thirds of them were older than was he.

The endless picnic stretched into weeks, into months. After being long shut up in the ship, sleeping or working, the temptation to take a long vacation was too strong to resist and there was nothing to forbid it. Food in abundance, ready to eat and easy to handle, grew almost everywhere; the water in the numerous streams was clean and potable. As for clothing, they had plenty if they wanted to dress but the need was esthetic rather, than utilitarian; the Elysian climate made clothing for protection as silly as suits for swimming. Those who liked clothes wore them; bracelets and beads and flowers in the hair were quite enough for most of them and not nearly so much nuisance if one chose to take a dip in the sea.

Lazarus stuck to his kilt.

The culture and degree of enlightenment of the Little People was difficult to understand all at once, because their ways were subtle. Since they lacked outward signs, in Earth terms, of high scientific attainment-no great buildings, no complex mechanical transportation machines, no throbbing power plants-it was easy to mistake them for Mother Nature’s children, living in a Garden of Eden.

Only one-eighth of an iceberg shows above water.

Their knowledge of physical science was not inferior to that of the colonists; it was incredibly superior. They toured the ship’s boats with polite interest, but confounded their guides by inquiring why things were done this way rather than that?-and the way suggested invariably proved to be simpler and more efficient than Earth technique… when the astounded human technicians managed to understand what they were driving at.

The Little Pedple understood machinery and all that machinery implies, but they simply had little use for it. They obviously did not need it for communication and had little need for it for transportation (although the full reason for that was not at once evident), and they had very little need for machinery in any of their activities. But when they had a specific need for a mechanical device they were quite capable of inventing, building it, using it once, and destroying it, performing the whole process with a smooth cooperation quite foreign to that of men.

But in biology their preeminence was the most startling. The Little People were masters in the manipulation of life forms. Developing plants in a matter of days which bore fruit duplicating not only in flavor but in nutrition values the foods humans were used to was not a miracle to them but a routine task any of their biotechnicians could handle. They did it more easily than an Earth horticulturist breeds for a certain strain of color or shape in a flower.

But their methods were different from those of any human plant breeder. Be it said for them that they did try to explain their methods, but the explanations simply did not come through. In our terms, they claimed to “think” a plant into the shape and character they desired. Whatever they meant by that, it is certainly true that they could take a dormant seedling plant and, without touching it or operating on it in any way perceptible to their human students, cause it to bloom and burgeon into maturity in the space of a few hours-with new characteristics not found in the parent line . . and which bred true thereafter.

However the Little People differed from Earthmen only in degree with respect to scientific attainments. In an utterly basic sense they differed from humans in kind. They were not individuals.

No single body of a native housed a discrete individual. Their individuals were multi-bodied; they had group “souls.” The basic unit of their society was a telepathic rapport group of many parts. The number of bodies and brains housing one individual ran as high as ninety or more and was never less than thirty-odd.

The colonists began to understand much that had been utterly puzzling about the Little People only after they learned this fact. There is much reason to believe that the Little People found the Earthmen equally puzzling, that they, too, had assumed that their pattern of existence must be mirrored in others. The eventual discovery of the true facts on each side, brought about mutual misunderstandings over identity, seemed to arouse horror in the minds of the Little People. They withdrew themselves from the neighborhood of the Families’ settlement and remained away for several days.

At length a messenger entered the camp site and sought out Barstow. “…We are sorry we shunned you … in our haste we mistook your fortune for your fault … we wish to help you … we offer to teach you that you may become like ourselves …”

Barstow pondered how to answer this generous overture. “We thank you for your wish to help us,” he said at last, “but what you call our misfortune seems to be a necessary part of our makeup. Our ways are not your ways. I do not think we could understand your ways.”

The thought that came back to him was very troubled. “We have aided the beasts of the air and of the ground to cease their strife … but if~you do not wish our help we will not thrust it on you …”

The messenger went away, leaving Zaccur Barstow troubled in his mind. Perhaps, he thought, ha had been hasty in answering without taking time to consult the elders. Telepathy was certainly not a gift to be scorned; perhaps the Little People could train them in telepathy without any loss of human individualism. But what he knew of the sensitives among the Families did not encourage such hope; there was not a one of them who was emotionally healthy, many of them were mentally deficient as well-it did not seem like a safe path for humans.

It could be discussed later, he decided; no need to hurry. “No need to hurry” was the spirit throughout the settlement. There was no need to strive, little that had to be done and rarely any rush about that little. The sun was warm and pleasant, each day was much like the next, and there was always the day after that. The Members, predisposed by their inheritance to take a long view of things, began to take an eternal view. Time no longer mattered. Even the longevity research, which had continued throughout their memories, languished. Gordon Hardy tabled his current experimentation to pursue the vastly more fruitful occupation of learning what the Little People knew of the nature of life. He was forced to take it slowly, spending long hours in digesting new knowledge. As time trickled on, he was hardly aware that his hours of contemplation were becoming longer, his bursts of active study less frequent.

One thing he did learn, and its implications opened up whole new fields of thought: the Little People had, in one sense, conquered death.

Since each of their egos was shared among many bodies, the death of one body involved no death for the ego. All memory experiences of that body remained intact, the personality associated with it was not lost, and the physical loss could be made up by letting a young native “marry” into the group. But a group ego, one of the personalities which spoke to the Earthmen, could not die, save possibly by the destruotion of every body it lived in. They simply went on, apparently forever.

Their young, up to the time of “marriage” or group assimilation, seemed to have little personality and only rudimentary or possibly instinctive mental processes. Their elders expected no more of them in the way of intelligent behavior than a human expects of a child still in the womb. There were always many such uncompleted persons attached to any ego group; they were cared for like dearly beloved pets or helpless babies, although they were often as large and as apparently mature to Earth eyes as were their elders.

Lazarus grew bored with paradise more quickly than did the majority of his cousins. “It can’t always,” he complained to Libby, who was lying near him on the fine grass, “be time for tea.” “What’s fretting you, Lazarus?”

“Nothing in particular.” Lazarus set the point of his knife on his right elbow, flipped it with his other hand, watched it bury its point in the ground. “It’s just that -this place reminds me of a well-run zoo. It’s got about as much future.” He grunted scornfully. “It’s ‘Never-Never Land.”

“But what in particular is worrying you?”

“Nothing. That’s what worries me. Honest to goodness, Andy, don’t you see anything wrong in being turned out to pasture like this?”

Libby grinned sheepishly. “I guess it’s my hillbilly blood. ‘When it don’t rain, the roof don’t leak; when it rains, I cain’t fix it nohow,” he quoted. “Seems to me we’re doing tolerably well. What irks you?”

“Well-” Lazarus’ pale-blue eyes stared far away; he paused in his idle play with his knife. “When I was a young man a long time ago, I was beached in the South Seas-“ “Hawaii?’

“No. Farther south. Damned if I know what they call it today. I got hard up, mighty hard up, and sold my sextant. Pretty soon-or maybe quite a while-I could have passed for a native. I lived like one. It didn’t seem to matter. But one day I caught a look at myself in a mirror.” Lazarus sighed gustily. “I beat my way out of that place shipmate to a cargo of green hides, which may give you some idea how. scared and desperate I was!”

Libby did not comment. “What do you do with your time, Lib?” Lazarus persisted.

“Me? Same as always. Think about mathematics. Try to figure out a dodge for a space drive like’ the one that got us here.” “Any luck on that?” Lazarus was suddenly alert.

“Not yet. Gimme time. Or I just watch the clouds integrate. There are amusing mathematical relationships everywhere if you are on the lookout for them. In the ripples on the water, or the shapes of busts-elegant fifth-order functions.”

“Huh? You mean ‘fourth order.”

“Fifth order. You omitted the time variable. I like fifth-order equations,” Libby said dreamily. “You find ‘em in fish, too.” “Huinmph!” said Lazarus, and stood up suddenly. “That may be all right for you, but it’s not my pidgin.”

“Going some place?” “Goin’ to take a walk.”

Lazarus walked north. He walked the rest of that day, slept on the ground as usual that night, and was up and moving, still to the north, at dawn. The next day was followed by another like it, and still another. The going”was easy, much like strolling in a park … too easy, in Lazarus’ opinion. For the sight of a volcano, or a really worthwhile waterfall, he felt willing to pay four bits and throw in a jackknife.

The food plants were sometimes strange, but abundant and satisfactory. He occasionally met one or more of the Little People going about their mysterious affairs: they never bothered him nor asked why he was traveling but simply greeted him with the usual assumption of previous acquaintanceship. He began to long for one who would turn out to be a stranger; he felt watched.

Presently the nights grew colder, the days less balmy, and the Little People less numerous. When at last he had not seen one for an entire day, he camped for the night, remained there the next day-took out his soul and examined it.

He had to admit that he could find no reasonable fault with the planet nor its inhabitants. But just as definitely it was not to his taste. No philosophy that he had ever heard or read gave any reasonable purpose for man’s existence, nor any rational clue to his proper conduct. Basking in the sunshine might be as good a thing to do with one’s life as any other-but it was not for him and he knew it, even if he could not define how he knew it.

The hegira of the Families had been a mistake. It would have been a more human, a mqre mature and manly thing, to have stayed and fought for their rights, even if they had died insisting on them. Instead they had fled across half a universe (Lazarus was reckless about his magnitudes) looking for a place to light. They had found one, a good one-but already occupied by beings so superior as to make them intolerable for men… yet so supremely indifferent in their superiority to men that they had not even bothered to wipe them out, but had whisked them away to this-this -over-manicured country club.

And that in itself was the unbearable humiliation. The New Frontiers was the culmination of five hundred years of human scientific research, the best that men could do-but it had been flicked across the deeps of space as casually as a man might restore a baby bird to its nest.

The Little People did not seem to want to kick them out but the Little People, in their own way, were as demoralizing to men as were the gods of the Jockaira. One at a time they might be morons – but taken as groups each rapport group was a genius that threw the best minds that men could offer into the shade. Even Andy. Human beings could not hope to compete with that type of organization any more than a backroom shop could compete with an automated cybernated factory. Yet to form any such group identities, even if they could which he doubted, would be, Lazarus felt very sure, to give up whatever it was that made them men.

He admitted that he was prejudiced in favor of men. He was a man.

The uncounted days slid past while he argued with himself over the things that bothered him-problems that had made sad the soul of his breed since the first apeman had risen to self- awareness, questions never solved by full belly nor fine machinery. And the endless quiet days did no more to give him final answers than did all the soul searchings of his ancestors. Why? What shall it profit a man? No answer came back -save one: a firm unreasoned conviction that he was not intended for, or not ready for, this timeless snug harbor of ease.

His troubled reveries were interrupted by the appearance of one of the Little People. “… greetings, old friend your wife King wishes you to return to your home … he has need of your advice …”

“What’s the trouble?” Lazarus demanded.

But the little creature either could or would not tell him. Lazarus gave his belt a hitch and headed south. “… there is no need to go slowly …” a thought came after him.

Lazarus let himself be led to a clearing beyond a clump of trees. There he found an egg-shaped object about six feet long, featureless except for a door in the side. The native went in through the door, Lazarus squeezed his larger bulk in after him; the door closed.

It opened almost at once and Lazarus saw that they were on the beach just below the human settlement. He had to admit that it was a good trick.

Lazarus hurried to the ship’s boat parked on the beach in which Captain King shared with Barstow a semblance of community headquarters. “You sent for me, Skipper. What’s up?” King’s austere face was grave. “It’s about Mary Sperling.”

Lazarus felt a sudden cold tug at his heart. “Dead?”

“No. Not exactly. She’s gone over to the Little People. ‘Married’ into one of their groups.” “What? But that’s impossible!”

Lazarus was wrong. There was no faint possibility of interbreeding between Earthmen and natives but there was no barrier, if sympathy existed, to a human merging into one of their rapport groups, drowning his personality in the ego of the many.

Mary Sperling, moved by conviction of her own impending death, saw in the deathless group egos a way out. Faced with the eternal problem of life and death, she had escaped the problem by choosing neither … selflessness. She had found a group willing to receive her, she had crossed over.

“It raises a lot of new problems,” concluded King. “Slayton and Zaccur and I all felt that you had better be here.”

“Yes, yes, sure-but where is Mary?” Lazarus demanded and then ran out of the room without waiting for an answer. He charged through the settlement ignoring both greetings and attempts to stop him. Ashort distance oustide the camp he ran across a native He skidded to a stop. “Where is Mary Sperling?”

“… I am Mary Sperling . .

“For the love of-You can’t be.”

“I am Mary Sperling and Mary Sperling is myself do you not know me, Lazarus? … I know you.

Lazarus waved his hands. “No! I want to see Mary Sperling who looks like an Earthman-Iike me!” The native hesitated.”… follow me, then …

Lazarus found her a long way from the camp; it was obvious that she had been avoiding the other colonists. “Mary!”

She answered him mind to mind: “. . I am sorry to see you troubled … Mary Sperling is gone except in that she is part of us …” “Oh, come off it, Mary! Don’t give me that stuff! Don’t you know me?”

“… of course I know you, Lazarus … it is you who do not know me … do not trouble your soul or grieve your heart with the sight of this body in front of you … I am not one of your kind … I am native to this planet.

“Mary,” he insisted, “you’ve got to undo this. You’ve got to come out of there!”

She shook her head, an oddly human gesture, for the face no longer held any trace of human expression; it was a mask of otherness. “… that is impossible …Mary Sperling is gone … the one who speaks with you is inextricably myself and not of your kind.” The creature who had been Mary Sperling turned and walked away.

“Mary!” he cried. His heart leapt across the span of centuries to the night his mother had died. He covered his face with his hands and wept the unconsolable grief of a child,

Chapter S

LAZAIWS found both King and Barstow waiting for him when he returned. King looked at his face. “I could have told you,” he said soberly, “but you wouldn’t wait.” “Forget it,” Lazatus said harshly. “What now?”

“Lazarus, there is something else you have to see before we discuss anything,” Zaccur Barstow answered. “Okay. What?”

“Just come and, see.” They led him to a compartment in the ship’s boat which was used as a headquarters. Contrary to Families’ custom it was locked; King let them in. There was a woman inside, who, when she saw the three, quietly withdrew, locking the door again as she went out.

“Take a look at that,” directed Barstow.

It was a living creature in an incubator-a child, but no such child as had ever been seen before. Lazarus stared at it, then said angrily, “What the devil is it?” “See for yourself. Pick it up. You won’t hurt it.”

Lazarus did so, gingerly at first, then without shrinking from the contact as his curiosity increased. What it was, he could not say. It was not human; it was just as certainly not offspring of the Little People. Did this planet, like the last, contain some previously unsuspected race? It was manlike, yet certainly not a man child. It lacked even the button nose of a baby, nor were there evident external ears. There were organs in the usual locations of each but flush with the skull and protected with many ridges. Its hands had too many fingers and there was an extra large one near each wrist which ended in a cluster of pink worms.

There was something odd about the torso of the infant which Lazarus could not define. But two other gross facts were evident: the legs ended not in human feet but in horny, toeless pediments-hoofs. And the creature was hermaphroditic-not in deformity but in healthy development, an androgyne.

“What is it?” he repeated, his mind filled with lively suspicion. “That,” said Zaccur, “is Marion Schmidt, born three weeks ago.” “Huh? What do you mean?”

“It means that the Little People are just as clever in manipulating us as they are in manipulating plants.” “What? But they agreed to leave us alone!”

“Don’t blame them too quickly. We let ourselves in for it. The origihal idea was simply a few improvements.” “Improvements!’ That thing’s an obscenity.”

“Yes and no. My stomach turns whenever I have to took at it … but actually-well, it’s sort of a superman. Its body architecture has been redesigned for greater efficiency, our useless simian hangovers have been left out, and its organs have been rearranged in a more sensible fashion. You can’t say it’s not human, for it is . . – an improved model. Take that extra appendage at the wrist. That’s another hand, a miniature one . . – backed up by a microscopic eye. You can see how useful that would be, once you get used to the idea.” Barstow stared at it. “But it looks horrid, to me~’

“It’d look horrid to anybody,” Lazarus stated. “It may be an improvement, but damn it, I say it ain’t humans” “In any case it creates a problem.”

“I’ll say it does!” Lazarus looked at it again. “You say it has a second set of eyes in those tiny bands? That doesn’t seem possible.”

Barstow shrugged. “I’m no biologist. But every cell in the body contains a full bundle of chromosomes. I suppose that you could grow eyes, or bones, or anything you liked anywhere, if you knew how to manipulate the genes in the chromosomes. And they know.”

“I don’t want to be manipulated!” “Neither do I.”

Lazarus stood on the bank and stared out over the broad beach at a full meeting of-the Families. “I am-” he started formally, then looked puzzled. “Come here a moment, Andy.” He whispered to Libby; Libby looked pained and whispered back. Lazarus looked exasperated and whispered again. Finally he straightened up and started over.

“I am two hundred and forty-one years old-at least,” he stated. “Is there anyone here who is older?” It was empty formality; he knew that he was the eldest; he felt twice that old. “The meeting is opened,~’ he went on, his big voice rumbling on down the beach assisted by speaker systems from the ship’s boats. “Who is your chairman?”

“Get on with it,” someone called from the crowd. “Very well,” said Lazarus. “Zaccur Barstow!”

Behind Lazarus a technician aimed a directional pickup at Barstow. “Zaccur Barstow,” his voice boomed out, “speaking for myself. Some of us have come to believe that this planet, pleasant as it is, is not the place for us. You all know about Mary Sperling, you’ve seen stereos of Marion Schmidt; there have been other things and I won’t elaborate. But emigrating again poses another question, the question of where? Lazarus Long proposes that we return to Earth. In such a-” His words were drowned by noise from the crowd.

Lazarus shouted them down. “Nobody is going to be forced to leave. But if enough of us want to leave to justify taking the ship, then we can. I say go back to Earth. Some say look for another planet. That’ll have to be decided. But first-how many of you think as I do about leaving here?”

“I do!” The shout was echoed by many others. Lazarus peered toward the first man to answer, tried to spot him, glanced over his shoulder at the tech, then pointed. “Go ahead, bud,” he ruled. “The rest of you pipe down.”

“Name of Oliver Schmidt. I’ve been waiting for months for somebody to suggest this. I thought I was the only sorehead in the Families. I haven’t any real reason for leaving-I’m not scared out by the Mary Sperling matter, nor Marion Schmidt. Anybody who likes such things is welcome to them-live and let live. But I’ve got a deep down urge to see Cincinnati again. I’m fed up with this place. I’m tired of being a lotus eater. Damn it, I want to work for my living! According to the Families’ geneticists I ought to be good for another century at least. I can’t see spending that much time lying in the inn and daydreaming.”

When he shut up, at least a thousand more tried to get the floor. “Easy! Easy!” bellowed Lazarus. “If everybody wants to talk, I’m going to have to channel it through your Family representatives. But let’s get a sample here and there.” He picked out another man, told him to sound off.

“I won’t take long,” the new speaker said, “as I agree with Oliver Schmidt I just wanted to mention my own reason. Do any of you miss the Moon? Back home I used to sit out on my balcony on warm summer nights and smoke and look at the Moon. I didn’t know it was important to me, but it is. I want a planet with a moon.”

The next speaker said only, “This case of Mary Sperling has given me a case of nerves. I get nightmares that I’ve gone over myself.”

The arguments went on and on. Somebody pointed out that they had been chased off Earth; what made anybody think that they would be allowed to return? Lazarus answered that himself. “We learned a lot from the Jockaira and now we’ve learned a lot more from the Little People-things that put us way out ahead of anything scientists back on Earth had even dreamed of. We can go back to Earth loaded for bear. We’ll be in shape to demand our rights, strong enough to defend them.”

“Lazarus Long-” came another voice. “Yes,” acknowledged Lazarus.

“You over there, go ahead.”

“I am too old to make any more jumps from star to star and much too old to fight at the end of such a jump. Whatever the rest of you do, I’m staying.”

“In that case,” said Lazarus, “there is no need to discuss it, is there?” “I am entitled to speak.” –

“All right, you’ve spoken. Now give sotheone else a chance.”

The sun set and the stars came out and still the talk went on. Lazarus knew that it would never end unless he moved to end it. “All right,” he shouted, ignoring the many who still, wanted to speak. “Maybe we’ll have to turn this back to the Family councils, but let’s take a trial vote and see where we are. Everybody who wants to go back to Earth move way over to my right. Everybody who wants to stay here move down the beach to my left. Everybody who wants to go exploring for still another planet gather right here in front of me.” He dropped back and said to the sound tech, “Give them some music to speed ‘em up.”

The tech nodded and the homesick strains of Valse Triste sighed over the beach. It was followed by The Green Hills of Earth. Zaccur Barstow turned toward Lazarus. “You picked that music.”

“Me?” Lazarus answered with bland innocence. “You know I ain’t musical, Zack.”

Even with music the separation took a long time. The last movement of the immortal Fifth had died away long before they at last had sorted themselves into three crowds.

On the left about a tenth of the total number were gathered, showing thereby their intention of staying. They were mostly the old and the tired, whose sands had run low. With them were a few youngsters who had never seen Earth, plus a bare sprinkling of other ages.

In the center was a very small group, not over three hundred, mostly men and a few younger women, who voted thereby for still newer frontiers.

But the great mass was on Lazarus’ right. He looked at them and saw new animation in their faces; it lifted his heart, for he had been bitterly afraid that he was almost alone in his wish to leave.

He looked back at the small group nearest him. “It looks like you’re outvoted,” he said to them alone, his voice unamplifled. “But never mind, there always comes another day.” He waited. Slowly the group in the middle began to break up. By ones and twos and threes they moved away. Avery few drifted over to join those who were staying; most of them merged with the

group on the right.

When this secondary division was complete Lazarus spoke to the smaller group on his left. “All right,” he said very gently, “You … you old folks might as well go back up to the meadows and get your sleep. The rest of us have things to make.”

Lazarus then gave Libby the floor and let him explain to the majority crowd that the trip home would not be the weary journey the flight from Earth had been, nor even the tedious second jump. Libby placed all of the credit where most of it belonged, with the Little People. They had straightened him out with his difficulties in dealing with the problem of speeds which appeared to exceed the speed of light. If the Little People knew what they were talking about -and Libby was sure that they did-there appeared to be no limits to what Libby chose to call “para-acceleration”-“para-” because, like Libby’s own lightpressure drive, it acted on the whole mass uniformly and could no more be perceived by the senses than can gravitation, and “para-” also because the ship would not go “through” but rather around or “beside” normal space. “it is not so much a matter of driving the ship as it is a selection of appropriate potential level in an n-dimensional hyperplenum of n-plus-one

possible-“

Lazarus firmly cut him off. “That’s your department, son, and everybody trusts you in it. We ain’t qualified to discuss the fine points.” “I was only going to add-“

“I know. But you were already out of the world when I stopped you.”

Someone from the crowd shouted one more question. “When do we get there?”

“I don’t know,” Libby admitted, thinking of the question the way Nancy Weatheral had put it to him long ago. “I can’t say what year it will be … but it will seem like about three weeks from now.”

The preparations consumed days simply because many round trips of the ship’s boats were necessary to embark them. There was a marked lack of ceremonious farewell because those remaining behind tended to avoid those who were leaving. Coolness had sprung up between the two groups; the division on the beach had split friendships, had even broken up contemporary marriages, had caused many hurt feelings, unresolvable bitterness. Perhaps the only desirable aspect of the division was that the parents of the mutant Marion Schmidt had elected to remain behind.

Lazarus was in charge of the last boat to leave. Shortly before he planned to boost he felt a touch at his elbow. “Excuse me,” a young man said. “My name’s Hubert Johnson. 1 want to go along but I’ve had to stay back with the other crowd to keep my mother from throwing fits. If I show up at the last minute, can 1 still go along?”

Lazirus looked him over. “You look old enough to decide without asking me.”

“You don’t understand. I’m an only child and my mother tags me around. I’ve got to sneak back before she misses me. How much longer-“ “I’m not holding this boat for anybody. And you’ll never break away any younger. Get into the boat”

“But…”

“Oft!” The young man did so, with one worried backward glance at the bank. There was a lot, thought Lazarus, to be said for ectogenesis. Once inboard the New Frontiers Lazarus reported to Captain King in the control room. “All inboard?” asked King.

“Yeah. Some late deciders, pro and con, and one more passenger at the last possible split second-woman named Eleanor Johnson. Let’s go!” King turned to Libby. “Let’s go, Mister.”

The stars blinked out.

They flew blind, with only Libby’s unique talent to guide them. If he had doubts as to his ability to lead them through the featureless blackness of other space he kept them to himself. On the twenty-third ship’s day of the reach and the eleventh day of para-deceleration the stars reappeared, all in their old familiar ranges-the Big Dipper, giant Orion, lopsidecL Crux, the fairy Pleiades, and dead ahead of them, blazing against the frosty backdrop of the Milky Way, was a golden light that had to be the Sun.

Lazarus had tears in his eyes for the second time in a month.

They could not simply rendezvous with Earth, set a parking orbit, and disembark; they had-to throw their hats in first. Besides that, they needed first to know what time it was.

Libby was able to establish quickly, through proper motions of nearest stars, that it was not later than about 3700 A.D.; without precise observatory instruments he refused to commit himself further. But once they were close enough to see the Solar planets he had another clock to read; the planets themselves make a clock with nine hands.

For any date there is a unique configuration of those “hands” since no planetary period is exactly commensurate with another. Pluto marks off an “hour” of a quarter of a millennium; Jupiter’s clicks a cosmic minute of twelve years; Mercury whizzes a “second” of about ninety days. The other “hands” can refine these readings-Neptune’s period is so cantankerously different from that of Pluto that the two fall into approximately repeated configuration only once in seven hundred and fifty-eight years. The great clock can be read with any desired degree  of accuracy over any period-but it is not easy to read.

Libby started to read it as soon as any of the planets could be picked out. He muttered over the problem. “There’s not a chance that we’ll pick up Pluto,” he complained to Lazarus, “and I doubt if we’ll have Neptune. The inner planets give me an infinite series of approximations-you know as well as I do that “infinite” is a question-begging term. Annoying!”

“Aren’t you looking at it the hard way, son? You can get a practical answer. Or move over and I’ll get one.” –

“Of course I can get a practical answer,” Libby said petulantly, “if you’re satisfied with that But-“

“But me no ‘buts’-what year is it, man!”

“Eh? Let’s put it this way. The time rate in the ship and duration on Earth have been unrelated three times. But now they are effectively synchronous again, such that slightly over seventy- four years have passed since we 1eft.’

Lazarus heaved a sigh. “Why didn’t you say so?” He had been fretting that Earth might – not be recognizable … they might have torn down New York or something like that. “Shucks, Andy, you shouldn’t have scared me like that.”

“Mmm …” said Libby. It was one of no further interest to him. There remained only the delicious problem of inventing a mathematics which would describe elegantly two apparently irreconcilable groups of facts: the Michelson-Morley experiments and the log of the New Frontiers. He set happily about it. Mmm … what was the least number of pamdimensions indispeMably necessary to contain the augmented plenum using a sheaf of postulates affirming-It kept him contented for a considerable time-subjective time, of course.

The ship was placed in a temporary orbit half a billion miles from the Sun with a radius vector normal to the plane of the ecliptic. Parked thus at right angles to and far outside the flat pancake of the Solar System they were safe from any long chance of being discovered. Aship’s boat had been fitted with thc neo-Libby drive during the jump and a negotiating party was sent down.

Lazarus wanted to go along; King refused to let him, which sent Lazarus into sulks. King had said curtly, “This isn’t a raiding party, Lazarus; this is a diplomatic mission.” “Hell, man, I can be diplomatic when it pays!”

“No doubt But we’ll send a man who doesn’t go armed to the ‘fresher.”

Ralph Schultz headed the party, since psychodynamic factors back on Earth were of first importance, but he was aided by legal voluntary and technical specialists. If the Families were going to have to fight for living room it was necessary to know what sort of technology, what sort of weapons, they would have to meet-but it was even more necessary to find out whether or not a peaceful landing could be arranged.

Schultz had been authorized by the elders to offer a plan under which the Families would colonize the thinly settled and retrograded European continent. But it was possible, even likely, that this had already been done in their absence, in view of the radioactive half-lifes involved. Schultz would probably have to improvise some other compromise, depending on the conditions he found.

Again there was nothing to do but wait.

Lazarus endured it in nail-chewing uncertainty. He had claimed publicly that the Families had such great scientific advantage that they could meet and defeat the best that Earth could offer. Privately, he knew that this was sophistry and so did any other Member competent to judge the matter. Knowledge alone did not win wars. The ignorant fanatics of Europe’s Middle Ages had defeated the incomparably higher Islamic culture; Archimedes had been struck down by a common soldier; barbarians had sacked Rome. Libby, or some one, might devise an unbeatable, weapon from their mass of new knowledge-or might not and who knew what strides military art had made on earth in three quarters of a century?

King, trained in military art, was worried by the same thing and still more worried by the personnel he would have to work with. The Families were anything but trained legions; the prospect of trying to whip those cranky individualists into some semblance of a disciplined fighting machine ruined his sleep.

These doubts and fears King and Lazarus did not mention even to each other; each was afraid that to mention such things would be to spread a poison of fear through the ship. But they were not alone in their worries; half of the ship’s company realized the weaknesses of their position and kept silent only because a bitter resolve to go home, no matter what, made them willing to accept the dangers..

“Skipper,”. Lazarus said to King two weeks after Schultz’s party had headed Earthside, “have you wondered how they’re going to feel about the New Frontiers herself?” “Eh? What do you mean?’

“Well, we hijacked her. Piracy.”

King looked astounded. “Bless me, so we did! Do you know, it’s been so long ago that it is hard for me to realize that she was ever anything but my ship … or to recall that I first came into her through an act of piracy.” He looked thoughtful, then smiled grimly. “I wonder how conditions are in Coventry these days?”

“Pretty thin rations, I imagine,” said Lazarus. “But we’ll team up and make out. Never mind-they haven’t caught us yet.”

“Do you suppose that Slayton Ford will be connected with the matter? That would be hard lines after all he has gone through.”

“There may not be any trouble about it at all,” Lazarus answered soberly. “While the way we got this ship was kind of irregular, we have used it for the purpose for which it was built-to explore the stars. And we’re returning it intact, long before they could have expected any results, and with a slick new space drive to boot. It’s more for their money than they had any reason to expect-so they may just decide to forget it and trot out the fatted calf.”

“I hope so,” King answered doubtfully.

The scouting party was two days late. No signal was received from them until they emerged into normal spacetime, just before rendezvous, as no method had yet been devised for signalling from para-space to ortho-space. While they were maneuvering to rendezvous, King received Ralph Schultz’s face on the control-room screen. “Hello, Captain! We’ll be boarding shortly to report.”

“Give me a summary now!”

“I wouldn’t know where to start. But it’s all right-we can go home!” “Huh? How’s that? Repeat!”

“Everything’s all right. We are restored to the Covenant. You see, there isn’t any difference any more. Everybody is a member of the Families now.” “What do you mean?” King demanded.

“They’ve got it.” “Got what?”

“Got the secret of longevity.”

“Huh? Talk sense. There isn’t any secret. There never was any secret.” “We didn’t have any secret-but they thought we had. So they found it.” “Expiain yourself,” insisted Captain King.

“Captain, can’t this wait until we get back into the ship?’ Ralph Schultz protested. “I’m no biologist. We’ve brought along a government reptesentative-you can quiz him, instead?

KING RECEWED Terra’s representative in his cabin. He had notified Zaccur Barstow and Justin Foote to be present for the Families and had invited Doctor Gordon Hardy because the nature of the startling news was the biologist’s business. Libby was there as the ship’s chief officer; Slayton Ford was invited because of his unique status, although he had held no public office in the Families since his breakdown in the temple of Kreel.

Lazarus was there because Lazarus wanted to be there, in his own strictly private capacity. He had not been invited, but even Captain King was somewhat diffident about interfering with the assumed prerogatives of the eldest Member.

Ralph Schultz introduced Earth’s ambassador to the assembled company. “This is Captain King, our commanding officer and this is Miles Rodney, representing the Federation Council- minister plenipotentiary and ambassador extraordinary, I guess you would call him.”

“Hardly that,” said Rodney; “although I can agree to the ‘extraordinary’ part. This situation is quite without preccdent. it is an honor to know you, Captain.” “Glad to have you inboard, sir.”

“And this is Zaccur Barstow, representing the trustees of the Howard Families, and Justin Foote, secretary tO the trustees-“ “Service.”

“Service to you, gentlemen.”

“Andrew Jackson Libby, chief astrogational officer, Doctor Gordon Hardy, biologist in charge of our research into the causes of old age and death.”

“May I do you a service?” Hardy acknowledged formally.”Service to you, sir. So you are the chief biologist-there was a time when you could have done a service to the whole human race. Think of it, sir-think how different things could have been. But, happily, the human race was able to worry out the secret of extending life without the aid of the Howard Families.”

Hardy looked vexed. “What do you mean, sir? Do you mean to say that you are still laboring under the delusion that we had some miraculous secret to impart, if we chose?” Rodney shrugged and spread his hands. “Really, now, there is no need to keep up the pretense, is there? Your results have been duplicated, independently.”

Captain King cut in. “Just a moment-Ralph Schultz, is the Federation still under the impression that there is some ‘secret’ to our long lives? Didn’t you tell them?”

Schultz was looking bewildered. “Uh-this is ridiculous. The subject hardly came up. They themselves had achieved controlled longevity; they were no longer interested in us in that respect. It is true that there still existed a belief that our long lives derived from manipulation rather than from heredity, but I corrected that impression.”

“Apparently not very thoroughly, from what Miles Rodney has just said.”

“Apparently not. I did not spend much effort on it; it was beating a dead dog. The Howard Families add their long lives are no longer an issue on Earth. Interest, both public and official, is centered on the fact that we have accomplished a successful interstellar jump.”

“I can confirm that,” agreed Miles Rodney. “Every official, every news service, every citizen, every scientist in the system is waiting with utmost eagerness the arrival of the New Frontiers. It’s the greatest, most sensational thing that has happened since the first trip to the Moon. You are famous, gentlemen-all of you.”

Lazarus pulled Zaccur Barstow aside and whispered to him. Barstow looked perturbed, then nodded thoughtfully. “Captain-” Barstow said to King. “Yes, Zack?”

“I suggest that we ask our guest to excuse us while we receive Ralph Schultz’ report.” “Why?”

Barstow glanced at Rodney. “I think we will be better prepared to discuss matters if we are brief by our own representative.” King turned to Rodney. “Will you excuse us~~ sir?”

Lazarus broke in. “Never mind, Skipper. Zack means well but he’s too polite. Might as well let Comrade Rodney stick around and we’ll lay it on the line. Tell me this, Miles; what proof have you got that you and your pals have figured out a way to live as long as we do?’

“Proof?’ Rodney seemed dumbfounded. “Why do you ask – Whom am I addressing? Who are you, sir?”

Ralph Schultz intervened. “Sorry-I didn’t get a chance to finish the introductions. Miles Rodney, this is Lazarus Long, the Senior.” “Service. ‘The Senior’ what?’

“He just means ‘The Senior,’ period,” answered Lazarus. “I’m the-oldest Member. Otherwise I’m a private citizen.” “The oldest one of the Howard Families! Why-why, you must be the oldest man alive-think of that!”

“You think about it,” retorted Lazarus. “I quit worrying about it a couple of centuries ago. How about answering my question?’

“But I can’t help being impressed. You make me feel like an infant-and I’m not a young man myself; I’ll be a hundred and five this coming June.” “If you can prove that’s your age, you can answer my question. I’d say you were about forty. How about it?”

‘Well, – dear me, I hardly expected to be interrogated on this point. Do you wish to see my identity card?”

“Are you kidding? I’ve had fifty-odd identity cards in my time, all with phony birth dates. What else can you offer?’ “Just a minute, Lazarus,” put in Captain King. ‘What is the purpose of your question?”

Lazarus Long turned away from Rodney. “It’s like this, Skipper-we hightailed it out of the Solar System to save our necks, because the rest of the yokels thought we had invented some way to live forever and proposed to squeeze it out of us if they had to kill every one of us. Now everything is sweetness and light~-so they say. But it seems mighty funny that the bird they send up to smoke the pipe of peace with us should still be convinced that we have that so-called secret.

“It got me to wondering.

“Suppose they hadn’t figured out a way to keep from dying from old age but were still clinging to the idea that we had? What better way to keep us calmed down and unsuspicious than to tell us they had until they could get us where they wanted us in order to put the question to us again?”

Rodney snorted. “Apreposterous ideal Captain, I don’t think I’m called on to put up with this.”

Lazarus stared coldly. “It was preposterous the first time, but-but it happened. The burnt child is likely to be skittish.” “Just a moment, both of you,” ordered King. “Ralph, how about it? Could you have been taken in by a put-up job?”

Schultz thought about it, painfully. “I don’t think so.” He paused. “It’s rather difficult to say. I couldn’t tell from appearance of course, any more than our own Members could be picked out from a crowd of normal persons.”

“But you are a psychologist. Surely you could have detected indications of fraud, if there had been one.”

“I may be a psychologist, but I’m not a miracle man and I’m not telepathic. I wasn’t looking for fraud.” He grinned I sheepishly. “There was another factor. I was so excited over being home that I was not in the best emotional condition to note discrepancies, if there were any.”

“Then you aren’t sure?” -‘

“No. I am emotionally convinced that Miles Rodney is telling the truth-“ “Lam!”

“-and I believe that a few questions could clear the matter up. He claims to be one hundred and five years old. We can test that.” “I see,” agreed King. “Hmm … you put the questions, Ralph?”

“Very well. You will permit, Miles Rodney?” “Go ahead,” Rodney answered stiffly.

“You must have been about thirty years old when we left Earth, since we have been gone nearly seventy-five years, Earth time. Do you remember the event?” “Quite clearly. I was a clerk in Novak Tower at the time, I in the offices of the Administrator.”

Slayton Ford had remained in the background throughout the discussion, and had done nothing to call attention to himself. At Rodney’s answer he sat up. “Just a moment, Captain-“ “Eh? Yes?”

“Perhaps I can cut this short. You’ll pardon me, Ralph?” He turned to Terra’s representative. “Who am I?”

Rodney looked at him in some puzzlement. His expression changed from one of simple surprise at the odd question to complete and unbelieving bewilderment. “Why, you … you are Administrator Ford!”

“ONE AT ATIME! One at a time,” Captain King was saying. “Don’t everybody try to talk at once. Go on, Slayton; you have the floor. You know this man?” Ford looked Rodney over. “No, I can’t say that I do.”

“Then it is a frame up.” King turned to Rodney.”Suppose you recognized Ford from historical stereos-is that right?” –

Rodney seemed about to burst. “No! I recognized him. He’s changed but I knew him. Mr. Administrator-look at me, please! Don’t you know me? I worked for you!” “It seems fairly obvious that he doesn’t,” King said dryly.

Ford shook his head. “It doesn’t prove anything, one way or the other, Captain. There were over two thousand civil service employes in my office. Rodney might have been one of them. His face looks vaguely familiar, but so do most faces.”

“Captain-” Master Gordon Hardy was speaking. “If I can question Miles Rodney I might be able to give an opinion as to whether or not they actually have discovered anything new about the causes of old age and death.”

Rodney shook his head. “I am not a biologist. You could trip me up in no time. Captain King, I ask you to arrange my return to Earth as quickly as possible. I’ll not be subjected to any more of this. And let me add that I do not care a minim whether you and your-your pretty crew ever get back to civilization or not. I came here to help you, but I’m disgusted.” He stood up.

Slayton Ford went toward him. “Easy, Miles Rodney, please! Be patient. Put yourself in their place. You would be just as cautious if you had been through what they have been through.” Rodney hesitated. “Mr. Administrator, what are you doing here?”

“It’s a long and complicated story. I’ll tell you later.”

“You are a member of the Howard Families-you must be. That accounts for a lot of odd things.”

Ford shook his head. “No, Miles Rodney, I am not. Later, please-I’ll explain it. You -worked for me once-when?” “From 2109 until you, uh, disappeared.”

“What was your job?”

“At the time of the crisis of 2113 I was an assistant correlation clerk in the Division of Economic Statistics, Control Section.” “Who was your section chief?”

“Leslie Waldron.”

“Old Waldron, eh? What was the color of his hair?” “His hair? The Walrus was bald as an egg.”

Lazarus whispered to Zaccur Barstow, “Looks like I was off base, Zack.”

“Wait a moment,” Barstow whispered back. “It still could be thorough preparation-they may have known that Ford escaped with us.” Ford was continuing, “What was The Sacred Cow?’

“The Sacred-Chief, you weren’t even supposed to know that there was such a publication!”

“Give my intelligence staff credit for some activity, at least,” Ford said dryly. “I got my copy every week.” “But what was it?” demanded Lazarus.

Rodney answered, “An office comic and gossip sheet that was passed from hand to hand.”

“Devoted to ribbing the bosses,” Ford added, “especially me.” He put an arm around Rodney’s shoulders. “Friends, there is no doubt about it. Miles and I were fellow workers.”  “I still want to find out about the new rejuvenation process,” insisted Master Hardy some time later.

“I think we all do,” agreed King. He reached out and refilled their guest’s wine glass. “Will you tell us about it, sir?’

“I’ll try,” Miles Rodney answered, “though I must ask Master Hardy to bear with me. It’s not one process, but several-one basic process and several dozen others, some of them purely cosmetic, especially for women. Nor is the basic process truly a rejuvenation process. You can arrest the progress of old age, but you can’t reverse it to any significant degree-you can’t turn a senile old man into a boy.”

“Yes, yes,” agreed Hardy. “Naturally-but what is the basic process?”

“It consists largely in replacing the entire blood tissue in an old person with new, young blood. Old age, so they tell me, is primarily a matter of the progressive accumulation of the waste poisons of metabolism. The blood is supposed to carry them away, but presently the blood gets so clogged with the poisons that the scavenging process doesn’t take place properly. Is that right, Doctor Hardy?’

“That’s an odd way of putting it, but-“ “I told you I was no biotechnician.”

“-essentially correct. It’s a matter of diffusion pressure deficit-the d.p.d. on the blood side of a cell wall must be such as to maintain a fairly sharp gradient or there will occur progressive autointoxication of the individual cells. But I must say that I feel somewhat disappointed, Miles Rodney. The basic idea of holding off death by insuring proper scavenging of waste products is not new-I have a bit of chicken heart which has been alive for two and one half centuries through equivalent techniques. As to the use of young blood-yes, that will work. I’ve kept experimental animals alive by such blood donations to about twice their normal span-” He stopped and looked troubled.

“Yes, Doctor Hardy?”

Hardy chewed his lip. “I gave up that line of research. I found it necessary to have several young donors in order to keep one beneficiary from growing any older. There was a small, but measurable, unfavorable effect on each of the donors. Racially it was self-defeating; there would never be enough donors to go around. Am I to understand, sir that this method is thereby limited to a small, select part of the population?”

“Oh, no! I did not make myself clear, Master Hardy. There are no donors.” “Huh?’

“New blood, enough for everybody, grown outside the body-the Public Health and Longevity Service can provide any amount of it, any type.”

Hardy looked startled. “To think we came so close … so that’s it.” He paused, then went on. “We tried tissue culture of bone marrow in vitro. We should have persisted.”

“Don’t feel badly about it. Billions of credits and tens of thousands of technicians engaged in this project before there were any significant results. I’m told that the mass of accumulated art in this field represents more effort than even the techniques of atomic engineering.” Rodney smiled. “You see, they had to get some results; it was politically necessary-so there was an all-out effort.” Rodney turned to Ford. ‘When the news about the escape of the Howard Families reached the public, Chief, your precious successor had to be protected from the mobs.”

Hardy persisted with questions about subsidiary techniques -tooth budding, growth inhibiting, hormone therapy, many others-until King came to Rodney’s rescue by pointing out that the

prime purpose of the visit was to arrange details of the return of the Families to Earth.

Rodney nodded. “I think we should get down to business. As I understand it, Captain, a large proportion of your people are now in reduced-temperature somnolence?” (“Why can’t he say ‘cold-rest’?” Lazarus said to Libby.)

“Yes, that is so.”

“Then it would be no hardship on them to remain in that state for a time.” “Eh? Why do you say that, sir?”

Rodney spread his hands. “The administration finds itself in a somewhat embarrassing position. To put it bluntly, there is a housing shortage. Absorbing one hundred and ten thousand displaced persons can’t be done overnight.”

Again King had to hush them. He then nodded to Zaccur Barstow, who addressed himself to Rodney. “I fail to see the problem, sir. What is the present population of the North American continent?”

“Around seven hundred million.”

“And you can’t find room to tuck away one-seventieth of one per cent of that number? It sounds preposterous.”

“You don’t understand, sir,” Rodney protested. “Population pressure has become our major problem. Coincident with it, the right to remain undisturbed in the enjoyment of one’s own homestead, or one’s apartment, has become the most jealously guarded of all civil rights. Before we can find you adequate living room we must make over some stretch of desert, or make other major arrangements.”

“I get it,” said Lazarus. “Politics. You don’t dare disturb anybody for fear they will squawk.” “That’s hardly an adequate statement of the case.”

“It’s not, eh? could be you’ve got a general election coming up, maybe?’ “As a matter of fact we have, but that has nothing to do with the case.” Lazarus snorted.

Justin Foote spoke up. “It seems to me that the administration has looked at this problem in the most superficial light. It is not as if we were homeless immigrants. Most of the Members own their own homes. As you doubtless know, the Families were well-to-do; even wealthy, and for obvious reasons we built our homes to endure. I feel sure that most of those structures are still standing.”

“No doubt,” Rodney conceded, “but you will find them occupied.”

Justin Foote shrugged. “What has that to do with us? That is a problem for the government to settle with the persons it has allowed illegally to occupy our homes. As for myself, I shall land as soon as possible, obtain an eviction rrder from the nearest court, and repossess my home.”

“It’s not that easy. You can make omelet from eggs, but not eggs from omelet. You have been legally dead for many years; the present oacupant of your house holds a good title.”

Justin Foote stood up and glared at the Federation’s envoy, looking, as Lazarus thought, “like a cornered mouse.” “Legally dead! By whose act, sir, by whose act? Mine? I was a respected solicitor, quietly and honorably pursuing my profession, harming no one, when I was arrested without cause and forced to flee for my life. Now I am blandly told that my property is confiscated and my very legal existence as a person and as a citizen has been taken from ,me beckuse of that sequence of events. What manner of justice is this? Does the Covenant still stand?”

“You misunderstand me. I-“

“I misunderstood nothing. If justice is measured out only when it is convenient, then the Covenant is not worth the parchment it is written on. I shall make of myself a test case, sir, a test case for every Member of the Families. Unless my property is returned to me in full and at once I shall bring personal suit against every obstructing official. I will make of it a cause celebre. For many years I have suffered inconvenience and indignity and peril; I shall not be put off with words. I will shout it from the housetops.” He paused for breath.

“He’s right, Miles,” Slayton Ford put in quietly. “The government had better find some adequate way to handle this-and quickly.”

Lazarus caught Libby’s eye and silently motioned toward the door. The two slipped outside. “Justin’ll keep ‘em busy for the next hour,” he said. “Let’s slide down to the Club and grab some calories.”

“Do you really think we ought to leave?’ “Relax. If the skipper wants us, he can holler.”

LAZARUS TUCKED AWAYthree sandwiches, a double order of ice cream, and some cookies while Libby contented himself with somewhat less. Lazarus would have eaten more but he was forced to respond to a barrage of questions from the other habitues of the Club.

“The commissary department ain’t really back on its feet,” he complained, as he poured his third cup of coffee. “The Little People made life too easy for them. Andy, do you like chili con carne?”

“It’s all right.”

Lazarus wiped his mouth. “There used to be a restaurant in Tijuana that served the best chili I ever tasted. I wonder if it’s still there?” “Where’s Tijuana?” demanded Margaret Weatheral.

“You don’t remember Earth, do you, Peggy? Well, darling, it’s in Lower California. You know where that is?” “Don’t you think I studied geography? It’s in Los Angeles.”

“Near enough. Maybe you’re right-by now.” The ship’s announcing system blared out: “Chief Astrogator-report to the Captain in the Control Room!”

“That’s me!” said Libby, and hurriedly got up.

The call was repeated, then was followed by, “All hands prepare for acceleration! All hands prepare for acceleration!” “Here we go again, kids.” Lazarus stood up, brushed off his kilt, and followed Libby, whistling as he went

“California, here I come,

Right back where I started from-“

The ship was underway, the stars had faded out. Captain King had left the control room, taking with him his guest, the Earth’s envoy. Miles Rodney had been much impressed; it seemed likely that he would need a drink.

Lazarus and Libby remained in the control room. There was nothing to do; for approximately four hours, ship’s time, the ship would remain in para-space, before returning to normal space near Earth.

Lazarus struck a cigaret. ‘What d’you plan to do when you get back, Andy?” “Hadn’t thought about it.”

“Better start thinking. Been some changes.”

“I’ll probably head back home for a while. I can’t imagine the Ozarks having changed very much.” “The hills will look the same, I imagine. You may find the people changed.”

“How?”

“You remember I told you that I had gotten fed up with the Families and had kinda lost touch with them for a century? By and large, they had gotten so smug and soft in their ways that I couldn’t stand them. I’m afraid we’ll find most everybody that way, now that they expect to live forever. Long term investments, be sure to wear your rubbers when it rains . . that sort of thing.”

“It didn’t aifect you that way.”

“My approach is different. I never did have any real reason to last forever-after all, as Gordon Hardy has pointed out, I’m only a third generation result of the Howard plan. I just did my living as I went along and didn’t worry my head about it. But that’s not the usual attitude. Take Miles Rodney-scared to death to tackle a new situation with both hands for fear of upsetting precedent and stepping on established privileges.”

“I was glad to see Justin stand up to him.” Libby chuckled. “I didn’t think Justin had it in him.” “Ever see a little dog tell a big dog to get the hell out of the little dog’s yard?”

“Do you think Justin will win his point?” “Sure he will, with your help.”

“Mine?” –

“Who knows anything about the para-drive, aside from what you’ve taught me?” “I’ve dictated full notes into the records.”

“But you haven’t turned those records over to Miles Rodney. Earth needs your starship drive, Andy. You heard what Rodney said about population pressure. Ralph was telling me you have to get a government permit now before you can have a baby.”

“The hell you say!”

“Fact. You can count on it that there would be tremendous emigration if there were just some decent planets to emigrate to. And that’s where your drive comes in. With it, spreading out to the stars becomes really practical. They’ll have to dicker.”

“It’s not really my drive, of course. The Little People worked it out.”

“Don’t be so modest. You’ve got it. And you want to back up Justin, don’t you?” “Oh, sure.”

‘~Then we’ll use it to bargain with. Maybe I’ll do the bargaining, personally. But that’s beside the point. Somebody is going to have to do a little exploring before any large-scale emigration starts. Let’s go into the real estate business, Andy. We’ll stake out this corner of the Galaxy and see what it has to offer.”

Libby scratched his nose and thought about it. “Sounds all right, I guess after I pay a visit home.” “There’s no rush. I’ll find a nice, clean little yacht, about ten thousand tons and we’ll refit with your drive.” “What’ll we use for money?”

“We’ll have money. I’ll set up a parent corporation, while I’m about it, with a loose enough charter to let us do anything we want to do. There will be daughter corporations for various purposes and we’ll unload the minor interest in each.. Then-“

“You make it sound like work, Lazarus. I thought it was going to be fun.”

“Shucks, we won’t fuss with that stuff. I’ll collar somebody to run the home office and worry about the books and the legal end-somebody about like Justin. Maybe Justin himself.”

“Well, all right then.”

“You and I will rampage around and see what there is to be seen. It’ll be fun, all right.” They were both silent for a long time, with no need to talk. Presently Lazarus said, “Andy-“ “Yeah?”

“Are you going to look into this new-blood-for-old caper?” “I suppose so, eventually.”

“I’ve been thinking about it. Between ourselves, I’m not as fast with my fists as I was a century back. Maybe my natural span is wearing out. I do know this: I didn’t start planning our real estate venture till I head about this new process. It gave me a new perspective. I find myself thinking about thousands of years-and I never used to worry about anything further ahead than a week from next Wednesday.”

Libby chuckled again. “Looks like you’re growing up.”

“Some would say it was about time. Seriously, Andy, I think that’s just what I have been doing. The last two and a half centuries have just been my adolescence, so to speak. Long as I’ve hung around, I don’t know any more. about the final amwers, the important answers, than Peggy Weatheral does. Men-our kind of men-Earth men-never have had enough time to tackle the important questions. Lots of capacity and not time enough to use it properly. When it came to the important questions we might as well have still been monkeys.”

“How do you propose to tackle the important questions?”

“How should I know? Ask me again in about five hundred years.” “You think that will make a difference?”

“I do. Anyhow it’ll give me time to poke around and pick up some interesting facts. Take those Jockaira gods- “ “They weren’t gods, Lazarus. You shouldn’t call them that.”

“Of course they weren’t-I think. My guess is that they are creatures who have had time enough to do a little hard thinking. Someday, about a thousand years from now, I intend to march straight into the temple of Kreel, look him in the eye, and say, ‘Howdy, Bub-what do you know that 1 don’t know?’”

“It might not be healthy.”

‘We’ll have a showdown, anyway. I’ve never been satisfied with the outcome there. There ought not to be anything in the whole universe that man can’t poke his nose into-that’s the way we’re built and I assume that there’s some reason for it.”

“Maybe there aren’t any reasons.”

“Yes, maybe it’s just one colossal big joke, with no point to it.”’ Lazarus stood up and stretched and scratched his ribs. “But I can tell you this, Andy, whatever the answers are, here’s one monkey that’s going to keep on climbing, and locking around him to see what he can see, as long as the tree holds out.”

The End

Do you want more?

I have more great science fiction stories in my Fictional Story Index here…

Fictional Stories

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

The Rolling Stones (full text) by Robert Heinlein

This solid book of space travel is a great example of why Robert Heinlein is still a major name in Science Fiction. The Rolling Stones is primarily a Space Travel Science Fiction novel, as the story is centered on the Stone family’s trip through the solar system. It is a humorous science fiction story about a family traveling through space in a second-hand spaceship.

The Rolling Stones is one of Heinlein’s most lighthearted novels. It was written primarily for young adults, but it’s a good read at any age. The book is about a middle class family, living on the moon as the story begins, in a time when middle class families can buy spaceships about as easily as you or I could buy a large recreational vehicle or a small yacht.

The Rolling Stones

1 – THE UNHEAVENLY TWINS

The two brothers stood looking the old wreck over. “Junk,” decided Castor.

“Not junk,” objected Pollux. “A jalopy – granted. A heap any way you look at it A clunker possibly. But not junk.” “You’re an optimist, Junior.” Both boys were fifteen; Castor was twenty minutes older than his brother.

“I’m a believer, Grandpa – and you had better be, too. Let me point out that we don’t have money enough for anything better. Scared to gun it?”

Castor stared up the side of the ship. “Not at all – because that thing will never again rise high enough to crash. We want a ship that will take us out to the Asteroids – right? This superannuated pogo stick wouldn’t even take us to Earth.”

“It will when I get through hopping it up – with your thumb-fingered help. Let’s look through it and see what it needs.”

Castor glanced at the sky. “It’s getting late.” He looked not at the Sun making long shadows on the lunar plain, but at Earth, reading the time from the sunset line now moving across the Pacific.

“Look, Grandpa, are we buying a ship or are we getting to supper on time?”

Castor shrugged. “As you say, Junior.” He lowered his antenna, then started swarming up the rope ladder left there for the accommodation of prospective customers. He used his hands only and despite his cumbersome vacuum suit his movements were easy and graceful. Pollux swarmed after him. Castor cheered up a bit when they reached the control room. The ship had not been stripped for salvage as completely as had many of the ships on the lot. True, the ballistic computer was missing but the rest of the astrogation instruments were in place and the controls to the power room seemed to be complete. The space-battered old hulk was not a wreck, but merely obsolete. A hasty look at the power room seemed to confirm this.

Ten minutes later Castor, still mindful of supper, herded Pollux down the ladder. When Castor reached the ground Pollux said, “Well?” “Let me do the talking.”

The sales office of the lot was a bubble dome nearly a mile away; they moved toward it with the easy, fast lope of old Moon hands. The office airlock was marked by a huge sign:

DEALER DAN

THE SPACESHIP MAN

CRAFT OF ALL TYPES *** SCRAP METAL *** SPARE PARTS FUELING & SERVICE

(AEC License No. 739024)

They cycled through the lock and unclamped each other’s helmets. The outer office was crossed by a railing; back of it sat a girl receptionist. She was watching a newscast while buffing her nails. She spoke without taking her eyes off the TV tank:

“We’re not buying anything, boys – nor hiring anybody.” Castor said, “You sell spaceships?”

She looked up. “Not often enough.” “Then tell your boss we want to see him.”

Her eyebrows went up. “Whom do you think you are kidding, sonny boy? Mr. Ekizian is a busy man.” Pollux said to Castor, “Let’s go over to the Hungarian, Cas. These people don’t mean business.” “Maybe you’re right.”

The girl looked from one to the other, shrugged, and flipped a switch. “Mr. Ekizan – there are a couple of Boy Scouts out here who say they want to buy a spaceship. Do you want to bother with them?”

A deep voice responded, “And why not? We got ships to sell.” Shortly a bald-headed, portly man, dressed in a cigar and a wrinkled moonsuit came out of the inner office and rested his hands on the rail. He looked them over shrewdly but his voice was jovial. “You wanted to see me?”

“You’re the owner?” asked Castor.

“Dealer Dan Ekizian, the man himself. What’s on your mind, boys? Time is money.” “Your secretary told you,” Castor said ungraciously. “Spaceships.”

Dealer Dan took his cigar out of his mouth and examined it. “Really? What would you boys want with a spaceship?” Pollux muttered something; Castor said, “Do you usually do business out here?” He glanced at the girl.

Ekizan followed his glance. “My mistake. Come inside.” He opened the gate for them, led them into his office, and seated them. He ceremoniously offered them cigars; the boys refused politely. “Now out with it kids. Let’s not joke.”

Castor repeated, “Spaceships.”

He pursed his lips. “A luxury liner, maybe? I haven’t got one on the field at the moment but I can always broker a deal.” Pollux stood up. “He’s making fun of us, Cas. Let’s go see the Hungarian.”

“Wait a moment Pol. Mr. Ekizian, you’ve got a heap out there on the south side of the field, a class VII, model ’93 Detroiter. What’s your scrap metal price on her and what does she mass?”

The dealer looked surprised. “That sweet little job? Why, I couldn’t afford to let that go as scrap. And anyhow, even at scrap that would come to a lot of money. If it is metal you boys want, I got it. Just tell me how much and what sort.”

“We were talking about that Detroiter.”    “I don’t believe I’ve met you boys before?”

“Sorry, sir. I’m Castor Stone. This is my brother Pollux.”

“Glad to meet you, Mr. Stone. Stone … Stone? Any relation to – The “Unheavenly Twins” – that’s it.” “Smile when you say that,” said Pollux.

“Shut up, Pol. We’re the Stone twins.”

“The frostproof rebreather valve, you invented it, didn’t you?” “That’s right.”

“Say, I got one in my own suit. A good gimmick – you boys are quite the mechanics.” He looked them over again. “Maybe you were really serious about a ship.”

“Of course we were.”

“Hmm. . . you’re not looking for scrap; you want something to get around it. I’ve got just the job for you, a General Motors Jumpbug, practically new. It’s been out on one grubstake job to a couple of thorium prospectors and I had to reclaim it. The hold ain’t even radioactive.”

“Not interested.”

“Better look at it. Automatic landing and three hops takes you right around the equator. Just the thing for a couple of lively, active boys.” “About that Detroiter – what’s your scrap price?”

Ekizian looked hurt. “That’s a deep space vessel, son – It’s no use to you, as a ship. And I can’t let it go for scrap; that’s a clean job. It was a family yacht – never been pushed over six g, never had an emergency landing. It’s got hundreds of millions of miles still in it. I couldn’t let you scrap that ship, even if you were to pay me the factory price. It would be a shame. I love ships. Now take this Jumpbug. . .”

“You can’t sell that Detroiter as anything but scrap,” Castor answered. “It’s been sitting there two years that I know of. If you had hoped to sell her as a ship you wouldn’t have salvaged the computer. She’s pitted, her tubes are no good, and an overhaul would cost more than she’s worth. Now what’s her scrap price?”

Dealer Dan rocked back and forth in his chair; he seemed to be suffering. “Scrap that ship? Just fuel her up and she’s ready to go – Venus, Mars, even the Jovian satellites.”

“What’s your cash price?” “Cash?”

“Cash.”

Ekizian hesitated, then mentioned a price. Castor stood up and said, “You were right, Pollux. Let’s go see the Hungarian.” The dealer looked pained. “If I were to write it off for my own use, I couldn’t cut that price – not in fairness to my partners.”

“Come on, Pol.”

“Look, boys, I can’t let you go over to the Hungarian’s. He’ll cheat you.” Pollux looked savage. “Maybe he’ll do it politely.”

“Shut up, Poll!” Castor went on, “Sorry, Mr. Ekizian, my brother isn’t housebroken. But we can’t do business.” He stood up.

“Wait a minute. That’s a good valve you boys thought up. I use it; I feel I owe you something.” He named another and lower sum. “Sorry. We can’t afford it.” He started to follow Pollux out.

”Wait!” Ekizian mentioned a third price. “Cash,” he added. “Of course. And you pay the sales tax?”

“Well. . . for a cash deal, yes.” “Good.”

“Sit down, gentlemen. I’ll call in my girl and we’ll state the papers.”

“No hurry,” answered Castor. “We’ve still got to see what the Hungarian has on his lot – and the government salvage lot, too.” “Huh? That price doesn’t stand unless you deal right now. Dealer Dan, they call me. I got no time to waste dickering twice.” “Nor have we. See you tomorrow. If it hasn’t sold we can take up where we left off.”

“If you expect me to hold that price, I’ll have to have a nominal option payment.”

“Oh, no, I wouldn’t expect you to pass up a sale for us. If you can sell it by tomorrow, we wouldn’t think of standing in your way. Come on, Pol.” Ekizian shrugged. “Been nice meeting you, boys.”

“Thank you, sir.”

As they closed the lock behind them and waited for it to cycle, Pollux said “You should have paid him an option.” His brother looked at him. “You’re retarded, Junior.”

On leaving Dealer Dan’s office the boys headed for the spaceport, intending to catch the passenger tube back to the city, fifty miles west of the port. They had less than thirty minutes if they were to get home for supper on time – unimportant in itself but Castor disliked starting a family debate on the defensive over a side issue. He kept hurrying Pollux along.

Their route took them through the grounds of General Synthetics Corporation, square miles of giant cracking plants, sun screens, condensers, fractionating columns, all sorts of huge machinery to take advantage of the burning heat, the bitter cold, and the endless vacuum for industrial chemical engineering purposes – a Dantesque jungle of unlikely shapes. The boys paid no attention to it; they were used to it. They hurried down the company road in the flying leaps the Moon’s low gravity permitted, making twenty miles an hour. Half way to the port they were overtaken by a company tractor; Pollux flagged it down.

As he ground to a stop, the driver spoke to them via his cab radio: “What do you want?” “Are you meeting the Terra shuttle?”

“Subject to the whims of fate – yes.”

“It’s Jefferson,” said Pollux. “Hey, Jeff – it’s Cas and Pol. Drop us at the tube station, will you?”

“Climb on the rack. Mind the volcano – come up the usual way.” As they did so he went on, “What brings you two carrot-topped accident-prones to this far reach of culture?”

Castor hesitated and glanced at Pollux. They had known Jefferson James for some time, having bowled against him in the city league. He was an old Moon hand but not a native, having come to Luna before they were born to gather color for a novel. The novel was still unfinished.

Pollux nodded. Castor said, “Jeff, can you keep a secret?”

“Certainly – but permit me to point out that these radios are not directional. See your attorney before admitting any criminal act or intention.” Castor looked around; aside from two tractor trucks in the distance no one seemed to be in line-of-sight. “We’re going into business.” “When were you out of it?”

“This is a new line – interplanetary trade. We’re going to buy our own ship and run it ourselves.”

The driver whistled. “Remind me to sell Four-Planet Export short. When does this blitz take place?”

“We’re shopping for a ship now. Know of a good buy?”

“I’ll alert my spies.” He shut up, being busy thereafter with the heavier traffic near the spaceport. Presently he said, “Here’s your stop.” As the boys climbed down from the rack of the truck he added, “If you need a crewman, keep me in mind.”

“Okay, Jeff. And thanks for the lift.”

Despite the lift they were late. A squad of marine M.P.s heading into the city on duty pre-empted the first tube car; by the time the next arrived the ship from Earth had grounded and its passengers took priority Thereafter they got tangled with the changing shift from the synthetics plant. It was well past suppertime when they arrived at their family’s apartment a half mile down inside Luna city

Mr. Stone looked up as they came in. “Well! the star boarders,” he announced. He was sitting with a small recorder in his lap, a throat mike clipped to his neck.

“Dad, it was unavoidable,” Castor began. “We -”

“It always is,” his father cut in. “Never mind the details. Your dinner is in the cozy. I wanted to send it back but your mother went soft and didn’t let me.”

Dr. Stone looked up from the far end of the living room, where she was modelling a head of their older sister, Meade. “Correction,” she said. “Your father went soft; I would have let you starve. Meade, quit turning your head.”

“Check,” announced their four-year old brother and got up from the floor where he had been playing chess with their grand mother. He ran towards them. “Hey, Cas, Pol – where you been? Did you go to the port? Why didn’t you take me? Did you bring me anything?”

Castor swung him up by his heels and held him upside down. “Yes. No. Maybe. And why should we? Here, Pol – catch.” He sailed the child through the air; his twin reached out and caught him, still by the heels.

“Check yourself,” announced Grandmother, “and mate in three moves. Shouldn’t let your social life distract you from your game, Lowell.” The youngster looked back at the board from his upside down position. “Wrong, Hazel. Now I let you take my queen, then – Blammie!

His grandmother looked again at the board. “Huh? Wait a minute – suppose I refuse your queen, then – Why, the little scamp! He’s trapped me again.”

Meade said, “Shouldn’t let him beat you so often, Hazel. It’s not good for him.” “Meade, for the ninth time, quit turning your head!”

“Sorry, Mother. Let’s take a rest.”

Grandmother snorted. “You don’t think I let him beat me on purpose, do you? You play him; I am giving up the game for good.” Meade answered just as her mother spoke; at the same time Pollux chucked the boy back at Castor. “You take him. I want to eat.” The child squealed. Mr. Stone shouted, “QUIET!”

“And stay quiet,” he went on, while unfastening the throat mike. “How is a man to make a living in all this racket? This episode has to be done over completely, sent to New York tomorrow, shot, canned, distributed, and on the channels by the end of the week. It’s not possible.”

“Then don’t do it,” Dr. Stone answered serenely. “Or work in your room – it’s soundproof.”

Mr. Stone turned to his wife. “My dear, I’ve explained a thousand times that I can’t work in there by myself. I get no stimulation. I fall asleep.” Castor said, “How’s it going, Dad? Rough?”

“Well, now that you ask me, the villains are way ahead and I don’t see a chance for our heroes.”

“I thought of a gimmick while Pol and I were out. You have this young kid you introduced into the story slide into the control room while everybody is asleep. They don’t suspect him, see? – he’s too young so they haven’t put him in irons. Once in the control room – “ Castor stopped and looked crestfallen. “No, it won’t do; he’s too young to handle the ship. He wouldn’t know how.”

“Why do you say that?” his father objected. “All I have to do is to plant that he has had a chance to. . . let me see –“ He stopped; his face went blank. “No,” he said presently.

“No good, huh?”

“Eh? What? It smells – but I think I can use it. Stevenson did something like it in Treasure Island – and I think he got it from Homer. Let’s see; if we

–“ He again went into his trance.

Pollux had opened the warming cupboard Castor dropped his baby brother on the floor and accepted a dinner pack from his twin. He opened it.

“Meat pie again,” he stated bleakly and sniffed it. “Synthetic, too.”

“Say that over again and louder,” his sister urged him. “I’ve been trying for weeks to get Mother to subscribe to another restaurant.” “Don’t talk, Meade,” Dr. Stone answered. “I’m modelling your mouth.”

Grandmother Stone snorted. “You youngsters have it too easy. When I came to the Moon there was a time when we had nothing but soya beans and coffee powder for three months.”

Meade answered, “Hazel, the last time you told us about that it was two months and it was tea instead of coffee.”

“Young lady, who’s telling this lie? You, or me?” Hazel stood up and came over to her twin grandsons. “What were you two doing on Dan Ekizian’s lot?”

Castor looked at Pollux, who looked back. Castor said cautiously, “Who told you that we were there?” “Don’t try to kid your grandmother. When you have been on -”

The entire family joined her in chorus: “- on the Moon as long as I have!” Hazel sniffed. “Sometimes I wonder why I married!”

Her son said, “Don’t try to answer that question,” then continued to his sons, “Well, what were you doing there?” Castor consulted Pollux by eye, then answered, “Well, Dad, it’s like this -”

His father nodded. “Your best flights of imagination always start that way. Attend carefully, everybody.” “Well, you know that money you are holding for us?”

“What about it?”

“Three per cent isn’t very much.”

Mr. Stone shook his head vigorously. “I will not invest your royalties in some wildcat stock. Financial genius may have skipped my generation but when I turn that money over to you, it will be intact.”

“That’s just it. It worries you. You could turn it over to us now and quit worrying about it.” “No. You are too young.”

“We weren’t too young to earn it.”

His mother snickered. “They got you, Roger. Come here and I’ll see if I can staunch the blood.”

Dr. Stone said serenely, “Don’t heckle Roger when he is coping with the twins, Mother. Meade, turn a little to the left.”

Mr. Stone answered, “You’ve got a point there, Cas. But you may still be too young to hang on to it. What is this leading up to?”

Castor signalled with his eyes; Pollux took over. “Dad, we’ve got a really swell chance to take that money and put it to work. Not a wildcat stock, not a stock at all. We’ll have every penny right where we can see it, right where we could cash in on it at any time. And in the meantime we’ll be making lots more money.”

“Hmmm…how?”

“We buy a ship and put it to work.”

His father opened his mouth; Castor cut in swiftly, “We can pick up a Detroiter VII cheap and overhaul it ourselves; we won’t be out a cent for wages.”

Pollux filled in without a break. “You’ve said yourself, Dad, that we are both born mechanics; we’ve got the hands for it.” Castor went on. “We’d treat it like a baby because it would be our own.”

Pollux: “We’ve both got both certificates, control and power. We wouldn’t need any crew.” Castor: “No overhead – that’s the beauty of it.”

Pollux: “So we carry trade goods out to the Asteroids and we bring back a load of high-grade. We can’t lose.” Castor: “Four hundred percent, maybe five hundred.”

Pollux: “More like six hundred.”

Castor: “And no worries for you.”

Pollux: “And we’d be out of your hair.” Castor: “Not late for dinner.”

Pollux had his mouth open when his father again yelled, “QUIET!” He went on, “Edith, bring the barrel. This time we use it.” Mr. Stone had a theory, often expressed, that boys should be raised in a barrel and fed through the bunghole. The barrel had no physical existence.

Dr. Stone said, “Yes, dear,” and went on modelling.

Grandmother Stone said, “Don’t waste your money on a Detroiter. They’re unstable; the gyro system is no good. Wouldn’t have one as a gift. Get a Douglas.”

Mr. Stone turned to his mother. “Hazel, if you are going to encourage the boys in this nonsense -”

“Not at all! Not at all! Merely intellectual discussion. Now with a Douglas they could make some money. A Douglas has a very favorable -” “Hazel!”

His mother broke off, then said thoughtfully, as if to herself, “I know there is free speech on the Moon: I wrote it into the charter myself.”

Roger Stone turned back to his sons. “See here, boys – when the Chamber of Commerce decided to include pilot training in their Youth-Welfare program I was all for it. I even favored it when they decided to issue junior licenses to anybody who graduated high in the course. When you two got your jets I was proud as could be. It’s a young man’s game; they license commercial pilots at eighteen and -”

“And they retire them at thirty,” added Castor. “We haven’t any time to waste. We’ll be too old for the game before you know it.”

“Pipe down. I’ll do the talking for a bit. If you think I’m going to draw that money out of the bank and let you two young yahoos go gallivanting around the system in a pile of sky junk that will probably blow the first time you go over two g’s, you had better try another think. Besides, you’re going down to Earth for school next September.”

“We’ve been to Earth,” answered Castor. “We didn’t like it,” added Pollux.

“Too dirty.”

“Likewise too noisy.”

“Groundhogs everywhere,” Castor finished.

Mr. Stone brushed it aside. “Two weeks you were there – not time enough to find out what the place is like. You’ll love it, once you get used to it. Learn to ride horseback, play baseball, see the Ocean”

“A lot of impure water,” Castor answered. “Horses are to eat.”

“Take baseball,” Castor continued. “It’s not practical. How can you figure a one-g trajectory and place your hand at the point of contact in the free- flight time between bases? We’re not miracle men.”

I played it.”

“But you grew up in a one-g field; you’ve got a distorted notion of physics. Anyhow, why would we want to learn to play baseball? When we come back, we wouldn’t be able to play it here. Why, you might crack your helmet”

Mr. Stone shook his head. “Games aren’t the point. Play base-ball or not, as suits you. But you should get an education.” “What does Luna City Technical lack that we need? And if so, why? After all, Dad, you were on the Board of Education.” “I was not; I was mayor.”

“Which made you a member ex-officio – Hazel told us.”

Mr. Stone glanced at his mother; she was looking elsewhere. He went on, “Tech is a good school, of its sort, but we don’t pretend to offer everything at Tech. After all, the Moon is still an outpost, a frontier -”

“But you said,” Pollux interrupted, “in your retiring speech as mayor, that Luna City was the Athens of the future and the hope of the new age.” “Poetic license. Tech is still not Harvard. Don’t you boys want to see the world’s great works of art? Don’t you want to study the world’s great

literature?”

“We’ve read lvanhoe,said Castor.

“And we don’t want to read The Mill on the Floss,” added Pollux. “We prefer your stuff.”

“My stuff? My stuff isn’t literature. It’s more of an animated comic strip.” “We like it,” Castor said firmly.

His father took a deep breath. “Thank you. Which reminds me that I still have a full episode to sweat out tonight, so I will cut this discussion short. In the first place you can’t touch the money without my thumbprint – from now on I am going to wear gloves. In the second place both of you are too young for an unlimited license.”

“You could get us a waiver for out-system. When we got back we’d probably be old enough for unlimited.” “You’re too young!”

Castor said, “Why, Dad, not half an hour ago you accepted a gimmick from me in which you were going to have an eleven-year-old kid driving a ship.”

“I’ll raise his age!”

“It’ll ruin your gimmick.”

“Confound it! That’s just fiction – and poor fiction at that. It’s hokum, dreamed up to sell merchandise.” He suddenly looked suspiciously at his son. “Cas, you planted that gimmick on me. Just to give yourself an argument in favor of this hair-brained scheme – didn’t you?”

Castor looked pious. “Why, Father, how could you think such a thing?” “Don’t Father me! I can tell a hawk from a Hanshaw.”

“Anybody can,” Grandmother Hazel commented. “The Hawk class is a purely commercial type while the Hanshaw runabout is a sport job. Come to think about it, boys, a Hanshaw might be better than a Douglas. I like its fractional controls and -”

“Hazel!” snapped her son. “Quit encouraging the boys. And quit showing off. You’re not the only engineer in the family.” “I’m the only good one,” she answered smugly.

“Oh, yes? Nobody ever complained about my work.” “Then why did you quit?”

“You know why. Fiddle with finicky figures for months on end – and what have you got? A repair dock. Or a stamping mill. And who cares?” “So you aren’t an engineer. You’re merely a man who knows engineering.”

“What about yourself? You didn’t stick with it.”

“No,” she admitted, “but my reasons were different. I saw three big, hairy, male men promoted over my head and not one of them could do a partial integration without a pencil. Presently I figured out that the Atomic Energy Commission had a bias on the subject of women no matter what the civil service rules said. So I took a job dealing blackjack. Luna City didn’t offer much choice in those days – and I had you to support.”

The argument seemed about to die out; Castor judged it was time to mix it up again. “Hazel, do you really think we should get a Hanshaw? I’m not sure we can afford it.”

“Well, now, you really need a third crewman for a -” “Do you want to buy in?”

“Mr. Stone interrupted. “Hazel, I will not stand by and let you encourage this. I’m putting my foot down.”

“You look silly standing there on one foot. Don’t try to bring me up, Roger. At ninety-five my habits are fairly well set.” “Ninety-five indeed! Last week you were eighty-five.”

“It’s been a hard week. Back to our muttons – why don’t you buy in with them? You could go along and keep them out of trouble.”

“What? Me?” Mr. Stone took a deep breath. “(A) a marine guard couldn’t keep these two junior-model Napoleons out of trouble. I know; I’ve tried.

(B) I do not like a Hanshaw; they are fuel hogs. (C) I have to turn out three episodes a week of The Scourge of the Spaceways – including one which must be taped tonight, if this family will ever quiet down!”

“Roger,” his mother answered. “trouble in this family is like water for fish. And nobody asked you to buy a Hanshaw, As to your third point, give me a blank spool and I’ll dictate the next three episodes tonight while I’m brushing my hair.” Hazel’s hair was still thick and quite red. So far, no one had caught her dyeing it. “It’s about time you broke that contract anyway; you’ve won your bet.”

Her son winced. Two years before be had let himself be trapped into a bet that he could write better stuff than was being channeled up from Earth

  • and had gotten himself caught in a quicksand of fat checks and options. “I can’t afford to quit,” he said feebly.

“What good is money if you don’t have time to spend it? Give me that spool and the box.” “You can’t write it.”

“Want to bet?”

Her son backed down; no one yet had won a bet with Hazel.

“That’s beside the point I’m a family man; I’ve got Edith and Buster and Meade to think about, too.”

Meade turned her head again. “If you’re thinking about me, Daddy, I’d like to go. Why, I’ve never been any place – except that one trip to Venus and twice to New York.”

“Hold still. Meade,” Dr. Stone said quietly. She went on to her husband, “You know, Roger, I was thinking just the other day how cramped this apartment is. And we haven’t been any place, as Meade says, since we got back from Venus.”

Mr. Stone stared. “You too? Edith, this apartment is bigger than any ship compartment; you know that.” “Yes, but a ship seems bigger. In free fall one gets so much more use out of the room.”

“My dear, do I understand that you are supporting this junket?”

“Oh, not at all! I was speaking in general terms. But you do sleep better aboard ship. You never snore in free fall.” “I do not snore!”

Dr. Stone did not answer. Hazel snickered. Pollux caught Castor’s eye and Castor nodded; the two slipped quietly away to their own room. It was a lot of trouble to get mother involved in a family argument, but worth the effort; nothing important was ever decided until she joined in.

Meade tapped on their door a little later; Castor let her in and looked her over; she was dressed in the height of fashion for the American Old West. “Square dancing again, huh?”

“Eliminations tonight. Look here, Cas, even if Daddy breaks loose from the money you two might be stymied by being underage for an unlimited license – right?”

“We figure on a waiver.” They had also discussed blasting off without a waiver, but it did not seem the time to mention it. “But you might not get it. Just bear in mind that I will be eighteen next week. Bye now!”

“Good night.”

When she had gone Pollux said, “That’s silly. She hasn’t even taken her limited license.” “No, but she’s had astrogation in school and we could coach her.”

“Cas, you’re crazy. We can’t drag her all around the system; girls are a nuisance.” “You’ve got that wrong, Junior. You mean “sisters” – girls are okay.”

Pollux considered this. “Yeah, I guess you’re right.” “I’m always right.”

“Oh, so? How about the time you tried to use liquid air to -” “Let’s not be petty!”

Grandmother Hazel stuck her head in next. “Just a quick battle report, boys. Your father is groggy but still fighting gamely.” “Is he going to let us use the money?”

“Doesn’t look like it, as now. Tell me, how much did Ekizian ask you for that Detroiter?”

Castor told her; she whistled. “The gonoph,” she said softly. “That unblushing groundhog – I’ll have his license lifted.” “Oh, we didn’t agree to pay it.”

“Don’t sign with him at all unless I’m at your elbow. I know where the body is buried.”

“Okay. Look, Hazel, you really think a Detroiter VII is unstable?”

She wrinkled her brow. “Its gyros are too light for the ship’s moment of inertia. I hate a ship that wobbles. If we could pick up a war-surplus triple- duo gyro system, cheap, you would have something. I’ll inquire around.”

It was much later when Mr. Stone looked in. “Still awake, boys?” “Oh, sure, come in.”

“About that matter we were discussing tonight -” Pollux said, “Do we get the money?”

Castor dug him in the ribs but it was too late. Their father said, “I told you that was out. But I wanted to ask you: did you, when you were shopping around today, happen to ask, us, about any larger ships?”

Castor looked blank. “Why, no sir. We couldn’t afford anything larger could we, Pol?” “Gee, no! Why do you ask, Dad?”

“Oh, nothing, nothing at all! Uh, good night.”

He left. The twins turned to each other and solemnly shook hands.

II      – A CASE FOR DRAMATIC LICENSE

At breakfast the next morning – ‘morning’ by Greenwich time, of course; it was still late afternoon by local sun time and would be for a couple of days – the Stone family acted out the episode Hazel had dictated the night before of Mr. Stone’s marathon adventure serial. Grandma Hazel had stuck the spool of dictation into the autotyper as soon as she had gotten up; there was a typed copy for each of them. Even Buster had a small side to read and Hazel played several parts, crouching and jumping around and shifting her voice from rusty bass to soprano.

Everybody got into the act – everybody but Mr. Stone; he listened with a dour try-to-make-me-laugh expression.

Hazel finished her grand cliff-hanging finale by knocking over her coffee She plucked the cup out of the air and had a napkin under the brown flood before it could reach the floor under the urge of the Moon’s leisurely field. “Well?” she said breathlessly to her son, while still panting from the Galactic Overlord’s frantic attempts to escape a just fate. “How about it? Isn’t that a dilly? Did we scare the dickens out of ’em or didn’t we?”

Roger Stone did not answer; he merely held his nose. Hazel looked amazed. “You didn’t like it? Why, Roger, I do believe you’re jealous. To think I would raise a son with spirit so mean that he would be envious of his own mother!”

Buster spoke up. “I liked it Let’s do that part over where I shoot the space pirate.” He pointed a finger and made a buzzing noise. “Whee! Blood all over the bulkheads!”

“There’s your answer, Roger. Your public. If Buster likes it, you’re in.”        “I thought it was exciting,” Meade put in. “What was wrong with it, Daddy?” “Yes,” agreed Hazel belligerently. “Go ahead. Tell us.”

“Very well. In the first place, spaceships do not make hundred-and eighty-degree turns.” “This one does!”

“In the second place, what in blazes is this “Galactic Overlord” nonsense? When did he creep in?” “Oh, that! Son, your show was dying on its feet, so I gave it a transfusion.”

“But “Galactic Overlords” – now, really! It’s not only preposterous: it’s been used over and over again.”

“Is that bad? Next week I’m going to equip Hamlet with atomic propulsion and stir it in with The Comedy of Errors. I suppose you think Shakespeare will sue me?”

“He will if he can stop spinning.” Roger Stone shrugged ‘I’ll send it in. There’s no time left to do another one and the contract doesn’t say it has to be good: it just says I have to deliver it. They’ll rewrite it in New York anyway.”

His mother answered, “Even money says your fan mail is up twenty-five per cent on this episode.” “No, thank you. I don’t want you wearing yourself out writing fan mail – not at your age.”

“What’s wrong with my age? I used to paddle you twice a week and I can still do it. Come on; put up your dukes!” “Too soon after breakfast.”

“Sissy! Pick your way of dying – Marquis of Queensbury, dockside, or kill-quick.”

“Send around your seconds; let’s do this properly. In the meantime –“ He turned to his sons. “Boys, have you any plans for today?” Castor glanoed at his brother, then said cautiously, “well, we were thinking of doing a little more shopping for ships.

“I’ll go with you.”

Pollux looked up sharply. “You mean we get the money?” His brother glared at him. Their father answered, “No, your money stays in the bank where it belongs.”

“Then why bother to shop?” He got an elbow in the ribs for this remark.

“I’m interested in seeing what the market has to offer,” Mr. Stone answered. “Coming, Edith?” Dr. Stone answered, “I trust your judgement, my dear.”

Hazel gulped more coffee and stood lip. “I’m coming along.” Buster bounced down out of his chair. “Me, too!”

Dr. Stone stopped him. “No, dear. Finish your oatmeal.”

“No! I’m going, too. Can’t I, Grandma Hazel?”

Hazel considered it. Riding herd on the child outside the pressurised city was a full-time chore; he was not old enough to be trusted to handle his vacuum-suit controls properly. On this occasion she wanted to be free to give her full attention to other matters. “I’m afraid not, Lowell. Tell you what, sugar, I’ll keep my phone open and we’ll play chess while I’m away.”

“It’s no fun to play chess by telephone. I can’t tell what you are thinking.”

Hazel stared at him. “So that’s it? I’ve suspected it for some time. Maybe I can win a game once. No, don’t start whimpering – or I’ll take your slide rule away from you for a week.” The child thought it over, shrugged, and his face became placid. Hazel turned to her son. “Do you suppose he really does hear thoughts?”

Her son looked at his least son. “I’m afraid to find out.” He sighed and added, “Why couldn’t I have been born into a nice, normal, stupid family? Your fault, Hazel.”

“His mother patted his arm. “Don’t fret, Roger. You pull down the average.”

“Hummph! Give me that spool. I’d better shoot it off to New York before I lose my nerve.”

Hazel fetched it; Mr. Stone took it to the apartment phone, punched in the code for RCA New York with the combination set for high speed transcription relay. As he slipped the spool into its socket he added, “I shouldn’t do this. In addition to that “Galactic Overlord” nonsense, Hazel, you messed up the continuity by killing off four of my standard characters.”

Hazel kept her eye on the spool; it had started to revolve. “Don’t worry about it. I’ve got it all worked out. You’ll see.”

“Eh? What do you mean? Are you intending to write more episodes? I’m tempted to go limp and let you struggle with it – I’m sick of it and it would serve you right. Galactic Overlords indeed!”

His mother continued to watch the spinning spool in the telephone. At high speed relay the thirty-minute spool zipped through in thirty seconds. Shortly it went spung! and popped up out of the socket; Hazel breathed relief. The episode was now either in New York, or was being held automatically in the Luna City telephone exchange, waiting for a break in the live Luna-to-Earth traffic. In either case it was out of reach, as impossible to recall as an angry word.

“Certainly I plan to do more episodes,” she told him. “Exactly seven, in fact.” “Huh! Why seven?”

“Haven’t you figured out why I am killing off characters? Seven episodes is the end of this quarter and a new option date. This time they won’t pick up your option because every last one of the characters will be dead and the story will be over. I’m taking you off the hook, son.”

What? Hazel, you can’t do that! Adventure serials never end.” “Does it say so in your contract?”

“No, but -”

“You’ve been grousing about how you wanted to get off this golden treadmill. You would never have the courage to do it yourself, so your loving mother has come to the rescue. You’re a free man again, Roger.”

“But -” His face relaxed. “I suppose you’re right Though I would prefer to commit suicide, even literary suicide, in my own way and at my own time. Mmm. .. see here, Hazel, when do you plan to kill off John Sterling?”

“Him? Why, Our Hero has to last until the final episode, naturally. He and the Galactic Overlord do each other in at the very end. Slow music.” “Yes. Yes, surely… that’s the way it would have to be. But you can’t do it”

“Why not?”

“Because I insist on writing that scene myself. I’ve hated that mealy-mouthed Galahad ever since I thought him up. I’m not going to let anyone else have the fun of killing him; he’s mine!”

His mother bowed. “Your honour, sir.”

Mr. Stone’s face brightened; he reached for his pouch and slung it over his shoulder. “And now let’s look at some space-ships!” “Geronimo!”

As the four left the apartment and stepped on the slid eway that would take them to the pressure lfft to the surface Pollux said to his grandmother, “Hazel, what does “Geronimo” mean?”

“Ancient Druid phrase meaning “Let’s get out of here even if we have to walk.” So pick up your feet.”

III      – THE SECOND-HAND MARKET

They stopped at the Locker Rooms at East Lock and suited up. As usual, Hazel unbelted her gun and strapped it to her vacuum suit. None of the others was armed; aside from civic guards and military police no one went armed in Luna City at this late date except a few of the very old-timers like Hazel herself. Castor said, “Hazel, why do you bother with that?”

“To assert my right. Besides, I might meet a rattlesnake.” “Rattlesnakes? On the Moon? Now, Hazel!”

“’Now, Hazel’” yourself. More rattlesnakes walking around on their hind legs than ever wriggled in the dust. Anyhow, do you remember the reason the White Knight gave Alice for keeping a mouse trap on his horse?”

“Uh, not exactly.”

“Look it up when we get home. You kids are ignorant Give me a hand with this helmet.”

The conversation stopped, as Buster was calling his grandmother and insisting that they start their game. Castor could read her lips through her helmet; when he had his own helmet in place and his suit radio switched on he could hear them arguing about which had the white men last game. Hazel was preoccupied thereafter as Buster, with the chess board in front of him, was intentionally hurrying the moves, whereas Hazel was kept busy visualising the board.

They had to wait at the lock for a load of tourists, just arrived in the morning shuttle from Earth, to spill out. One of two women passengers stopped and stared at them. “Thelma,” she said to her companion, “that little man – he’s wearing a gun.

The other woman urged her along. “Don’t take notice,” she said. “It’s not polite.” She went on, changing the subject ‘I wonder where we can buy souvenir turtles around here? I promised Herbert.”

Hazel turned and glared at them; Mr. Stone took her arm and urged her into the now empty lock. She continued to fume as the lock cycled. “Groundhogs! Souvenir turtles indeed!”

“Mind your blood pressure, Hazel,” her son advised.

“You mind yours.” She looked up at him and suddenly grinned. “I should ha’ drilled her, podnuh – like this.” She made a fast draw to demonstrate, then, before returning the weapon to its holster, opened the charge chamber and removed a cough drop. This she inserted through the pass valve of her helmet and caught it on her tongue. Sucking it, she continued. “Just the same, son, that did it. Your mind may not be made up; mine is. Luna is getting to be like any other ant hill. I’m going out somewhere to find elbow room, about a quarter of a billion miles of it.”

“How about your pension?”

“Pension be hanged! I got along all right before I had it, Hazel, along with the other remaining Founding Fathers – and mothers – of the lunar colony, had been awarded a lifetime pension from a grateful city. This might be for a long period, despite her age, as the normal human life span under the biologically easy conditions of the Moon’s low gravity had yet to be determined; the Luna city geriatrics clinic regularly revised the estimate upwards.

She continued, “How about you? Are you going to stay here, like a sardine in a can? Better grab your chance, son, before they run you for office again. Oueen to king’s bishop three, Lowell.”

“We’ll see. Pressure is down; let’s get moving.”

Castor and Pollux carefully stayed out of the discussion; things were shaping up.

As well as Dealer Dan’s lot, the government salvage yard and that of the Bankrupt Hungarian were, of course, close by the spaceport The Hungarian’s lot sported an ancient sun-tarnished sign – BARGAINS! BARGAINS!! BARGAINS!!! GOING OUT OF BUSINESS – but there were no bargains there, as Mr. Stone decided in ten minutes and Hazel in five. The government salvage yard held mostly robot freighters without living qnarters – one-trip ships, the interplanetary equivalent of discarded packing cases – and obsolete military craft unsuited for most private uses. They ended up at Ekizian’s lot.

Pollux headed at once for the ship he and his brother had picked out. His father immediately called him back ‘Hey,” Pol! What’s your hurry?” “Don’t you want to see our ship?”

“Your ship? Are you still laboring under the fancy that I am going to let you two refugees from a correction school buy that Deiroiter?

Huh? Then what did we come out here for?”

“I want to look at some ships. But I am not interested in a Detroiter VII.”

Pollux said, “Huh! See here, Dad, we aren’t going to settle for a jumpbug. We need a – “The rest of his protest was cut off as Castor reached over

and switched off his walkie-talkie; Castor picked it up:

“What sort of a ship, Dad? Pol and I have looked over most of these heaps, one time or another.” “Well, nothing fancy. A conservative family job. Let’s look at that Hanshaw up ahead.”

Hazel said, “I thought you said Hanshaws were fuel hogs, Roger?” “True, but they are very comfortable. You can’t have everything.” “Why not?”

Pollux had switched his radio back on immediately. He put in, “Dad, we don’t want a runabout. No cargo space.” Castor reached again for his belt switch; he shut up.

But Mr. Stone answered hirn. “Forget about cargo space. You two boys would lose your shirts if you attempted to compete with the sharp traders running around the system. I’m looking for a ship that will let the family make an occasional pleasure trip; I’m not in the market for a commercial freighter.”

Pollux shut up; they all went to the Hanshaw Mr. Stone had pointed out and swarmed up into her control room. Hazel used both hands and feet in climbing the rope ladder but was only a little behind her descendants. Once they were in the ship she went down the hatch into the power room; the others looked over the control roof and the living quarters, combined in one compartment. The upper or bow end was the control station with couches for pilot and co-pilot. The lower or after end had two more acceleration couches for passengers, all four couches were reversible, for the ship could be tumbled in flight, caused to spin end over end to give the ship artificial ‘gravity’ through centrifugal force – in which case the forward direction would be ‘down’, just the opposite of the ‘down’ of flight under power.

Pollux looked over these arrangements with distaste. The notion of cluttering up a ship with gadgetry to coddle the tender stomachs of groundhogs disgusted him. No wonder Hanshaws were fuel hogs!

But his father thought differently. He was happily stretched out in the pilot’s couch, fingering the controls. “This baby might do,” he announced, “if the price is right.”

Castor said, “I thought you wanted this for the family, “I do.”

“Be pretty cramped in here once you rigged extra couches. Edith won’t like that” “You let me worry, about your mother. Anyhow, there are enough couches now. “With only four? How do you figure?”

“Me, your mother, your grandmother, and Buster. If Meade is along we’ll rig something for the baby. By which you may conclude that I am really serious about you two juvenile delinquents finishing your schooling. Now don’t blow your safeties! – I have it in mind that you two can use this crate to run around in after you finish school. Or even during vacations, once you get your unlimited licenses. Fair enough?”

The twins gave him the worst sort of argument to answer; neither of them said anything. Their expressions said everything that was necessary. Their father went on, “See here – I’m trying to be fair and I’m trying to. be generous. But how many boys your age do you know, or have even heard of, who have their own ship? None – right? You should get it through your heads that you are not supermen.”

Castor grabbed at it. “How do you know that we are not “supermen”?”

Poliux followed through with, “Conjecture, pure conjecture.” Before Mr. Stone could think of an effective answer his mother poked her head up the power room hatch. Her expression seemed to say she had whiffed a very bad odor. Mr. Stone said, “What’s the trouble, Hazel? Power plant on the blink?”

“”On the blink”, he says! Why, I wouldn’t lift this clunker at two gravities.” “What’s the matter with it?”

“I never saw a more disgracefully abused – No, I won’t tell you. Inspect it yourself; you don’t trust my engineering ability.” “Now see here, Hazel, I’ve never told you I don’t trust your engineering.”

“No, but you don’t. Don’t try to sweet-talk me; I know. So check the power room yourself. Pretend I haven’t seen it”

Her son turned away and headed for the outer door, saying huffily, “I’ve never suggested that you did not know power plants. If you are talking about that Gantry design, that was ten years ago; by now you should have forgiven me for being right about it.”

To the surprise of the twins Hazel did not continue the argument but followed her son docilely into the air lock. Mr. Stone started down the rope ladder; Castor pulled his grandmother aside, switched off both her radio and pushed his helmet into contact with hers so that he might speak with her in private. “Hazel, what was wrong with the power plant? Pol and I went through this ship last week – I didn’t spot anything too bad.”

Hazel look at him pityingly. “You’ve been losing sleep lately? It’s obvious – only four couches.”

“Oh.” Castor switched on his radio and silently followed his brother and father to the ground.

Etched on the stern of the next ship they visited was Cherub, Roma, Terra, and she actually was of the Carlotti Motors Angel series, though she resembled very little the giant Archangels, She was short – barely a hundred fifty feet high – and slender, and she was at least twenty years old. Mr. Stone had been reluctant to inspect her. “She’s too big for us,” he protested, “and I’m not looking for a cargo ship.”

“Too big how?” Hazel asked ‘”Too big” is a financial term, not a matter of size. And with her cargo hold empty, think how lively she’ll be. I like a ship that jumps when I twist its tail – and so do you.”

“Mmmm, yes,” he admitted. “Well, I suppose it doesn’t cost anything to look her over.” “You’re talking saner every day, son.” Hazel reached for the rope ladder.

The ship was old and old-fashioned and she had plied many a lonely million miles of space, but, thanks to the preservative qualities of the Moon’s airless waste, she had not grown older since the last time her jets bad blasted. She had simply slumbered timelessly, waiting for someone to come along and appreciate her sleeping beauty. Her air had been. salvaged; there was no dust in her compartments. Many of her auxiliary fittings had been stripped and sold, but she herself was bright and clean and spaceworthy.

The light Hazel could see in her son’s eyes she judged to be love at first sight. She hung back and signalled the twins to keep quiet. The open airlock had let them into the living quarters; a galley-saloon, two little staterooms, and a bunkroom. The control room was separate, above them, and was a combined conn. & comm. Roger Stone immediately climbed into it.

Below the quarters was the cargo space and below that the power room. The little ship was a passenger-carrying freighter, conversely a passenger ship with cargo space; it was this dual nature which had landed her, an unwanted orphan, in Dealer Dan’s second-hand lot. Too slow when carrying cargo to compete with the express liners, she could carry too few passengers to make money without a load of freight, Although of sound construction she did not fit into the fiercely competitive business world.

The twins elected to go on down into the power room. Hazel poked around the living quarters, nodded approvingly at the galley, finally climbed up into the control room. There she found her son stretched out in the pilot’s couch and fingering the controls. Hazel promptly swung herself into the co- pilot’s couch, settled down in the bare rack – the pneumatic pads were missing – and turned her head toward Roger Stone. She called out ‘All stations manned and ready, Captain !”

He looked at her and grinned. “Stand by to raise ship!”

She answered, “Board green! Clear from tower! Ready for count off!”

“Minus thirty! Twenty-nine – twenty-eight –“ He broke off and added sheepishly, “It does feel good.”

“You’re dern tootin’ it does. Let’s grab ourselves a chunk of it before we’re too old. This city life is getting us covered with moss.” Roger Stone swung his long legs out of the pilot’s couch. “Um, maybe we should. Yes, we really should.”

Hazel’s booted feet hit the deck plates by his. “That’s my boy! I’ll raise you up to man size yet. Let’s go see what the twins have taken apart.”

The twins were still in the power room. Roger went down first; he said to Castor, “Well, son, how does it look? Will she raise high enough to crash?”

Castor wrinkled his forehead. “We haven’t found anything wrong, exactly, but they’ve taken her boost units out. The pile is just a shell.”

Hazel said, “What do you expect? For ’em to leave “hot” stuff sitting in a decommissioned ship? In time the whole stern would be radioactive, even if somebody didn’t steal it.

Her son answered, “Quit showing off, Hazel, Cas knows that. We’ll check the log data and get a metallurgical report later – if we ever talk business.”

Hazel answered, “King’s knight to queen bishop five. What’s the matter, Roger? Cold feet?”

“No, I like this ship. . . but I don’t know that I can pay for her. And even if she were a gift, it will cost a fortune to overhaul her and get her ready for space.”

“Pooh! I’ll run the overhaul myself, with Cas and Pol to do the dirty work. Won’t cost you anything but dockage. As for the price, we’ll burn that bridge when we come to it.”

“I’ll supervise the overhaul, myself.”

“Want to fight? Let’s go down and find out just what inflated notions Dan Ekizian has this time. And remember – let me do the talking.” “Now wait a minute – I never said I was going to buy this bucket.”

“Who said you were? But it doesn’t cost anything to dicker. I can make Dan see reason.”

Dealer Dan Ekizian was glad to see them, doubly so when he found that they were interested, not in the Detroiter VII, but in a larger, more

expensive ship. At Hazel’s insistence she and Ekizian went into his inner office alone to discuss prices. Mr. Stone let her get away with it, knowing

that his mother drove a merciless bargain. The twins and he waited outside for quite a while; presently Mr. Ekizian called his office girl in.

She came out a few minutes later, to be followed shortly by Ekizian and Hazel. “It’s all settled,” she announced, looking smug. The dealer smiled grudgingly around his cigar. “Your mother is a very smart woman, Mister Mayor.”

“Take it easy!” Roger Stone protested. “You are both mixed up in your timing. I’m no longer mayor, thank heaven – and nothing is settled yet. What are the terms?”

Ekizian glanced at Hazel, who pursed her lips. “Well, now, son,” she said slowly, “it’s like this. I’m too old a woman to fiddle around. I might die in bed, waiting for you to consider all sides of the question. So I bought it”

“You?”

For all practical purposes. It’s a syndicate. Dan puts up the ship; I wangle the cargo – and the boys and I take the stuff out to the Asteroids for a fat profit. I’ve always wanted to be a skipper.”

Castor and Pollux had been lounging in the background, listening and watching faces. At Hazel’s announcement Pollux started to speak; Castor caught his eye and shook his head. Mr. Stone said explosively, “That’s preposterous! I won’t let you do it”

“I’m of age, son.” –

“Mr. Ekizian, you must be out of your mind.”

The dealer took his cigar and stared at the end of it. “Business is business.” “Well…at least you won’t get my boys mixed up in it That’s out!”

“Mmm. . . “ said Hazel. “Maybe. Maybe not. Let’s ask them.” “They’re not of age.”

“No. . . not quite. But suppose they went into court and asked that I be appointed their guardian?”

Mr. Stone listened to this quietly, then turned to his sons.’Cas. . . Pol . . . did you frame this with your grandmother?” Pollux answered, “No, sir.”

“Would you do what she suggests?”

Castor answered, “Now, Dad, you know we wouldn’t like to do anything like that.” “But would you do it, eh?”

“I didn’t say so, sir.”

“Hmm – “ Mr. Stone turned back. “This is pure blackmail – and I won’t stand for it. Mr. Ekizian, you knew that I came in here to bid on that ship. You knew that my mother was to bargain for it as my agent. You both knew that – but you made a deal behind my back. Now either you set that so-called deal aside and we start over – or I haul both of you down to the Better Business Bureau.

Hazel was expressionless; Mr. Ekizan examined his rings.

“There’s something in what you say, Mr. Stone. Suppose we go inside and talk it over?” “I think we had better.”

Hazel followed them in and plucked at her son’s sleeve before he had a chance to start anydung. “Roger? You really want to buy this ship?” “I do.”

She pointed to papers spread on Ekizian’s desk. “Then just sign right there and stamp your thumb.”

He picked up the papers instead. They contained no suggestion of the deal Hazel had outlined; instead they conveyed to him all right, title and interest in the vessel he had just inspected, and at a price much lower than he had been prepared to pay. He did some hasty mental arithmetic and concluded that Hazel had not only gotten the ship at scrapmetal prices but also must have bulldozed Ekizian into discounting the price by what it would have cost him to cut the ship up into pieces for salvage.

  • In dead silence he reached for Mr. Ekizian’s desk stylus, signed his name, then carefully affixed his thumb print. He looked up and caught his mother’s eye. “Hazel, there is no honesty in you and you’ll come to a bad end.”

She smiled. “Roger, you do say the sweetest things.”

Mr. Ekizian sighed. “As I said, Mr. Stone, your mother is a very smart woman. I offered her a partnership.”

“Then there was a deal?”

Oh, no, no, not that deal – I offered her a partnership in the lot.” “But I didn’t take it.” Hazel added. “I want elbow room.”

Roger Stone grinned and shrugged, stood up. “Well, anyway – who’s skipper now?” “You are – Captain.”

As they came out both twins said, “Dad, did you buy it?”

Hazel answered, “Don’t call him “Dad” – he prefers to be called “Captain”.” “Oh.”

“Likewise “Oh”,” Pol repeated.

Dr. Stone’s only comment was, “Yes, dear, I gave them notice on the lease.” Meade was almost incoherent; Lowell was incoherent After dinner Hazel and the twins took Meade and the baby out to see their ship; Dr. Stone – who had shown no excitement even during the Great Meteor Shower

  • stayed home wrth her husband. He spent the time making lists of things that must be attended to, both in the city and on the ship itself, before they could leave. He finished by making a list that read as follows:

Myself – skipper

Castor – 1st officer & pilot Meade – 2nd officer & asst. cook Hazel – chief engineer

Pollux – asst. eng. & relief pilot Edith – ship’s surgeon & cook Buster – “supercargo”

He stared at it for a while, then said softly to himself, “Something tells me this isn’t going to work.”

II            – ASPECTS OF DOMESTIC ENGINEERING

Mr. Stone did not show his ship’s organisation bill to the rest of the family; he knew in his heart that the twins were coming along, but he was not ready to concede it publicly. The subject was not mentioned while they were overhauling the ship and getting it ready for space.

The twins did most of the work with Hazel supervising and their father, from time to time, arguing with her about her engineering decisions. When this happened the twins usually went ahead and did it in the way they thought it ought to be done. Neither of them had much confidence in the skill and knowledge of their elders; along with their great natural talent for mechanics and their general brilliance went a cocksure, half-baked conceit which led them to think that they knew a great deal more than they did.

This anarchistic and unstable condition came to a head over the overhaul of the intermediate injector sequence. Mr. Stone had decreed, with Hazel concurring, that all parts which could be disassembled would so be, interior surfaces inspected, tolerances checked, and gaskets replaced with new ones. The intermediate sequence in this model was at comparatively low pressure; the gasketing was of silicone-silica laminate rather than wrung metal.

Spare gaskets were not available in Luna city, but had to be ordered up from Earth; this Mr. Stone had done. But the old gaskets appeared to be in perfect condition, as Pollux pointed when they opened the sequence. “Hazel, why don’t we put these back in? They look brand new.”

His grandmother took one of the gaskets, looked it over, flexed it, and handed it back. “Lots of life left in it; that’s sure. Keep it for a spare.”

Castor said, “That wasn’t what Pol said. The new gaskets have to be flown from Rome to Pikes Peak, then jumped here. Might be three days, or it might be a week. And we can’t do another thing until we get this mess cleaned up.”

“You can work in the control room. Your father wants all new parts on everything that wears out.” “Oh, bother! Dad goes too much by the book; you’ve said so yourself.”

Hazel looked up at her grandson, bulky in his pressure suit. “Listen, runt, your father is an A-one engineer. I’m privileged to criticise him; you aren’t.”

Pollux cut in hastily, “Just a Sec, Hazel, let’s keep personalities out of this. I want your unbiased professional opinion; are those gaskets fit to put back in, or aren’t they? Cross your heart and shame the devil.”

“Well. . . I say they are fit to use. You can tell your father I said so. He ought to be here any minute now; I expect he will agree.” She straightened up. “I’ve got to go.”

Mr. Stone failed to show up when expected. The twins fiddled around, doing a little preliminarv work on the preheater. Finally Pollux said, “What time is it?”

“Past four.”

“Dad won’t show up this afternoon. Look, those gaskets are all right and, anyhow, two gets you five he’d never know the difference.” “Well – he would okay them if he saw them.”

“Hand me that wrench.”

Hazel did show up again but by then they had the sequence put back together and had opened up the preheater. She did not ask about the injector sequence but got down on her belly with a flashlight and mirror and inspected the preheater’s interior. Her frail body, although still agile as a cricket under the Moon’s weak pull, was not up to heavy work with a wrench, but her eyes were sharper – and much more experienced – than those  of the twins. Presently she wiggled out. “Looks good,” she announced. “We’ll put it back together tomorrow. Let’s go see what the cook ruined tonight.” She helped them disconnect their oxygen hoses from the ship’s tank and reconnect to their back packs, then the three went down out of the ship and back to Luna City.

Dinner was monopolised by a hot argument over the next installment of The Scourge of the Spaceways. Hazel was still writing it but the entire family, with the exception of Dr. Stone, felt free to insist on their own notions of just what forms of mayhem. and violence the characters should indulge in next. It was not until his first pipe after dinner that Mr. Stone got around to inquiring about the day’s progress.

Castor explained that they were about to close up the preheater. Mr. Stone nodded. “Moving right along – good! Wait a minute; You’ll just have to tear it down again to put in the – Or did they send those gaskets out to the ship? I didn’t think they had come in yet?”

“What gaskets?” Pollux said innocently. Hazel glanced quickly at him but said nothing. “The gaskets for the intermediate injector sequence, of course.”

“Oh, those!Pollux shrugged. “They were okay, absolutely perfect to nine decimal places – so we put ’em back in.”

“Oh, you did? That’s interesting. Tomorrow you can take them out again – and I’ll stand over you when you put the new ones in.” Castor took over. “But Dad, Hazel said they were okay!”

Roger Stone looked at his mother. “Well, Hazel?”

She hesitated. She knew that she had not been sufficiently emphatic in telling the twins that their father’s engineering instructions were to be carried out to the letter; on the other hand she had told them to check with him. Or had she? ‘The gaskets were okay, Roger. No harm done.”

He looked at her thoughtfully. “So you saw fit to change my instructions? Hazel, are you itching to be left behind?” She noted the ominously gentle tone of his voice and checked an angry reply. “No,” she said simply.

“”No” what?”

“No, Captain.”

“Not captain yet, perhaps, but that’s the general idea.” He turned to his sons. “I wonder if you two yahoos understand the nature of this situation?”

Castor bit his lip. Pollux looked at his twin, then back at his father. “Dad, youre the one who doesn’t understand the nature of the situation. You’re making a fuss over nothing. If it’ll give you any satisfaction, we’ll open it up again – but you’ll simply see that we were right. If you had seen those gaskets, you would have passed them.”

“Probably. Almost certainly. But a skipper’s orders as to how he wants his ship gotten ready for space are not subject to change by a dockyard mechanic – which is what you both rate at the moment. Understand me?”

“Okay, so we should have waited: Tomorrow we’ll open her up, you’ll see that we were right and we’ll close it up again.”

“Wrong. Tomorrow you will go out, open it up, and bring the old gaskets back to me. Then you will both stay right here at home until the new gaskets arrive. You can spend the time contemplating the notion that orders are meant to be carried out.”

Castor said, “Now just a minute, Dad! You’ll put us days behind.”

Pollux added, “Not to mention the hours of work you are making us waste already.” Castor: “You can’t expect us to get the ship ready if you insist on jiggling our elbows!” Pollux: “And don’t forget the money we’re saving you.”

Castor: “Right! It’s not costing you a square shilling!”

Pollux: “And yet you pull this “regulation skipper” act on us.” Castor: “Discouraging! That’s what it is!”

Pipe down!” Without waiting for them to comply he stood up and grasped each of them by the scruff of his jacket. Luna’s one-sixth gravity permitted him to straight-arm them both; he held them high up off the floor and wide apart. They struggled helplessly, unable to reach anything.

“Listen to me,” he ordered. “Up to now I hadn’t quite decided whether to let you two wild men go along or not. But now my mind’s made up.” There was a short silence from the two, then Pollux said mournfully, “You mean we don’t go?”

“I mean you do go. You need a taste of strict ship’s discipline a durn sight more than you need to go to school; these modern schools aren’t tough enough for the likes of you. I mean to run a taut ship – prompt, cheerful obedience, on the bounce! Or I throw the book at you. Understand me? Castor?”

“Uh, yes, sir.” “Pollux?”

“Ayeaye,sir!”

“See that you remember it. Pull a fast-talk like that on me when we’re in space and I’ll stuff you down each other’s throat.” He cracked their heads together smartly and threw them away.

The next day, on the way back from the field with the old gaskets, the twins stopped for a few minutes at the city library. They spent the four days they had to wait boning up on space law. They found it rather sobering reading, particularly the part which asserted that a commanding officer in space, acting independently, may and must maintain his authority against any who might attempt to usurp or dispute it. Some of the cited cases were quite grisly. They read of a freighter captain who, in his capacity as chief magistrate, had caused a mutineer to be shoved out an airlock, there to rupture his lungs in the vacuum of space, drown in his own blood

Pollux made a face. “Grandpa,” he inquired, “how would you like to be spaced?” “No future in it. Thin stuff, vacuum. Low vitamin content”

“Maybe we had better be careful not to irritate Dad. This “captain” pose has gone to his head.”

“It’s no pose. Once we raise ship it’s legal as church on Sunday. But Dad won’t space us, no matter what we do.”

“Don’t count on it. Dad is a very tough hombre when he forgets that he’s a loving father” “Junior, you worry too much.”

“So? When you feel the pressure drop remember what I said.”

It had been early agreed that the ship could not stay the Cherub. There had been no such agreement on what the new name should be. After several noisy arguments Dr. Stone, who herself had no special preference, suggested that they place a box on the dining table into which proposed names might be placed without debate. For one week the slips accumulated; then the box was opened.

Dr. Stone wrote them down:

Dauntless                       Icarus

Jabberwock                    Susan B. Anthony

H. M. S. Pinafore             Iron Duke

The Clunker Morning Star Star Wagon Tumbleweed

Go-Devil                        Oom Paul

Onward                         Viking

One would think,” Roger grumbled, “that with all the self-declared big brains there are around this table someone would show some originality. Almost every name on the list can be found in the Big Register – half of them for ships still in commission. I move we strike out those tired, second- hand, wed-before names and consider only fresh ones.”

Hazel looked at him suspiciously. “What ones will that leave?” “Well -”

“You’ve looked them up, haven’t you? I thought I caught you sneaking a look at the slips before breakfast.” “Mother, “your allegation is immaterial, irrelevant, and unworthy of you.”

“But true. Okay; let’s have a vote. Or does someone want to make a campaign speech?”

Dr. Stone rapped on the table with her thimble. “We’ll vote. I’ve still got a medical association meeting to get to tonight.” As chairman she ruled that any name receiving less than two votes in the first round would be eliminated. Secret ballot was used; when Meade canvassed the vote, seven names had gotten one vote each, none had received two.

Roger Stone pushed back his chair. “Agreement from this family is too much to expect . I’m going to bed. Tomorrow morning I’m going to register her as the R. S. Deadlock.

Daddy, you wouldn’t!” Meade protested.

“Just watch me. The R. S. Hair Shirt might be better. Or the R. S. Madhouse. Not bad,” agreed Hazel. “It sounds like us. Never a dull moment.”

“I, for one,” retorted her son, “could stand a little decent monotony.” “Rubbish! We thrive on trouble. Do you want to get covered with moss?” “What’s “moss”, Grandma Hazell?” Lowell demanded.

“Huh? It’s. . . well, it’s what rolling stones don’t gather.”

Roger snapped his fingers. “Hazel, you’ve just named the ship.” “Eh? Come again.”

“The Rolling Stones. No, the Rolling Stone.”

Dr. Stone glanced up. “I like that, Roger.” “Meade?”

“Sounds good, Daddy.” “Hazel?”

“This is one of your brighter days, son.”

“Stripped of the implied insult, I take it that means “yes.”“

“I don’t like it,” objected Pollux. “Castor and I plan to gather quite a bit of moss.”

“It’s four to three, even if you get Buster to go along with you and your accomplice. Overruled. The Roiling Stone it is.”

Despite their great sizes and tremendous power spaceships are surprisingly simple machines. Every technology goes through three stages: first, a crudely simple and quite unsatisfactory gadget; second, an enormously complicated group of gadgets designed to overcome the shortcomings of the original and achieving thereby somewhat satisfactory performance through extremely complex compromise; third, a final stage of smooth simplicity and efficient performance based on correct under-standing of natural laws and proper design therefrom.

In transportation, the ox cart and the rowboat represent the first stage of technology.

The second stage might well be represented by the automobiles of the middle twentieth century just before the opening of interplanetary travel. These unbelievable museum pieces were for the time fast, sleek and powerful -. but inside their skins were assembled a preposterous collection of mechanical buffoonery. The prime mover for such a juggernaut might have rested in one’s lap; the rest of the mad assembly consisted of afterthoughts intended to correct the uncorrectable, to repair the original basic mistake in design – for automobiles and even the early aeroplanes were ‘powered’ (if one may call it that) by ‘reciprocating engines.”

A reciprocating engine was a collection of miniature heat engines using (in a basically inefficient cycle) a small percentage of an exothermic chemical reaction, a reaction which was started and stopped every split second. Much of the heat was intentionally thrown away into a ‘water jacket’ or ‘cooling system,” then wasted into the atmosphere through a heat exchanger.

What little was left caused blocks of metal to thump foolishly back-and-forth (hence the name ‘reciprocating’) and thence through a linkage to cause a shaft and flywheel to spin around. The flywheel (believe it if you can) had no gyroscopic function; it was used to store kinetic energy in a futile attempt to cover up the sins of reciprocation. The shaft at long last caused wheels to turn and thereby propelled this pile of junk over the countryside.

The prime mover was used only to accelerate and to overcome ‘friction’ – a concept then in much wider engineering use. To decelerate, stop, or turn the heroic human operator used their own muscle power, multiplied precariously through a series of levers.

Despite the name ‘automobile’ these vehicles had no autocontrol circuits; control, such as it was, was exercised second by second for hours on end by a human being peering out through a small pane of dirty silica glass, and judging unassisted and often disastrously his own motion and those of other objects. In almost all cases the operator had no notion of the kinetic energy stored in his missile and could not have written the basic equation. Newton’s Laws of Motion were to him mysteries as profound as the meaning of the universe.

Nevertheless millions of these mechanical jokes swarmed over our home planet, dodging each other by inches or failing to dodge. None of them ever worked right; by their nature they could not work right; and they were constantly getting out of order. Their operators were usually mightily pleased when they worked at all. When they did not, which was every few hundred miles (hundred, not hundred thousand) they hired a member of a social class of arcane specialists to make inadequate and always expensive temporary repairs.

Despite their mad shortcomings, these ‘automobiles’ were the most characteristic form of wealth and the most cherished possessions of their time. Three whole generations were slaves to them.

The Rolling Stone was the third stage of technology. Her power plant was nearly 100% efficient, and, save for her gyro-scopes, she contained almost no moving parts – the power plant used no moving parts at all; a rocket engine is the simplest of all possible heat engines. Castor and Pollux might have found themselves baffled by the legendary Model-T Ford automobile, but the Roiling Stone was not nearly that complex, she was

merely much larger. Many of the fittings they had to handle were very massive, but the Moon’s one-sixth gravity was an enormous advantage; only occasionally did they have to resort to handling equipment.

Having to wear a vacuum suit while doing mechanic’s work was a handicap but they were not conscious of it. They had worn space suits whenever they were outside the pressurised underground city since before they could remember; they worked in them and wore them without thinking about them, as their grandfather had worn overalls. They conducted the entire overhaul without pressurising the ship because it was such a nuisance to have to be forever cycling an airlock, dressing and undressing, whenever they wanted anything outside the ship.

An IBM company representative from the city installed the new ballistic computer and ran it in, but after he had gone the boys took it apart and checked it throughout themselves, being darkly suspicious of any up-check given by a manufacturer’s employee. The ballistic computer of a space ship has to be right; without perfect performance from it a ship is a mad robot, certain to crash and kill its passengers. The new computer was of the standard ‘I-tell-you-three-times’ variety, a triple brain each third of which was capable of solving the whole problem; if one triplet failed, the other two would out-vote it and cut it off from action, permitting thereby at least one perfect landing and a chance to correct the failure.

The twins made personally sure that the multiple brain was sane in all its three lobes, then, to their disgust, their father and grandmother checked everything that they had done.

The last casting had been x-rayed, the last metallurgical report had been received from the spaceport laboratories, the last piece of tubing had been reinstalled and pressure tested; it was time to move the Rolling Stone from Dan Ekizian’s lot to the port, where a technician of the Atomic Energy Commission – a grease monkey with a Ph.D – would install and seal the radioactive bricks which fired her ‘boiler.” There, too, she would take on supplies and reactive mass, stablised mon-atomic hydrogen; in a pinch the Rolling Stone could eat anything, but she performed best on ‘single-H.”

The night before the ship was to be towed to the spaceport the twins tackled their father on a subject dear to their hearts – money. Castor made an indirect approach. “See here, Dad, we want to talk with you seriously.”

“So? Wait till I phone my lawyer.”

“Aw, Dad! Look, we just want to know whether or not you’ve made up your mind where we are going?”

“Eh? What do you care? I’ve already promised you that it will be some place new to you. We won’t go to Earth, nor to Venus, not this trip.” “Yes, but where?

I may just close my eyes, set up a prob on the computer by touch, and see what happens. If the prediction takes us close to any rock bigger than the ship, we’ll scoot off and have a look at it. That’s the way to enjoy travelling.”

Pollux said, “But, Dad, you can’t load a ship if you don’t know where it’s going.”

Castor glared at him; Roger Stone stared at him. “Oh,” he said slowly, “I begin to see. But don’t worry about it. As skipper, it is my responsibility to see that we have whatever we need aboard before we blast.”

Dr. Stone said quietly, “Don’t tease them, Roger.” “I’m not teasing.”

“You’re managing to tease me, Daddy,” Meade said suddenly. “Let’s settle it. I vote for Mars.” Hazel said, “The deuce it ain’t!”

“Pipe down, Mother. Time was, when the senior male member of a family spoke, everybody did what he -” “Roger, if you think I am going to roll over and play dead-”

“I said, “pipe down.” But everybody in this family thinks it’s funny to try to get around Pop. Meade sweet-talks me. The twins fast-talk me. Buster yells until he gets what he wants. Hazel bullies me and pulls seniority.” He looked at his wife. “You, too, Edith. You give in until you get your own way.”

“Yes, dear.”

“See what I mean? You all think papa is a schnook. But I’m not. I’ve got a soft head, a pliable nature, and probably the lowest I.Q. in the family, but this clambake is going to be run to suit me.”

“What’s a clambake?” Lowell wanted to know. “Keep your child quiet, Edith.”

“Yes, dear.”

“I’m going on a picnic, a wanderjahr. Anyone who wants to come along is invited. But I refuse to deviate by as much as a million miles from whatever trajectory suits me. I bought this ship from money earned in spite of the combined opposition of my whole family; I did not touch one thin credit of the money I hold in trust for our two young robber barons – and I don’t propose to let them run the show.”

Dr. Stone said quietly, “They merely asked where we were going. I would like to know, too.” “So they did. But why? Castor, you want to know so that you can figure a cargo, don’t you?”

“Well – yes. Anything wrong with that? Unless we know what market we’re taking it to, we won’t know what to stock.” “True enough. But I don’t recall authorising any such commercial ventures. The Rolling Stone is a family yacht.” Pollux cut in with, “For the love of Pete, Dad! With all that cargo space just going to waste, you’d think that -”

“An empty hold gives us more cruising range.” “But -”

“Take it easy. This subject is tabled for the moment. What do you two propose to do about your education?”

Castor said, “I thought that was settled. You said we could go along.”

“That part is settled. But we’ll be coming back this way in a year or two. Are you prepared to go down to Earth to school then – and stay there – until you get your degrees?”

The twins looked at each other; neither one of them said anything. Hazel butted in: “Quit being so offensively orthodox, Roger. I’ll take over their education. I’ll give them the straight data. What they taught me in school darn near ruined me, before I got wise and started teaching myself.”

Roger Stone looked bleakly at his mother. “You would teach them, all right. No, thanks, I prefer a somewhat more normal approach.” “”Normal!” Roger, that’s a word with no meaning.”

“Perhaps not, around here. But I’d like the twins to grow up as near normal as possible.”

“Roger, have you ever met any normal people? I never have. The so-called normal man is a figment of the imagination; every member of the human race, from Jojo the cave man right down to that final culmination of civilisation, namely me, has been as eccentric as a pet coon – once you caught him with his mask off.”

“I won’t dispute the part about yourself.”

“It’s true for everybody. You try to make the twins “normal” and you’ll simply stunt their growth.” Roger Stone stood up. “That’s enough. Castor, Pollux – come with me. Excuse us, everybody.” “Yes, dear.”

“Sissy,” said Hazel. “I was just warming up to my rebuttal.” He led them into his study, closed the door. “Sit down.”

The twins did so. “Now we can settle this quietly. Boys, I’m quite serious about your education. You can do what you like with your lives – turn pirate or get elected to the Grand Council. But I won’t let you grow up ignorant.”

Castor answered, “Sure, Dad, but we do study. We study all the time. You’ve said yourself that we are better engineers than half the young snots that come up from Earth.”

“Granted. But it’s not enough. Oh, you can learn most things on your own but I want you to have a formal, disciplined, really sound grounding in mathematics.”

“Huh? Why, we cut our teeth on differential equations!”

Pollux added, “We know Hudson’s Manual by heart We can do a triple integration in our heads faster than Hazel can. If there’s one thing we do

know, it’s mathematics.”

Roger Stone shook his head sadly. “You can count on your fingers but you can’t reason. You probably think that the interval from zero to one is the same as the interval from ninety-nine to one hundred.”

“Isn’t it?”

“Is it? If so, can you prove it?” Their father reached up to the spindles on the wall, took down a book spool, and inserted it in the to his study projector. He spun the selector, stopped with a page displayed on the wall screen. It was a condensed chart of fields of mathematics invented, thus far by the human mind. “Let’s see you find your way around that page.”

The twins blinked at it. In the upper left-hand corner of the chart they spotted the names of subjects they had studied; the rest of the array was unknown territory; in most cases they did not even recognise the names of the subjects. In the ordinary engineering forms of the calculus they actually were adept; they had not been boasting. They knew enough of vector analysis to find their way around unassisted in electrical engineering and electronics; they knew classical geometry and trigonometry well enough for the astrogating of a space ship, and they had had enough of non- Euclidean geometry, tensor calculus, statistical mechanics, and quantum theory to get along with an atomic power plant

But it had never occurred to them that they had not yet really penetrated the enormous and magnificent field of mathematics. “Dad,” asked Pollux in a small voice, “what’s a “hyperideal”?”

“Time you found out.”

Castor looked quickly at his father. “How many of these things have you studied, Dad?” “Not enough. Not nearly enough. But my sons should know more than I do.”

It was agreed that the twins would study mathematics intensively the entire time the family was in space, and not simply under the casual supervision of their father and grandmother but formally and systematically through I.C.S. correspondence courses ordered up from Earth. They

would take with them spools enough to keep them busy for at least a year and mail their completed lessons from any port they might touch. Mr.

Stone was satisfied, being sure in his heart that any person skilled with mathematical tools could learn anything else he needed to know, with or without a master.

“Now, boys, about this matter of cargo-”

The twins waited; he went on: “I’ll lift the stuff for you -” “Gee, Dad, that’s swell!”

“- at cost.”

“You figure it and I’ll check your figures. Don’t try to flummox me or I’ll stick on a penalty. If you’re going to be businessmen, don’t confuse the vocation with larceny.”

“Right, sir. Uh. . . we still can’t order until we know where we are going.” “True. Well, how would Mars suit you, as the first stop?”

“Mars?” Both boys got far-away looks in their eyes; their lips moved soundlessly. “Well? Quit figuring your profits; you aren’t there yet”

“Mars? Mars is fine, Dad!”

“Very well. One more thing: fail to keep up your studies and I won’t let you sell a tin whistle.”

“Oh, we’ll study!” The twins ‘got out while they were ahead. Roger Stone looked at the closed door with a fond smile on his face, an expression he rarely let them see, Good boys! Thank heaven he hadn’t been saddled with a couple of obedient, well-behaved little nincompoops!

When the twins reached their own room Castor got down the general catalog of Four Planets Export. Pollux said, “Cas?” “Don’t bother me.”

“Have you ever noticed that Dad always gets pushed around until he gets his own way?” “Sure. Hand me that slide rule.”

III   – BICYCLES AND BLAST-OFF

The Rolling Stone was moved over to the spaceport by the port’s handling & spotting crew – over the protests of the twins, who wanted to rent a tractor and dolly and do it themselves. They offered to do so at half price, said price to be applied against freightage on their trade goods to Mars.

“Insurance?” inquired their father. “Well, not exactly,” Pol answered.

“W’e’d carry our own risk,” added Castor. “After all, we’ve got assets to cover it.”

But Roger Stone was not to be talked into it; he preferred, not unreasonably, to have the ticklish job done by bonded professionals. A spaceship on the ground is about as helpless and unwieldly as a beached whale. Sitting on her tail fins with her bow pointed at the sky and with her gyros dead a ship’s precarious balance is protected by her lateral jacks, slanting down in three directions. To drag her to a new position requires those jacks to be raised clear of the ground, leaving the ship ready to topple, vulnerable to any jar. The Rolling Stone had to be moved thus through a pass in the hills to the port ten miles away. First she was jacked higher until her fins were two feet off the ground, then a broad dolly was backed under her; to this she was clamped. The bottom handler ran the tractor; the top handler took position in the control room. With his eyes on a bubble level, his helmet hooked by wire phone to his mate, he nursed a control stick which let him keep the ship upright. A hydraulic mercury capsule was under each fin of the ship; by tilting the stick the top handler could force pressure into any capsule to offset any slight irregularity in the road.

The twins followed the top handler up to his station. “Looks easy,” remarked Pol while the handler tested his gear with the jack still down.

“It is easy,” agreed the handler, “provided you can out-guess the old girl and do the opposite of what she does – only do it first. Get out now; we’re ready to start.”

“Look, Mister,” said Castor, we want to learn how. We’ll hold still and keep quiet.”

“Not even strapped down – you might twitch an eyebrow and throw me half a degree off.” “Well, for the love of Pete!” complained Pollux. “Whose ship do you think this is?”

“Mine, for the time being,” the man answered without rancor. “Now do you prefer to climb down, or simply be kicked clear of the ladder?”

The twins climbed out and clear, reluctantly but promptly. The Rolling Stone, designed for the meteoric speeds of open space, took off for the spaceport at a lively two miles an hour. It took most of a Greenwich day to get her there. There was a bad time in the pass when a slight moonquake set her to rocking, but the top handler had kept her jacks lowered as far as the terrain permitted. She bounced once on number-two jack, then he caught her and she resumed her stately progress.

Seeing this, Pollux admitted to Castor that he was glad they had not gotten the contract. He was beginning to realise that this was an estoric skill, like glassblowing or chipping flint arrowheads. He recalled stories of the Big Quake of ’31 when nine ships had toppled.

No more temblors were experienced save for the microscopic shivers Luna continually experiences under the massive tidal strains of her eighty- times-heavier cousin Terra. The Rolling Stone rested at last on a launching flat on the east side of Leyport, her jet pointed down into splash baffles. Fuel bricks, water, and food, and she was ready to go – anywhere.

The mythical average man needs three and a half pounds of food each day, four pounds of water (for drinking, not washing), and thirty-four pounds of air. By the orbit most economical of fuel, the trip to Mars from the Earth-Moon system takes thirty-seven weeks. Thus it would appear that the seven rolling Stones would require some seventy-five thousand pounds of consumable supplies for the trip, or about a ton a week.

Fortunately the truth was brighter or they would never have raised ground. Air and water in a space ship can be used over and over again with suitable refreshing, just as they can be on a planet. Uncounted trillions of animals for uncounted millions of years have breathed the air of Terra and drunk of her streams, yet air of Earth is still fresh and her rivers still run full. The Sun sucks clouds up from the ocean brine and drops it as sweet  rain; the plants swarming over the cool green hills and lovely plains of Earth take the carbon dioxide of animal exhalation from the winds and convert it into carbohydrates, replacing it with fresh oxygen.

With suitable engineering a spaceship can be made to behave in the same way.

Water is distilled; with a universe of vacuum around the ship, low-temperature, low-pressure distillation is cheap and easy. Water is no problem – or, rather, shortage of water is no problem. The trick is to get rid of excess, for the human body creates water as one of the by-prodncts of its metabolism, in ‘burning’ the hydrogen in food. Carbon dioxide can be replaced by oxygen through ‘soilless’ gardening’ – hydroponics. Short-jump ships, such as the Earth-Moon shuttles, do not have such equipment, any more than a bicycle has staterooms or a galley, but the Rolling Stone, being a deep-space vessel, was equipped to do these things.

Instead of forty-one and a half pounds of supplies per person per day the Rolling Stone could get along with two; as a margin of safety and for luxury she carried about three, or a total of about eight tons, which included personal belongings. They would grow their own vegetables en route; most foods carried along would be dehydrated. Meade wanted them to carry shell eggs, but she was overruled both by the laws of physics and her mother – dried eggs weigh so very much less.

Baggage included a tossed salad of books as well as hundreds of the more usual flim spools. The entire family, save the twins tended to be old-

fashioned about books; they liked books with covers, volumes one could hold in the lap. Film spools were not quite the same.

Roger Stone required his sons to submit lists of what they proposed to carry to Mars for trade. The first list thus submitted caused him to call them into conference. “Castor, would you mind explaining this proposed manifest to me?”

“Huh? What is there to explain? Pol wrote it up. I thought it was clear enough.” “I’m afraid it’s entirely too clear. Why all this copper tubing?”

“Well, we picked it up as scrap. Always a good market for copper on Mass.” “You mean you’ve already bought it?”

“Oh, no. We just put down a little to hold it.” “Same for the valves and fittings I suppose?” “Yes, sir.”

“That’s good. Now these other items – cane sugar, wheat, dehydrated potatoes, polished rice. How about those?” Pollux answered. “Cas thought we ought to buy hardware; I favored foodstuffs. So compromised.”

“Why did you pick the foods you did?”

“Well, they’re all things they grow in the city’s air-conditioning tanks, so they’re cheap. No Earth imports on the list, you noticed.” “I noticed.”

“But most of the stuff we raise here carries too high a percentage of water. You wouldn’t want to carry cucumbers to Mars, would you?”      “I don’t want to carry anything to Mars; I’m just going for the ride.” Mr. Stone put down the cargo list, picked up another. “Take a look at this.” Pollux accepted it gingerly. “What about it?”

“I used to be a pretty fair mechanic myself. I got to wondering just what one could build from the ‘hardware’ you two want to ship. I figure I could build a fair-sized still. With the “foodstuffs” you want to take a man would be in a position to make anything from vodka to grain alcohol. But I don’t suppose you two young innocents noticed that?”

Castor looked at the list. “Is that so?”

“Hmm – Tell me: did you plan to sell this stuff to the government import agency, or peddle it on the open market?” “Well, Dad, you know you can’t make much profit unless you deal on the open market.”

“So I thought. You didn’t expect me to notice what the stuff was good for – and you didn’t expect the customs agents on Mars to notice, either.” He looked them over. “Boys, I intend to try to keep you out of prison until you are of age. After that I’ll try to come to see you. each visiting day.” He chucked the list back at them. “Guess again. And bear in mind that we raise ship Thursday – and that I don’t care whether we carry cargo or not.”

Pollux said, “Oh, for pity’s sake, Dad! Abraham Lincoln used to sell whiskey. They taught us that in history. And Winston Churchill used to drink it.” “And George Washington kept slaves,” his father agreed. “None of which has anything to do with you two. So scram!”

They left his study and passed through the living room; Hazel was there. She cocked a brow at them. “Did you get away with it?” “No.”

She stuck out a hand, palm up. “Pay me. And next time don’t bet that you can outsmart your Pop. He’s my boy.”

Cas and Pol settled on bicycles as their primary article of export. On both Mars and Luna prospecting by bicycle was much more efficient than prospecting on foot; on the Moon the old-style rock sleuth with nothing but his skis and Shank’s ponies to enable him to scout the area where he  had landed his jumpbug had almost disappeared; all the prospectors took bicycles along as a matter of course, just as they carried climbing ropes and spare oxygen. In the Moon’s one-sixth gravity it was an easy matter to shift the bicycles to one’s back and carry it over any obstacle to further progress.

Mars’ surface gravity is more than twice that of Luna, but it is still only slightly more than one-third Earth normal, and Mars is a place of flat plains and very gentle slopes; a cyclist could maintain fifteen to twenty miles an hour. The solitary prospector, deprived of his traditional burro, found the bicycle an acceptable and reliable, if somewhat less congenial, substitute. A miner’s bike would have looked odd in the streets of Stockholm; over- sized wheels, doughnut sand tires, towing yoke and trailer, battery trickle charger, two-way radio, saddle bags, and Geiger-counter mount made it not the vehicle for a spin in the perk – but on Mars or on the Moon it fitted its purpose the way a canoe fits a Canadian stream.

Both planets imported their bicycles from Earth – until recently. Lunar Steel Products Corporation had lately begun making steel tubing, wire, and extrusions from native ore; Sears & Montgomery had subsidised an assembly plant to manufacture miner’s bikes on the Moon under the trade

name ‘Lunocycle’ and Looney bikes, using less than twenty per cent. by weight of parts raised up from Earth, undersold imported bikes by half.

Castor and Pollux decided to buy up second-hand bicycles which were consequently flooding the market and ship them to Mars. In interplanetary trade cost is always a matter of where a thing is gravity-wise – not how far away. Earth is a lovely planet but all her products lie at the bottom of a very deep ‘gravity well,” deeper than that of Venus, enormously deeper than Luna’s. Although Earth and Luna average exactly the same distance from Mars in miles, Luna is about five miles per second ‘closer’ to Mars in terms of fuel and shipping cost.

Roger Stone released just enough of their assets to cover the investment. They were still loading their collection of tired bikes late Wednesday afternoon, with Cas weighing them in, Meade recording for him, and Pol hoisting. Everything else had been loaded; trial weight with the crew aboard would be taken by the port weightmaster as soon as the bicycles were loaded Roger Stone supervised the stowing, he being personally responsible for the ship being balanced on take off.

Castor and he went down to help Pol unload the last flat. “Some of these seem hardly worth shipping,” Mr. Stone remarked. “Junk, if you ask me,” added Meade.

“Nobody asked you,” Pol told her.

“Keep a civil tongue in your head,” Meade answered sweetly, “or go find yourself another secretary.”

“Stow it, Junior,” admonished Castor. “Remember she’s working free. Dad, I admit they aren’t much to look at, but wait a bit. Pol and I will overhaul them and paint them in orbit. Plenty of time to do a good job – like new.”

“Mind you don’t try to pass them off as new. But it looks to me as if you had taken too big a bite. When we get these inside and clamped down, there won’t be room enough in the hold to swing a cat, much less do repair work. If you were thinking of monopolising the living space, consider it vetoed.”

“Why would anyone want to swing a cat?” asked Meade. “The cat wouldn’t like it. Speaking of that, why don’t we take a cat?” “No cats,” her father replied. “I travelled with a cat once and I was in executive charge of its sand box. No cats.”

“Please, Cap’n Daddy! I saw the prettiest little kitten over at the Haileys’ yesterday and -”

“No cats. And don’t call me “Captain Daddy.” One or the other, but the combination sounds silly.” “Yes, Captain Daddy.”

“We weren’t planning on using the living quarters.” Castor answered. “Once we are in orbit we’ll string ’em outside and set up shop in the hold. Plenty of room.”

A goodly portion of Luna City came out to see them off. The current mayor, the Honorable Thomas Beasley, was there to say good-by to Roger Stone; the few surviving members of the Founding Fathers turned out to honor Hazel. A delegation from the Junior League and what appeared to be approximately half of the male members of the senior class of City Tech showed up to mourn Meade’s departure. She wept and hugged them all, but kissed none of them; kissing while wearing a space suit is a futile, low-caloric business.

The twins were attended only by a dealer who wanted his payment and wanted it now and wanted it in full.

Earth hung in half phase over them and long shadows of the Obelisk Mountains stretched over most of the field. The base of the Rolling Stone was floodlighted; her slender bow thrust high above the circle of brightness. Beyond her, masking the far side of the field, the peaks of Rodger Young Range were still shining in the light of the setting Sun. Glorious Orion glittered near Earth; north and east of it, handle touching the horizon, was the homely beauty of the Big Dipper. The arching depth of sky and the mighty and timeless monuments of the Moon dwarfed the helmeted, squatty figures at the base of the spaceship.

A searchlight on the distant control tower pointed at them; blinked red three times. Hazel turned to her son. “Thirty minutes, Captain.”

“Right.” He whistled into his microphone. “Silence, everyone! Please keep operational silence until you are underground Thanks for coming, everybody. Good-by!”

“Bye, Rog!” “Good trip, folks!” “Aloha!”

“Hurry back”

Their friends started filing down a ramp mto one of the field tunnels; Mr. Stone turned to his family. “Thirty minutes. Man the ship!” “Aye aye, sir.”

Hazel started up the ladder with Pollux after her. She stopped suddenly, backed down and stepped on his fingers. “Out of my way, youngster!” She jumped down and ran toward the group disappearing down the ramp. “Hey, Tom! Beasley! Wait! Half a mo-”

The mayor paused and turned around; she thrust a package into his hand. “Mail this stuff for me?” “Certainly, Hazel.”

“That’s a good boy. ‘Bye!”

She came back to the ship; her son inquired, “What was the sudden crisis, Hazel?”

“Six episodes. I stay up all night getting them ready. . . then I didn’t even notice I still had ’em until I had trouble climbing with one hand.” “Sure your head’s on tight?”

“None of your lip, boy.” “Get in the ship.”

“Aye aye, sir.”

Once they were all inboard the port’s weightmaster made his final check, reading the scales on the launching flat under each fin, adding them together. “Two and seven-tenths pounds under, Captain. Pretty close figuring.” He fastened trim weights in that amount to the foot of the ladder. “Take it up.”

“Thank you, sir.” Roger Stone hauled up the ladder, gathered in the trim weights, and closed the door of the air lock. He let himself into the ship proper, closed and dogged the inner door behind him, then stuck his head up into the control room. Castor was already in the co-pilot’s couch. “Time?”

“Minus seventeen minutes, Captain.”

“She tracking?” He reached out and set the trim weights on a spindle at the central axis of the ship.

“Pretty as could be.” The main problem and the exact second of departure had been figured three weeks earlier; there is only one short period every twenty-six months when a ship may leave the Luna-Terra system for Mars by the most economical orbit. After trial weight had been taken the day before Captain Stone had figured his secondary problem, i.e., how much thrust for how long a period was required to put this particular ship into that orbit. It was the answer to this second problem which Castor was now tracking in the automatic pilot.

The first leg of the orbit would not be towards Mars but toward Earth, with a second critical period, as touchy as the take off, as they rounded Earth. Captain Stone frowned at the thought, then shrugged; that worry had to come later. “Keep her tracking. I’m going below.”

He went down into the power room, his eyes glancing here and there as he went. Even to a merchant skipper, to whom it is routine, the last few minutes before blast-off are worry making. Blast-off for a spaceship has a parachute-jump quality; once you jump it is usually too late to correct any oversights. Space skippers suffer nightmares about misplaced decimal points.

Hazel and Pollux occupied the couches of the chief and assistant. Stone stuck his head down without going down. “Power Room?” “She’ll be ready. I’m letting her warm slowly.”

Dr. Stone, Meade, and Buster were riding out the lift in the bunkroom, for company; he stuck his head in. “Everybody okay?”

His wife looked up from her couch. “Certainly, dear. Lowell has had his injection.” Buster was stretched out on his back, strapped down and sleeping. He alone had never experienced acceleration thrust and free falling; his mother had decided to drug him lest he be frightened.

Roger Stone looked at his least son. “I envy him.” Meade sat up. “Head pretty bad, Daddy?”

“I’ll live. But today I regard farewell parties as much overrated affairs, especially for the guest of honor.” The horn over his head said in Castor’s voice, “Want me to boost her, Dad? I feel fine.”

“Mind your own business, co-pilot. She still tracking?” “Tracking, sir. Eleven minutes.”

Hazel’s voice came out of the horn. ” ‘The wages of sin are death’.”

“Look who’s talking! No more unauthorised chatter over the intercom. That’s an order.” “Aye aye, Captain.”

He started to leave; his wife stopped him. “I want you to take this, dear.” She held out a capsule. “I don’t need it.”

“Take it.”

“Yes, Doctor darling.” He swallowed it, made a face, and went up to the control room. As he climbed into his couch he said, “Call tower for clearance.”

“Aye aye, sir. Rolling Stone, Luna City registry, to Tower – request clearance to lift according to approved plan.” “Tower to Rolling Stone – you are cleared to lift”

Rolling Stone to Tower – roger!” Castor answered. Captain Stone looked over his board. All green, except one red light from power room which would not wink green until he told his mother to unlock the safety on the cadmium damper plates. He adjusted the microvernier on his tracking indicator, satisfied himself that the auto-pilot was tracking to perfection as Castor had reported. “All stations, report in succession -power room !”

“She’s sizzling, Skipper!” came back Hazel’s reply. “Passengers!”

“We’re ready, Roger.” “Co-pilot!”

“Clear and green, sir! Check off completed. Five minutes.” “Strap down and report!”

“Power gang strapped.” – “We’re strapped, dear.” – “Strapped, sir all stations.” “Power room, unlock for lift.”

The last red light on his board winked green as Hazel reported, “Power board unlocked, Skipper. Ready to blast.” Another voice followed hers, more softly: “Now I lay me down to sleep -”

“Shut up, Meade!” Roger Stone snapped. “Co-pilot, commence the count!”

Castor started singsonging: “Minus two minutes ten. . . minus two minutes. . . minus one minute fifty. . . minus one minute forty -”

Roger Stone felt his blood begin to pound and wished heartily that he had had the sense to come home early, even if the party had been in his honor.

“Minus one minute!. . . minus fifty-five. . . minus fifty -”

He braced his right hand with his forefinger over the manual firing key, ready to blast if the auto-pilot should fail – then quickly took it away. This was no military vessel! If it failed to fire, the thing to do was to cancel – not risk his wife and kids with imperfect machinery. After all, he held only a private license – “Minus thirty-five. . . half minute!”

His head felt worse. Why leave a warm apartment to bounce around in a tin covered wagon? “Twenty-eight, twentysevn, twenty-six -”

Well, if anything went wrong, at least there wouldn’t be any little orphans left around. The whole Stone family was here, root and branch. The rolling Stones –

“Nineteen. . . eighteen. . . seventeen -,

He didn’t fancy going back and meeting all those people who had just come out to say good-by – telling them, “It’s like this: we swung and we missed -”

“Twelve! Eleven! and ten! and nine! “

He again placed his forefinger over the manual button, ready to stab. “And five!

And four!

And three! And two!

And – “ Castor’s chant was blanked out by the blazing ‘white noise’ of the jet; the Rolling Stone cast herself into the void.

  1. – BALLISIICS AND BUSTER

Blasting off from Luna is not the terrifying and oppressive experience that a lift from Earth is. The Moon’s field is so weak, her gravity well so shallow, that a boost of one-g would suffice – just enough to produce Earth-normal weight.

Captain Stone chose to use two gravities, both to save time and to save fuel by getting quickly away from Luna – “quickly’ because any reactive mass spent simply to hold a spaceship up against the pull of a planet is an ‘overhead’ cost; it does nothing toward getting one where one wants to go. Furthermore, while the Rolling Stone would operate at low thrust she could do so only by being very wasteful of reactive mass, i.e., by not letting the atomic pile heat the hydrogen hot enough to produce a really efficient jet speed.

So he caused the Stone to boost at two gravities for slightly over two minutes. Two gravities – a mere nothing! The pressure felt by a wrestler pinned to the mat by the body of his opponent – the acceleration experienced by a child in a school-yard swing – hardly more than the push resulting from standing up very suddenly.

But the Stone family had been living on Luna; all the children had been born there – two gravities was twelve times what they were used to.

Roger’s headache, which had quieted under the sedative his wife had prescribed for him, broke out again with renewed strength. His chest felt caved in; he fought for breath and he had to read and reread the accelerometer to convince himself that the ship had not run wild.

After checking over his board and assuring himself that all was going according to plan even if it did feel like a major catastrophe he turned his head heavily. “Cas? You all right?”

Castor gasped, “Sure Skipper . . . tracking to flight plan.

“Very well, sir.” He turned his face to his inter-com link. “Edith -” There was no answer. “Edith

This time a strained voice replied, “Yes, dear.”

“Are you alright?”

Yes, dear. Meade and I. . . are all right. The baby is having a bad time.”

He was about to call the power room when Castor reminded him of the passage of time. “Twenty seconds! Nineteen! Eighteen -”

He tumed his eyes to the brennschluss timer and poised his hand on the cut-off switch, ready to choke the jet if the autopilot should fail. Across from him Castor covered him should he fail; below in the power room Hazel was doing the same thing, hand trembling over the cut-off.

As the timer flashed the last half second, as Castor shouted, “Brennschluss!, three hands slammed at three switches – but the autopilot had beaten them to it. The jet gasped as its liquid food was suddenly cut off from it; damper plates quenched the seeking neutrons in the atomic pile – and the Stone was in free orbit, falling toward Earth in a sudden, aching silence broken only by the whispering of the airconditioner.

Roger Stone reswallowed his stomach, “Power room!” he rasped. “Report!”

He could hear Hazel sighing heavily. “Okay, son,” she said feebly, “but mind that top step – it’s a dilly!” “Cas, call the port. Get a doppler check.”

“Aye aye, sir.” Castor called the radar & doppler station at Leyport. The Rolling Stone had all the usual radar and piloting instruments but a spaceship cannot possibly carry equipment of the size and accuracy of those mounted as pilot aids at all ports and satellite stations. “Rolling Stone to Luna Pilot – come in, Luna Pilot.” While he called he was warming up their own radar and doppler-radar, preparing to check the performance of their own instruments against the land-based standards. He did this without being told, it being a co-pilot’s routine duty.

Luna Pilot to Rolling Stone.”

Rolling Stone to Luna Pilot – request range, bearing and separation rate, and flight plan deviations, today’s flight fourteen – plan as field; no variations.”

“We’re on you. Stand by to record.”

“Standing by,” answered Castor and flipped the switch on the recorder. They were still so close to the Moon that the speed-of-light lag in transmission was unnoticeable.

A bored voice read off the reference time to the nearest half second, gave the double co-ordinates of their bearing in terms of system standard – corrected back to where the Moon had been at their blast-off – then gave their speed and distance relative to Luna with those figures also corrected back to where the Moon had been. The corrections were comparatively small since the Moon ambles along at less than two-thirds of a mile per second, but the corrections were utterly necessary. A pilot who disregarded them would find himself fetching up thousands or even millions of miles from his destination.

The operator added, “Deviation from flight plan negligible. A very pretty departure, Rolling Stone.”

Castor thanked him and signed off. “In the groove, Dad!” “Good. Did you get our own readings?”

“Yes, sir. About seven seconds later than theirs.”

“Okay. Run ’em back on the flight line and apply the vectors. I want a check.” He looked more closely at his son; Castor’s complexion was a delicate chartreuse. “Say, didn’t you take your pills?”

“Uh, yes, sir. It always hits me this way at first. I’ll be all right.” “You look like a week-old corpse.”

“You don’t look so hot yourself, Dad.”

“I don’t feel so hot, just between us. Can you work that prob, or do you want to sack in for a while?” “Sure I can!”

“Well. . . mind your decimal places.” “Aye aye, Captain.”

“I’m going aft.” He started to unstrap, saying into the intercom as he did so, “All hands, unstrap at will. Power room, secure the pile and lock your board.”

Hazel answered, “I heard the flight report, Skipper. Power room secured.” “Don’t anticipate my orders, Hazel – unless you want to walk back.”

She answered, “I expressed myself poorly, Captain. What I mean to say is, we are now securing the power room, as per your orders, sir. There – it’s done. Power room secured!”

“Very well, Chief.” He smiled grimly, having noted by the tell tales on his own board that the first report was the correct one; she had secured as soon as she had known they were in the groove. Just as he had feared: playing skipper to a crew of rugged individualists was not going to be a picnic. He grasped the centre stanchion, twisted around so that he faced aft and floated through the hatch into the living quarters.

He wiggled into the bunkroom and checked himself by a handhold. His wife, daughter, and least child were all unstrapped. Dr. Stone was manipulating the child’s chest and stomach. He could not see just what she was doing but it was evident that Lowell had become violently nauseated – Meade, glassy-eyed herself, was steadying herself with one hand and trying to clean up the mess with the other. The boy was still unconscious.

Roger Stone felt suddenly worse himself. “Good grief!” His wife looked over her shoulder. “Get my injection kit,” she ordered. “In the locker behind you. I’ve got to give him the antidote and get him awake. He keeps trying to swallow his tongue.”

He gulped. “Yes, dear, Which antidote?” “Neocaffeine – one c.c. Move!

He found the case, loaded the injector, handed it to Dr. Stone. She pressed it against the child’s side. “What else can I do?” he asked.

“Nothing.”

“Is he in any danger?”

“Not while I have an eye on him. Now get out and ask Hazel to come here.”

“Yes, dear. Right away.” He swam on aft, found his mother sitting in midair, looking pleased with herself. Pollux was still loosely secured to his control couch. “Everything all right back here?” he asked.

“Sure. Why not? Except my assistant, maybe. I believe he wants off at the next stop.” Pollux growled. “I’m feeling okay. Quit riding me.”

Roger Stone said, “Edith could use your help, Mother. Buster has thrown up all over the bunkroom.” “Why, the little devil! He didn’t have a thing to eat today; I rode herd on him myself.”

“You must have let him out of your sight for a few minutes, from the evidence. Better go give Edith a hand.”

“To hear is to obey, Master.” She kicked one heel against the bulkhead behind her and zipped out the hatch. Roger turned to his son.

“How’s it going?”

“I’ll be all right in a couple of hours. It’s just one of those things you have to go through with, like brushing your teeth.” “Check. I’d like to rent a small planet myself. Have you written up the engineering log?”

“Not yet.”

“Do so. It will take your mind off your stomach.” Roger Stone went forward again and looked into the bunkroom. Lowell was awake and crying; Edith had him sheeted to a bunk to give him a feeling of pressure and stability.

The child wailed, “Mama! Make it hold still Shush, dear. You’re all right. Mother is here,” “I want to go home!

She did not answer but caressed his forehead. Roger Stone backed hastily out and pulled himself forward.

By supper time all hands except Lowell were over the effects of free fall – a sensation exactly like stepping off into an open elevator shaft in the dark. Nevertheless no one wanted much to eat; Dr. Stone limited the menu to a clear soup, crackers, and stewed dried apricots. Ice cream was available but there were no takers.

Except for the baby none of them had any reason to expect more than minor and temporary discomfort from the change from planet-surface weight to the endless falling of free orbit. Their stomachs and the semicircular canals of their ears had been through the ordeal before; they were inured to it, salted.

Lowell was not used to it; his physical being rebelled against it, nor was he old enough to meet it calmly and without fear. He cried and made himself worse, alternating that with gagging and choking. Hazel and Meade took turns trying to quiet him. Meade finished her skimpy dinner and relieved the watch; when Hazel came into the control room where they were eating Roger Stone said, “How is he now?”

Hazel shrugged. “I tried to get him to play chess with me. He spat in my face.” “He must be getting better.”

“Not so you could notice it.”

Castor said, “Gee whiz, Mother, can’t you dope him up till he gets his balance?”

“No,” answered Dr. Stone, “I’m giving him the highest dosage now that his body mass will tolerate.” “How long do you think it will take him to snap out of it?” asked her husband.

“I can’t make a prediction. Ordinarily children adapt more readily than adults, as you know, dear – but we know also that some people never do adapt. They simply are constitutionally unable to go out into space.”

Pollux let his jaw sag. “You mean Buster is a natural-born groundhog?He made the word sound like both a crippling disability and a disgrace. “Pipe down,” his father said sharply.

“I mean nothing of the sort,” his mother said crisply. “Lowell is having a bad time but he may adjust very soon.”

There was glum silence for some minutes. Pollux refilled his soup bag, got himself some crackers, and eased back to his perch with one leg hooked around a stanchion. He glanced at Castor; the two engaged in a conversation that consisted entirely of facial expressions and shrugs. Their father looked at them and looked away; the twins often talked to each other that way; the code – if it was a code – could not be read by anyone else. He turned to his wife. “Edith, do you honestly think there is a chance that Lowell may not adjust?”

“A chance, of course.” She did not elaborate, nor did she need to. Spacesickness like seasickness does not itself kill, but starvation and exhaustion do.

Castor whistled. “A fine time to find it out, after it’s too late. We’re akeady in orbit for Mars.” Hazel said sharply. “You know better than that, Castor.”

“Huh?”

“Of course, dopy,” his twin answered. “We’ll have to tack back.’              1

“Oh.” Castor frowned. “I forgot for the moment that this was a two-legged jump.” He sighed. “Well, that’s that. I guess we go back.” There was one point and one only at which they could decide to return to the Moon. They were falling now toward Earth in a conventional ‘S-orbit” practically a straight line. They would pass very close to Earth in an hyperboloid at better than five miles per second, Earth relative. To continue to Mars they planned to increase this speed by firing the jet at the point of closest approach, falling thereby into an ellipsoid, relative to the Sun, which would let them fall to a rendezvous with Mars. They could reverse this maneuver, check their plunging progress by firing the jet against their motion and

thereby force the Stone into an ellipsoid relative to Earth, a curve which, if correctly calculated, would take them back to Luna, back home before their baby brother could starve or wear himself out with retching. “Yep, that’s that,” agreed Pollux. He suddenly grinned. “Anybody want to buy a load of bicycles? Cheap?”

“Don’t be in too big a hurry to liquidate,” his father told him, “but we appreciate your attitude. Edith, what do you think?” “I say we mustn’t take any chances,” announced Hazel. “That baby is sick.”

Dr. Stone hesitated: “Roger, how long is it to perigee?” He glanced at his control board. “About thirty-five hours.”

“Why don’t you prepare both maneuvers? Then we will not have to decide until it’s time to turn ship.”

“That makes sense, Hazel, you and Castor work the homing problem; Pol and I will work the Mars vector. First approximations only; we’ll correct when we’re closer. Everyone work independently, then we’ll swap and check. Mind your decimals!”

You mind yours.Hazel answered.

Castor gave his father a sly grin. “You picked the easy one, eh, Dad?” His father looked at him. “Is it too hard for you? Do you want to swap?” “Oh, no, Sir! I can do it.”

“Then get on with it – and bear in mind you are a crew member in space.” “Aye aye, sir.”

He had in fact ‘picked the easy one’; the basic tack-around-Earth-for-Mars problem had been solved by the big computers of Luna Pilot Station before they blasted off. To be sure, Luna Pilot’s answer would have to be revised to fit the inevitable errors, or deviations from flight plan, that would show up when they reached perigee rounding Earth – they might be too high, too low, too fast, too slow, or headed somewhat differently from the theoretical curve which had bem computed for them. In fact they could be sure to be wrong in all three factors; the tiniest of errors at blast-off had a quarter of a million miles in which to multiply.

But nothing could be done to compute the corrections for those errors for the next fifteen or twenty hours; the deviations had to be allowed to grow before they could be measured accurately.

But the blast back to shape an ellipsoid home to Luna was a brand-new, unpremeditated problem. Captain Stone had not refused it out of laziness; he intended to do both problems but had kept his intention to himself. In the meantime he had another worry; strung out behind him were several more ships, all headed for Mars. For the next several days there would be frequent departures from the Moon, all ships taking advantage of the one favorable period in every twenty-six months when the passage to Mars was relatively ‘cheap’, i.e., when the minimum-fuel ellipse tangent to both planet’s orbits would actually make rendezvous with Mars rather than arrive foolishly at some totally untenanted part of Mars’ orbit. Except for military vessels and super expensive passenger-ships, all traffic for Mars left at this one time.

During the four-day period bracketing the ideal instant of departure ships leaving Leyport paid a fancy premium for the privilege over and above the standard service fee. Only a large ship could afford such a fee; the saving in cost of single-H reactive mass had to be greater than the fee. The Rolling Stone had departed just before the premium charge went into effect; consequently she had trailing her like beads on a string a round dozen of ships, all headed down to Earth, to tack around her toward Mars.

If the Rolling Stone vectored back and shaped course for Luna rather than Mars, there was a possibility of traffic trouble.

Collisions between spaceships are almost unheard of; space is very large and ships are very tiny. But they are possible, particularly when many ships are doing much the same thing at the same time ia the same region of space. Spacemen won’t forget the Rising Star and the patrol vessel Trygve Lie – four hundred and seven dead, no survivors.

Ships for Mars would be departing Luna for the next three days and more; the Rolling Stone, in rounding Earth and heading back to Luna (toward where Luna would be on her arrival) would cut diagonally across their paths. Besides these hazards, there were Earth’s three radio- satellites and her satellite space station; each ship’s flight plan, as approved by Luna Pilot Station, took into consideration these four orbits, but the possible emergency maneuver of the Rolling Stone had had no such safety check. Roger Stone mentally chewed his nails at the possibility that Traffic Control might refuse permission for the Rolling Stone to change its approved flight plan – which they would do if there was the slightest possibility of collision, sick child or no.

And Captain Stone would ignore their refusal, risk collision and take his child home – there to lose his pilot’s license certainly and to face a stiff sentence from the Admiralty court possibly.

Besides the space station and the radio satellites there were the robot atom-bomb peace rockets of the Patrol, circling the Earth from pole to pole, but it was most unlikely that the Rolling Stone’s path would intersect one of their orbits; they moved just outside the atmosphere, lower than a spaceship was allowed to go other than in landing, whereas in order to tack the Rolling Stone would necessarily go inside the orbits of the radio satellites and that of the space station wait a minute – Roger Stone thought over that last idea. Would it be possible to match in with the space station instead of going back to Luna?

If he could, he could get Lowell back to weight a couple of days sooner – in the spinning part of the space station!

The ballistic computer was not in use; Castor and Hazel were still in the tedious process of setting up their problems. Captain Stone moved to it and started making a rough set-up directly on the computer itself, ignoring the niceties of ballistics, simply asking the machine, “Can this, or can this not, be done?”

Half an hour later he gave up, let his shoulders sag. Oh, yes, he could match in with the space station’s orbit – but at best only at a point almost a hundred degrees away from the station. Even the most lavish expenditure of reaction mass would not permit him to reach the station itself.

He cleared the computer almost violently. Hazel glanced toward him. “What’s eating you, son?” “I thought we might make port at the station. We can’t.”

“I could have told you that”

He did not answer but went aft. Lowell, he found, was as sick as ever.

Earth was shouldering into the starboard port, great and round and lovely; they were approaching her rapidly, less than ten hours from the critical point at which they must maneuver, one way or the other. Hazel’s emergency flight plan, checked and rechecked by the Captain, had been radioed to Traffic Control. They were all resigned to a return to Luna; nevertheless Pollux was, with the help of Quito Pilot, Ecuador, checking their deviations from the original flight plan and setting up the problem of preparing a final ballistic for Mars.

Dr. Stone came into the control room, poised near the hatch, caught her husband’s eye and beckoned him to come with her. He floated after her into their stateroom. “What is it?” he asked. “Is Lowell worse?”

“No, he’s better.” “Eh?”

“Dear, I don’t think he was spacesick at all.” “What’s that?”

“Oh, a little bit, perhaps. But I think his symptoms were largely allergy; I think he is sensitive to the sedative.” “Huh? I never heard of anyone being sensitive to that stuff before.”

“Neither have I, but there can always be a first time I withdrew the drug several hours ago since it did not seem to help him. His symptoms eased off gradually and his pulse is better now.”

“Is he okay? Is it. safe to go on to Mars?”

“Too early to say. I’d like to keep him under observation another day or two.”

“But – Edith, you know that’s impossible. I’ve got to maneuver.” He was wretched from strain and lack of sleep; neither had slept since blast-off more than twenty-four hours earlier.

“Yes, I know. Give me thirty minutes warning before you must have an answer. I’ll decide then.” “Okay. I’m sorry I snapped at you.”

“Dear Roger!”

Before they were ready to ’round the corner’ on their swing past Earth the child was much better. His mother kept him under a light hypnotic for several hours; when he woke from it he demanded food. She tried letting him have a few mouthfuls of custard; he choked on the first bite but that was simply mechanical trouble with no gravity – on the second bite he learned how to swallow and kept it down.

He kept several more down and was still insisting that he was starved when she made him stop. Then he demanded to be untied from the couch. His mother gave in on this but sent for Meade to keep him under control and in the bunk-room. She pulled herself forward and found her husband. Hazel and Castor were at the computer; Castor was reading off to her a problem program while she punched the keys; Pollux was taking a doppler reading on Earth. Edith drew Roger Stone away from them and whispered, “Dear, I guess we can relax. He has eaten – and he didn’t get sick.”

“Are you sure? I wouldn’t want to take even a slight chance.”

She shrugged. “How can I be sure? I’m a doctor, not a fortune-teller.” “What’s your decision?”

She frowned, “I would say to go on to Mars.”

“It’s just as well.” He sighed. “Traffic turned down my alternate flight plan. I was just coming back to tell you.”

“Then we have no choice.”

“You know better than that. I’d rather tell it to the judge than read the burial service. But I have one more card up my sleeve.”

She looked her query; he went on. “The War God is less than ten thousand miles behind us. If necessary, by using our mass margin, in less than

a week I could match with her and you and the baby could transfer. She’s a “tumbling. pigeon” since they refitted her – anything from Luna-surface to

a full gravity.”

“I hadn’t thought of that. Well, I don’t think it will be necessary but it’s a comfort to know that there is help close by.” She frowned. “I would not like to leave you and the children to shift for yourselves – and besides, it’s risky to use your margin; you may need it badly when we approach Mars.”

“Not if we handle the ship properly. Don’t you worry; Hazel and I will get it there if we have to get out and push.”

Pollux had stopped what he was doing and had been trying to overhear his parents’ conversation. He was unsuccessful; they had had too many years’ practice in keeping the kids from hearing. But he could see their intent expressions and the occasional frowns; he signaled his twin.

Castor said, “Hold it, Hazel. Time out to scratch. What goes, Pol?” “‘Now is the time for all good men”.” He nodded toward their parents. “Right. I’ll do the talking.” They moved aft.

Roger Stone looked at them and frowned. “What is it, boys? We’re busy.” “Yes, sir. But this seems like a salubrious time to make an announcement.” “Yes?”

“Pol and I vote to go back home. “Huh?”

“We figure that there’s no percentage in taking a chance with Buster.”

Pol added, “Sure, he’s a brat, but look how much you’ve got invested in him.” “If he died on us,” Castor went on, “it would spoil all the fun.”

“And even if he didn’t, who wants to clean up after him for weeks on end?” “Right,” agreed Pol. “Nobody likes to play room steward to a sick groundhog.” “And if he did die, the rest of you would blame us for the rest of our lives.” “Longer than that,” Pol added.

“Don’t worry about that “negat” from Traffic. Hazel and I are working out a skew path that will let us miss the Queen Mary ,with minutes to spare – seconds anyhow. Course it may scare em a little.”

Quiet!said Captain Stone. “One at a time – Castor, let me get this straight: do I understand that you and your brother are sufficiently concerned about your younger brother’s welfare that you want to return to Luna in any case?”

“Yes, sir.”

“Even if your mother decides that it is safe for him to continue?”

“Yes, sir. We talked it over. Even if he’s looking pretty good now, he was one sick pup and anybody that sick might not make it to Mars. It’s a long haul. We don’t want to risk it.”

Hazel had come aft and listened; now she said, “Nobility ill-becomes you, Cas. You were more convincing with the other routine.” “You butt out of this, Mother. Pol?”

“Cas told you. Shucks, we can make other trips”

Roger Stone looked at his sons. “I must say,” he said slowly, “that it is surprising and gratifying to find so much family solidarity in this aggregation of individualists. Your mother and I will remember it with pride. But I am glad to say that it is unnecessary. We will continue for Mars.”

Hazel scowled at him. “Roger, did you bump your head on the take-off? This is no time to take a chance; we take the kid back to Luna. I’ve talked with the boys and they mean it. So do I.”

Castor said, “Dad, if you’re afraid of that skew orbit, I’ll pilot. I know-”

Silence!When he got it he went on as if to himself, “It says right here in the book to give orders, not explanations, and never to let them be

argued. So help me, I’m going to run a taut ship if I have to put my own mother in irons.” He raised his voice. “All hands! Prepare for maneuvering.

Departure for Mars, gravity-well procedure.”

Edith Stone said softly to Hazel, “The baby is all right. Mother. I’m sure.” Then she turned to her sons. “Castor, Pollux – come here, dears.” “But Dad said -”

“I know. Come here first.” She kissed each of them and said, “Now man your stations.”

Mead appeared at the hatch, towing Lowell behind her like a toy balloon. He seemed cheerful and his face was cheerfully smeared with chocolate. “What’s all the racket about?” she demanded. “You not only woke us; you must be disturbing people three ships behind.”

V               – IN THE GRAVITY WELL

A gravity-well maneuver involves what appears to be a contradiction in the law of conservation of energy. A ship leaving the Moon or a space station for some distant planet can go faster on less fuel by dropping first toward Earth, then performing her principal acceleration while as close to Earth as possible. To be sure, a ship gains kinetic energy (speed) in falling towards Earth, but one would expect that she would lose exactly the same amount of kinetic energy as she coasted away from Earth.

The trick lies in the fact that the reactive mass or ‘fuel’ is itself mass and as such has potential energy of position when the ship leaves the Moon. The reactive mass used in accelerating near Earth (that is to say, at the bottom of the gravity well) has lost its energy of position by falling down the gravity well. That energy has to go somewhere, and so it does – into the ship, as kinetic energy. The ship ends up going faster for the same force and duration of thrust than she possibly could by departing directly from the Moon or from a space station. The mathematics of this is somewhat baffling – but it works.

Captain Stone put both the boys in the power room for this maneuver and placed Hazel as second pilot. Castor’s feelings were hurt but he did not argue, as the last discussion of ship’s discipline was still echoing. The pilot has his hands full in this maneuver, leaving it up to the co-pilot to guard the auto-pilot, to be ready to fire manually if need he, and to watch for brennschluss. It is the pilot’s duty to juggle his ship on her gyros and flywheel with his eyes glued to a measuring telescope, a ‘coelostat’, to be utterly sure to the extreme limit of the accuracy of his instruments that his ship is aimed exactly right when the jet fires.

In the passage from Earth to Mars a mistake in angle of one minute of arc, one sixtieth of a degree, will amount to – at the far end – about fifteen thousand miles. Such mistakes must be paid for in reactive mass by maneuvering to correct, or, if the mistake is large enough, it will he paid for tragically and inexorably with the lives of captain and crew while the ship plunges endlessly on into the empty depths of space.

Roger Stone had a high opinion of the abilities of his twins, but on this touchy occasion, he wanted the co-pilot backing him up to have the steadiness of age and experience. With Hazel riding the other. couch he could give his whole mind to his delicate task.

To establish a frame of reference against which to aim his ship he had three stars, Spica, Deneb, and Fomalhaut, lined up in his scope, their images brought together by prisms. Mars was still out of sight beyond the bulging breast of Earth, nor would it have helped to aim for Mars; the road to Mars is a long curve, not a straight line. One of the images seemed to drift a trifle away from the others; sweating, he unclutched his gyros and nudged the ship by flywheel. The errant image crept back into position. “Doppler?” he demanded.

“In the groove.” “Time?”

“About a minute. Son, keep your mind on your duck shooting and don’t fret.”

He wiped his hands on his shirt and did not answer. For some seconds silence obtained, then Hazel said quietly, “Unidentified radar beacon blip on the screen, sir. Robot response and a string of numbers.”

“Does it concern us?”

“Closing north and starboard. Possible collision course.”

Roger Stone steeled himself not to look at his own screen; a quick glance would tell him nothing that Hazel had not reported. He kept his face glued to the eyeshade of the coelostat. “Evasive maneuver indicated?

“Son, you’re as likely to dodge into it as duck away from it. Too late to figure a ballistic.”

He forced himself to watch the star images and thought about it. Hazel was right, one did not drive a spaceship by the seat of the pants. At the high speeds and tight curves at the bottom of a gravity well, close up to a planet, an uncalculated maneuver might bring on a collision. Or it might throw them into an untenable orbit, one which would never allow them to reach Mars.

But what could it be? Not a spaceship, it was unmanned. Not a meteor, it carried a beacon. Not a bomb rocket, it was too high. He noted that the images were steady and stole a glance, first at his own screen, which told him nothing, and then through the starboard port.

Good heavens! he could see it!

A great gleaming star against the black of space… growing growmg! “Mind your scope, son,” said Hazel. “Nineteen seconds.”

He put his eye back to the scope; the images were steady. Hazel continued, “It seems to be drawing ahead slightly.”

He had to look. As he did so something flashed up and obscured the starboard port and at once was visible in the portside port – visible but shrinking rapidly. Stone had a momentary impression of a winged torpedo shape.

Whew!Hazel sighed. “They went that-a-way, podnuh!” She added briskly, “All hands, brace for acceleration – five seconds!”

He had his eye on the star images, steady and perfectly matched, as the jet slammed him into his pads. The force was four gravities, much more

than the boost from Luna, but they held it for oniy slightly more than one minute. Captain Stone kept watching the star images, ready to check her if

she started to swing, but the extreme care with which he had balanced his ship in loading was rewarded: she held her attitude.

He heard Hazel shout, “Brennschluss!just as the noise and pressure dropped off and died. He took a deep breath and said to the mike, “You all right, Edith?”

“Yes, dear,” she answered faintly. “We’re all right.” “Power room?”

“Okay!” Pollux answered.

“Secure and lock.” There was no need to have the power room stand by, any correction to course and speed on this leg would be made days or weeks later, after much calculation.

“Aye aye, sir. Say, Dad, what was the chatter about a blip?”

“Pipe down,” Hazel interrupted. “I’ve got a call coming in.” She added, “Rolling Stone, Luna, to Traffic – come in, Traffic.” There was a whir and a click and a female voice chanted:

“Traffic Control to Rolling Stone, Luna – routine traffic precautionary: your plan as filed will bring you moderately close to experimental rocket satellite of Harvard Radiation Laboratory. Hold to flight plan; you will fail contact by ample safe margin. End of message; repeat – “ The transcription ran itself through once more and shut off.

Nowthey tell us!” Hazel exploded. “Oh, those cushion warmers! Those bureaucrats! I’ll bet that message has been holding in the tank for the past hour waiting for some idiot to finish discussing his missing laundry.”

She went on fuming: “”Moderately close!” “Ample safe margin!” Why, Roger, the consarned thing singed my eye-brows!” “”A miss is as good as a mile”.”

“A mile isn’t nearly enough, as you know darn well. It took ten years off my life – and at my age I can’t afford that.”

Roger Stone shrugged. After the strain and excitement he was feeling let down and terribly weary; since blast-off he had been running on stimulants instead of sleep. “I’m going to cork off for the next twelve hours. Get a preliminary check on our, vector; if there’s nothing seriously wrong, don’t wake me. I’ll look at it when I turn out.”

“Aye aye, Captain Bligh.”

First check showed nothing wrong with their orbit: Hazel followed him to bed – “bed’ in a figurative sense, for Hazel never strapped herself to her bunk in free fall, preferring to float loosely wherever air currents wafted her. She shared a stateroom with Meade. The three boys were assigned to the bunkroom and the twins attempted to turn in – but Lowell was not sleepy. He felt fine and was investigating the wonderful possibilities of free fall. He wanted to play tag. The twins did not want to play tag; Lowell played tag anyhow,.

Pollux snagged him by an ankle. “Listen, you! Weren’t you enough trouble by being sick?” “I was not sick!”

“So? Who was it we had to clean up after? Santa Claus?”

“There ain’t any Santa Claus. I was not sick. You’re a fibber, you’re a fibber, you’re a fibber!”

“Don’t argue with him,” Castor advised. “Just choke him and stuff him out the lock. We can explain and correct the ship’s mass factor tomorrow.” “I was not sick!”

Pollux said, “Meade had quite a bit of sack time on the leg down. Maybe you can talk her into taking him off our hands?” “I’ll try’.”

Meade was awake; she considered it. “Cash?” “Sis, don’t be that way!”

“Well … three days’ dishwashing?”

“Skinflint! It’s a deal; come take charge of the body.” Meade had to use the bunkroom as a nursery; the boys went forward and slept in the control room, each strapping himself loosely to a control couch as required by ship’s regulations to avoid the chance of jostling instruments during sleep.

VI               – THE MIGHTY BOOM

Captain Stone had all hands with the exception of Dr. Stone and Lowell compute their new orbit. They all worked from the same. data, using readings supplied by Traffic Control and checked against their own instruments. Roger Stone waited until all had finished before comparing results.

“What do you get, Hazel?”

“As I figure, Captain, you won’t miss Mars by more than a million miles or so.” “I figure it right on.”

“Well, now that you mention it, so do I.” “Cas? Pol? Meade?”

The twins were right together to six decimal places and checked with their father and grandmother to five, but Meade’s answer bore no resemblance to any of the others. Her father looked it over curiously. “Baby girl, I can’t figure out how you got this out of the computer. As near as I can tell you have us headed for Proxima Centauri.”

Meade looked at it with interest. “Is that so? Tell you what let’s use mine and see what happens. It ought to be interesting.” “But not practical. You have us going faster than light.”

“I thought the figures were a bit large.”

Hazel stuck out a bony forefinger. “That ought to be a minus sign, hon.”

“That’s not all that’s wrong,” announced Pollux. “Look at this – “ He held out Meade’s programming sheet. “That will do, Pol,” his father interrupted. “You are not called on to criticise Meade’s astrogation.”

“But -”

“Stow it.”

“I don’t mind, Daddy,” Meade put in. “I knew I was wrong.” She shrugged. “It’s the first one I’ve ever worked outside of school. Somehow it makes a difference when it’s real.”

“It certainly does as every astrogator learns. Never mind, Hazel has the median figures. We’ll log hers.” Hazel shook hands with herself. “The winnah and still champeen!”

Castor said, “Dad, that’s final? No more maneuvers until you calculate your approach to Mars?” “Of course not. No changes for six months at least. Why?”

“Then Pol and I respectfully request the Captain’s permission to decompress the hold and go outside. We want to get to work on our bikes.”

“Never mind the fake military-vessel phraseology. But I have news for you.” He took a sheet of paper out of his belt pouch. “Just a moment while I make a couple of changes.” He wrote on it, then fastened it to the control room bulletin board. It read:

SHIP’S ROUTINE

0700 Reveille (optional for Edith, Hazel, & Buster) 0745 Breakfast (Meade cooks. Twins wash dishes) 0900 School C & P, math

Meade, astrogation, coached by Hazel

Lowell, reeling, writhing, and fainting in coils – or whatever his mother deems necessary

1200 End of morning session 1215 Lunch

1300 School C&P, math

Hydroponics chores, Meade 1600 End of afternoon session

1800 Dinner – All Hands initial ship’s maintenance schedule.

SATURDAY ROUTINE – turn to after breakfast and clean ship, Hazel in charge. Captain’s inspection at 1100. Personal laundry in afternoon. SUNDAY ROUTINE – meditation, study, and recreation. Make & Mend in afternoon.

Hazel looked it over. “Where are we headed, Rog? Botany Bay? You forgot to set a time to flog the peasants.” “It seems very reasonable to me.”

“Possibly. Six gets you ten it won’t last a week.” “Done. Let’s see your money.”

The twins had read it with dismay. Pollux blurted out, “But Dad! You haven’t left us any time to repair our bikes – do you want us to lose our investment?”

“I’ve assigned thirty hours of study a week. That leaves one hundred and thirty-eight other hours. How you use them is your business as long as you keep our agreement about studying.”

Castor said, “Suppose we want to start math at eight-thirty and again right after lunch? Can we get out of school that much earlier?” “I see no objection.”

“And suppose we study evenings sometimes? Can we work up some velvet?”

Their father shrugged. “Thirty hours a week – any reasonable variations in the routine will be okay, provided you enter in the log the exact times.” “Now that that’s settled,” Hazel commenced, “I regret to inforrn you, Captain, that there is one other little item on that Procrustean program that will

have to be canceled for the time being at least. Much as I would enjoy inducing our little blossom into the mysteries of astrogation I don’t have the time right now. You’ll have to teach her yourself.”

“Why?”

“‘Why” the man asks? You should know better than anyone. The Scourge of the Spaceways, that’s why. I’ve got to hole up and write like mad for the next three or four weeks; I’ve got to get several months of episodes ahead before we get out of radio range.”

Roger Stone looked at his mother sadly. “I knew it was bound to come, Hazel, but I didn’t expect it to hit you so young. The mental processes dull, the mind tends to wander, the -”

“Whose mind does what? Why you young -”

“Take it easy. If you’ll look over your left shoulder out the starboard port and squint your eyes, you might imagine that you see a glint on the War

God. It can’t be much over ten thousand miles away.”

“What’s that got to do with me?” she demanded suspiciously.

“Poor Hazel! We’ll take good care of you, Mother, we’re riding in orbit with several large commercial vessels; every one of them has burners powerful enough to punch through to Earth. We won’t ever be out of radio contact with Earth.”

Hazel stared out the port as if she could actually spot the War God. “Well, I’ll be dogged,” she breathed. “Roger, lead me to my room – that’s a good boy. It’s senile decay, all right You’d better take back your show; I doubt if I can write it.”

“Huh, uh! You let them pick up that option; you’ve got to write it. Speaking of The Scum of the Waste Spaces, I’ve been meaning to ask you a couple of questions about it and this is the first spare moment we’ve had. In the first place, why did you let them sign us up again?”

“Because they waved too much money under my nose, as you know full well. It’s an aroma we Stones have hardly ever been able to resist.” “I just wanted to make you admit it. You were going to get me off the hook – remember? So you swallowed it yourself.”

“More bait.”

“Surely. Now the other point: I don’t see how you dared to go ahead with it, no matter how much money they offered. The last episode you showed

me, while you had killed off the Galactic Overlord you had also left Our Hero in a decidedly untenable position. Sealed in a radioactive sphere, if I

remember correctly, at the bottom of an ammonia ocean on Jupiter. The ocean was swarming with methane monsters, whatever they are, each hypnotised by the Overlord’s mind ray to go after John Sterling at the first whiff – and him armed only with his Scout knife. How did you get him out of it?”

“We found a way,” put in Pol. “If you assume -”

“Quiet infants. Nothing to it, Roger. By dint of superhuman effort Our Hero extricated himself from his predicament and-” “That’s no answer.”

“You don’t understand. I open the next episode on Ganymede. John Sterling is telling Special Agent Dolores O’Shanahan about his adventure. He’s making light of it, see? He’s noble so he really wouldn’t want to boast to a girl. Just as he is jokingly disparaging his masterly escape the next action starts and it’s so fast and so violent and so bloody that our unseen audience doesn’t have time to think about it until the commercial. And by then they’ve got too much else to think about.”

Roger shook his head. “That’s literary cheating.”

“Who said this was literature? It’s a way to help corporations take tax deductions. I’ve got three new sponsors.” “Hazel,” asked Pollux, “where have you got them now? What’s the situation?”

Hazel glanced at the chronometer. “Roger, does that schedule take effect today? Or can we start fresh tomorrow?” He smiled feebly. “Tomorrow, I guess.”

“If this is going to degenerate into a story conference, I’d better get Lowell. I get my best ideas from Lowell; he’s just the mental age of my average audience.”

“If I were Buster, I would resent that.”

“Quiet!” She slithered to the hatch and called out, “Edith! May I borrow your wild animal for a while?” Meade said, “I’ll get him, Grandmother. But wait for me.”

She returned quickly with the child. Lowell said, “What do you want, Grandma Hazel? Bounce tag?” She gathered him in an arm. “No, son – blood. Blood and gore. We’re going to kill off some villains.” “Swell!

“Now as I recall it – and mind you, I was only there once – I left them lost in the Dark Nebula. Their food is gone and so is the Q-fuel. They’ve made a temporary truce with their Arcturian prisoners and set them free to help – which is safe enough because they are silicon-chemistry people and  can’t eat humans. Which is about what they are down to; the real question is – who gets barbecued for lunch? They need the help of the Arcturian prisoners because the Space Entity they captured in the last episode and imprisoned in an empty fuel tank has eaten its way through all but the last bulkhead and it doesn’t have any silly previous prejudices about body chemistry. Carbon or silicon; it’s all one to it.”

“I don’t believe that’s logical,” commented Roger stone. “If its own chemistry was based-”

“Out of order,” ruled Hazel. “Helpful suggestions only, please. Pol? You seem to have a gleam in your eye” “This, Space Entity jigger can he stand up against radar wave lengths?”

“Now we’re getting somewhere. But we’ve got to complicate it a bit Well, Meade?”

The twins started moving their bicycles outside the following day. The suits they wore were the same ones they had worn outdoors on the Moon, With the addition of magnetic boots and small rocket motors. These latter were strapped to their backs with the nozzles sticking straight out from their waists. An added pressure bottle to supply the personal rocket motor was mounted on the shoulders of each boy but, being weightless, the additional mass was little handicap.

“Now remember,” their father warned them, “those boost units are strictly for dire emergency. Lifelines at all times. And don’t depend on your boots when you shift lines, snap on the second line before you loose the first.”

“Shucks, Dad, we’ll be careful.”

“No doubt. But you can expect me to make a surprise inspection at any time. One slip on a safety precaution and it’s the rack and thumb screws, plus fifty strokes of bastinado.”

“No boiling oil?”

“Can’t afford it. See here, you think I’m joking. If one of you should happen to get loose and drift away from the ship, don’t expect me to come after you. One of you is a spare anyway.”

“Which one?” asked Pollux. “Cas, maybe?”

“Sometimes I think it’s one, sometimes the other. Strict compliance with ship’s orders will keep me from having to decide at this time.”

The cargo hatch had no airlock; the twins decompressed the entire hold, then opened the door, remembering just in time to snap on their lines as the door opened. They looked out and both hesitated. Despite their lifelong experience with vacuum suits on the face of the Moon this was the first time either one had ever been outside a ship in orbit.

The hatch framed endless cosmic night, blackness made colder and darker by the unwinking diamond stars many light-years away. They were on the night side of the Stone; there was nothing but stars and the swallowing depths. It was one thing to see it from the safety of Luna or through the strong quartz of a port; it was quite another to see it with nothing at all between one’s frail body and the giddy, cold depths of eternity.

Pollux said, “Cas, I don’t like this.” “There’s nothing to be afraid of.” “Then why are my teeth chattering?”

“Go ahead; I’ll keep a tension on your line.”

“You are too good to me, dear brother – a darn sight too good! You go and I’ll keep a tension on your line.” “Don’t be silly! Get on out there.”

“After you, Grandpa.”

“Oh, well!” Castor grasped the frame of the hatch and swung himself out. He scrambled to click his magnetic boots to the side of the ship but the position was most awkward, the suit was cumbersome, and he had no. gravity to help him. Instead, he swung around and his momentum pulled his fingers loose from the smooth frame. His floundering motions bumped the side of the ship and pushed him gently away. He floated out, still floundering, until his line checked him three or four feet from the side. “Pull me in!”

“Put your feet down, clumsy!”

“I can’t. Pull me in, you red-headed moron!”

“Don’t call me “red-headed”.” Pollux let out a couple of feet more line. “Pol, quit fooling. I don’t like this.”

“I thought you were brave. Grandpa?”

Castor’s reply was incoherent. Pollux decided that it had gone far enough; he pulled Castor in and, while holding firmly to a hatch dog himself, he grabbed one of Castor’s boots and set it firmly against the side; it clicked into place. “Snap on your other line,” he ordered.

Castor, still breathing heavily, looked for a padeye in the side of the ship. He found one nearby and walked over to it, picking up his feet as if he walked in sticky mud. He snapped his second line to the ring of the padeye and straightened up. “Catch,” Pollux called out and sent his own second line snaking out to his twin

Castor caught it and fastened it beside his own. “All set?” asked Pollux. “I’m going to unsnap us in here.” “All secure.” Castor moved closer to the hatch.

“Here I come.”

“So you do.” Castor gave Pollux’s line a tug; Pollux came sailing out of the hatch – and Castor let him keep on sailing. Castor checked the line gently through his fingers, soaking up the momentum, so that Pollux reached the end of the fifty-foot line and stayed there without bouncing back.

Pollux had been quite busy on the way out but to no effect -sawing vacuum is futile. When he felt himself snubbed to a stop he quit straggling. “Pull me back!”

“Say “uncle”.”

Pollux said several other things, some of which he had picked up dockside on Luna, plus some more colorful expressions derived from his grandmother. “You had better get off this ship,” he concluded, “because I’m coming down this line and take your helmet off.” He made a swipe for the line with one hand; Castor flipped it away.

“Say “even-Steven” then.”

Pollux had the line now, having remembered to reach for his belt where it was hooked instead of grabbing for the bight.” Suddenly he grinned. “Okay – “even-Steven”.”

“Even-Steven it is. Hold still; I’ll bring you in.” He towed him in gently, grabbing Pol’s feet and clicking them down as he approached. “You looked mighty silly out there,” he commented when Pollux was firm to the ship’s side.

His twin invoked their ritual. “Even-Steven!” “My apologies, Junior. Let’s get to work.”

Padeyes were spaced about twenty feet apart all over the skin of the ship. They had been intended for convenience in rigging during overhauls and to facilitate outside inspections while underway; the twins now used them to park bicycles. They removed the bicycles from the hold half a dozen at a time, strung on a wire loop like a catch of fish. They fastened each clutch of bikes to a padeye; the machines floated loosely out from the side like boats tied up to an ocean ship.

Stringing the clusters of bicycles shortly took them over the ‘horizon’ to the day side of the ship. Pollux was in front carrying six bicycles in his left hand. He stopped suddenly. “Hey, Grandpa! Get a load of this!”

“Don’t look at the Sun,” Castor said sharply. “Don’t be silly. But come see this.”

Earth and Moon swam in the middle distance in slender crescent phase. The Stone was slowly dropping behind Earth in her orbit, even more slowly drifting outward away from the Sun. For many weeks yet Earth would appear as a ball, a disc, before distance cut her down to a brilliant star. Now she appeared about as large as she had from Luna but she was attended by Luna herself. Her day side was green and dun and lavished with cottony clouds; her night side showed the jewels of cities.

But the boys were paying no attention to the Earth; they were looking at the Moon. Pollux sighed. “Isn’t she beautiful?” “What’s the matter, Junior? Homesick?”

“No. But she’s beautiful, just the same. Look, Cas, whatever ships we ever own, let’s always register them out of Luna City. Home base.” “Suits. Can you make out the burg?”

“I think so.”

“Probably just a spot on your helmet. I can’t. Let’s get back to work.”

They had used all the padeyes conveniently close to the hatch and were working aft when Pollux said, “Wups I Take it easy. Dad said not to go aft of frame 65.”

“Shucks, it must be “cool” back to 90, at least. We’ve used the jet less than five minutes.”

“Don’t be too sure; neutrons are slippery customers. And you know what a stickler Dad is, anyway.” “He certainly is,” said a third voice.

They did not jump out of their boots because they were zipped tight. Instead they turned around and saw their father standing, hands on hips, near the passenger airlock. Pollux gulped and said, “Howdy, Dad.”

“You sure gave us a start,” Castor added sheepishly.

“Sorry. But don’t let me disturb you; I just came out to enjoy the view.” He looked over their work. “You’ve certainly got my ship looking like a junkyard.”

“Well, we had to have room to work. Anyhow, who’s to see?”

“In this location you have the Almighty staring down the back of your neck. But I don’t suppose He’ll mind.” “Say, Dad, Pol and I sort of guessed that you wouldn’t want us to do any welding inside the hold?”

“You sort of guessed correctly – not after what happened in the Kong Christian.”

So we figured we could jury-rig a rack for welding out here. Okay?”

“Okay. But it’s too nice a day to talk business.” He raised his open hands to the stars and looked out. “Swell place. Lots of elbow room. Good scenery.”

“That’s the truth; But come around to the Sun side if you want to see something.”

“Right. Here, help me shift my lines.” They walked around the hull and into the sunlight. Captain Stone, Earth born, looked first at the mother planet. “Looks like a big storm is working up around the Philippines.”

Neither of the twins answered; weather was largely a mystery to them, nor did they approve of weather. Presently he turned to them and said softly, “I’m glad we came, boys. Are you?”

“Oh, you bet!”

“Sure!” They had forgotten how cold and unfriendly the black depths around them had seemed only a short time before. Now it was an enormous

room, furnished in splendor, though not yet fully inhabited. It was their own room, to live in, to do with as they liked.

They stood there for quite a long time, enjoying it At last Captain Stone said, “I’ve had all the sun I can stand for a while. Let’s work around back into the shade.” He shook his head to dislodge a drop of sweat from his nose.

“We ought to get back to work anyhow.” “I’ll help you; we’ll get done faster.”

The Rolling Stone swung on and outward toward Mars; her crew fell into routine habits. Dr. Stone was handy at weightless cooking, unusually skilful, in fact, from techniques she had picked up during a year’s internship in the free-fall research clinic in Earth’s station. Meade was not so skilled but very little can be done to ruin breakfast. Her father supervised her hydroponics duties, supplementing thereby the course she had had in Luna City High School. Dr. Stone split the care of her least child with his grandmother and used her leisure placidly collating some years of notes for a paper ‘On the Cumulative Effects of Marginal Hypoxia.”

The twins discovered that mathematics could be even more interesting than they had thought and much more difficult – it required even more ‘savvy’ than they thought they had (already a generous estimate) and they were forced to stretch their brains. Their father caught up on the back issues of The Reactomotive World and studied his ship’s manual but still had plenty of time to coach them and quiz them. Pollux, he discovered, was deficient in the ability to visualise a curve on glancing at ,an equation.

“I don’t understand it,” he said. “You got good marks in analytical geometry.” Pollux turned red. “What’s biting you?” his father demanded.

“Well, Dad, you see it’s this way -” “Go on.”

“Well, I didn’t exactly get good marks in analyt.”

Eh? What is this? You both got top marks; I remember clearly.”

“Well, now, you see – Well, we were awfully busy that semester and, well, it seemed logical. . . “ His voice trailed off. “Out with it! Out with it!”

“Cas took both courses in analyt.” Pollux blurted out, “and I took both courses in history. But I did read the book.”

“Oh, my!” Roger Stone sighed. “I suppose it’s covered by the statute of limitations by this time. Anyhow, you are finding out the hard way that such offences carry their own punishments. When you need it, you don’t know it worth a hoot.”

“Yessir.”

“But an extra hour a day for you, just the same – until you can visualise instantly from the equation a four-coordinate hyper-surface in a non- Euclidean continuum – standing on your head in a cold shower.”

“Yessir.”

“Cas, what course did you fudge? Did you read the book?” “Yes, sir. It was medieval European history, sir.”

“Hmm . . . You’re equally culpable, but I’m not too much concerned with any course that does not require a slide rule and tables. You coach your brother.”

“Aye aye, sir.”

“If you are pinched for time, I’ll give you a hand with those broken-down bicycles, though I shouldn’t.”

The twins pitched into it, hard. At the end of two weeks Roger Stone announced himself satisfied with Pollux’s proficiency in analytical geometry. They moved on to more rarefied heights . . . the complex logics of matrix algebra, frozen in beautiful arrays. . . the tensor calculus that unlocks the atom. . . the wild and wonderful field equations that make Man king of the universe . . . the crashing, mind-splitting intuition of Forsyte’s Solution that had opened the 21st century and sent mankind another mighty step toward the stars. By the time Mars shone larger in the sky than Earth they had gone beyond the point where their father could reach them; they ploughed on together.

They usually studied together, out of the same book, floating head to head in their bunkroom, one set of feet pointed to celestial south, the other pair to the north. The twins had early gotten into the habit of reading the same book at the same time; as a result either of them could read upside down as easily as in the conventional attitude. While so engaged Pollux said to his brother, “You know, Grandpa, some of this stuff makes me think we ought to go into research rather than business. After all, money isn’t everything.”

“No,” agreed Castor, “there are also stocks, bonds, and patent rights, not to mention real estate and chattels.”

“I’m serious.

“We’ll do both. I’ve finished this page; flip the switch when you’re ready.”

The War God, riding in a slightly different orbit, had been gradually closing on them until she could be seen as a ‘star’ by naked eye – a variable star that winked out and flared up every sixteen seconds. Through the Stones coelostat the cause could easily be seen; the War God was tumbling end over end, performing one full revolution every thirty-two seconds to provide centrifugal ‘artificial gravity’ to coddle the tender stomachs of her groundhog passengers. Each half revolution the Sun’s rays struck her polished skin at the proper angle to flash a dazzling gleam at the Stone. Through the ‘scope the reflection was bright enough to hurt the eyes.

The observation turned out to be both ways. A radio message came in; Hazel printed it and handed it with a straight face to her son: “WAR GOD TO ROLLING STONE – PVT – ROG OLD BOY, I HAVE YOU IN THE SCOPE. WHAT IN SPACE HAVE YOU GOT ON YOU? FUNGUS? OR SEA WEEDS? YOU LOOK LIKE A CHRISI’MAS TREE. P. VANDENBERGH, MASTER.”

Captain Stone glared at the message stat. “Why, that fat Dutchman’! I’ll “fungus” him. Here, Mother, send this: “Master to Master – private message: In that drunken tumbling pigeon how do you keep your eye to a scope? Do you enjoy playing nursemaid to a litter of groundhogs? No doubt the dowagers fight over a chance to eat at the captain’s table. Fun, I’ll bet. R. Stone, Master”.”

The answer came back: “ROGER DODGER YOU OLD CODGER, I’VE LIMITED MY TABLE TO FEMALE PASSENGERS CIRCA AGE TWENTY SO I CAN KEEP AN EYE ON THEM – PREFERENCE GIVEN TO BLONDES AROUND FIFTY KILOS MASS. COME OVER FOR DINNER. VAN.”

Pollux looked out the port, caught the glint on the War God. “Why don’t you take him up, Dad? I’ll bet I could make it across on my suit jet with one spare oxy bottle.”

“Don’t be silly. We haven’t that much safety line, even at closest approach. Hazel, tell him: “Thanks a million but I’ve got the prettiest little girl in the system cooking for me right now.”“

Meade said, “Me, Daddy? I thought you didn’t like my cooking?” “Don’t give yourself airs, snub nose. I mean your mother, of course.” Meade considered this. “But I look like her, don’t I?”

“Some. Send it, Hazel.”

“RIGHT YOU ARE! MY RESPECTS TO EDITH. “TRUTHFULLY, WHAT IS THAT STUFF? SHALL I SEND OVER WEEDKILLER, OR BARNACLE REMOVER? OR COULD WE BEAT IT TO DEATH WITH A STICK?”

“Why not tell him, Dad?” Castor inquired

“Very well, I will, send: “Bicycles: want to buy one?”“ To their surprise Captain Vandenbergh answered: “MAYBE. GOT A RALEIGH “SANDMAN”?”

“Tell him, “Yes!”

“Pollux put in. “A-number-one condition and brand-new tires. A bargain.”

“Slow up there,” his father interrupted. “I’ve seen your load. If you’ve got a bike in first-class condition, Raleigh or any other make, you’ve got it well hidden.”

“Aw, Dad, it will be – by the time we deliver.”

“What do you suppose he wants a bicycle for, dear?” Dr. Stone asked. “Prospecting? Surely not.”

“Probably just sightseeing. All right, Hazel, you can send it – but mind you, boys, I’ll inspect that vehicle-myself; Van trusts me.” Hazel pushed herself away from the rig. “Let the boys tell their own whoppers. I’m getting bored with this chit-chat.”

Castor took over at the key, started to dicker. The passenger skipper, it developed, really was willing to buy a bicycle. After a leisurely while they settled on a price well under Castor’s asking price, attractively under the usual prices on Mars, but profitably over what the boys had paid on Luna – this for delivery F.O.B. Phobos, circum Mars.

Roger Stone exchanged affectionate insults and gossip with his friend from time to time over the next several days. During the following week the War God came within phone range, but the conversations dropped off and stopped; they had exhausted topics of conversation. The War God had made her closest approach and was pulling away again; they did not hear from her for more than three weeks.

The call was taken by Meade. She hurried aft to the hold where her father was helping the twins spray enamel on reconditioned bicycles. “Daddy,

you’re wanted on the phone? War God, master to master – official.”

“Coming.” He hurried forward and took the call. “Rolling Stone, Captain Stone speaking.” “War God, commanding officer speaking. Captain, can you –

“Just a moment. This does not sound like Captain Vandenbergh.” “It isn’t. This is Rowley, Second Officer. I -”

“I understand that your captain wanted me, officially. Let me speak with him.”

“I’m trying to explain, Captain.” The officer sounded strained and irritable. “I am the commanding officer. Both Captain Vandenbergh and Mr. O’Flynn are on the binnacle list.”

“Eh? Sorry. Nothing serious, I hope?”

“I’m afraid it is, sir. Thirty-seven cases on the sick list this morning – and four deaths.” “Great Scott, man! What is it?”

“I don’t know, sir.”

“Well, what does your medical officer say it is?” “That’s it, sir. The Surgeon died during the midwatch.” “Oh-”

“Captain, can you possibly match with us? Do you have enough maneuvering margin?” “What? Why?”

“You have a medical officer aboard. Haven’t you?” “Huh? But she’s my wife!” –

“She’s an M.D., is she not?”

Roger Stone remained silent for a long moment. Then he said, “I’ll call you back shortly, sir.”

It was a top level conference, limited to Captain Stone, Dr. Stone, and Hazel. First, Dr. Stone insisted on calling the War God and getting a full report on symptoms and progress of the disease. When she switched off her husband said, “Well, Edith, what is it?”

“I don’t know. I’ll have to see it.”

“Now, see here, I’m not going to have you risking -” “I’m a doctor, Roger.”

“You’re not in practice, not now. And you are the mother of a family. It’s quite out of the ques -” “I am a doctor, Roger.”

He sighed heavily. “Yes, dear.”

“The only thing to be determined is whether or not you can match in with the War God. Have you two reached an answer?” “We’ll start computing.”

“I’m going aft and check over my supplies.” She frowned. “1 didn’t expect to have to cope with an epidemic.” When she was gone Roger turned his face, twisted with indecision, to Hazel. “What do you think, Mother?” “Son, you don’t stand a chance. She takes her oath seriously. You’ve known that a long time.”

I haven’t taken the Hippocratic oath! If I won’t move the ship, there’s nothing she can do about it.”

“You’re not a doctor, true. But you’re a master in space. I guess the “succour & rescue” rule might apply.” “The devil with rules! This is Edith.

Well,” Hazel said slowly, “I guess I might stack the Stone family up against the welfare of the entire human race in a pinch, myself. But I can’t decide it for you.”

“I won’t let her do it! It’s not me. There’s Buster – he’s no more than a baby still; he needs his mother.” “Yes, he does.”

“That settles it. I’m going aft and tell her.”

“Wait a minute! If that’s your decision, Captain, you won’t mind me saying that’s the wrong way to do it.” “Eh?”

“The only way you’ll get it past your wife is to get on that computer and come out with the answer you’re looking for. . . an answer that says it’s physically impossible for us to match with them and still reach Mars.”

“Oh. You’re right. Look, will you help me fake it?” “I suppose so.”

“Then let’s get busy.”

“As you say, sir. You know, Roger, if the War God comes in with an unidentified and uncontrolled disease aboard, they’ll never let her make port at Mars. They’ll swing her in a parking orbit, fuel her up again, and send her back at next optimum.”

“What of it? It’s nothing to me if fat tourists and a bunch of immigrants are disappointed.”

“Check. But I was thinking of something else. With Van and the first officer sick, maybe about to check in, if the second officer comes down with it, too, the War God might not even get as far as a parking orbit.”

Roger Stone did not have to have the thought elaborated; a ship approaching a planet, unless manoeuvred at the last by a skilled pilot, can do one of only two things – crash, or swing on past and out endlessly into empty space to take up a comet-like orbit which arrives nowhere ever.

He covered his face with his hands. “What do I do, Mother?” “You are captain, son.”

He sighed. “I suppose I knew it all along.”

“Yes, but you had to struggle with it first.” She kissed him. “Orders, son?” “Let’s get to it. It’s a good thing we didn’t waste any margin in departure.” “That it is.”

When Hazel told the others the news Castor asked, “Does Dad want us to compute a ballistic?” “No.”

“A good thing – for we’ve got to get those bikes inboard, fast! Come on, Pol. Meade, how about suiting up and giving us a hand? Unless Mother needs you?”

“She does,” answered Hazel, “to take care of Lowell and keep him out of the way. But you won’t be bringing the bikes inboard.”

“What? You can’t balance the ship for maneuvers with them where they are. Besides, the first blast would probably snap the wires and change your mass factor.”

“Cas, where are your brains? Can’t you see the situation? We jettison.” “Huh? We throw away our bikes? After dragging almost to Mars?”

“Your bikes, all our books, and everything else we can do without. The rough run-through on the computer made that clear as quartz; it’s the only way we can do this maneuver and still be sure of having a safe margin for homing in. Your father is checking over the weight schedule right now.”

“But -, Castor’s face suddenly relaxed and became impassive. “Aye aye, ma’am.”

The twins were suiting up but had not yet gone outside when Pollux was struck by a notion. “Cas? We cut the bikes loose; then what happens?” “We charge it off to experience – and try to recover from Four-Planets Transit. They won’t pay up, of course.”

“Use your skull. Where do the bikes end up?” “Huh? Why, at Mars!

Right. Or pretty near. In the orbit we’re in now, they swing in mighty close and then head down Sunside again. Suppose, on closest approach, we are standing there waiting to snag ’em?”

“Not a chance. It will take us just as long to get to Mars – and in a different orbit, same as the War God’s?

Yes, but just supposing. You know, I wish I had a spare radar beacon to hang on them. Then if we could reach them, we’d know where they were.”

“Well, we haven’t got one. Say! Where did you put that used reflecting foil?”

“Huh? Oh, I see. Grandpa, sometimes your senile decay is not quite so noticeable.” The Stone had started out, of course, covered on one side of her living quarters by mirror-bright aluminium foil. As she drifted farther and farther from the Sun, reflecting the Sun’s heat had grown less

necessary, absorbing it more desirable. To reduce the load on the ship’s heating and cooling system, square yards of it were peeled up and taken inside to store from week to week.

“Let’s ask Dad.”

Hazel stopped them at the hatch to the control room. “He’s at the computer. What’s the complaint?” “Hazel, the reflecting foil we’ve been salvaging – is it on the jettison list?”

“Certainly. We’ll pick up some more on Mars for the trip back. Why?”

“A radar corner – that’s why!” They explained the plan. She nodded. “A long chance, but it makes sense. See here, wire everything we jettison to the bikes. We might get it all back.”

“Sure thing!” The twins got busy. While Pollux gathered together the bunches of bicycles, all but a few in good repair and brave with new paint. Castor constructed a curious geometrical toy. With 8-gauge wire, aluminium foil, and sticky tape he made a giant square of foil, edged and held flat with wire. This he bisected at right angles with a second square. The two squares he again bisected at the remaining possible right angle with a third square. The result was eight shiny right-angled corners facing among them in all possible directions – a radar reflector. Each corner would bounce radar waves directly back to source, a principle easily illustrated with a rubber ball and any room or box corner. The final result was to step up the effectiveness or radar from an inverse fourth-power law to an inverse square law – in theory, at least. In practice it would be somewhat less than perfectly efficient but the radar response of the assembly would be increased enormously. A mass so tagged would stand out on a radar screen like a candle in a cave.

This flimsy giant kite Castor anchored to the ball of bicycles and other jetsam with an odd bit of string. No stronger link was necessary; out here no vagrant wind would blow it away, no one would cut it loose. “Pol,” he said, “go bang on the port and tell ’em we’re ready.”

Pollux walked forward and did so, rapping on the quartz first to attract his grandmother’s attention, then tapping code to report. While he was gone Castor attached a piece of paper reading:

NOT FOR SALVAGE

This cargo is in free transit by intention. The undersigned owner intends to recover it and warns all parties not to claim it as abandoned. U.P. Rev. Stat. # 193401

Roger Stone, Master

P.Y. Rolling Stone, Luna

When Pollux came back he said, “Hazel says go ahead but take it easy.”

“Of course.” Castor untwisted the single wire that held the ungainly mass to the ship, then stood back and watched it. It did not move. He reached out and gave it the gentlest shove with his little finger, then continued watching. Slowly, slowly it separated from the ship. He wished to disturb its orbit as little as possible, to make it easy to find. The petty vector he had placed on it – an inch a minute was his guess – would act for all the days from there to Mars; he wanted the final sum to remain small.

Pollux twisted around and picked out the winking gleam of the War God. “Will the jet be clear of it when we swing ship?” he asked anxiously. “Quit worrying. I already figured that.”

The maneuver to he performed was of the simplest – point to point in space in a region which could be treated as free of gravity strain since the two ships were practically the same distance from the Sun and Mars was too far away to matter. There were four simple steps: cancellation of the slight vector difference between the two ships (the relative speed with which the War God was puffing away), acceleration toward the War God, transit of the space between them, deceleration to match orbits and lie dead in space relative to each other on arrival.

Steps one and two would be combined by vector addition; step three was simply waiting time. The operation would be two maneuvers, two blasts on the jet.

But step three, the time it would take to reach the War God, could be enormously cut down by lavish use of reactive mass. Had time been no object they could have, as Hazel put it, closed the gap ‘by throwing rocks off the stern.” There was an infinite number of choices, each requiring

different amounts of reactive mass. One choice would have saved the bicycles and their personal possessions – but it would have stretched the

transit time out to over two weeks.

This was a doctor’s emergency call – Roger Stone elected to jettison.

But he did not tell the twins this and he did not require them to work a ballistic. He did not care to let them know of the choice between sacrificing their capital or letting strangers wait for medical attention. After all, he reflected, the twins were pretty young.

Eleven hours from blast time the Stone hung in space close by the War God. The ships were still plunging toward Mars at some sixteen miles per second; relative to each other they were stationary – except that the liner continued her stately rotation, end over end. Dr. Stone, her small figure encumbered not only with space suit, pressure bottles, radio, suit jet, and life lines, but also with a Santa Claus pack of surgical supplies, stood with her husband on the side of the Stone nearest the liner. Not knowing exactly what she might need she had taken all that she believed could be  spared from the stock of their own craft -drugs, antibiotics, instruments, supplies.

The others had been kissed good-by inside and told to stay there. Lowell had cried and tried to keep his mother from entering the lock. He had not been told what was going on, but the emotions of the others were contagious.

Roger Stone was saying anxiously, “Now see here, the minute you have this under control, back you come – you hear?” She shook her head. “I’ll see you on Mars, dearest.”

“No indeed! You -”

“No, Roger. I might act as a carrier. We can’t risk it.”

“You might act as a carrier corning back to us on Mars, too. Don’t you ever expect to come back?”

She ignored the rhetorical question. “On Mars there will be hospitals. But I can’t risk a family epidemic in space.” “Edith I’ve a good mind to refuse to-”

“They’re ready for me, dear. See?”

Over their heads, two hundred yards away, a passenger lock on the rotation axis of the mighty ship had opened; two small figures spilled silently out, flipped neatly to boot contact, stood on the ship’s side, their heads pointing ‘down’ at Mr. and Mrs Stone. Roger Stone called into his microphone, “War God!”

WarGod aye aye! Are you ready?” “Whenever you are.” “Stand by for transfer.”

Acting Captain Rowley had proposed sending a man over to conduct Dr. Stone across the gap. She had refused, not wishing to have anyone from the infected ship in contact with the Rolling Stone. Now she said, “Are my lines free for running, Roger?”

“Yes, dearest.” He had bent several lines together, one end to her waist, the other to a padeye. “Will you do my boots, dear?”

He kneeled and unzipped her magnetic boots without speaking, his voice having become uncertain. He straightened and she put her arms around him. They embraced awkwardly, hampered by the suits, hampered by the extra back pack she carried. “Adios, my darling,” she said softly. “Take care of the children.”

“Edith! Take care of yourself!” “Yes, dear. Steady me now.”

He slipped his hands to her hips; she stepped out of the boots, was now held against the ship only by his hands.

“Ready! One! Two!” They crouched down together. “Three!” She jumped straight away from the ship, her lines snaking after her. For long, long seconds she sailed straight out over his head, closing the gap between her and the liner. Presently it became evident that she had not leapt quite straight; her husband got ready to haul her back in.

But the reception committee was ready for the exigency. One of them was swinging a weighted line around his head; he let the end of it swing farther and farther out. As she started to move past the side of the War God he swung it against her safety line; the weighted end wrapped itself around her line. Back at the Rolling Stone Roger Stone snubbed her line and stopped her; the man on the liner gently pulled her in.

The second man caught her and snapped a hook to her belt, then unfastened the long line from the Stone. Before she entered the lock she waved, and the door closed.

Roger Stone looked at the closed door for a moment, then pulled in the line. He let his eyes drop to the pair of little boots left standing empty

beside him. He pulled them loose, held them to him, and plodded back to his own airlock.

II            – ASSETS RECOVERABLE

The twins kept out of their father’s way for the next several days. He was unusually tender and affectionate with all of them but he never smiled and his mood was likely to flare suddenly and unexpectedly into anger. They stayed in their bunkroom and pretended to study they actually did study some of the time. Meade and Hazel split the care of Lowell between them; the child’s feeling of security was damaged by the absence of his  mother. He expressed it by temper tantrums and demands for attention.

Hazel took over the cooking of lunch and dinner; she was no better at it than Meade. She could be heard twice a day, burning herself and swearing and complaining that she was not the domestic type and never had had any ambitions that way. Never!

Dr. Stone phoned once a day, spoke briefly with her husband, and begged off from speaking to anyone else for the reason that she was much too busy. Roger Stone’s explosions of temper were most likely to occur shortly after these daily calls.

Hazel alone had the courage to quiz him about the calls. On the sixth day at lunch she said, “Well, Roger? What was the news today? Give.” “Nothing much. Hazel, these chops are atrocious.’.

“They ought to be good; I flavored ’em with my own blood.” She held out a bandaged thumb. “Why don’t you try cooking? But back to the subject. Don’t evade me, boy.”

“She thinks she’s on the track of something. So far as she can tell from their medical records, nobody has caught it so far who is known to have had measles.”

Meade said, “Measles? People don’t die of that, do they?”

“Hardly ever,” agreed her grandmother, “though it can be fairly serious in an adult.”

“I didn’t say it was measles,” her father answered testily, “nor did your mother. She thinks it’s related to measles, a mutant strain maybe more virulent.”

“Call it “neomeasles”,” suggested Hazel. “That’s a good question-begging tag and it has an impressive scientific sound to it Any more deaths, Roger?”

“Well, yes.” “How many?”

“She wouldn’t say. Van is still alive, though, and she says that he is recovering. She told me,” he added, as if trying to convince himself, “that she thought she was learning how to treat it.”

“Measles,” Hazel said thoughtfully. “You’ve never had it, Roger.” “No.”

“Nor any of the kids.”

“Of course not,” put in Pollux. Luna City was by long odds the healthiest place in the known universe; the routine childhood diseases of Earth had never been given a chance to establish.

“How did she sound, Son?”

“Dog tired.” He frowned. “She even snapped at me.” “Not Mummy!”

“Quiet, Meade.” Hazel went on, “I’ve had measles, seventy or eighty years ago. Roger, I had better go over and help her.”  He smiled without humor. “She anticipated that. She said to tell you thanks but she had all the unskilled help she could use.”

“”Unskilled help!” I like that! Why, during the epidemic of ’93 there were times when I was the only woman in the colony able to change a bed. Hummph!”

Hazel deliberately waited around for the phone call the next day, determined to get a few words at least with her daughter-in-law. The call came in about the usual time; Roger took it. It was not his wife.

“Captain Stone? Turner, sir Charlie Turner. I’m the third engineer. Your wife asked me to phone you.” “What’s the matter? She busy?”

“Quite busy.”

“Tell her to call me as soon as she’s free. I’ll wait by the board.”

“I’m afraid that’s no good, sir. She was quite specific that she would not be calling you today. She won’t have time.” “Fiddlesticks! It will only take her thirty seconds. In a big ship like yours you can hook her in wherever she is.”

The man sounded embarrassed. “I’m sorry, sir. Dr. Stone gave strict orders not to be disturbed.” “But confound it, I -”

“I’m very sorry, sir. Good-by.” He left him sputtering into a dead circuit.

Roger Stone remained quiet for several moments, then turned a stricken face to his mother. “She’s caught it.”

Hazel answered quietly, “Don’t jump to conclusions, Son.” But in her own heart she had already reached the same conclusion. Edith Stone had contracted the disease she had gone to treat.

The same barren stall was given Roger Stone on the following day; by the third day they gave up the pretence. Dr. Stone was ill, but her husband was not to worry. She had already, before she gave into it herself, progressed far enough in standardizing a treatment that all the new cases – hers among them – were doing nicely. So they said.

No, they would not arrange a circuit to her bed. No, he could not talk to Captain Vandenbergh; the Captain was still too ill. “I’m coming over!” Roger Stone shouted.

Turner hesitated. “That’s up to you, Captain. But if you do, we’ll have to quarantine you here. Dr. Stone’s written orders.”

Roger Stone switched off. He knew that that settled it; in matters medical Edith was a Roman judge – and he could not abandon his own ship, his family, to get to Mars by themselves. One frail old woman, two cocksure half-trained student pilots – no, he had to take his ship in.

They sweated it out The cooking got worse, when anyone bothered to cook. It was seven endless, Earth-standard days later when the daily call was answered by, “Roger – hello, darling!”

“Edith! Are you all right?” “Getting that way.”

“What’s your temperature?”

“Now, darling, I won’t have you quack-doctoring me. My temperature is satisfactory, as is the rest of my physical being. I’ve lost a little weight, but I could stand to – don’t you think?”

“No, I don’t. Listen – you come home! You hear me?”

“Roger dearest! I can’t and that’s settled. This entire ship is under quarantine. But how is the rest of my family?” “Oh, shucks, fine, fine! We’re all in the pink.”

“Stay that way. I’ll call you tomorrow. Bye, dear.”

Dinner that night was a celebration. Hazel cut her thumb again, but not even she cared.

The daily calls, no longer a naging worry but a pleasure, continued. It was a week later that Dr. Stone concluded by saying ‘Hold on, dear. A friend of yours wants to speak with you.”

“Okay, darling: Love and stuff – good-by.” “Roger Dodger?” came a bass voice.

“Van! You squareheaded bay window! I knew you were too mean to die.”

“Alive and kicking, thanks to your wonderful wife. But no longer with a bay window; I haven’t had time to regrow it yet” “You will.”

“No doubt. But I was asking the good doctor about something and she couldn’t give me much data. Your department Rog, how did this speed run leave you for single-H? Could you use some g-juice?”

Captain Stone considered it. “Have you any surplus, Captain?”

“A little. Not much for this wagon, but it might be quite a lot for a kiddie cart like yours.”

“We had to jettison, did you know?”

“I know – and I’m sorry. I’ll see that a claim is pushed through promptly. I’d advance it myself, Captain, if alimony on three planets left me anything to advance.”

“Maybe it won’t be necessary.” He explained about the radar reflector. “If we could nudge back into the old groove we just might get together with our belongings.”

Vandenbergh chuckled. “I want to meet those kids of yours again; they appear to have grown up a bit in the last seven years.” “Don’t. They’ll stea! your bridgework. Now about this single-H: how much can you spare?”

“Enough, enough, I’m sure. This caper is worth trying, just for the sport. I’m sure it has never been done before. Never.”

The two ships, perfectly matched to eye and almost so by instrument, nevertheless had drifted a couple. of miles apart while the epidemic in the liner raged and died out. The undetectable gravitational attraction between them gave them mutual escape velocity much less than their tiny residual relative motion. Up to now nothing had been done about it since they were still in the easiest of phone range. But now it was necessary to pump reactive mass from one to the other.

Roger Stone threw a weight fastened to a light messenger line as straight and as far as he could heave. By the time it was slowed to a crawl by the drag of the line a crewman from the War God came out after it on his suit jet, In due course the messenger line brought over a heavier line which was fastened to the smaller ship. Hand power alone took a strain on the line. While the mass of Rolling Stone was enormous by human muscle standards, the vector involved was too small to handle by jet and friction was nil. In warping in a space ship the lack of brakes is a consideration more important than numerous dents to ships and space stations testify.

As a result of that gentle tug, two and a half days later the ships were close enough to permit a fuel hose to be connected between them. Roger and Hazel touched the hose only with wrench and space-suit gauntlet, not enough contact to affect the quarantine even by Dr. Stone’s standards. Twenty minutes later even that connection was broken and the Stone had a fresh supply of jet juice.

And not too soon. Mars was a ruddy gibbous moon, bulging ever bigger in the sky; it was time to prepare to maneuver. “There it is!” Pollux was standing watch on the radar screen; his yelp brought his grandmother floating over.

“More likely a flock of geese,” she commented, “Where?” “Right there. Can’t you see it?”

Hazel grudgingly conceded that the blip might be real. The next several hours were spent in measuring distance, bearing, and relative motion by radar and doppler and in calculating the cheapest maneuver to let them match with the errant bicycles, baggage, and books. Roger Stone took it as easily as he could, being hurried somewhat by the growing nearness of Mars. He finally settled them almost dead in space relative to the floating junk pile, with a slight drift which would bring them within three hundred yards of the mass – so he calculated – at closest approach a few hours  hence.

They spent the waiting time figuring the maneuvers to rendezvous with Mars. The Rolling Stone would not, of course, land on Mars but at the port on Phobos. First they must assume an almost circular ellipse around Mars matching with Phobos, then as a final maneuver they must settle the ship on the tiny moon – simple maneuvers made fussy by one thing only; Phobos has a period of about ten hours; the Stone would have to arrive not only at the right place with the right speed and direction, but also at the right time. After the bicycles were taken aboard the ship would have to be nursed along while still fairly far out if she were to fall to an exact rendezvous.

Everybody worked on it but Buster, Meade working under Hazel’s tutelage. Pollux continued to check by radar their approach to their cargo. Roger Stone had run through and discarded two trial solutions and was roughing out another which, at last, seemed to be making sense when Pollux announced that his latest angulation of the radar data showed that they were nearly as close as they would get.

His father unstrapped himself and floated to a port. “Where is it? Good heavens, we’re practically sitting on it. Let’s get busy, boys.” “I’m coming, too,” announced Hazel.

“Me, too!” agreed Lowell.

Meade reached out and snagged him. “That’s what you think, Buster. You and Sis are going to play a wonderful game called, “What’s for dinner?” Have fun, folks.” She headed aft, towing the infant against his opposition.

Outside the bicycles looked considerably farther away. Cas glanced at the mass and said. “Maybe I ought to go across on my suit jet, Dad? It would save time.”

“I strongly doubt it. Try the heaving line, Pol.” Pollux snapped the light messenger line to a padeye. Near the weighted end had been fastened a half a dozen large hooks fashioned of 6-gauge wire. His first heave seemed to be strong enough but it missed the cluster by a considerable margin,

“Let me have it, Pol,” Castor demanded.

“Let him be,” ordered their father. “So help me, this is the last time I’m going into space without a proper line-throwing gun. Make note of that,

Cas. Put it on the shopping list when we go inside.”

“Aye aye, sir.”

The second throw was seen to hit the mass, but when Pol heaved in the line came away, the hooks having failed to catch. He tried again. This time the floating line came taut.

“Easy, now!” his father cautioned. “We don’t want a bunch of bikes in our lap. There – “vast heaving. She’s started.” They waited.

Castor became impatient and suggested that they give the line another tug. His father shook his head. Hazel added, “I saw a green hand at the space station try to hurry a load that way. Steel plate, it was.”

“What happened?”

“He had started it with a pull; he thought he could stop it with a shove. They had to amputate both legs but they saved his life.” Castor shut up.

A few minutes later the disorderly mass touched down, bending a handlebar of one bike that got pinched but with no other damage. The twins and Hazel swarmed over the mass, working free on their safety lines and clicking on with their boots only to pass bicycles into the hold, where Roger Stone stowed them according to his careful mass distribution schedule.

Present!y Pollux came across Castor’s ‘Not for Salvage’ warning. “Hey, Cas! Here’s your notice.” “It’s no good now.” Nevertheless he accepted it and glanced at it. Then his eyes snapped wider. An endorsement had been added at the bottom:

“Sez you!

The Galactic Overlord.”

Captain Stone came out to investigate the delay, took the paper and read it. He looked at his mother. “Hazel!” “Me? Why, I’ve been right here in plain sight the whole time. How could I have done it?”

Stone crumpled the paper. “I do not believe in ghosts, inside straights, nor “Galactic Overlords.”“

If Hazel did it, no one saw her and she never admitted it. She persisted in the theory that the Galactic Overlord wasn’t really dead after all. To prove it, she revived him in her next episode.

  1. – PHOBOS PORT

Mars has two ready-made space stations, her two tiny, close-in moons – Phobos and Deimos, the dogs of the War God, Fear and Panic. Deimos is a jagged, ragged mass of rock; a skipper would he hard put to find a place to put down a ship. Phobos was almost spherical and fairly smooth as we found her; atomic power has manicured her into one big landing field all around her equator – a tidying-up that may have been over hasty; by one very plausible theory the Martian ancients used her themselves as a space station. The proof, if such there be, may lie buried under the slag of Phobos port.

The Rolling Stone slid inside the orbit of Deimos, blasted as she approached the orbit of Phobos and was matched in with Phobos, following an almost identical orbit around Mars only a scant five miles from that moon. She was falling now, falling around Mars but falling toward Phobos, for no vector had been included as yet to prevent that. The fall could not be described as a headlong plunge; at this distance, one radius of Phobos, the moon attracted the tiny mass of the spaceship with a force of less than three ten-thousandths of one Earth surface gravity. Captain Stone had

ample time in which to calculate a vector which would let him land; it would take the better part of an hour for the Stone to sink to the surface of the satellite.

However, he had chosen to do it the easy way, through outside help. The jet of the Rolling Stone, capable of blasting at six gravities, was almost too much of a tool for the thin gravity field of a ten-mile rock – like swatting a fly with a pile-driver. A few minutes after they had ceased blasting, a small scooter rocket up from Phobos matched with them and anchored to their airlock.

The spacesuited figure who swam in removed his helmet and said, “Permission to board, sir? Jason Thomas, port pilot – you asked for pilot-and- tow?”

“That’s right, Captain Thomas.”

“Just call me Jay. Got your mass schedule ready?”

Roger Stone gave it to him; he look it over while they looked him over. Meade thought privately that he looked more like a bookkeeper than a dashing spaceman – certainly nothing like the characters in Hazel’s show. Lowell stared at him gravely and said, “Are you a Martian, Mister?”

The port pilot answered him with equal gravity. “Sort of, son.” “Then where’s your other leg?”

Thomas looked startled, but recovered. “I guess I’m a cut-rate Martian.”

Lowell seemed doubtful but did not pursue the point. The port official returned the schedule and said, “Okay, Captain. Where are your outside control-circuit jacks?”

“Just forward of the lock. The inner terminals are here on the board.”

“Be a few minutes.” He went back outside, moving very rapidly. He was back inside in less than ten minutes. “That’s all the time it took you to mount auxiliary rockets?” Roger Stone asked incredulously.

“Done it a good many times. Gets to be a routine. Besides, I’ve got good boys working with me.” Quickly he plugged a small portable control board to the jacks pointed out to him earlier, and tested his controls. “All set.” He glanced at the radar screen. “Nothing to do but loaf for a bit You folks immigrating?”

“Not exactly. It’s more of a pleasure trip.”

“Now ain’t that nice! Though it beats me what pleasure you expect to find on Mars.” He glanced out the port where the reddish curve of Mars pushed up into the black.

“We’ll do some sightseeing I expect”

“More to see in the State of Vermont than on this whole planet I know.” He looked around. “This your whole family?” “All but my wife.” Roger Stone explained the situation.

“Oh, yes! Read about it in the daily War Cry. They got the name of your ship wrong, though.”

Hazel snorted in disgust ‘Newspapers!”

Yes, mum. I put the War God down just four hours ago. Berths 32 & 33. She’s in quarantine, though.” He pulled out a pipe ‘You folks got static precipitation?”

Yes,” agreed Hazel. “Go ahead and smoke, young man.”

“Thanks on both counts.” He made almost a career of getting it lighted; Pollux began to wonder when he intended to figure his ballistic.

But Jason Thomas did not bother even to glance at the radar screen; instead he started a long and meandering story about his brother-in-law

back Earthside. It seemed that this connection of his had tried to train a parrot to act as an alarm clock.

The twins knew nothing of parrots and cared less. Castor began to get worried. Was this moron going to crash the Stone? He began to doubt that Thomas was a pilot of any sort. The story ambled on and on. Thomas interrupted him-self to say, “Better hang on, everybody. And somebody ought to hold the baby.”

“I’m not a baby,” Lowell protested.

“I wish I was one, youngster.” His hand sought his control panel as Hazel gathered Lowell in. “But the joke of the whole thing was – A deafening rumble shook the ship, a sound somehow more earsplitting than their own jet. It continued for seconds only, as it died Thomas continued triumphantly:

  • the bird never did learn to tell time. Thanks, folks. The office’ll bill you.” He stood up with a catlike motion, slid across or without lifting his feet ‘Glad to have met you. G’bye!”

They were down on Phobos.

Pollux got up from where he had sprawled on the deck-plates – and bumped his head on the overhead. After that he tried to walk like Jason Thomas. He had weight, real weight, for the first time since Luna, but it amounted to only two ounces in his clothes. “I wonder how high I can jump here?” he said.

“Don’t try it,” Hazel advised. “Remember the escape velocity of this piece of real estate is only sixty-six feet a second.” “I don’t think a man could jump that fast”

“There was Ole Gunderson. He dived right around Phobos – a free circular orbit thirty-five miles long. Took him eighty-five minutes. He’d have been traveling yet. If they hadn’t grabbed as he came back around.”

“Yes, but wasn’t he an Olympic jumper or something? And didn’t he have to have a special rack or some such to take off from?”

“You wouldn’t have to jump,” Castor put in. “Sixty-six feet a second is forty-five miles an hour, so the circular speed comes out a bit more than thirty miles an hour. A man can run twenty miles an hour back home, easy. He could certainly get up to forty-five here.”

Pollux shook tiis head. “No traction.”

“Special spiked shoes and maybe a tangent launching ramp for the last hundred yards – then woosh! off the end and you’re gone for good.” “Okay, you try it, Grandpa. I’ll wave good-by to you.”

Roger Stone whistled loudly. “Quiet, please! If you armchair athletes are quite through, I have an announcement to make.” “Do we go groundside now, Dad?”

“Not if you don’t quit interrupting me. I’m going over to the War God. Anyone who wants to come along, or wishes to take a stroll outside, may do so – just as long as you settle the custody of Buster among you. Wear your boots; I understand they have steel strip walkways for the benefit of transients.”

Pollux was the first one suited up and into the lock, where he was surprised to find the rope ladder still rolled up. He wondered about Jason Thomas and decided that he must have jumped. . . a hundred-odd feet of drop wouldn’t hurt a man’s arches here. But when he opened the outer door he discovered that it was quite practical to walk straight down the side of the ship like a fly on a wall. He had heard of this but had not quite believed it, not on a planet . . . well, a moon.

The others followed him, Hazel carrying Lowell. Roger Stone stopped when they were down and looked around. “I could have sworn,” he said with a puzzled air, “that I spotted the War God not very far east of us just before we landed.”

“There is something sticking up over there,” Castor said, pointing north. The object was a rounded dome swelling up above the extremely near horizon – an horizon only two hundred yards away for Castor’s height of eye: The dome looked enormous but it grew rapidly smaller as they approached it and finally got it entirely above the horizon. The sharp curvature of the little globe played tricks on them; it was so small that it was possible to see that it was curved, but the habit of thinking of anything over the horizon as distant stayed with them.

Before they reached the dome they encountered one of the steel walking strips running across their path, and on it a man. He was spacesuited as they were and was carrying with ease a large coil of steel line, a hand-powered winch, and a ground anchor with big horns. Roger Stone stopped him. “Excuse me, friend but could you tell me the way to the R.S. War God? Berths thirty-two and -three, I believe she is.”

Off east there. Just follow this strip about five miles; you’ll raise her. Say, are you from the Rolling Stone?” Yes. I’m her master. My name’s Stone, too.”

“Glad to know you, Captain. I’m just on my way out to respot your ship. You’ll find her in berth thirteen, west of here when you come back.”

The twins looked curiously at the equipment he was carrying. “Just with that?” asked Castor, thinking of the ticklish problem it had been to move

the Stone on Luna.

“Did you leave your gyros running?” asked the port jockey. “Yes,” answered Captain Stone.

“I won’t have any trouble. See you around.” He headed out to the ship. The family party turned east along the strip; the traction afforded by their boot magnets against steel made much easier walking. Hazel put Lowell down and let him run.

They were walking toward Mars, a great arc of which filled much of the eastern horizon. The planet rose appreciably as they progressed; like Earth in the Lunar sky Mars never rose nor set for any particular point of the satellite’s surface – but they were moving over the curve of Phobos so rapidly that theff own walking made it rise. About a mile farther along Meade spotted the bow of the War God silhouetted against the orange-red face of Mars. They hurried, but it was another three miles before they had her in sight down. to her fins.

At last they reached her – to find a temporary barrier of line and posts around her and signs prominently displayed: “WARNING! – QUARANTINE – no entrance by order of Phobos Port Authority.”

I can t read,” said Hazel.

Roger Stone pondered it ‘The rest of you stay here, or go for a walk – whatever you please. I’m going in. Mind you stay off the field proper.” “Shucks,” answered Hazel, “there’s plenty of time to see a ship coming in and run for it, the way they float in here. That’s all the residents do. But

don’t you want me to come with you, boy?”

“No its my pidgin.” He left them at the barrier, went toward the liner. They waited. Hazel passed the time by taking a throat lozenge from her gun and popping it in through her mouth valve; she gave one to Lowell. Presently they saw Roger walk up the side of the ship to a view port. He stayed there quite a whlle, then walked down again.

When he got back to them his face was stormy. Hazel said ‘No go, I take it?”

“None at all. Oh, I saw Van and he rapped out some irrelevant insults. But he did let me see Edith – through the port” “How did she look?”

“Wonderful, just wonderful! A little bit thinner perhaps, but not much. She blew a kiss for all of you.” He paused and frowned. “But I can’t get in and I can’t get her out.”

“You can’t blame Van,” Hazel pointed out. “It would mean his ticket.” “I’m not blaming anybody! I’m just mad, that’s all.”

“Well, what next?”

He thought about it. “The rest of you do what you like for the next hour or so. I’m going to the administration building – it’s that dome back there. I’ll meet you all at the ship – berth thirteen.”

The twins elected to walk on east while Meade and Hazel returned at once to the ship Buster was getting restless. The boys wanted a really good look at Mars. They had watched it through the Stones ports, of course, on the approach – but this was different. . . more real, somehow – not framed like a television shot. Three more miles brought all of it in sight, or all of it that was illuminated, for the planet was in half phase to them, the Sun  being at that point almost overhead.

They studied the ruddy orange deserts, the olive green fertile stretches, the canals stretching straight as truth across her fiat landscape. The south polar cap was tipped slightly toward them; it had almost disappeared. Facing them was the great arrowhead of Syrtis Major.

They agreed that it was beautiful, almost as beautiful as Luna – more beautiful perhaps than Earth in spite of Earth’s spectacular and always changing cloud displays. But after a while they grew bored with it and headed back to the ship.

They found berth thirteen without trouble and walked up into the ship. Meade had dinner ready; Hazel was playing with Buster. Their father came in just as they were ready to eat. “You,” announced Hazel, “looked as if you had bribed a chair-warmer.”

“Not quite.” He hesitated, then said, “I’m going into quarantine with Edith. I’ll come out when she does.” “But Daddy -” protested Meade.

“I’m not through. While I’m gone Hazel takes command. She is also head of this family.” “I always have been,” Hazel said smugly.

“Please, Mother. Boys, if she finds it necessary to break your arms, please be advised that the action is authorised in advance. You understand me?”

“Yes sir. – “Aye aye, sir.”

“Good. I’m going to pack now and leave.”

“But Daddy!” Meade objected, almost in tears, “aren’t you going to wait for dinner?”

He stopped and smiled. “Yes, sugar pie. You are getting to be a good cook, did you know?”

Castor glanced at Pollux, then said, “Uh, Dad, let me get this straight We are simply to wait here in the ship – on this under-sized medicine ball until you and Mother get out of hock?”

“Why, yes – no, that isn’t really necessary. I simply hadn’t thought about it. If Hazel is willing, you can close down the ship and go down to Mars. Phone us your address and we’ll join you there. Yes, I guess that’s the best scheme.”

The twins sighed with relief.

IV     – “WELCOME TO MARS!”

Roger Stone promptly caught the epidemic disease and had to be nursed through it – and thereby extended the quarantine time It gave the twins that much more time in which to exercise their talent for trouble. The truncated family went from Phobos down to Marsport by shuttle – not the sort of shuttle operating between Pikes Peak and Earth’s station, but little glider rockets hardly more powerful than the ancient German war rockets. Mars’ circular-orbit speed is only a trifle over two miles per second.

Nevertheless the fares were high . . . and so were freight charges The twins had unloaded their cargo, moved it to the freight lots between the customs shed and the administration building and arranged for it to follow them down, all before they boarded the shuttle. They had been horrified when they were presented with the bill – payable in advance. It had come to more than the amount they had paid their father for the added ship’s costs of boosting the bicycles all the way to Mars.

Castor was still computing their costs and possible profits as the five Stones were strapping down for the trip down to Marsport. “Pol, he said fretfully, “we’d better by a darn sight get a good price for those bikes.”

“We will, Grandpa, we will. They’re good bikes.”

The thuttle swooped to a landing on the Grand Canal and was towed into a slip, rocking gently the while. The twins were glad to climb out; they  had never before been in a water-borne vehicle and it seemed to them an undependable if not outright dangerous mode of travel. The little ship was unsealed with a soft sigh and they were breathing the air of Mars. It was thin but the pressure was not noticeably lower than that they had maintained in the Rolling Stone – a generation of the atmosphere project had made skin suits and respirators unnecessary. It was not cold; the Sun was right at the zenith. Meade sniffed as she climbed to the dock. “What’s the funny smell, Hazel?”

“Fresh air. Odd stuff, isn’t it? Come on, Lowell.” They all went inside the Hall of Welcome, that being the only exit. from the dock. Hazel looked around, spotted a desk marked ‘Visas’ and headed for it. “Come on, kids Let’s stick together.”

The clerk looked over their papers as if he had never seen anything of the sort before and didn’t want to now. “You had your physical examinations at Phohos port?” he said doubtfully.

“See for yourself. They’re all endorsed.”

“Well. . . you don’t have your property declaration filled out for immigration.” “We’re not imrnjgrants; we’re visitors.”

“Why didn’t you say so? You haven’t posted a bond; all terrestrial citizens have to post bonds.”

Pollux looked at Castor and shook his head. Hazel counted up to ten and replied, “We’re not terrestrials; we’re citizens of Luna Free State – and entitled to full reciprocity under the treaty of ’07. Look it up and see.”

“Oh.’. The clerk looked baffled and endorsed and stamped their papers. He stuck them in the stat machine, then handed them back. “That’ll be five pounds.”

“Five pounds?”

Pounds Martian, of course. If you apply for citizenship it’s returnable.”

Hazel counted it out. Pollux converted the figure into System credit in his head and swore under his breath; he was beginning to think that Mars was the Land of the Fee. The clerk. recounted the money, then reached for a pile of pamphlets, handed them each one. “Welcome to Mars,” he said, smiling frigidly. “I know you’ll like it here.”

“I was beginning to wonder,” Hazel answered, accepting a pamphlet “Eh?”

“Never mind. Thank you.”

They turned away. Castor glanced at his pamphlet; it was titled:

WELCOME TO MARS! ! !

Compliments of the Marsport

Chamber of Commerce &

Booster Club

He skimmed the table of contents: What to See – Where to Eat – And Now to Sleep – “When in Rome-” – In Ancient Times – Souvenirs? of course – Business Opportunities – Facts & Figures about Marsport, Fastest Growing City in the System.

The inside, he found, contained more advertising space than copy. None of the pictures were stereo. Still, it was free; he stuck it in his pouch. They had not gotten more than ten steps away when the clerk suddenly called out, “Hey! Madam! Just a moment, please-comeback!”

Hazel turned around and advanced on him, her mouth set grimly. “What’s biting you, bub?” He pointed to her holster. “That gun. You can’t wear that – not in the city limits.”

“I can’t, eh?” She drew it, opened the charge chamber, and offered it to him with a sudden grin. “Have a cough drop?”

A very pleasant lady at the Travellers’ Aid desk, after determining that they really did not want to rent an ancient Martian tower believed to be at least a million years old but sealed and airconditioned nevertheless, made out for them a list of housekeeping apartments for rent. Hazel had vetoed going to any of the tourist hotels even for one night, after telephoning three and getting their rates. They tramped through a large part of the city, searching. There was no public transit system; many of the inhabitants used powered roller skates, most of them walked. The city was laid out in an oblong checkerboard with the main streets parallel to the canal. Except for a few remaining pressurised domes in ‘Old Town’ the buildings were all one-storey prefabricated boxlike structures without eaves or windows, all of depressing monotony.

The first apartment turned out to be two little stalls in the back of a private home – share refresher with family. The second was large enough but was in sniffing range of a large plastics plant; one of its exhalations seemed to be butyl mersaptan though Hazel insisted it put her more in mind of a dead goat The third – but none of them approached the standard of comfort they had enjoyed on the Moon, nor even that of the Rolling Stone.

Hazel came out of the last one they had jooked at, jumped back suddenly to keep from being run over by a delivery boy pulling a large hand truck, caught her breath and said, “What’ll it be, children? Pitch a tent, or go back up to the Stone?

Pollux protested, “But we can’t do that We’ve got to sell our bicycles.”

“Shut up, Junior,” his brother told him ‘Hazel, I thought there was one more? “Casa” something?”

“Casa Mañana Apartments, way out south along the canal – and likely no better than the rest Okay, troops, mush on!”

The buildings thinned out and they saw some of the heliotropic Martian vegetation, spreading greedy hands to the Sun. Lowell began to complain at the walk. “Carry me, Grandma Hazel!”

“Nothing doing, pet,” she said emphatically, “your legs are younger than mine.” Meade stopped. “My feet hurt, too.”

“Nonsense! This is just a shade over one-third gravity.”

“Maybe so, but it’s twice what it is back home and we’ve been in free fall for half a year and more. Is it much farther?” “Sissy!”

The twins’ feet hurt, too, but they would not admit it They alternated taking Buster piggy-back the rest of the way. Casa Mañana turned out to be quite new and, by their suddenly altered standards, acceptable. The walls were of compacted sand, doubled against the bitter nights; the roof was of sheet metal sandwich with glass-wool core for insulation. It was a long, low building which made Hazel think of chicken coops but she kept the thought to herself. It had no windows but there were sufficient glow tubes and passable air ducting.

The apartment which the owner and manager showed to them consisted of two tiny cubicles, a refresher, and a general room. Hazel looked them over. “Mr. d’Avril, don’t you have something a bit larger?”

“Well, yes, ma’am, I do – but I hate to rent larger ones to such a small family with the tourist season just opening up: I’ll bring in a cot for the youngster.”

She explained that two more adults would be coming. He considered this. “You dbn’t know how long the War God will be quarantined? “Not the slightest”

“Then why don’t we play that hand after it’s dealt? We’ll accomodate you somehow; that’s a promise.” Hazel decided to close the deal; her feet were killing her. “How much?”

“Four hundred and fifty a month – four and a quarter if you take a lease for the whole season.”

At first Hazel was too surprised to protest She had not inquired rents at the other places since she had not considered renting them. “Pounds or credits?” she said feebly.

“Why, pounds, of course.”

“See here, I don’t want to buy this du – this place. I just want to use it for a while.”

Mr. d’Avril looked hurt. “You needn’t do either one, ma’am. With ships arriving every day now I’ll have my pick of tenants. My prices are considered very reasonable. The Property Owner’s Association has tried to get me to up ’em – and that’s a fact”

Hazel dug into her memory to recall how to compare a hotel price with a monthly rental – add a zero to the daily rate; that was it Why, the man  must be telling the truth! – if the hotel rates she had gotten were any guide. She shook her head. “I’m just a country girl, Mr. d’Avril. How much did this place cost to build?”

Again he looked hurt ‘You’re not looking at it properly, ma’am. Every so often we have a big load of tourists dumped on us. They stay awhile, then they go away and we have no rent coming in at all. And you’d be surprised how these cold nights nibble away at a house. We can’t build the way the Martians could.”

Hazel gave up. “Is that season discount you mentioned good from now to Venus departure?”

“Sorry, ma’am. It has to be the whole season.” The next favorable time to shape an orbit for Venus was ninety-six Earth-standard days away – ninety-four Mars days – whereas the ‘whole season’ ran for the next fifteen months, more than half a Martian year before Earth and Mars would again be in a position to permit a minimum-fuel orbit.

“We’ll take it by the month. May I borrow your stylus? I don’t have that much cash on me.”

Hazel felt better after dinner. The Sun was down and the night would soon be too bitter for any human not in a heated suit, but inside Casa  Mañana it was cozy, even though cramped. Mr. d’Avril, for an extra charge only mildly extortionate, had consented to plug in television for them and Hazel was enjoying for the first time in months one of her own shows. She noted that they had rewritten it in New York, as usual, and, again as usual, she found the changes no improvement. But she could recognise some of the dialogue and most of the story line.

That Galactic Overlord – he was a baddy, he was! Maybe she should kill him off again.

They could try to find a cheaper place tomorrow. At least as long as the show kept up its audience rating the family wouldn’t starve, but she hated to think of Roger’s face when he heard what rent he was paying. Mars! All right to visit, maybe, but no place to live. She frowned.

The twins were whispering in their own cubicle about some involved financial dealing; Meade was knitting quietly and watching the screen. She caught Hazel’s expression. “What were you thinking about, Grandmother?”

I know what she’s thinking about!” announced Lowell.

“If you do, keep it to yourself. Nothing much, Meade – that pipsqueak clerk. Imagine the nerve of him, saying I couldn’t pack a gun!”

  • – FREE ENTERPRISE

The twins started out to storm the marts of trade next morning after breakfast Hazel cautioned them. “Be back in time for dinner. And try not to commit any capital crimes.”

“What are they here?”

“Um, let me see. Abandonment without shelter. . . pollution of the water supply . . . violation of treaty regulations with the natives – I think. that’s about all.”

“Murder?”

“Killing is largely a civil matter here – but they stick you for the prospective earnings of your victim for whatever his life expectancy was. Expensive. Very expensive, if the prices we’ve run into are any guide. Probably leave you indentured the rest of your life.”

“Hmm – We’ll be careful. Take note of that, Pol. Don’t kill anybody.” “You take note of it. You’re the one with the bad temper.”

“Back sharp at six, boys. Have you adjusted your watches?”

“Pol slowed his down; I’m leaving mine on Greenwich rate.” “Sensible.”

“Pol!” put in Lowell. “Cas! Take me along!” “Can’t. do it, sprout. Business.”

“Take me! I want to see a Martian. Grandma Hazel, when am I going to. see a Martian?”

She hesitated. Ever since an unfortunate but instructive incident forty years earlier a prime purpose of the planetary government had been to  keep humans as far away from the true Martians as possible – tourists most especially. Lowell had less chance of getting his wish than a European child visiting Manhattan would have of seeing an American Indian. “Well, Lowell, it’s like this -The twins left hastily, not wishing to be drawn into what was sure to be a fruitless debate.

They soon found the street catering to the needs of prospectors. They picked a medium-sized shop displaying the sign of Angelo & Sons, Ltd., General Outfitters, which promised ‘Bed-rolls, Geiger Counters, Sand Cycles, Assaying Service, Black-Light Lamps, Firearms, Hardware- Ironmongery – Ask for It; We’ve Got It or Can Get It’.

Inside they found a single shopkeeper leaning against a counter while picking his teeth and playing with something that moved on the counter top. Pollux glanced curiously at it; aside from the fact that it was covered with fur and seemed to be roughly circular, he could not make out what it was. Some sort of Martian dingus probably. He would investigate later – business first.

The shopkeeper straightened up and remarked with professional cheer, “Good morning, gentlemen. Welcome to Mars.” “How did you know?” asked Castor.

“Know what?”

“That we had just gotten here.”

“Eh? That’s hard to say. You’ve still got some free fall in your walk and – oh, I don’t know. Little things that add up automatically. You get to know.”

Pollux shot Castor a glance of warning; Castor nodded. This man’s ancestors, he realised subconsciously, had plied the Mediterranean, sizing up customers, buying cheap and selling dear. “You’re Mr. Angelo?”

“I’m Tony Angelo. Which one did you want?”

“Uh, no one in particular, Mr. Angelo. We were just looking around.” “Help yourselves. Looking for souvenirs?”

“Well, maybe.”

“How about this?” Mr. Angelo reached into a box behind him and pulled out a battered face mask. “A sandstorm mask with the lenses pitted by the sands of Mars. You can hang it up in your parlor and tell a real thiller about how it got that way and how lucky you are to be alive. It won’t add much to your baggage weight allowance and I can let you have it cheap – I’d have to replace the lenses before I could sell it to the trade.”

Pollux was beginning to prowl the stock, edging towards the bicycles; Castor decided that he should keep Mr. Angelo engaged while his brother picked up a few facts, “Well, I don’t know,” he replied. “I wouldn’t want to tell a string of lies about it”

“Not Lies, just creative storytelling. After all, it could have happened – it did happen to the chap that wore it; I know him. But never mind.” He put the mask back. “I’ve got some honest-to-goodness Martian gems, only K’Raath HimseIf knows how old – but they are very expensive. And I’ve got some others that can’t be told from the real ones except in a laboratory under polarised light; they come from New Jersey and aren’t expensive at all. What’s your pleasure?”

“Well, I don’t know,” Castor repeated, “Say Mr. Angelo, what is this? At first I thought it was a fur cap; now I see its alive” Castor pointed to the furry heap on the counter. It was slowly slithering toward the edge.

The shopkeeper reached out and headed it back to the middle. “That? That’s a “flat cat”.” “”Flat cat?”“

“It has a Latin name but I never bothered to learn it.” Angelo tickled it with a forefinger; it began to purr like a high-pitched buzzer. It had no discernible features, being merely a pie-shaped mass of sleek red fur a little darker than Castor’s own hair. “They’re affectionate little things and many of the sand rats keep them for pets – a man has to have someone to talk to when he’s out prospecting and a flat cat is better than a wife because it can’t talk back. It just purrs and snuggles up to you. Pick it up.”

Castor did so, trying not seem gingerly about it The flat cat promptly plastered itself to Castor’s shirt, fattened its shape a little to fit better the crook of the boy’s arm, and changed its purr to a low throbbing which Castor could feel vibrate in his chest. He looked down and three beady little eyes stared trust-fully back up at him, then closed and disappeared completely. A little sigh interrupted the purrs and the creature snuggled closer.

Castor chuckled ‘It is like a cat, isn’t it? “Except that it doesn’t scratch. Want to buy it?”

Castor hesitated. He found himself thinking of Lowell’s anxiety to see a ‘real Martian’. Well, this was a ‘Martian’, wasn’t it? A sort of a Martian. “I wouldn’t know how to take care of it”

“No trouble at all. In the first place they’re cleanly little heasties – no problem that way. And they’ll eat anything; they love garbage. Feed it every week or so and let it have all the water it will take every month or six weeks – it doesn’t matter really; if it isn’t fed or watered it just slows down until it is. Doesn’t hurt a bit And you don’t even have see that it keeps warm. Let me show you.” He reached out and took the flat cat back, jiggled it in his hand. It promptly curled up into a ball.

“See that? Like everything else on Mars, it can wrap itself up when the weather is bad. A real survivor type.” The shopkeeper started to mention another of its survival characteristics, then decided it had no bearing on the transaction. “How about it? I’ll make you a good price.”

Castor decided that Lowell would love it – and besides, it was a legitimate business expense, chargeable to good will. “How much?”

Angelo hesitated, trying to estimate what the traffic would bear, since a flat cat on Mars had roughly the cash value of still another kitten on a Missouri farm. Still, the boys must be rich or they wouldn’t be here – just in and with spending money burning holes in their pockets, no doubt Business had been terrible lately anyhow. “A pound and a half,” he said firmly.

Castor was surprised at how reasonable the price was. “That seems like quite a lot,” he said automatically. Angelo shrugged. “It likes you. Suppose we say a pound?”

Castor was again surprised, this time at the speed and the size of the mark-down. “I don’t know,” he murmured. “Well. . . ten per cent off for cash.”

Out of the corner of his eye Castor could see that Pollux had finished inspecting the rack of bicycles and was coming back. He decided to clear the decks and establish that good will, if possible, before Pol got down to business. “Done.” He fished out a pound note, received his change, and picked up the flat cat ‘Come to papa, Fuzzy Britches.” Fuzzy Britches came to papa, snuggled up and purred.

Pollux came back, stared at the junior Martian. “What in the world?” “Meet the newest member of the family. We just bought a flat cat”

“We?” Pollux started to protest that it was no folly of his, but caught the warning in Castor’s eye in time. “Uh, Mr. Angelo, I don’t see any prices marked?”

The shopkeeper nodded. “That’s right The sand rats like to haggle and we accommodate them. It comes to the same thing in the long run. We always settle at list: they know it and we know it, but it’s part of their social life. A prospector doesn’t get much.”

“That Raleigh Special over there – what’s the list on it?” Pollux had picked it because it looked very much like the sand-cycle their father had delivered for them to Captain Vandenbergh when he had gone into quarantine.

“You. want to buy that bike?”

Castor shook his head a sixteenth of an inch; Pollux answered, “Well, no, I was just pricing it. I couldn’t take it Sunside. you know.”

“Well, seeing that there are no regular customers around, I’ll tell you. List is three hundred and seventy-five – and a bargain!”

“Whew! That seems high.”

“A bargain. She’s a real beauty. Try any of the other dealers.”

“Mr. Angelo,” Castor said carefully, “suppose I offered to sell you one just like it, not new but reconditioned as good as new and looking new, for just half that?”

“Eh? I’d probably say you were crazy”

“I mean it I’ve got it to sell. You might as well have the benefit of the low price as one of your competitors, I’m not going to offer it retail; this is for dealers.”

“Mmm. . . you didn’t come in here to buy souvenirs, did you?” “No, sir.”

“If you had come to me with that proposition four months ago, and could have backed it up, I’d have jumped at it. Now. . . well, no.” “Why not? it’s a good bike I’m offering you. A real bargain.”

“I’m not disputing it.” He reached out and stroked the flat cat. “Shucks, it can’t hurt anything to tell you why. Come along.”

He led them into the rear, past shelves crammed with merchandise, and on out behind the store. He waved a hand at stacks of merchandise that looked all too familiar. “See that? Second-hand bikes. That shed back there is stuffed with ’em; that’s why I’ve got these stored in the open.”

Castor tried to keep surprise and dismay out of his voice. “So you’ve got secoud-hand bikes,” he said, “all beat-up and sand pitted. I’ve got second-hand bikes that look like new and will wear like new – and I can sell them cheaper than you can sell these, a lot cheaper. Don’t you want to bid on them, at least?”

Angelo shook his head. “Brother, I admit that I didn’t take you for a jobber. But I have bad news for you. You can’t sell them to me; you can’t sell them to my competitors; you can’t sell them anywhere.”

“Why not?”

“Because there aren’t any retail customers.” “Huh?”

“Haven’t you heard of the Hallelujah Node? Didn’t you notice I didn’t have any customers? Three fourths of the sand rats on Mars are swarming into town – but they’re not buying, leastwise not bicycles. They’re stocking up for the Asteroids and kicking in together to charter ships. That’s why I have used bikes; I had to take them back on chattel mortgages -and that’s why you can’t sell bikes. Sorry – I’d like to do business with you.”

The twins had heard of the Hallelujah, all right – the news bad reached them in space: a strike of both uranium and core metal out in the Asteroids. But they had given it only intellectual attention, the Asteroids no longer figuring into their plans.

“Two of my brothers have already gone,” Angelo went on, “and I might give it a whirl myself if I weren’t stuck with the store. But I’d close and reopen as strictly a tourist trap if I could unload my present stock. That’s how bad things are.”

They crept out into the street as soon as they could do so gracefully. Pollux looked at Castor. “Want to buy a bicycle, sucker?” “Thanks, I’ve got one. Want to buy a flat cat?”

“Not likely. Say, let’s go over to the receiving dock. If any tourists are coming in, we might find another sucker to unload that thing on. We might even show a small profit – on flat cats, that is.”

“No, you don’t. Fuzzy Britches is for Buster – that’s settled. But let’s go over anyway; our bikes might be down.” “Who Ceres?”

“I do. Even if we can’t sell them, we can ride a couple of them. My feet hurt.”

Their shipment was not yet down from Phobos but it was expected about an hour hence. They stopped in the Old Southern Dining Room & Soda Fountain across from the Hall of Welcome. There they nursed sodas, petted Fuzzy Britches, and considered their troubles. “I don’t mind losing the money so much –“ Castor started in.

“I do!”

“Well, so do I. But what really hurts is the way Dad will laugh when he finds out. And what he’ll say.” “Not to mention Hazel.”

“Yes, Hazel. Junior, weve just got to figure out some way of picking up some money before we have to tell them.”

“With what? Our capital is gone. And Dad wouldn’t let us touch any more of our money even if he were here – which he isn’t.” “Then it has to be a way without capital.”

“Not many. Not for real money.”

“Hazel makes plenty credits without capital.”

“You aren’t suggesting that we write a television serial?” Pollux sounded almost shocked. “Of course not. We don’t have a customer for one. But there must be a way. Start thinking.”

After a glum silence Pollux said, “Grandpa, did you notice that announcement in the Hall of Welcome of the Mars chess championship matches next month?”

“No. Why?”

“People bet on ’em here – same as race horses Earthside.” “I don’t like bets. You can lose.”

“Sometimes. But suppose we entered Buster?”

“Huh? Are you crazy? Enter him against the best players on Mars?”

“Why not? Hazel used to be Luna champion, but Buster beats her regularly.” “But you know why. He reads her mind.”

“That’s precisely what I am talking about”

Castor shook his head. “It wouldn’t be honest, Junior.” “Since when did they pass a law against telepathy?”

“Anyhow you don’t know for certain that he does read her mind. And you don’t know that he could read a stranger’s mind. And it would take plenty cash to set up a good bet – which we haven’t got. And besides, we might lose.

“Okay, okay, it was just a thought You produce one.”

Castor frowned. “I don’t have one. Let’s go back over and see if our bikes are in. If they are, let’s treat ourselves to a day off and go sightseeing. We might as well get some use out of those bikes; they cost us enough.” He stood up.

Pollux sat still and stared at his glass. Castor added, “Come on.” Pollux said, “Sit down, Grandpa. I think I’m getting an idea.” “Don’t frighten it”

“Quiet.” Presently Pollux said, “Grandpa, you and I have just arrived here. We want to go sightseeing – so we immediately think of our bikes. Why wouldn’t tourists like to do the same thing – and pay for it?”

“Huh?” Castor thought about it ‘There must be some catch in it – or somebody would have done it long before this.”

“Not necessarily. it has only been the past few years that you could get a tourist visa to Mars; you came as a colonist or you didn’t come at all. I’d guess that nobody has thought of shipping bikes to Mars for tourists. Bikes cost plenty and they have been imported just for prospectors – for work, because a sand rat could cover four or five times as much territory on a sand cycle as on foot I’ll bet nobody here has ever thought of them for pleasure.”

“What do you want us to do? Paint a sign and then stand under it, shouting, “Bicycles! Get your bicycle here! You can’t see the sights of Mars without a bicycle”.”

Pollux thought it over. “We could do worse. But we would do better to try to sell somebody else on it, somebody who has the means to get it going. Shucks, we couldn’t even rent a lot for our bike stand.”

“There’s the soft point in the whole deal. We tell somebody and what does he do? He doesn’t buy our bikes; he goes to Tony Angelo and makes a deal with him to put Angelo’s bikes to work, at a lower cost.”

“Use your head, Grandpa. Angelo and the other dealers won’t rent their new machines to tourists; they cost too much. And tourists won’t rent that junk Angelo has in his back lot, they’re in a holiday mood; they’ll go for something new and shiny and cheerful. And for rental purposes. Remember, our bikes aren’t just practically new; they are new. Anybody who rents anything knows it has been used before; he’s satisfied if it looks new.

Castor stood up again. “Okay, you’ve sold me. Now let’s see if you can sell it to somebody else. Pick a victim.”

“Sit down; what’s your hurry? Our benefactor is probably right under this roof.” “Huh?”

“What’s the first thing a tourist sees when he first comes out of the Hall of Welcome? The Old Southern Dining Room, that’s what. The bike stand ought to be right out in front of this restaurant”

“Let’s find the owner.”

Joe Pappalopoulis was in the kitchen; he came out wiping his hands on his apron. “What’s the matter, boys? You don’t like your soda? “Oh, the sodas were swell! Look, Mr. Pappalopoulis, can you spare us a few minutes?”

“Call me “Poppa”; you wear yourself out. Sure.”

“Thanks. I’m Cas Stone; this is my brother Pol. We live on Luna and we came in with a load you might be interested in.” “You got a load of imported food? I don’t use much. Just coffee and some flavors.”

“No, no, not food. How would you like to add a new line that would fit right in with your restaurant business? Twice as much volume and only one overhead.”

The owner took out a knife and began to pare his nails. “Keep talking.”

Pollux took over, explained his scheme with infectious enthusiasm. Pappalopoulis looked up from time to time, said nothing. When Pollux seemed to be slowing down Castor took over; ‘Besides renting them by the hour, day, or week, you set up sightseeing tours and charge extra for those.”

“The guides don’t cost you any salary; you make ’em pay for the concession and then allow them a percentage of the guide fee.” “They rent their own bikes from you, too.”

“No overhead; you’ve already got the best spot in town. You just arrange to be out in front every time a shuttle comes down and maybe pay one of your guides a commission on rentals he makes to watch the stand in between times.”

“But the best deal is the long-term lease. A tourist uses a bike one day; you point out to him how cheap he can get it for the full time of his stay and you get the full price of the bike back in one season. From then on you’re operating on other people’s money.

The restaurateur put his knife away and said, “Tony Angelo is a good businessman. Why don’t I buy second hand bikes from him- cheap?

Castor took the plunge. “Go look at his bikes. Just look at them, sand pits and worn-out tires and all. Then we’ll meet his price – with better bikes.” “Any price he names?”

“Any firm price, not a phony. If his price is really low, we’ll buy his bikes ourselves.” Pollux looked a warning but Castor ignored it ‘We can undersell any legitimate price he can afford to make – with better merchandise. Let’s go see his bikes.”

Pappalopoulis stood up. “I’ve seen bikes in from the desert We go see yours.”

“They may not be down yet.” But they were down. Joe Poppa looked them over without expression, but the twins were very glad of the hours they had spent making them brave with paint, gaudy with stripes, polish and new decals.

Castor picked out three he knew to be in tiptop shape and said, “How about a ride? I’d like to do some sightseeing myself – free. Pappalopoulis smiled for the first time. “Why not?”

They rode north along the canal clear to the power pile station, then back to the city, skirted it, and right down Clarke Boulevard to the Hall of Welcome and the Old Southern Dining Room. After they had dismounted and returned the vehicles to the pile. Castor signaled Pollux and waited silently.

The cafe owner said nothing for several moments. At last he said, “Nice ride, boys. Thanks.” “Don’t mention it”

He stared at the heap of bikes. “How much?”

Castor named a price. Pappalopoulis shook his head sadly, “That’s a lot of money.”

Before Pollux could name a lower price Castor said, “Make it easy on yourself. We’d rather be cut in on the gravy but we thought you might prefer to own them yourselves. So let’s make it a partnership; you run the business, we put up the bikes. Even split on the gross and you absorb the overhead. Fair enough?”

Pappalopoulis reached over and stroked the flat cat ‘Partnerships make quarrels,” he said thoughtfully.

“Have it your own way,” Castor answered. “Five per cent for cash.

Pappalopoulis pulled out a roll that would have choked a medium-large Venerian sand hog. “I buy ’em.”

The twins spent the afternoon exploring the city on foot and looking for presents for the rest of the family. When they started home their way led them back through the square between the receiving station and Poppa’s restaurant The sign now read:

THE OLD SOUTHERN DINING ROOM AND

TOURIST BUREAU

Sodas Souvenirs Candy Sightseeing Trips BICYCLES RENTED

Guide Service

See the Ancient Martian Ruins!!!

Pollux looked at it. “He’s a fast operator, all right. Maybe you should have insisted on a partnership.” “Don’t be greedy. We turned a profit, didn’t we?”

“I told you we would. Well, let’s get Fuzzy Britches home to Buster.”

VI               – CAVEAT VENDOR

Fuzzy Britches was not an immediate success with Lowell. “Where its legs?” he said darkly. “If it’s a Martian, it ought to have three legs.” “Well,” argued Castor, “some Martians don’t have legs.”

“Prove it!”

“This one doesn’t That proves it”

Meade picked Fuzzy Britches up; it immediately began to buzz – whereupon Lowell demanded to hold it Meade passed it over. “I don’t see,” she remarked, “why anything as helpless as that would have such bright colors.”

“Think again, honey lamb,” advised Hazel. “Put that thing out on the desert sand and you would lose it at ten feet, Which might be a good idea.” “No!” answered Lowell.

“”No” what, dear?”

“Don’t you lose Fuzzy Britches. He’s mine.” The child left carrying the flat cat and cooing a lullaby to it. Fuzzy Britches might lack legs but it knew how to win friends; anyone who picked it up hated to put it down. There was something intensely satisfying about petting the furry thing. Hazel tried to analyse it but could not.

No one knew when the quarantine of the War God would be lifted. Therefore Meade was much surprised one morning to return to Casa Mañana and fined her father in the general room. “Daddy!” she yelled, swarming over him. “When did you get down?.

“Just now.” “Mummy, too?”

“Yes. She’s in the ‘fresher.”

Lowell stood in the doorway, watching them impassively. Roger Stone loosed himself from his daughter and said, “Good morning, Buster.” “Good morning, Daddy. This is Fuzzy Britches. He’s a Martian. He’s also a flat cat.”

“Glad to know you, Fuzzy Britches. Did you say “flat cat”?” “Yes.”

“Very well. But it looks more like a wig.”

Dr. Stone entered, was subjected to the same treatment by Meade, then turned to Lowell. He permitted her to kiss him, then said, “Mama, this is Fuzzy Britches. Say hello to him.”

“How do you do, Fuzzy Britches? Meade, where are your brothers? And your grandmother?” Meade looked upset. “I was afraid you would get around to that. The twins are in jail again.” Roger Stone groaned. “Oh, no, not again! Edith, we should have stayed on Phobos.”

“Yes, dear.”

“Well, let’s face it What is the charge this time, Meade?” “Fraud and conspiring to evade the customs duties.”

“I feel better. The last time but one, you’ll remember, it was experimenting with atomics inside the city limits and without license. But why aren’t they out on bail? Or is there some-thing worse you haven’t told us?”

“No, it’s just that the court has tied up their bank account and Hazel wouldn’t get them bond. She said they were safer where they were.” “Good for Hazel!”

“Daddy, if we hurry we can get back downtown for the hearing. I’ll tell you and Mummy about it on the way.”

The ‘fraud’ part of it came from Mr. Pappalopoulis; the rest of it came straight from the planetary government. Mars, being in a state of expanding economy, just beginning to be self-supporting and only recently of declared sovereignty, had a strongly selective tariff. Being forced to import much and having comparatively little to export which could not be had cheaper Earthside, all her economic statutes and regulations were bent toward relieving her chronic credit gap; Articles not produced on Mars but needed for her economy came in duty free; articles of luxury or pleasure carried

very high rates; articles manufactured on Mars were completely protected by embargo against outside competition.

Bicycles were classed by the Import Commission as duty free since they were necessary to prospecting – but bicycles used for pleasure became ‘luxury items’. The customs authorities had gotten around to noticing the final disposition of the cargo of the Rolling Stone. “Of courss somebody  put them up to it,” continued Meade, “but Mr. Angelo swears he didn’t do it and I believe him. He’s nice.”

“That’s clear enough. What’s the fraud angle?”

“Oh, that!” The bicycles had at once been impounded for unpaid duty penalties and costs whereupon their new owner had sworn an information charging fraud. “He’s getting a civil suit, too, but I think Hazel has it under control. Mr. Poppa says he just wants his bicycles back; he’s losing business. He’s not mad at anybody.”

“I would be,” Roger Stone answered grimly. “I intend to skin those two boys with a dull knife. What makes Hazel think she can square Mr. Pappa- et-cetera? Just what, I’d like to know?”

“She got a temporary court order freeing the bicycles to Mr. Poppa pending the outcome of the hearing; she had to put up a delivery bond on the bicycles. So Mr. Poppa dropped the fraud matter and is waiting on the civil suit to see if he’s hurt”

“Hmm – My bank account feels a little better anyway. Well, dear, we might as well go down and get it over with. There doesn’t seem to be anything here that a long check book can’t cover.”

“Yes, dear.”

“Remind me to buy a pair of Oregon boots on the way home. Meade, how much is this tariff?” “Forty per cent.”

“Not too bad. They probably made more profit than that”

“But that’s not all, Daddy. Forty per cent, plus another forty per cent penalty – plus confiscation of the bicycles.” “Plus two weeks in pillory, I hope?”

“Don’t do anything hasty, Daddy. Hazel is arguing the case.” “Since when was she admitted to the bar?”

“I don’t know, but it seems to be all right She got that court order.”

“Dear,” said Dr. Stone, “Shouldn’t the boys have a regular lawyer? Your mother is a wonderful person, but she is sometimes just a bit impetuous.” “If you mean she’s as crazy as a skew orbit, I agree with you. But I’m betting on Hazel anyhow. We’ll let her have her turn at the board. It probably

won’t cost me much more.”

“As you say, dear.”

They slipped into the back of the courtroom, which appeaed to be a church on some other days. Hazel was up front, talking to the judge. She saw them come in but did not appear to recognise them. The twins, looking very sober, were sitting together near the bench; they recognised their parents but took their cue from their grandmother.

“May it please the court,” said Hazel, “I am a stranger here in a strange land I am not skilled in your laws nor sophisticate in your customs. If I err, I pray the court to forgive me in advance and help me back to the proper path.”

The judge leaned back and looked at her. “We were over all that earlier this morning.” “Sure, judge, but it looks good in the record.”

“Do you expect to get me reversed?”

“Oh, no! We’ll settle the whole thing right here and now, I’d guess.”

“I wouldn’t venture to guess. I told you this morning that I would advise you as to the law, if need be. As to courtroom formalities, this Is a frontier. I can remember the time when, if one of us became involved in a misadventure which caused public disapproval, the matter was settled by calling a town meeting and taking a show of hands – and I’ve no doubt that as much justice was dispensed that way as any other. Times have changed but I don’t think you will find this court much bothered by etiquette. Proceed.”

“Thanks, judge. This young fellow here – “ She hooked a thumb at the prosecutor’s table. “ – would have you believe that my boys cooked up a nefarious scheme to swindle the citizens of this nation out of their rightful and lawful taxes. I deny that. Then he asks you to believe that, having hatched this Machiavellian plot, they carried it through and got away with it, until the hand of justice, slow but sure, descended on them and grabbed them. That’s a pack of nonsense, too.”

“One moment I thought you stipulated this morning to the alleged facts?”

“I admitted that my boys didn’t pay duty on those bikes. I didn’t admit anything else. They didn’t pay duty because nobody asked them to pay.”

“I see your point You’ll have to lay a foundation for that and get it in by proper evidence later. I can see that this is going to be a little involved.”

“It needn’t be, if we’ll all tell the truth and shame the devil.” She paused and looked puzzled. “Warburton . . . Warburton . . .” she said slowly, “Your name is Warburton, Judge? Any kinfolk on Luna?”

The judge squared his shoulders. “I’m a hereditary citizen of the Free State,” he said proudly. “Oscar Warburton was my grandfather.”

“That’s it!” agreed Hazel. “It’s been bothering me all morning but the numbers didn’t click into place until I noticed your profile just now. I knew your, granddaddy well. I’m a Founding Father, too.”

“How’s that? There weren’t any Stones on the roster.” “Hazel Meade Stone.”

“You’re Hazel Meade? But you can’t be!You must be dead!” “Take another look, Judge. I’m Hazel Meade.”

“Well, by the breath of K’Raath! Excuse me, ma’am. We must get together when this is over.” He straightened up again. “In the meantime I trust you realize that this in no way affects the case before us?”

“Oh, naturally not! But I must say it makes me feel better to know who’s sitting on this case. Your granddaddy was a just man.” “Thank you. And now shall we proceed?”

The young prosecutor was on his feet. “May it please the court!” “May what. please the court?”

“We feel that this is most irregular. We feel that under the circumstances the only proper procedure is for this court to disqualify itself. We feel -”

“Cut out that “we” stuff, Herbert You’re neither an editor nor a potentate. Motion denied. You know as well as I do that Judge Bonelli is laid up sick. I don’t propose to clutter up the calendar on the spurious – theory that I can’t count fingers in front of my face.” He glanced at the clock. “In fact, unless one of you has new facts to produce – facts, not theories – I’m going to assume that you have both stipulated to the same body of facts. Objection?”

“Okay with me, Judge.”

“No objection,” the prosecutor said wearily.

“You may continue, ma’am. I think we ought to wind this up in about ten minutes, if you both will stick to the subject. Let’s have your theory.” “Yes, your honor. First, I want you to take a look at those two young and innocent lads and see for yourself that they could not be up to anything

criminal.” Castor and Pollux made a mighty effort to look the description; they were not notably successful.

Judge Warburton looked at them and scratched his chin. “That’s a conclusion, ma’am. I can’t see any wings sprouting from here.”

“Forget it, then. They’re a couple of little hellions, both of them. They’ve given me plenty of grief. But this time they didn’t do anything wrong and they deserve a vote of thanks from your chamber of commerce – and from the citizens of Mars Cornmonwealth.

“The first part sounds plausible. The latter part is outside the jurisdiction of this court”

“You’ll see. The key to this case is whether or not a bicycle is a production item, or a luxury. Right?”

“Correct And the distinction depends on the end use of the imported article. Our tariff schedule is flexible in that respect. Shall I cite the pertinent cases?”

“Oh, don’t bother!”

Her son looked her over. “Hazel, it occurs to me that the the end use of sightseeing, that the defendants knew that, that they even suggested that end use and made it part of their sales argument, and that they neglected to inform the buyer of the customs status of the articles in question. Correct?”

“Right to nine decimals, Judge.”

“I’ve not yet gotten a glimpse of your theory. Surely you are not contending that sightseeing is anything but a luxury?” “Oh, it’s a luxury all right!”

“Madam, it seems to me that you are doing your grandsons no good. If you will withdraw, I will appoint counsel.”

“Better ask them, Judge.”

“I intended to.” He looked inquiringly at the twins. “Are you satisfied with your representation?”

Castor caught Pollux’s eye, then answered promptly, “We’re as much in the dark as you are, sir – but we’ll string along with grandmother.” “I admire your courage at least Proceed, ma’am”

“We agreed that sightseeing is a luxury. But “luxury” is a relative term. Luxury for whom? Roast suckling pig is a luxury for you and me-” “It certainly is. I haven’t tasted one on this planet”

“- but it’s an early death for the pig. Will the court take judicial notice of an activity known as “Mars” Invisible Export?”“ “The tourist trade? Certainly, if it’s necessary to your theory.”

“Objection!”

“Just hang on to that objection, Herbert; she may not establish a connection. Proceed.”

“Let’s find out who eats that pig. Your tariff rules, so it has been explained, are to keep citizens of the Commonwealth from wasting valuable foreign exchange on unnecessary frills. You’ve got a credit gap -”

“Regrettably, we have. We don’t propose to increase it”

“That’s my point Who pays the bill? Do you go sightseeing? Does he?” She pointed again at the prosecutor. “Shucks, no! It’s old stuff to both of you. But 1 do – I’m a tourist I rented one of those bicycles not a week ago – and helped close your credit gap. Your honor, we contend that the renting of bicycles to tourists, albeit a luxury to the tourist, is a productive activity for export to the unmixed benefit of every citizen of the Commonwealth and that therefore those bicycles are “articles of production” within the meaning and intent of your tariff laws!”

“Finished?” She nodded. “Herbert?”

“Your honor, this is ridiculous! The prosecution has clearly established its case and the defense does not even dare to dispute it I have never heard a more outlandish mixture of special pleading and distortion of the facts. But I am sure the facts are clear to the court. The end use is sightseeing, which the defence agrees is a luxury. Now a luxury is a luxury -,

“Not to the pig, son.”

“.”The pig?” What pig? There are no pigs in this case; there isn’t a pig on Mars. If we -” “Herbert! Have you anything to add?”

“I – “ The young prosecutor slumped. “Sorry Dad, I got excited. We rest.”

The judge turned to Hazel. “He a good boy, but he’s impetuous – like yours. I’ll make a lawyer out of him yet.” He straightened up. “And the court rests – ten minutes out for a pipe. Don’t go away.” He ducked out

The twins whispered and fidgeted; Hazel caught the eyes of her son and daughter-in-law and gave them a solemn wink. Judge Warburton returned in less than ten minutes and the bailiff shouted for order. The judge stared at the prisoners. “The court rules,” he said solemnly, “that the bicycles in question are “articles of production” within the meaning of the tariff code. The prisoners are acquitted and discharged. The clerk will release the delivery bond.”

There was very scattered applause, led by Hazel. “No demonstrations!” the judge said sharply. He looked again at the twins. “You’re extremely lucky – you know that, don’t you?”

“Yessir!”

“Then get out of my sight and try to stay out of trouble.”

Dinner was a happy family reunion despite the slight cloud that still hung over the twins. It was also quite good, Dr. Stone having quietly taken  over the cooking. Captain Vandenbergh, down on the same shuttle, joined them for dinner. By disconnecting the TV receiver and placing it temporarily on Meade’s bunk and by leaving open the door to the twins’ cubicle so that Captain Vandenbergh’s chair could be backed into the door frame, it was just possible for all of them to sit down at once. Fuzzy Britches sat in Lowell’s lap; up till now the flat cat had had its own chair.

Roger Stone tried to push back his chair to make more room for his knees, found himself chock-a-block against the wall ‘Edith, we will just have to get a larger place.”

“Yes, dear. Hazel and I spoke to the landlord this afternoon.” “What did he say?”

Hazel took over. “I’m going to cut his gizaard out I reminded him that he had promised to take care of us when you two got down. He looked saintly and pointed out that he had given us two more cots. Lowell, quit feeding that mop with your own spoon!”

“Yes, Grandma Hazel. May I borrow yours?”

“No. But he did say that we could have the flat the Burkhardts are in, come Venus depasture. It has one more cubicle.”

“Better,” agreed Roger Stone, “but hardly a ballroom – and Venus departure is still three weeks away. Edith, we should have kept our nice room in the War God. How about it, Van? Want some house guests? Until you blast for Venus, that is?”

“Certainly.”

“Daddy! You wouldn’t go away again? I’m joking, snub nose.”

“I wasn’t” answered the liner’s captain. “Until Venus departure – or all the way to Venus and then back to Luna, if you choose. I got official approval of my recommendation this afternoon; you two can drag free in the War God until death or decommission do you past How about it? Come on to Venus with me?”

“We’ve been to Venus,” announced Meade. “Gloomy place.”

“Whether they take you up or not,” Hazel commented, “that’s quite a concession to get out of Four-Planets. Ordinarily that bunch of highbinders wouldn’t give away a bucketful of space.”

“They were afraid of the award an admiralty court might hand out.” Vandenbergh said drily. “Speaking of courts, I understand you put in a brilliant defence today, Hazel. Are you a lawyer, along with your other accomplishments?”

“No,” answered her son, “but she’s a fast talker.” “Who’s not a lawyer?”

“You aren’t”

“of course I am!”

“When and where? Be specific.”

“Years and years ago, back in Idaho – before you were born. I just never got around to mentioning it” Her son looked her over. “Hazel, it occurs to me that the records in Idaho are conveniently far away.” “None of your sass, boy. Anyway, the courthouse burned down.”

“I thought as much”

“In any case,” Vandenbergh put in soothingly, “Hazel got the boys off. When I heard about it, I expected that they would have to pay the duty at least You young fellows must have made quite a tidy profit”

“We did all right,” Castor admitted. “Nothing spectacular,” Pollux hedged.

“Figure it up,” Hazel said happily, “because I am going to collect a fee from you of exactly two-thirds your net profit for getting your necks out of a bight”

The twins stared at her. “Hazel, you wouldn’t?” Castor said uncertainly. “Wouldn’t I!”

“Don’t tease them, Mother,” Dr. Stone suggested.

“I’m not teasing. I want this to be a lesson to them. Boys, anybody who sits in a game without knowing the house rules is a sucker. Time you knew

it”

Vandenbergh put in smoothly, “It doesn’t matter too much these days when the government -” He stopped suddenly. “What in the world!”  “What’s the matter, Van?” demanded Roger. Vandenbergh’s face cleared and he grinned sheepishly. Nothing. Just your flat cat crawling up my

leg. For a moment I thought I had wandered into your television show.”

Roger Stone shook his head. “Not mine, Hazel’s. And it wouldn’t have been a flat cat; it would have been human gore.”

Captain Vandenbergh picked up Fuzzy Britches, stroked it, then returned it to Lowell “It’s a Martian,” announced Lowell.”

“Yes?”

Hazel caught his attention. “The situation has multifarious ramifications not immediately apparent to the unassisted optic. This immature zygote holds it as the ultimate desideratum to consort with the dominate aborigine of the trifurcate variety. Through a judicious use of benign mendacity, Exhibit “A” performs as a surrogate in spirit if not in letter. Do you dig me, boy?”

Vandenbergh blinked. “I think so. Perhaps it’s just as well. They are certainly engaging little pets – though I wouldn’t have one in any ship of mine. They -”

“She means,” Lowell explained, “that I want to see a Martian with legs. I still do. Do you know one?” Hazel said, “Coach, I tried, but they were too big for me.”

Captain Vandenbergh stared at Lowell. “He’s quite serious about it, isn’t he?” “I’m afraid he is”

He turned to Dr. Stone. “Ma’am, I’ve fair connections around here and these things can always be arranged, in spite of treaties. Of course, there would be a certain element of danger – not much in my opinion.”

Dr. Stone answered, Captain, I have never considered danger to be an evaluating factor.” “Um, no, you wouldn’t, ma’am. Shall I try it?”

“If you would be so kind.”

“It will pay interest on my debt. I’ll let you know.” He dismissed the matter and turned again to the twins. “What profit-tax classification does your enterprise come under?”

“Profit tax?”

“Haven’t you figured it yet?”

“We didn’t know there was one.”

“I can see you haven’t done much importing and exporting, not on Mars anyhow. If you are a Commonwealth citizen, it all goes into income tax, of course. But if you come from out planet, you pay a single-shot tax on each transaction. Better find yourself a tax expert; the formula is somewhat complicated”

“We won’t pay it!” said Pollux.

His father answered quietly, “Haven’t you two been in jail in enough lately?”

Pollux shut up. For the next few minutes they exchanged glances, whispers, and shrugs. Presently Castor stood up. “Dad, Mother – may we be excused?”

“Certainly. If you can manage to squeeze out.” “No dessert, boys?”

“We aren’t very hungry.”

They went into town, to return an hour later not with a tax expert but with a tax guide they had picked up at the Chamber of Commerce. The adults were still seated in the general room, chatting; the table had been folded up to the ceiling. They threaded through the passageway of knees into their cubicle; they could be heard whispering in there from time to time.

Presently they came out. “Excuse us, folks. Uh, Hazel?” “What is it, Cas?”

“You said your fee was two-thirds of our net.”

“Huh? Did your leg come away in my hand, chum? I wouldn’t -”

“Oh, no, we’d rather pay it.” He reached out, dropped half a dozen small coins in her hand ‘There it is.” She looked at it This is two-thirds of all you made on the deal?”

“Of course,” added Pollux, “it wasn’t a total loss. We had the use of the bicycles for a couple of hundred million miles.”

VII            – FLAT CATS FACTORIAL

Vandenbergh made good his offer. Lowell and he went by stratorocket to the treaty town of Richardson, were gone about three days. When Lowell came back he had seen a Martian, he had talked with one. But he had been cautioned not to talk about it and his family could get no coherent account out of him.

But the simple matter of housing was more difficult than the presumably impossible problem of meeting a Martian. Roger Stone had had no luck in finding larger and more comfortable quarters, even after he had resigned himself to fantastic rentals. The town was bursting with tourists and would be until Venus departure, at which time those taking the triangular trip would leave – a majority, in fact. In the meantime they crowded the restaurants, took pictures of everything including each other, and ran their bicycles over the toes of pedestrians. Further packing a city already supersaturated were sand rats in from the desert and trying to arrange some way, any way, to get out to the Hallelujah Node in the Asteroid Belt.

Dr. Stone said one night at dinner, “Roger, tomorrow is rent day. Shall I pay it for a full month? Mr. d’Avril says that the Burkhardts are talking about staying on.”

“Pay it for six days only,” Hazel advised. “We can do better than this after Venus departure – I hope.” Roger Stone looked up and scowled. “Look here, why pay the rent at all?”

“What are you saying, dear?”

“Edith, I’ve been chewing this over in my mind. When we first came here our plans, such as they were, called for living here through one wait.” He referred to the fifteen months elapsed time from arrival Mars to Earth departure from Mars, using the economical orbits. Then we planned to shape orbit home. Fair enough, if this overrated tourist trap had decent housing. But I haven’t been able to start writing my book. When Buster isn’t climbing into my lap, his pet is slithering down the back of my neck.”

“What do you suggest, dear?”

“Go to Phobos tomorrow, get the old Rock ready to go, and blast for Venus when the others do.” “Loud cheers!” agreed Meade. “Let’s go!”

Dr. Stone said, “Meade, I thought you didn’t like Venus?”

“I don’t. But I don’t like it here and I’m tired all the time. I’d like to get back into free fall.” “You shouldn’t be tired. Perhaps I had better check you over.”

“Oh, Mother, I’m perfectly well! I don’t want to be poked at.” Lowell grinned. “I know why she wants to go to Venus – Mr. Magill.”

“Don’t be a snoop, Snoop!” Meade went on with quiet dignity. “In case anyone is interested, I am not interested in Second Officer Magill – and I wouldn’t be going in the Caravan in any case. Besides, I found out he afready has a wife in Colorado.” Hazel said, “Well, that’s legal. He’s still eligible off Earth,”

“Perhaps it is, but I don’t like it.”

“Neither do I,” Roger Stone cut in. “Meade, you weren’t really getting interested in this wolf in sheep’s clothing, were you?” “Of course not, Daddy!” She added, “But I suppose I’ll get married one of these days.”

“That’s the trouble with girls,” Castor commented. “Give them education – boom! They get married. Wasted.”

Hazel glared at them, “Oh, so? Where would you be if I hadn’t married?”

“It didn’t happen that way,” Roger Stone cut in, “so there is no use talking about other possibilities. They probably aren’t really possibilities at all, if only we understood it”

Pollux: “Predestination.” Castor: “Very shaky theory.”

Roger grinned. “I’m not a determinist and you can’t get my goat. I believe in free will.” Pollux: “Another very shaky theory.”

“Make up your minds,” their father told them. “You can’t have it both ways.”

“Why not?” asked Hazel. “Free will is a golden thread running through the frozen matrix of fixed events.” “Not mathematical,” objected Pollux.

Castor nodded. “Just poetry.”

“And not very good poetry.”

Shut up!” ordered their father. “Boys, it’s quite evident that you have gone to considerable trouble to change the subject. Why?”  The twins swapped glances; Castor got the go-ahead. “Uh, Dad, the way we see it, this Venus proposition hasn’t been thought out” “Go on. I suppose you have an alternative suggestion?”

“Well, yes. But we didn’t mean to bring it up until after Venus departure.”

“I begin to whiff something. What you mean is that you intended to wait until the planetary aspects were wrong – too late to shape orbit for Venus.” “Well, there was no use in letting the matter get cluttered up with a side issue.”

“What matter? Speak up.”

Castor said worriedly, “Look, Dad, we aren’t unreasonable. We can compromise. How about this: you and Mother and Buster and Meade go to Venus in the War God. Captain Van would love to have you do it – you know that. And -”

“Slow up. And what would you be doing? And Hazel? Mother, are you in on this?” “Not that I know of. But I’m getting interested.”

“Castor, what’s on your mind? Speak up.”

Well, I will if you’ll just let me, sir. You and the rest of the family could have a pleasant trip back home – in a luxury liner. Hazel and Pol and I – well, I suppose you know that Mars will be in a favorable position for the Hallelujah Node in about six weeks?”

“For a cometary-type orbit, that is,” Pollux added.

“So it’s the Asteroids again,” their father said slowly. “We settled that about a year ago.” “But we’re a year older now.”

“More experienced.”

“You’re still not old enough for unlimited licenses. I suppose that is why you included your grandmother.” “Oh,no! Hazel is an asset.”

“Thank you, boys.”

“Hazel, you had no inkling of this latest wild scheme?”

“No. But I don’t think it’s so wild. I’m caught up and then some on my episodes – and I’m tired of this place. I’ve seen the Martian ruins; they’re in a poor state of repair. I’ve seen a canal; it has water in it. I understand that the rest of the planet is much the same, right through to chapter eighty- eight. And I’ve seen Venus. I’ve never seen the Asteroids.”

“Right!” agreed Castor. “We don’t like Mars. The place is one big clip joint” “Sharp operators,” added Pollux.

“Sharper than you are, you mean,” said Hazel.

“Never mind, Mother. Boys, it is out of the question. I brought my ship out from Luna; I intend to take her back.” He stood up. “You can give Mr. d’Avril notice, dear.”

“Dad!”

“Yes, Castor?”

“That was just a compromise offer. What we really hoped you would do – what we wanted you to do – was for all of us to go out to the Hallelujah.” “Eh? Why, that’s silly! I’m no meteor miner.”

“You could learn to be. Or you could just go for the ride. And make a profit on it, too.” “Yes? How?”

Castor wet his lips. “The sand rats are offering fabulous prices just for cold-sleep space. We could carry about twenty of them at least And we could put them down on Ceres on the way, let them outfit there’.

“Cas! I suppose you are aware that only seven out of ten cold-sleep passengers arrive alive in a long orbit?”

“Well. . . they know that That’s the risk they are taking.” Roger Stone shook his head. “We aren’t going, so I won’t have to find out if you are as cold-blooded as you sound. Have you ever seen a burial in space?”

“No, sir’.”

“I have. Let’s hear no more about cold-sleep freight.”

Castor passed it to Pollux, who took over: “Dad, if you won’t listen to us all going, do you have any objections to Cas and me going?” “Eh? How ‘do you mean?”

“As Asteroid miners, of course. We’re not afraid of cold-sleep. If we haven’t got a ship, that’s how we would have to go.” “Bravo!” said Hazel. “I’m going with you, boys,”

“Please, Mother!” He turned to his wife. “Edith, I sometimes wonder if we brought the right twins back from the hospital.”

“They may not be yours,” said Hazel, “but they are my grandsons, I’m sure of that. Hallelujah, here I come! Anybody coming with me?” Dr. Stone said quietly, “You know, dear, I don’t much care for Venus, either. And it would give you leisure for your book”

The Rolling Stone shaped orbit from Phobos outward bound for the Asteroids six weeks later. This was no easy lift like the one from Luna to Mars; in choosing to take a ‘cometary’ or fast orbit to the Hallelujah the Stones had perforce to accept an expensive change-of-motion of twelve and a half miles per second for the departure maneuver. A fast orbit differs from a maximum-economy orbit in that it cuts the orbit being abandoned at an angle instead of being smoothly tangent to it. . . much more expensive in reaction mass. The far end of the cometary orbit would be tangent to  the orbit of the Hallelujah; matching at that point would be about the same for either orbit; it was the departure from Phobos-circum-Mars that would be rugged.

The choice of a cometary orbit was not a frivolous one. In the first place, it would have been necessary to wait more than one Earth year for Mars to be in the proper relation, orbit-wise, with the Hallelujah Node for the economical orbit; secondly, the travel time itself would be more than doubled

  • five hundred and eighty days for the economical orbit versus two hundred and sixty-nine days for the cometary orbit (a mere three days longer than the Luna-Mars trip).

Auxiliary tanks for single-H were fitted around the Stones middle, giving her a fat and sloppy appearance, but greatly improving her mass-ratio for the ordeal. Port Pilot Jason Thomas supervised the refitting; the twins helped. Castor got up his nerve to ask Thomas how he had managed to conn the Stone in to a landing on their arrival. “Did you figure a ballistic before you came aboard, sir?”

Thomas put down his welding torch. “A ballistic? Shucks, no, son, I’ve been doing it so long that I know every little bit of space hereabouts by its freckles.”

Which was all the satisfaction Cas could get out of him The twins talked it over and concluded that piloting must be something more than a mathematical science.

In addition to more space for single-H certain modifications were made inside the ship. The weather outside the orbit of Mars is a steady ‘clear but cold’; no longer would they need reflecting foil against the Sun’s rays. Instead one side of the ship was painted with carbon black and the capacity of the air-heating system was increased by two coils. In the control room a time-delay variable-baseline stereoscopic radar was installed by means of which they would be able to see the actual shape of the Hallelujah when they reached it.

All of which was extremely expensive and the Galactic Overlord had to work overtime to pay for it Hazel did not help with the refitting. She stayed in her room and ground out, with Lowell’s critical help, more episodes in the gory but virtuous career of Captain John Sterling – alternating this activity with sending insulting messages and threats of blackmail and/or sit-down strike to her producers back in New York; she wanted an unreasonably large advance and she wanted it right now. She got it, by sending on episodes equal to the advance. She had to write the episodes in advance anyhow; this time the Rolling Stone would be alone, no liners comfortably near by. Once out of radio range of Mars, they would not be

able to contact Earth again until Ceres was in range of the Stones modest equipment. They were not going to Ceres but would be not far away; the Hallelujah was riding almost the same orbit somewhat ahead of that tiny planet.

The boost to a cometary orbit left little margin for cargo but what there was the twins wanted to use, undeterred by their father’s blunt disapproval of the passengers-in-cold-sleep idea. Their next notion was to carry full outfits for themselves for meteor mining – rocket scooter, special suits, emergency shelter, keyed radioactive claiming stakes, centrifuge speegee tester, black lights, Geiger counters, prospecting radar, portable spark spectroscope, and everything else needed to go quietly rock-happy.

Their father said simply, “Your money?” “Of course. And we pay for the boost.”

“Go ahead. Go right ahead. Don’t let me discourage you. Any objections from me would simply confirm your preconceptions.” Castor was baffled by the lack of opposition. “What’s the matter with it, Dad? You worried about the danger involved?”

“Danger? Heavens, no! It’s your privilege to get yourselves killed in your own way. Anyhow, I don’t think you will. You’re young and you’re both

smart, even if you don’t show it sometimes, and you’re both in tiptop physical condition, and I’m sure you’ll know your equipment.”

“Then what is it?”

“Nothing. For myself, I long since came to the firm conclusion that a man can do more productive work, and make more money if this is his object, by sitting down with his hands in his pockets than by any form of physical activity. Do you happen to know the average yearly income of a meteor miner?”

“Well, no, but -”

“Less than six hundred a year.” “But some of them get rich!”

“Sure they do. And some make much less than six hundred a year; that’s an average, including the rich strikes. Just as a matter of curiosity, bearing in mind that most of those miners are experienced and able, what is it that you two expect to bring to this trade that will enable you to raise the yearly average? Speak up; don’t be shy.”

“Doggone it, Dad, what would you ship?”

“Me? Nothing. I have no talent for trade. I’m going out for the ride – and to take a look at the bones of Lucifer. I’m beginning to get interested in planetology. I may do a book about it-”

“What happened to your other book?”

“I hope that isn’t sarcasm, Cas. I expect to have it finished before we get there.” He adjourned the discussion by leaving. The twins turned to leave, found Hazel griamng at them. Castor scowled at her. “What are you smirking at, Hazel?”

“You two.”

“Well. . . why shouldn’t we have a whirl at meteor mining?”

“No reason. Go ahead; you can afford the luxury. But see here, boys, do you really want to know what to ship to make some money?” “Sure!”

“What’s your offer?”

“Percentage cut? Or flat fee? But we don’t pay if we don’t take your advice.”

“Oh, rats! I’ll give it to you free. If you get advice free, you won’t take it and I’ll be able to say, “I told you so!”“ “You would, too.”

“Of course I would. There’s no warmer pleasure than being able to tell a smart aleck, “I told you so, but you wouldn’t listen.” Okay, here it is, in the form of a question, just like an oracle: Who made money in all the other big mining rushes of history?”

“Why, the chaps who struck it rich, I suppose.”

“That’s a laugh. There are so few cases of prospectors who actually hung on to what they had found and died rich that they stand out like supernovae. Let’s take a famous rush, the California Gold Rush back in 1861- no, 1861 was something else; I forget. 1849, that was it – the ‘Forty- niners. Read about ’em in history?”

“Some.”

“There was a citizen named Sutter; they found gold on his place. Did it make him rich? It ruined him. But who did get rich?” “Tell us, Hazel. Don’t bother to dramatise it”

“Why not? I may put it in the show – serial numbers rubbed off, of course. I’ll tell you: everybody who had something the miners had to buy. Grocers, mostly. Boy, did they get rich! Hardware dealers. Men with stamping mills, Everybody but the poor miner. Even laundries in Honolulu.”

“Honolulu? But that’s way out in the Pacific, off China somewhere.”

“It was in Hawaii the last time I looked. But they used to ship dirty laundry from California clear to Honolulu to have it washed – both Ways by sailing ship. That’s about like having your dirty shirts shipped from Marsport to Luna. Boys, if you want to make money, set up a laundry in the Hallelujah. But it doesn’t have to be a laundry – just anything, so long as the miners want it and you’ve got it If your father wasn’t a Puritan at heart, I’d set up a well-run perfectly honest gambling hall! That’s like having a rich uncle.”

The twins considered their grandmother’s advice and went into the grocery business, with a few general store sidelines. They decided to stock only luxury foods, things that the miners would not be likely to have and which would bring highest prices per pound. They stocked antibiotics and

surgical drugs and vitamins as well, and some lightweight song-and-story projectors and a considerable quantity of spools to go with them. Pollux

found a supply of pretty-girl pictures, printed on thin stock in Japan and intended for calendars on Mars, and decided to take a flyer on them, since they didn’t weigh much. He pointed out to Castor that they could not lose entirely, since they could look at them themselves.

Dr. Stone found them, ran through them, and required him to send some of them back. The rest passed her censorship; they took them along. The last episode was speeding toward Earth; the last weld had been approved; the last pound of food and supplies was at last aboard. The

Stone lifted gently from Phobos and dropped toward Mars. A short gravity-well maneuver around Mars at the Stones best throat temperature –

which produced a spine-grinding five gravities – and she was headed out and fast to the lonely reaches of space inhabited only by the wreckage of

the Ruined Planet.

“They fell easily and happily back into free fall routine. More advanced mathematical texts had been obtained for the boys on Mars; they did not have to be urged to study, having grown really interested – and this time they had no bicycles to divert their minds. Fuzzy Britches took to free fall if the creature had been born in space; if it was not being held and stroked by someone (which it usually was) it slithered over wall and bulkhead, or floated gently around the compartments, undulating happily.

Castor maintained that it could swim through the air; Pollux insisted that it could not and that its maneuvers arose entirely from the air currents of the ventilation system, They wasted considerable time, thought, and energy in trying to devise scientific tests to prove the matter, one way or the other. They were unsuccessful.

The flat cat did not care; it was warm, it was well fed, it was happy. It had numerous friends all willing to take time off to reward its tremendous and undiscriminating capacity for affection. Only one incident marred its voyage.

Roger Stone was strapped to his pilot’s chair, blocking out – so he said – a chapter in his book. If so, the snores may have helped. Fuzzy Britches was cruising along about its lawful occasions, all three eyes open and merry. It saw one of its friends; good maneuvering or a random air current enabled it to make a perfect landing – on Captain Stone’s face.

Roger came out of the chair with a yell, clutching at his face. He bounced against the safety belt, recovered, and pitched the flat cat away from him. Fuzzy Britches, offended but not hurt, flipped itself flat to its progress, air-checked and made another landing on the far wall.

Roger Stone used several other words, then shouted, “Who put that animated toupee on my face?” But the room was otherwise empty. Dr. Stone appeared at the hatch and said, “What is it, dear?”

“Oh, nothing – nothing important. Look, dear, would you return this tailend offspring of a dying planet to Buster? I’m trying to think.”

“Of course, dear.” She took it aft and gave it to Lowell, who promptly forgot it, being busy working out a complicated gambit against Hazel. The flat cat was not one to hold a grudge; there was not a mean bone in its body, had it had bones, which it did not The only emotion it could feel wholeheartedly was love. It got back to Roger just as he had. again fallen asleep.

It again settled on his face, purring happily.

Captain Stone proved himself a mature man. Knowing this time what it was,.he detached it gently and himself returned it to Lowell. “Keep it,” he said. “Don’t let go of it.” He was careful to close the door behind him.

He was equally careful that night to close the door of the stateroom he shared with his wife. The Rolling Stone, being a small private ship, did not have screens guarding her ventilation ducts; they of course had to be left open at all times. The flat cat found them a broad highway. Roger Stone had a nightmare in which he was suffocating, before his wife woke him and removed Fuzzy Britches from his face. He used some more words.

“It’s all right, dear,” she answered soothingly. “Go back to sleep.” She cuddled it in her arms and Fuzzy Britches settled for that.

The ship’s normal routine was disturbed the next day while everyone who could handle a wrench or a spot welder installed screens in the ducts.

Thirty-seven days out Fuzzy Britches had eight golden little kittens, exactly like their parent but only a couple of inches across when flat, marble- sized when contracted. Everyone, including Captain Stone thought they were cute; everyone enjoying petting them, stroking them with a gentle forefinger and listening carefully for the tiny purr, so high as to be almost beyond human ear range. Everyone enjoyed feeding them and they seemed to be hungry all the time.

Sixty-four days later the kittens had kittens, eight each. Sixty-four days after that, the one hundred and forty-sixth day after Phobos departure, the kittens’ kittens had kittens; that made five hundred and thirteen.

“This,” said Captain Stone, “has got to stop!” “Yes, dear.”

“I mean it At this rate we’ll run out of food before we get there, including the stuff the twins hope to sell. Besides that we’ll be suffocated under a mass of buzzing fur mats. What’s eight times five hundred and twelve? Then what’s eight times that?

Too many, I’m sure.”

“My dear, that’s the most masterly understatement since the death of Mercutio. And I don’t think I’ve figured it properly anyway; its an exponential

expansion, not a geometric – provided we don’t all starve first”

“Roger.”

“I think we should-Eh? What?”

“I believe there is a simple solution. These are Martian creatures; they hibernate in cold weather.” “Yes?”

“We’ll put them in the hold – fortunately there is room.” “I agree with all but the “fortunately.”“

“And we’ll keep it cold.”

“I wouldn’t want to kill the little things. I can’t manage to hate them. Drat it, they’re too cute.”

“We’ll hold it about a hundred below, about like a normal Martian winter night. Or perhaps warmer will do.” “We certainly will. Get a shovel. Get a net Get a barrel.” He began snagging flat cats out of the air.

“You aren’t going to freeze Fuzzy Britches!” Lowell was floating in the stateroom door behind them, clutching an adult flat cat to his small chest. It may or may not have been Fuzzy Britches; none of the others could tell the adults apart and naming had been dropped after the first litter. But Lowell was quite sure and it did not seem to matter whether or not he was right The twins had discussed slipping in a ringer on him while he was asleep, but they had been overheard and the project forbidden. Lowell was content and his mother did not wish him disturbed in his belief.

“Dear, we aren’t going to hurt your pet”

“You better not! You do and I’ll – I’ll space you!”

“Oh, dear, he’s been helping Hazel with her serial!” Dr. Stone got face to face with her son. “Lowell, Mother has never lied to you, has she?” “Uh, I guess not”

“We aren’t going to hurt Fuzzy Britches. We aren’t going to hurt any of the flat kitties. But we haven’t got room for all of them. You can keep Fuzzy Britches, but the other kittens, are going for a long nap. They’ll be perfectly safe; I promise.

“By the code of the Galaxy?” “By the code of the Galaxy.”

Lowell left, still guarding his pet. Roger said, “Edith, we’ve got to put a stop to that collaboration.”

“Don’t worry dear; it won’t harm him.” She frowned. “But I’m afraid I will have to disappoint him on another score.” “Such as?”

“Roger, I didn’t have much time to study the fauna of Mars – and I certainly didn’t study flat cats, beyond making sure that they were harmless.” “Harmless!” He batted a couple of them out of the way. “Woman, I’m drowning.”

“But Martian fauna have certain definite patterns, survival adaptations. Except for the water-seekers, which probably aren’t Martian in origin anyhow, their methods are both passive and persistent. Take the flat cat-”

“You take it!” He removed one gently from his chest.

“It is defenseless. It can’t even seek its own food very well. I understand that in its native state it is a benign parasite attaching itself to some more mobile animal-”

If only they would quit attaching to me! And you look as if you were wearing a fur coat Let’s put ’em in freeze!

“Patience, dear. Probably it has somewhat the same pleasing effect on the host that it has on us; consequently the host tolerates it and lets it pick up the crumbs. But its other characteristic it shares with almost anything Martian. It can last long periods in hibernation, or if that isn’t necessary, in a state of lowered vitality and activity – say when there is no food available. But with any increase in the food supply, then at once – almost like

throwing a switch – it expands, multiplies to the full extent of the food ‘supply.”

“I’ll say it does!”

“Cut off the food supply and it simply waits for more good times. Pure theory, of course, since I am reasoning by analogy from other Martian life forms – but that’s why I’m going to have to disappoint Lowell – Fuzzy Britches will have to go on very short rations.”

Her husband frowned. “That won’t be easy; he feeds it all the time. We’ll just have to watch him – or there will be more little visitors from heaven.

Honey, let’s get busy. Right now.”

“Yes, dear. I just had to get my thoughts straight”

Roger called them all to general quarters; Operation Round-up began. They shooed them aft and into the hold; they slithered back, purring and seeking companionship. Pollux got into the hold and tried to keep them herded together while the others scavenged through the ship. His father stuck his head in; tried to make out his son in a cloud of flat cats; ‘How many have you got so far?”

“I can’t count them – they keep moving around. Close the door!” “How can I keep the door closed and still send them in to you?”

“How can I keep them in here if you keep opening the door?” Finally they all got into space suits – Lowell insisted on taking Fuzzy Britches inside with him, apparently not trusting even ‘the code of the Galaxy’ too far. Captain Stone reduced the temperature of the entire ship down to a chilly twenty below; the flat cats, frustrated by the space suits and left on their own resources, gave up and began forming themselves into balls, like fur- covered grape fruit. They were then easy to gather in, easy to count, easy to store in the hold.

Nevertheless the Stones kept finding and incarcerating fugitives for the next several days.

VIII   – “INTER JOVEM ET MARTEM PLANETAM INTERPOSUI”

The great astronomer Kepler wrote: “Between Mars and Jupiter I put a planet.” His successors devised a rule for planetary distances, called ‘Bode’s Law’, which seemed to require a planet at precisely two and eight/tenths the distance from Sun to Earth, 2.8 astro units.

On the first night of the new nineteenth century the Monk Giuseppe Piazzi discovered a new heavenly body. It was the Asteroid Ceres – just where a planet should have been. It was large for an Asteroid, the largest in fact – diameter 485 miles. In the ensuing two centuries hundreds and  thousands more were discovered, down to size of rocks. “The Asteroids’ proved a poor name; they were not little stars, nor were they precisely planetoids. It was early suggested that they were the remains of a once sizable planet and by the middle of the twentieth century mathematical investigation of their orbits seemed to prove it.

But it was not until the first men in the early days of the exploration of space actually went out to the lonely reaches between the orbits of Mars and Jupiter and looked that we learned for certain that the Asteroids were indeed fragments of a greater planet – destroyed Lucifer, long dead brother

of Earth.

As the Rolling Stone rose higher and ever higher above the Sun, she slowed, curved her path in, and approached the point where she would  start to fall back toward the Sun. She was then at the orbit of Ceres and not far in front of that lady. The Stone had been in the region of the  Asteroids for the past fifty million miles. The ruins of Lucifer are scattered over a wide belt of space; the Hallelujah Node was near the middle of that belt.

The loose group of rocks, sand, random molecules, and microplanetoids known as the Hallelujah Node was travelling in company around the Sun at a speed of eleven miles per second. The Stones vector was eight miles per, second and in the same direction. Captain Stone speeded up his ship to match in by a series of blasts during the last two days, coming by a radar beacon deep in the swarm and thereby sneaking up on the collection of floating masses at a low relative speed.

The final blast that positioned them dead with the swarm was a mere love tap; the Stone did clear her throat – and she was one with the other rolling space stones of space.

Captain Stone took a last look into the double eyepiece of the stereo radar, swung the sweep control fore and aft and all around; the masses of the Hallelujah, indistinguishable from the background of stars by naked eye, hung in greatly exaggerated perspective in the false ‘space’ of the stereo tank while the true stars showed not at all. None of them displayed the crawling trail of relative motion.

A point brighter than the rest glowed in a fluctuating pattern fairly close by and a few degrees out-orbit; it was the radar beacon on which he had homed. It too, seemed steady by stereo; he turned to Castor and said, “Take a doppler on City Hall.”

“Just getting it, Captain.” In a moment he added, “Uh, relative about ten miles an hour – nine point seven and a whisper. And just under seven hundred miles away.”

“Vector?”

“Closing almost for it We ought to slide past maybe ten, fifteen miles south and in-orbit”

Roger Stone relaxed and grinned. “How’s that for shooting? Your old man can still figure them, eh?” “Pretty good, Dad – considering.”

“Considering what?”

“Considering you used Pol’s figures.”

“When I figure out which one of us you are insulting, I’ll answer that.” He spoke to the mike: “All hands, secure from maneuvers. Power room, report when secure. Edith, how soon can we have dinner?”

“It’s wrapped up, son,” Hazel reported.

“About thirty minutes, dear,” his wife answered.

“A fine thing! A man slaves over a hot control board and then has to wait thirty minutes for his dinner. What kind of a hotel is this?” “Yes, dear. By the way, I’m cutting your calorie ration again.”

“Mutiny! What would John Sterling do?”

“Daddy’s getting fat! Daddy’s getting fat!” Lowell chanted. “And strangle your child. Anybody want to come out with me while I set units?” “I will, Daddy!”

“Meade, you’re just trying to get out of helping with dinner.”

“I can spare her, dear.”

“Spare the child and spoil the fodder. Come with your fodder, baby.” “Not very funny, Daddy.”

“And I’m not getting paid for it, either.” Captain Stone went aft, whistling. The twins as well as Meade went out with him; they made quick work of setting jato units, the young people locking them in place and the Captain seeing to the wiring personally. They set a belt of them around the waist of the ship and matched pairs on the bow and quarter. Wired for triggering to the piloting radar, set at minimum range, they would give the ship a sharp nudge in the unlikely event that any object came toward them on a collision course at a relative speed high enough to be dangerous.

Coming through the Asteroid Belt to their present location deep in it, they had simply taken their chances. Although one is inclined to think of the Belt as thick with sky junk, the statistical truth is that there is so enormously more space than rock that the chance of being hit is negligible. Inside a node the situation was somewhat different, the concentration of mass being several hundred times as great as in the ordinary reaches of the Belt. But most of the miners took no precautions even there, preferring to bet that this unending game of Russian roulette would always work out in their favor rather than go to the expense and trouble of setting up a meteor guard. This used up a few miners, but not often; the accident rate in Hallelujah node was about the same as that of Mexico City.

They went inside and found dinner ready. “Call for you, Captain.” announced Hazel. “Already?”

“City Hall. Told ’em you were out but would call back. Nine point six centimeters.” “Come eat your dinner, dear, while it’s hot”

“You all go ahead. I won’t be long.”

Nor was he. Dr. Stone looked inquiringly at him as he joined them. “The Mayor,” he told her and the others. “Welcome to Rock City and all that sort of thing. Advised me that the Citizen’s Committee has set a speed limit of a hundred miles an hour for ships, five hundred miles an hour for scooters, anywhere within a thousand miles of City Hall.”

Hazel bristled. “I suppose you told him what they could do with their speed limits?”

“I did not I apologized sweetly for having unwittingly offended on my approach and said that I would be over to pay my respects tomorrow or the next day.”

“I thought Mars would have some elbow room,” Hazel grumbled. “It turned out to be nothing but scissorbills and pantywaists and tax collectors. So we come on out to the wide open spaces and what do we find? Traffic cops! And my only son without the spunk to talk back to them. I think I’ll go to Saturn.”

I hear that Titan Base is awfully chilly,” her son answered without rancor. “Why not Jupiter? Pol, flip the salt over this way, please.” “Jupiter? The position isn’t favorable. Besides I hear that, Ganymede has more regulations than a girls’ school.”

“Mother, you are the only juvenile delinquent old enough for a geriatrics clinic whom I have ever known. You know perfectly well that an artificial colony has to have regulations.”

“An excuse for miniature Napoleons! This whole system has taken to wearing corsets.” “What’s a corset?” inquired Lowell.

“Uh . . . a predecessor to the spacesuit, sort of.”

Lowell still looked puzzled; his mother said, “Never mind, dear. When we get back, Mother will show you one, in the museum.”

Captain Stone proposed that they all turn in right after supper; they had all run short on sleep during the maneuvering approach. “I keep seeing spots before my eyes,” he said, rubbing them, “from staring into the tank. I think I’ll sleep the clock around.”

Hazel started to answer when an alarm shrilled; he passed instantly from sleepy to alert. “Object on collision course! Grab something, everybody.” He clutched at a stanchion with one hand, gathered in Lowell with the other.

But no shove from a firing jato followed. “Green,” Hazel announced quietly. “Whatever it is, it isn’t moving fast enough to hurt us. Chances favor a near miss, anyway.”

Captain Stone took a deep breath, “I hope you’re right, but I’ve been on the short end of too many long shots to place much faith in statistics. I’ve been jumpy ever since we entered the Belt”

Meade went aft with dirty dishes. She returned in a hurry, round eyed. “Daddy – somebody’s at the door. What? Meade, you’re imagining things.”

“No, I’m not I heard him. Listen.”

“Quiet, everyone.” In the silence they could hear the steady hiss of an air injector; the lock was cycling. Roger Stone lunged toward the airlock; he

was stopped by a sharp warning from his mother. “Son! Hold it a second”

“What?”

“Keep back from that door.” She had her gun out and at the ready. “Huh? Don’t be silly. And put that thing away; it isn’t charged anyhow.” “He won’t know that. Whoever is coming in that lock.”

Dr. Stone said quietly, “Mother Hazel, what are you nervous about?”

“Can’t you see? We’ve got a ship here with food in it. And oxy. And a certain amount of single-H. This isn’t Luna City; there are men out here who would be tempted.”

Dr. Stone did not answer but turned to her husband. He hesitated only momentarily, then snapped, “Go forward, dear. Take Lowell. Meade, you go along and lock the access hatch. Leave the ship’s phones open. If you hear anything wrong, radio City Hall and tell them we are being hijacked. Move!” He was already ducking into his stateroom, came out with his own gun.

By the time the hatch to the control room had clanged shut the airlock finished cycling. The four remaining waited, surrounding the airlock inner door. “Shall we jump him, Dad?” Castor whispered.

“No just stay out of my line of ifre.”

Slowly the door swung open. A spacesuited figure crouched in the frame, its features indistinct in its helmet. It looked around, saw the guns trained on it, and spread both its hands open in front of it. “What’s the matter?” a muffled voice said plaintively. “I haven’t done anything.”

Captain Stone could see that the man, besides being empty-handed, carried no gun at his belt. He put his own away. “Sorry. Let me give you a hand with that helmet”

The helmet revealed a middle-aged, sandy-haired man with mild eyes. “What was the matter?” he repeated.

“Nothing. Nothing at all. We didn’t know who was boarding us and we were a bit nervous. My name’s Stone, by the way. I’m master.” “Glad to know you, Captain Stone. I’m Shorty Devine.”

“I’m glad to know you, Mr. Devine. Welcome aboard.”

“Just Shorty.” He looked around. “Uh, excuse me for bursting in on you and scaring you but I heard you had a doctor aboard. A real doctor, I mean

  • not one of those science johnnies.”

“We have.”

“Gee, that’s wonderful! The town hasn’t had a real doctor since old Doc Schultz died. And I need one, bad.” “Sorry! Pol, get your mother.”

“I heard, dear,” the speaker horn answered. “Coming.” The hatch opened and Dr. Stone came in. “I’m the doctor, Mr. Devine. Dear, I’ll use this room, I think. If you will all go somewhere else, please?”

The visitor said hastily, “Oh, they needn’t”

“I prefer to make examinations without an audience,” she said firmly. “But I didn’t explain, ma’am – Doctor. It isn’t me; it’s my partner.” “Oh?”

“He broke his leg. Got careless with two big pieces of core material and got his leg nipped between ’em. Broke it. I guess I didn’t do too well by him for he’s a powerfully sick man. Could you come over right away, Doctor?”

“Certainly.” “Now, Edith!”

“Castor, get my surgical kit – the black one. Will you help me suit up, dear?” “But Edith, you -”

“It’s all right, Captain; I’ve got my scooter right outside. We’re only eight-five, ninety miles away; we won’t be gone long.” Captain Stone sighed. “I’m going with you. Will your scooter take three?”

“Sure, sure! It’s got Reynolds saddles; set any balance you need.”

“Take command, Hazel” “Aye aye, sir!”

They were gone all night, ship’s time, rather than a short while. Hazel sat at the control board, tracking them all the way out – then watched and waited until she spotted them leaving, and tracked them back. Devine, profuse with thanks, had breakfast with them. Just before he left Lowell came into the saloon carrying Fuzzy Britches. Devine stopped with a bite on the way to his mouth and stared. “A flat cat! Or am I seeing things?”

“Of course it is. Its name is Fuzzy Britches. It’s a Martian.” “You bet it is! Say, do you mind if I pet her for a moment?”

Lowell looked him over suspiciously, granted the boon. The prospector held it like one who knows flat cats, cooed to it, and stroked it. “Now ain’t that nice! Almost makes me wish I had never left Mars – not but what its better here.” He handed it back reluctantly, thanked them all around again, and left

Dr. Stone flexed her fingers. “That’s the first time I’ve done surgery in free fall since the old clinic days. I must review my techniques.” “My dear, you were magnificent. And Jock Donaher is mighty lucky that you were near by.”

“Was he pretty bad, Mummy?” asked Meade.

“Quite,” answered her father. “You wouldn’t enjoy the details. But your Mother knew what to do and did it And I was a pretty fair scrub nurse myself, if I do say so as shouldn’t.”

“You do say so and shouldn’t,” agreed Hazel.

“Roger,” asked Dr. Stone, “that thing they were living in could it be operated as a ship?” “I doubt it, not the way they’ve got it rigged now. I wouldn’t call it a ship; I’d call it a raft” “What do they do when they want to leave?”

“They probably don’t want to leave. They’ll probably die within hailing distance of Rock City – as Jock nearly did. I suppose they sell their high grade at Ceres, by scooter – circum Ceres, that is. Or maybe the sell it here.”

“But the whole town is migratory. They have to move some-time.”

“Oh, I imagine you could move that hulk with a few jato units, if you were gentle about it and weren’t in any hurry. I think I’d decompress it before I tried it, though.”

IX                 – ROCK CITY

The Asteroid Belt is a flattened torus ring or doughnut in space encompassing thirteen thousand five hundred thousand million trillion cubic miles. This very conservative figure is arrived at by casting out of the family the vagrant black sheep who wander in down to Mars and farther – even down close, to Sun itself – and by ignoring those which strayed too far out and became slaves to mighty Jove, such as the Trojan Asteroids which make him a guard of honor sixty degrees ahead and behind him, in orbit. Even those that swing too far north or south are excluded; an arbitrary limit of six degrees deviation from ecliptic has been assumed.

13,500,000,000,000,000,000,000,000 cubic miles of space.

Yet the entire human race could be tucked into one corner of a single cubic mile; the average human body is about two cubic feet in bulk. Even Hazel’s dauntless hero ‘Captain John Sterling’ would he hard put to police such a beat. He would need to be twins, at least.

Write the figure as 1.35 x 1025th  cubic miles; that makes it easier to see if no easier to grasp. At the time the Rolling Stone arrived among the rolling stones of Rock City the Belt had a population density of one human soul for every two billion trillion cubic miles – read 2 x 1021. About half of these six thousand-odd lived on the larger planetoids. Ceres, Pallas, Vesta, Juno; one of the few pleasant surprises in the exploration of our system was the discovery that the largest Asteroids were unbelievably dense and thus had respectable surface gravitations. Ceres, with a diameter of only 485 miles, has an average density five times that of Earth and a surface gravity about the same as Mars. These large planetoids are believed to be mainly core material of lost Lucifer, covered with a few miles of lighter debris.

The other three thousand inhabitants constitute the Belt’s floating population in a most literal sense; they live and work in free fall. Almost all of them are gathered into half a dozen loose communities working the nodes or clusters of the Belt. The nodes are several hundred times as dense as the main body of the Belt – if ‘dense’ is the proper word; a transport for Ganymede could have ploughed through the Hallelujah node and Rock City and never noticed it except by radar. The chance that such a liner would hit anything is extremely small.

The miners worked the nodes for uranium, transuranics, and core material, selling their high grade at the most conveniently positioned large Asteroid and occasionally moving on to some other node. Before the strike in the Hallelujah the group calling themselves Rock City had been working Kaiser Wilhelm node behind Ceres in orbit; at the good news they moved, speeding up a trifle and passing in-orbit of Ceres, a ragtag caravan nudged through the sky by scooters, chemical rocket engines, jato units, and faith. Theirs was the only community well placed to migrate. Grogan’s Boys were in the same orbit but in Heartbreak node beyond the Sun, half a billion miles away. New Joburg was not far away but was working the node known as Reynolds Number Two, which rode the Themis orbital pattern, inconveniently far out.

None of these cities in the sky was truly self-supporting, nor perhaps ever would be; but the ravenous appetite of Earth’s industries for power metal and for the even more valuable planetary-core materials for such uses as jet throats and radiation shields – this insatiable demand for what the Asteroids could yield – made certain that the miners could swap what they had for what they needed Yet in many ways they were almost self- supporting; uranium refined no further away than Ceres gave them heat and light and power; all of their vegetables and much of their protein came from their own hydroponic tanks and yeast vats, Single-H and oxygen came from Ceres or Pallas.

Wherever there is power and mass to manipulate, Man can live.

For almost three days, the Rolling Stone coasted slowly through Rock City. To the naked eye looking out a port or even to a person standing outside on the hull Rock City looked like any other stretch of space – empty, with a backdrop of stars. A sharp-eyed person who knew the constellations well would have noticed far too many planets distorting the classic configurations, planets which did not limit their wanderings to the Zodiac. Still sharper attention would have spotted motion on the part of these ‘planets’, causing them to open out and draw aft from the direction the Stone was heading.

Just before lunch on the third day Captain Stone slowed his ship still more and corrected her vector by firing a jato unit; City Hall and several other shapes could be seen ahead. Later in the afternoon he fired one more jato unit, leaving the Stone dead in space relative to City Hall and less than an eighth of a mile from it He turned to the phone and called the Mayor.

Rolling Stone, Luna, Captain Stone speaking.”

“We’ve been watching you come in, Captain,” came the voice of the Mayor.

“Good. Mr. Fries, I’m going to try to get a line over to you. With luck. I’ll be over to see you in a half-hour or so.” “Using a line-throwing gun? I’ll send someone out to pick it up.”

“No gun, worse luck. With the best of intentions I forgot to stock one.”

Fries hesitated. “Uh, Captain, pardon me, but are you in good practice for free-fall suit work?” “Truthfully, no.”

“Then let me send a boy across to put a line on you. No, no! I insist”

Hazel, the Captain, and the twins suited up, went outside, and waited. They could make out a small figure on the ship across from them; the ship

itself looked larger now, larger than the Stone. City Hall was an obsolete space-to-space vessel, globular, and perhaps thirty years old. Roger Stone surmised correctly that she had made a one-way freighter trip after she was retired from a regular run.

In close company with City Hall was a stubby cylinder; it was either smaller than the spherical ship or farther away. Near it was an irregular mass impossible to make out; the sunlight on it was bright enough but the unfilled black shadows gave no clear clues. All around them were other ships or shapes close enough to be distinguished from the stars; Pollux estimated that there must be two dozen within as many miles. While he watched a scooter left a ship a mile or more away and headed toward City Hall.

The figure they had seen launched himself across the gap. He seemed to swell; in half a minute he was close by, checking himself by the line he carried. He dropped to an easy landing near the bow of the Stone; they went to meet him.

“Howdy, Captain. I’m Don Whitsitt, Mr. Fries’ bookkeeper.”

“Howdy, Don.” He introduced the others; the twins helped haul in the light messenger line and coil it; it was followed by a steel line which Don Whitsitt shackled to the ship.

“See you at the store,” he said. “So long.” He launched himself back the way he came, carrying the coiled messenger line and not bothering with the line he had rigged.

Pollux watched him draw away. “I think I could do that”

“Just keep on thinking it,” his father said, “and loop yourself to that guide line.”

One leap took them easily across the abyss, provided one did not let one’s loop twist around the guide line. Castor’s loop did so; it braked him to a stop. He had to unsnarl it, then gain momentum again by swarming along the line hand over hand

Whitsitt had gone inside but he had recycled the lock and left it open for them. They went on in, to be met there by the Honorable Jonathan Fries, Mayor of Rock City. He was a small, bald, pot-bellied man with a sharp, merry look in his eye and a stylus tucked back of his ear. He shook hands with Roger Stone enthusiastically. “Welcome, welcome! We’re honored to have you with us, Mister Mayor. I ought to have a key to the city, or some such, for you. Dancing girls and brass bands.”

Roger shook his head. “I’m an ex-mayor and a private traveller. Never mind the brass bands.” “But you’ll take the dancing girls?”

“I’m a married man. Thanks anyhow.”

“If we had any dancing girls I’d keep ’em for myself. And I’m a married man, too.” “You certainly are!” A plump, plain but very jolly woman had floated up behind them.

Yes, Martha.” They completed the rest of the introductions; Mrs Fries took Hazel in tow; the twins trailed along with the two men, into the forward half of the globe. It was a storeroom and a shop; racks had been fitted to the struts and thrust members; goods and provisions of every sort were lashed or netted to them. Don Whitsitt clung with his knees to a saddle in the middle of the room with a desk folded into his lap. In his reach were ledgers on lazy tongs and a rack of clips holding several hundred small account books. A miner floated in front of him. Several more were burrowing through the racks of merchandise.

Seeing the display of everything a meteor miner could conceivably need, Pollux was glad that they had concentrated on luxury goods then remembered with regret that they had precious little left to sell; the flat cats, before they were placed in freeze, had eaten so much that the family  had been delving into their trade goods, from caviar to Chicago sausage. He whispered to Castor, “I had no idea the competition would be so stiff.”

“Neither did I.”

A miner slithered up to Mr. Fries. “One-Price, about that centrifuge -” “Later, Sandy. I’m busy.”

Captain Stone protested, “Don’t let me keep you from your customers.”

“Oh, Sandy hasn’t got anything to do but wait. Right, Sandy? Shake hands with Captain Stone – it was his wife who fixed up old Jocko.”

“It was? Say, I’m mighty proud to know you, Captain! You’re the best news we’ve had in quite a while.” Sandy turned to Fries. “You better put him right on the Committee.”

“I shall. I’m going to call a phone meeting this evening.”

“Just a moment!” objected Roger Stone. “I’m just a visitor. I don’t belong on your Citizens’ Committee.”

Fries shook his head. “You don’t know what it means to our people to have a medical doctor with us again. The Committee ain’t any work, really. It’s just to let you know we’re glad you’ve joined us. And we’ll make Mrs Stone – I mean Doctor Stone – a member if she wants it. She won’t have time for it, though.”

Captain Stone was beginning to feel hemmed in. “Slow down! We expect to be leaving here come next Earth departure – and my wife is not now

engaged in regular practice, anyhow. We’re on a pleasure trip.”

Fries looked worried. “You mean she won’t attend the sick? But she operated on Jock Donaher.”

Stone was about to say that she positively would not under any circumstances take over a regular practice when he realized that he had very little voice in the matter. “She’ll attend the sick. She’s a doctor.”

“Good!”

“But, confound it, man! We didn’t come here for that She’s on a vacation.”

Fries nodded. “We’ll see what we can work out to make it easy on her. We won’t expect the lady to go hopping rocks the way Doc Schultz did. Get that, Sandy? We can’t have every rock-happy rat in the swarm hollering for the doctor every time he gets a sore finger. We want to get the word around that if a man gets sick or gets hurt it’s up to him and his neighbours to drag him in to City Hall if he can possibly wear a suit. Tell Don to draft me a proclamation.”

The miner nodded solemnly. “That’s right, One-Price.”

Sandy moved away; Fries went on, “Let’s go back into the restaurant and see if Martha has some fresh coffee. I’d like to get your opinion on several civic matters”

“Frankly, I couldn’t possibly have opinions on your public affairs here. Things are so different”

“Oh, why don’t I be truthful and admit I want to gossip about politics with another pro. I don’t meet one every day. First, though, did you have any shopping in mind today? Anything you need? Tools? Oxy? Catalysts? Planning on doing any prospecting and if so, do you have your gear?”

“Nothing especial today – except one thing: we need to buy, or by preference rent, a scooter. We’d like to explore a bit”

Fries shook his head. “Friend, I wish you hadn’t asked me that. That’s one thing I haven’t got All these sand rats booming in here from Mars, and even from Luna, half of ’em with no equipment They lease a scooter and a patent igloo and away they go, red hot to make their fortunes. Tell you what I can do, though – I’ve got more rocket motors and tanks coming in from Ceres two months from now. Don and I can weld you up one and have it ready to slap the motor in when the Firefly gets here.”

Roger Stone frowned, “With Earth departure only five months away that’s a long time to wait”

“Well, we’ll just have to see what we can scare up. Certainly the new doctor is entitled to the best – and the doctor’s family. Say -”. A miner tapped him on the shoulder. “Say, storekeeper, I -”

Fries’ face darkened. “You can address me as “Mr. Mayor!”‘ “Huh?”

“And beat it! Can’t you see I’m busy?” The man backed away; Fries fumed, “”One Price” I’m known as, to my friends and to my enemies, from here to the Trojans. If he doesn’t know that, he can call me by my title – or take his trade else-where. Where was I? Oh, yes! You might try old Charlie.”

“Eh?”

“Did you notice that big tank moored to City Hall? That’s Charlie’s hole. He’s a crazy old coot, rock-happy as they come, and he’s a hermit by intention. Used to hang around the edge of the community, never mixing – but with this boom and ten strangers swarming in for every familiar face Charlie got timid and asked could he please tie in at civic center? I guess he was afraid that somebody would slit his throat and steal his hoorah’s nest Some of the boomers are a rough lot at that”

“He sounds like some of the old-timers on Luna. What about him?”

“Oh! Too much on my mind these days; it wanders. Charlie runs a sort of a fourth-hand shop, and I say that advisedly. He has stuff I won’t handle. Every time a rock jumper dies, or goes Sunside, his useless plunder winds up in Charlie’s hole. Now I don’t say he’s got a scooter – though you just might lease his own now that he’s moored in-city. But he might have parts that could be jury-rigged. Are you handy with tools?”

“Moderately. But I’ve got just the team for such a job.” He looked around for the twins, finally spotted them pawing through merchandise. “Cas! Pol! Come here.”

The storekeeper explained what he had in mind. Castor nodded. “If it worked once, we’ll fix it” “That’s the spirit Now let’s go test that coffee.”

Castor hung back ‘Dad? Why don’t Pol and I go over there and see what he’s got? It’ll save you time.” “Well-”

“It’s just a short jump,” said Fries.

“Okay, but don’t jump. Use your lines and follow the mooring line over.”

The twins left Once in the airlock Pollux started fuming. “Stow it,” said Cas. “Dad just wants us to be careful.” “Yes, but why does he have to say it where everybody can hear?”

Charlie’s hole, they decided, had once been a tow tank to deliver oxygen to a colony. They let themselves into the lock, started it cycling. When pressure was up, they tried the inner door; it wouldn’t budge. Pollux started pounding on it with his belt wrench while Castor searched for a switch or other signal. The lock was miserably lighted by a scant three inches of glow tube.

“Cut the racket,” Castor told Pollux. “If he’s alive, he’s heard you by now.” Pollux complied and tried the door again – still locked. They heard a muffled voice: “Who’s there?”

Castor looked around for the source of the voice, could not spot it. “Castor and Pollux Stone,” he answered, “from the Rolling Stone, out of Luna”

Somebody chuckled. “You don’t fool me. And you cant arrest me without a warrant Anyhow I won’t let you in.” Castor started to explode,” Pollux patted his arm. “We aren’t cops. Shucks, we aren’t old enough to be cops.” “Take your. helmets off.”

“Don’t do it,” Castor cautioned. “He could recycle while we’re unsealed.”

Pollux went ahead and took his off; Castor hesitated, then followed. “Let us in,” Pollux said mildly. “Why should I?”

“We’re customers. We want to buy things.” “What you got to trade?”

“We’ll pay cash”

“Cash!” said the voice. “Banks! Governments! What you got to trade? Any chocolate?” “Cas,” Pollux whispered, “have we got any chocolate left?”

“Maybe six or seven pounds. Not more.” “Sure we got chocolate.”

“Let me see it.”

Castor interrupted. “What sort of nonsense is this? Pol, let’s go back and see Mr. Fries again. He’s a businessman.” The voice moaned, “Oh, don’t do that! He’ll cheat you.”

“Then open up!”

After a few seconds of silence the voice said wheedlingly,. “You look like nice boys. You wouldn’t hurt Charlie? Not old Charlie?” “Of course not We want to trade with you.”

The door opened at last In the gloom a face, etched by age and darkened by raw sunlight, peered out at them ‘Come in easy. Don’t try any tricks – I know you.”

Wondering if it were the sensible thing to do the boys pulled themselves in. When their eyes adjusted to the feeble circle of glow tube in the middle of the space they looked around while their host looked at them. The tank, large outside, seemed smaller by the way it was stuffed. As in Fries’ shop, every inch, every strut, every nook was crammed, but where the City Hall was neat, this was rank disorder, where Fries’ ‘shop was rational, this was nightmare confusion. The air was rich enough but ripe with ancient and nameless odors.

Their host was a skinny monkey of a man, covered with a single dark garment, save for head, hands, and bare feet. It had once been, Pollux decided, heated underwear for space-suit use far out starside, or in caves.

Old Charlie stared at them, then grinned, reached up and scratched his neck with his big toe. “Nice boys,” he said. “I knew you wouldn’t hurt Charlie. I was just foolin’.”

“We wouldn’t hurt anybody. We just wanted to get acquainted, and do a little business.”

“We want a – “ Pollux started; Castor’s elbow cut off the rest; Castor ‘went on,’Nice place you’ve got here.”

“Comfortable. Practical. Just right for a man with no nonsense about him. Good place for a man who likes to be quiet and think. Good place to

read a book You boys like to read?”

“Sure. Love to.”

“You want to see my books?” Without waiting for an answer he dared like a bat into the gloom, came back in a few moments with books in both hands and a half dozen held by his feet. He bumped to a stop with his elbows and offered them

There were old-style bound books, most of them, the twins saw, ships’ manuals of ships long dead. Castor’s eyes widened when he saw the dates on some of them, and wondered what the Astrogation Institute would pay for them. Among them was a dog-eared copy of Mark Twain’s Life on the Mississippi.

Look ’em over, boys. Make yourselves comfortable. Bet you didn’t expect to find a literary man out here among these yokels. You boys can read, can’t you?”

“Sure we can.”

“Didn’t know. They teach such funny things nowadays. Quote a bit of latin to ’em and they look like you’re crazy in the head. You boys hungry? You want something to eat?” He looked anxious.

They both assured him that they had fed well and recently; he looked relieved. “Old Charlie ain’t one to let a man go hungry, even if he hasn’t got enough for himself.” Castor had noted a net of sealed rations; there must have been a thousand of them by conservative estimate. But the old man continued, “Seen the time, right herein this node – no, it was the Emmy Lou – when a man didn’t dare make breakfast without he barred his lock first and turned off his beacon. It was about that time that Lafe Dumont ate High-Grade Henderson. He was dead first, naturally – but it brought on a  crisis in our community affairs. They formed up the vigilantes, what they call the Committee nowadays.”

“Why did he eat him?”

“Why, he was dead. I told you that. Just the same, I don’t think a man ought to eat his own partner, do you?” The boys agreed that it was a breech of etiquette.

“I think he ought to limit it to members of his own family, unless the two of them have got a signed and sealed contract. See any ghosts yet?” The acceleration was so sharp that it left both the twins a bit confused. “Ghosts?”

“You will. Many’s the time I’ve talked to High-Grade Henderson. Said he didn’t blame Lafe a bit, would ‘a’ done the same thing in his place.  Ghosts all around here. All the rockmen that have died out here, they can’t get back to Earth. They’re in a permanent orbit – see? And it stands to reason that you can’t accelerate anything that doesn’t have mass.” He leaned toward them confidentially. “Sometimes you see ’em, but mostly they whisper in your earphones. And when they do, listen – because that’s the only way you’ll ever find any of the big strikes that got found and then got lost again. I’m telling you this because I like you, see? So listen. If it’s too faint, just close your chin valve and hold your breath; then it comes clearer.”

They agreed and thanked him. “Now tell me about your-selves, boys.” To their surprise he appeared to mean it; when they slowed down he taxed them for details, filling in only occasionally with his own disjointed anecdotes. At last Castor described the fiasco of the flat cats. “So that’s why we don’t have much food to trade with. But we do have some chocolate left and lots of other things.”

Charlie rocked back and forth from his perch in the air. “Flat cats, eh? I ain’t had my hands on a flat cat in a power of years. Nice to hold, they are. Nice to have around. Philosophical, if we just understand ’em.” He suddenly fixed Castor with his eye. “What you planning to do with all those flat cats?”

“Why, nothing, I guess.”

“That’s just what I thought You wouldn’t mind giving a poor old man who hasn’t kith nor kin nor wife nor chick one of those harmless flat cats? An old man who would always give you a bite to eat and a charge for your suit bottle?”

Castor glanced at Pollux and agreed cautiously that any dicker they reached would certainly include a flat cat as a mark of faith in dealing. “Then what do you want? You talked about scooters. You know old Charlie hasn’t got a scooter – except the one I have to have myself to stay alive.”

Castor broached the notion about repairing old parts, fitting together a scooter. Charlie scratched an inch-long stubble. “Seems. to me I did have a rocket motor – you wouldn’t mind if it lacked a valve or two? Or did I trade that to Swede Gonzalez? No, that was another one. I think – just a  second while I take a look.” He was gone more nearly 600 seconds, buried in the mass; he came out dragging a piece of junk behind. “There you are! Practically new. Nothing a couple of bright boys couldn’t fix.”

Pollux looked at Castor. “What do you think it’s worth?”

Castor’s lips moved silently: “He ought to pay us to take it away.” It took them another twenty minutes but they got it for three pounds of chocolate and one flat cat.

X                          – FLAT CATS FINANCIAL

It took the better part of two weeks to make the ancient oxyalcohol engine work; another week to build a scooter rack to receive it, using tubing from Fries’ second-hand supply. It was not a pretty thing, but, with the Stones stereo gear mounted on it, it was an efficient way to get around the node. Captain Stone shook his head over it and subjected it to endless tests before he conceded that it was safe even though ugly.

In the meantime the Committee had decreed a taxi service for the doctor lady; every miner working within fifty miles of City Hall was required to take his turn at standby watch with his scooter, with a fixed payment in high grade for any run he might have to make. The Stones saw very little of Edith Stone during this time: it seemed as if every citizen of Rock City had been saving up ailments.

But they were not forced to fall back on Hazel’s uninspired cooking. Fries had the Stone warped into contact with City Hall and a passenger tube sealed from the Stones lock to an unused hatch of the bigger ship; when Dr. Stone was away they ate in his restaurant Mrs Fries was an excellent cook and she raised a great variety in her hydroponics garden.

While they were rigging the scooter the twins had time to mull over the matter of the flat cats. It had dawned on them that here in Rock City was a potential, unexploited market for flat cats. The question was: how best to milk it for all the traffic would bear?

Pol suggested that they peddle them in the scooter; he pointed out that a man’s sales resistance was lowest, practically zero, when he actually had a flat cat in his hands. His brother shook his head. “No good,” Junior.”

“Why not?”

“One, the Captain won’t let us monopolize the scooter; you know he regards it as ship’s equipment, built by the crew, namely us. Two, we would burn up our profits in scooter fuel. Three, it’s too slow; before we could move a third of them, some idiot would have fed our first sale too much, it has kittens – and there you are, with the market flooded with flat cats. The idea is to sell them as nearly as possible all at one time.”

“We could stick up a sign in the store – One-Price would let us – and sell them right out of the Stone.

Better but not good enough. Most of these rats shop only every three or four months. No, sir, we’ve got to build that better mouse trap and make the world beat a path to our door.”

“I’ve never been able to figure out why anybody would want to trap a mouse. Decompress a compartment and you kill all of them, every time.” “Just a figure of speech, no doubt Junior, what can we do to make Rock City flat-cat conscious?”

They found a way. The Belt, for all its lonely reaches – or because of them – was as neighbourly as a village. They gossiped among themselves,  by suit radio. Out in the shining blackness it was good to know that, if something went wrong, there was a man listening not five hundred miles away who would come and investigate if you broke off and did not answer.

They gossiped from node to node by their more powerful ship’s radios. A rumor of death, of a big strike, or of accident, would bounce around the entire belt, relayed from rockman to rockman, at just short of the speed of light. Heartbreak node was sixty-six light minutes away, following orbit;  big news often reached it in less than two hours, including numerous manual relays.

Rock City even had its own broadcast. Twice a day One-Price picked up the news from Earthside, then re-broadcast it with his own salty comments. The twins decided to follow it with one of their own, on the same wave length – a music & chatter show, with commercials. Oh, decidedly with commercials. They had hundreds of spools in stock which they could use, then sell, along with the portable projectors they had bought on Mars.

They started in; the show never was very good, but, on the other hand, it had no competition and it was free. Immediately following Fries’ sign-off Castor would say, “Don’t go away, neighbours! Here we are again with two hours of fun and music – and a few tips on bargains. But first, our theme

  • the warm and friendly purr of a Martian flat cat.” Pollux would hold Fuzzy Britches up to the microphone and stroke it; the good-natured little creature would always respond with a loud buzz. “Wouldn’t that be nice to come home to? And now for some music: Harry Weinstein’s Sunbeam Six in “High Gravity”. Let me remind you that this tape, like all other music on this program, may be purchased at an amazing saving in Flat Cat Alley, right off the City Hall – as well as Ajax three-way projectors in the Giant, Jr. model, for sound, sight, and stereo. The Sunbeam Six – hit it, Harry!”

Sometimes they would do interviews:

Castor: “A few words with one of our leading citizens, Rocks-in-his-Head Rudolf. Mr. Rudolf, all Rock City is waiting to hear from you. Tell me, do you like it out here?”

Pollux: “Naw!”

Castor: “But you’re making lots of money, Mr. Rudolf?” Pollux: “Naw!”

Castor: “At least you bring in enough high grade to eat well.” “Naw!”

“No? Tell me, why did you come out here in the first place?”

Pollux, “Bub, was you ever married?”

Sound effect of blow with blunt instrument, groan, and the unmistakable cycling of an air lock – Castor: “Sorry, folks. My assistant has just spaced Mr. Rudolf. To the purchaser of the flat cat we had been saving for Mr. Rudolf we will give away – absolutely free! – a beautiful pin-up picture printed in gorgeous living colors on fireproof paper. I hate to tell you what these pictures ordinarily sell for on Ceres; it hurts me to say how little we are  letting them go for now, until our limited stock is exhausted. To the very first customer who comes in that door wanting to purchase a flat cat we will – Lock that door! Lock that door! All right, all right – all three of you will receive pin-up pictures; we don’t want anyone fighting here. But you’ll have to wait until we finish this broadcast Sorry, neighbours – a slight interruption but we settled it without bloodshed. But I find myself in a dilemma. I made you a promise and I did not know what would happen, but the truth is, too many customers were already here, pounding on the door of Flat Cat  Alley. But to make good our promise I am enlarging it: not to the first customer, not to the second, nor to the third – but to the next twenty persons

purchasing flat cats will go, absolutely free, one of these gorgeous pictures. Bring no money – we accept high grade or core material at the standard

rates.”

Sometimes they varied it by having Meade sing. She was not of concert standards, but she had a warm, intimate contralto. After hearing her, a man possessing not even a flat cat felt lonely indeed. She pulled even better than the slick professional recordings; the twins found it necessary to cut her in for a percentage.

But in the main they depended on the flat cats themselves. The boomers from Mars, almost to a man, bought flat cats as soon as they heard that they were available, and each became an unpaid travelling salesman for the enterprise. Hardrock men from Luna, or directly from Earth, who had never seen a flat cat, now had opportunities to see them, pet them, listen to their hypnotic purr – and were lost. The little things not only stirred to aching suppressed loneliness, but, having stimulated it, gave it an outlet.

Castor would hold Fuzzy Britches to the mike and coo, “Here is a little darling – Molly Malone. Sing for the boys, honey pet.” While he stroked Fuzzy Britches Pollux would step up the power. “No, we can’t let Molly go – she’s a member of the family. But here is Bright Eyes. We’d like to keep Bright Eyes, too, but we mustn’t be selfish. Say hello to the folks, Bright Eyes.” Again he would stroke Fuzzy Britches. “Mr. P., now hand me Velvet.”

The stock of flat cats in deep freeze steadily melted. Their stock of high grade grew.

Roger Stone received their suggestion that they save out a few for breeding stock with one of his more emphatic refusals; once, he declaimed, was enough to be swamped in flat cats. Fuzzy Britches could stay, safely on short rations – but one was enough.

They had reached the last few at the back of the hold and were thinking about going out of business when a tired-looking, grey-haired man showed up after their broadcast. There were several other customers; he hung back and let the twins sell flat cats to the others. He had with him a girl child, little older than Lowell. Castor had not seen him before but he guessed that he might be Mr. Erska; bachelors far out-numbered families in the node and families with children were very rare. The Erskas picked up a precarious living down orbit and north; they were seldom seen at City Hall. Mr. Erska spoke Basic with some difficulty; Mrs Erska spoke it not at all. The family used some one of the little lingos – Icelandic, it might have been.

When the other customers had left the Stone Castor put on his professional grin and introduced himself. Yes, it was Mr. Erska. “And what can I do for you today, sir? A flat cat?”

“I’m afraid not”

“How about a projector? With a dozen tapes thrown in? Just the thing for a family evening.”

Mr. Erska seemed nervous. “Uh, very nice, I’m sure. No.” He tugged at the little girl’s hand. “We better go now, babykin.”

“Don’t rush off. My baby brother is around somewhere – or was. He’d like to meet your kid. Maybe he’s wandered over into the store. I’ll look for him”

“We better go.”

“What’s the rush? He can’t be far.”

Mr. Erska swallowed in embarrassment ‘My little girl. She heard your program and she wanted to see a flat cat. Now she’s seen one, so we go.” “Oh-” Castor brought himself face to face with the child. “Would you like to hold one, honey?” She did not answer, but nodded solemnly. “Mr. P.,.

bring up the Duchess.”

“Right, Mr. C.” Pollux went aft and fetched the Duchess – the first flat cat that came to hand, of course. He came back, warming it against his belly to revive it quickly.

Castor took it and massaged it until it flattened out and opened its eyes. “Here, honeybunch. Don’t be afraid”

Still silent, the child took it, cuddled it The small furry bundle sighed and began to purr. Castor turned to her father. “Don’t you want to get it for her?”

The man turned red. “No, no!”

“Why not? They’re no trouble. She’ll love it. So will you.”

“No!” He reached out and tried to take the flat cat from his daughter, speaking to her in another language.

She clung to it, replying in what was clearly the negative. Castor looked at them thoughtfully. “You would like to buy it for her, wouldn’t you?” The man looked away. “I can’t buy it.”

“But you want to.” Castor glanced at Pollux. “Do you know what you are, Mr. Erska. You are the five hundredth customer of Flat Cat Alley.”

“Uh?”

“Didn’t you hear our grand offer? You must have missed one of our programs. The five hundredth flat cat is absolutely free.”

The little girl looked puzzled but clung to the flat cat Her father looked doubtful. “You’re fooling?” Castor laughed. “Ask Mr. P.”

Pollux nodded solemnly. “The bare truth, Mr. Erska. It’s a celebration of a successful season. One flat cat, absolutely free with the compliments of the management And with it goes either one pin-up, or two candy bars – your choice.”

Mr. Erska seemed only half convinced, but they left with the child clinging to ‘Duchess’ and the candy bars. When the door was closed behind them Castor said fretfully, “You didn’t need to chuck in the candy bars They were the last; I didn’t mean us to sell them”

“Well, we didn’t sell them; we gave ’em away.”

Castor grinned and shrugged. “Okay, I hope they don’t make her sick. What was her name?” “I didn’t get it.”

“No matter. Our Mrs Fries will know.” He turned around, saw Hazel behind them in the hatch. “What are you grinning about?”

“Nothing, nothing. I just enjoy seeing a couple of cold-cash businessmen at work.” “Money isn’t everything!”

“Besides,” added Pollux, “it’s good advertising.”

“Advertising? With your stock practically gone?” She snickered. “There wasn’t any “grand offer” – and I’ll give you six to one it wasn’t your five hundredth sale.”

Castor looked embarrassed. “Aw, she wanted it! What would you have done?”

Hazel moved up to them, put an arm around the neck of each. “My boys! I’m beginning to think you may grow up yet. In thirty, forty, fifty more years you may be ready to join the human race.”

“Aw, lay off it!”

XI                          – THE WORM IN THE MUD

Cost-accounting on the flat-cat deal turned out to be complicated. The creatures were all descendants of Fuzzy Britches, chattel of Lowell. But the increase was directly attributable to food fed to them by everyone – which in turn had forced them to eat most of the luxury foods stocked by the

twins for trade. But it had been the twins’ imaginative initiative which had turned a liability into an asset. On the other hand they had used freely the capital goods (ship and electronic equipment) belonging to the entire family. But how to figure the probable worth of the consumed luxury foods? Whatever the figure was, it was not just original cost plus lift fuel.

Roger Stone handed down a Solomon’s decision. From the gross proceeds would be subtracted Meade’s percentage for singing; the twins would be reimbursed for the trade goods that had been commandeered; the balance would be split three ways among the twins and Lowell – all to be settled after they had traded high grade for refined metal at Ceres, then sold their load at Luna.

In the meantime he agreed to advance the twins’ money to operate further. Fries having promised to honor his sight draft on Luna City National.

But for once the twins found no immediate way to invest money. They toyed with the idea of using their time to prospect on their own, but a few trips out in the scooter convinced them that it was a game for experts and one in which even the experts usually made only a bare living. It was the fixed illusion that the next mass would be ‘the glory rock’ – the one that would pay for years of toil – that kept the old rockmen going. The twins knew too much about statistics now, and they believed in their ability rather than their luck. Finding a glory rock was sheer gamble.

“They made one fairly long trip into the thickest part of the node, fifteen hundred miles out and back taking all one day and the following night to  do it. They got the scooter up to a dawdling hundred and fifty miles per hour and let it coast, planning to stop and investigate if they found promising masses having borrowed a stake-out beacon from Fries with the promise that they would pay for it they kept it

They did not need it. Time after time they would spot a major blip in the stereo radar, only to have someone else’s beacon wink on when they got within thirty miles of the mass. At the far end they did find a considerable collection of rock travelling loosely in company; they matched, shackled on their longest lines (their father had emphatically forbidden free jumping) and investigated. Having neither experience nor a centrifuge, their only way of checking on specific gravity was by grasping a mass and clutching it to them vigorously, then getting a rough notion of its inertia by its resistance to being shoved around. A Geiger counter (borrowed) had shown no radioactivity; they were searching for the more valuable core material.

Two hours of this exercise left them tired but no richer. “Grandpa,” announced Pollux, “this is a lot of left-over country rock.” “Not even that. Most of it’s pumice, I’d say.”

“Get for home?” “Check.”

They turned the scooter around by flywheel and homed on the City Hall beacon, boosting it up to four hundred miles per hour before. letting it coast, that being the top maneuver they could figure on for the juice they had left in their tanks. They would have preferred to break the speed limit, being uneasily aware that they were late – and being anxious to get home; the best designed suit is not comfortable for too long periods. They knew that their parents would not be especially worried; while they were out of range for their suit radios, they had reported in by the gossip grapevine earlier.

Their father was not worried. But the twins spent the next week under hatches, confined to the ship for failing to get back on time.

For a longer period nothing more notable took place than the incident in which Roger Stone lost his breathing mask while taking a shower and almost drowned (so he claimed) before he could find the water cut-off valve. There are very few tasks easier to do in a gravity field than in free fall, but bathing is one of them.

Dr. Stone continued her practice, now somewhat reduced. Sometimes she was chauffeured by the miner assigned to that duty; sometimes the twins took her around. One morning following her office hours in City Hall she came back into the Stone looking for the twins. “Where are the boys?”

“Haven’t seen them since breakfast,” answered Hazel. “Why?”

Dr. Stone frowned slightly. “Nothing, really. I’ll ask Mr. Fries to call a scooter for me.” “Got to make a call? I’ll take you unless those lunks have taken our scooter.”

“You needn’t, Mother Hazel.”

“I’d enjoy it. I’ve been promising Lowell a ride for weeks. Or will it take too long?”

“Shouldn’t. It’s only eight hundred miles or so out.” The doctor was not held down to the local speed limit in her errand of mercy.

“Do it in two hours, with juice to spare.” Off they went, with Buster much excited. Hazel allotted one-fourth her fuel as safety margin, allotted the working balance for maximum accelerations, figuring the projected mass-ratios in her head. Quite aside from the doctor’s privilege to disregard the law, high speed was not dangerous in the sector they would be in, it being a ‘thin’ volume of the node.

Their destination was an antiquated winged rocket, the wings of which had been torched off and welded into a tent-shaped annex to give more living room. Hazel thought that it had a shanty-town air -but so did many of the ships in Rock City. She was pleased enough to go inside and have a

sack of tea and let Lowell out of his spacesuit for a time. The patient, Mr. Bakers, was in a traction splint; his wife could not pilot their scooter, which was why Dr. Stone granted the house call. Dr. Stone received a call by radio while they were there; she came back into the general room looking troubled. “’S matter?” inquired Hazel.

“Mrs Silva. I’m not really surprised; it’s her first child.”

“Did you get the co-ordinates and beacon pattern? I’ll run you right-” “Lowell?”

“Oh. Oh, yes,” It would be a long time in a suit for a youngster. Mrs Eakers suggested that they leave the child with her.

Before Lowell could cloud up at the suggestion Dr. Stone said, “Thanks, but it isn’t necessary. Mr. Silva is on his way here. What I was trying to say, Mother Hazel, is that I probably had better go with him and let you and Lowell go back alone. Do you mind?”

“Of course not. Pipe down, Lowell! I’ll have us home in three-quarters of an hour and Lowell can have his nap or his spanking on time, as the case may be.”

She gave Dr. Stone one of two spare oxygen bottles before she left; Dr. Stone refused to take both of them. Hazel worked the new mass figures over; with Edith, her suit, and the spare bottle subtracted she had spare fuel. Better hit it up pretty fast and get home before the brat got cranky –

She lined up on City Hall by flywheel and stereo, spun on that axis to get the sun out of her eyes, clutched her gyros, and gave it the gun.

The next thing she knew she was tumbling like a liner in free fall. She remembered from long habit to cut the throttle but only after a period of aimless acceleration, for she had been chucked around in her saddle, thrown against her belts, and could not at first find the throttle.

When they were in free fall again she remembered to laugh. “Some ride, eh, Lowell?” “Do it again, Grandma!”

“I hope not.” Quickly she checked things over. There was not much that could go wrong with the little craft, it being only a rocket motor, an open rack with saddles and safety harness, and a minimum of instruments and controls. It was the gyros, of course; the motor had been sweet and hot. They were hunting the least bit, she found, that being the only evidence that they had just tumbled violently. Delicately she adjusted them by hand, putting her helmet against the case so that she could hear what she was doing.

Only then did she try to find where they were and where they were going. Let’s see – the Sun is over there and that’s Betelgeuse over yonder – so City Hall must be out that way. She ducked her helmet into the hemispherical ‘eye shade’ of the stereo. Yup! there she be!

The Eakers place was the obvious close-by point on which to measure her vector. She looked around for it, was startled to discover how far  away it was. They must have coasted quite a distance while she was fiddling with the gyros. She measured the vector in amount and direction, then whistled. There were, she thought, few grocery shops out that way – darn few neighbours of any sort. She decided that it might be smart to call Mrs Eakers and tell her what had happened and ask her to call City Hall – just in case.

She could not raise Mrs Eakers. The sloven, she thought bitterly, has probably switched off her alarm so she could sleep. Lazy baggage! Her house looked it – and smelled it, too.

But she kept trying to call Mrs Eakers, or anyone else in range of her suit radio while she again lined up the ship for City, with offset to compensate for the now vector. She was cautious and most alert this time – in consequence she wasted only a few seconds of fuel when the gyros again tumbled.

She unclutched the gyros and put them out of her mind, then took careful measure of the situation. The Eakers dump was now a planetary light in the sky, shrinking almost noticeably, but it was still the proper local reference point. She did not like the vector she got. As always, they seemed to be standing still in the exact center of a starry globe – but her instruments showed them speeding for empty space, headed clear outside the node.

“What’s the matter, Grandma Hazel?”

“Nothing, son, nothing. Grandma has to stop and look at some road signs, that’s all.” She was thinking that she would gladly swap her chance of eternal bliss for an automatic distress signal and a beacon. She reached over, switched off the child’s receiver, then repeatedly called for help.

No answer. She switched Lowell’s receiver back on. “Why. did you do that, Grandma Hazel?” “Nothing. Just checking it”

“You can’t fool me! You’re scared! Why?”

“Not scared, pet Worried a little, maybe. Now shut up; Grandma’s got work to do.”

Carefully she lined up the craft by flywheel; carefully she checked it when it tried to swing past She aimed both to offset the new and disastrous vector and to create a vector for City Hall. She intentionally left the gyros unclutched. Then she restrapped Lowell in his saddle, checked its position. “Hold still,” she warned. “Move your little finger and Grandma will scalp you”

Just as carefully she positioned herself, considering lever arms, masses, and angular moments in her head Without gyros the craft must be

balanced just so. “Now,” she said to herself, “Hazel, we find out whether you are a pilot – or just a Sunday pilot.” She ducked her helmet into the eyeshade, picked a distant blip on which to center her crosshairs, and gunned the craft

The blip wavered; she tried to rebalance by shifting her body. When the blip suddenly slipped off to one side she cut the throttle quickly. Again she checked her vector. Their situation was somewhat improved. Again she called for help, not stopping to cut the child out of hearing. He said nothing and looked grave.

She went through the same routine, cutting power again when the craft ‘fell off its tail.” She measured the vector, called for help – and did it all again. A dozen times she tried it. On the last try the thrust stopped with the throttle still wide open. With all fuel gone there was no need to be in a hurry. She measured her vector most carefully on the Eakers’ ship, now far away, then checked the results against the City Hall blip, all the while calling for help. She ran through the figures again; in a fashion she had been successful. They were now unquestionably headed for City Hall, could not miss it by more than a few miles at most – almost jumping distance. But, while the vector was correct in direction, it was annoyingly small in quantity – six hundred and fifty miles at about forty miles an hour; they would be closest in about sixteen hours.

She wondered whether Edith really had needed that other spare oxygen bottle. Her own gauge showed about half full. She called for help again, then decided to go through the problem once more; maybe she had dropped a decimal in her head. While she was lining up on City Hall, the tiny light in the stereo tank faded and died. Her language caused Lowell to inquire, “What’s the matter now, Grandma?”

“Nothing more than I should have expected, I guess. Some days, hon, it just isn’t worth while to wake up in the morning.” The trouble, she soon found, was so simple as to be beyond repair. The stereo radar would no longer work because all three cartridges in the power pack were dead. She was forced to admit that she had been using it rather continuously – and it took a lot of power.

“Grandma Hazel! I want to go home!” She pulled out of her troubled thoughts to answer the child. “We’re going home, dear. But it’s going to take quite a while.”

“I want to go home right now?” I’m sorry but you can’t”

“But -”

“Shut it up – or when I get you out of that sack, I’ll give you something to yelp for. I mean it” She again called for help. Lowell made one of his lightning changes to serenity. “That’s better,” approved Hazel. “Want to play a game of chess?” “No.”

“Sissy. You’re afraid I’ll beat you. I’ll bet you three spanks and a knuckle rub.” Lowell considered this. “I get the white men?”

“Take ’em. I’ll beat you anyhow.”

To her own surprise she did. It was a long drawn-out game; Lowell was not as practised as she was in visualising a board and they had had to recount the moves on several occasions before he would concede the arrangement of men . . . and between each pair of moves she had again called for help. About the middle of the game she had found it necessary to remove her oxygen bottle and replace it with the one spare. She and the child had started out even but Lowell’s small mass demanded much less oxygen.

“How about another one? Want to get your revenge?” “No! I want to go home.

We’re going home, dear.”

“How soon?”

“Well… it’ll be a while yet I’ll tell you a story.” “What story?”

“Well, how about the one about the worm that crawled up out of the mud?” “Oh, I know that one! I’m tired of it”

“There are parts I’ve never told you, And you can’t get tired of it, not really, because there is never any end to it. Always something new.” So she told him again about the worm that crawled up out of the slime, not because it didn’t have enough to eat, not because it wasn’t nice and warm and comfortable down there under the water – but because the worm was restless. How it crawled up on dry land and grew legs. How part of it got to be the Elephant’s Child and part of it got to be a monkey, grew hands, and fiddled with things. How, still insatiably restless, it grew wings and reached up for the stars. She spun it out a long, long time, pausing occasionally to call for aid.

Thechild was either bored and ignored her, or liked it and kept quiet on that account. But when she stopped he said, “Tell me another one”

“Not just now, dear.” His oxygen gauge showed empty. “Go on! Tell me a new one – a better one.”

“Not now, dear. That’s the best story Hazel knows. The very best. I told it to you again because I want you to remember it.” She watched his

anoxia warning signal turn red, then quietly disconnected the partly filled bottle on her own suit, closing the now useless suit valves, and replaced his empty bottle with hers. For a moment she considered cross-connecting the bottle to both suits, then shrugged and let it stand. “Lowell -”

“What, Grandma?”

“Listen to me, dear. You’ve heard me calling for help. You’ve got to do it now. Every few minutes, all the time.” “Why?”

“Because Hazel is tired, dear. Hazel has to sleep. Promise me you’ll do it” “Well… all right”

She tried to hold perfectly still, to breathe as little of the air left in her suit as possible. It wasn’t so bad, she thought She had wanted to see the Rings – but there wasn’t much else she had missed. She supposed everyone had his Carcassonne; she had no regrets.

“Grandma! Grandma Hazel!” She did not answer. He waited, then began to cry, endlessly and without hope.

Dr. Stone arrived back at the Rolling Stone to find only her husband there. She greeted him and added, “Where’s Hazel, dear? and Lowell?” “Eh? Didn’t they come back with you? I supposed they had stopped in the store.”

“No, of course not” “Why “of course not”?”

She explained the arrangement; he looked at her in stunned astonishment ‘They left the same time you did?” “They intended to. Hazel said she would be home in forty-five minutes.”

“There’s a bare possibility that they are still with the Eakers. We’ll find out.” He lunged toward the door.

The twins returned to find their home and City Hall as well in turmoil. They had been spending an interesting and instructive several hours with old Charlie.

Their father turned away from the Stones radio and demanded, “Where have you two been?” “Just over in Charlie’s hole. What’s the trouble?”

Roger Stone explained. The twins looked at each other. “Dad,” Castor said painfully, “you mean Hazel took Mother out in our scooter?” “Certainly.” The twins questioned each other wordlessly again.

‘Why shouldn’t she? Speak up.” “Well, you . . . well, it was like this -” “Speak up!”

There was a bearing wobble, or something, in one of the gyros,” Pollux admitted miserably. We were working on it”

“You were? In Charlie’s place!”

“Well, we went over there to see what he had in the way of spare parts and, well, we got detained, sort of.”

Their father looked at them for several seconds with no expression of any sort. He then said in a flat voice, “You left a piece of ship’s equipment out of commission. You failed to log it. You failed to report it to the Captain. He paused. “Go to your room.”

“But Dad! We want to help!”

“Stay in your room; you are under arrest”

The twins did as they were ordered. While they waited, the whole of Rock City was alerted. The word went out: the doctor’s little boy is missing; the boy’s grandmother is missing. Fuel up your scooters; stand by to help. Stay on this wave length.

“Pol, quit muttering!”

Pollux turned to his brother. “How can I help it?”

“They can’t be lost, not really lost Why, the stereo itself would stand out on a screen like a searchlight”

Pollux thought about it ‘I don’t know. You remember I said I thought we might have a high-potential puncture in the power pack?” “I thought you fixed that?”

“I planned to, just as soon as we got the bugs smoothed out in the gyros.”

Castor thought about it ‘That’s bad. That could be really bad.” He added suddenly, “But quit muttering, just the same. Start thinking instead. What happened? We’ve got to reconstruct it”

“”What happened?” Are you kidding? Look, the pesky thing tumbles, then anything can happen. No control.” “Use your head, I said. What would Hazel do in this situation?”

They both kept quiet for some moments, then Pollux said, “Cas, that derned thing always tumbled to the left, didn’t it? Always.”

“What good does that do us? Left can be any direction.”

“No! You asked what Hazel would do. She’d be along her homing line, of course – and Hazel always oriented around her drive line so as to get the Sun on the back of her neck, if possible. Her eyes aren’t too good.”

Castor screwed up his face, trying to visualise it. “Say Eakers’ is off that way and City Hall over here; if the Sun is over on this side, then, when it tumbles, she’d vector off that way.” He acted it with his hands.

“Sure, sure! When you put in the right coordinates, that is. But what else would she do? What would you do? You’d vector back I mean vector home.”

“Huh? How could she? With no gyros?”

“Think about it Would you quit? Hazel is a pilot. She’d ride that thing like a broomstick.” He shaped the air with his hands. “So she’d be coming back, or trying to, along here – and everybody will be looking for her way over here.”

Castor scowled. “Could be.”

“It had better be. They’ll be looking for her in a cone with its vertex at Eakers’ – and they ought to be looking in a cone with its vertex right here, and along one side of it at that”

Castor said, “Come along!” “Dad said we were under arrest” “Come along!”

City Hall was empty, save for Mrs Fries who was standing watch, red-eyed and tense, at the radio. She shook her head. “Nothing yet.”

“Where can we find a scooter?”

“You can’t Everybody is out searching.”

Castor tugged at Pollux’s sleeve. “Old Charlie.” “Huh?” Say, Mrs Fries, is old Charlie out searching?” “I doubt if he knows about it.”

They rushed into their suits, cycled by spilling and wasting air, did not bother with safety lines. Old Charlie let them in. “What’s all the fuss about, boys?”

Castor explained Charlie shook his head. “That’s too bad, that really is. I’m right sorry.” “Charlie, we’ve got to have your scooter.”

“Right now!” added Pollux.

Charlie looked astorsished. “Are you fooling? I’m the only one can gun that rig.” “Charlie, this serious! We’ve got to have it”

“You couldn’t gun it”

“We’re both pilots.”

Charlie scratched meditatively while Castor considered slugging him for his keys – but his keys probably weren’t on him – and how would one find

anything in that trash pile? Charlie finally said, “If you’ve just got to, I suppose I better gun it for you.”

“Okay, okay! Hurry up! Get your suit on!”

“Don’t be in such a rush. It just slows you down.”

Charlie disappeared into the underbush, came out fairly promptly with a suit that seemed to consist mostly of vulcanized patches. “Dog take it,” he complained as he began to struggle with it, “if your mother would stay home and mind her own business, these things wouldn’t happen.”

“Shut up and hurry!”

“I am hurrying. She made me take a bath. I don’t need no doctors. All the bugs that ever bit me, died.”

When Charlie had dug his scooter out of the floating junk-yard moored to his home they soon saw why he had refused to lend it. It seemed probable that no one else could possibly pilot it Not only was it of vintage type, repaired with parts from many other sorts, but also the controls were arranged for a man with four hands. Charlie had been in free fall so long that he used his feet almost as readily for grasping and handling as does an ape; his space suit had had the feet thereof modified so that he could grasp things between the big toe and the second, as with Japanese stockings.

“Hang on. Where we going?”

“Do you know where the Eakers live?”

“Sure. Used to live out past that way myself. Lonely stretch.” He pointed. “Right out there, “bout half a degree right of that leetle second-magnitude star – say eight hundred, eight hundred ten miles.”

“Cas, maybe we’d better check the drift reports in the store?”

Charlie seemed annoyed. “I know Rock City. I keep up with the drifts. I have to.” “Then let’s go.”

“To Eakers’?”

“No, no – uh, just about. . .” He strained his neck, figured the position of the Sun, tried to imagine himself in Hazel’s suit, heading back. “About there – would you say, Pol?”

“As near as we can guess it.”

The crate was old but Charlie had exceptionally large tanks on it; it could maintain a thrust for plenty of change-of-motion. Its jet felt as sweet as any. But it had no radar of any sort. “Charlie, how do you tell where you are in this thing?”

“That”

“’That’ proved to be an antiquated radio compass loop. The twins had never seen one, knew how it worked only by theory. They were radar pilots, not used to conning by the seats of their suits. Seeing their faces Charlie added, “Shucks, if you’ve got any eye for angle, you don’t need fancy gear. Anywhere within twenty miles of the City Hall, I don’t even turn on my suit jet – I just jump.”

They cruised out the line that the twins had picked. Once in free fall Charlie taught them how to handle the compass loop. “Just plug it into your suit in place of your regular receiver. If you pick up a signal, swing the loop until it’s least loud.

“That’s the direction of the signal – an arrow right through the middle of the loop.” “But which way? The loop faces both ways.”

“You have to know that. Or guess wrong and go back and try again.”

Castor took the first watch. He got plenty of signals; the node was buzzing with talk – all bad news. He found, too, that the loop, while not as directional as a ‘salad bowl’ antenna, usually did not pick up but one signal at a time. As they scooted along, endlessly he swung the loop, staying with each signal just long enough to be sure that the sound could not be Hazel.

Pollux tapped his arm and put his helmet in contact with Castor’s. “Anything?” “Just chatter.”

“Keep trying. We’ll stay out until we find them. Want me to spell you?” “No. If we don’t find them. I’m not going back.”

“Quit being a cheap hero and listen. Or give me that loop.”

City Hall dropped astern until it was no longer a shape – Castor at last reluctantly gave over the watch to Pollux. His twin had been at it for

perhaps ten minutes when he suddenly made motions waving them to silence even though he could not have heard them in any case. Castor spoke

to him helmet to helmet. “What is it?”

“Sounded like a kid crying. Might have been Buster.” “Where?”

“I’ve lost it I tried to get a minimum. Now I can’t raise it”

Charlie, anticipating what would be needed, had swung ship as soon as he had quit accelerating. Now he blasted back as much as he had accelerated, bringing them dead in space relative to City Hall and the node. He gave it a gentle extra bump to send them cruising slowly back the way they had come. Pollux listened, slowly swinging his loop. Castor strained his eyes, trying to see something, anything, other than the cold stars.

“Got it again!” Pollux pounded his brother.

Old Charlie killed their relative motion; waited. Pollux cautiously tried for a minimum, then swung the loop, and tried again. He pointed, indicating that it had to be one of two directions, a hundred and eighty degrees apart

“Which way?” Castor asked Charlie. “Over that way.”

“I can’t see anything.”

“Me neither. I got a hunch.”

Castor did not argue. Either direction was equally likely.

Charlie gunned it hard in the direction he had picked, roughly toward Vega. He had hardly cut the gun and let it coast in free fall when Pollux was nodding vigorously. They coasted for some minutes, with Pollux reporting the signal stronger and the minimum sharper . . . but still nothing in sight Castor longed for radar. By now he could hear crying in his own phones. It could he Buster – it must be Buster.

“There she is!”

It was Charlie’s shout. Castor could not see anything, even though old Charlie pointed it out to him. At last he got it – a point of light, buried in stars. Pollux unplugged from the compass when it was clear that what they saw was a mass, not a star, and in the proper direction. Old Charlie handled his craft as casually as a bicycle, bringing them up to it fast and killing his headway so that they were dead with it. He insisted on making the jump himself. Lowell was too hysterical to be coherent. Seeing that he was alive and not hurt, they turned at once to Hazel. She was still strapped in her seat, eyes open, a characteristic half-smile on her face. But she neither greeted them nor answered.

Charlie looked at her and shook his head. “Not a chance, boys. She ain’t even wearing an oxy bottle.”

Nevertheless they hooked a bottle to her suit – Castor’s bottle; no one had thought to bring a spare. The twins went back cross-connected on what was left in Pollux’s bottle, temporarily Siamese twins. The family scooter they left in orbit, to he picked up and towed in by someone else. Charlie used almost all his fuel on the way back, gunning as high a speed as he dared while still saving boost to brake them at City Hall.

They shouted the news all the way back. Somewhere along the line someone picked up their signal; passed it along.

They took her into Fries’ store, there being more room there. Mrs Fries pushed the twins aside and applied artificial respiration herself, to be displaced ten minutes later by Dr. Stone. She used the free-fall method without strapping down, placing herself behind Hazel and rhythmically squeezing her ribs with both arms.

It seemed that all of Rock City wanted to come inside. Fries chased them out, and, for the first time in history, barred the door to his store. After a while Dr. Stone swapped off with her husband, then took back the task after only a few minutes’ rest

Meade was weeping silently; old Charlie was wringing his hands and looking out of place and unhappy. Dr. Stone worked with set face, her features hardened to masculine, professional lines. Lowell, his hand in Meade’s was dry-eyed but distressed, not understanding, not yet knowing death. Castor’s mouth was twisted, crying heavily as a man cries, the sobs wrung from him; Pollux, emotion already exhausted, was silent.

When Edith Stone relieved him, Roger Stone backed away, turned toward the others. His face was without anger but without hope. Pollux whispered, “Dad? Is she?”

Roger Stone then noticed them, came over and put an arm around Castor’s heaving shoulders. “You must remember, boy, that she is very old. They don’t have much comeback at her age.”

Hazel’s eyes opened. “Who doesn’t boy?”

XII            – THE ENDLESS TRAIL

Hazel had used the ancient fakir’s trick, brought to the west, so it is said, by an entertainer called Houdini, of breathing as shallowly as possible and going as quickly as may be into a coma. To hear her tell it, there never had been any real danger. Die? Shucks, you couldn’t suffocate in a coffin in that length of time. Sure, she had had to depend on Lowell to keep up the cry for help; he used less oxygen. But deliberate suicide to save the boy? Ridiculous! There hadn’t been any need to.

It was not until the next day that Roger Store called the boys in. He told them, “You did a good job on the rescue. We’ll forget the technical breach of confinement to the ship.”

Castor answered, “It wasn’t anything. Hazel did it, really. I mean, it was an idea that we got out of her serial, the skew orbit episode.” “I must not have read that one.”

“Well, it was a business about how to sort out one piece of space from another when you don’t have too much data to go on. You see, Captain Sterling had to -”

“Never mind. That’s not what I wanted to talk with you about, you did a good job, granted, no matter what suggested it to you. If only conventional search methods had been used, your grandmother would unquestionably now be dead. You are two very intelligent men – when you take the trouble. But you didn’t take the trouble soon enough. Not about the gyros.”

“But Dad, we never dreamed -”

“Enough.” He reached for his waist; the twins noticed that he was wearing an old-fashioned piece of apparel – a leather belt. He took it off. “This belonged to your great grandfather. He left it to your grandfather – who in turn left it to me. I don’t know how far back it goes – but you might say that the Stone family was founded on it.” He doubled it and tried it on the palm of his hand. “All of us, all the way back, have very tender memories of it. Very tender. Except you two.” He again whacked his palm with it.

Castor said, “You mean you’re going to beat us with that?” “Have you any reason to offer why I shouldn’t?”

Castor looked at Pollux, sighed and moved forward, I’ll go first, I’m the older.”

Roger moved to a drawer, put the belt inside. “I should have used it ten years ago.” He closed the drawer. “It’s too late, now.” “Aren’t you going to do it?”

“I never said I was going to. No.”

The twins swapped glances. Castor went on. “Dad – Captain. We’d rather you did.” Pollux added quickly, “Much rather.”

“I know you would. That way you’d be through with it. But instead you’re going to have to live with it. That’s the way adults have to do it.” “But Dad -”

“Go to your quarters, sir.”

When it was time for the Rolling Stone to leave for Ceres a good proportion of the community crowded into City Hall to bid the doctor and her family good-by; all the rest were hooked in by radio, a full town meeting. Mayor Fries made a speech and presented them with a scroll which made them all honorary citizens of Rock City, now and forever; Roger Stone tried to answer and choked up. Old Charlie, freshly bathed, cried openly. Meade sang one more time into the microphone, her soft contralto unmixed this time with commercialism. Ten minutes later the Stone drifted out- orbit and back.

As at Mars, Roger Stone left her circum Ceres, not at a station or satellite – there was none – but in orbit. Hazel, the Captain, and Meade went down by shuttle to Ceres City, Meade to see the sights. Roger to arrange the disposal of their high grade and core material and for a cargo of refined metal to take back to Luna, Hazel to take care of business or pleasure of her own. Doctor Stone chose not to go – on Lowell’s account; the shuttle was no more than an over-sized scooter with bumper landing gear.

The twins were still under hatches, not allowed to go.

Meade assured them, on return, that they had not missed anything. “It’s just like Luna City, only little and crowded and no fun.” Their father added, “She’s telling the truth, boys, so don’t take it too hard. You’ll be seeing Luna itself next stop anyway.

“Oh, we weren’t kicking!” Castor said stiffly.

“Not a bit,” insisted Pollux. “We’re willing to wait for Luna.”

Roger Stone grinned, “You’re not fooling anyone. But we will be shaping orbit home in a couple of weeks. In a way I’m sorry. All in all, it’s been two good years.”

Meade said suddenly, “Did you say “home” Daddy? It seems to me we are home. We’re going back to Luna, but we’re taking home with us.” “Eh? Yes, I suppose you’re right; the good old Rolling Stone is home, looked at that way. She’s taken us through a lot.” He patted a bulkhead

affectionately. “Right, Mother?”

Hazel had been unusually silent. Now she looked at her son and said, “Oh, sure, sure. Of course.” Dr. Stone said, “What did you do downside, Mother Hazel?”

“Me? Oh, not much. Swapped lies with a couple of old-timers. And sent off that slough episodes. By the way, Roger, better start thinking about story lines.”

“Eh? What was that, Mother?”

“That’s my last. I’m giving the show back to you.” “Well, all right – but why?”

“Uh, I’m not going to find it so convenient now.” She seemed embarrassed. “You see – well, would any of you mind very much if I checked out now?”

“What do you mean?”

“The Helen of Troy is shaping for the Trojans and the Wellington is matching there for single-H and a passenger. Me. I’m going on out to Titan.”

Before they could object she went on, “Now don’t look at me that way. I’ve always wanted to see the Rings, close up – close enough to file my nails on ’em. They must be the gaudiest sight in the System. I got to thinking right seriously about it when the air was getting a little stuffy back – well, back you-know-when. I said to myself; Hazel, you aren’t getting any younger; you catch the next chance that comes your way. I missed one once, Roger, when you were three. A good chance, but they wouldn’t take a child and well, never mind. So now I’m going.”

She paused, then snapped, “Don’t look so much like a funeral! You don’t need me now. What I mean is, Lowell is bigger now and not such a problem”

“I’ll always need you, Mother Hazel,” her daughter-in-law said quietly.

“Thanks. But not true. I’ve taught Meade all the astrogation I know, She could get a job with Four-Planets tomorrow if they weren’t so stuffy about hiring female pilots. The twins -well, they’ve soaked up all the meanness I can pass on to them; they’ll put up a good fight, whatever comes up. And you, Son, I finished with you when you were in short pants. You’ve been bringing me up ever since.”

“Mother!”

“Yes, Son?”

“What’s your real reason? Why do you want to go?”

“Why? Why does anybody want to go anywhere? Why did the bear go round the mountain? To see what he could see! I’ve never seen the Rings. That’s reason enough to go anywhere. The race has been doing it for all time. The dull ones stay home – and the bright ones stir around and try to see what trouble they can dig up. It’s the human pattern. It doesn’t need a reason, any more than a flat cat needs a reason to buzz. Why anything?”

“When are you coming back?”

“I may never come back. I like free fall. Doesn’t take any muscle. Take a look at old Charlie. You know how old he is? I did some checking. He’s at least a hundred and sixty. That’s encouraging at my age – makes me feel like a young girl. I may see quite a few things yet,”

Dr. Stone said, “Of course you will, Mother Hazel.” Roger Stone turned to his wife. “Edith?”

“Yes, dear?”

“What’s your opinion?”

“Well . . . there’s actually no reason why we should go back to Luna, not just now.” “So I was thinking. But what about Meade?”

“Me?” said Meade.

Hazel put in dryly, “They’re thinking you are about husband-high, hon.”

Dr. Stone looked at her daughter and nodded slightly. Meade looked surprised, then said, “Pooh! I’m in no hurry. Besides – there’s a Patrol base on Titan. There ought to be lots of young officers.”

Hazel answered, “It’s a Patrol research base, hon – probably nothing but dedicated scientists.” “Well, perhaps when I get through with them they won’t be quite so dedicated!”

Roger Stone turned to the twins. “Boys?”

Castor answerd for the team. “Do we get a vote? Sure!”

Roger Stone grasped a stanchion, pulled himself forward. “Then it’s settled. All of you – Hazel, boys, Meade – set up trial orbits. I’ll start the mass computations”

“Easy, son – count me out on that,” “Eh?”

“Son, did you check the price they’re getting for single-H here? If we are going to do a cometary for Saturn instead of a tangential for Earth, it’s back to the salt mines for me. I’ll radio New York for an advance, then I’ll go wake Lowell and we’ll start shoveling gore.”

“Well… okay. The rest of you-mind your decimals!”

All stations were manned and ready; from an instruction couch rigged back of the pilot and co-pilot Meade was already running down the count- off. Roger Stone glanced across at his mother and whispered, “What are you smiling about?”

“And five! And four!chanted Meade.

“Nothing much. After we get to Titan we might-”

The blast cut off her words; the Stone trembled and threw herself outward bound, toward Saturn. In her train followed hundreds and thousands  and hundreds of thousands of thousands of restless rolling Stones. . . to Saturn. . . to Uranus, to Pluto. . . rolling on out to the stars. . . outward bound to the ends of the Universe.

The End

I hope that you enjoyed this post. I have other posts that cover many other stories in my Fictional Index. You can go there using this link…

Fictional Stories

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

Have spacesuit – will travel (full text) by Robert Heinlein

“Have Spacesuit – Will Travel” is a great story that is in the same class as “Farmer in the Sky”. Which are both fictional stories that are perhaps some of his best. All have a great sense of awe and adventure and excitement about space and exploration that existed back in the 1950’s and 1960’s.

Have Spacesuit – Will Travel

Chapter 1

You see, I had this space suit. How it happened was this way:

“Dad,” I said, “I want to go to the Moon.”

“Certainly,” he answered and looked back at his book. It was Jerome K. Jerome’s Three Men in a Boat, which he must know by heart.  I said, “Dad, please! I’m serious.”

This time he closed the book on a finger and said gently, “I said it was all right. Go ahead.” “Yes … but how?”

“Eh?” He looked mildly surprised. “Why, that’s your problem, Clifford.”

Dad was like that. The time I told him I wanted to buy a bicycle he said, “Go right ahead,” without even glancing up-so I had gone to the money basket in the dining room, intending to take enough for a bicycle. But there had been only eleven dollars and forty-three cents in it, so about a thousand miles of mowed lawns later I bought a bicycle. I hadn’t said anymore to Dad because if money wasn’t in the basket, it wasn’t anywhere; Dad didn’t bother with banks-just the money basket and one next to it marked “UNCLE SAM,” the contents of which he bundled up and mailed to the government once a year. This caused the Internal Revenue Service considerable headache and once they sent a man to remonstrate with him.

First the man demanded, then he pleaded. “But, Dr. Russell, we know your background. You’ve no excuse for not keeping proper records.” “But I do,” Dad told him. “Up here.” He tapped his forehead.

“The law requires written records.”

“Look again,” Dad advised him. “The law can’t even require a man to read and write. More coffee?”

The man tried to get Dad to pay by check or money order. Dad read him the fine print on a dollar bill, the part about “legal tender for all debts, public and private.” In a despairing effort to get something out of the trip he asked Dad please not to fill in the space marked “occupation” with “Spy.”

“Why not?”

“What? Why, because you aren’t-and it upsets people.” “Have you checked with the F.B.I.?”

“Eh? No.”

“They probably wouldn’t answer. But you’ve been very polite. I’ll mark it ‘Unemployed Spy.’ Okay?”

The tax man almost forgot his brief case. Nothing fazed Dad, he meant what he said, he wouldn’t argue and he never gave in. So when he told me I could go to the Moon but the means were up to me, he meant just that. I could go tomorrow-provided I could wangle a billet in a space ship.

But he added meditatively, “There must be a number of ways to get to the Moon, son. Better check ‘em all. Reminds me of this passage I’m reading. They’re trying to open a tin of pineapple and Harris has left the can opener back in London. They try several ways.” He started to read aloud and I sneaked out-I had heard that passage five hundred times. Well, three hundred.

I went to my workshop in the barn and thought about ways. One way was to go to the Air Academy at Colorado Springs-if I got an appointment, if I graduated, if I managed to get picked for the Federation Space Corps, there was a chance that someday I would be ordered to Lunar Base, or at least one of the satellite stations.

Another way was to study engineering, get a job in jet propulsion, and buck for a spot that would get me sent to the Moon. Dozens, maybe hundreds, of engineers had been to the Moon, or were still there-for all sorts of work: electronics, cryogenics, metallurgy, ceramics, air conditioning, as well as rocket engineering.

Oh, yes! Out of a million engineers a handful got picked for the Moon. Shucks, I rarely got picked even playing post office.

Or a man could be an M.D., or a lawyer, or geologist, or toolmaker, and wind up on the Moon at a fat salary-provided they wanted him and nobody else. I didn’t care about salary-but how do you arrange to be number one in your specialty?

And there was the straightforward way: trundle in a wheelbarrow of money and buy a ticket.

This I would never manage-I had eighty-seven cents at that moment -but it had caused me to think about it steadily. Of the boys in our school half admitted that they wanted to space, half pretended not to care, knowing how feeble the chances were-plus a handful of creeps who wouldn’t leave Earth for any reason. But we talked about it and some of us were determined to go. I didn’t break into a rash until American Express and Thos. Cook & Son announced tourist excursions.

I saw their ads in National Geographic while waiting to have my teeth cleaned. After that I never was the same.

The idea that any rich man could simply lay cash on the line and go was more than I could stand. I just had to go. I would never be able to pay for it-or, at least, that was so far in the future there was no use thinking about it. So what could I do to be sent?

You see stories about boys, poor-but-honest, who go to the top because they’re smarter than anyone in the county, maybe the state. But they’re not talking about me. I was in the top quarter of my graduating class but they do not give scholarships to M.I.T. for that-not from Centerville High. I am stating a fact; our high school isn’t very good. It’s great to go to-we’re league champions in basketball and our square-dance team is state runner-up and we have a swell sock hop every Wednesday. Lots of school spirit.

But not much studying.

The emphasis is on what our principal, Mr. Hanley, calls “preparation for life” rather than on trigonometry. Maybe it does prepare you for life; it certainly doesn’t prepare you for CalTech.   I didn’t find this out myself. Sophomore year I brought home a questionnaire cooked up by our group project in “Family Living” in social studies. One question read: “How is your family

council organized?”

At dinner I said, “Dad, how is our family council organized?” Mother said, “Don’t disturb your father, dear.”

Dad said, “Eh? Let me see that.”

He read it, then told me to fetch my textbooks. I had not brought them home, so he sent me to school to get them. Fortunately the building was open-rehearsals for the Fall Blow-Out. Dad rarely gave orders but when he did he expected results.

I had a swell course that semester-social study, commercial arithmetic, applied English (the class had picked “slogan writing” which was fun), handicrafts (we were building sets for the Blow-Out), and gym-which was basketball practice for me; I wasn’t tall enough for first team but a reliable substitute gets his varsity letter his senior year. All in all, I was doing well in school and knew it.

Dad read all my textbooks that night; he is a fast reader. In social study I reported that our family was an informal democracy; it got by-the class was arguing whether the chairmanship of  a council should rotate or be elective, and whether a grandparent living in the home was eligible. We decided that a grandparent was a member but should not be chairman, then we formed committees to draw up a constitution for an ideal family organization, which we would present to our families as the project’s findings.

Dad was around school a good bit the next few days, which worried me -when parents get overactive they are always up to something.

The following Saturday evening Dad called me into his study. He had a stack of textbooks on his desk and a chart of Centerville High School’s curriculum, from American Folk Dancing to Life Sciences. Marked on it was my course, not only for that semester but for junior and senior years the way my faculty advisor and I had planned it.

Dad stared at me like a gentle grasshopper and said mildly, “Kip, do you intend to go to college?” “Huh? Why, certainly, Dad!”

“With what?”

I hesitated. I knew it cost money. While there had been times when dollar bills spilled out of the basket onto the floor, usually it wouldn’t take long to count what was in it. “Uh, maybe I’ll get a scholarship. Or I could work my way.”

He nodded. “No doubt … if you want to. Money problems can always be solved by a man not frightened by them. But when I said, ‘With what?’ I was talking about up here.” He tapped his skull.

I simply stared. “Why, I’ll graduate from high school, Dad. That’ll get me into college.”

“So it will. Into our State University, or the State Aggie, or State Normal. But, Kip, do you know that they are flunking out 40 per cent of each freshman class?” “I wouldn’t flunk!”

“Perhaps not. But you will if you tackle any serious subject-engineering, or science, or pre-med. You would, that is to say, if your preparation were based on this.” He waved a hand at the curriculum.

I felt shocked. “Why, Dad, Center is a swell school.” I remembered things they had told us in P.T.A. Auxiliary. “It’s run along the latest, most scientific lines, approved by psychologists, and-“

“-and paying excellent salaries,” he interrupted, “for a staff highly trained in modern pedagogy. Study projects emphasize practical human problems to orient the child in democratic social living, to fit him for the vital, meaningful tests of adult life in our complex modern culture. Excuse me, son; I’ve talked with Mr. Hanley. Mr. Hanley is sincere-and to achieve these noble purposes we are spending more per student than is any other state save California and New York.”

“Well … what’s wrong with that?” “What’s a dangling participle?”

I didn’t answer. He went on, “Why did Van Buren fail of re-election? How do you extract the cube root of eighty-seven?”

Van Buren had been a president; that was all I remembered. But I could answer the other one. “If you want a cube root, you look in a table in the back of the book.”

Dad sighed. “Kip, do you think that table was brought down from on high by an archangel?” He shook his head sadly. “It’s my fault, not yours. I should have looked into this years ago-but I had assumed, simply because you liked to read and were quick at figures and clever with your hands, that you were getting an education.”

“You think I’m not?”

“I know you are not. Son, Centerville High is a delightful place, well equipped, smoothly administered, beautifully kept. Not a ‘blackboard jungle,’ oh, no!-I think you kids love the place. You should. But this-” Dad slapped the curriculum chart angrily. “Twaddle! Beetle tracking! Occupational therapy for morons!”

I didn’t know what to say. Dad sat and brooded. At last he said, “The law declares that you must attend school until you are eighteen or have graduated from high school.” “Yes, sir.”

“The school you are in is a waste of time. The toughest course we can pick won’t stretch your mind. But it’s either this school, or send you away.”  I said, “Doesn’t that cost a lot of money?”

He ignored my question. “I don’t favor boarding schools, a teen-ager belongs with his family. Oh, a tough prep school back east can drill you so that you can enter Stanford, or Yale, or any of the best-but you can pick up false standards, too-nutty ideas about money and social position and the right tailor. It took me years to get rid of ones I acquired that way. Your mother

and I did not pick a small town for your boyhood unpurposefully. So you’ll stay in Centerville High.”

I looked relieved.

“Nevertheless you intend to go to college. Do you intend to become a professional man? Or will you look for snap courses in more elaborate ways to make bayberry candles? Son, your life is yours, to do with as you wish. But if you have any thought of going to a good university and studying anything of importance, then we must consider how to make best use of your next three years.”

“Why, gosh, Dad, of course I want to go to a good-“ “See me when you’ve thought it over. Good night.”

I did for a week. And, you know, I began to see that Dad was right. Our project in “Family Living” was twaddle. What did those kids know about running a family? Or Miss Finchley?- unmarried and no kids. The class decided unanimously that every child should have a room of his own, and be given an allowance “to teach him to handle money.” Great stuff … but how about the Quinlan family, nine kids in a five-room house? Let’s not be foolish.

Commercial arithmetic wasn’t silly but it was a waste of time. I read the book through the first week; after that I was bored.

Dad switched me to algebra, Spanish, general science, English grammar and composition; the only thing unchanged was gym. I didn’t have it too tough catching up; even those courses were watered down. Nevertheless, I started to learn, for Dad threw a lot of books at me and said, “Clifford, you would be studying these if you were not in overgrown kindergarten. If you soak up what is in them, you should be able to pass College Entrance Board Examinations. Possibly.”

After that he left me alone; he meant it when he said that it was my choice. I almost bogged down-those books were hard, not the predigested pap I got in school. Anybody who thinks that studying Latin by himself is a snap should try it.

I got discouraged and nearly quit-then I got mad and leaned into it. After a while I found that Latin was making Spanish easier and vice versa. When Miss Hernandez, my Spanish teacher, found out I was studying Latin, she began tutoring me. I not only worked my way through Virgil, I learned to speak Spanish like a Mexicano.

Algebra and plane geometry were all the math our school offered; I went ahead on my own with advanced algebra and solid geometry and trigonometry and might have stopped so far as College Boards were concerned-but math is worse than peanuts. Analytical geometry seems pure Greek until you see what they’re driving at-then, if you know algebra, it bursts on you  and you race through the rest of the book. Glorious!

I had to sample calculus and when I got interested in electronics I needed vector analysis. General science was the only science course the school had and pretty general it was, too- about Sunday supplement level. But when you read about chemistry and physics you want to do it, too. The barn was mine and I had a chem lab and a darkroom and an electronics bench and, for a while, a ham station. Mother was perturbed when I blew out the windows and set fire to the barn-just a small fire-but Dad was not. He simply suggested that I not manufacture explosives in a frame building.

When I took the College Boards my senior year I passed them.

It was early March my senior year that I told Dad I wanted to go to the Moon. The idea had been made acute by the announcement of commercial flights but I had been “space happy” ever since the day they announced that the Federation Space Corps had established a lunar base. Or earlier. I told Dad about my decision because I felt that he would know the answer. You see. Dad always found ways to do anything he decided to do.

When I was little we lived lots of places-Washington, New York/Los Angeles, I don’t know where-usually in hotel apartments. Dad was always flying somewhere and when he was home

there were visitors; I never saw him much. Then we moved to Centerville and he was always home, his nose in a book or working at his desk. When people wanted to see him they had  to come to him. I remember once, when the money basket was empty, Dad told Mother that “a royalty was due.” I hung around that day because I had never seen a king (I was eight) and when a visitor showed up I was disappointed because he didn’t wear a crown. There was money in the basket the next day so I decided that he had been incognito (I was reading The Little Lame Prince) and had tossed Dad a purse of gold-it was at least a year before I found out that a “royalty” could be money from a patent or a book or business stock, and some of  the glamour went out of life. But this visitor, though not king, thought he could make Dad do what he wanted rather than what Dad wanted:

“Dr. Russell, I concede that Washington has an atrocious climate. But you will have air-conditioned offices.” “With clocks, no doubt. And secretaries. And soundproofing.”

“Anything you want. Doctor.”

“The point is, Mr. Secretary, I don’t want them. This household has no clocks. Nor calendars. Once I had a large income and a larger ulcer; I now have a small income and no ulcer. I stay here.”

“But the job needs you.”

“The need is not mutual. Do have some more meat loaf.”

Since Dad did not want to go to the Moon, the problem was mine. I got down college catalogs I had collected and started listing engineering schools. I had no idea how I could pay tuition or even eat-but the first thing was to get myself accepted by a tough school with a reputation.

If not, I could enlist in the Air Force and try for an appointment. If I missed, I could become an enlisted specialist in electronics; Lunar Base used radar and astrar techs. One way or another, I was going.

Next morning at breakfast Dad was hidden behind the New York Times while Mother read the Herald-Trib. I had the Centerville Clarion but it’s fit only for wrapping salami. Dad looked over his paper at me. “Clifford, here’s something in your line.”

“Huh?”

“Don’t grunt; that is an uncouth privilege of seniors. This.” He handed it to me. It was a soap ad.

It announced that tired old gimmick, a gigantic super-colossal prize contest. This one promised a thousand prizes down to a last hundred, each of which was a year’s supply of Skyway Soap.

Then I spilled cornflakes in my lap. The first prize was- “-AN ALL-EXPENSE TRIP TO THE MOON!!!”

That’s the way it read, with three exclamation points-only to me there were a dozen, with bursting bombs and a heavenly choir.

Just complete this sentence in twenty-five words or less: “I use Skyway Soap because …” (And send in the usual soap wrapper or reasonable facsimile.)

There was more about”-joint management of American Express and Thos. Cook-” and “-with the cooperation of the United States Air Force-” and a list of lesser prizes. But all I saw, while milk and soggy cereal soaked my pants, was: “-TRIP TO THE MOON!!!”

First I went sky-high with excitement … then as far down with depression. I didn’t win contests-why, if I bought a box of Cracker Jack, I’d get one they forgot to put a prize in. I had been cured of matching pennies. If I ever-

“Stop it,” said Dad. I shut up.

“There is no such thing as luck; there is only adequate or inadequate preparation to cope with a statistical universe. Do you intend to enter this?” “Do I!”

“I assume that to be affirmative. Very well, make a systematic effort.”

I did and Dad was helpful-he didn’t just offer me more meat loaf. But he saw to it I didn’t go to pieces; I finished school and sent off applications for college and kept my job-I was working after school that semester at Charton’s Pharmacy-soda jerk, but also learning about pharmacy. Mr. Charton was too conscientious to let me touch anything but packaged items, but I learned-materia medica and nomenclature and what various antibiotics were for and why you had to be careful. That led into organic chemistry and biochemistry and he lent me Walker, Boyd and Asimov- biochemistry makes atomic physics look simple, but presently it begins to make sense.

Mr. Charton was an old widower and pharmacology was his life. He hinted that someone would have to carry on the pharmacy someday- some young fellow with a degree in pharmacy and devotion to the profession. He said that he might be able to help such a person get through school. If he had suggested that I could someday run the dispensary at Lunar Base, I might have taken the bait. I explained that I was dead set on spacing, and engineering looked like my one chance.

He didn’t laugh. He said I was probably right-but that I shouldn’t forget that wherever Man went, to the Moon, on Mars, or the farthest stars, pharmacists and dispensaries would go along. Then he dug out books for me on space medicine-Strughold and Haber and Stapp and others. “I once had ideas along that line. Kip,” he said quietly, “but now it’s too late.”

Even though Mr. Charton was not really interested in anything but drugs, we sold everything that drugstores sell, from bicycle tires to home permanent kits. Including soap, of course.

We were selling darned little Skyway Soap; Centerville is conservative about new brands-I’ll bet some of them made their own soap. But when I showed up for work that day I had to tell Mr. Charton about it. He dug out two dust-covered boxes and put them on the counter. Then he phoned his jobber in Springfield.

He really did right by me. He marked Skyway Soap down almost to cost and pushed it-and he almost always got the wrappers before he let the customer go. Me, I stacked a pyramid of Skyway Soap on each end of the fountain and every coke was accompanied by a spiel for good old Skyway, the soap that washes cleaner, is packed with vitamins, and improves your chances of Heaven, not to mention its rich creamy lather, finer ingredients, and refusal to take the Fifth Amendment. Oh, I was shameless! Anybody who got away without buying was deaf or fast on his feet.

If he bought soap without leaving the wrappers with me he was a magician. Adults I talked out of it; kids, if I had to, I paid a penny for each wrapper. If they brought in wrappers from around town, I paid a dime a dozen and threw in a cone. The rules permitted a contestant to submit any number of entries as long as each was written on a Skyway Soap wrapper or reasonable facsimile.

I considered photographing one and turning out facsimiles by the gross, but Dad advised me not to. “It is within the rules, Kip, but I’ve never yet known a skunk to be welcome at a picnic.” So I used soap. And I sent in wrappers with slogans:

“I use Skyway Soap because- it makes me feel so clean.”

highway or byway, there’s no soap like Skyway!” its quality is sky-high.”

it is pure as the Milky Way.”

it is pure as Interstellar Space.”

it leaves me fresh as a rain-swept sky.”

And so on endlessly, until I tasted soap in my dreams. Not just my own slogans either; Dad thought them up, and so did Mother and Mr. Charton. I kept a notebook and wrote them down in school or at work or in the middle of the night. I came home one evening and found that Dad had set up a card file for me and after that I kept them alphabetically to avoid repeating. A good thing, too, for toward the last I sent in as many as a hundred a day. Postage mounted, not to mention having to buy some wrappers.

Other kids in town were in the contest and probably some adults, but they didn’t have the production line I had. I’d leave work at ten o’clock, hurry home with the day’s slogans and wrappers, pick up more slogans from Dad and Mother, then use a rubber stamp on the inside of each wrapper: “I use Skyway Soap because-” with my name and address. As I typed, Dad filled out file cards. Each morning I mailed the bunch on my way to school.

I got laughed at but the adults most inclined to kid me were quickest to let me have their wrappers.

All but one, an oaf called “Ace” Quiggle. I shouldn’t class Ace as an adult; he was an over-age juvenile delinquent. I guess every town has at least one Ace. He hadn’t finished Centerville High, a distinction since Mr. Hanley believed in promoting everybody “to keep age groups together.” As far back as I remember Ace hung around Main Street, sometimes working, mostly not.

He specialized in “wit.” He was at our fountain one day, using up two dollars’ worth of space and time for one thirty-five-cent malt. I had just persuaded old Mrs. Jenkins to buy a dozen cakes and had relieved her of the wrappers. As she left, Ace picked one off my counter display and said, “You’re selling these. Space Cadet?”

“That’s right, Ace. You’ll never find such a bargain again.”

“You expect to go to the Moon, just selling soap, Captain? Or should I say ‘Commodore’? Yuk yuk yukkity yuk!” That’s how Ace laughed, like a comic strip. “I’m trying,” I said politely. “How about some?”

“You’re sure it’s good soap?” “Positive.”

“Well, I’ll tell you. Just to help you out-I’ll buy one bar.”

Aplunger. But this might be the winning wrapper. “Sure thing, Ace. Thanks a lot.” I took his money, he slipped the cake into his pocket and started to leave. “Just a second, Ace. The wrapper. Please?”

He stopped. “Oh, yes.” He took out the bar, peeled it, held up the wrapper. “You want this?” “Yes, Ace. Thanks.”

“Well, I’ll show you how to get the best use of it.” He reached across to the cigar lighter on the tobacco counter and set fire to it, lit a cigarette with it, let the wrapper bum almost to his fingers, dropped it and stepped on it.

Mr. Charton watched from the window of the dispensary.

Ace grinned. “Okay, Space Cadet?”

I was gripping the ice-cream scoop. But I answered, “Perfectly okay, Ace. It’s your soap.” Mr. Charton came out and said, “I’ll take the fountain, Kip. There’s a package to deliver.”

That was almost the only wrapper I missed. The contest ended May 1 and both Dad and Mr. Charton decided to stock up and cleaned out the last case in the store. It was almost eleven before I had them written up, then Mr. Charton drove me to Springfield to get them postmarked before midnight.

I had sent in five thousand seven hundred and eighty-two slogans. I doubt if Centerville was ever so scrubbed.

The results were announced on the Fourth of July. I chewed my nails to the elbows in those nine weeks. Oh, other things happened. I graduated and Dad and Mother gave me a watch and we paraded past Mr. Hanley and got our diplomas. It felt good, even though what Dad had persuaded me to learn beat what I learned at dear old Center six ways from zero. Before  that was Sneak Day and Class Honeymoon and Senior Prom and the Class Play and the Junior-Senior Picnic and all the things they do to keep the animals quiet. Mr. Charton let me off early if I asked, but I didn’t ask often as my mind wasn’t on it and I wasn’t going steady anyhow. I had been earlier in the year, but she-Elaine McMurty-wanted to talk boys and clothes and   I wanted to talk space and engineering so she put me back into circulation.

After graduation I worked for Mr. Charton full time. I still didn’t know how I was going to college. I didn’t think about it; I just dished sundaes and held my breath until the Fourth of July.

It was to be on television at 8 P.M. We had a TV-a black and white flatimage job-but it hadn’t been turned on in months; after I built it I lost interest. I dug it out, set it up in the living room and tested the picture. I killed a couple of hours adjusting it, then spent the rest of the day chewing nails. I couldn’t eat dinner. By seven-thirty I was in front of the set, not-watching a comedy team and fiddling with my file cards. Dad came in, looked sharply at me, and said, “Take a grip on yourself, Kip. Let me remind you again that the chances are against you.”

I gulped. “I know, Dad.”

“Furthermore, in the long run it won’t matter. Aman almost always gets what he wants badly enough. I am sure you will get to the Moon someday, one way or another.” “Yes, sir. I just wish they would get it over with.”

“They will. Coming, Emma?”

“Right away, dearest,” Mother called back. She came in, patted my hand and sat down. Dad settled back. “Reminds me of election nights.”

Mother said, “I’m glad you’re no longer up to your ears in that.” “Oh, come now, sweetheart, you enjoyed every campaign.” Mother sniffed.

The comics went back where comics go, cigarettes did a cancan, then dived into their packs while a soothing voice assured us that carcinogenous factors were unknown in Coronets, the safe, Safe, SAFE smoke with the true tobacco flavor. The program cut to the local station; we were treated to a thrilling view of Center Lumber & Hardware and I started pulling hairs out of the back of my hand.

The screen filled with soap bubbles; a quartet sang that this was the Skyway Hour, as if we didn’t know. Then the screen went blank and sound cut off and I swallowed my stomach. The screen lighted up with: “Network Difficulty-Do Not Adjust Your Sets.”

I yelped, “Oh, they can’t do that! They can’t!” Dad said, “Stop it, Clifford.”

I shut up. Mother said, “Now, dearest, he’s just a boy.”

Dad said, “He is not a boy; he is a man. Kip, how do you expect to face a firing squad calmly if this upsets you?” I mumbled; he said, “Speak up.” I said I hadn’t really planned on facing one.

“You may need to, someday. This is good practice. Try the Springfield channel; you may get a skip image.” I tried, but all I got was snow and the sound was like two cats in a sack. I jumped back to our local station.

“-jor General Bryce Gilmore, United States Air Force, our guest tonight, who will explain to us, later in this program, some hitherto unreleased pictures of Federation Lunar Base and the infant Luna City, the fastest growing little city on the Moon. Immediately after announcing the winners we will attempt a television linkage with Lunar Base, through the cooperation of the Space Corps of the-“

I took a deep breath and tried to slow my heartbeat, the way you steady down for a free-throw in a tie game. The gabble dragged on while celebrities were introduced, the contest rules were explained, an improbably sweet young couple explained to each other why they always used Skyway Soap. My own sales talks were better.

At last they got to it. Eight girls paraded out; each held a big card over her head. The M.C. said in an awestruck voice: “And now … and now -the winning Skyway slogan for the … FREE TRIP TO THE MOON!”

I couldn’t breathe.

The girls sang, “I like Skyway Soap because-” and went on, each turning her card as a word reached her: “-it … is … as … pure … as … the … sky … itself!”

I was fumbling cards. I thought I recognized it but couldn’t be sure- not after more than five thousand slogans. Then I found it-and checked the cards the girls were holding. “Dad! Mother! I’ve won, I’ve won!”

“Hold it, Kip!” Dad snapped. “Stop it.” Mother said, “Oh, dear!”

I heard the M.C. saying, “-present the lucky winner, Mrs. Xenia Donahue, of Great Falls, Montana… . Mrs. Donahue!”

To a fanfare a little dumpy woman teetered out. I read the cards again. They still matched the one in my hand. I said, “Dad, what happened? That’s my slogan.” “You didn’t listen.”

“They’ve cheated me!” “Be quiet and listen,”

“-as we explained earlier, in the event of duplicate entries, priority goes to the one postmarked first. Any remaining tie is settled by time of arrival at the contest office. Our winning slogan was submitted by eleven contestants. To them go the first eleven prizes. Tonight we have with us the six top winners-for the trip to the Moon, the weekend in a satellite space station, the jet flight around the world, the flight to Antarctica, the-“

“Beaten by a postmark. Apostmark!”

“-sorry we can’t have every one of the winners with us tonight. To the rest this comes as a surprise.” The M.C. looked at his watch. “Right this minute, in a thousand homes across the land … right this second- there is a lucky knock on a lucky door of some loyal friend of Skyway-“

There was a knock on our door.

I fell over my feet. Dad answered. There were three men, an enormous crate, and a Western Union messenger singing about Skyway Soap. Somebody said, “Is this where Clifford Russell lives?”

Dad said, “Yes.”

“Will you sign for this?” “What is it?”

“It just says ‘This Side Up.’ Where do you want it?”

Dad passed the receipt to me and I signed, somehow. Dad said, “Will you put it in the living room, please?” They did and left and I got a hammer and sidecutters. It looked like a coffin and I could have used one.

I got the top off. Alot of packing got all over Mother’s rugs. At last we were down to it. It was a space suit.

Not much, as space suits go these days. It was an obsolete model that Skyway Soap had bought as surplus material-the tenth-to-hundredth prizes were all space suits. But it was a real one, made by Goodyear, with air conditioning by York and auxiliary equipment by General Electric. Its instruction manual and maintenance-and-service log were with it and it had racked  up more than eight hundred hours in rigging the second satellite station.

I felt better. This was no phony, this was no toy. It had been out in space, even if I had not. But would!-someday. I’d learn to use it and someday I’d wear it on the naked face of the Moon. Dad said, “Maybe we’d better carry this to your workshop. Eh, Kip?”

Mother said, “There’s no rush, dearest. Don’t you want to try it on, Clifford?”

I certainly did. Dad and I compromised by toting the crate and packing out to the barn. When we came back, a reporter from the Clarion was there with a photographer-the paper had known I was a winner before I did, which didn’t seem right.

They wanted pictures and I didn’t mind.

I had an awful time getting into it-dressing in an upper berth is a cinch by comparison. The photographer said, “Just a minute, kid. I’ve seen ‘em do it at Wright Field. Mind some advice?” “Uh? No. I mean, yes, tell me.”

“You slide in like an Eskimo climbing into a kayak. Then wiggle your right arm in-“

It was fairly easy that way, opening front gaskets wide and sitting down in it, though I almost dislocated a shoulder. There were straps to adjust for size but we didn’t bother; he stuffed me into it, zippered the gaskets, helped me to my feet and shut the helmet.

It didn’t have air bottles and I had to live on the air inside while he got three shots. By then I knew that the suit had seen service; it smelled like dirty socks. I was glad to get the helmet off. Just the same, it made me feel good to wear it. Like a spacer.

They left and presently we went to bed, leaving the suit in the living room. About midnight I cat-footed down and tried it on again.

The next morning I moved it out to my shop before I went to work. Mr. Charton was diplomatic; he just said he’d like to see my space suit when I had time. Everybody knew about it-my picture was on the front page of the Clarion along with the Pikes Peak Hill Climb and the holiday fatalities. The story had been played for laughs, but I didn’t mind. I had never really believed I would win-and I had an honest-to-goodness space suit, which was more than my classmates had.

That afternoon Dad brought me a special delivery letter from Skyway Soap. It enclosed a property title to one suit, pressure, serial number so-and-so, ex-US-AF. The letter started with congratulations and thanks but the last paragraphs meant something:

Skyway Soap realizes that your prize may not be of immediate use to you. Therefore, as mentioned in paragraph 4 (a) of the rules. Skyway offers to redeem it for a cash premium of five hundred dollars ($500.00). To avail yourself of this privilege you should return the pressure suit via express collect to Goodyear Corporation (Special Appliances Division, attn: Salvage), Akron, Ohio, on or before the 15th of September.

Skyway Soap hopes that you have enjoyed our Grand Contest as much as we have enjoyed having you and hopes that you will retain your prize long enough to appear with it on your local television station in a special Skyway Jubilee program. Afee of fifty dollars ($50.00) will be paid for this appearance. Your station manager will be in touch with you. We hope that you will  be our guest.

All good wishes from Skyway, the Soap as Pure as the Sky Itself. I handed it to Dad. He read it and handed it back.

I said, “I suppose I should.”

He said, “I see no harm. Television leaves no external scars.”

“Oh, that. Sure, it’s easy money. But I meant I really ought to sell the suit back to them.” I should have felt happy since I needed money, while I needed a space suit the way a pig needs a

pipe organ. But I didn’t, even though I had never had five hundred dollars in my life.

“Son, any statement that starts ‘I really ought to-‘ is suspect. It means you haven’t analyzed your motives.” “But five hundred dollars is tuition for a semester, almost.”

“Which has nothing to do with the case. Find out what you want to do, then do it. Never talk yourself into doing something you don’t want. Think it over.” He said good-bye and left.

I decided it was foolish to burn my bridges before I crossed them. The space suit was mine until the middle of September even if I did the sensible thing-by then I might be tired of it.

But I didn’t get tired of it; a space suit is a marvelous piece of machinery-a little space station with everything miniaturized. Mine was a chrome-plated helmet and shoulder yoke which merged into a body of silicone, asbestos, and glass-fiber cloth. This hide was stiff except at the joints. They were the same rugged material but were “constant volume” -when you bent a knee a bellows arrangement increased the volume over the knee cap as much as the space back of the knee was squeezed. Without this a man wouldn’t be able to move; the pressure inside, which can add up to several tons, would hold him rigid as a statue. These volume compensators were covered with dural armor; even the finger joints had little dural plates over the knuckles.

It had a heavy glass-fiber belt with clips for tools, and there were the straps to adjust for height and weight. There was a back pack, now empty, for air bottles, and zippered pockets inside and out, for batteries and such.

The helmet swung back, taking a bib out of the yoke with it, and the front opened with two gasketed zippers; this left a door you could wiggle into. With helmet clamped and zippers closed  it was impossible to open the suit with pressure inside.

Switches were mounted on the shoulder yoke and on the helmet; the helmet was monstrous. It contained a drinking tank, pill dispensers six on each side, a chin plate on the right to switch radio from “receive” to “send,” another on the left to increase or decrease flow of air, an automatic polarizer for the face lens, microphone and earphones, space for radio circuits in  a bulge back of the head, and an instrument board arched over the head. The instrument dials read backwards because they were reflected in an inside mirror in front of the wearer’s forehead at an effective fourteen inches from the eyes.

Above the lens or window there were twin headlights. On top were two antennas, a spike for broadcast and a horn that squirted microwaves like a gun-you aimed it by facing the receiving station. The horn antenna was armored except for its open end.

This sounds as crowded as a lady’s purse but everything was beautifully compact; your head didn’t touch anything when you looked out the lens. But you could tip your head back and  see reflected instruments, or tilt it down and turn it to work chin controls, or simply turn your neck for water nipple or pills. In all remaining space sponge-rubber padding kept you from banging your head no matter what. My suit was like a fine car, its helmet like a Swiss watch. But its air bottles were missing; so was radio gear except for built-in antennas; radar beacon and emergency radar target were gone, pockets inside and out were empty, and there were no tools on the belt. The manual told what it ought to have-it was like a stripped car.

I decided I just had to make it work right.

First I swabbed it out with Clorox to kill the locker-room odor. Then I got to work on the air system.

It’s a good thing they included that manual; most of what I thought I knew about space suits was wrong.

Aman uses around three pounds of oxygen a day-pounds mass, not pounds per square inch. You’d think a man could carry oxygen for a month, especially out in space where mass has no weight, or on the Moon where three pounds weigh only half a pound. Well, that’s okay for space stations or ships or frogmen; they run air through soda lime to take out carbon dioxide, and breathe it again. But not space suits.

Even today people talk about “the bitter cold of outer space”-but space is vacuum and if vacuum were cold, how could a Thermos jug keep hot coffee hot? Vacuum is nothing-it has no temperature, it just insulates.

Three-fourths of your food turns into heat-a lot of heat, enough each day to melt fifty pounds of ice and more. Sounds preposterous, doesn’t it? But when you have a roaring fire in the furnace, you are cooling your body; even in the winter you keep a room about thirty degrees cooler than your body. When you turn up a furnace’s thermostat, you are picking a more comfortable rate for cooling. Your body makes so much heat you have to get rid of it, exactly as you have to cool a car’s engine.

Of course, if you do it too fast, say in a sub-zero wind, you can freeze- but the usual problem in a space suit is to keep from being boiled like a lobster. You’ve got vacuum all around you and it’s hard to get rid of heat.

Some radiates away but not enough, and if you are in sunlight, you pick up still more-this is why space ships are polished like mirrors. So what can you do?

Well, you can’t carry fifty-pound blocks of ice. You get rid of heat the way you do on Earth, by convection and evaporation-you keep air moving over you to evaporate sweat and cool you off. Oh, they’ll learn to build space suits that recycle like a space ship but today the practical way is to let used air escape from the suit, flushing away sweat and carbon dioxide and excess heat-while wasting most of the oxygen.

There are other problems. The fifteen pounds per square inch around you includes three pounds of oxygen pressure. Your lungs can get along on less than half that, but only an Indian from the high Andes is likely to he comfortable on less than two pounds oxygen pressure. Nine-tenths of a pound is the limit. Any less than nine-tenths of a pound won’t force oxygen into blood-this is about the pressure at the top of Mount Everest.

Most people suffer from hypoxia (oxygen shortage) long before this, so better use two p.s.i. of oxygen. Mix an inert gas with it, because pure oxygen can cause a sore throat or make you drunk or even cause terrible cramps. Don’t use nitrogen (which you’ve breathed all your life) because it will bubble in your blood if pressure drops and cripple you with “bends.” Use helium which doesn’t. It gives you a squeaky voice, but who cares?

You can die from oxygen shortage, be poisoned by too much oxygen, be crippled by nitrogen, drown in or be acid-poisoned by carbon dioxide, or dehydrate and run a killing fever. When I finished reading that manual I didn’t see how anybody could stay alive anywhere, much less in a space suit.

But a space suit was in front of me that had protected a man for hundreds of hours in empty space.

Here is how you beat those dangers. Carry steel bottles on your back; they hold “air” (oxygen and helium) at a hundred and fifty atmospheres, over 2000 pounds per square inch; you   draw from them through a reduction valve down to 150 p.s.i. and through still another reduction valve, a “demand” type which keeps pressure in your helmet at three to five pounds per square inch-two pounds of it oxygen. Put a silicone-rubber collar around your neck and put tiny holes in it, so that the pressure in the body of your suit is less, the air movement still faster; then evaporation and cooling will be increased while the effort of bending is decreased. Add exhaust valves, one at each wrist and ankle-these have to pass water as well as gas   because you may be ankle deep in sweat.

The bottles are big and clumsy, weighing around sixty pounds apiece, and each holds only about five mass pounds of air even at that enormous pressure; instead of a month’s supply you will have only a few hours-my suit was rated at eight hours for the bottles it used to have. But you will be okay for those hours-if everything works right. You can stretch time, for you don’t die from overheating very fast and can stand too much carbon dioxide even longer-but let your oxygen run out and you die in about seven minutes. Which gets us back where we started-it takes oxygen to stay alive.

To make darn sure that you’re getting enough (your nose can’t tell) you clip a little photoelectric cell to your ear and let it see the color of your blood; the redness of the blood measures the oxygen it carries. Hook this to a galvanometer. If its needle gets into the danger zone, start saying your prayers.

I went to Springfield on my day off, taking the suit’s hose fittings, and shopped. I picked up, second hand, two thirty-inch steel bottles from a welding shop-and got myself disliked by insisting on a pressure test. I took them home on the bus, stopped at Pring’s Garage and arranged to buy air at fifty atmospheres. Higher pressures, or oxygen or helium, I could get from the Springfield airport, but I didn’t need them yet.

When I got home I closed the suit, empty, and pumped it with a bicycle pump to two atmospheres absolute, or one relative, which gave me a test load of almost four to one compared with space conditions. Then I tackled the bottles. They needed to be mirror bright, since you can’t afford to let them pick up heat from the Sun. I stripped and scraped and wire-brushed, and buffed and polished, preparatory to nickel-plating.

Next morning, Oscar the Mechanical Man was limp as a pair of long johns.

Getting that old suit not just airtight but helium-tight was the worst headache. Air isn’t bad but the helium molecule is so small and agile that it migrates right through ordinary rubber-and   I wanted this job to be right, not just good enough to perform at home but okay for space. The gaskets were shot and there were slow leaks almost impossible to find.

I had to get new silicone-rubber gaskets and patching compound and tissue from Goodyear; small-town hardware stores don’t handle such things. I wrote a letter explaining what I wanted and why-and they didn’t even charge me. They sent me some mimeographed sheets elaborating on the manual.

It still wasn’t easy. But there came a day when I pumped Oscar full of pure helium at two atmospheres absolute. Aweek later he was still tight as a six-ply tire.

That day I wore Oscar as a self-contained environment. I had already worn him many hours without the helmet, working around the shop, handling tools while hampered by his gauntlets, getting height and size adjustments right. It was like breaking in new ice skates and after a while I was hardly aware I had it on-once I came to supper in it. Dad said nothing and Mother has the social restraint of an ambassador; I discovered my mistake when I picked up my napkin.

Now I wasted helium to the air, mounted bottles charged with air, and suited them. Then I clamped the helmet and dogged the safety catches.

Air sighed softly into the helmet, its flow through the demand valve regulated by the rise and fall of my chest-I could reset it to speed up or slow down by the chin control. I did so, watching the gauge in the mirror and letting it mount until I had twenty pounds absolute inside. That gave me five pounds more than the pressure around me, which was as near as I could come   to space conditions without being in space.

I could feel the suit swell and the joints no longer felt loose and easy. I balanced the cycle at five pounds differential and tried to move- And almost fell over. I had to grab the workbench. Suited up, with bottles on my back, I weighed more than twice what I do stripped. Besides that, although the joints were constant-volume, the suit didn’t work as freely under pressure.

Dress yourself in heavy fishing waders, put on an overcoat and boxing gloves and a bucket over your head, then have somebody strap two sacks of cement across your shoulders and

you will know what a space suit feels like under one gravity.

But ten minutes later I was handling myself fairly well and in half an hour I felt as if I had worn one all my life. The distributed weight wasn’t too great (and I knew it wouldn’t amount to much on the Moon). The joints were just a case of getting used to more effort. I had had more trouble learning to swim.

It was a blistering day: I went outside and looked at the Sun. The polarizer cut the glare and I was able to look at it. I looked away; polarizing eased off and I could see around me.

I stayed cool. The air, cooled by semi-adiabatic expansion (it said in the manual), cooled my head and flowed on through the suit, washing away body heat and used air through the exhaust valves. The manual said that heating elements rarely cut in, since the usual problem was to get rid of heat; I decided to get dry ice and force a test of thermostat and heater.

I tried everything I could think of. Acreek runs back of our place and beyond is a pasture. I sloshed through the stream, lost my footing and fell -the worst trouble was that I could never see where I was putting my feet. Once I was down I lay there a while, half floating but mostly covered. I didn’t get wet, I didn’t get hot, I didn’t get cold, and my breathing was as easy as ever even though water shimmered over my helmet.

I scrambled heavily up the bank and fell again, striking my helmet against a rock. No damage, Oscar was built to take it. I pulled my knees under me, got up, and crossed the pasture, stumbling on rough ground but not falling. There was a haystack there and I dug into it until I was buried.

Cool fresh air … no trouble, no sweat.

After three hours I took it off. The suit had relief arrangements like any pilot’s outfit but I hadn’t rigged it yet, so I had come out before my air was gone. When I hung it in the rack I had built,   I patted the shoulder yoke. “Oscar, you’re all right,” I told it. “You and I are partners. We’re going places.” I would have sneered at five thousand dollars for Oscar.

While Oscar was taking his pressure tests I worked on his electrical and electronic gear. I didn’t bother with a radar target or beacon; the first is childishly simple, the second is fiendishly expensive. But I did want radio for the space-operations band of the spectrum-the antennas suited only those wavelengths. I could have built an ordinary walkie-talkie and hung it

outside-but I would have been kidding myself with a wrong frequency and gear that might not stand vacuum. Changes in pressure and temperature and humidity do funny things to electronic circuits; that is why the radio was housed inside the helmet.

The manual gave circuit diagrams, so I got busy. The audio and modulating circuits were no problem, just battery-operated transistor circuitry which I could make plenty small enough.   But the microwave part- It was a two-headed calf, each with transmitter and receiver-one centimeter wavelength for the horn and three octaves lower at eight centimeters for the spike in a harmonic relationship, one crystal controlling both. This gave more signal on broadcast and better aiming when squirting out the horn and also meant that only part of the rig had to be switched in changing antennas. The output of a variable-frequency oscillator was added to the crystal frequency in tuning the receiver. The circuitry was simple-on paper.

But microwave circuitry is never easy; it takes precision machining and a slip of a tool can foul up the impedance and ruin a mathematically calculated resonance.

Well, I tried. Synthetic precision crystals are cheap from surplus houses and some transistors and other components I could vandalize from my own gear. And I made it work, after the fussiest pray-and-try-again I have ever done. But the consarned thing simply would not fit into the helmet.

Call it a moral victory-I’ve never done better work.

I finally bought one, precision made and embedded in plastic, from the same firm that sold me the crystal. Like the suit it was made for, it was obsolete and I paid a price so low that I merely screamed. By then I would have mortgaged my soul-I wanted that suit to work.

The only thing that complicated the rest of the electrical gear was that everything had to be either “fail-safe” or “no-fail”; a man in a space suit can’t pull into the next garage if something goes wrong-the stuff has to keep on working or he becomes a vital statistic. That was why the helmet had twin headlights; the second cut in if the first failed-even the peanut lights for the dials over my head were twins. I didn’t take short cuts; every duplicate circuit I kept duplicate and tested to make sure that automatic changeover always worked.

Mr. Charton insisted on filling the manual’s list on those items a drugstore stocks-maltose and dextrose and amino tablets, vitamins, dexedrine, dramamine, aspirin, antibiotics, antihistamines, codeine, almost any pill a man can take to help him past a hump that might kill him. He got Doc Kennedy to write prescriptions so that I could stock Oscar without breaking laws.

When I got through Oscar was in as good shape as he had ever been in Satellite Two. It had been more fun than the time I helped Jake Bixby turn his heap into a hotrod.

But summer was ending and it was time I pulled out of my daydream. I still did not know where I was going to school, or how-or if. I had saved money but it wasn’t nearly enough. I had spent a little on postage and soap wrappers but I got that back and more by one fifteen-minute appearance on television and I hadn’t spent a dime on girls since March- too busy. Oscar cost surprisingly little; repairing Oscar had been mostly sweat and screwdriver. Seven dollars out of every ten I had earned was sitting in the money basket.

But it wasn’t enough.

I realized glumly that I was going to have to sell Oscar to get through the first semester. But how would I get through the rest of the year? Joe Valiant the all-American boy always shows up on the campus with fifty cents and a heart of gold, then in the last Chapter is tapped for Skull-and-Bones and has money in the bank. But I wasn’t Joe Valiant, not by eight decimal places. Did it make sense to start if I was going to have to drop out about Christmas? Wouldn’t it be smarter to stay out a year and get acquainted with a pick and shovel?

Did I have a choice? The only school I was sure of was State U. -and there was a row about professors being fired and talk that State U. might lose its accredited standing. Wouldn’t it be comical to spend years slaving for a degree and then have it be worthless because your school wasn’t recognized?

State U. wasn’t better than a “B” school in engineering even before this fracas.

Rensselaer and CalTech turned me down the same day-one with a printed form, the other with a polite letter saying it was impossible to accept all qualified applicants.

Little things were getting my goat, too. The only virtue of that television show was the fifty bucks. Aperson looks foolish wearing a space suit in a television studio and our announcer milked it for laughs, rapping the helmet and asking me if I was still in there. Very funny. He asked me what I wanted with a space suit and when I tried to answer he switched off the mike in my suit and patched in a tape with nonsense about space pirates and flying saucers. Half the people in town thought it was my voice.

It wouldn’t have been hard to live down if Ace Quiggle hadn’t turned up. He had been missing all summer, in jail maybe, but the day after the show he took a seat at the fountain, stared at me and said in a loud whisper, “Say, ain’t you the famous space pirate and television star?”

I said, “What’ll you have, Ace?”

“Gosh! Could I have your autograph? I ain’t never seen a real live space pirate before!” “Give me your order, Ace. Or let someone else use that stool.”

“Achoc malt. Commodore-and leave out the soap.”

Ace’s “wit” went on every time he showed up. It was a dreadfully hot summer and easy to get tempery. The Friday before Labor Day weekend the store’s cooling system went sour, we couldn’t get a repairman and I spent three bad hours fixing it, ruining my second-best pants and getting myself reeking. I was back at the fountain and wishing I could go home for a bath when Ace swaggered in, greeting me loudly with “Why, if it isn’t Commander Comet, the Scourge of the Spaceways! Where’s your blaster gun, Commander? Ain’t you afraid the Galactic Emperor will make you stay in after school for running around bare-nekkid? Yuk yuk yukkity yuk!”

Acouple of girls at the fountain giggled. “Lay off, Ace,” I said wearily. “It’s a hot day.”

“That’s why you’re not wearing your rubber underwear?” The girls giggled again.

Ace smirked. He went on: “Junior, seein’ you got that clown suit, why don’t you put it to work? Run an ad in the Clarion: ‘Have Space Suit-Will Travel.’ Yukkity yuk! Or you could hire out as a scarecrow.”

The girls snickered. I counted ten, then again in Spanish, and in Latin, and said tensely, “Ace, just tell me what you’ll have.” “My usual. And snap it up-I’ve got a date on Mars.”

Mr. Charton came out from behind his counter, sat down and asked me to mix him a lime cooler, so I served him first. It stopped the flow of wit and probably saved Ace’s life. The boss and I were alone shortly after. He said quietly, “Kip, a reverence for life does not require a man to respect Nature’s obvious mistakes.”

“Sir?”

“You need not serve Quiggle again. I don’t want his trade.” “Oh, I don’t mind. He’s harmless.”

“I wonder how harmless such people are? To what extent civilization is retarded by the laughing jackasses, the empty-minded belittlers? Go home; you’ll want to make an early start tomorrow.”

I had been invited to the Lake of the Forest for the long Labor Day weekend by Jake Bixby’s parents. I wanted to go, not only to get away from the heat but also to chew things over with Jake. But I answered, “Shucks, Mr. Charton, I ought not to leave you stuck.”

“The town will be deserted over the holiday; I may not open the fountain. Enjoy yourself. This summer has worn you a bit fine. Kip.”  I let myself be persuaded but I stayed until closing and swept up. Then I walked home, doing some hard thinking.

The party was over and it was time to put away my toys. Even the village half-wit knew that I had no sensible excuse to have a space suit. Not that I cared what Ace thought … but I did   have no use for it-and I needed money. Even if Stanford and M.I.T. and Carnegie and the rest turned me down, I was going to start this semester. State U. wasn’t the best-but neither was   I and I had learned that more depended on the student than on the school.

Mother had gone to bed and Dad was reading. I said hello and went to the barn, intending to strip my gear off Oscar, pack him into his case, address it, and in the morning phone the express office to pick it up. He’d be gone before I was back from the Lake of the Forest. Quick and clean.

He was hanging on his rack and it seemed to me that he grinned hello. Nonsense, of course. I went over and patted his shoulder. “Well, old fellow, you’ve been a real chum and it’s been nice knowing you. See you on the Moon-I hope.”

But Oscar wasn’t going to the Moon. Oscar was going to Akron, Ohio, to “Salvage.” They were going to unscrew parts they could use and throw the rest of him on the junk pile. My mouth felt dry.

(“It’s okay, pal,” Oscar answered.)

See that? Out of my silly head! Oscar didn’t really speak; I had let my imagination run wild too long. So I quit patting him, hauled the crate out and took a wrench from his belt to remove the gas bottles.

I stopped.

Both bottles were charged, one with oxygen, one with oxy-helium. I had wasted money to do so because I wanted, just once, to try a spaceman’s mix. The batteries were fresh and power packs were charged.

“Oscar,” I said softly, “we’re going to take a last walk together. Okay?” (“Swell!”)

I made it a dress rehearsal-water in the drinking tank, pill dispensers loaded, first-aid kit inside, vacuum-proof duplicate (I hoped it was vacuum-proof) in an outside pocket. All tools on belt, all lanyards tied so that tools wouldn’t float away in free fall. Everything.

Then I heated up a circuit that the F.C.C. would have squelched had they noticed, a radio link I had salvaged out of my effort to build a radio for Oscar, and had modified as a test rig for Oscar’s ears and to let me check the aiming of the directional antenna. It was hooked in with an echo circuit that would answer back if I called it-a thing I had bread hoarded out of an old Webcor wire recorder, vintage 1950.

Then I climbed into Oscar and buttoned up. “Tight?” (“Tight!”)

I glanced at the reflected dials, noticed the blood-color reading, reduced pressure until Oscar almost collapsed. At nearly sea-level pressure I was in no danger from hypoxia; the trick was to avoid too much oxygen.

We started to leave when I remembered something. “Just a second, Oscar.” I wrote a note to my folks, telling them that I was going to get up early and catch the first bus to the lake. I could write while suited up now, I could even thread a needle. I stuck the note under the kitchen door.

Then we crossed the creek into the pasture. I didn’t stumble in wading; I was used to Oscar now, sure-footed as a goat.

Out in the field I keyed my talkie and said, “Junebug, calling Peewee. Come in, Peewee.” Seconds later my recorded voice came back: ” ‘Junebug, calling Peewee. Come in, Peewee.’”

I shifted to the horn antenna and tried again. It wasn’t easy to aim in the dark but it was okay. Then I shifted back to spike antenna and went on calling Peewee while moving across the pasture and pretending that I was on Venus and had to stay in touch with base because it was unknown terrain and unbreathable atmosphere. Everything worked perfectly and if it had been Venus, I would have been all right.

Two lights moved across the southern sky, planes I thought, or maybe helis. Just the sort of thing yokels like to report as “flying saucers.” I watched them, then moved behind a little rise that would tend to spoil reception and called Peewee. Peewee answered and I shut up; it gets dull talking to an idiot circuit which can only echo what you say to it.

Then I heard: “Peewee to Junebug! Answer!”

I thought I had been monitored and was in trouble-then decided that some ham had picked me up. “Junebug here. I read you. Who are you?” The test rig echoed my words.

Then the new voice shrilled, “Peewee here! Home me in!”

This was silly. But I found myself saying, “Junebug to Peewee, shift to directional frequency at one centimeter—and keep talking, keep talking!” I shifted to the horn antenna. “Junebug, I read you. Fix me. One, two, three, four, five, six, seven—”

“You’re due south of me, about forty degrees. Who are you?” It must be one of those lights. It had to be.

But I didn’t have time to figure it out. Aspace ship almost landed on me.

Chapter 4

I said “space ship,” not “rocket ship.” It made no noise but a whoosh and there weren’t any flaming jets-it seemed to move by clean living and righteous thoughts.

I was too busy keeping from being squashed to worry about details. Aspace suit in one gravity is no track suit; it’s a good thing I had practiced. The ship sat down where I had just been, occupying more than its share of pasture, a big black shape.

The other one whooshed down, too, just as a door opened in the first. Light poured through the door; two figures spilled out and started to run. One moved like a cat; the other moved clumsily and slowly-handicapped by a space suit. S’help me, a person in a space suit does look silly. This one was less than five feet tall and looked like the Gingerbread Man.

Abig trouble with a suit is your limited angle of vision. I was trying to watch both of them and did not see the second ship open. The first figure stopped, waiting for the one in the space suit to catch up, then suddenly collapsed-just a gasping sound, “Eeeah!”-and clunk.

You can tell the sound of pain. I ran to the spot at a lumbering dogtrot, leaned over and tried to see what was wrong, tilting my helmet to bring the beam of my headlight onto the ground. Abug-eyed monster-

That’s not fair but it was my first thought. I couldn’t believe it and would have pinched myself except that it isn’t practical when suited up.

An unprejudiced mind (which mine wasn’t) would have said that this monster was rather pretty. It was small, not more than half my size, and its curves were graceful, not as a girl is but more like a leopard, although it wasn’t shaped like either one. I couldn’t grasp its shape-I didn’t have any pattern to fit it to; it wouldn’t add up.

But I could see that it was hurt. Its body was quivering like a frightened rabbit. It had enormous eyes, open but milky and featureless, as if nictitating membranes were across them. What appeared to be its mouth-

That’s as far as I got. Something hit me in the spine, right between the gas bottles.

I woke up on a bare floor, staring at a ceiling. It took several moments to recall what had happened and then I shied away because it was so darn silly. I had been out for a walk in Oscar

… and then a space ship had landed … and a bug-eyed-

I sat up suddenly as I realized that Oscar was gone. Alight cheerful voice said, “Hi, there!”

I snapped my head around. Akid about ten years old was seated on the floor, leaning against a wall. He-I corrected myself. Boys don’t usually clutch rag dolls. This kid was the age when the difference doesn’t show much and was dressed in shirt, shorts and dirty tennis shoes, and had short hair, so I didn’t have much to go on but the rag dolly.

“Hi, yourself,” I answered. “What are we doing here?” “I’m surviving. I don’t know about you.”

“Huh?”

“Surviving. Pushing my breath in and out. Conserving my strength. There’s nothing else to do at the moment; they’ve got us locked in.”

I looked around. The room was about ten feet across, four-sided but wedge-shaped, and nothing in it but us. I couldn’t see a door; if we weren’t locked, we might as well be. “Who locked us in?”

“Them. Space pirates. And him.” “Space pirates? Don’t be silly!”

The kid shrugged. “Just my name for them. But better not think they’re silly if you want to keep on surviving. Are you ‘Junebug’?”

“Huh? You sound like a junebug yourself. Space pirates, my aunt!” I was worried and very confused and this nonsense didn’t help. Where was Oscar? And where was I? “No, no, not a junebug but ‘Junebug’-a radio call. You see, I’m Peewee.”

I said to myself, Kip old pal, walk slowly to the nearest hospital and give yourself up. When a radio rig you wired yourself starts looking like a skinny little girl with a rag doll, you’ve flipped. It’s going to be wet packs and tranquilizers and no excitement for you-you’ve blown every fuse.

“You’re ‘Peewee’?”

“That’s what I’m called-I’m relaxed about it. You see, I heard, ‘Junebug, calling Peewee,’ and decided that Daddy had found out about the spot I was in and had alerted people to help me land. But if you aren’t ‘Junebug,’ you wouldn’t know about that. Who are you?”

“Wait a minute, I am ‘Junebug.’ I mean I was using that call. But I’m Clifford Russell-‘Kip’ they call me.” “How do you do. Kip?” she said politely.

“And howdy to you, Peewee. Uh, are you a boy or a girl?”

Peewee looked disgusted. “I’ll make you regret that remark. I realize I am undersized for my age but I’m actually eleven, going on twelve. There’s no need to be rude. In another five years   I expect to be quite a dish-you’ll probably beg me for every dance.”

At the moment I would as soon have danced with a kitchen stool, but I had things on my mind and didn’t want a useless argument. “Sorry, Peewee. I’m still groggy. You mean you were in that first ship?”

Again she looked miffed. “I was piloting it.”

Sedation every night and a long course of psychoanalysis. At my age. “You were-piloting?”

“You surely don’t think the Mother Thing could? She wouldn’t fit their controls. She curled up beside me and coached. But if you think it’s easy, when you’ve never piloted anything but a Cessna with your Daddy at your elbow and never made any kind of landing, then think again. I did very well!-and your landing instructions weren’t too specific. What have they done with the Mother Thing?”

“The what?”

“You don’t know? Oh, dear!”

“Wait a minute, Peewee. Let’s get on the same frequency. I’m ‘Junebug’ all right and I homed you in-and if you think that’s easy, to have a voice out of nowhere demand emergency landing instructions, you better think again, too. Anyhow, a ship landed and another ship landed right after it and a door opened in the first ship and a guy in a space suit jumped out-“

“That was I.”

“-and something else jumped out-“ “The Mother Thing.”

“Only she didn’t get far. She gave a screech and flopped. I went to see what the trouble was and something hit me. The next thing I know you’re saying, ‘Hi, there.’ ” I wondered if I ought to tell her that the rest, including her, was likely a morphine dream because I was probably lying in a hospital with my spine in a cast.

Peewee nodded thoughtfully. “They must have blasted you at low power, or you wouldn’t be here. Well, they caught you and they caught me, so they almost certainly caught her. Oh, dear!   I do hope they didn’t hurt her.”

“She looked like she was dying.”

“As if she were dying,” Peewee corrected me. “Subjunctive. I rather doubt it; she’s awfully hard to kill-and they wouldn’t kill her except to keep her from escaping; they need her alive.” “Why? And why do you call her ‘the Mother Thing’?”

“One at a time, Kip. She’s the Mother Thing because … well, because she is, that’s all. You’ll know, when you meet her. As to why they wouldn’t kill her, it’s because she’s worth more as  a hostage than as a corpse-the same reason the kept me alive. Although she’s worth incredibly more than I am-they’d write me off without a blink if I became inconvenient. Or you. But since she was alive when you saw her, then it’s logical that she’s a prisoner again. Maybe right next door. That makes me feel much better.”

It didn’t make me feel better. “Yes, but where’s here?”

Peewee glanced at a Mickey Mouse watch, frowned and said, “Almost halfway to the Moon, I’d say.” “What?!”

“Of course I don’t know. But it makes sense that they would go back to their nearest base; that’s where the Mother Thing and I scrammed from.” “You’re telling me we’re in that ship?”

“Either the one I swiped or the other one. Where did you think you were, Kip? Where else could you be?” “Amental hospital.”

She looked big-eyed and then grinned. “Why, Kip, surely your grip on reality is not that weak?” “I’m not sure about anything. Space pirates-Mother Things.”

She frowned and bit her thumb. “I suppose it must be confusing. But trust your ears and eyes. My grip on reality is quite strong, I assure you- you see, I’m a genius.” She made it a statement, not a boast, and somehow I was not inclined to doubt the claim, even though it came from a skinny-shanked kid with a rag doll in her arms.

But I didn’t see how it was going to help.

Peewee went on: ” ‘Space pirates’ … mmm. Call them what you wish. Their actions are piratical and they operate in space-you name them. As for the Mother Thing … wait until you meet her.”

“What’s she doing in this hullabaloo?”

“Well, it’s complicated. She had better explain it. She’s a cop and she was after them-“ “Acop?”

“I’m afraid that is another semantic inadequacy. The Mother Thing knows what we mean by cop and I think she finds the idea bewildering if not impossible. But what would you call a person who hunts down miscreants? Acop, no?”

“Acop, yes, I guess.”

“So would I.” She looked again at her watch. “But right now I think we had better hang on. We ought to be at halfway point in a few minutes- and a skew-flip is disconcerting even if you are strapped down.”

I had read about skew-flip turn-overs, but only as a theoretical maneuver; I had never heard of a ship that could do one. If this was a ship. The floor felt as solid as concrete and as motionless. “I don’t see anything to hang on to.”

“Not much, I’m afraid. But if we sit down in the narrowest part and push against each other, I think we can brace enough not to slide around. But let’s hurry; my watch might be slow.” We sat on the floor in the narrow part where the angled walls were about five feet apart. We faced each other and pushed our shoes against each other, each of us bracing like an

Alpinist inching his way up a rock chimney-my socks against her tennis shoes, rather, for my shoes were still on my workbench, so far as I knew. I wondered if they had simply dumped

Oscar in the pasture and if Dad would find him.

“Push hard, Kip, and brace your hands against the deck.”

I did so. “How do you know when they’ll turn over, Peewee?”

“I haven’t been unconscious-they just tripped me and carried me inside-so I know when we took off. If we assume that the Moon is their destination, as it probably is, and if we assume one gravity the whole jump -which can’t be far off; my weight feels normal. Doesn’t yours?”

I considered it. “I think so.”

“Then it probably is, even though my own sense of weight may be distorted from being on the Moon. If those assumptions are correct, then it is almost exactly a three-and-a-half-hour trip and-” Peewee looked at her watch. “-E.T.A. should be nine-thirty in the morning and turn-over at seven-forty-five. Any moment now.”

“Is it that late?” I looked at my watch. “Why, I’ve got a quarter of two.”

“You’re on your zone time. I’m on Moon time-Greenwich time, that is. Oh, oh! Here we go!”

The floor tilted, swerved, and swooped like a roller coaster, and my semicircular canals did a samba. Things steadied down as I pulled out of acute dizziness. “You all right?” asked Peewee.

I managed to focus my eyes. “Uh, I think so. It felt like a one-and-a-half gainer into a dry pool.”

“This pilot does it faster than I dared to. It doesn’t really hurt, after your eyes uncross. But that settles it. We’re headed for the Moon. We’ll be there in an hour and three quarters.”

I still couldn’t believe it. “Peewee? What kind of a ship can gun at one gee all the way to the Moon? They been keeping it secret? And what were you doing on the Moon anyhow? And why were you stealing a ship?”

She sighed and spoke to her doll. “He’s a quiz kid, Madame Pompadour. Kip, how can I answer three questions at once? This is a flying saucer, and-“ “Flying saucer! Now I’ve heard everything.”

“It’s rude to interrupt. Call it anything you like; there’s nothing official about the term. Actually it’s shaped more like a loaf of pumpernickel, an oblate spheroid. That’s a shape defined-“

“I know what an oblate spheroid is,” I snapped. I was tired and upset from too many things, from a cranky air conditioner that had ruined a good pair of pants to being knocked out while on an errand of mercy. Not to mention Ace Quiggle. I was beginning to think that little girls who were geniuses ought to have the grace not to show it.

“No need to be brisk,” she said reprovingly. “I am aware that people have called everything from weather balloons to street lights ‘flying saucers.’ But it is my considered opinion-by Occam’s Razor-that-“

“Whose razor?”

“Occam’s. Least hypothesis. Don’t you know anything about logic?” “Not much.”

“Well … I suspected that about every five-hundredth ‘saucer sighting’ was a ship like this. It adds up. As for what I was doing on the Moon-” She stopped and grinned. “I’m a pest.”

I didn’t argue it.

“Along time ago when my Daddy was a boy, the Hayden Planetarium took reservations for trips to the Moon. It was just a publicity gag, like that silly soap contest recently, but Daddy got his name on the list. Now, years and years later, they are letting people go to the Moon-and sure enough, the Hayden people turned the list over to American Express- and American Express notified the applicants they could locate that they would be given preference.”

“So your father took you to the Moon?”

“Oh, heavens, no! Daddy filled out that form when he was only a boy. Now he is just about the biggest man at the Institute for Advanced Study and hasn’t time for such pleasures. And Mama wouldn’t go if you paid her. So I said I would. Daddy said ‘No!’ and Mama said Good gracious, no!’ … and so I went. I can be an awful nuisance when I put my mind on it,” she said proudly. “I have talent for it. Daddy says I’m an amoral little wretch.”

“Uh, do you suppose he might be right?”

“Oh, I’m sure he is. He understands me, whereas Mama throws up her hands and says she can’t cope. I was perfectly beastly and unbearable for two whole weeks and at last Daddy said ‘For Blank’s sake let her go! -maybe we’ll collect her insurance!’ So I did.”

“Mmmmm … that still doesn’t explain why you are here.”

“Oh, that. I was poking around where I shouldn’t, doing things they told us not to. I always get around; it’s very educational. So they grabbed me. They would rather have Daddy but they hope to swap me for him. I couldn’t let that happen, so I had to escape.”

I muttered, ” The butler did it.’ “ “What?”

“Your story has as many holes as the last Chapter of most whodunits.” “Oh. But I assure you it is the simple-oh, oh! here we go again!”

All that happened was that the lighting changed from white to blue. There weren’t any light fixtures; the whole ceiling glowed. We were still sprawled on the floor. I started to get up-and found I couldn’t.

I felt as if I had just finished a cross-country race, too weak to do anything but breathe. Blue light can’t do that; it’s merely wavelengths 4300 to 5100 angstroms and sunlight is loaded with it. But whatever they used with the blue light made us as limp as wet string.

Peewee was struggling to tell me something. “If … they’re coming for us … don’t resist … and … above all-“ The blue light changed to white. The narrow wall started to slide aside.

Peewee looked scared and made a great effort. “-above all … don’t antagonize … him.”

Two men came in, shoved Peewee aside, strapped my wrists and ankles and ran another strap around my middle, binding my arms. I started to come out of it-not like flipping a switch, as I still didn’t have energy enough to lick a stamp. I wanted to bash their heads but I stood as much chance as a butterfly has of hefting a bar bell.

They carried me out. I started to protest. “Say, where are you guys taking me? What do you think you’re doing? I’ll have you arrested. I’ll—”

“Shaddap,” said one. He was a skinny runt, fifty or older, and looked as if he never smiled. The other was fat and younger, with a petulant babyish mouth and a dimple in his chin; he looked as if he could laugh if he weren’t worried. He was worrying now.

“Tim, this can get us in trouble. We ought to space him-we ought to space both of ‘em-and tell him it was an accident. We can say they got out and tried to escape through the lock. He won’t know the dif-“

“Shaddap,” answered Tim with no inflection. He added, “You want trouble with him? You want to chew space?” “But-“

“Shaddap.”

They carried me around a curved corridor, into an inner room and dumped me on the floor.

I was face up but it took time to realize this must be the control room. It didn’t look like anything any human would design as a control room, which wasn’t surprising as no human had. Then I saw him.

Peewee needn’t have warned me; I didn’t want to antagonize him.

The little guy was tough and dangerous, the fat guy was mean and murderous; they were cherubs compared with him. If I had had my strength I would have fought those two any way they liked; I don’t think I’m too afraid of any human as long as the odds aren’t impossible.

But not him.

He wasn’t human but that wasn’t what hurt. Elephants aren’t human but they are very nice people. He was built more like a human than an elephant is but that was no help-I mean he stood erect and had feet at one end and a head at the other. He was no more than five feet tall but that didn’t help either; he dominated us the way a man dominates a horse. The torso part was as long as mine; his shortness came from very squat legs, with feet (I guess you would call them feet) which bulged out, almost disc-like. They made squashy, sucking sounds when he moved. When he stood still a tail, or third leg, extruded and turned him into a tripod-he didn’t need to sit down and I doubt if he could.

Short legs did not make him slow. His movements were blurringly fast, like a striking snake. Does this mean a better nervous system and more efficient muscles? Or a native planet with higher gravity?

His arms looked like snakes-they had more joints than ours. He had two sets, one pair where his waist should have been and another set under his head. No shoulders. I couldn’t count his fingers, or digit tendrils; they never held still. He wasn’t dressed except for a belt below and above the middle arms which carried whatever such a thing carries in place of money and keys. His skin was purplish brown and looked oily.

Whatever he was, he was not the same race as the Mother Thing.

He had a faint sweetish musky odor. Any crowded room smells worse on a hot day, but if I ever whiff that odor again, my skin will crawl and I’ll be tongue-tied with fright.

I didn’t take in these details instantly; at first all I could see was his face. A“face” is all I can call it. I haven’t described it yet because I’m afraid I’ll get the shakes. But I will, so that if you ever see one, you’ll shoot first, before your bones turn to jelly.

No nose. He was an oxygen breather but where the air went in and out I couldn’t say-some of it through the mouth, for he could talk. The mouth was the second worst part of him; in place of jawbone and chin he had mandibles that opened sideways as well as down, gaping in three irregular sides. There were rows of tiny teeth but no tongue that I could see; instead the mouth was rimmed with cilia as long as angleworms. They never stopped squirming.

I said the mouth was “second worst”; he had eyes. They were big and bulging and protected by horny ridges, two on the front of his head, set wide apart. They scanned. They scanned like radar, swinging up and down and back and forth. He never looked at you and yet was always looking at you.

When he turned around, I saw a third eye in back. I think he scanned his whole surroundings at all times, like a radar warning system.

What kind of brain can put together everything in all directions at once? I doubt if a human brain could, even if there were any way to feed in the data. He didn’t seem to have room in his head to stack much of a brain, but maybe he didn’t keep it there. Come to think of it, humans wear their brains in an exposed position; there may be better ways.

But he certainly had a brain. He pinned me down like a beetle and squeezed out what he wanted. He didn’t have to stop to brainwash me; he questioned and I gave, for an endless time-  it seemed more like days than hours. He spoke English badly but understandably. His labials were all alike-“buy” and “pie” and “vie” sounded the same. His gutturals were harsh and   his dentals had a clucking quality. But I could usually understand and when I didn’t, he didn’t threaten or punish; he just tried again. He had no expression in his speech.

He kept at it until he had found out who I was and what I did and as much of what I knew as interested him. He asked questions about how I happened to be where I was and dressed the way I was when I was picked up. I couldn’t tell whether he liked the answers or not.

He had trouble understanding what a “soda jerk” was and, while he learned about the Skyway Soap contest, he never seemed to understand why it took place. But I found that there were  a lot of things I didn’t know either-such as how many people there are on Earth and how many tons of protein we produce each year.

After endless time he had all he wanted and said, “Take it out.” The stooges had been waiting. The fat boy gulped and said, “Space him?”

He acted as if killing me or not were like saving a piece of string. “No. It is ignorant and untrained, but I may have use for it later. Put it back in the pen.” “Yes, boss.”

They dragged me out. In the corridor Fatty said, “Let’s untie his feet and make him walk.” Skinny said, “Shaddap.”

Peewee was just inside the entrance panel but didn’t move, so I guess she had had another dose of that blue-light effect. They stepped over her and dumped me. Skinny chopped me on the side of the neck to stun me. When I came to, they were gone, I was unstrapped, and Peewee was sitting by me. She said anxiously, “Pretty bad?”

“Uh, yeah,” I agreed, and shivered. “I feel ninety years old.”

“It helps if you don’t look at him-especially his eyes. Rest a while and you’ll feel better.” She glanced at her watch. “It’s only forty-five minutes till we land. You probably won’t be disturbed before then.”

“Huh?” I sat up. “I was in there only an hour?” “Alittle less. But it seems forever. I know.”

“I feel like a squeezed orange.” I frowned, remembering something. “Peewee, I wasn’t too scared when they came for me. I was going to demand to be turned loose and insist on explanations. But I never asked him a question, not one.”

“You never will. I tried. But your will just drains out. Like a rabbit in front of a snake.” “Yes.”

“Kip, do you see why I had to take just any chance to get away? You didn’t seem to believe my story-do you believe it now?” “Uh, yes. I believe it.”

“Thanks. I always say I’m too proud to care what people think, but I’m not, really. I had to get back to Daddy and tell him … because he’s the only one in the entire world who would simply believe me, no matter how crazy it sounded.”

“I see. I guess I see. But how did you happen to wind up in Centerville?” “Centerville?”

“Where I live. Where ‘Junebug’ called ‘Peewee.’ “

“Oh. I never meant to go there. I meant to land in New Jersey, in Princeton if possible, because I had to find Daddy.” “Well, you sure missed your aim.”

“Can you do better? I would have done all right but I had my elbow joggled. Those things aren’t hard to fly; you just aim and push for where you want to go, not like the complicated things they do about rocket ships. And I had the Mother Thing to coach me. But I had to slow down going into the atmosphere and compensate for Earth’s spin and I didn’t know quite how. I found myself too far west and they were chasing me and I didn’t know what to do … and then I heard you on the space-operations band and thought everything was all right-and there I was.” She spread her hands. “I’m sorry, Kip.”

“Well, you landed it. They say any landing you walk away from is a good one.” “But I’m sorry I got you mixed up in it.”

“Uh … don’t worry about that. It looks like somebody has to get mixed up in it. Peewee … what’s he up to?” “They, you mean.”

“Huh? I don’t think the other two amount to anything. He is the one.”

“I didn’t mean Tim and Jock-they’re just people gone bad. I meant them-him and others like him.”

I wasn’t at my sharpest-I had been knocked out three times and was shy a night’s sleep and more confusing things had happened than in all my life. but until Peewee pointed it out I hadn’t considered that there could be more than one like him-one seemed more than enough.

But if there was one, then there were thousands-maybe millions or billions. I felt my stomach twist and wanted to hide. “You’ve seen others?” “No. Just him. But the Mother Thing told me.”

“Ugh! Peewee … what are they up to?”

“Haven’t you guessed? They’re moving in on us.” My collar felt tight, even though it was open. “How?” “I don’t know.”

“You mean they’re going to kill us off and take over Earth?” She hesitated. “It might not be anything that nice.”

“Uh … make slaves of us?”

“You’re getting warmer. Kip-I think they eat meat.”

I swallowed. “You have the jolliest ideas, for a little girl.” “You think I like it? That’s why I had to tell Daddy.”

There didn’t seem to be anything to say. It was an old, old fear for human beings. Dad had told me about an invasion-from-Mars radio broadcast when he was a kid-pure fiction but it had scared people silly. But people didn’t believe in it now; ever since we got to the Moon and circled Mars and Venus everybody seemed to agree that we weren’t going to find life anywhere.

Now here it was, in our laps. “Peewee? Are these things Martians? Or from Venus?”

She shook her head. “They’re not from anywhere close. The Mother Thing tried to tell me, but we ran into a difficulty of understanding.”

“Inside the Solar System?”

“That was part of the difficulty. Both yes and no.” “It can’t be both.”

“You ask her.”

“I’d like to.” I hesitated, then blurted, “I don’t care where they’re from -we can shoot them down … if we don’t have to look at them!” “Oh, I hope so!”

“It figures. You say these are flying saucers … real saucer sightings, I mean; not weather balloons. If so, they have been scouting us for years. Therefore they aren’t sure of themselves, even if they do look horrible enough to curdle milk. Otherwise they would have moved in at once the way we would on a bunch of animals. But they haven’t. That means we can kill them-if we go about it right.”

She nodded eagerly. “I hope so. I hoped Daddy would see a way. But-” She frowned. “-we don’t know much about them … and Daddy always warned me not to be cocksure when data was incomplete. ‘Don’t make so much stew from one oyster, Peewee,’ he always says.”

“But I’ll bet we’re right. Say, who is your Daddy? And what’s your full name?”

“Why, Daddy is Professor Reisfeld. And my name is Patricia Wynant Reisfeld. Isn’t that awful? Better call me Peewee.” “Professor Reisfeld- What does he teach?”

“Huh? You don’t know? You don’t know about Daddy’s Nobel Prize? Or anything?” “I’m just a country boy, Peewee. Sorry.”

“You must be. Daddy doesn’t teach anything. He thinks. He thinks better than anybody … except me, possibly. He’s the synthesist. Everybody else specializes. Daddy knows everything and puts the pieces together.”

Maybe so, but I hadn’t heard of him. It sounded like a good idea … but it would take an awfully smart man-if I had found out anything, it was that they could print it faster than I could study it. Professor Reisfeld must have three heads. Five.

“Wait till you meet him,” she added, glancing at her watch. “Kip, I think we had better get braced. We’ll be landing in a few minutes … and he won’t care how he shakes up passengers.” So we crowded into the narrow end and braced each other. We waited. After a bit the ship shook itself and the floor tilted. There was a slight bump and things got steady and suddenly I

felt very light. Peewee pulled her feet under her and stood up. “Well, we’re on the Moon.”

Chapter 5

When I was a kid, we used to pretend we were making the first landing on the Moon. Then I gave up romantic notions and realized that I would have to go about it another way. But I never thought I would get there penned up, unable to see out, like a mouse in a shoe box.

The only thing that proved I was on the Moon was my weight. High gravity can be managed anywhere, with centrifuges. Low gravity is another matter; on Earth the most you can squeeze out is a few seconds going off a high board, or by parachute delay, or stunts in a plane.

If low gravity goes on and on, then wherever you are, you are not on Earth. Well, I wasn’t on Mars; it had to be the Moon.

On the Moon I should weigh a little over twenty-five pounds. It felt about so-I felt light enough to walk on a lawn and not bend the grass.

For a few minutes I simply exulted in it, forgetting him and the trouble we were in, just heel-and-toe around the room, getting the wonderful feel of it, bouncing a little and bumping my head against the ceiling and feeling how slowly, slowly, slowly I settled back to the floor. Peewee sat down, shrugged her shoulders and gave a little smile, an annoyingly patronizing one. The “Old Moon-Hand”-all of two weeks more of it than I had had.

Low gravity has its disconcerting tricks. Your feet have hardly any traction and they fly out from under you. I had to learn with muscles and reflexes what I had known only intellectually: that when weight goes down, mass and inertia do not. To change direction, even in walking, you have to lean the way you would to round a turn on a board track- and even then if you don’t have traction (which I didn’t in socks on a smooth floor) your feet go out from under you.

Afall doesn’t hurt much in one-sixth gravity but Peewee giggled. I sat up and said, “Go and laugh, smartie. You can afford to-you’ve got tennis shoes.” “I’m sorry. But you looked silly, hanging there like a slow-motion picture and grabbing air.”

“No doubt. Very funny.”

“I said I was sorry. Look, you can borrow my shoes.”

I looked at her feet, then at mine, and snorted. “Gee, thanks!”

“Well … you could cut the heels out, or something. It wouldn’t bother me. Nothing ever does. Where are your shoes. Kip?” “Uh, about a quarter-million miles away-unless we got off at the wrong stop.”

“Oh. Well, you won’t need them much, here.”

“Yeah.” I chewed my lip, thinking about “here” and no longer interested in games with gravity. “Peewee? What do we do now?” “About what?”

“About him.”

“Nothing. What can we do?” “Then what do we do?” “Sleep.”

“Huh?”

“Sleep. ‘Sleep, that knits up the ravell’d sleave of care.’ ‘Tired Nature’s sweet restorer, balmy sleep.’ ‘Blessings on him who invented sleep, the mantle that covers all human thoughts.’ “ “Quit showing off and talk sense!”

“I am talking sense. At the moment we’re as helpless as goldfish. We’re simply trying to survive-and the first principle of survival is not to worry about the impossible and concentrate on what’s possible. I’m hungry and thirsty and uncomfortable and very, very tired … and all I can do about it is sleep. So if you will kindly keep quiet, that’s what I’ll do.”

“I can take a hint. No need to snap at me.”

“I’m sorry. But I get cross as two sticks when I’m tired and Daddy says I’m simply frightful before breakfast.” She curled up in a little ball and tucked that filthy rag doll under her chin. “G’night, Kip.”

“Good night, Peewee.”

I thought of something and started to speak … and saw that she was asleep. She was breathing softly and her face had smoothed out and no longer looked alert and smart-alecky. Her upper lip pooched out in a baby pout and she looked like a dirty-faced cherub. There were streaks where she had apparently cried and not wiped it away. But she had never let me see her crying.

Kip, I said to myself, you get yourself into the darndest things; this is much worse than bringing home a stray pup or a kitten. But I had to take care of her … or die trying.

Well, maybe I would. Die trying, I mean. It didn’t look as if I were any great shakes even taking care of myself.

I yawned, then yawned again. Maybe the shrimp had more sense than I had, at that. I was more tired than I had ever been, and hungry and thirsty and not comfortable other ways. I thought about banging on the door panel and trying to attract the fat one or his skinny partner. But that would wake Peewee-and it might antagonize him.

So I sprawled on my back the way I nap on the living-room rug at home. I found that a hard floor does not require any one sleeping position on the Moon; one-sixth gravity is a better mattress than all the foam rubber ever made-that fussy princess in Hans Christian Andersen’s story would have had no complaints.

I want to sleep at once.

It was the wildest space opera I had ever seen, loaded with dragons and Arcturian maidens and knights in shining space armor and shuttling between King Arthur’s Court and the Dead Sea Bottoms of Barsoom. I didn’t mind that but I did mind the announcer. He had the voice of Ace Quiggle and the face of him. He leaned out of the screen and leered, those wormy cilia writhing. “Will Beowulf conquer the Dragon? Will Tristan return to Iseult? Will Peewee find her dolly? Tune in this channel tomorrow night and in the meantime, wake up and hurry to your neighborhood druggist for a cake of Skyway’s Kwikbrite Armor Polish, the better polish used by the better knights sans peur et sans reproche. Wake up!” He shoved a snaky arm out of  the screen and grabbed my shoulder.

I woke up.

“Wake up,” Peewee was saying, shaking my shoulder. “Please wake up, Kip.” “Lea’ me alone!”

“You were having a nightmare.”

The Arcturian princess had been in a bad spot. “Now I’ll never know how it came out. Wha’ did y’ want to wake me for? I thought the idea was to sleep?” “You’ve slept for hours-and now perhaps there is something we can do.”

“Breakfast, maybe?”

She ignored that. “I think we should try to escape.”

I sat up suddenly, bounced off the floor, settled back. “Wups! How?”

“I don’t know exactly. But I think they have gone away and left us. If so, we’ll never have a better chance.” “They have? What makes you think so?”

“Listen. Listen hard.”

I listened. I could hear my heart beat, I could hear Peewee breathing, and presently I could hear her heart beating. I’ve never heard deeper silence in a cave.

I took my knife, held it in my teeth for bone conduction and pushed it against a wall. Nothing. I tried the floor and the other walls. Still nothing. The ship ached with silence-no throb, no thump, not even those vibrations you can sense but not hear. “You’re right, Peewee.”

“I noticed it when the air circulation stopped.” I sniffed. “Are we running out of air?”

“Not right away. But the air stopped-it comes out of those tiny holes up there. You don’t notice it but I missed something when it stopped.”  I thought hard. “I don’t see where this gets us. We’re still locked up.”

“I’m not sure.”

I tried the blade of my knife on a wall. It wasn’t metal or anything I knew as plastic, but it didn’t mind a knife. Maybe the Comte de Monte Cristo could have dug a hole in it-but he had more time. “How do you figure?”

“Every time they’ve opened or closed that door panel, I’ve heard a click. So after they took you out I stuck a wad of bubble gum where the panel meets the wall, high up where they might not notice.”

“You’ve got some gum?”

“Yes. It helps, when you can’t get a drink of water. I-“

“Got any more?” I asked eagerly. I wasn’t fresh in any way but thirst was the worst-I’d never been so thirsty.

Peewee looked upset. “Oh, poor Kip! I haven’t any more … just an old wad I kept parked on my belt buckle and chewed when I felt driest.” She frowned. “But you can have it. You’re welcome.”

“Uh, thanks, Peewee. Thanks a lot. But I guess not.”

She looked insulted. “I assure you, Mr. Russell, that I do not have anything contagious. I was merely trying to-“ “Yes, yes,” I said hastily. “I’m sure you were. But-“

“I assumed that these were emergency conditions. It is surely no more unsanitary than kissing a girl-but then I don’t suppose you’ve ever kissed a girl!”

“Not lately,” I evaded. “But what I want is a drink of clear cold water- or murky warm water. Besides, you used up your gum on the door panel. What did you expect to accomplish?” “Oh. I told you about that click. Daddy says that, in a dilemma, it is helpful to change any variable, then reexamine the problem. I tried to introduce a change with my bubble gum.” “Well?”

“When they brought you back, then closed the door, I didn’t hear a click.”

“What? Then you thought you had bamboozled their lock hours and hour ago-and you didn’t tell me?” “That is correct.”

“Why, I ought to spank you!”

“I don’t advise it,” she said frostily. “I bite.”

I believed her. And scratch. And other things. None of them pleasant. I changed the subject. “Why didn’t you tell me, Peewee?” “I was afraid you might try to get out.”

“Huh? I certainly would have!”

“Precisely. But I wanted that panel closed … as long as he was out there.”

Maybe she was a genius. Compared with me. “I see your point. All right, let’s see if we can get it open.” I examined the panel. The wad of gum was there, up high as she could reach, and from the way it was mashed it did seem possible that it had fouled the groove the panel slid into, but I couldn’t see any crack down the edge.

I tried the point of my big blade on it. The panel seemed to creep to the right an eighth of an inch-then the blade broke.  I closed the stub and put the knife away. “Any ideas?”

“Maybe if we put our hands flat against it and tried to drag it?”

“Okay.” I wiped sweat from my hands on my shirt. “Now … easy does it. Just enough pressure for friction.” The panel slid to the right almost an inch-and stopped firmly.

But there was a hairline crack from floor to ceiling.

I broke off the stub of the big blade this time. The crack was no wider. Peewee said, “Oh, dear!” “We aren’t licked.” I backed off and ran toward the door.

“Toward,” not “to”-my feet skidded, I leveled off and did a leisurely bellywhopper. Peewee didn’t laugh.

I picked myself up, got against the far wall, braced one foot against it and tried a swimming racing start.

I got as far as the door panel before losing my footing. I didn’t hit it very hard, but I felt it spring. It bulged a little, then sprang back. “Wait a sec, Kip,” said Peewee. “Take your socks off. I’ll get behind you and push-my tennis shoes don’t slip.”

She was right. On the Moon, if you can’t get rubber-soled shoes, you’re better off barefooted. We backed against the far wall, Peewee behind me with her hands on my hips. “One … two

… three … Go!” We advanced with the grace of a hippopotamus.

I hurt my shoulder. But the panel sprung out of its track, leaving a space four inches wide at the bottom and tapering to the top.

I left skin on the door frame and tore my shirt and was hampered in language by the presence of a girl. But the opening widened. When it was wide enough for my head, I got down flat and peered out. There was nobody in sight-a foregone conclusion, with the noise I had made, unless they were playing cat-and-mouse. Which I wouldn’t put past them. Especially him.

Peewee started to wiggle through; I dragged her back. “Naughty, naughty! I go first.” Two more heaves and it was wide enough for me. I opened the small blade of my knife and handed it

to Peewee. “With your shield or on it, soldier.”

“You take it.”

“I won’t need it. ‘Two-Fisted Death,’ they call me around dark alleys.” This was propaganda, but why worry her? Sans pew et sans reproche- maiden-rescuing done cheaply, special rates for parties.

I eased out on elbows and knees, stood up and looked around. “Come on out,” I said quietly.

She started to, then backed up suddenly. She reappeared clutching that bedraggled dolly. “I almost forgot Madame Pompadour,” she said breathlessly.  I didn’t even smile.

“Well,” she said defensively, “I have to have her to get to sleep at night. It’s my one neurotic quirk-but Daddy says I’ll outgrow it.” “Sure, sure.”

“Well, don’t look so smug! It’s not fetishism, not even primitive animism; it’s merely a conditioned reflex. I’m aware that it’s just a doll-I’ve understood the pathetic fallacy for … oh, years and years!”

“Look, Peewee,” I said earnestly, “I don’t care how you get to sleep. Personally I hit myself over the head with a hammer. But quit yakking. Do you know the layout of these ships?” She looked around. “I think this is the ship that chased me. But it looks the same as the one I piloted.”

“All right. Should we head for the control room?” “Huh?”

“You flew the other heap. Can you fly this one?” “Unh … I guess so. Yes, I can.”

“Then let’s go.” I started in the direction they had lugged me.

“But the other time I had the Mother Thing to tell me what to do! Let’s find her.” I stopped. “Can you get it off the ground?”

“Well … yes.”

“We’ll look for her after we’re in the air-‘in space,’ I mean. If she’s aboard we’ll find her. If she’s not, there’s not a thing we can do.” “Well … all right. I see your logic; I don’t have to like it.” She tagged along. “Kip? How many gravities can you stand?”

“Huh? I haven’t the slightest idea. Why?”

“Because these things can go lots faster than I dared try when I escaped before. That was my mistake.” “Your mistake was in heading for New Jersey.”

“But I had to find Daddy!”

“Sure, sure, eventually. But you should have ducked over to Lunar Base and yelled for the Federation Space Corps. This is no job for a popgun; we need help. Any idea where we are?” “Mmm … I think so. If he took us back to their base. I’ll know when I look at the sky.”

“All right. If you can figure out where Lunar Base is from here, that’s where we’ll go. If not- Well, we’ll head for New Jersey at all the push it has.”

The control-room door latched and I could not figure out how to open it. Peewee did what she said should work-which was to tuck her little finger into a hole mine would not enter-and told me it must be locked. So I looked around.

I found a metal bar racked in the corridor, a thing about five feet long, pointed on one end and with four handles like brass knucks on the other. I didn’t know what it was-the hobgoblin equivalent of a fire ax, possibly -but it was a fine wrecking bar.

I made a shambles of that door in three minutes. We went in.

My first feeling was gooseflesh because here was where I had been grilled by him. I tried not to show it. If he turned up, I was going to let him have his wrecking bar right between his  grisly eyes. I looked around, really seeing the place for the first time. There was sort of a nest in the middle surrounded by what could have been a very fancy coffee maker or a velocipede for an octopus; I was glad Peewee knew which button to push. “How do you see out?”

“Like this.” Peewee squeezed past and put a finger into a hole I hadn’t noticed.

The ceiling was hemispherical like a planetarium. Which was what it was, for it lighted up. I gasped.

It was suddenly not a floor we were on, but a platform, apparently out in the open and maybe thirty feet in the air. Over me were star images, thousands of them, in a black “sky”-and facing toward me, big as a dozen full moons and green and lovely and beautiful, was Earth!

Peewee touched my elbow. “Snap out of it, Kip.”

I said in a choked voice, “Peewee, don’t you have any poetry in your soul?”

“Surely I have. Oodles. But we haven’t time. I know where we are, Kip -back where I started from. Their base. See those rocks with long jagged shadows? Some of them are ships, camouflaged. And over to the left- that high peak, with the saddle?-a little farther left, almost due west, is Tombaugh Station, forty miles away. About two hundred miles farther is Lunar Base and beyond is Luna City.”

“How long will it take?”

“Two hundred, nearly two hundred and fifty miles? Uh, I’ve never tried a point-to-point on the Moon-but it shouldn’t take more than a few minutes.” “Let’s go! They might come back any minute.”

“Yes, Kip.” She crawled into that jackdaw’s nest and bent over a sector.

Presently she looked up. Her face was white and thin and very little-girlish. “Kip … we aren’t going anywhere. I’m sorry.”  I let out a yelp. “What! What’s the matter? Have you forgotten how to run it?”

“No. The ‘brain’ is gone.” “The which?”

“The ‘brain.’ Little black dingus about the size of a walnut that fits in this cavity.” She showed me. “We got away before because the Mother Thing managed to steal one. We were locked  in an empty ship, just as you and I are now. But she had one and we got away.” Peewee looked bleak and very lost. “I should have known that he wouldn’t leave one in the control room-I guess I did and didn’t want to admit it. I’m sorry.”

“Uh … look, Peewee, we won’t give up that easily. Maybe I can make something to fit that socket.”

“Like jumping wires in a car?” She shook her head. “It’s not that simple. Kip. If you put a wooden model in place of the generator in a car, would it run? I don’t know quite what it does, but   I called it the ‘brain’ because it’s very complex.”

“But-” I shut up. If a Borneo savage had a brand-new car, complete except for spark plugs, would he get it running? Echo answers mournfully. “Peewee, what’s the next best thing? Any ideas? Because if you haven’t, I want you to show me the air lock. I’ll take this-” I shook my wrecking bar “-and bash anything that comes through.”

“I’m stumped,” she admitted. “I want to look for the Mother Thing. If she’s shut up in this ship, she may know what to do.”

“All right. But first show me the air lock. You can look for her while I stand guard.” I felt the reckless anger of desperation. I didn’t see how we were ever going to get out and I was   beginning to believe that we weren’t -but there was still a reckoning due. He was going to learn that it wasn’t safe to push people around. I was sure-I was fairly sure-that I could sock him before my spine turned to jelly. Splash that repulsive head.

If I didn’t look at his eyes.

Peewee said slowly, “There’s one other thing-“ “What?”

“I hate to suggest it. You might think I was running out on you.” “Don’t be silly. If you’ve got an idea, spill it.”

“Well … there’s Tombaugh Station, over that way about forty miles. If my space suit is in the ship-“

I suddenly quit feeling like Bowie at the Alamo. Maybe the game would go an extra period- “We can walk it!”

She shook her head. “No, Kip. That’s why I hesitated to mention it. I can walk it … if we find my suit. But you couldn’t wear my suit even if you squatted.” “I don’t need your suit,” I said impatiently.

“Kip, Kip! This is the Moon, remember? No air.”

“Yes, yes, sure! Think I’m an idiot? But if they locked up your suit, they probably put mine right beside it and-“ “You’ve got a space suit?” she said incredulously.

Our next remarks were too confused to repeat but finally Peewee was convinced that I really did own a space suit, that in fact the only reason I was sending on the space-operations band twelve hours and a quarter of a million miles back was that I was wearing it when they grabbed me.

“Let’s tear the joint apart!” I said. “No-show me that air lock, then you take it apart.” “All right.”

She showed me the lock, a room much like the one we had been cooped in, but smaller and with an inner door built to take a pressure load. It was not locked. We opened it cautiously. It was empty, and its outer door was closed or we would never been able to open the inner. I said, “If Wormface had been a suspenders-and-belt man, he would have left the outer door open, even though he had us locked up. Then- Wait a second! Is there a way to latch the inner door open?”

“I don’t know.”

“We’ll see.” There was, a simple hook. But to make sure that it couldn’t be unlatched by button-pushing from outside I wedged it with my knife. “You’re sure this is the only air lock?” “The other ship had only one and I’m pretty certain they are alike.”

“We’ll keep our eyes open. Nobody can get at us through this one. Even old Wormface has to use an air lock.” “But suppose he opens the outer door anyhow?” Peewee said nervously. “We’d pop like balloons.”

I looked at her and grinned. “Who is a genius? Sure we would … if he did. But he won’t. Not with twenty, twenty-five tons of pressure holding it closed. As you reminded me, this is the Moon. No air outside, remember?”

“Oh.” Peewee looked sheepish.

So we searched. I enjoyed wrecking doors; Wormface wasn’t going to like me. One of the first things we found was a smelly little hole that Fatty and Skinny lived in. The door was not locked, which was a shame. That room told me a lot about that pair. It showed that they were pigs, with habits as unattractive as their morals. The room also told me that they were not casual prisoners; it had been refitted for humans. Their relationship with Wormface, whatever it was, had gone on for some time and was continuing. There were two empty racks for space suits, several dozen canned rations of the sort sold in military-surplus stores, and best of all, there was drinking water and a washroom of sorts-and something more precious than fine gold or frankincense if we found our suits: two charged bottles of oxy-helium.

I took a drink, opened a can of food for Peewee-it opened with a key; we weren’t in the predicament of the Three Men in a Boat with their tin of pineapple-told her to grab a bite, then search that room. I went on with my giant toad sticker; those charged air bottles had given me an unbearable itch to find our suits-and get out!-before Wormface returned.

I smashed a dozen doors as fast as the Walrus and the Carpenter opened oysters and found all sorts of things, including what must have been living quarters for wormfaces. But I didn’t stop to look-the Space Corps could do that, if and when-I simply made sure that there was not a space suit in any of them.

And found them!-in a compartment next to the one we had been prisoners in.

I was so glad to see Oscar that I could have kissed him. I shouted, “Hi, Pal! Mirabile visu!” and ran to get Peewee. My feet went out from under me again but I didn’t care. Peewee looked up as I rushed in. “I was just going to look for you.”

“Got it! Got it!”

“You found the Mother Thing?” she said eagerly.

“Huh? No, no! The space suits-yours and mine! Let’s go!”

“Oh.” She looked disappointed and I felt hurt. “That’s good … but we have to find the Mother Thing first.”

I felt tried beyond endurance. Here we had a chance, slim but real, to escape a fate-worse-than-death (I’m not using a figure of speech) and she wanted to hang around to search for a bug-eyed monster. For any human being, even a stranger with halitosis, I would have done it. For a dog or cat I would, although reluctantly.

But what was a bug-eyed monster to me? All this one had done was to get me into the worst jam I had ever been in.  I considered socking Peewee and stuffing her into her suit. But I said, “Are you crazy? We’re leaving-right now!”

“We can’t go till we find her.”

“Now I know you’re crazy. We don’t even know she’s here … and if we do find her, we can’t take her with us.” “Oh, but we will!”

“How? This is the Moon, remember? No air. Got a space suit for her?”

“But-” That stonkered her. But not for long. She had been sitting on the floor, holding the ration can between her knees. She stood up suddenly, bouncing a little, and said, “Do as you like; I’m going to find her. Here.” She shoved the can at me.

I should have used force. But I am handicapped by training from early childhood never to strike a female, no matter how richly she deserves it. So the opportunity and Peewee both slid past while I was torn between common sense and upbringing. I simply groaned helplessly.

Then I became aware of an unbearably attractive odor. I was holding that can. It contained boiled shoe leather and gray gravy and smelled ambrosial.

Peewee had eaten half; I ate the rest while looking at what she had found. There was a coil of nylon rope which I happily put with the air bottles; Oscar had fifty feet of clothesline clipped to his belt but that had been a penny-saving expedient. There was a prospector’s hammer which I salvaged, and two batteries which would do for headlamps and things.

The only other items of interest were a Government Printing Office publication titled Preliminary Report on Selenology, a pamphlet on uranium prospecting, and an expired Utah driver’s license for “Timothy Johnson”-I recognized the older man’s mean face. The pamphlets interested me but this was no time for excess baggage.

The main furniture was two beds, curved like contour chairs and deeply padded; they told me that Skinny and Fatty had ridden this ship at high acceleration.

When I had mopped the last of the gravy with a finger, I took a big drink, washed my hands-using water lavishly because I didn’t care if that pair died of thirst-grabbed my plunder and headed for the room where the space suits were.

As I got there I ran into Peewee. She was carrying the crowbar and looking overjoyed. “I found her!” “Where?”

“Come on! I can’t get it open, I’m not strong enough.”

I put the stuff with our suits and followed her. She stopped at a door panel farther along the corridor than my vandalism had taken me. “In there!”  I looked and I listened. “What makes you think so?”

“I know! Open it!”

I shrugged and got to work with the nutpick. The panel went sprung! and that was that. Curled up in the middle of the floor was a creature.

So far as I could tell, it might or might not have been the one I had seen in the pasture the night before. The light had been poor, the conditions very different, and my examination had ended abruptly. But Peewee was in no doubt. She launched herself through the air with a squeal of joy and the two rolled over and over like kittens play-fighting.

Peewee was making sounds of joy, more or less in English. So was the Mother Thing, but not in English. I would not have been surprised if she had spoken English, since Wormface did and since Peewee had mentioned things the Mother Thing had told her. But she didn’t.

Did you ever listen to a mockingbird? Sometimes singing melodies, sometimes just sending up a joyous noise unto the Lord? The endlessly varied songs of a mockingbird are nearest to the speech of the Mother Thing.

At last they held still, more or less, and Peewee said, “Oh, Mother Thing, I’m so happy!”

The creature sang to her. Peewee answered, “Oh. I’m forgetting my manners. Mother Thing, this is my dear friend Kip.” The Mother Thing sang to me-and I understood.

What she said was: “I am very happy to know you, Kip.” It didn’t come out in words. But it might as well have been English. Nor was this half-kidding self-deception, such as my conversations with Oscar or Peewee’s with Madame Pompadour-when I talk with Oscar I am both sides of the conversation; it’s just my conscious talking to my subconscious, or some such. This was not that.

The Mother Thing sang to me and I understood.

I was startled but not unbelieving. When you see a rainbow you don’t stop to argue the laws of optics. There it is, in the sky.

I would have been an idiot not to know that the Mother Thing was speaking to me because I did understand and understood her every time. If she directed a remark at Peewee alone, it was usually just birdsongs to me-but if it was meant for me, I got it.

Call it telepathy if you like, although it doesn’t seem to be what they do at Duke University. I never read her mind and I don’t think she read mine. We just talked.

But while I was startled, I minded my manners. I felt the way I do when Mother introduces me to one of her older grande-dame friends. So I bowed and said, “We’re very happy that we’ve found you, Mother Thing.”

It was simple, humble truth. I knew, without explanation, what it was that had made Peewee stubbornly determined to risk recapture rather than give up looking for her-the quality that made her “the Mother Thing.”

Peewee has this habit of slapping names on things and her choices aren’t always apt, for my taste. But I’ll never question this one. The Mother Thing was the Mother Thing because she was. Around her you felt happy and safe and warm. You knew that if you skinned your knee and came bawling into the house, she would kiss it well and paint it with merthiolate and everything would be all right. Some nurses have it and some teachers … and, sadly, some mothers don’t.

But the Mother Thing had it so strongly that I wasn’t even worried by Wormface. We had her with us so everything was going to be all right. I logically I knew that she was as vulnerable as we were-I had seen them strike her down. She didn’t have my size and strength, she couldn’t pilot the ship as Peewee had been able to. It didn’t matter.

I wanted to crawl into her lap. Since she was too small and didn’t have a lap, I would gratefully hold her in mine, anytime.

I have talked more about my father but that doesn’t mean that Mother is less important-just different. Dad is active, Mother is passive; Dad talks, Mother doesn’t. But if she died, Dad would wither like an uprooted tree. She makes our world.

The Mother Thing had the effect on me that Mother has, only I’m used to it from Mother. Now I was getting it unexpectedly, far from home, when I needed it. Peewee said excitedly, “Now we can go. Kip. Let’s hurry!”

The Mother Thing sang (“Where are we going, children?”) “To Tombaugh Station, Mother Thing. They’ll help us.”

The Mother Thing blinked her eyes and looked serenely sad. She had great, soft, compassionate eyes-she looked more like a lemur than anything else but she was not a primate-she wasn’t even in our sequence, unearthly. But she had these wonderful eyes and a soft, defenseless mouth out of which music poured. She wasn’t as big as Peewee and her hands were tinier still-six fingers, any one of which could oppose the others the way our thumbs can. Her body-well, it never stayed the same shape so it’s hard to describe, but it was right for her.

She didn’t wear clothes but she wasn’t naked; she had soft, creamy fur, sleek and fine as chinchilla. I thought at first she didn’t wear anything, but presently I noticed a piece of jewelry, a shiny triangle with a double spiral in each corner. I don’t know what made it stick on.

I didn’t take all this in at once. At that instant the expression in the Mother Thing’s eyes brought a crash of sorrow into the happiness I had been feeling. Her answer made me realize that she didn’t have a miracle ready (“How are we to fly the ship? They have guarded me most carefully this time.”)

Peewee explained eagerly about the space suits and I stood there like a fool, with a lump of ice in my stomach. What had been just a question of using my greater strength to force Peewee to behave was now an unsolvable dilemma. I could no more abandon the Mother Thing than I could have abandoned Peewee … and there were only two space suits.

Even if she could wear our sort, which looked as practical as roller skates on a snake.

The Mother Thing gently pointed out that her own vacuum gear had been destroyed. (I’m going to quit writing down all her songs; I don’t remember them exactly anyhow.)

And so the fight began. It was an odd fight, with the Mother Thing gentle and loving and sensible and utterly firm, and Peewee throwing a tearful, bad-little-girl tantrum-and me standing miserably by, not even refereeing.

When the Mother Thing understood the situation, she analyzed it at once to the inevitable answer. Since she had no way to go (and probably couldn’t have walked that far anyhow, even if she had had her sort of space suit) the only answer was for us two to leave at once. If we reached safety, then we would, if possible, convince our people of the danger from Wormface & Co.-in which case she might be saved as well … which would be nice but was not indispensable.

Peewee utterly, flatly, and absolutely refused to listen to any plan which called for leaving the Mother Thing behind. If the Mother Thing couldn’t go, she wouldn’t budge. “Kip! You go get help! Hurry! I’ll stay here.”

I stared at her. “Peewee, you know I can’t do that.”

“You must. You will so! You’ve got to. If you don’t, I’ll … I’ll never speak to you again!”

“If I did, I’d never speak to myself again. Look, Peewee, it won’t wash. You’ll have to go-“ “No!”

“Oh, shut up for a change. You go and I stay and guard the door with the shillelagh. I’ll hold ‘em off while you round up the troops. But tell them to hurry!” “I-” She stopped and looked very sober and utterly baffled. Then she threw herself on the Mother Thing, sobbing: “Oh, you don’t love me any more!”

Which shows how far her logic had gone to pot. The Mother Thing sang softly to her while I worried the thought that our last chance was t trickling away while we argued. Wormface might come back any second- and while I hoped to slug him a final one if he got in, more likely he had resources to outmaneuver me. Either way, we would not escape.

At last I said, “Look we’ll all go.”

Peewee stopped sobbing and looked startled. “You know we can’t.” The Mother Thing sang (“How, Kip?”)

“Uh, I’ll have to show you. Up on your feet, Peewee.” We went where the suits were, while Peewee carried Madame Pompadour and half carried the Mother Thing. Lars Eklund, the rigger who had first worn Oscar according to his log, must have weighed about two hundred pounds; in order to wear Oscar I had to strap him tight to keep from bulging. I hadn’t considered retailoring him to my size as I was afraid I would never get him gas-tight again. Arm and leg lengths were okay; it was girth that was too big.

There was room inside for both the Mother Thing and me.

I explained, while Peewee looked big-eyed and the Mother Thing sang queries and approvals. Yes, she could hang on piggy-back-and she couldn’t fall off, once we were sealed up and the straps cinched.

“All right. Peewee, get into your suit.” I went to get my socks while she started to suit up. When I came back I checked her helmet gauges, reading them backwards through her lens. “We had better give you some air. You’re only about half full.”

I ran into a snag. The spare bottles I had filched from those ghouls had screw-thread fittings like mine-but Peewee’s bottles had bayonet-and-snap joints. Okay, I guess, for tourists, chaperoned and nursed and who might get panicky while bottles were changed unless it was done fast-but not so good for serious work. In my workshop I would have rigged an adapter in twenty minutes. Here, with no real tools-well, that spare air might as well be on Earth for all the good it did Peewee.

For the first time, I thought seriously of leaving them behind while I made a fast forced march for help. But I didn’t mention it. I thought that Peewee would rather die on the way than fall back into his hands-and I was inclined to agree.

“Kid,” I said slowly, “that isn’t much air. Not for forty miles.” Her gauge was scaled in time as well as pressure; it read just under five hours. Could Peewee move as fast as a trotting horse? Even at lunar gravity? Not likely.

She looked at me soberly. “That’s calibrated for full-size people. I’m little-I don’t use much air.” “Uh … don’t use it faster than you have to.”

“I won’t. Let’s go.”

I started to close her gaskets. “Hey!” she objected. “What’s the matter?”

“Madame Pompadour! Hand her to me-please. On the floor by my feet.”

I picked up that ridiculous dolly and gave it to her. “How much air does she take?”

Peewee suddenly dimpled. “I’ll caution her not to inhale.” She stuffed it inside her shirt, I sealed her up. I sat down in my open suit, the Mother Thing crept up my back, singing reassuringly, and cuddled close. She felt good and I felt that I could hike a hundred miles, to get them both safe.

Getting me sealed in was cumbersome, as the straps had to be let out and then tightened to allow for the Mother Thing, and neither Peewee nor I had bare hands. We managed.

I made a sling from my clothesline for the spare bottles. With them around my neck, with Oscar’s weight and the Mother Thing as well, I scaled perhaps fifty pounds at the Moon’s one- sixth gee. It just made me fairly sure-footed for the first time.

I retrieved my knife from the air-lock latch and snapped it to Oscar’s belt beside the nylon rope and the prospector’s hammer. Then we went inside the air lock and closed its inner door. I didn’t know how to waste its air to the outside but Peewee did. It started to hiss out.

“You all right, Mother Thing?”

(“Yes, Kip.”) She hugged me reassuringly.

“Peewee to Junebug,” I heard in my phones: “radio check. Alfa, Bravo, Coca, Delta, Echo, Foxtrot-“ “Junebug to Peewee: I read you. Golf, Hotel, India, Juliette, Kilo-“

“I read you, Kip.” “Roger.”

“Mind your pressure. Kip. You’re swelling up too fast.” I kicked the chin valve while watching the gauge-and kicking myself for letting a little girl catch me in a greenhorn trick. But she had used a space suit before, while I had merely pretended to.

I decided this was no time to be proud. “Peewee? Give me all the tips you can. I’m new to his.” “I will, Kip.”

The outer door popped silently and swung inward-and I looked out over the bleak bright surface of a lunar plain. For a homesick moment I remembered the trip-to-the-Moon games I had played as a kid and wished I were back in Centerville. Then Peewee touched her helmet to mine. “See anyone?”

“No.”

“We’re lucky, the door faces away from the other ships. Listen carefully. We won’t use radio until we are over the horizon-unless it’s a desperate emergency. They listen on our frequencies. I know that for sure. Now see that mountain with the saddle in it? Kip, pay attention!”

“Yes.” I had been staring at Earth. She was beautiful even in that shadow show in the control room-but I just hadn’t realized. There she was, so close I could almost touch her … and so far away that we might never get home. You can’t believe what a lovely planet we have, until you see her from outside … with clouds girdling her waist and polar cap set jauntily, like a spring hat. “Yes. I see the saddle.”

“We head left of there, where you see a pass. Tim and Jock brought me through it in a crawler. Once we pick up its tracks it will be easy. But first we head for those near hills just left of that-that ought to keep this ship between us and the other ships while we get out of sight. I hope.”

It was twelve feet or so to the ground and I was prepared to jump, since it would be nothing much in that gravity. Peewee insisted on lowering me by rope. “You’ll fall over your feet. Look, Kip, listen to old Aunt Peewee. You don’t have Moon legs yet. It’s going to be like your first time on a bicycle.”

So I let her lower me and the Mother Thing while she snubbed the nylon rope around the side of the lock. Then she jumped with no trouble. I started to loop up the line but she stopped me and snapped the other end to her belt, then touched helmets. “I’ll lead. If I go too fast or you need me, tug on the rope. I won’t be able to see you.”

“Aye aye, Cap’n!”

“Don’t make fun of me, Kip. This is serious.” “I wasn’t making fun, Peewee. You’re boss.”

“Let’s go. Don’t look back, it won’t do any good and you might fall. I’m heading for those hills.”

Chapter 6

I should have relished the weird, romantic experience, but I was as busy as Eliza crossing the ice and the things snapping at my heels were worse than bloodhounds. I wanted to look back but I was too busy trying to stay on my feet. I couldn’t see my feet; I had to watch ahead and try to pick my footing-it kept me as busy as a lumberjack in a logrolling contest. I didn’t skid as the ground was rough-dust or fine sand over raw rock- and fifty pounds weight was enough for footing. But I had three hundred pounds mass not a whit reduced by lowered weight; this does things to lifelong reflex habits. I had to lean heavily for the slightest turn, lean back and dig in to slow down, lean far forward to speed up.

I could have drawn a force diagram, but doing it is another matter. How long does it take a baby to learn to walk? This newborn Moon-baby was having to learn while making a forced march, half blind, at the greatest speed he could manage.

So I didn’t have time to dwell on the wonder of it all.

Peewee moved into a brisk pace and kept stepping it up. Every little while my leash tightened and I tried still harder to speed up and not fall down. The Mother Thing warbled at my spine: (“Are you all right. Kip? You seem worried.”)

“I’m … all right! How … about … you?”

(“I’m very comfortable. Don’t wear yourself out, dear.”) “Okay!”

Oscar was doing his job. I began to sweat from exertion and naked Sun, but I didn’t kick the chin valve until I saw from my blood-color gauge that I was short on air. The system worked perfectly and the joints, under a four-pound pressure, gave no trouble; hours of practice in the pasture was paying off. Presently my one worry was to keep a sharp eye for rocks and ruts. We were into those low hills maybe twenty minutes after H-hour. Peewee’s first swerve as we reached rougher ground took me by surprise; I almost fell.

She slowed down and crept forward into a gulch. Afew moments later she stopped; I joined her and she touched helmets with me. “How are you doing?” “Okay.”

“Mother Thing, can you hear me?” (“Yes, dear.”)

“Are you comfortable? Can you breathe all right?” (“Yes, indeed. Our Kip is taking good care of me.”) “Good. You behave yourself, Mother Thing. Hear me?”

(“I will, dear.”) Somehow she put an indulgent chuckle into a birdsong.

“Speaking of breathing,” I said to Peewee, “let’s check your air.” I tried to look into her helmet. She pulled away, then touched again. “I’m all right!”

“So you say.” I held her helmet with both hands, found I couldn’t see the dials-with sunlight around us, trying to see in was like peering into a well. “What does it read-and don’t fib.” “Don’t be nosy!”

I turned her around and read her bottle gauges. One read zero; the other was almost full. I touched helmets. “Peewee,” I said slowly, “how many miles have we come?”

“About three, I think. Why?”

“Then we’ve got more than thirty to go?”

“At least thirty-five. Kip, quit fretting. I know I’ve got one empty bottle; I shifted to the full one before we stopped.” “One bottle won’t take you thirty-five miles.”

“Yes, it will … because it’s got to.”

“Look, we’ve got plenty of air. I’ll figure a way to get it to you.” My mind was trotting in circles, thinking what tools were on my belt, what else I had. “Kip, you know you can’t hook those spare bottles to my suit-so shut up!”

(“What’s the trouble, darlings? Why are you quarreling?”) “We aren’t fighting, Mother Thing. Kip is a worry wart.” (“Now, children-“)

I said, “Peewee, I admit I can’t hook the spares into your suit … but I’ll jigger a way to recharge your bottle.” “But How, Kip?”

“Leave it to me. I’ll touch only the empty; if it doesn’t work, we’re no worse off. If it does, we’ve got it made.” “How long will it take?”

“Ten minutes with luck. Thirty without.” “No,” she decided.

“Now, Peewee, don’t be sil-“

“I’m not being silly! We aren’t safe until we get into the mountains. I can get that far. Then, when we no longer show up like a bug on a plate, we can rest and recharge my empty bottle.”  It made sense. “All right.”

“Can you go faster? If we reach the mountains before they miss us, I don’t think they’ll ever find us. If we don’t-“ “I can go faster. Except for these pesky bottles.”

“Oh.” She hesitated. “Do you want to throw one away?”

“Huh? Oh, no, no! But they throw me off balance. I’ve just missed a tumble a dozen times. Peewee, can you retie them so they don’t swing?” “Oh. Sure.”

I had them hung around my neck and down my front-not smart but I had been hurried. Now Peewee lashed them firmly, still in front as my own bottles and the Mother Thing were on my back-no doubt she was finding it as crowded as Dollar Day. Peewee passed clothesline under my belt and around the yoke. She touched helmets. “I hope that’s okay.”

“Did you tie a square knot?”

She pulled her helmet away. Aminute later she touched helmets again. “It was a granny,” she admitted in a small voice, “but it’s a square knot now.” “Good. Tuck the ends in my belt so that I can’t trip, then we’ll mush. Are you all right?”

“Yes,” she said slowly. “I just wish I had salvaged my gum, old and tired as it was. My throat’s awful dry.” “Drink some water. Not too much.”

“Kip! It’s not a nice joke.”

I stared. “Peewee-your suit hasn’t any water?” “What? Don’t be silly.”

My jaw dropped. “But, baby,” I said helplessly, “why didn’t you fill your tank before we left?” “What are you talking about? Does your suit have a water tank?”

I couldn’t answer. Peewee’s suit was for tourists-for those “scenic walks amidst incomparable grandeur on the ancient face of the Moon” that the ads promised. Guided walks, of course, not over a half-hour at a time-they wouldn’t put in a water tank; some tourist might choke, or bite the nipple off and half drown in his helmet, or some silly thing. Besides, it was cheaper.

I began to worry about other shortcomings that cheap-jack equipment might have-with Peewee’s life depending on it. “I’m sorry,” I said humbly. “Look, I’ll try to figure out some way to get water to you.”

“I doubt if you can. I can’t die of thirst in the time it’ll take us to get there, so quit worrying. I’m all right. I just wish I had my bubble gum. Ready?” “Uh … ready.”

The hills were hardly more than giant folds in lava; we were soon through them, even though we had to take it cautiously over the very rough ground. Beyond them the ground looked natter than western Kansas, stretching out to a close horizon, with mountains sticking up beyond, glaring in the Sun and silhouetted against a black sky like cardboard cutouts. I tried to figure how far the horizon was, on a thousand-mile radius and a height of eye of six feet-and couldn’t do it in my head and wished for my slipstick. But it was awfully close, less than a mile.

Peewee let me overtake her, touched helmets. “Okay, Kip? All right, Mother Thing?” “Sure.”

(“All right, dear.”)

“Kip, the course from the pass when they fetched me here was east eight degrees north. I heard them arguing and sneaked a peek at their map. So we go back west eight degrees south-that doesn’t count the jog to these hills but it’s close enough to find the pass. Okay?”

“Sounds swell.” I was impressed. “Peewee, were you an Indian scout once? Or Davy Crockett?”

“Pooh! Anybody can read a map”-she sounded pleased. “I want to check compasses. What bearing do you have on Earth?”  I said silently: Oscar, you’ve let me down. I’ve been cussing her suit for not having water-and you don’t have a compass.

(Oscar protested: “Hey, pal, that’s unfair! Why would I need a compass at Space Station Two? Nobody told me I was going to the Moon.”) I said, “Peewee, this suit is for space station work. What use is a compass in space? Nobody told me I was going to the Moon.”

“But- Well, don’t stop to cry about it. You can get your directions by Earth.” “Why can’t I use your compass?”

“Don’t be silly; it’s built into my helmet. Now just a moment-” She faced Earth, moved her helmet back and forth. Then she touched helmets again. “Earth is smacko on northwest … that makes the course fifty three degrees left of there. Try to pick it out. Earth is two degrees wide, you know.”

“I knew that before you were born.”

“No doubt. Some people require a head start.” “Smart aleck!”

“You were rude first!”

“But- Sorry, Peewee. Let’s save the fights for later. I’ll spot you the first two bites.” “I won’t need them! You don’t know how nasty I can-“

“I have some idea.” (“Children! Children!”) “I’m sorry, Peewee.”

“So am I. I’m edgy. I wish we were there.”

“So do I. Let me figure the course.” I counted degrees using Earth as a yardstick. I marked a place by eye, then tried again judging fifty-three degrees as a proportion of ninety. The results didn’t agree, so I tried to spot some stars to help me. They say you can see stars from the Moon even when the Sun is in the sky. Well, you can-but not easily. I had the Sun over my shoulder but was facing Earth, almost three-quarters full, and had the dazzling ground glare as well. The polarizer cut down the glare-and cut out the stars, too.

So I split my guesses and marked the spot. “Peewee? See that sharp peak with sort of a chin on its left profile? That ought to be the course, pretty near.” “Let me check.” She tried it by compass, then touched helmets. “Nice going, Kip. Three degrees to the right and you’ve got it.”

I felt smug. “Shall we get moving?”

“Right. We go through the pass, then Tombaugh Station is due west.”

It was about ten miles to the mountains; we made short work of it. You can make time on the Moon-if it is flat and if you can keep your balance. Peewee kept stepping it up until we were almost flying, long low strides that covered ground like an ostrich-and, do you know, it’s easier fast than slow. The only hazard, after I got the hang of it, was landing on a rock or hole or something and tripping. But that was hazard enough because I couldn’t pick my footing at that speed. I wasn’t afraid of falling; I felt certain that Oscar could take the punishment. But suppose I landed on my back? Probably smash the Mother Thing to jelly.

I was worried about Peewee, too. That cut-rate tourist suit wasn’t as rugged as Oscar. I’ve read about explosive decompression-I never want to see it. Especially not a little girl. But I didn’t dare use radio to warn her even though we were probably shielded from Wormface-and if I tugged on my leash I might make her fall.

The plain started to rise and Peewee let it slow us down. Presently we were walking, then we were climbing a scree slope. I stumbled but landed on my hands and got up-one-sixth gravity has advantages as well as hazards. We reached the top and Peewee led us into a pocket in the rocks. She stopped and touched helmets. “Anybody home? You two all right?”

(“All right, dear.”)

“Sure,” I agreed. “Alittle winded, maybe.” That was an understatement but if Peewee could take it, I could.

“We can rest,” she answered, “and take it easy from here on. I wanted to get us out of the open as fast as possible. They’ll never find us here.”

I thought she was right. Awormface ship flying over might spot us, if they could see down as well as up-probably just a matter of touching a control. But our chances were better now. “This is the time to recharge your empty bottle.”

“Okay.”

None too soon-the bottle which had been almost full had dropped by a third, more like half. She couldn’t make it to Tombaugh Station on that -simple arithmetic. So I crossed my fingers and got to work. “Partner, will you untie this cat’s cradle?”

While Peewee fumbled at knots, I started to take a drink-then stopped, ashamed of myself. Peewee must be chewing her tongue to work up saliva by now-and I hadn’t been able to think of any way to get water to her. The tank was inside my helmet and there was no way to reach it without making me-and Mother Thing-dead in the process.

If I ever lived to be an engineer I’d correct that!

I decided that it was idiotic not to drink because she couldn’t; the lives of all of us might depend on my staying in the best condition I could manage. So I drank and ate three malted milk tablets and a salt tablet, then had another drink. It helped a lot but I hoped Peewee hadn’t noticed. She was busy unwinding clothesline-anyhow it was hard to see into a helmet.

I took Peewee’s empty bottle off her back, making darn sure to close her outside stop valve first-there’s supposed to be a one-way valve where an air hose enters a helmet but I no longer trusted her suit; it might have more cost-saving shortcomings. I laid the empty on the ground by a full one, looked at it, straightened up and touched helmets. “Peewee, disconnect the  bottle on the left side of my back.”

“Why, Kip?”

“Who’s doing this job?” I had a reason but was afraid she might argue. My lefthand bottle held pure oxygen; the others were oxy-helium. It was full, except for a few minutes of fiddling last night in Centerville. Since I couldn’t possibly give her bottle a full charge, the next best thing was to give her a half-charge of straight oxygen.

She shut up and removed it.

I set about trying to transfer pressure between bottles whose connections didn’t match. There was no way to do it properly, short of tools a quarter of a million miles away-or over in Tombaugh Station which was just as bad. But I did have adhesive tape.

Oscar’s manual called for two first-aid kits. I didn’t know what was supposed to be in them; the manual had simply given USAF stock numbers. I hadn’t been able to guess what would  be useful in an outside kit-a hypodermic needle, maybe, sharp enough to stab through and give a man morphine when he needed it terribly. But since I didn’t know, I had stocked inside and outside with bandage, dressings, and a spool of surgical tape.

I was betting on the tape.

I butted the mismatched hose connections together, tore off a scrap of bandage and wrapped it around the junction-I didn’t want sticky stuff on the joint; it could foul the operation on a suit. Then I taped the junction, wrapping tightly, working very painstakingly and taping three inches on each side as well as around the joint-if tape could restrain that pressure a few moments, there would still be one deuce of a force trying to drag that joint apart. I didn’t want it to pull apart at the first jolt. I used the entire roll.

I motioned Peewee to touch helmets. “I’m about to open the full bottle. The valve on the empty is already open. When you see me start to close the valve on the full one, you close the other one-fast! Got it?”

“Close the valve when you do, quickly. Roger.”

“Stand by. Get your hand on the valve.” I grabbed that lump of bandaged joint in one fist, squeezed as hard as I could, and put my other hand on the valve. If that joint let go, maybe my hand would go with it- but if the stunt failed, little Peewee didn’t have long to live. So I really gripped.

Watching both gauges, I barely cracked the valve. The hose quivered; the needle gauge that read “empty” twitched. I opened the valve wide. One needle swung left, the other right. Quickly they approached half-charge. “Now!” I yelled uselessly and started closing the valve.

And felt that patchwork joint start to give.

The hoses squeezed out of my fist but we lost only a fraction of gas. I found that I was trying to close a valve that was closed tight. Peewee had hers closed. The gauges each showed just short of half full-there was air for Peewee.

I sighed and found I had been holding my breath.

Peewee put her helmet against mine and said very soberly, “Thanks, Kip.”

“Charton Drugs service, ma’am-no tip necessary. Let me tidy this mess, you can tie me and we’ll go.” “You won’t have to carry but one extra bottle now.”

“Wrong, Peewee. We may do this stunt five or six times until there’s only a whisper left”-or until the tape wears out, I added to myself. The first thing I did was to rewrap the tape on its spool-and if you think that is easy, wearing gloves and with the adhesive drying out as fast as you wind it, try it.

In spite of the bandage, sticky stuff had smeared the connections when the hoses parted. But it dried so hard that it chipped off the bayonet-and-snap joint easily. I didn’t worry about the screw-thread joint; I didn’t expect to use it on a suit. We mounted Peewee’s recharged bottle and I warned her that it was straight oxygen. “Cut your pressure and feed from both bottles. What’s your blood color reading?”

“I’ve been carrying it low on purpose.”

“Idiot! You want to keel over? Kick your chin valve! Get into normal range!”

We mounted one bottle I had swiped on my back, tied the other and the oxy bottle on my front, and were on our way.

Earth mountains are predictable; lunar mountains aren’t, they’ve never been shaped by water. We came to a hole too steep to go down other than by rope and a wall beyond I wasn’t sure we could climb. With pitons and snap rings and no space suits it wouldn’t have been hard in the Rockies- but not the way we were. Peewee reluctantly led us back. The scree slope was worse going down-I backed down on hands and knees, with Peewee belaying the line above me. I wanted to be a hero and belay for her-we had a brisk argument. “Oh, quit being big

and male and gallantly stupid, Kip! You’ve got four big bottles and the Mother Thing and you’re top heavy and I climb like a goat.”

I shut up.

At the bottom she touched helmets. “Kip,” she said worriedly, “I don’t know what to do.” “What’s the trouble?”

“I kept a little south of where the crawler came through. I wanted to avoid crossing right where the crawler crossed. But I’m beginning to think there isn’t any other way.”  “I wish you had told me before.”

“But I didn’t want them to find us! The way the crawler came is the first place they’ll look.”

“Mmm … yes.” I looked up at the range that blocked us. In pictures, the mountains of the Moon look high and sharp and rugged; framed by the lens of a space suit they look simply impossible.

I touched helmets again. “We might find another way-if we had time and air and the resources of a major expedition. We’ve got to take the route the crawler did. Which way?”

“Alittle way north … I think.”

We tried to work north along the foothills but it was slow and difficult. Finally we backed off to the edge of the plain. It made us jumpy but it was a chance we had to take. We walked, briskly but not running, for we didn’t dare miss the crawler’s tracks. I counted paces and when I reached a thousand I tugged the line; Peewee stopped and we touched helmets. “We’ve come half a mile. How much farther do you think it is? Or could it possibly be behind us?”

Peewee looked up at the mountains. “I don’t know,” she admitted. “Everything looks different.” “We’re lost?”

“Uh … it ought to be ahead somewhere. But we’ve come pretty far. Do you want to turn around?” “Peewee, I don’t even know the way to the post office.”

“But what should we do?”

“I think we ought to keep going until you are absolutely certain the pass can’t be any farther. You watch for the pass and I’ll watch for crawler tracks. Then, when you’re certain that we’ve come too far, we’ll turn back. We can’t afford to make short casts like a dog trying to pick up a rabbit’s scent.”

“All right.”

I had counted two thousand more paces, another mile, when Peewee stopped. “Kip? It can’t be ahead of us. The mountains are higher and solider than ever.” “You’re sure? Think hard. Better to go another five miles than to stop too short.”

She hesitated. She had her face pushed up close to her lens while we touched helmets and I could see her frown. Finally she said, “It’s not up ahead. Kip.” “That settles it. To the rear, march! ‘Lay on, Macduff, and curs’d be him who first cries, “Hold, enough!” ‘ “

“King Lear.”

“Macbeth. Want to bet?”

Those tracks were only half a mile behind us-I had missed them. They were on bare rock with only the lightest covering of dust; the Sun had been over my shoulder when we first crossed them, and the caterpillar tread marks hardly showed-I almost missed them going back. They led off the plain and straight up into the mountains.

We couldn’t possibly have crossed those mountains without following the crawler’s trail; Peewee had had the optimism of a child. It wasn’t a road; it was just something a crawler on caterpillar treads could travel. We saw places that even a crawler hadn’t been able to go until whoever pioneered it set a whopping big blast, backed off and waited for a chunk of mountain to get out of the way. I doubt if Skinny and Fatty carved that goat’s path; they didn’t look fond of hard work. Probably one of the exploration parties. If Peewee and I had attempted to break a new trail, we’d be there yet, relics for tourists of future generations.

But where a tread vehicle can go, a man can climb. It was no picnic; it was trudge, trudge, trudge, up and up and up-watch for loose rock and mind where you put your feet. Sometimes we belayed with the line. Nevertheless it was mostly just tedious.

When Peewee had used that half-charge of oxygen, we stopped and I equalized pressure again, this time being able to give her only a quarter charge-like Achilles and the tortoise. I   could go on indefinitely giving her half of what was left-if the tape held out. It was in bad shape but the pressure was only half as great and I managed to keep the hoses together until we closed valves.

I should say that I had it fairly easy. I had water, food, pills, dexedrine. The last was enormous help; any time I felt fagged I borrowed energy with a pep-pill. Poor Peewee had nothing but air and courage.

She didn’t even have the cooling I had. Since she was on a richer mix, one bottle being pure oxygen, it did not take as much flow to keep up her blood-color index-and I warned her not to use a bit more than necessary; she could not afford air for cooling, she had to save it to breathe.

“I know, Kip,” she answered pettishly. “I’ve got the needle jiggling the red light right now. Think I’m a fool?” “I just want to keep you alive.”

“All right, but quit treating me as a child. You put one foot in front of the other. I’ll make it.” “Sure you will!”

As for the Mother Thing she always said she was all right and she was breathing the air I had (a trifle used), but I didn’t know what was hard-ship to her. Hanging by his heels all day would kill a man; to a bat it is a nice rest-yet bats are our cousins.

I talked with her as we climbed. It didn’t matter what; her songs had the effect on me that it has to have your own gang cheering. Poor Peewee didn’t even have that comfort, except when we stopped and touched helmets-we still weren’t using radio; even in the mountains we were fearful of attracting attention.

We stopped again and I gave Peewee one-eighth of a charge. The tape was in very poor shape afterwards; I doubted if it would serve again. I said, “Peewee, why don’t you run your oxy- helium bottle dry while I carry this one? It’ll save your strength.”

“I’m all right.”

“Well, you won’t use air so fast with a lighter load.” “You have to have your arms free. Suppose you slip?”

“Peewee, I won’t carry it in my arms, My righthand backpack bottle is empty; I’ll chuck it. Help me make the change and I’ll still be carrying only four-just balanced evenly.”    “Sure, I’ll help. But I’ll carry two bottles. Honest, Kip, the weight isn’t anything. But if I run the oxy-helium bottle dry, what would I breathe while you’re giving me my next charge?”   I didn’t want to tell her that I had doubts about another charge, even in those ever smaller amounts. “Okay, Peewee.”

She changed bottles for me; we threw the dead one down a black hole and went on. I don’t know how far we climbed nor how long; I know that it seemed like days-though it couldn’t have been, not on that much air. During mile after mile of trail we climbed at least eight thousand feet. Heights are hard to guess-but I’ve seen mountains I knew the heights of. Look it up yourself-the first range east of Tombaugh Station.

There’s a lot of climbing, even at one-sixth gee.

It seemed endless because I didn’t know how far it was nor how long it had been. We both had watches-under our suits. Ahelmet ought to have a built-in watch. I should have read Greenwich time from the face of Earth. But I had no experience and most of the time I couldn’t see Earth because we were deep in mountains-anyhow I didn’t know what time it had been when we left the ship.

Another thing space suits should have is rear-view mirrors. While you are at it, add a window at the chin so that you can see where you step. But of the two, I would take a rear-view mirror. You can’t glance behind you; you have to turn your entire body. Every few seconds I wanted to see if they were following us-and I couldn’t spare the effort. All that nightmare trek I kept imagining them on my heels, expecting a wormy hand on my shoulder. I listened for footsteps which couldn’t be heard in vacuum anyhow.

When you buy a space suit, make them equip it with a rear-view mirror. You won’t have Wormface on your trail but it’s upsetting to have even your best friend sneak up behind you. Yes,  and if you are coming to the Moon, bring a sunshade. Oscar was doing his best and York had done an honest job on the air conditioning-but the untempered Sun is hotter than you would believe and I didn’t dare use air just for cooling, any more than Peewee could.

It got hot and stayed hot and sweat ran down and I itched all over and couldn’t scratch and sweat got into my eyes and burned. Peewee must have been parboiled. Even when the trail wound through deep gorges lighted only by reflection off the far wall, so dark that we turned on headlamps, I still was hot-and when we curved back into naked sunshine, it was almost

unbearable. The temptation to kick the chin valve, let air pour in and cool me, was almost too much. The desire to be cool seemed more important than the need to breathe an hour hence.

If I had been alone, I might have done it and died. But Peewee was worse off than I was. If she could stand it, I had to.

I had wondered how we could be so lost so close to human habitation -and how crawly monsters could hide a base only forty miles from Tombaugh Station. Well, I had time to think and could figure it out because I could see the Moon around me.

Compared with the Moon the Arctic is swarming with people. The Moon’s area is about equal to Asia-with fewer people than Centerville. It might be a century before anyone explored that plain where Wormface was based. Arocket ship passing over wouldn’t notice anything even if camouflage hadn’t been used; a man in a space suit would never go there; a man in a crawler would find their base only by accident even if he took the pass we were in and ranged around that plain. The lunar mapping satellite could photograph it and rephotograph, then a technician in London might note a tiny difference on two films. Maybe. Years later somebody might check up-if there wasn’t something more urgent to do in a pioneer outpost where everything is new and urgent.

As for radar sightings-there were unexplained radar sightings before I was born.

Wormface could sit there, as close to Tombaugh Station as Dallas is to Fort Worth, and not fret, snug as a snake under house. Too many square miles, not enough people. Too incredibly many square miles… . Our whole world was harsh bright cliffs and dark shadows and black sky, and endless putting one foot in front of the other.

But eventually we were going downhill oftener than up and at weary last we came to a turn where we could see out over a hot bright plain.

I There were mountains awfully far away; even from our height, up a thousand feet or so, they were beyond the horizon. I looked out over that plain, too dead beat to feel triumphant, then glanced at Earth and tried to estimate due west.

Peewee touched her helmet to mine. “There it is, Kip.” “Where?” She pointed and I caught a glint on a silvery dome. The Mother Thing trilled at my spine (“What is it, children?”) “Tombaugh Station, Mother Thing.”

Her answer was wordless assurance that we were good children and that she had known that we could do it.

The station may have been ten miles away. Distances were hard to judge, what with that funny horizon and never anything for comparison- I didn’t even know how big the dome was. “Peewee, do we dare use radio?”

She turned and looked back. I did also; we were about as alone as could be. “Let’s risk it.” “What frequency?”

“Same as before. Space operations. I think.”

So I tried. “Tombaugh Station. Come in, Tombaugh Station. Do you read me?” Then Peewee tried. I listened up and down the band I was equipped for. No luck.  I shifted to horn antenna, aiming at the glint of light. No answer.

“We’re wasting time, Peewee. Let’s start slogging.”

She turned slowly away. I could feel her disappointment-I had trembled with eagerness myself. I caught up with her and touched helmets. Don’t let it throw you, Peewee. They can’t listen all day for us to call. We see it, now we’ll walk it.”

“I know,” she said dully.

As we started down we lost sight of Tombaugh Station, not only from twists and turns but because we dropped it below the horizon. I kept calling as long as there seemed any hope, then shut it off to save breath and battery.

We were about halfway down the outer slope when Peewee slowed and stopped-sank to the ground and sat still. I hurried to her. “Peewee!”

“Kip,” she said faintly, “could you go get somebody? Please? You know the way now. I’ll wait here. Please, Kip?” “Peewee!” I said sharply. “Get up! You’ve got to keep moving.”

“I c- c- can’t!” She began to cry. “I’m so thirsty … and my legs-” She passed out. “Peewee!” I shook her shoulder. “You can’t quit now! Mother Thing! -you tell her!”

Her eyelids fluttered. “Keep telling her, Mother Thing!” I flopped Peewee over and got to work. Hypoxia hits as fast as a jab on the button. I didn’t need to see her blood-color index to know  it read DANGER; the gauges on her bottles told me. The oxygen bottles showed empty, the oxy-helium tank was practically so. I closed her exhaust valves, overrode her chin valve with    the outside valve and let what was left in the oxy-helium bottle flow into her suit. When it started to swell I cut back the flow and barely cracked one exhaust valve. Not until then did I close stop valves and remove the empty bottle.

I found myself balked by a ridiculous thing.

Peewee had tied me too well; I couldn’t reach the knot! I could feel it with my left hand but couldn’t get my right hand around; the bottle on my front was in the way-and I couldn’t work the knot loose with one hand.

I made myself stop panicking. My knife-of course, my knife! It was an old scout knife with a loop to hang it from a belt, which was where it was. But the map hooks on Oscar’s belt were large for it and I had had to force it on. I twisted it until the loop broke.

Then I couldn’t get the little blade open. Space-suit gauntlets don’t have thumb nails.

I said to myself: Kip, quit running in circles. This is easy. All you have to do is open a knife-and you’ve got to … because Peewee is suffocating. I looked around for a sliver of rock, anything that could pinch-hit for a thumb nail. Then I checked my belt.

The prospector’s hammer did it, the chisel end of the head was sharp enough to open the blade. I cut the clothesline away.

I was still blocked. I wanted very badly to get at a bottle on my back. When I had thrown away that empty and put the last fresh one on my back, I had started feeding from it and saved the almost-half-charge in the other one. I meant to save it for a rainy day and split it with Peewee. Now was the time-she was out of air, I was practically so in one bottle but still had that half- charge in the other-plus an eighth of a charge or less in the bottle that contained straight oxygen (the best I could hope for in equalizing pressures), I had planned to surprise her with a one-quarter charge of oxy-helium, which would last longer and give more cooling. Areal knight-errant plan, I thought. I didn’t waste two seconds discarding it.

I couldn’t get that bottle off my back!

Maybe if I hadn’t modified the backpack for nonregulation bottles I could have done it. The manual says: “Reach over your shoulder with the opposite arm, close stop valves at bottle and helmet, disconnect the shackle-” My pack didn’t have shackles; I had substituted straps. But I still don’t think you can reach over your shoulder in a pressurized suit and do anything effective. I think that was written by a man at a desk. Maybe he had seen it done under favorable conditions. Maybe he had done it, but was one of those freaks who can dislocate both shoulders. But I’ll bet a full charge of oxygen that the riggers around Space Station Two did it for each other as Peewee and I had, or went inside and deflated.

If I ever get a chance, I’ll change that. Everything you have to do in a space suit should be arranged to do in front-valves, shackles, everything, even if it is to affect something in back. We aren’t like Wormface, with eyes all around and arms that bend in a dozen places; we’re built to work in front of us-that goes triple in a space suit.

You need a chin window to let you see what you’re doing, too! Athing can look fine on paper and be utterly crumby in the field. But I didn’t waste time moaning; I had a one-eighth charge of oxygen I could reach. I grabbed it.

That poor, overworked adhesive tape was a sorry mess. I didn’t bother with bandage; if I could get the tape to stick at all I’d be happy. I handled it as carefully as gold leaf, trying to get it tight, and stopped in the middle to close Peewee’s exhaust entirely when it looked as if her suit was collapsing. I finished with trembling fingers.

I didn’t have Peewee to close a valve. I simply gripped that haywired joint in one hand, opened Peewee’s empty bottle with the other, swung over fast and opened the oxygen bottle wide- jerked my hand across and grabbed the valve of Peewee’s bottle and watched those gauges.

The two needles moved toward each other. When they slowed down I started closing her bottle-and the taped joint blew out.

I got that valve closed in a hurry; I didn’t lose much gas from Peewee’s bottle. But what was left on the supply side leaked away. I didn’t stop to worry; I peeled away a scrap of adhesive, made sure the bayonet-and-snap joint was clean, got that slightly recharged bottle back on Peewee’s suit, opened stop valves.

Her suit started to distend. I opened one exhaust valve a crack and touched helmets. “Peewee! Peewee! Can you hear me? Wake up, baby! Mother Thing!-make her wake up!” “Peewee!”

“Yes, Kip?”

“Wake up! On your feet, Champ! Get up! Honey, please get up.” “Huh? Help me get my helmet off … I can’t breathe.”

“Yes, you can. Kick your chin valve-feel it, taste it. Fresh air!”

She tried, feebly; I gave her a quick strong shot, overriding her chin valve from outside. “Oh!” “See? You’ve got air. You’ve got lots of air. Now get up.”

“Oh, please, just let me lie here.”

“No, you don’t! You’re a nasty, mean, spoiled little brat-and if you don’t get up, nobody will love you. The Mother Thing won’t love you. Mother Thing!-tell her!” (“Stand up, daughter!”)

Peewee tried. I helped her, once she was trying. She trembled and clung to me and I kept her from falling. “Mother Thing?” she said faintly. “I did it. You … still love me?” (“Yes, darling!”)

“I’m dizzy … and I don’t think I … can walk.”

“You don’t have to, honey,” I said gently and picked her up in my arms. “You don’t have to walk any farther.” She didn’t weigh anything.

The trail disappeared when we were down out of the foothills but the crawler’s tracks were sharp in the dust and led due west. I had my air trimmed down until the needle of the blood- color indicator hung at the edge of the danger sector. I held it there, kicking my chin valve only when it swung past into DANGER. I figured that the designer must have left some leeway,   the way they do with gasoline gauges. I had long since warned Peewee never to take her eyes off her own indicator and hold it at the danger limit. She promised and I kept reminding her.   I pressed her helmet against the yoke of mine, so that we could talk.

I counted paces and every half-mile I told Peewee to call Tombaugh Station. It was over the horizon but they might have a high mast that could “see” a long way. The Mother Thing talked to her, too-anything to keep her from slipping away again. It saved my strength to have the Mother Thing talk and was good for all of us.

After a while I noticed that my needle had drifted into the red again. I kicked the valve and waited. Nothing happened. I kicked it again and the needle drifted slowly toward the white. “How you fixed for air, Peewee?”

“Just fine. Kip, just fine.”

Oscar was yelling at me. I blinked and noticed that my shadow had disappeared. It had been stretched out ahead at an angle to the tracks, the tracks were there but my shadow was not. That made me sore, so I turned around and looked for it. It was behind me.

The darn thing had been hiding. Games! (“That better!” said Oscar.)

“It’s hot in here, Oscar.”

(“You think it’s cool out here? Keep your eye on that shadow, bud-and on those tracks.”)

“All right, all right! Quit pestering me.” I made up my mind that I wouldn’t let that shadow get away again. Games it wanted to play, huh? “There’s darn little air in here, Oscar.”

(“Breathe shallow, chum. We can make it.”) “I’m breathing my socks, now.”

(“So breathe your shirt.”)

“Did I see a ship pass over?”

(“How should I know? You’re the one with the blinkers.”) “Don’t get smart. I’m in no mood to joke.”

I was sitting on the ground with Peewee across my knees and Oscar was really shouting-and so was the Mother Thing. (“Get up, you big ape! Get up and try.”) (“Get up, Kip dear! Only a little way now.”)

“I just want to get my wind.”

(“All right, you’ve got it. Call Tombaugh Station.”) I said, “Peewee, call Tombaugh Station.”

She didn’t answer. That scared me and I snapped out of it. “Tombaugh Station,, come in! Come in!” I got to my knees and then to my feet. Tombaugh Station, do you read me? Help! Help!”

Avoice answered, “I read you.”

“Help! M’aidez! I’ve got a little girl dying! Help!”

Suddenly it sprang up in front of my eyes-great shiny domes, tall towers, radio telescopes, a giant Schmidt camera. I staggered toward it. “May Day!”

An enormous lock opened and a crawler came toward me. Avoice in my phones said, “We’re coming. Stay where you are. Over and out.”

Acrawler stopped near me. Aman got out, came over and touched helmets. I gasped: “Help me get her inside.”

I got back: “You’ve given me trouble, bub. I don’t like people who give me trouble.” Abigger, fatter man got out behind him. The smaller man raised a thing like a camera and aimed it at me. That was the last I knew.

Chapter 7

I don’t know if they took us all that weary way back in the crawler, or if Wormface sent a ship. I woke up being slapped and was inside, lying down. The skinny one was slapping me-the man the fat one called “Tim.” I tried to fight back and found that I couldn’t. I was in a straitjacket thing that held me as snugly as a wrapped mummy. I let out a yelp.

Skinny grabbed my hair, jerked my head up, tried to put a big capsule into my mouth. I tried to bite him.

He slapped me harder and offered me the capsule again. His expression didn’t change-it stayed mean.

I heard: “Take it, boy,” and turned my eyes. The fat one was on the other side. “Better swallow it,” he said. “You got five bad days ahead.”

I took it. Not because of the advice but because a hand held my nose and another popped the pill into my mouth when I gasped. Fatty held a cup of water for me to wash it down; I didn’t resist that, I needed it.

Skinny stuck a hypodermic needle big enough for a horse into my shoulder. I told him what I thought of him, using words I hardly ever use. The skinny one could have been deaf; the fat one chuckled. I rolled my eyes at him. “You, too,” I added weakly. “Squared.”

Fatty clucked reprovingly. “You ought to be glad we saved your life.” He added, “Though it wasn’t my idea, you strike me as a sorry team. He wanted you alive.” “Shaddap,” Skinny said. “Strap his head.”

“Let him break his neck. We better fix our ourselves. He won’t wait.” But he started to obey. Skinny glanced at his watch. “Four minutes.”

The fat one hastily tightened a strap across my forehead, then both moved very fast, swallowing capsules, giving each other hypos. I watched as best I could.

I was back in the ship. The ceiling glowed the same way, the walls looked the same. It was the room the two men used; their beds were on each side and I was strapped to a soft couch between them.

Each hurriedly got on his bed, began zipping up a tight wrapping like a sleeping bag. Each strapped his head in place before completing the process. I was not interested in them. “Hey! What did you do with Peewee?”

The fat man chuckled. “Hear that, Tim? That’s a good one.” “Shaddap.”

“You-” I was about to sum up Fatty’s character but my thoughts got fuzzy and my tongue was thick. Besides, I wanted to ask about the Mother Thing, too.  I did not get out another word. Suddenly I was incredibly heavy and the couch was rock hard.

For a long, long time I wasn’t awake or truly asleep. At first I couldn’t feel anything but that terrible weight, then I hurt all over and wanted to scream. I didn’t have the strength for it.

Slowly the pain went away and I stopped feeling anything. I wasn’t a body-just me, no attachments. I dreamed a lot and none of it made sense; I seemed to be stuck in a comic book, the sort P.T.A. meetings pass resolutions against, and the baddies were way ahead no matter what I did.

Once the couch gave a twisting lurch and suddenly I had a body, one that was dizzy. After a few ages I realized vaguely that I had gone through a skew-flip turn-over. I had known, during lucid moments, that I was going somewhere, very fast, at terribly high acceleration. I decided solemnly that we must be halfway and tried to figure out how long two times eternity was. It kept coming out eighty-five cents plus sales tax; the cash register rang “NO SALE” and I would start over.

Fats was undoing my head strap. It stuck and skin came away. “Rise and shine, bub. Time’s awastin’.” Acroak was all I managed. The skinny one was unwrapping me. My legs sagged apart and hurt. “Get up!” I tried and didn’t make it. Skinny grabbed one of my legs and started to knead it.

I screamed.

“Here, lemme do that,” said Fatty. “I used to be a trainer.”

Fats did know something about it. I gasped when his thumbs dug into my calves and he stopped. “Too rough?” I couldn’t answer. He went on massaging me and said almost jovially, “Five days at eight gravities ain’t no joy ride. But you’ll be okay. Got the needle, Tim?”

The skinny one jabbed me in my left thigh. I hardly felt it. Fats pulled me to a sitting position and handed me a cup. I thought it was water; it wasn’t and I choked and sprayed. Fats waited, then gave it to me again. “Drink some, this time.” I did.

“Okay, up on your feet. Vacation is over.”

The floor swayed and I had to grab him until it stopped. “Where are we?” I said hoarsely.

Fats grinned, as if he knew an enormously funny joke. “Pluto, of course. Lovely place, Pluto. Asummer resort.” “Shaddap. Get him moving.”

“Shake it up, kid. You don’t want to keep him waiting.”

Pluto! It couldn’t be; nobody could get that far. Why, they hadn’t even attempted Jupiter’s moons yet. Pluto was so much farther that.

My brain wasn’t working. The experience just past had shaken me so badly that I couldn’t accept the fact that the experience itself proved that I was wrong. But Pluto!

I wasn’t given time to wonder; we got into space suits. Although I hadn’t known, Oscar was there, and I was so glad to see him that I forgot everything else. He hadn’t been racked, just tossed on the floor. I bent down (discovering charley horses in every muscle) and checked him. He didn’t seem hurt.

“Get in it,” Fats ordered. “Quit fiddlin’.”

“All right,” I answered almost cheerfully. Then I hesitated. “Say-I haven’t any air.”

“Take another look,” said Fats. I looked. Charged oxy-helium bottles were on the backpack. “Although,” he continued, “if we didn’t have orders from him, I wouldn’t give you a whiff of Limburger. You made us for two bottles-and a rock hammer-and a line that cost four ninety-five, earthside. Sometime,” he stated without rancor, “I’m gonna take it out of your hide.”

“Shaddap,” said Skinny. “Get going.”

I spread Oscar open, wriggled in, clipped on the blood-color reader, and zipped the gaskets. Then I stood up, clamped my helmet, and felt better just to be inside. “Tight?” (“Tight!” Oscar agreed.)

“We’re a long way from home.”

(“But we got air! Chin up, pal.”)

Which reminded me to check the chin valve. Everything was working. My knife was gone and so were the hammer and line, but those were incidentals. We were tight.

I followed Skinny out with Fats behind me. We passed Wormface in the corridor-or a wormface-but while I shuddered, I had Oscar around me and felt that he couldn’t get at me. Another creature joined us in the air lock and I had to look twice to realize that it was a wormface in a space suit. The material was smooth and did not bulge the way ours did. It looked like a   dead tree trunk with bare branches and heavy roots, but the supreme improvement was its “helmet”-a glassy smooth dome. One-way glass, I suppose; I couldn’t see in. Cased that way,  a wormface was grotesquely ridiculous rather than terrifying. But I stood no closer than I had to.

Pressure was dropping and I was busy wasting air to keep from swelling up. It reminded me of what I wanted most to know: what had happened to Peewee and the Mother Thing. So I keyed my radio and announced: “Radio check. Alfa, Bravo, Coca-“

“Shaddap that nonsense. We want you, we’ll tell you.” The outer door opened and I had my first view of Pluto.

I don’t know what I expected. Pluto is so far out that they can’t get decent photographs even at Luna Observatory. I had read articles in the Scientific American and seen pictures in LIFE, bonestelled to look like photographs, and remembered that it was approaching its summer-if “summer” is the word for warm enough to melt air. I recalled that because they had announced that Pluto was showing an atmosphere as it got closer to the Sun.

But I had never been much interested in Pluto-too few facts and too much speculation, too far away and not desirable real estate. By comparison the Moon was a choice residential  suburb. Professor Tombaugh (the one the station was named for) was working on a giant electronic telescope to photograph it, under a Guggenheim grant, but he had a special interest; he discovered Pluto years before I was born.

The first thing I noticed as the door was opening was click … click … click-and a fourth click, in my helmet, as Oscar’s heating units all cut in.

The Sun was in front of me-I didn’t realize what it was at first; it looked no bigger than Venus or Jupiter does from Earth (although much brighter). With no disc you could be sure of, it looked like an electric arc.

Fats jabbed me in the ribs. “Snap out of your hop.”

Adrawbridge joined the door to an elevated roadway that led into the side of a mountain about two hundred yards away. The road was supported on spidery legs two or three feet high up to ten or twelve, depending on the lay of the land. The ground was covered with snow, glaringly white even under that pinpoint Sun. Where the stilts were longest, about halfway, the   viaduct crossed a brook.

What sort of “water” was that? Methane? What was the “snow”? Solid ammonia? I didn’t have tables to tell me what was solid, what was liquid, and what was gas at whatever hellish cold Pluto enjoyed in the “summer.” All I knew was that it got so cold in its winter that it didn’t have any gas or liquid-just vacuum, like the Moon.

I was glad to hurry. Awind blew from our left and was not only freezing that side of me in spite of Oscar’s best efforts, it made the footing hazardous-I decided it would be far safer to do that forced march on the Moon again than to fall into that “snow.” Would a man struggle before he shattered himself and his suit, or would he die as he hit?

Adding to hazard of wind and no guard rail was traffic, space-suited wormfaces. They moved at twice our speed and shared the road the way a dog does a bone. Even Skinny resorted to fancy footwork and I had three narrow squeaks.

The way continued into a tunnel; ten feet inside a panel snapped out of the way as we got near it. Twenty feet beyond was another; it did the same and closed behind us. There were about two dozen panels, each behaving like fast-acting gate valves, and the pressure was a little higher after each. I couldn’t see what operated them although it was light in the tunnel from glowing ceilings. Finally we passed through a heavy-duty air lock, but the pressure was already taken care of and its doors stood open. It led into a large room.

Wormface was inside. The Wormface, I think, because he spoke in English: “Come!” I heard it through my helmet. But I couldn’t be sure it was he as there were others around and I would have less trouble telling wart hogs apart.

Wormface hurried away. He was not wearing a space suit and I was relieved when he turned because I could no longer see his squirming mouth; but it was only a slight improvement as  it brought into sight his rearview eye.

We were hard put to keep up. He led us down a corridor, to the right through another open double set of doors, and finally stopped suddenly just short of a hole in the floor about like a sewer manhole. “Undress it!” he commanded.

Fats and Skinny had their helmets open, so I knew it was safe, in one way. But in every other way I wanted to stay inside Oscar-as long as Wormface was around. Fats undamped my helmet. “Out of that skin, bub. Snap it up!” Skinny loosened my belt and they quickly had the suit off even though I hindered.

Wormface waited. As soon as I was out of Oscar he pointed at the hole. “Down!” I gulped. That hole looked as deep as a well and less inviting.

“Down,” he repeated. “Now.”

“Do it, bub,” Fats advised. “Jump or be pushed. Get down that hole before he gets annoyed.” I tried to run.

Wormface was around me and chivvying me back before I was well started. I slammed on the brakes and backed up-glanced behind just in time to turn a fall into a clumsy jump.

It was a long way to the bottom. Landing did not hurt the way it would have on Earth, but I turned an ankle. That didn’t matter; I wasn’t going anywhere; the hole in the ceiling was the only exit.

My cell was about twenty feet square. It was, I suppose, carved out of solid rock, although there was no way to tell as the walls and floor and ceiling were the same elephant hide used in the ship. Alighting panel covered half the ceiling and I could have read if I’d had anything to read. The only other detail was a jet of water that splashed out of a hole in the wall, landed in a depression the size of a washtub, and departed for parts unknown.

The place was warm, which was well as there was nothing resembling bed or bedclothes. I had already concluded that I might be here quite a while and was wondering about eating and sleeping.

I decided I was tired of this nonsense. I had been minding my own business, out back of my own house. Everything else was Wormface’s fault! I sat down on the floor and thought about slow ways to kill him.

I finally gave up that foolishness and wondered about Peewee and the Mother Thing. Were they here? Or were they dead somewhere between the mountains and Tombaugh Station? Thinking it over glumly, I decided that poor little Peewee was best off if she had never wakened from that second coma. I wasn’t sure about the Mother Thing because I didn’t know enough about her-but in Peewee’s case I was sure.

Well, there was a certain appropriateness to the fix I was in; a knight-errant usually lands in a dungeon at some point. But by rights, the maiden fair ought to be imprisoned in a tower in the same castle. Sorry, Peewee; as a knight-errant, I’m a good soda jerk. Or jerk. “His strength is as the strength of ten because his heart is pure.”

It wasn’t funny.

I got tired of punishing myself and looked to see what time it was-not that it mattered. But a prisoner is traditionally expected to scratch marks on the wall, tallying the days he’s been in, so I thought I might as well start. My watch was on my wrist but not running and I couldn’t start it. Maybe eight gees was too much for it, even though it was supposed to be shockproof, waterproof, magnetism-proof, and immune to un-American influences.

After a while I lay down and went to sleep. I was awakened by a clatter.

It was a ration can hitting the floor and the fall hadn’t helped it, but the key was on it and I got it open-corned beef hash and very good, too. I used the empty can to drink from-the water

might be poisoned, but did I have a choice?-and then washed the can so that it wouldn’t smell.

The water was warm. I took a bath.

I doubt if many American citizens during the past twenty years have ever needed a bath as much as I did. Then I washed my clothes. My shirt, shorts, and socks were wash-and-wear synthetics; my slacks were denim and took longer to dry, but I didn’t mind; I just wished that I had one of the two hundred bars of Skyway Soap that were home on the floor of my closet. If I had known I was coming to Pluto, I would have brought one.

Washing clothes caused me to take inventory. I had a handkerchief, sixty-seven cents in change, a dollar bill so sweat-soaked and worn that it was hard to make out Washington’s  picture, a mechanical pencil stamped “Jay’s Drive-In-the thickest malts in town!”-Acanard; I make the thickest-and a grocery list I should have taken care of for Mother but hadn’t because of that silly air conditioner in Charton’s Drugstore. It wasn’t as bedraggled as the dollar bill because it had been in my shirt pocket.

I lined up my assets and looked at them. They did not look like a collection that could be reworked into a miracle weapon with which I would blast my way out, steal a ship, teach myself to pilot it, and return triumphantly to warn the President and save the country. I rearranged them and they still didn’t.

I was correct. They weren’t.

I woke up from a terrible nightmare, remembered where I was, and wished I were back in the nightmare. I lay there feeling sorry for myself and presently tears started welling out of my eyes while my chin trembled. I had never been badgered “not to be a crybaby”; Dad says there is nothing wrong with tears; it’s just that they are socially not acceptable- he says that in some cultures weeping is a social grace. But in Horace Mann Grammar School being a crybaby was no asset; I gave it up years ago. Besides, it’s exhausting and gets you nowhere. I shut off the rain and took stock.

My action list ran like this:

  1. Escape from this cell.
  2. Find Oscar, suit up.
  3. Go outdoors, steal a ship, head home-if I could figure out how to gun it.
  • Figure out a weapon or stratagem to fight off the wormfaces or keep them busy while I sneaked out and grabbed a ship. Nothing to it. Any superman capable of teleportation and other assorted psionic tricks could do it. Just be sure the plan is foolproof and that your insurance is paid up.
  • Crash priority: make sure, before bidding farewell to the romantic shores of exotic Pluto and its friendly colorful natives, that neither Peewee nor the Mother Thing is here-if they are,  take them along-because, contrary to some opinions, it is better to be a dead hero than a live louse. Dying is messy and inconvenient but even a louse dies someday no matter what he will do to stay alive and he is forever having to explain his choice. The gummed-up spell that I had had at the hero business had shown that it was undesirable work but the alternative was still less attractive.

The fact that Peewee knew how to gun those ships, or that the Mother Thing could coach me, did not figure. I can’t prove that, but I know.

Footnote: after I learned to run one of their ships, could I do so at eight gravities? That may simply call for arch supports for a wormface but I knew what eight gees did to me. Automatic pilot? If so, would it have directions on it, in English? (Don’t be silly, Clifford!)

Subordinate footnote: how long would it take to get home at one gravity? The rest of the century? Or just long enough to starve to death?

  • Occupational therapy for the lulls when I went stale on the problems. This was important in order to avoid coming apart at the seams. 0. Henry wrote stories in prison, St. Paul turned  out his strongest epistles incarcerated in Rome, Hitler wrote Mein Kampf in jail-next time I would bring a typewriter and paper. This time I could work out magic squares and invent chess problems. Anything was better than feeling sorry for myself. Lions put up with zoos and wasn’t I smarter than a lion? Some, anyhow?

And so to work- One: how to get out of this hole? I came up with a straight-forward answer: there wasn’t any way. The cell was twenty feet on a side with a ceiling twelve feet high; the    walls were as smooth as a baby’s cheek and as impervious as a bill collector. The other features were the hole in the ceiling, which ran about six feet still higher, the stream of water and its catch basin, and a glowing area in the ceiling. For tools I had the stuff previously listed (a few ounces of nothing much, nothing sharp, nor explosive, nor corrosive), my clothes, and an empty tin can.

I tested how high I could jump. Even a substitute guard needs springs in his legs-I touched the ceiling. That meant a gravity around one-half gee-I hadn’t been able to guess, as I had spent an endless time under one-sixth gravity followed by a few eons at eight gees; my reflexes had been mistreated.

But, although I could touch the ceiling, I could neither walk on it nor levitate. I could get that high, but there was nothing a mouse could cling to.

Well, I could rip my clothes and braid a rope. Was there anything near the hole on which to catch it? All I could recall was smooth floor. But suppose it did catch? What next? Paddle around in my skin until Wormface spotted me and herded me back down, this time with no clothes? I decided to postpone the rope trick until I worked out that next step which would confound Wormface and his tribe.

I sighed and looked around. All that was left was that jet of water and the floor basin that caught it.

There is a story about two frogs trapped in a crock of cream. One sees how hopeless it is, gives up and drowns. The other is too stupid to know he’s licked; he keeps on paddling. In a few hours he has churned so much butter that it forms an island, on which he floats, cool and comfortable, until the milkmaid comes and chucks him out.

That water spilled in and ran out. Suppose it didn’t run out?

I explored the bottom of the catch basin. The drain was large by our standards, but I thought I could plug it. Could I stay afloat while the room filled up, filled the hole above, and pushed me out the spout? Well, I could find out, I had a can.

The can looked like a pint and a “pint’s a pound the world ‘round” and a cubic foot of water weighs (on Earth) a little over sixty pounds. But I had to be sure. My feet are eleven inches long; they’ve been that size since I was ten-I took a lot of ribbing until I grew up to them. I marked eleven inches on the floor with two pennies. It turns out that a dollar bill is two and a half   inches wide and quarter is a smidgeon under an inch. Shortly I knew the dimensions of room and can pretty accurately.

I held the can under the stream, letting it fill and dumping it fast, while I ticked off cans of water on my left hand and counted seconds. Eventually I calculated how long it would take to fill the room. I didn’t like the answer, so I did it over.

It would take fourteen hours to fill the room and the hole above, plus an hour to allow for crude methods. Could I stay afloat that long? You’re darn tootin’ I could!-if I had to. And I had to. There isn’t any limit to how long a man can float if he doesn’t panic.

I balled my slacks and stuffed them in the drain. I almost lost them, so I wrapped them around the can and used the bundle as a cork. It stayed put and I used the rest of my clothes to caulk it. Then I waited, feeling cocky. Maybe the flood would create the diversion I needed for the rest of the caper. Slowly the basin filled.

The water got about an inch below floor level and stopped.

Apressure switch, I suppose. I should have known that creatures who could build eight-gee, constant-boost ships would design plumbing to “fail-safe.” I wish we could.   I recovered my clothes, all but one sock, and spread them to dry. I hoped the sock would foul a pump or something but I doubted it; they were good engineers.

I never really believed that story about the frogs.

Another can was tossed down-roast beef and soggy potatoes. It was filling but I began to long for peaches. The can was stenciled “Available for subsidized resale on Luna” which made  it possible that Skinny and Fatty had come by this food honestly. I wondered how they liked sharing their supplies? No doubt they did so only because Wormface had twisted their arms. Which made me wonder why Wormface wanted me alive? I was in favor of it but couldn’t see why he was. I decided to call each can a “day” and let the empties be my calendar.

Which reminded me that I had not worked out how long it would take to get home on a one-gee boost, if it turned out that I could not arrange automatic piloting at eight gees. I was stymied on getting out of the cell, I hadn’t even nibbled at what I would do if I did get out (correction: when I got out), but I could work ballistics.

I didn’t need books. I’ve met people, even in this day and age, who can’t tell a star from a planet and who think of astronomical distances simply as “big.” They remind me of those primitives who have just four numbers: one, two, three, and “many.” But any tenderfoot Scout knows the basic facts and a fellow bitten by the space bug (such as myself) usually knows a number of figures.

“Mother very thoughtfully made a jelly sandwich under no protest.” Could you forget that after saying it a few times? Okay, lay it out so: Mother  MERCURY$.39

Very VENUS $.72 Thoughtfully TERRA$1.00 Made MARS $1.50

AASTEROIDS (assorted prices, unimportant) Jelly JUPITER $5.20

Sandwich SATURN $9.50 Under URANUS $19.00 No NEPTUNE $30.00

Protest PLUTO $39.50

The “prices” are distances from the Sun in astronomical units. An A.U. is the mean distance of Earth from Sun, 93,000,000 miles. It is easier to remember one figure that everybody knows and some little figures than it is to remember figures in millions and billions. I use dollar signs because a figure has more flavor if I think of it as money-which Dad considers deplorable. Some way you must remember them, or you don’t know your own neighborhood.

Now we come to a joker. The list says that Pluto’s distance is thirty-nine and a half times Earth’s distance. But Pluto and Mercury have very eccentric orbits and Pluto’s is a dilly; its distance varies almost two billion miles, more than the distance from the Sun to Uranus. Pluto creeps to the orbit of Neptune and a hair inside, then swings way out and stays there a couple of centuries-it makes only four round trips in a thousand years.

But I had seen that article about how Pluto was coming into its “summer.” So I knew it was close to the orbit of Neptune now, and would be for the rest of my life-my life expectancy in Centerville; I didn’t look like a preferred risk here. That gave an easy figure-30 astronomical units.

Acceleration problems are simple s=1/2 at2; distance equals half the acceleration times the square of elapsed time. If astrogation were that simple any sophomore could pilot a rocket ship-the complications come from gravitational fields and the fact that everything moves fourteen directions at once. But I could disregard gravitational fields and planetary motions; at the speeds a wormface ship makes neither factor matters until you are very close. I wanted a rough answer.

I missed my slipstick. Dad says that anyone who can’t use a slide rule is a cultural illiterate and should not be allowed to vote. Mine is a beauty- a K&E 20” Log-log Duplex Decitrig. Dad surprised me with it after I mastered a ten-inch polyphase. We ate potato soup that week-but Dad says you should always budget luxuries first. I knew where it was. Home on my desk.

No matter. I had figures, formula, pencil and paper.

First a check problem. Fats had said “Pluto,” “five days,” and “eight gravities.”

It’s a two-piece problem; accelerate for half time (and half distance); do a skew-flip and decelerate the other half time (and distance). You can’t use the whole distance in the equation, as “time” appears as a square-it’s a parabolic. Was Pluto in opposition? Or quadrature? Or conjunction? Nobody looks at Pluto-so why remember where it is on the ecliptic? Oh, well, the average distance was 30 A.U.s-that would give a close-enough answer. Half that distance, in feet, is: 1/2 x 30 x 93,000,000 x 5280. Eight gravities is: 8 x 32.2 ft./sec./sec.-speed increases by 258 feet per second every second up to skew-flip and decreases just as fast thereafter.

So- 1/2 x 30 x 93,000,000 x 5280 = 1/2 x 8 x 32.2 x t2 -and you wind up with the time for half the trip, in seconds. Double that for full trip. Divide by 3600 to get hours; divide by 24 and you have days. On a slide rule such a problem takes forty seconds, most of it to get your decimal point correct. It’s as easy as computing sales tax.

It took me at least an hour and almost as long to prove it, using a different sequence-and a third time, because the answers didn’t match (I had forgotten to multiply by 5280, and had “miles” on one side and “feet” on the other-a no-good way to do arithmetic)-then a fourth time because my confidence was shaken. I tell you, the slide rule is the greatest invention since girls.

But I got a proved answer. Five and a half days. I was on Pluto. Or maybe Neptune-

No, on Neptune I would not be able to jump to a twelve-foot ceiling; Pluto alone matched all facts. So I erased and computed the trip at one gravity, with turnover. Fifteen days.

It seemed to me that it ought to take at least eight times as long at one gee as at eight-more likely sixty-four. Then I was glad I had bulled my way through analytical geometry, for I made a rough plot and saw the trouble. Squared time cut down the advantage-because the more boost, the shorter the trip, and the shorter the trip the less time in which to use the built-up   speed. To cut time in half, you need four times as much boost; to cut it to a quarter, you need sixteen times the boost, and so on. This way lies bankruptcy.

To learn that I could get home in about two weeks at one gravity cheered me. I couldn’t starve in two weeks. If I could steal a ship. If I could run it. If I could climb out of this hole. If- Not “if,” but “when!” I was too late for college this year; fifteen more days wouldn’t matter.

I had noticed, in the first problem, the speed we had been making at skew-flip. More than eleven thousand miles per second. That’s a nice speed, even in space. It made me think. Consider the nearest star, Proxima Centauri, four and three-tenths light-years away, the distance you hear so often on quiz shows. How long at eight gees?

The problem was the same sort but I had to be careful about decimal points; the figures mount up. Alightyear is-I had forgotten. So multiply 186,000 miles per second (the speed of light) by the seconds in a year (365.25 x 24 x 3600) and get-5,880,000,000,000 miles -multiply that by 4.3 and get- 25,284,000,000,000 Call it twenty-five trillion miles. Whew!

It works out to a year and five months-not as long as a trip around the Horn only last century. Why, these monsters had star travel!

I don’t know why I was surprised; it had been staring me in the face. I had assumed that Wormface had taken me to his home planet, that he was a Plutonian, or Plutocrat, or whatever the word is. But he couldn’t be.

He breathed air. He kept his ship warm enough for me. When he wasn’t in a hurry, he cruised at one gee, near enough. He used lighting that suited my eyes. Therefore he came from the sort of planet I came from.

Proxima Centauri is a double star, as you know if you do crossword puzzles, and one is a twin for our own Sun-size, temperature, special pattern. Is it a fair guess that it has a planet like Earth? I had a dirty hunch that I knew Wormface’s home address.

I knew where he didn’t come from. Not from a planet that runs a couple of centuries in utter airlessness with temperatures pushing absolute zero, followed by a “summer” in which some gases melt but water is solid rock and even Wormface has to wear a space suit. Nor from anywhere in our system, for I was sure as taxes that Wormface felt at home only on a planet    like ours. Never mind the way he looked; spiders don’t look like us but they like the things we like-there must be a thousand spiders in our houses for every one of us.

Wormface and his kin would like Earth. My fear was that they liked it too much.

I looked at that Proxima Centauri problem and saw something else. The turn-over speed read 1,110,000 miles per second, six times the speed of light. Relativity theory says that’s impossible.

I wanted to talk to Dad about it. Dad reads everything from The Anatomy of Melancholy to Acta Mathematica and Paris-Match and will sit on a curbstone separating damp newspapers wrapped around garbage in order to see continued-on-page-eight. Dad would haul down a book and we’d look it up. Then he would try four or five more with other opinions. Dad doesn’t hold with the idea that it-must-be-true-or-they-wouldn’t-have-printed-it; he doesn’t consider any opinion sacred-it shocked me the first time he took out a pen and changed something in one of my math books.

Still, even if speed-of-light was a limit, four or five years wasn’t impossible, or even impractical. We’ve been told for so long that star trips, even to the nearest stars, would take generations that we may have a wrong slant. Amile of lunar mountains is a long way but a trillion miles in empty space may not be.

But what was Wormface doing on Pluto?

If you were invading another solar system, how would you start? I’m not joking; a dungeon on Pluto is no joke and I never laughed at Wormface. Would you just barge in, or toss your hat   in first? They seemed far ahead of us in engineering but they couldn’t have known that ahead of time. Wouldn’t it be smart to build a supply base in that system in some spot nobody ever visited?

Then you could set up advance bases, say on an airless satellite of a likely-looking planet, from which you could scout the surface of the target planet. If you lost your scouting base, you would pull back to main base and work out a new attack.

Remember that while Pluto is a long way off to us, it was only five days from Luna for Wormface. Think about World War II, back when speeds were slow. Main Base is safely out of reach (U.S.A./Pluto) but only about five days from advance base (England/The Moon) which is three hours from theater-of-operations (France-Germany/Earth). That’s a slow way to operate but it worked for the Allies in World War II.

I just hoped it would not work for Wormface’s gang. Though I didn’t see anything to prevent it.

Somebody chucked down another can-spaghetti and meat balls. If it had been canned peaches, I might not have had the fortitude to do what I did next, which was to use it for a hammer before I opened it. I beat an empty can into a flat narrow shape and beat a point on it, which I sharpened on the edge of the catch basin. When I was through, I had a dagger -not a good one, but it made me feel less helpless.

Then I ate. I felt sleepy and went to sleep in a warm glow. I was still a prisoner but I had a weapon of sorts and I believed that I had figured out what I was up against. Getting a problem analyzed is two-thirds of solving it. I didn’t have nightmares.

The next thing tossed down the hole was Fats.

Skinny landed on him seconds later. I backed off and held my dagger ready. Skinny ignored me, picked himself up, looked around, went to the water spout and got a drink. Fats was in no shape to do anything; his breath was knocked out.

I looked at him and thought what a nasty parcel he was. Then I thought, oh, what the deuce!-he had massaged me when I needed it. I heaved him onto his stomach and began artificial respiration. In four or five pushes his motor caught and he was able to breathe. He gasped, “That’s enough!”

I backed off, got my knife out. Skinny was sitting against a wall, ignoring us. Fats looked at my feeble weapon and said, “Put that away, kid. We’re bosom buddies now.” “We are?”

“Yeah. Us human types had better stick together.” He sighed wretchedly. “After all we done for him! That’s gratitude.” “What do you mean?” I demanded.

“Huh?” said Fats. “Just what I said. He decided he could do without us. So Annie doesn’t live here any more.” “Shaddap,” the skinny one said flatly.

Fats screwed his face into a pout. “You shaddap,” he said peevishly. “I’m tired of that. It’s shaddap here, shaddap there, all day long-and look where we are.” “Shaddap, I said.”

Fats shut up. I never did find out what had happened, because Fats seldom gave the same explanation twice. The older man never spoke except for that tiresome order to shut up, or in monosyllables even less helpful. But one thing was clear: they had lost their jobs as assistant gangsters, or fifth columnists, or whatever you call a human being who would stooge against his own race. Once Fats said, “Matter of fact, it’s your fault.”

“Mine?” I dropped my hand to my tin-can knife.

“Yours. If you hadn’t butted in, he wouldn’t have got sore.” “I didn’t do anything.”

“Says you. You swiped his two best prizes, that’s all, and held him up when he planned to high-tail it back here.” “Oh. But that wasn’t your fault.” “So I told him. You try telling him. Take your hand away from that silly nail file.” Fats shrugged. “Like I always say, let bygones be bygones.”

I finally learned the thing I wanted most to know. About the fifth time I brought up the matter of Peewee, Fats said, “What d’you want to know about the brat for?” “I just want to know whether she’s alive or dead.”

“Oh, she’s alive. Leastwise she was last time I seen her.” “When was that?”

“You ask too many questions. Right here.” “She’s here?” I said eagerly.

“That’s what I said, wasn’t it? Around everywhere and always underfoot. Living like a princess, if you ask me.” Fats picked his teeth and frowned. “Why he should make a pet out of her and treat us the way he did, beats me. It ain’t right.”

I didn’t think so, either, but for another reason. The idea that gallant little Peewee was the spoiled darling of Wormface I found impossible to believe. There was some explanation-or Fats was lying. “You mean he doesn’t have her locked up?”

“What’s it get him? Where’s she gonna go?”

I pondered that myself. Where could you go?-when to step outdoors was suicide. Even if Peewee had her space suit (and that, at least, was probably locked up), even if a ship was at hand and empty when she got outside, even if she could get into it, she still wouldn’t have a “ship’s brain,” the little gadget that served as a lock. “What happened to the Mother Thing?”

“The what?”

“The-” I hesitated. “Uh, the non-human who was in my space suit with me. You must know, you were there. Is she alive? Is she here?” But Fats was brooding. “Them bugs don’t interest me none,” he said sourly and I could get no more out of him.

But Peewee was alive (and a hard lump in me was suddenly gone). She was here! Her chances, even as a prisoner, had been enormously better on the Moon; nevertheless I felt almost ecstatic to know that she was near. I began thinking about ways to get a message to her.

As for Fats’ insinuation that she was playing footy with Wormface, it bothered me not at all. Peewee was unpredictable and sometimes a brat and often exasperating, as well as conceited, supercilious, and downright childish. But she would be burned alive rather than turn traitor. Joan of Arc had not been made of sterner stuff.

We three kept uneasy truce. I avoided them, slept with one eye open, and tried not to sleep unless they were asleep first, and I always kept my dagger at hand. I did not bathe after they joined me; it would have put me at a disadvantage. The older one ignored me, Fats was almost friendly. I pretended not to be afraid of my puny weapon, but I think he was. The reason I think so comes from the first time we were fed. Three cans dropped from the ceiling; Skinny picked up one, Fats got one, but when I circled around to take the third, Fats snatched it.

I said, “Give me that, please.”

Fats grinned. “What makes you think this is for you, sonny boy?” “Uh, three cans, three people.”

“So what? I’m feeling a mite hungry. I don’t hardly think I can spare it.” “I’m hungry, too. Be reasonable.”

“Mmmm-” He seemed to consider it. “Tell you what. I’ll sell it to you.”

I hesitated. It had a shifty logic; Wormface couldn’t walk into Lunar Base commissary and buy these rations; probably Fats or his partner had bought them. I wouldn’t mind signing I.O.U.s-a hundred dollars a meal, a thousand, or a million; money no longer meant anything. Why not humor him?

No! If I gave in, if I admitted I had to dicker with him for my prison rations, he would own me. I’d wait on him hand and foot, do anything he told me, just to eat.  I let him see my tin dagger. “I’ll fight you for it.”

Fats glanced at my hand and grinned broadly. “Can’t you take a joke?”

He tossed me the can. There was no trouble at feeding times after that, We lived like that “Happy Family” you sometimes see in traveling zoos: a lion caged with a lamb. It is a startling exhibit but the lamb has to be replaced frequently. Fats liked to talk and I learned things from him, when I could sort out truth from lies. His name-so he said-was Jacques de Barre de Vigny (“Call me ‘Jock.’ “) and the older man was Timothy Johnson-but I had a hunch that their real names could be learned only by inspecting post office bulletin boards. Despite Jock’s pretense of knowing everything, I soon decided that he knew nothing about Wormface’s origin and little about his plans and purposes. Wormface did not seem the sort to discuss things with “lower animals”; he would simply make use of them, as we use horses.

Jock admitted one thing readily. “Yeah, we put the snatch on the brat. There’s no uranium on the Moon; those stories are just to get suckers. We were wasting our time-and a man’s got to eat, don’t he?”

I didn’t make the obvious retort; I wanted information. Tim said, “Shaddap!”

“Aw, what of it, Tim? You worried about the F.B.I.? You think the Man can put the arm on you-here?” “Shaddap, I said.”

“Happens I feel like talking. So blow it.” Jock went on, “It was easy. The brat’s got more curiosity than seven cats. He knew she was coming and when.” Jock looked thoughtful. “He  always knows-he’s got lots of people working for him, some high up. All I had to do was be in Luna City and get acquainted-I made the contact because Tim here ain’t the fatherly type,  the way I am. I get to talking with her, I buy her a coke, I tell her about the romance of hunting uranium on the Moon and similar hogwash. Then I sigh and say it’s too bad I can’t show her the mine of my partner and I. That’s all it took. When the tourist party visited Tombaugh Station, she got away and sneaked out the lock-she worked that part out her ownself. She’s sly,  that one. All we had to do was wait where I told her -didn’t even have to be rough with her until she got worried about taking longer for the crawler to get to our mine than I told her.” Jock grinned. “She fights pretty well for her weight. Scratched me some.”

Poor little Peewee! Too bad she hadn’t drawn and quartered him! But the story sounded true, for it was the way Peewee would behave-sure of herself, afraid of no one, unable to resist any “educational” experience.

Jock went on, “It wasn’t the brat he wanted. He wanted her old man. Had some swindle to get him to the Moon, didn’t work.” Jock grinned sourly. “That was a bad time, things ain’t good when he don’t have his own way. But he had to settle for the brat. Tim here pointed out to him he could trade.”

Tim chucked in one word which I took as a general denial. Jock raised his eyebrows. “Listen to vinegar puss. Nice manners, ain’t he?”

Maybe I should have kept quiet since I was digging for facts, not philosophy. But I’ve got Peewee’s failing myself; when I don’t understand, I have an unbearable itch to know why. I didn’t (and don’t) understand what made Jock tick. “Jock? Why did you do it?”

“Huh?”

“Look, you’re a human being.” (At least he looked like one.) “As you pointed out, we humans had better stick together. How could you bring yourself to kidnap a little girl-and turn her over to him?”

“Are you crazy, boy?” “I don’t think so.”

“You talk crazy. Have you ever tried not doing something he wanted? Try it some time.”

I saw his point. Refusing Wormface would be like a rabbit spitting in a snake’s eye-as I knew too well. Jock went on, “You got to understand the other man’s viewpoint. Live and let live, I always say. We got grabbed while we were messin’ around, lookin’ for carnotite-and after that, we never stood no chance. You can’t fight City Hall, that gets you nowhere. So we made a dicker-we run his errands, he pays us in uranium.”

My faint sympathy vanished. I wanted to throw up. “And you got paid?” “Well … you might say we got time on the books.”

I looked around our cell. “You made a bad deal.”

Jock grimaced, looking like a sulky baby. “Maybe so. But be reasonable, kid. You got to cooperate with the inevitable. These boys are moving in-they got what it takes. You seen that yourself. Well, a man’s got to look out for number one, don’t he? It’s a cinch nobody else will. Now I seen a case like this when I was no older than you and it taught me a lesson. Our town had run quietly for years, but the Big Fellow was getting old and losing his grip … whereupon some boys from St. Louis moved in. Things were confused for a while. Aman had to know which way to jump-else he woke up wearing a wooden overcoat, like as not. Those that seen the handwriting made out; those that didn’t … well, it don’t do no good to buck the current, I always say. That makes sense, don’t it?”

I could follow his “logic”-provided you accepted his “live louse” standard. But he had left out a key point. “Even so. Jock, I don’t see how you could do that to a little girl.” “Huh? I just explained how we couldn’t help it.”

“But you could. Even allowing how hard it is to face up to him and refuse orders, you had a perfect chance to duck out.” “Wha’ d’you mean?”

“He sent you to Luna City to find her, you said so. You’ve got a return-fare benefit-I know you have, I know the rules. All you had to do was sit tight, where he couldn’t reach you-and take the next ship back to Earth. You didn’t have to do his dirty work.”

“But-“

I cut him off. “Maybe you couldn’t help yourself, out in a lunar desert. Maybe you wouldn’t feel safe even inside Tombaugh Station. But when he sent you into Luna City, you had your chance. You didn’t have to steal a little girl and turn her over to a-a bug-eyed monster!”

He looked baffled, then answered quickly. “Kip, I like you. You’re a good boy. But you ain’t smart. You don’t understand.”

“I think I do!”

“No, you don’t.” He leaned toward me, started to put a hand on my knee; I drew back. He went on, “There’s something I didn’t tell you … for fear you’d think I was a-well, a zombie, or something. They operated on us.”

“Huh?”

“They operated on us,” he went on glibly. “They planted bombs in our heads. Remote control, like a missile. Aman gets out of line … he punches a button-blooie! Brains all over the ceiling.” He fumbled at the nape of his neck. “See the scar? My hair’s getting kind o’ long … but if you look close I’m sure you’ll see it; it can’t ‘ave disappeared entirely. See it?”

I started to look. I might even have been sold on it-I had been forced to believe less probable things lately. Tim cut short my suspended judgment with one explosive word. Jock flinched, then braced himself and said, “Don’t pay any attention to him!”

I shrugged and moved away. Jock didn’t talk the rest of that “day.” That suited me.

The next “morning” I was roused by Jock’s hand on my shoulder. “Wake up, Kip! Wake up!”

I groped for my toy weapon. “It’s over there by the wall,” Jock said, “but it ain’t ever goin’ to do you any good now.”  I grabbed it. “What do you mean? Where’s Tim?”

“You didn’t wake up?” “Huh?”

“This is what I’ve been scared of. Cripes, boy! I just had to talk to somebody. You slept through it?” “Through what? And where’s Tim?”

Jock was shivering and sweating. “They blue-lighted us, that’s what. They took Tim.” He shuddered. “I’m glad it was him. I thought-well, maybe you’ve noticed I’m a little stout … they like fat.”

“What do you mean? What have they done with him?”

“Poor old Tim. He had his faults, like anybody, but-He’s soup, by now … that’s what.” He shuddered again. “They like soup-bones and all.” “I don’t believe it. You’re trying to scare me.”

“So?” He looked me up and down. “They’ll probably take you next. Son, if you’re smart, you’ll take that letter opener of yours over to that horse trough and open your veins. It’s better that way.”

I said, “Why don’t you? Here, I’ll lend it to you.” He shook his head and shivered. “I ain’t smart.”

I don’t know what became of Tim. I don’t know whether the wormfaces ate people, or not. (You can’t say “cannibal.” We may be mutton, to them.) I wasn’t especially scared because I had long since blown all fuses in my “scare” circuits.

What happens to my body after I’m through with it doesn’t matter to me. But it did to Jock; he had a phobia about it. I don’t think Jock was a coward; cowards don’t even try to become prospectors on the Moon. He believed his theory and it shook him. He halfway admitted that he had more reason to believe it than I had known. He had been to Pluto once before, so he said, and other men who had come along, or been dragged, on that trip hadn’t come back.

When feeding time came-two cans-he said he wasn’t hungry and offered me his rations. That “night” he sat up and kept himself awake. Finally I just had to go to sleep before he did.   I awoke from one of those dreams where you can’t move. The dream was correct; sometime not long before, I had surely been blue-lighted.

Jock was gone.

I never saw either of them again.

Somehow I missed them … Jock at least. It was a relief not to have to watch all the time, it was luxurious to bathe. But it gets mighty boring, pacing your cage alone.  I have no illusions about them. There must be well over three billion people I would rather be locked up with. But they were people.

Tim didn’t have anything else to recommend him; he was as coldly vicious as a guillotine. But Jock had some slight awareness of right and wrong, or he wouldn’t have tried to justify himself. You might say he was just weak.

But I don’t hold with the idea that to understand all is to forgive all; you follow that and first thing you know you’re sentimental over murderers and rapists and kidnappers and forgetting their victims. That’s wrong. I’ll weep over the likes of Peewee, not over criminals whose victims they are. I missed Jock’s talk but if there were some way to drown such creatures at birth, I’d take my turn as executioner. That goes double for Tim.

If they ended up as soup for hobgoblins, I couldn’t honestly be sorry- even though it might be my turn tomorrow. As soup, they probably had their finest hour.

Chapter 8

I was jarred out of useless brain-cudgeling by an explosion, a sharp crack -a bass rumble-then a whoosh! of reduced pressure. I bounced to my feet-anyone who has ever depended on  a space suit is never again indifferent to a drop in pressure.

I gasped, “What the deuce!”

Then I added, “Whoever is on watch had better get on the ball-or we’ll all be breathing thin cold stuff.” No oxygen outside, I was sure-or rather the astronomers were and I didn’t want to test it.

Then I said, “Somebody bombing us? I hope. “Or was it an earthquake?”

This was not an idle remark. That Scientific American article concerning “summer” on Pluto had predicted “sharp isostatic readjustments” as the temperature rose-which is a polite way of saying, “Hold your hats! Here comes the chimney!”

I was in an earthquake once, in Santa Barbara; I didn’t need a booster shot to remember what every Californian knows and others learn in one lesson: when the ground does a jig, get outdoors!

Only I couldn’t.

I spent two minutes checking whether adrenalin had given me the strength to jump eighteen feet instead of twelve. It hadn’t. That was all I did for a half-hour, if you don’t count nail biting. Then I heard my name! “Kip! Oh, Kip!”

“Peewee!” I screamed. “Here! Peewee!”

Silence for an eternity of three heartbeats- “Kip?” “Down HERE!”

“Kip? Are you down this hole?”

“Yes! Can’t you see me?” I saw her head against the light above. “Uh, I can now. Oh, Kip, I’m so glad!”

“Then why are you crying? So am I!”

“I’m not crying,” she blubbered. “Oh Kip … Kip.” “Can you get me out?”

“Uh-” She surveyed that drop. “Stay where you are.” “Don’t go ‘way!” She already had.

She wasn’t gone two minutes; it merely seemed like a week. Then she was back and the darling had a nylon rope! “Grab on!” she shrilled.

“Wait a sec. How is it fastened?” “I’ll pull you up.”

“No, you won’t-or we’ll both be down here. Find somewhere to belay it.” “I can lift you.”

“Belay it! Hurry!”

She left again, leaving an end in my hands. Shortly I heard very faintly: “On belay!”

I shouted, “Testing!” and took up the slack. I put my weight on it-it held. “Climbing!” I yelled, and followed the final “g” up the hole and caught it.

She flung herself on me, an arm around my neck, one around Madame Pompadour, and both of mine around her. She was even smaller and skinnier than I remembered. “Oh, Kip, it’s been just awful.”

I patted her bony shoulder blades. “Yeah, I know. What do we do now? Where’s W-“ I started to say, “Where’s Wormface?” but she burst into tears.

“Kip-I think she’s dead!”

My mind skidded-I was a bit stir-crazy anyhow. “Huh? Who?”

She looked as amazed as I was confused. “Why, the Mother Thing.”

“Oh.” I felt a flood of sorrow. “But, honey, are you sure? She was talking to me all right up to the last-and I didn’t die.” “What in the world are you talk- Oh. I don’t mean then. Kip; I mean now.”

“Huh? She was here?” “Of course. Where else?”

Now that’s a silly question, it’s a big universe. I had decided long ago that the Mother Thing couldn’t be here-because Jock had brushed off the subject. I reasoned that Jock would either have said that she was here or have invented an elaborate lie, for the pleasure of lying. Therefore she wasn’t on his list-perhaps he had never seen her save as a bulge under my suit.

I was so sure of my “logic” that it took a long moment to throw off prejudice and accept fact. “Peewee,” I said, gulping, “I feel like I’d lost my own mother. Are you sure?”  ” ‘Feel as if,’ ” she said automatically. “I’m not sure sure … but she’s outside-so she must be dead.”

“Wait a minute. If she’s outside, she’s wearing a space suit? Isn’t she?” “No, no! She hasn’t had one-not since they destroyed her ship.”

I was getting more confused. “How did they bring her in here?”

“They just sacked her and sealed her and carried her in. Kip-what do we do now?”

I knew several answers, all of them wrong-I had already considered them during my stretch in jail. “Where is Wormface? Where are all the wormfaces?”

“Oh. All dead. I think.”

“I hope you’re right.” I looked around for a weapon and never saw a hallway so bare. My toy dagger was only eighteen feet away but I didn’t feel like going back down for it. “What makes you think so?”

Peewee had reason to think so. The Mother Thing didn’t look strong enough to tear paper but what she lacked in beef she made up in brains. She had done what I had tried to do: reasoned out a way to take them all on. She had not been able to hurry because her plan had many factors all of which had to mesh at once and many of them she could not influence; she had to wait for the breaks.

First, she needed a time when there were few wormfaces around. The base was indeed a large supply dump and space port and transfer point, but it did not need a large staff. It had been unusually crowded the few moments I had seen it, because our ship was in.

Second, it also had to be when no ships were in because she couldn’t cope with a ship-she couldn’t get at it.

Third, H-Hour had to be while the wormfaces were feeding. They all ate together when there were few enough not to have to use their mess hall in relays-crowded around one big tub and sopping it up, I gathered -a scene out of Dante. That would place all her enemies on one target, except possibly one or two on engineering or communication watches.

“Wait a minute!” I interrupted. “You said they were all dead?” “Well … I don’t know. I haven’t seen any.”

“Hold everything until I find something to fight with.” “But-“

“First things first, Peewee.”

Saying that I was going to find a weapon wasn’t finding one. That corridor had nothing but more holes like the one I had been down- which was why Peewee had looked for me there; it was one of the few places where she had not been allowed to wander at will. Jock had been correct on one point: Peewee-and the Mother Thing-had been star prisoners, allowed all privileges except freedom … whereas Jock and Tim and myself had been third-class prisoners and/or soup bones. It fitted the theory that Peewee and the Mother Thing were hostages rather than ordinary P.W.s.

I didn’t explore those holes after I looked down one and saw a human skeleton-maybe they got tired of tossing food to him. When I straightened up Peewee said, “What are you shaking about?”

“Nothing. Come on.” “I want to see.”

“Peewee, every second counts and we’ve done nothing but yak. Come on. Stay behind me.”

I kept her from seeing the skeleton, a major triumph over that little curiosity box-although it probably would not have affected her much; Peewee was sentimental only when it suited her. “Stay behind me” had the correct gallant sound but it was not based on reason. I forgot that attack could come from the rear-I should have said:

“Follow me and watch behind us.”

She did anyway. I heard a squeal and whirled around to see a wormface with one of those camera-like things aimed at me. Even though Tim had used one on me I didn’t realize what it was; for a moment I froze.

But not Peewee. She launched herself through the air, attacking with both hands and both feet in the gallant audacity and utter recklessness of a kitten.

That saved me. Her attack would not have hurt anything but another kitten but it mixed him up so that he didn’t finish what he was doing, namely paralyzing or killing me; he tripped over her and went down.

And I stomped him. With my bare feet I stomped him, landing on that lobster-horror head with both feet. His head crunched. It felt awful.

It was like jumping on a strawberry box. It splintered and crunched and went to pieces. I cringed at the feel, even though I was in an agony to fight, to kill. I trampled worms and hopped away, feeling sick. I scooped up Peewee and pulled her back, as anxious to get clear as I had been to Join battle seconds before.

I hadn’t killed it. For an awful moment I thought I was going to have to wade back in. Then I saw that while it was alive, it did not seem aware of us. It flopped like a chicken freshly chopped, then quieted and began to move purposefully.

But it couldn’t see. I had smashed its eyes and maybe its ears-but certainly those terrible eyes.

It felt around the floor carefully, then got to its feet, still undamaged except that its head was a crushed ruin. It stood still, braced tripod-style by that third appendage, and felt the air. I pulled us back farther.

It began to walk. Not toward us or I would have screamed. It moved away, ricocheted off a wall, straightened out, and went back the way we had come. t reached one of those holes they used for prisoners, walked into it and dropped. I sighed, and realized that I had been holding Peewee too tightly to breathe. I put her down.

“There’s your weapon,” she said. “Huh?”

“On the floor. Just beyond where I dropped Madame Pompadour. The gadget.” She went over, picked up her dolly, brushed away bits of ruined wormface, then took the camera-like thing and handed it to me. “Be careful. Don’t point it toward you. Or me.”

“Peewee,” I said faintly, “don’t you ever have an attack of nerves?”

“Sure I do. When I have leisure for it. Which isn’t now. Do you know how to work it?” “No. Do you?”

“I think so. I’ve seen them and the Mother Thing told me about them.” She took it, handling it casually but not pointing it at either of us. “These holes on top-uncover one of them, it stuns. If you uncover them all, it kills. To make it work you push it here.” She did and a bright blue light shot out, splashed against the wall. “The light doesn’t do anything,” she added. “It’s for aiming. I hope there wasn’t anybody on the other side of that wall. No, I hope there was. You know what I mean.”

It looked like a cockeyed 35 mm. camera, with a lead lens-one built from an oral description. I took it, being very cautious where I pointed it, and looked at it. Then I tried it-full power, by mistake.

The blue light was a shaft in the air and the wall where it hit glowed and began to smoke. I shut it off. “You wasted power,” Peewee chided. “You may need it later.”

“Well, I had to try it. Come on, let’s go.”

Peewee glanced at her Mickey Mouse watch-and I felt irked that it had apparently stood up when my fancy one had not. “There’s very little time. Kip. Can’t we assume that only this one escaped?”

“What? We certainly cannot! Until we’re sure that all of them are dead, we can’t do anything else. Come on.”

“But- Well, I’ll lead. I know my way around, you don’t.” “No.”

“Yes!”

So we did it her way; she led and carried the blue-light projector while I covered the rear and wished for a third eye, like a wormface. I couldn’t argue that my reflexes were faster when they weren’t, and she knew more than I did about our weapon.

But it’s graveling, just the same.

The base was huge; half that mountain must have been honeycombed. We did it at a fast trot, ignoring things as complicated as museum exhibits and twice as interesting, simply making sure that no wormface was anywhere. Peewee ran with the weapon at the ready, talking twenty to the dozen and urging me on.

Besides an almost empty base, no ships in, and the wormfaces feeding, the Mother Thing’s plan required that all this happen shortly before a particular hour of the Plutonian night. “Why?” I panted.

“So she could signal her people, of course.”

“But-” I shut up. I had wondered about the Mother Thing’s people but didn’t even know as much about her as I did about Wormface- except that she was everything that made her the Mother Thing. Now she was dead-Peewee said that she was outside without a space suit, so she was surely dead; that little soft warm thing wouldn’t last two seconds in that ultra-arctic weather. Not to mention suffocation and lung hemorrhage. I choked up.

Of course, Peewee might be wrong. I had to admit that she rarely was- but this might be one of the times … in which case we would find her. But if we didn’t find her, she was outside and- “Peewee, do you know where my space suit is?”

“Huh? Of course. Right next to where I got this.” She patted the nylon rope, which she had coiled around her waist and tied with a bow. “Then the second we are sure that we’ve cleaned out the wormfaces I’m going outside and look for her!”

“Yes, yes! But we’ve got to find my suit, too. I’m going with you.”

No doubt she would. Maybe I could persuade her to wait in the tunnel out of that bone-freezing wind. “Peewee, why did she have to send her message at night? To a ship in a rotation- period orbit? Or is there-“

My words were chopped off by a rumble. The floor shook in that loose-bearing vibration that frightens people and animals alike. We stopped dead. “What was that?” Peewee whispered.   I swallowed. “Unless it’s part of this rumpus the Mother Thing planned-“

“It isn’t. I think.” “It’s a quake.”

“An earthquake?”

“APluto quake. Peewee, we’ve got to get out of here!”

I wasn’t thinking about where-you don’t in a quake. Peewee gulped. “We can’t bother with earthquakes; we haven’t time. Hurry, Kip, hurry!” She started to run and I followed, gritting my teeth. If Peewee could ignore a quake, so could I-though it’s like ignoring a rattlesnake in bed.

“Peewee … Mother Thing’s people … is their ship in orbit around Pluto?” “What? Oh, no, no! They’re not in a ship.”

“Then why at night? Something about the Heavyside layers here? How far away is their base?” I was wondering how far a man could walk here. We had done almost forty miles on the Moon. Could we do forty blocks here? Or even forty yards? You could insulate your feet, probably. But that wind- “Peewee, they don’t live here, do they?”

“What? Don’t be silly! They have a nice planet of their own. Kip, if you keep asking foolish questions, we’ll be too late. Shut up and listen.”

I shut up. What follows I got in snatches as we ran, and some of it later. When the Mother Thing had been captured, she had lost ship, space clothing, communicator, everything; Wormface had destroyed it all. There had been treachery, capture through violation of truce while parleying. “He grabbed her when they were supposed to be under a King’s ‘X’ ” was Peewee’s indignant description, “and that’s not fair! He had promised.”

Treachery would be as natural in Wormface as venom in a Gila monster; I was surprised that the Mother Thing had risked a palaver with him. It left her a prisoner of ruthless monsters equipped with ships that made ours look like horseless carriages, weapons which started with a “death ray” and ended heaven knows where, plus bases, organization, supplies.

She had only her brain and her tiny soft hands.

Before she could use the rare combination of circumstances necessary to have any chance at all she had to replace her communicator (I think of it as her “radio” but it was more than that) and she had to have weapons. The only way she could get them was to build them.

She had nothing, not a bobby pin-only that triangular ornament with spirals engraved on it. To build anything she had to gain access to a series of rooms which I would describe as electronics labs-not that they looked like the bench where I jiggered with electronics, but electron-pushing has its built-in logic. If electrons are to do what you want them to, components have to look pretty much a certain way, whether built by humans, wormfaces, or the Mother Thing. Awave guide gets its shape from the laws of nature, an inductance has its necessary geometry, no matter who the technician is.

So it looked like an electronics lab-a very good one. It had gear I did not recognize, but which I felt I could understand if I had time. I got only a glimpse.

The Mother Thing spent many, many hours there. She would not have been permitted there, even though she was a prisoner-at-large with freedom in most ways and anything she wanted, including private quarters with Peewee. I think that Wormface was afraid of her, even though she was a prisoner-he did not want to offend her unnecessarily.

She got the run of their shops by baiting their cupidity. Her people had many things that wormfaces had not-gadgets, inventions, conveniences. She began by inquiring why they did a thing this way rather than another way which was so much more efficient? Atradition? Or religious reasons?

When asked what she meant she looked helpless and protested that she couldn’t explain-which was a shame because it was simple and so easy to build, too.

Under close chaperonage she built something. The gadget worked. Then something else. Presently she was in the labs daily, making things for her captors, things that delighted them. She always delivered; the privilege depended on it.

But each gadget involved parts she needed herself.

“She sneaked bits and pieces into her pouch,” Peewee told me. “They never knew exactly what she was doing. She would use five of a thing and the sixth would go into her pouch.” “Her pouch?”

“Of course. That’s where she hid the ‘brain’ the time she and I swiped the ship. Didn’t you know?” “I didn’t know she had a pouch.”

“Well, neither did they. They watched to see she didn’t carry anything out of the shop-and she never did. Not where it showed.”

“Uh, Peewee, is the Mother Thing a marsupial?”

“Huh? Like possums? You don’t have to be a marsupial to have a pouch. Look at squirrels, they have pouches in their cheeks.” “Mmm, yes.”

“She sneaked a bit now and a bit then, and I swiped things, too. During rest time she worked on them in our room.”

The Mother Thing had not slept all the time we had been on Pluto. She worked long hours publicly, making things for wormfaces-a stereo-telephone no bigger than a pack of cigarettes, a tiny beetle-like arrangement that crawled all over anything it was placed on and integrated the volume, many other things. But during hours set apart for rest she worked for herself,   usually in darkness, those tiny fingers busy as a blind watch-maker’s.

She made two bombs and a long-distance communicator-and-beacon.

I didn’t get all this tossed over Peewee’s shoulder while we raced through the base; she simply told me that the Mother Thing had managed to build a radio-beacon and had been responsible for the explosion I had felt. And that we must hurry, hurry, hurry!

“Peewee,” I said, panting. “What’s the rush? If the Mother Thing is outside, I want to bring her in-her body, I mean. But you act as if we had a deadline.” “We do!”

The communicator-beacon had to be placed outside at a particular local time (the Plutonian day is about a week-the astronomers were right again) so that the planet itself would not blanket the beam. But the Mother Thing had no space suit. They had discussed having Peewee suit up, go outside, and set the beacon-it had been so designed that Peewee need only trigger it. But that depended on locating Peewee’s space suit, then breaking in and getting it after the wormfaces were disposed of.

They had never located it. The Mother Thing had said serenely, singing confident notes that I could almost hear ringing in my head: (“Never mind, dear. I can go out and set it myself.”) “Mother Thing! You can’t!” Peewee had protested. “It’s cold out there.”

(“I shan’t be long.”)

“You won’t be able to breathe.”

(“It won’t be necessary, for so short a time.”)

That settled it. In her own way, the Mother Thing was as hard to argue with as Wormface.

The bombs were built, the beacon was built, a time approached when all factors would match-no ship expected, few wormfaces, Pluto faced the right way, feeding time for the staff-and they still did not know where Peewee’s suit was-if it had not been destroyed. The Mother Thing resolved to go ahead.

“But she told me, just a few hours ago when she let me know that today was the day, that if she did not come back in ten minutes or so, that she hoped I could find my suit and trigger the beacon-if she hadn’t been able to.” Peewee started to cry. “That was the f- f- first time she admitted that she wasn’t sure she could do it!”

“Peewee! Stop it! Then what?”

“I waited for the explosions-they came, right together-and I started to search, places I hadn’t been allowed to go. But I couldn’t find my suit!

Then I found you and-oh, Kip, she’s been out there almost an hour!” She looked at her watch. “There’s only about twenty minutes left. If the beacon isn’t triggered by then, she’s had all her trouble and died for n- n- nothing! She wouldn’t like that.” “Where’s my suit!”

We found no more wormfaces-apparently there was only one on duty while the others fed. Peewee showed me a door, air-lock type, behind which was the feeding chamber-the bomb may have cracked that section for gas-tight doors had closed themselves when the owners were blown to bits. We hurried past.

Logical as usual, Peewee ended our search at my space suit. It was one of more than a dozen human-type suits-I wondered how much soup those ghouls ate. Well, they wouldn’t eat again! I wasted no time; I simply shouted, “Hi, Oscar!” and started to suit up.

(“Where you been, chum?”)

Oscar seemed in perfect shape. Fats’ suit was next to mine and Tim’s next to it; I glanced at them as I stretched Oscar out, wondering whether they had equipment I could use. Peewee was looking at Tim’s suit. “Maybe I can wear this.”

It was much smaller than Oscar, which made it only nine sizes too big for Peewee. “Don’t be silly! It’d fit you like socks on a rooster. Help me. Take off that rope, coil it and clip it to my belt.”

“You won’t need it. The Mother Thing planned to take the beacon out the walkway about a hundred yards and sit it down. If she didn’t manage it, that’s all you do. Then twist the stud on top.”

“Don’t argue! How much time?” “Yes, Kip. Eighteen minutes.”

“Those winds are strong,” I added. “I may need the line.” The Mother Thing didn’t weigh much. If she had been swept off, I might need a rope to recover her body. “Hand me that hammer off Fats’ suit.”

“Right away!”

I stood up. It felt good to have Oscar around me. Then I remembered how cold my feet got, walking in from the ship. “I wish I had asbestos boots.”

Peewee looked startled. “Wait right here!” She was gone before I could stop her. I went on sealing up while I worried-she hadn’t even stopped to pick up the projector weapon. Shortly I said, “Tight, Oscar?”

(“Tight, boy!”)

Chin valve okay, blood-color okay, radio-I wouldn’t need it-water- The tank was dry. No matter, I wouldn’t have time to grow thirsty. I worked the chin valve, making the pressure low because I knew that pressure outdoors was quite low.

Peewee returned with what looked like ballet slippers for a baby elephant. She leaned close to my face plate and shouted, “They wear these. Can you get them on?” It seemed unlikely, but I forced them over my feet like badly fitting socks. I stood up and found that they improved traction; they were clumsy but not hard to walk in.

Aminute later we were standing at the exit of the big room I had first seen. Its air-lock doors were closed now as a result of the Mother Thing’s other bomb, which she had placed to blow out the gate-valve panels in the tunnel beyond. The bomb in the feeding chamber had been planted by Peewee who had then ducked back to their room. I don’t know whether the Mother Thing timed the two bombs to go off together, or triggered them by remote-control-nor did it matter; they had made a shambles of Wormface’s fancy base.

Peewee knew how to waste air through the air lock. When the inner door opened I shouted, “Time?” “Fourteen minutes.” She held up her watch.

“Remember what I said, just stay here. If anything moves, blue-light it first and ask questions afterwards.” “I remember.”

I stepped in and closed the inner door, found the valve in the outer door, waited for pressure to equalize.

The two or three minutes it took that big lock to bleed off I spent in glum thought. I didn’t like leaving Peewee alone. I thought all wormfaces were dead, but I wasn’t sure. We had searched hastily; one could have zigged when we zagged-they were so fast.

Besides that, Peewee had said, “I remember,” when she should have said, “Okay, Kip, I will.” Aslip of the tongue? That flea-hopping mind made “slips” only when it wanted to. There is a world of difference between “Roger” and “Wilco.”

Besides I was doing this for foolish motives. Mostly I was going out to recover the Mother Thing’s body-folly, because after I brought her in, she would spoil. It would be kinder to leave her in natural deep-freeze.

But I couldn’t bear that-it was cold out there and I couldn’t leave her out in the cold. She had been so little and warm … so alive. I had to bring her in where she could get warm. You’re in bad shape when your emotions force you into acts which you know are foolish.

Worse still, I was doing this in a reckless rush because the Mother Thing had wanted that beacon set before a certain second, now only twelve minutes away, maybe ten. Well, I’d do it, but what sense was it? Say her home star is close by-oh, say it’s Proxima Centauri and the wormfaces came from somewhere farther. Even if her beacon works-it still takes over four years for her S.O.S. to reach her friends!

This might have been okay for the Mother Thing. I had an impression that she lived a very long time; waiting a few years for rescue might not bother her. But Peewee and I were not creatures of her sort. We’d be dead before that speed-of-light message crawled to Proxima Centauri. I was glad that I had seen Peewee again, but I knew what was in store for us.    Death, in days, weeks, or months at most, from running out of air, or water, or food-or a wormface ship might land before we died-which meant one unholy sabbat of a fight in which, if we were lucky, we would die quickly.

No matter how you figured, planting that beacon was merely “carrying out the deceased’s last wishes”-words you hear at funerals. Sentimental folly. The outer door started to open. Ave, Mother Thing! Nos morituri.

It was cold out there, biting cold, even though I was not yet in the wind. The glow panels were still working and I could see that the tunnel was a mess; the two dozen fractional-pressure stops had ruptured like eardrums. I wondered what sort of bomb could be haywired from stolen parts, kept small enough to conceal two in a body pouch along with some sort of radio rig, and nevertheless have force enough to blow out those panels. The blast had rattled my teeth, several hundred feet away in solid rock.

The first dozen panels were blown inwards. Had she set it off in the middle of the tunnel? Ablast that big would fling her away like a feather! She must have planted it there, then come inside and triggered it-then gone back through the lock just as I had. That was the only way I could see it.

It got colder every step. My feet weren’t too cold yet, those clumsy mukluks were okay; the wormfaces understood insulation. “Oscar, you got the fires burning?” (“Roaring, chum. It’s a cold night.”)

“You’re telling me!”

Just beyond the outermost burst panel, I found her.

She had sunk forward, as if too tired to go on. Her arms stretched in front of her and, on the floor of the tunnel not quite touched by her tiny fingers, was a small round box about the size ladies keep powder in on dressing tables.

Her face was composed and her eyes were open except that nictitating membranes were drawn across as they had been when I had first seen her in the pasture back of our house, a few days or weeks or a thousand years ago. But she had been hurt then and looked it; now I half expected her to draw back those inner lids and sing a welcome.

I touched her.

She was hard as ice and much colder.

I blinked back tears and wasted not a moment. She wanted that little box placed a hundred yards out on the causeway and the bump on top twisted-and she wanted it done in the next six or seven minutes. I scooped it up. “Righto, Mother Thing! On my way!”

(“Get cracking, chum!”) (“Thank you, dear Kip… .”)

I don’t believe in ghosts. I had heard her sing thank-you so many times that the notes echoed in my head.

Afew feet away at the mouth of the tunnel, I stopped. The wind hit me and was so cold that the deathly chill in the tunnel seemed summery. I closed my eyes and counted thirty seconds   to give time to adjust to starlight while I fumbled on the windward side of the tunnel at a slanting strut that anchored the causeway to the mountain, tied my safety line by passing it around the strut and snapping it back on itself. I had known that it was night outside and I expected the causeway to stand out as a black ribbon against the white “snow” glittering under a skyful  of stars. I thought I would be safer on that windswept way if I could see its edges-which I couldn’t by headlamp unless I kept swinging my shoulders back and forth-clumsy and likely to throw me off balance or slow me down.

I had figured this carefully; I didn’t regard this as a stroll in the garden -not at night, not on Pluto! So I counted thirty seconds and tied my line while waiting for eyes to adjust to starlight. I opened them.

And I couldn’t see a darned thing!

Not a star. Not even the difference between sky and ground. My back was to the tunnel and the helmet shaded my face like a sunbonnet; I should have been able to see the walkway. Nothing.

I turned the helmet and saw something that accounted both for black sky and the quake we had felt-an active volcano. It may have been five miles away or fifty, but I could not doubt what it was-a jagged, angry red scar low in the sky.

But I didn’t stop to stare. I switched on the headlamp, splashed it on the righthand windward edge, and started a clumsy trot, keeping close to that side, so that if I stumbled I would have the entire road to recover in before the wind could sweep me off. That wind scared me. I kept the line coiled in my left hand and paid it out as I went, keeping it fairly taut. The coil felt stiff in my fingers.

The wind not only frightened me, it hurt. It was a cold so intense that it felt like flame. It burned and blasted, then numbed. My right side, getting the brunt of it, began to go and then my left side hurt more than the right.

I could no longer feel the line. I stopped, leaned forward and got the coil in the light from the headlamp-that’s another thing that needs fixing! the headlamp should swivel.

The coil was half gone, I had come a good fifty yards. I was depending on the rope to tell me; it was a hundred-meter climbing line, so when I neared its end I would be as far out as the Mother Thing had wanted. Hurry, Kip!

(“Get cracking, boy! It’s cold out here.”) I stopped again. Did I have the box?

I couldn’t feel it. But the headlamp showed my right hand clutched around it. Stay there, fingers! I hurried on, counting steps. One! Two! Three! Four! …

When I reached forty I stopped and glanced over the edge, saw that I was at the highest part where the road crossed the brook and remembered that it was about midway. That brook- methane, was it?-was frozen solid, and I knew that the night was cold.

There were a few loops of line on my left arm-close enough. I dropped the line, moved cautiously to the middle of the way, eased to my knees and left hand, and started to put the box down.

My fingers wouldn’t unbend.

I forced them with my left hand, got the box out of my fist. That diabolical wind caught it and I barely saved it from rolling away. With both hands I set it carefully upright. (“Work your fingers, bud. Pound your hands together!”)

I did so. I could tighten the muscles of my forearms, though it was tearing agony to flex fingers. Clumsily steadying the box with my left hand, I groped for the little knob on top.   I couldn’t feel it but it turned easily once I managed to close my fingers on it; I could see it turn.

It seemed to come to life, to purr. Perhaps I heard vibration, through gloves and up my suit; I certainly couldn’t have felt it, not the shape my fingers were in. I hastily let go, got awkwardly to my feet and backed up, so that I could splash the headlamp on it without leaning over.

I was through, the Mother Thing’s job was done, and (I hoped) before deadline. If I had had as much sense as the ordinary doorknob, I would have turned and hurried into the tunnel faster than I had come out. But I was fascinated by what it was doing.

It seemed to shake itself and three spidery little legs grew out the bottom. It raised up until it was standing on its own little tripod, about a foot high. It shook itself again and I thought the wind would blow it over. But the spidery legs splayed out, seemed to bite into the road surface and it was rock firm.

Something lifted and unfolded out the top.

It opened like a flower, until it was about eight inches across. Afinger lifted (an antenna?), swung as if hunting, steadied and pointed at the sky.

Then the beacon switched on. I’m sure that is what happened although all I saw was a flash of light-parasitic it must have been, for light alone would not have served even without that volcanic overcast. It was probably some harmless side effect of switching on an enormous pulse of power, something the Mother Thing hadn’t had time, or perhaps equipment or materials, to eliminate or shield. It was about as bright as a peanut photoflash.

But I was looking at it. Polarizers can’t work that fast. It blinded me.

I thought my headlamp had gone out, then I realized that I simply couldn’t see through a big greenish-purple disc of dazzle. (“Take it easy, boy. It’s just an after-image. Wait and it’ll go away.”)

“I can’t wait! I’m freezing to death!”

(“Hook the line with your forearm, where it’s clipped to your belt. Pull on it.”)

I did as Oscar told me, found the line, turned around, started to wind it on both forearms. It shattered.

It did not break as you expect rope to break; it shattered like glass. I suppose that is what it was by then-glass, I mean. Nylon and glass are super-cooled liquids. Now I know what “super-cooled” means.

But all I knew then was that my last link with life had gone. I couldn’t see, I couldn’t hear, I was all alone on a bare platform, billions of miles from home, and a wind out of the depths of a frozen hell was bleeding the last life out of a body I could barely feel-and where I could feel, it hurt like fire.

“Oscar!”

(“I’m here, bud. You can make it. Now-can you see anything?”) “No!”

(“Look for the mouth of the tunnel. It’s got light in it. Switch off your headlamp. Sure, you can-it’s just a toggle switch. Drag your hand back across the right side of our helmet.”)   I did.

(“See anything?”) “Not yet.”

(“Move your head. Try to catch it in the corner of your eye-the dazzle stays in front, you know. Well?”) “I caught something that time!”

(“Reddish, wasn’t it? Jagged, too. The volcano. Now we know which way we’re facing. Turn slowly and catch the mouth of the tunnel as it goes by.”) Slowly was the only way I could turn. “There it is!”

(“Okay, you’re headed home. Get down on your hands and knees and crab slowly to your left. Don’t turn-because you want to hang onto that edge and crawl. Crawl toward the tunnel.”)

I got down. I couldn’t feel the surface with my hands but I felt pressure on my limbs, as if all four were artificial. I found the edge when my left hand slipped over it and I almost fell off. But I recovered. “Am I headed right?”

(“Sure you are. You haven’t turned. You’ve just moved sideways. Can you lift your head to see the tunnel?”) “Uh, not without standing up.”

(“Don’t do that! Try the headlamp again. Maybe your eyes are okay now.”)

I dragged my hand forward against the right side of the helmet. I must have hit the switch, for suddenly I saw a circle of light, blurred and cloudy in the middle. The edge of the walkway sliced it on the left.

(“Good boy! No, don’t get up; you’re weak and dizzy and likely to fall. Start crawling. Count ‘em. Three hundred ought to do it.”)  I started crawling, counting.

“It’s a long way, Oscar. You think we can make it?”

(“Of course we can! You think I want to be left out here?”) “I’d be with you.”

(“Knock off the chatter. You’ll make me lose count. Thirty-six … thirty-seven … thirty-eight-“) We crawled.

(“That’s a hundred. Now we double it. Hundred one … hundred two … hundred three-“) “I’m feeling better, Oscar. I think it’s getting warmer.”

(“WHAT!”)

“I said I’m feeling a little warmer.”

(“You’re not warmer, you blistering idiot! That’s freeze-to-death you’re feeling! Crawl faster! Work your chin valve. Get more air. Le’ me hear that chin valve click!”)   I was too tired to argue; I chinned the valve three or four times, felt a blast blistering my face.

(“I’m stepping up the stroke. Warmer indeed! Hund’d nine … hund’d ten … hun’leven … hun’twelve-pick it up!”)

At two hundred I said I would just have to rest.

(“No, you don’t!”)

“But I’ve got to. Just a little while.”

(“Like that, uh? You know what happens. What’s Peewee goin’ to do? She’s in there, waiting. She’s already scared because you’re late. What’s she goin’ to do? Answer me!”) “Uh … she’s going to try to wear Tim’s suit.”

(“Right! In case of duplicate answers the prize goes to the one postmarked first. How far will she get? You tell me.”) “Uh … to the mouth of the tunnel, I guess. Then the wind will get her.”

(“My opinion exactly. Then we’ll have the whole family together. You, me, the Mother Thing, Peewee. Cozy. Afamily of stiffs.”) “But-“

(“So start slugging, brother. Slug … slug … slug … slug … tw’und’d five … two’und’d six … tw’und’d sev’n’-“)

I don’t remember falling off. I don’t even know what the “snow” felt like. I just remember being glad that the dreadful counting was over and I could rest. But Oscar wouldn’t let me. (“Kip! Kip! Get up! Climb back on the straight and narrow.”)

“Go ‘way.”

(“I can’t go away. I wish I could. Right in front of you. Grab the edge and scramble up. It’s only a little farther now.”)

I managed to raise my head, saw the edge of the walkway in the light of my headlamp about two feet above my head. I sank back. “It’s too high,” I said listlessly. “Oscar, I think we’ve had it.”

He snorted. (“So? Who was it, just the other day, cussed out a little bitty girl who was too tired to get up? ‘Commander Comet,’ wasn’t it? Did I get the name right? The ‘Scourge of the Spaceways’ … the no- good lazy sky tramp. ‘Have Space Suit-Will Travel.’ Before you go to sleep, Commander, can I have your autograph! I’ve never met a real live space pirate before … one that goes around hijacking ships and kidnapping little girls.”)

“That’s not fair!”

(“Okay, okay, I know when I’m not wanted. But just one thing before I leave: she’s got more guts in her little finger than you have in your whole body-you lying, fat, lazy swine! Good-bye. Don’t wait up.”)

“Oscar! Don’t leave me!” (“Eh? You want help?”) “Yes!”

(“Well, if it’s too high to reach, grab your hammer and hook it over the edge. Pull yourself up.”)

I blinked. Maybe it would work. I reached down, decided I had the hammer even though I couldn’t feel it, got it loose. Using both hands I hooked it over the edge above me. I pulled. That silly hammer broke just like the line. Tool steel-and it went to pieces as if it had been cast out of type slugs.

That made me mad. I heaved myself to a sitting position, got both elbows on the edge, and struggled and groaned and burst into fiery sweat -and rolled over onto the road surface. (“That’s my boy! Never mind counting, just crawl toward the light!”)

The tunnel wavered in front of me. I couldn’t get my breath, so I kicked the chin valve. Nothing happened.

“Oscar! The chin valve is stuck!” I tried again.

Oscar was very slow in answering. (“No, pal, the valve isn’t stuck. Your air hoses have frozen up. I guess that last batch wasn’t as dry as it could have been.”) “I haven’t any air!”

Again he was slow. But he answered firmly, (“Yes, you have. You’ve got a whole suit full. Plenty for the few feet left.”) “I’ll never make it.”

(“Afew feet, only. There’s the Mother Thing, right ahead of you. Keep moving.”)

I raised my head and, sure enough, there she was. I kept crawling, while she got bigger and bigger. Finally I said, “Oscar … this is as far as I go.” (“I’m afraid it is. I’ve let you down … but thanks for not leaving me outside there.”)

“You didn’t let me down … you were swell. I just didn’t quite make it.”

(“I guess we both didn’t quite make it … but we sure let ‘em know that we tried! So long, partner.”)

“So long. ‘Hasta la vista, amigo!” I managed to crawl two short steps and collapsed with my head near the Mother Thing’s head. She was smiling. (“Hello, Kip my son.”)

“I didn’t … quite make it, Mother Thing. I’m sorry.” (“Oh, but you did make it!”)

“Huh?”

(“Between us, we’ve both made it.”)

I thought about that for a long time. “And Oscar.” (“And Oscar, of course.”)

“And Peewee.”

(“And always Peewee. We’ve all made it. Now we can rest, dear.”) “G’night … Mother Thing.”

It was a darn short rest. I was just closing my eyes, feeling warm and happy that the Mother Thing thought that I had done all right-when Peewee started shaking my shoulder. She touched helmets. “Kip! Kip! Get up. Please get up.”

“Huh? Why?”

“Because I can’t carry you! I tried, but I can’t do it. You’re just too big!”

I considered it. Of course she couldn’t carry me-where did she get the silly notion that she could? I was twice her size. I’d carry her … just as soon as I caught my breath.

“Kip! Please get up.” She was crying now, blubbering.

“Why, sure, honey,” I said gently, “if that’s what you want.” I tried and had a clumsy bad time of it. She almost picked me up, she helped a lot. Once up, she steadied me. “Turn around. Walk.”

She almost did carry me. She got her shoulders under my right arm and kept pushing. Every time we came to one of those blown-out panels she either helped me step over, or simply pushed me through and helped me up again.

At last we were in the lock and she was bleeding air from inside to fill it. She had to let go of me and I sank down. She turned when the inner door opened, started to say something-then got my helmet off in a hurry.

I took a deep breath and got very dizzy and the lights dimmed. She was looking at me. “You all right now?”

“Me? Sure! Why shouldn’t I be?” “Let me help you inside.”

I couldn’t see why, but she did help and I needed it. She sat me on the floor near the door with my back to the wall-I didn’t want to lie down. “Kip, I was so scared!” “Why?” I couldn’t see what she was worried about. Hadn’t the Mother Thing said that we had all done all right?

“Well, I was. I shouldn’t have let you go out.” “But the beacon had to be set.”

“Oh, but- You set it?”

“Of course. The Mother Thing was pleased.”

“I’m sure she would have been,” she said gravely. “She was.”

“Can I do anything? Can I help you out of your suit?” “Uh … no, not yet. Could you find me a drink of water?” “Right away!”

She came back and held it for me-I wasn’t as thirsty as I had thought; it made me a bit ill. She watched me for some time, then said, “Do you mind if I’m gone a little while? Will you be all right?”

“Me? Certainly.” I didn’t feel well, I was beginning to hurt, but there wasn’t anything she could do.

“I won’t be long.” She began clamping her helmet and I noticed with detached interest that she was wearing her own suit-somehow I had had the impression that she had been wearing Tim’s.

I saw her head for the lock and realized where she was going and why. I wanted to tell her that the Mother Thing would rather not be inside here, where she might … where she might-I didn’t want to say “spoil” even to myself.

But Peewee was gone.

I don’t think she was away more than five minutes. I had closed my eyes and I am not sure. I noticed the inner door open. Through it stepped Peewee, carrying the Mother Thing in her arms like a long piece of firewood. She didn’t bend at all.

Peewee put the Mother Thing on the floor in the same position I had last seen her, then undamped her helmet and bawled.  I couldn’t get up. My legs hurt too much. And my arms. “Peewee … please, honey. It doesn’t do any good.”

She raised her head. “I’m all through. I won’t cry any more.” And she didn’t.

We sat there a long time. Peewee again offered to help me out of my suit, but when we tried it, I hurt so terribly, especially my hands and my feet, that I had to ask her to stop. She looked worried. “Kip … I’m afraid you froze them.”

“Maybe. But there’s nothing to do about it now.” I winced and changed the subject. “Where did you find your suit?” “Oh!” She looked indignant, then almost gay. “You’d never guess. Inside Jock’s suit.”

“No, I guess I wouldn’t. The Purloined Letter.’ “ “The what?”

“Nothing. I hadn’t realized that old Wormface had a sense of humor.”

Shortly after that we had another quake, a bad one. Chandeliers would have jounced if the place had had any and the floor heaved. Peewee squealed. “Oh! That was almost as bad as the last one.”

“Alot worse, I’d say. That first little one wasn’t anything.” “No, I mean the one while you were outside.”

“Was there one then?” “Didn’t you feel it?”

“No.” I tried to remember. “Maybe that was when I fell off in the snow.” “You fell off? Kip!”

“It was all right. Oscar helped me.”

There was another ground shock. I wouldn’t have minded, only it shook me up and made me hurt worse. I finally came out of the fog enough to realize that I didn’t have to hurt. Let’s see, medicine pills were on the right and the codeine dispenser was farthest back- “Peewee? Could I trouble you for some water again?”

“Of course!”

“I’m going to take codeine. It may make me sleep. Do you mind?” “You ought to sleep if you can. You need it.”

“I suppose so. What time is it?”

She told me and I couldn’t believe it. “You mean it’s been more than twelve hours?” “Huh? Since what?”

“Since this started.”

“I don’t understand, Kip.” She stared at her watch. “It has been exactly an hour and a half since I found you-not quite two hours since the Mother Thing set off the bombs.”   I couldn’t believe that, either. But Peewee insisted that she was right.

The codeine made me feel much better and I was beginning to be drowsy, when Peewee said, “Kip, do you smell anything?”  I sniffed. “Something like kitchen matches?”

“That’s what I mean. I think the pressure is dropping, too. Kip … I think I had better close your helmet-if you’re going to sleep.” “All right. You close yours, too?”

“Yes. Uh, I don’t think this place is tight any longer.”

“You may be right.” Between explosions and quakes, I didn’t see how it could be. But, while I knew what that meant, I was too weary and sick- and getting too dreamy from the drug-to worry. Now, or a month from now-what did it matter? The Mother Thing had said everything was okay.

Peewee clamped us in, we checked radios, and she sat down facing me and the Mother Thing. She didn’t say anything for a long time. Then I heard: “Peewee to Junebug-“ “I read you, Peewee.”

“Kip? It’s been fun, mostly. Hasn’t it?”

“Huh?” I glanced up, saw that the dial said I had about four hours of air left. I had had to reduce pressure twice, since we closed up, to match falling pressure in the room. “Yes, Peewee, it’s been swell. I wouldn’t have missed it for the world.”

She sighed. “I just wanted to be sure you weren’t blaming me. Now go to sleep.”

I did almost go to sleep, when I saw Peewee jump up and my phones came to life. “Kip! Something’s coming in the door!”

I came wide awake, realized what it meant. Why couldn’t they have let us be? Afew hours, anyhow? “Peewee. Don’t panic. Move to the far side of the door. You’ve got your blue-light gadget?”

“Yes.”

“Pick them off as they come in.”

“You’ve got to move, Kip. You’re right where they will come!”

“I can’t get up.” I hadn’t been able to move, not even my arms, for quite a while. “Use low power, then if you brush me, it won’t matter. Do what I say! Fast!” “Yes, Kip.” She got where she could snipe at them sideways, raised her projector and waited.

The inner door opened, a figure came in. I saw Peewee start to nail it- and I called into my radio: “Don’t shoot!” But she was dropping the projector and running forward even as I shouted.

They were “mother thing” people.

It took six of them to carry me, only two to carry the Mother Thing. They sang to me soothingly all the time they were rigging a litter. I swallowed another codeine tablet before they lifted me, as even with their gentleness any movement hurt. It didn’t take long to get me into their ship, for they had landed almost at the tunnel mouth, no doubt crushing the walkway-I hoped so.

Once I was safely inside Peewee opened my helmet and unzipped the front of my suit. “Kip! Aren’t they wonderful?” “Yes.” I was getting dizzier from the drug but was feeling better. “When do we raise ship?”

“We’ve already started.”

“They’re taking us home?” I’d have to tell Mr. Charton what a big help the codeine was. “Huh? Oh, my, no! We’re headed for Vega.”

I fainted.

Chapter 9

I had been dreaming that I was home; this awoke me with a jerk. “Mother Thing!” (“Good morning, my son. I am happy to see that you are feeling better.”)

“Oh, I feel fine. I’ve had a good night’s rest-” I stared, then blurted: “-you’re dead!” I couldn’t stop it.

Her answer sounded warmly, gently humorous, the way you correct a child who has made a natural mistake. (“No, dear, I was merely frozen. I am not as frail as you seem to think me.”)   I blinked and looked again. “Then it wasn’t a dream?”

(“No, it was not a dream.”)

“I thought I was home and-” I tried to sit up, managed only to raise my head. “I am home!” My room! Clothes closet on the left-hall door behind the Mother Thing-my desk on the right, piled with books and with a Centerville High pennant over it-window beyond it, with the old elm almost filling it-sun-speckled leaves stirring in a breeze.

My slipstick was where I had left it.

Things started to wobble, then I figured it out. I had dreamed only the silly part at the end. Vega-I had been groggy with codeine. “You brought me home.” (“We brought you home … to your other home. My home.”)

The bed started to sway. I clutched at it but my arms didn’t move. The Mother Thing was still singing. (“You needed your own nest. So we prepared it.”) “Mother Thing, I’m confused.”

(“We know that a bird grows well faster in its own nest. So we built yours.”) “Bird” and “nest” weren’t what she sang, but an Unabridged won’t give anything closer.

I took a deep breath to steady down. I understood her-that’s what she was best at, making you understand. This wasn’t my room and I wasn’t home; it simply looked like it. But I was still terribly confused.

I looked around and wondered how I could have been mistaken.

The light slanted in the window from a wrong direction. The ceiling didn’t have the patch in it from the time I built a hide-out in the attic and knocked plaster down by hammering. It wasn’t the right shade, either.

The books were too neat and clean; they had that candy-box look. I couldn’t recognize the bindings. The over-all effect was mighty close, but details were not right. (“I like this room,”) the Mother Thing was singing. (“It looks like you, Kip.”)

“Mother Thing,” I said weakly, “how did you do it?” (“We asked you. And Peewee helped.”)

I thought, “But Peewee has never seen my room either,” then decided that Peewee had seen enough American homes to be a consulting expert. “Peewee is here?” (“She’ll be in shortly.”)

With Peewee and the Mother Thing around things couldn’t be too bad. Except- “Mother Thing, I can’t move my arms and legs.”

She put a tiny, warm hand on my forehead and leaned over me until her enormous, lemur-like eyes blanked out everything else. (“You have been damaged. Now you are growing well. Do not worry.”)

When the Mother Thing tells you not to worry, you don’t. I didn’t want to do handstands anyhow; I was satisfied to look into her eyes. You could sink into them, you could have dived in and swum around. “All right, Mother Thing.” I remembered something else. “Say … you were frozen? Weren’t you?”

(“Yes.”)

“But- Look, when water freezes it ruptures living cells. Or so they say.” She answered primly, (“My body would never permit that!”).

“Well-” I thought about it. “Just don’t dunk me in liquid air! I’m not built for it.”

Again her song held roguish, indulgent humor. (“We shall endeavor not to hurt you.”) She straightened up and grew a little, swaying like a willow. (“I sense Peewee.”)

There was a knock-another discrepancy; it didn’t sound like a knock on a light-weight interior door-and Peewee called out, “May I come in?” She didn’t wait (I wondered if she ever did) but came on in. The bit I could see past her looked like our upper hall; they’d done a thorough job.

(“Come in, dear.”)

“Sure, Peewee. You are in.” “Don’t be captious.”

“Look who’s talking. Hi, kid!” “Hi yourself.”

The Mother Thing glided away. (“Don’t stay long, Peewee. You are not to tire him.”) “I won’t, Mother Thing.”

(” ‘Bye, dears.”)

I said, “What are the visiting hours in this ward?”

“When she says, of course.” Peewee stood facing me, fists on hips. She was really clean for the first time in our acquaintance-cheeks pink with scrubbing, hair fluffy-maybe she would be pretty, in about ten years. She was dressed as always but her clothes were fresh, all buttons present, and tears invisibly mended.

“Well,” she said, letting out her breath, “I guess you’re going to be worth keeping, after all.” “Me? I’m in the pink. How about yourself?”

She wrinkled her nose. “Alittle frost nip. Nothing. But you were a mess.” “I was?”

“I can’t use adequate language without being what Mama calls ‘unladylike.’ “ “Oh, we wouldn’t want you to be that.”

“Don’t be sarcastic. You don’t do it well.”

“You won’t let me practice on you?”

She started to make a Peewee retort, stopped suddenly, smiled and came close. For a nervous second I thought she was going to kiss me. But she just patted the bedclothes and said solemnly, “You bet you can, Kip. You can be sarcastic, or nasty, or mean, or scold me, or anything, and I won’t let out a peep. Why, I’ll bet you could even talk back to the Mother Thing.”

I couldn’t imagine wanting to. I said, “Take it easy, Peewee. Your halo is showing.” “I’d have one if it weren’t for you. Or flunked my test for it, more likely.”

“So? I seem to remember somebody about your size lugging me indoors almost piggy-back. How about that?” She wriggled. “That wasn’t anything. You set the beacon. That was everything.”

“Uh, each to his own opinion. It was cold out there.” I changed the subject; it was embarrassing us. Mention of the beacon reminded me of something else. “Peewee? Where are we?” “Huh? In the Mother Thing’s home, of course.” She looked around and said, “Oh, I forgot. Kip, this isn’t really your-“

“I know,” I said impatiently. “It’s a fake. Anybody can see that.”

“They can?” She looked crestfallen. “I thought we had done a perfect job.” “It’s an incredibly good job. I don’t see how you did it.”

“Oh, your memory is most detailed. You must have a camera eye.” -and I must have spilled my guts, too! I added to myself. I wondered what else I had said-with Peewee listening. I was afraid to ask; a fellow ought to have privacy.

“But it’s still a fake,” I went on. “I know we’re in the Mother Thing’s home. But where’s that?” “Oh.” She looked round-eyed. “I told you. Maybe you don’t remember -you were sleepy.”

“I remember,” I said slowly, “something. But it didn’t make sense. I thought you said we were going to Vega.”

“Well, I suppose the catalogs will list it as Vega Five. But they call it-” She threw back her head and vocalized; it recalled to me the cockcrow theme in Le Coq d’Or. “-but I couldn’t say that. So I told you Vega, which is close enough.”

I tried again to sit up, failed. “You mean to stand there and tell me we’re on Vega? I mean, a ‘Vegan planet’?” “Well, you haven’t asked me to sit down.”

I ignored the Peeweeism. I looked at “sunlight” pouring through the window. “That light is from Vega?”

“That stuff? That’s artificial sunlight. If they had used real, bright, Vega light, it would look ghastly. Like a bare arc light. Vega is ‘way up the Russell diagram, you know.” “It is?” I didn’t know the spectrum of Vega; I had never expected to need to know it.

“Oh, yes! You be careful, Kip-when you’re up, I mean. In ten seconds you can get more burn than all winter in Key West-and ten minutes would kill you.”

I seemed to have a gift for winding up in difficult climates. What star class was Vega? “A,” maybe? Probably “B.” All I knew was that it was big and bright, bigger than the Sun, and looked pretty set in Lyra.

But where was it? How in the name of Einstein did we get here? “Peewee? How far is Vega? No, I mean, ‘How far is the Sun?’ You wouldn’t happen to know?” “Of course,” she said scornfully. “Twenty-seven light-years.”

Great Galloping Gorillas! “Peewee-get that slide rule. You know how to push one? I don’t seem to have the use of my hands.” She looked uneasy. “Uh, what do you want it for?”

“I want to see what that comes to in miles.” “Oh. I’ll figure it. No need for a slide rule.”

“Aslipstick is faster and more accurate. Look, if you don’t know how to use one, don’t be ashamed-I didn’t, at your age. I’ll show you.”

“Of course I can use one!” she said indignantly. “You think I’m a stupe? But I’ll work it out.” Her lips moved silently. “One point five nine times ten to the fourteenth miles.”

I had done that Proxima Centauri problem recently; I remembered the miles in a light-year and did a rough check in my head-uh, call it six times twenty-five makes a hundred and fifty-and where was the decimal point? “Your answer sounds about right.” 159,000,000,000,000 weary miles! Too many zeroes for comfort.

“Of course I’m right!” she retorted. “I’m always right.” “Goodness me! The handy-dandy pocket encyclopedia.” She blushed. “I can’t help being a genius.”

Which left her wide open and I was about to rub her nose in it-when I saw how unhappy she looked.

I remembered hearing Dad say: “Some people insist that ‘mediocre’ is better than ‘best.’ They delight in clipping wings because they themselves can’t fly. They despise brains because they have none. Pfah!”

“I’m sorry, Peewee,” I said humbly. “I know you can’t. And I can’t help not being one … any more than you can help being little, or I can help being big.”   She relaxed and looked solemn. “I guess I was being a show-off again.” She twisted a button. “Or maybe I assumed that you understand me-like Daddy.” “I feel complimented. I doubt if I do-but from now on I’ll try.” She went on worrying the button. “You’re pretty smart yourself, Kip. You know that, don’t you?”

I grinned. “If I were smart, would I be here? All thumbs and my ears rub together. Look, honey, would you mind if we checked you on the slide rule? I’m really interested.” Twenty-seven light-years-why, you wouldn’t be able to see the Sun, It isn’t any great shakes as a star.

But I had made her uneasy again. “Uh, Kip, that isn’t much of a slide rule.” “What? Why, that’s the best that money can-”

“Kip, please! It’s part of the desk. It’s not a slide rule.”

“Huh?” I looked sheepish. “I forgot. Uh, I suppose that hall out there doesn’t go very far?”

“Just what you can see. Kip, the slide rule would have been real-if we had had time enough. They understand logarithms. Oh, indeed they do!”

That was bothering me-“time enough” I mean. “Peewee, how long did it take us to get here?” Twenty-seven light-years! Even at speed-of-light-well, maybe the Einstein business would make it seem like a quick trip to me-but not to Centerville. Dad could be dead! Dad was older than Mother, old enough to be my grandfather, really. Another twenty-seven years back- Why, that would make him well over a hundred. Even Mother might be dead.

“Time to get here? Why, it didn’t take any.”

“No, no. I know it feels that way. You’re not any older, I’m still laid up by frostbite. But it took at least twenty-seven years. Didn’t it?”

“What are you talking about, Kip?”

“The relativity equations, of course. You’ve heard of them?”

“Oh, those! Certainly. But they don’t apply. It didn’t take time. Oh, fifteen minutes to get out of Pluto’s atmosphere, about the same to cope with the atmosphere here. But otherwise, pht! Zero.”

“At the speed of light you would think so.”

“No, Kip.” She frowned, then her face lighted up. “How long was it from the time you set the beacon till they rescued us?” “Huh?” It hit me. Dad wasn’t dead! Mother wouldn’t even have gray hair. “Maybe an hour.”

“Alittle over. It would have been less if they had had a ship ready … then they might have found you in the tunnel instead of me. No time for the message to reach here. Half an hour frittered away getting a ship ready-the Mother Thing was vexed. I hadn’t known she could be. You see, a ship is supposed to be ready.”

“Any time she wants one?”

“Any and all the time-the Mother Thing is important. Another half-hour in atmosphere maneuvering-and that’s all. Real time. None of those funny contractions.”

I tried to soak it up. They take an hour to go twenty-seven light-years and get bawled out for dallying. Dr. Einstein must be known as “Whirligig Albert” among his cemetery neighbors. “But how?”

“Kip, do you know any geometry? I don’t mean Euclid-I mean geometry.”

“Mmm … I’ve fiddled with open and closed curved spaces-and I’ve read Dr. Bell’s popular books. But you couldn’t say I know any geometry.”

“At least you won’t boggle at the idea that a straight line is not necessarily the shortest distance between two points.” She made motions as if squeezing a grapefruit in both hands. “Because it’s not. Kip-it all touches. You could put it in a bucket. In a thimble if you folded it so that spins matched.”

I had a dizzying picture of a universe compressed into a teacup, nucleons and electrons packed solidly-really solid and not the thin mathematical ghost that even the uranium nucleus is said to be. Something like the “primal atom” that some cosmogonists use to explain the expanding universe. Well, maybe it’s both packed and expanding. Like the “wavicle” paradox. A particle isn’t a wave and a wave can’t be a particle- yet everything is both. If you believe in wavicles, you can believe in anything-and if you don’t, then don’t bother to believe at all. Not even in yourself, because that’s what you are-wavicles. “How many dimensions?” I said weakly.

“How many would you like?”

“Me? Uh, twenty, maybe. Four more for each of the first four, to give some looseness on the corners.”       “Twenty isn’t a starter. I don’t know, Kip; I don’t know geometry, either-I just thought I did. So I’ve pestered them.” “The Mother Thing?”

“Her? Oh, heavens, no! She doesn’t know geometry. Just enough to pilot a ship in and out of the folds.”

“Only that much?” I should have stuck to advanced finger-painting and never let Dad lure me into trying for an education. There isn’t any end- the more you learn, the more you need to learn. “Peewee, you knew what that beacon was for, didn’t you?”

“Me?” She looked innocent. “Well … yes.” “You knew we were going to Vega.”

“Well … if the beacon worked. If it was set in time.” “Now the prize question. Why didn’t you tell me?”

“Well-” Peewee was going to twist that button off. “I wasn’t sure how much math you knew and-you might have gone all masculine and common-sensical and father-knows-best. Would you have believed me?”

(“I told Orville and I told Wilbur and now I’m telling you-that contraption will never work!”) “Maybe not, Peewee. But next time you’re tempted not to tell me something ‘for my own good,’ will you take a chance that I’m not wedded to my own ignorance? I know I’m not a genius but I’ll try to keep my mind open-and I might be able to help, if I knew what you were up to. Quit twisting that button.”

She let go hastily. “Yes, Kip. I’ll remember.”

“Thanks. Another thing is fretting me. I was pretty sick?” “Huh? You certainly were!”

“All right. They’ve got these, uh, ‘fold ships’ that go anywhere in no time. Why didn’t you ask them to bounce me home and pop me into a hospital?” She hesitated. “How do you feel?”

“Huh? I feel fine. Except that I seem to be under spinal anesthesia, or something.” “Or something,” she agreed. “But you feel as if you are getting well?”

“Shucks, I feel well.”

“You aren’t. But you’re going to be.” She looked at me closely. “Shall I put it bluntly, Kip?” “Go ahead.”

“If they had taken you to Earth to the best hospital we have, you’d be a ‘basket case.’ Understand me? No arms, no legs. As it is, you are getting completely well. No amputations, not even a toe.”

I think the Mother Thing had prepared me. I simply said, “You’re sure?”

“Sure. Sure both. You’re going to be all right.” Suddenly her face screwed up. “Oh, you were a mess! I saw.” “Pretty bad?”

“Awful. I have nightmares.”              “They shouldn’t have let you look.”   “They couldn’t stop me. I was next of kin.”

“Huh? You told them you were my sister or something?” “What? I am your next of kin.”

I was about to say she was cockeyed when I tripped over my tongue. We were the only humans for a hundred and sixty trillion miles. As usual, Peewee was right. “So I had to grant permission,” she went on.

“For what? What did they do to me?”

“Uh, first they popped you into liquid helium. They left you there and the past month they have been using me as a guinea pig. Then, three days ago-three of ours-they thawed you out and got to work. You’ve been getting well ever since.”

“What shape am I in now?”

“Uh … well, you’re growing back. Kip, this isn’t a bed. It just looks like it.” “What is it, then?”

“We don’t have a name for it and the tune is pitched too high for me. But everything from here on down-” She patted the spread. “-on into the room below, does things for you. You’re wired like a hi-fi nut’s basement.”

“I’d like to see it.”

“I’m afraid you can’t. You don’t know, Kip. They had to cut your space suit off.”

I felt more emotion at that than I had at hearing what a mess I had been. “Huh? Where is Oscar? Did they ruin him? My space suit, I mean.” “I know what you mean. Every time you’re delirious you talk to ‘Oscar’ -and you answer back, too. Sometimes I think you’re schizoid, Kip.” “You’ve mixed your terms, runt-that’ud make me a split personality. All right, but you’re a paranoid yourself.”

“Oh, I’ve known that for a long time. But I’m a very well adjusted one. You want to see Oscar? The Mother Thing said that you would want him near when you woke up.” She opened the closet.

“Hey! You said he was all cut up!”

“Oh, they repaired him. Good as new. Alittle better than new.” (“Time, dear! Remember what I said.”)

“Coming, Mother Thing! ‘Bye, Kip. I’ll be back soon, and real often.” “Okay. Leave the closet open so I can see Oscar.”

Peewee did come back, but not “real often.” I wasn’t offended, not much. She had a thousand interesting and “educational” things to poke her ubiquitous nose into, all new and fascinating-she was as busy as a pup chewing slippers. She ran our hosts ragged. But I wasn’t bored. I was getting well, a full-time job and not boring if you are happy-which I was.

I didn’t see the Mother Thing often. I began to realize that she had work of her own to do-even though she came to see me if I asked for her, with never more than an hour’s delay, and never seemed in a hurry to leave.

She wasn’t my doctor, nor my nurse. Instead I had a staff of veterinarians who were alert to supervise every heartbeat. They didn’t come in unless I asked them to (a whisper was as good as a shout) but I soon realized that “my” room was bugged and telemetered like a ship in flight test-and my “bed” was a mass of machinery, gear that bore the relation to our own “mechanical hearts” and “mechanical lungs” and “mechanical kidneys” that a Lockheed ultrasonic courier does to a baby buggy.

I never saw that gear (they never lifted the spread, unless it was while I slept), but I know what they were doing. They were encouraging my body to repair itself-not scar tissue but the way  it had been. Any lobster can do this and starfish do it so well that you can chop them to bits and wind up with a thousand brand-new starfish.

This is a trick any animal should do, since its gene pattern is in every cell. But a few million years ago we lost it. Everybody knows that science is trying to recapture it; you see articles- optimistic ones in Reader’s Digest, discouraged ones in The Scientific Monthly, wildly wrong ones in magazines whose “science editors” seem to have received their training writing horror movies. But we’re working on it. Someday, if anybody dies an accidental death, it will be because he bled to death on the way to the hospital.

Here I was with a perfect chance to find out about it-and I didn’t.

I tried. Although I was unworried by what they were doing (the Mother Thing had told me not to worry and every time she visited me she looked in my eyes and repeated the injunction), nevertheless like Peewee, I like to know.

Pick a savage so far back in the jungle that they don’t even have installment-plan buying. Say he has an I.Q. of 190 and Peewee’s yen to understand. Dump him into Brookhaven Atomic Laboratories. How much will he learn? With all possible help?

He’ll learn which corridors lead to what rooms and he’ll learn that a purple trefoil means: “Danger!”

That’s all. Not because he can’t; remember he’s a supergenius-but he needs twenty years schooling before he can ask the right questions and understand the answers.

I asked questions and always got answers and formed notions. But I’m not going to record them; they are as confused and contradictory as the notions a savage would form about design and operation of atomic equipment. As they say in radio, when noise level reaches a certain value, no information is transmitted. All I got was “noise.”

Some of it was literally “noise.” I’d ask a question and one of the therapists would answer. I would understand part, then as it reached the key point, I would hear nothing but birdsongs. Even with the Mother Thing as an interpreter, the parts I had no background for would turn out to be a canary’s cheerful prattle.

Hold onto your seats; I’m going to explain something I don’t understand: how Peewee and I could talk with the Mother Thing even though her mouth could not shape English and we couldn’t sing the way she did and had not studied her language. The Vegans-(I’ll call them “Vegans” the way we might be called “Solarians”; their real name sounds like a wind chime in  a breeze. The Mother Thing had a real name, too, but I’m not a coloratura soprano. Peewee used it when she wanted to wheedle her -fat lot of good it did her.) The Vegans have a supreme talent to understand, to put themselves in the other person’s shoes. I don’t think it was telepathy, or I wouldn’t have gotten so many wrong numbers. Call it empathy.

But they have it in various degrees, just as all of us drive cars but only a few are fit to be racing drivers. The Mother Thing had it the way Novaes understands a piano. I once read about an actress who could use Italian so effectively to a person who did not understand Italian that she always made herself understood. Her name was “Duce.” No, a “duce” is a dictator. Something like that. She must have had what the Mother Thing had.

The first words I had with the Mother Thing were things like “hello” and “good-bye” and “thank you” and “where are we going?” She could project her meaning with those-shucks, you can talk to a strange dog that much. Later I began to understand her speech as speech. She picked up meanings of English words even faster; she had this great talent, and she and Peewee had talked for days while they were prisoners.

But while this is easy for “you’re welcome” and “I’m hungry” and “let’s hurry,” it gets harder for ideas like “heterodyning” and “amino acid” even when both are familiar with the concept. When one party doesn’t even have the concept, it breaks down. That’s the trouble I had understanding those veterinarians. If we had all spoken English I still would not have understood.

An oscillating circuit sending out a radio signal produces dead silence unless there is another circuit capable of oscillating in the same way to receive it. I wasn’t on the right frequency. Nevertheless I understood them when the talk was not highbrow. They were nice people; they talked and laughed a lot and seemed to like each other. I had trouble telling them apart,

except the Mother Thing. (I learned that the only marked difference to them between Peewee and myself was that I was ill and she wasn’t.) They had no trouble telling each other apart;

their conversations were interlarded with musical names, until you felt that you were caught in Peter and the Wolf or a Wagnerian opera. They even had a leit-motif for me. Their talk was

cheerful and gay, like the sounds of a bright summer dawn.

The next time I meet a canary I’ll know what he is saying even if he doesn’t.

I picked up some of this from Peewee-a hospital bed is not a good place from which to study a planet. Vega Five has Earth-surface gravity, near enough, with an oxygen, carbon dioxide, and water life cycle. The planet would not suit humans, not only because the noonday “sun” would strike you dead with its jolt of ultraviolet but also the air has poisonous amounts of ozone-a trace of ozone is stimulating but a trifle more-well, you might as well sniff prussic acid. There was something else, too, nitrous oxide I think, which was ungood for humans if breathed too long. My quarters were air-conditioned; the Vegans could breathe what I used but they considered it tasteless.

I learned a bit as a by-product of something else; the Mother Thing asked me to dictate how I got mixed up in these things. When I finished, she asked me to dictate everything I knew about Earth, its history, and how we work and live together. This is a tall order-I’m not still dictating because I found out I don’t know much. Take ancient Babylonia-how is it related to early Egyptian civilizations? I had only vague notions.

Maybe Peewee did better, since she remembers everything she has heard or read or seen the way Dad does. But they probably didn’t get her to hold still long, whereas I had to. The Mother Thing wanted this for the reasons we study Australian aborigines and also as a record of our language. There was another reason, too.

The job wasn’t easy but there was a Vegan to help me whenever I felt like it, willing to stop if I tired. Call him Professor Josephus Egghead; “Professor” is close enough and his name can’t be spelled. I called him Joe and he called me the leitmotif that meant “Clifford Russell, the monster with the frostbite.” Joe had almost as much gift for understanding as the Mother Thing. But how do you put over ideas like “tariffs” and “kings” to a person whose people have never had either? The English words were just noise.

But Joe knew histories of many peoples and planets and could call up scenes, in moving stereo and color, until we agreed on what I meant. We jogged along, with me dictating to a silvery ball floating near my mouth and with Joe curled up like a cat on a platform raised to my level, while he dictated to another microphone, making running notes on what I said. His mike had a gimmick that made it a hush-phone; I did not hear him unless he spoke to me.

Then we would stumble. Joe would stop and throw me a sample scene, his best guess of what I meant. The pictures appeared in the air, positioned for my comfort-if I turned my head, the picture moved to accommodate me. The pix were color-stereo-television with perfect life and sharpness-well, give us another twenty years and we’ll have them as realistic. It was a good trick to have the projector concealed and to force images to appear as if they were hanging in air, but those are just gimmicks of stereo optics; we can do them anytime we really want to-after all, you can pack a lifelike view of the Grand Canyon into a viewer you hold in your hand.

The thing that did impress me was the organization behind it. I asked Joe about it. He sang to his microphone and we went on a galloping tour of their “Congressional Library.”

Dad claims that library science is the foundation of all sciences just as math is the key-and that we will survive or founder, depending on how well the librarians do their jobs. Librarians didn’t look glamorous to me but maybe Dad had hit on a not very obvious truth.

This “library” had hundreds, maybe thousands, of Vegans viewing pictures and listening to sound tracks, each with a silvery sphere in front of him. Joe said they were “telling the  memory.” This was equivalent to typing a card for a library’s catalog, except that the result was more like a memory path in brain cells-nine-tenths of that building was an electronic brain.

I spotted a triangular sign like the costume jewelry worn by the Mother Thing, but the picture jumped quickly to something else. Joe also wore one (and others did not) but I did not get around to asking about it, as the sight of that incredible “library” brought up the word “cybernetics” and we went on a detour. I decided later that it might be a lodge pin, or like a Phi Beta Kappa key-the Mother Thing was smart even for a Vegan and Joe was not far behind.

Whenever Joe was sure that he understood some English word, he would wriggle with delight like a puppy being tickled. He was very dignified, but this is not undignified for a Vegan. Their bodies are so fluid and mobile that they smile and frown with the whole works. AVegan holding perfectly still is either displeased or extremely worried.

The sessions with Joe let me tour places from my bed. The difference between “primary school” and “university” caused me to be shown examples. A“kindergarten” looked like an adult Vegan being overwhelmed by babies; it had the innocent rowdiness of a collie pup stepping on his brother’s face to reach the milk dish. But the “university” was a place of quiet beauty, strange-looking trees and plants and flowers among buildings of surrealistic charm unlike any architecture I have ever seen-I suppose I would have been flabbergasted if they had  looked familiar. Parabolas were used a lot and I think all the “straight” lines had that swelling the Greeks called “entasis”-delicate grace with strength.

Joe showed up one day simply undulating with pleasure. He had another silvery ball, larger than the other two. He placed it in front of me, then sang to his own. (“I want you to hear this, Kip!”)

As soon as he ceased the larger sphere spoke in English: “I want you to hear this. Kip!” Squirming with delight, Joe swapped spheres and told me to say something.

“What do you want me to say?” I asked.

(“What do you want me to say?”) the larger sphere sang in Vegan. That was my last session with Prof Joe.

Despite unstinting help, despite the Mother Thing’s ability to make herself understood, I was like the Army mule at West Point: an honorary member of the student body but not prepared for the curriculum. I never did understand their government. Oh, they had government, but it wasn’t any system I’ve heard of. Joe knew about democracies and representation and voting and courts of law; he could fish up examples from many planets. He felt that democracy was “a very good system, for beginners.” It would have sounded patronizing, except that is not  one of their faults.

I never met one of their young. Joe explained that children should not see “strange creatures” until they had learned to feel understanding sympathy. That would have offended me if I hadn’t been learning some “understanding sympathy” myself. Matter of fact, if a human ten-year-old saw a Vegan, he would either run, or poke it with a stick.

I tried to learn about their government from the Mother Thing, in particular how they kept the peace-laws, crimes, punishments, traffic regulations, etc.

It was as near to flat failure as I ever had with her. She pondered a long time, then answered: (“How could one possibly act against one’s own nature?”)  I guess their worst vice was that they didn’t have any. This can be tiresome.

The medical staff were interested in the drugs in Oscar’s helmet-like our interest in a witch doctor’s herbs, but that is not idle interest; remember digitalis and curare.

I told them what each drug did and in most cases I knew the Geneva name as well as the commercial one. I knew that codeine was derived from opium, and opium from poppies. I knew that dexedrine was a sulphate but that was all. Organic chemistry and biochemistry are not easy even with no language trouble. We got together on what a benzene ring was, Peewee drawing it and sticking in her two dollars’ worth, and we managed to agree on “element,” “isotope,” “half life,” and the periodic table. I should have drawn structural formulas, using Peewee’s hands- but neither of us had the slightest idea of the structural formula for codeine and couldn’t do it even when supplied with kindergarten toys which stuck together only in    the valences of the elements they represented.

Peewee had fun, though. They may not have learned much from her; she learned a lot from them.

I don’t know when I became aware that the Mother Thing was not, or wasn’t quite, a female. But it didn’t matter; being a mother is an attitude, not a biological relation.

If Noah launched his ark on Vega Five, the animals would come in by twelves. That makes things complicated. But a “mother thing” is one who takes care of others. I am not sure that all mother things were the same gender; it may have been a matter of temperament.

I met one “father thing.” You might call him “governor” or “mayor,” but “parish priest” or “scoutmaster” is closer, except that his prestige dominated a continent. He breezed in during a session with Joe, stayed five minutes, urged Joe to do a good job, told me to be a good boy and get well, and left, all without hurrying. He filled me with the warm self-reliance that Dad does-I didn’t need to be told that he was a “father thing.” His visit had a flavor of “royalty visiting the wounded” without being condescending-no doubt it was hard to work me into a busy schedule.

Joe neither mothered nor fathered me; he taught me and studied me- “a professor thing.”

Peewee showed up one day full of bubbles. She posed like a mannequin. “Do you like my new spring outfit?”

She was wearing silvery tights, plus a little hump like a knapsack. She looked cute but not glamorous, for she was built like two sticks and this get-up emphasized it. “Very fancy,” I said. “Are you learning to be an acrobat?”

“Don’t be silly, Kip; it’s my new space suit-a real one.”

I glanced at Oscar, big and bulky and filling the closet and said privately, “Hear that, chum?” (“It takes all kinds to make a world.”)

“Your helmet won’t fit it, will it?”

She giggled. “I’m wearing it.”

“You are? ‘The Emperor’s New Clothes’?”

“Pretty close. Kip, disconnect your prejudices and listen. This is like the Mother Thing’s suit except that it’s tailored for me. My old suit wasn’t much good-and that cold cold about finished it. But you’ll be amazed at this one. Take the helmet. It’s there, only you can’t see it. It’s a field. Gas can’t go in or out.” She came close. “Slap me.”

“With what?”

“Oh. I forgot. Kip, you’ve got to get well and up off that bed. I want to take you for a walk.” “I’m in favor. They tell me it won’t be long now.”

“It had better not be. Here, I’ll show you.” She hauled off and slapped herself. Her hand smacked into something inches from her face. “Now watch,” she went on. She moved her hand very slowly; it sank through the barrier, she thumbed her nose at me and giggled.

This impressed me-a space suit you could reach into! Why, I would have been able to give Peewee water and dexedrine and sugar pills when she needed them. “I’ll be darned! What does it?”

“Apower pack on my back, under the air tank. The tank is good for a week, too, and hoses can’t give trouble because there aren’t any.” “Uh, suppose you blow a fuse. There you are, with a lungful of vacuum.”

“The Mother Thing says that can’t happen.”

Hmm-I had never known the Mother Thing to be wrong when she made a flat statement.

“That’s not all,” Peewee went on. “It feels like skin, the joints aren’t clumsy, and you’re never hot or cold. It’s like street clothes.” “Uh, you risk a bad sunburn, don’t you? Unhealthy, you tell me. Unhealthy even on the Moon.”

“Oh, no! The field polarizes. That’s what the field is, sort of. Kip, get them to make you one-we’ll go places!”  I glanced at Oscar. (“Please yourself, pal,” he said distantly. “I’m not the jealous type.”)

“Uh, Peewee, I’ll stick to one I understand. But I’d like to examine that monkey suit of yours.” “Monkey suit indeed!”

I woke up one morning, turned over, and realized that I was hungry. Then I sat up with a jerk. I had turned over in bed.

I had been warned to expect it. The “bed” was a bed and my body was back under my control. Furthermore, I was hungry and I hadn’t been hungry the whole time I had been on Vega Five. Whatever that machinery was, it included a way to nourish me without eating.

But I didn’t stop to enjoy the luxury of hunger; it was too wonderful to be a body again, not just a head. I got out of bed, was suddenly dizzy, recovered and grinned. Hands! Feet!   I examined those wonderful things. They were unchanged and unhurt.

Then I looked more closely. No, not quite unchanged.

I had had a scar on my left shin where I had been spiked in a close play at second; it was gone. I once had “Mother” tattooed on my left forearm at a carnival. Mother had been distressed and Dad disgusted, but he had said to leave it as a reminder not to be a witling. It was gone. There was not a callus on hand or foot.

I used to bite my nails. My nails were a bit long but perfect. I had lost the nail from my right little toe years ago through a slip with a hatchet. It was back.  I looked hastily for my appendectomy scar-found it and felt relieved. If it had been missing, I would have wondered if I was me.

There was a mirror over the chest of drawers. It showed me with enough hair to warrant a guitar (I wear a crew cut) but somebody had shaved me.

On the chest was a dollar and sixty-seven cents, a mechanical pencil, a sheet of paper, my watch, and a handkerchief. The watch was running. The dollar bill, the paper, and the handkerchief had been laundered.

My clothes, spandy clean and invisibly repaired, were on the desk. The socks weren’t mine; the material was more like felt, if you will imagine felted material no thicker than Kleenex which stretches instead of tearing. On the floor were tennis shoes, like Peewee’s even to a “U.S. Rubber” trademark, but in my size. The uppers were heavier felted material. I got dressed.

I was wearing the result when Peewee kicked the door. “Anybody home?” She came in, bearing a tray. “Want breakfast?” “Peewee! Look at me!”

She did. “Not bad,” she admitted, “for an ape. You need a haircut.” “Yes, but isn’t it wonderful! I’m all together again!”

“You never were apart,” she answered, “except in spots-I’ve had daily reports. Where do you want this?” She put the tray on the desk. “Peewee,” I asked, rather hurt, “don’t you care that I’m well?”

“Of course I do. Why do you think I made ‘em let me carry in your breakfast? But I knew last night that they were going to uncork you. Who do you think cut your nails and shaved you? That’ll be a dollar, please. Shaves have gone up.” I got that tired dollar and handed it to her. She didn’t take it. “Aw, can’t you take a joke?” “‘Neither a borrower nor a lender be.’”

“Polonius. He was a stupid old bore. Honest, Kip, I wouldn’t take your last dollar.” “Now who can’t take a joke?”

“Oh, eat your breakfast. That purple juice,” she said, “tastes like orange juice-it’s very nice. The stuff that looks like scrambled eggs is a fair substitute and I had ‘em color it yellow-the eggs here are dreadful, which wouldn’t surprise you if you knew where they get them. The buttery stuff is vegetable fat and I had them color it, too. The bread is bread, I toasted it myself. The salt is salt and it surprises them that we eat it-they think it’s poison. Go ahead; I’ve guinea-pigged everything. No coffee.”

“I won’t miss it.”

“I never touch the stuff-I’m trying to grow. Eat. Your sugar count has been allowed to drop so that you will enjoy it.” The aroma was wonderful. “Where’s your breakfast, Peewee?”

“I ate hours ago. I’ll watch and swallow when you do.”

The tastes were odd but it was just what the doctor ordered-literally, I suppose. I’ve never enjoyed a meal so much. Presently I slowed down to say, “Knife and fork? Spoons?”

“The only ones on-” She vocalized the planet’s name. “I got tired of fingers and I play hob using what they use. So I drew pictures. This set is mine but we’ll order more.”

There was even a napkin, more felted stuff. The water tasted distilled and not aerated. I didn’t mind. “Peewee, how did you shave me? Not even a nick.”

“Little gismo that beats a razor all hollow. I don’t know what they use it for, but if you could patent it, you’d make a fortune. Aren’t you going to finish that toast?” “Uh-” I had thought that I could eat the tray. “No, I’m full.”

“Then I will.” She used it to mop up the “butter,” then announced, “I’m off!” “Where?”

“To suit up. I’m going to take you for a walk!” She was gone.

The hall outside did not imitate ours where it could not be seen from the bed, but a door to the left was a bathroom, just where it should have been. No attempt had been made to make it look like the one at home, and valving and lighting and such were typically Vegan. But everything worked.

Peewee returned while I was checking Oscar. If they had cut him off me, they had done a marvelous job of repairing; even the places I had patched no longer showed. He had been cleaned so thoroughly that there was no odor inside. He had three hours of air and seemed okay in every way. “You’re in good shape, partner.”

(“In the pink! The service is excellent here.”)

“So I’ve noticed.” I looked up and saw Peewee; she was already in her “spring outfit.” “Peewee, do we need space suits just for a walk?”

“No. You could get by with a respirator, sun glasses, and a sun shade.”

“You’ve convinced me. Say, where’s Madame Pompadour? How do you get her inside that suit?” “No trouble at all, she just bulges a little. But I left her in my room and told her to behave herself.” “Will she?”

“Probably not. She takes after me.” “Where is your room?”

“Next door. This is the only part of the house which is Earth-conditioned.” I started to suit up. “Say, has that fancy suit got a radio?”

“All that yours has and then some. Did you notice the change in Oscar?”

“Huh? What? I saw that he was repaired and cleaned up. What else have they done?”

“Just a little thing. One more click on the switch that changes antennas and you can talk to people around you who aren’t wearing radios without shouting.” “I didn’t see a speaker.”

“They don’t believe in making everything big and bulky.”

As we passed Peewee’s room I glanced in. It was not decorated Vegan style; I had seen Vegan interiors through stereo. Nor was it a copy of her own room-not if her parents were sensible. I don’t know what to call it -“Moorish harem” style, perhaps, as conceived by Mad King Ludwig, with a dash of Disneyland.

I did not comment. I had a hunch that Peewee had been given a room “just like her own” because I had one; that fitted the Mother Thing’s behavior-but Peewee had seen a golden chance to let her overfertile imagination run wild. I doubt if she fooled the Mother Thing one split second. She had probably let that indulgent overtone come into her song and had given Peewee what she wanted.

The Mother Thing’s home was smaller than our state capitol but not much; her family seemed to run to dozens, or hundreds-“family” has a wide meaning under their complex interlinkage. We didn’t see any young ones on our floor and I knew that they were being kept away from the “monsters.” The adults all greeted me, inquired as to my health, and congratulated me on my recovery; I was kept busy saying “Fine, thank you! Couldn’t be better.”

They all knew Peewee and she could sing their names.

I thought I recognized one of my therapists, but the Mother Thing, Prof Joe and the boss veterinarian were the only Vegans I was sure of and we did not meet them.

We hurried on. The Mother Thing’s home was typical-many soft round cushions about a foot thick and four in diameter, used as beds or chairs, floor bare, slick and springy, most furniture on the walls where it could be reached by climbing, convenient rods and poles and brackets a person could drape himself on while using the furniture, plants growing unexpectedly here and there as if the jungle were moving in-delightful, and as useful to me as a corset.

Through a series of parabolic arches we reached a balcony. It was not railed and the drop to a terrace below was about seventy-five feet; I stayed back and regretted again that Oscar had no chin window. Peewee went to the edge, put an arm around a slim pillar and leaned out. In the bright outdoor light her “helmet” became an opalescent sphere. “Come see!”

“And break my neck? Maybe you’d like to belay me?” “Oh, pooh! Who’s afraid of heights?”

“I am when I can’t see what I’m doing.”

“Well, for goodness’ sakes, take my hand and grab a post.” I let her lead me to a pillar, then looked out.

It was a city in a jungle. Thick dark green, so tangled that I could not tell trees from vine and bush, spread out all around but was broken repeatedly by buildings as large and larger than  the one we were in. There were no roads; their roads are underground in cities and sometimes outside the cities. But there was air traffic-individual fliers supported by contrivances even less substantial than our own one-man ‘copter harnesses or flying carpets. Like birds they launched themselves from and landed in balconies such as the one we stood in.

There were real birds, too, long and slender and brilliantly colored, with two sets of wings in tandem-which looked aerodynamically unsound but seemed to suit them. The sky was blue and fair but broken by three towering cumulous anvils, blinding white in the distance.

“Let’s go on the roof,” said Peewee. “How?”

“Over here.”

It was a scuttle hole reached by staggered slender brackets the Vegans use as stairs. “Isn’t there a ramp?” “Around on the far side, yes.”

“I don’t think those things will hold me. And that hole looks small for Oscar.” “Oh, don’t be a sissy,” Peewee went up like a monkey.

I followed like a tired bear. The brackets were sturdy despite their grace; the hole was a snug fit.

Vega was high in the sky. It appeared to be the angular size of our Sun, which fitted since we were much farther out than Terra is from the Sun, but it was too bright even with full polarization. I looked away and presently eyes and polarizers adjusted until I could see again. Peewee’s head was concealed by what appeared to be a polished chrome basketball. I said, “Hey, are you still there?”

“Sure,” she answered. “I can see out all right. It’s a grand view. Doesn’t it remind you of Paris from the top of the Arc de Triomphe?” “I don’t know, I’ve never done any traveling.”

“Except no boulevards, of course. Somebody is about to land here.”

I turned the way she was pointing-she could see in all directions while I was hampered by the built-in tunnel vision of my helmet. By the time I was turned around the Vegan was coming in beside us.

(“Hello, children!”)

“Hi, Mother Thing!” Peewee threw her arms around her, picking her up.

(“Not so hasty, dear. Let me shed this.”) The Mother Thing stepped out of her harness, shook herself in ripples, folded the flying gear like an umbrella and hung it over an arm. (“You’re looking fit, Kip.”)

“I feel fine, Mother Thing! Gee, it’s nice to have you back.”

(“I wished to be back when you got out of bed. However, your therapists have kept me advised every minute.”) She put a little hand against my chest, growing a bit to do so, and placed her eyes almost against my face plate. (“You are well?”)

“I couldn’t be better.”

“He really is, Mother Thing!”

(“Good. You agree that you are well, I sense that you are, Peewee is sure that you are and, most important, your leader therapist assures me that you are. We’ll leave at once.”) “What?” I asked. “Where, Mother Thing?”

She turned to Peewee. (“Haven’t you told him, dear?”) “Gee, Mother Thing, I haven’t had a chance.”

(“Very well.”) She turned to me. (“Dear Kip, we must now attend a gathering. Questions will be asked and answered, decisions will be made.”) She spoke to us both. (“Are you ready to leave?”)

“Now?” said Peewee. “Why, I guess so-except that I’ve got to get Madame Pompadour.” (“Fetch her, then. And you, Kip?”)

“Uh-” I couldn’t remember whether I had put my watch back on after I washed and I couldn’t tell because I can’t feel it through Oscar’s thick hide. I told her so. (“Very well. You children run to your rooms while I have a ship fetched. Meet me here and don’t stop to admire flowers.”)

We went down by ramp. I said, “Peewee, you’ve been holding out on me again.” “Why, I have not!”

“What do you call it?”

“Kip-please listen! I was told not to tell you while you were ill. The Mother Thing was very firm about it. You were not to be disturbed-that’s what she said!-while you were growing well.” “Why should I feel disturbed? What is all this? What gathering? What questions?”

“Well … the gathering is sort of a court. Acriminal court, you might say.”

“Huh?” I took a quick look at my conscience. But I hadn’t had any chance to do anything wrong-I had been helpless as a baby up to two hours ago. That left Peewee. “Runt,” I said sternly, “what have you done now?”

“Me? Nothing.” “Think hard.”

“No, Kip. Oh, I’m sorry I didn’t tell you at breakfast! But Daddy says never to break any news until after his second cup of coffee and I thought how nice it would be to take a little walk before we had any worries and I was going to tell you”

“Make it march.”

“-as soon as we came down. I haven’t done anything. But there’s old Wormface.” “What? I thought he was dead.”

“Maybe so, maybe not. But, as the Mother Thing says, there are still questions to be asked, decisions to be made. He’s up for the limit, is my guess.”

I thought about it as we wound our way through strange apartments toward the air lock that led to our Earth-conditioned rooms. High crimes and misdemeanors … skulduggery in the spaceways-yes, Wormface was probably in for it. If the Vegans could catch him. “Had caught him” apparently, since they were going to try him. “But where do we come in? As witnesses?”

“I suppose you could call it that.”

What happened to Wormface was no skin off my nose-and it would be a chance to find out more about the Vegans. Especially if the court was some distance away, so that we would travel and see the country.

“But that isn’t all,” Peewee went on worriedly. “What else?”

She sighed. “This is why I wanted us to have a nice sight-see first. Uh …” “Don’t chew on it. Spit it out.”

“Well … we have to be tried, too.” “What?”

“Maybe ‘examined’ is the word. I don’t know. But I know this: we can’t go home until we’ve been judged.” “But what have we done?” I burst out.

“I don’t know!”

My thoughts were boiling. “Are you sure they’ll let us go home then?”

“The Mother Thing refuses to talk about it.”

I stopped and took her arm. “What it amounts to,” I said bitterly, “is that we are under arrest. Aren’t we?” “Yes-” She added almost in a sob, “But, Kip, I told you she was a cop!”

“Great stuff. We pull her chestnuts out of the fire-and now we’re arrested-and going to be tried-and we don’t even know why! Nice place, Vega Five. ‘The natives are friendly.’ ” They had nursed me-as we nurse a gangster in order to hang him.

“But, Kip-” Peewee was crying openly now. “I’m sure it’ll be all right. She may be a cop-but she’s still the Mother Thing.” “Is she? I wonder.” Peewee’s manner contradicted her words. She was not one to worry over nothing. Quite the contrary.

My watch was on the washstand. I ungasketed to put it in an inside pocket. When I came out, Peewee was doing the same with Madame Pompadour. “Here,” I said, “I’ll take her with me. I’ve got more room.”

“No, thank you,” Peewee answered bleakly. “I need her with me. Especially now.” “Uh, Peewee, where is this court? This city? Or another one?”

“Didn’t I tell you? No, I guess I didn’t. It’s not on this planet.” “I thought this was the only inhabited-“

“It’s not a planet around Vega. Another star. Not even in the Galaxy.” “Say that again?”

“It’s somewhere in the Lesser Magellanic Cloud.”

Chapter 10

I didn’t put up a fight-a hundred and sixty trillion miles from nowhere, I mean. But I didn’t speak to the Mother Thing as I got into her ship.

It was shaped like an old-fashioned beehive and it looked barely big enough to jump us to the space port. Peewee and I crowded together on the floor, the Mother Thing curled up in front and twiddled a shiny rack like an abacus; we took off, straight up.

In a few minutes my anger grew from sullenness to a reckless need to settle it. “Mother Thing!”

(“One moment, dear. Let me get us out of the atmosphere.”) She pushed something, the ship quivered and steadied. “Mother Thing,” I repeated.

(“Wait until I lower us, Kip.”)

I had to wait. It’s as silly to disturb a pilot as it is to snatch the wheel of a car. The little ship took a buffeting; the upper winds must have been dillies. But she could pilot.

Presently there was a gentle bump and I figured we must be at the space port. The Mother Thing turned her head. (“All right, Kip. I sense your fear and resentment. Will it help to say that you two are in no danger? That I would protect you with my body? As you protected mine?”)

“Yes, but-“

(“Then let be. It is easier to show than it is to explain. Don’t clamp your helmet. This planet’s air is like your own.”) “Huh? You mean we’re there?”

“I told you,” Peewee said at my elbow. “Just poof! and you’re there.” I didn’t answer. I was trying to guess how far we were from home. (“Come, children.”)

It was midday when we left; it was night as we disembarked. The ship rested on a platform that stretched out of sight. Stars in front of me were in unfamiliar constellations; slaunchwise down the sky was a thin curdling which I spotted as the Milky Way. So Peewee had her wires crossed-we were far from home but still in the Galaxy-perhaps we had simply switched to  the night side of Vega Five.

I heard Peewee gasp and turned around. I didn’t have strength to gasp.

Dominating that whole side of the sky was a great whirlpool of millions, maybe billions, of stars.

You’ve seen pictures of the Great Nebula in Andromeda?-a giant spiral of two curving arms, seen at an angle. Of all the lovely things in the sky it is the most beautiful. This was like that. Only we weren’t seeing a photograph nor even by telescope; we were so close (if “close” is the word) that it stretched across the sky twice as long as the Big Dipper as seen from home-

so close that I saw the thickening at the center, two great branches coiling around and overtaking each other. We saw it from an angle so that it appeared elliptical, just as M31 in

Andromeda does; you could feel its depth, you could see its shape.

Then I knew I was a long way from home. That was home, up there, lost in billions of crowded stars.

It was some time before I noticed another double spiral on my right, almost as wide-flung but rather lopsided and not nearly as brilliant-a pale ghost of our own gorgeous Galaxy. It slowly penetrated that this second one must be the Greater Magellanic Cloud-if we were in the Lesser and if that fiery whirlpool was our own Galaxy. What I had thought was “The Milky Way”

was simply a milky way, the Lesser Cloud from inside.

I turned and looked at it again. It had the right shape, a roadway around the sky, but it was pale skim milk compared with our own, about as our Milky Way looks on a murky night. I don’t know how it should look, since I’d never seen the Magellanic Clouds; I’ve never been south of the Rio Grande. But I did know that each cloud is a galaxy in its own right, but smaller than ours and grouped with us.

I looked again at our blazing spiral and was homesick in a way I hadn’t been since I was six.

Peewee was huddling to the Mother Thing for comfort. She made herself taller and put an arm around Peewee. (“There, there, dear! I felt the same way when I was very young and saw it for the first time.”)

“Mother Thing?” Peewee said timidly. “Where is home?”

(“See the right half of it, dear, where the outer arm trails into nothingness? We came from a point two-thirds the way out from the center.” “No, no! Not Vega. I want to know where the Sun is!”

(“Oh, your star. But, dear, at this distance it is the same.”)

We learned how far it is from the Sun to the planet Lanador 167,000 light-years. The Mother Thing couldn’t tell us directly as she did not know how much time we meant by a “year”-how long it takes Terra to go around the Sun (a figure she might have used once or not at all and as worth remembering as the price of peanuts in Perth). But she did know the distance from Vega to the Sun and told us the distance from Lanador to Vega with that as a yardstick-six thousand one hundred and ninety times as great. 6190 times 27 light-years gives 167,000 light-years. She courteously gave it in powers of ten the way we figure, instead of using factorial five (1x2x3x4x5 equals 120) which is how Vegans figure. 167,000 light-years is 9.82 x 1017 miles. Round off 9.82 and call it ten. Then -1,000,000,000,000,000,000 miles -is the distance from Vega to Lanador (or from the Sun to Lanador; Vega and the Sun are back-fence neighbors on this scale.)

Athousand million billion miles.

I refuse to have anything to do with such a preposterous figure. It may be “short” as cosmic distances go, but there comes a time when the circuit breakers in your skull trip out from overload.

The platform we were on was the roof of an enormous triangular building, miles on a side. We saw that triangle repeated in many places and always with a two-armed spiral in each corner. It was the design the Mother Thing wore as jewelry.

It is the symbol for “Three Galaxies, One Law.”

I’ll lump here things I learned in driblets: The Three Galaxies are like our Federated Free Nations, or the United Nations before that, or the League of Nations still earlier; Lanador houses their offices and courts and files-the League’s capital, the way the FFN is in New York and the League of Nations used to be in Switzerland. The cause is historical; the people of Lanador are the Old Race; that’s where civilization began.

The Three Galaxies are an island group, like Hawaii State, they haven’t any other close neighbors. Civilization spread through the Lesser Cloud, then through the Greater Cloud and is seeping slowly through our own Galaxy-that is taking longer; there are fifteen or twenty times as many stars in our Galaxy as in the other two.

When I began to get these things straight I wasn’t quite as sore. The Mother Thing was a very important person at home but here she was a minor official-all she could do was bring us in. Still, I wasn’t more than coolly polite for a while-she might have looked the other way while we beat it for home.

They housed us in that enormous building in a part you could call a “transients” hotel,” although “detention barracks” or “jail” is closer. I can’t complain about accommodations but I was getting confoundedly tired of being locked up every time I arrived in a new place. Arobot met us and took us down inside-there are robots wherever you turn on Lanador. I don’t mean

things looking like the Tin Woodman; I mean machines that do things for you, such as this one which led us to our rooms, then hung around like a bellhop expecting a tip. It was a three- wheeled cart with a big basket on top, for luggage if we had any. It met us, whistled to the Mother Thing in Vegan and led us away, down a lift and through a wide and endlessly long corridor.

I was given “my” room again-a fake of a fake, with all errors left in and new ones added. The sight of it was not reassuring; it shrieked that they planned to keep us there as long as-well, as long as they chose.

But the room was complete even to a rack for Oscar and a bathroom outside. Just beyond “my” room was a fake of another kind-a copy of that Arabian Nights horror Peewee had occupied on Vega Five. Peewee seemed delighted, so I didn’t point out the implications.

The Mother Thing hovered around while we got out of space suits. (“Do you think you will be comfortable?”) “Oh, sure,” I agreed unenthusiastically.

(“If you want food or anything, just say so. It will come.”) “So? Is there a telephone somewhere?”           (“Simply speak your wishes. You will be heard.”)

I didn’t doubt her-but I was almost as tired of rooms that were bugged as of being locked up; a person ought to have privacy. “I’m hungry now,” Peewee commented. “I had an early breakfast.”

We were in her room. Apurple drapery drew back, a light glowed in the wall. In about two minutes a section of wall disappeared; a slab at table height stuck out like a tongue. On it were dishes and silverware, cold cuts, fruit, bread, butter,, and a mug of steaming cocoa. Peewee clapped and squealed. I looked at it with less enthusiasm.

(“You see?”) the Mother Thing went on with a smile in her voice. (“Ask for what you need. If you need me, I’ll come. But I must go now.”) “Oh, please don’t go, Mother Thing.”

(“I must, Peewee dear. But I will see you soon. By the bye, there are two more of your people here.”) “Huh?” I put in. “Who? Where?”

(“Next door.”) She was gone with gliding swiftness; the bellhop speeded up to stay ahead of her. I spun around. “Did you hear that?”

“I certainly did!”

“Well-you eat if you want to; I’m going to look for those other humans.” “Hey! Wait for me!”

“I thought you wanted to eat.”

“Well …” Peewee looked at the food. “Just a sec.” She hastily buttered two slices of bread and handed one to me. I was not in that much of a hurry; I ate it. Peewee gobbled hers, took a gulp from the mug and offered it to me. “Want some?”

It wasn’t quite cocoa; there was a meaty flavor, too. But it was good. I handed it back and she finished it. “Now I can fight wildcats. Let’s go, Kip.”

“Next door” was through the foyer of our three-room suite and fifteen yards down the corridor, where we came to a door arch. I kept Peewee back and glanced in cautiously.  It was a diorama, a fake scene.

This one was better than you see in museums. I was looking through a bush at a small clearing in wild country. It ended in a limestone bank. I could see overcast sky and a cave mouth in the rocks. The ground was wet, as if from rain.

Acave man hunkered down close to the cave. He was gnawing the carcass of a small animal, possibly a squirrel.

Peewee tried to shove past me; I stopped her. The cave man did not appear to notice us which struck me as a good idea. His legs looked short but I think he weighed twice what I do and he was muscled like a weight lifter, with short, hairy forearms and knotty biceps and calves. His head was huge, bigger than mine and longer, but his forehead and chin weren’t much.   His teeth were large and yellow and a front one was broken. I heard bones crunching.

In a museum I would have expected a card reading “Neanderthal Man -circa Last Ice Age.” But wax dummies of extinct breeds don’t crack bones. Peewee protested, “Hey, let me look.”

He heard. Peewee stared at him, he stared toward us. Peewee squealed; he whirled and ran into the cave, waddling but making time.  I grabbed Peewee. “Let’s get out of here!”

“Wait a minute,” she said calmly. “He won’t come out in a hurry.” She tried to push the bush aside. “Peewee!”

“Try this,” she suggested. Her hand was shoving air. “They’ve got him penned.”

I tried it. Something transparent blocked the arch. I could push it a little but not more than an inch. “Plastic?” I suggested. “Like Lucite but springier?” “Mmm …” said Peewee. “More like the helmet of my suit. Tougher, though-and I’ll bet light passes only one way. I don’t think he saw us.”

“Okay, let’s get back to our rooms. Maybe we can lock them.”

She went on feeling that barrier. “Peewee!” I said sharply. “You’re not listening.” “What were you doing talking,” she answered reasonably, “when I wasn’t listening?” “Peewee! This is no time to be difficult.”

“You sound like Daddy. He dropped that rat he was eating-he might come back.”

“If he does, you won’t be here, because I’m about to drag you-and if you bite, I’ll bite back. I warn you.”

She looked around with a trace of animosity. “I wouldn’t bite you. Kip, no matter what you did. But if you’re going to be stuffy-oh, well, I doubt if he’ll come out for an hour or so. We’ll come back.”

“Okay.” I pulled her away.

But we did not leave. I heard a loud whistle and a shout: “Hey, buster! Over here!”

The words were not English, but I understood-well enough. The yell came from an archway across the corridor and a little farther on. I hesitated, then moved toward it because Peewee did so.

Aman about forty-five was loafing in this doorway. He was no Neanderthal; he was civilized-or somewhat so. He wore a long heavy woolen tunic, belted in at the waist, forming a sort of

kilt. His legs below that were wrapped in wool and he was shod in heavy short boots, much worn. At the belt and supported by a shoulder sling was a short, heavy sword; there was a dagger on the other side of the belt. His hair was short and he was clean-shaven save for a few days’ gray stubble. His expression was neither friendly nor unfriendly; it was sharply watchful.

“Thanks,” he said gruffly. “Are you the jailer?” Peewee gasped. “Why, that’s Latin!”

What do you do when you meet a Legionary? Right after a cave man? I answered: “No, I am a prisoner myself.” I said it in Spanish and repeated it in pretty fair classical Latin. I used Spanish because Peewee hadn’t been quite correct. It was not Latin he spoke, not the Latin of Ovid and Gaius Julius Caesar. Nor was it Spanish. It was in between, with an atrocious accent and other differences. But I could worry out the meaning.

He sucked his lip and answered, “That’s bad. I’ve been trying for three days to attract attention and all I get is another prisoner. But that’s how the die rolls. Say, that’s a funny accent you have.”

“Sorry, amigo, but I have trouble understanding you, too.” I repeated it in Latin, then split the difference. I added, in improvised lingua franca, “Speak slowly, will you?” “I’ll speak as I please. And don’t call me ‘amico’; I’m a Roman citizen -so don’t get gay.”

That’s a free translation. His advice was more vulgar-I think. It was close to a Spanish phrase which certainly is vulgar. “What’s he saying?” demanded Peewee. “It is Latin, isn’t it? Translate!”

I was glad she hadn’t caught it. “Why, Peewee, don’t you know ‘the language of poetry and science’?” “Oh, don’t be a smartie! Tell me.”

“Don’t crowd me, hon. I’ll tell you later. I’m having trouble following it.”

“What is that barbarian grunting?” the Roman said pleasantly. “Talk language, boy. Or will you have ten with the flat of the sword?”

He seemed to be leaning on nothing-so I felt the air. It was solid; I decided not to worry about his threat. “I’m talking as best I can. We spoke to each other in our own language.”  “Pig grunts. Talk Latin. If you can.” He looked at Peewee as if just noticing her. “Your daughter? Want to sell her? If she had meat on her bones, she might be worth a half denario.” Peewee clouded up. “I understood that!” she said fiercely. “Come out here and fight!”

“Try it in Latin,” I advised her. “If he understands you, he’ll probably spank you.” She looked uneasy. “You wouldn’t let him?”

“You know I wouldn’t.” “Let’s go back.”

“That’s what I said earlier.” I escorted her past the cave man’s lair to our suite. “Peewee, I’m going back and see what our noble Roman has to say. Do you mind?” “I certainly do!”

“Be reasonable, hon. If we could be hurt by them, the Mother Thing would know it. After all, she told us they were here.” “I’ll go with you.”

“What for? I’ll tell you everything I learn. This may be a chance to find out what this silliness means. What’s he doing here? Have they kept him in deep-freeze a couple of thousand years? How long has he been awake? What does he know that we don’t? We’re in a bad spot; all the data I can dig up we need. You can help by keeping out. If you’re scared, send for the

Mother Thing.”

She pouted. “I’m not scared. All right-if that’s the way you want it.” “I do. Eat your dinner.”

Jo-Jo the dogface boy was not in sight; I gave his door a wide berth. If a ship can go anywhere in no time, could it skip a dimension and go anywhere to any time? How would the math work out? The soldier was still lounging at his door. He looked up. “Didn’t you hear me say to stick around?”

“I heard you,” I admitted, “but we’re not going to get anywhere if you take that attitude. I’m not one of your privates.” “Lucky for you!”

“Do we talk peacefully? Or do I leave?”

He looked me over. “Peace. But don’t get smart with me, barbarian.”

He called himself “Iunio.” He had served in Spain and Gaul, then transferred to the VIth Legion, the “Victrix”-which he felt that even a barbarian should know of. His legion’s garrison was Eboracum, north of Londinium in Britain, but he had been on advance duty as a brevet centurion (he pronounced it “centurio”)-his permanent rank was about like top sergeant. He was smaller than I am but I would not want to meet him in an alley. Nor at the palisades of a castra.

He had a low opinion of Britons and all barbarians including me (“nothing personal-some of my best friends are barbarians”), women, the British climate, high brass, and priests; he thought well of Caesar, Rome, the gods, and his own professional ability. The army wasn’t what it used to be and the slump came from treating auxiliaries like Roman citizens.

He had been guarding the building of a wall to hold back barbarians-a nasty lot who would sneak up and slit your throat and eat you-which no doubt had happened to him, since he was now in the nether regions.

I thought he was talking about Hadrian’s Wall, but it was three days’ march north of there, where the seas were closest together. The climate there was terrible and the natives were bloodthirsty beasts who dyed their bodies and didn’t appreciate civilization-you’d think the Eagles were trying to steal their dinky island. Provincial … like me. No offense meant.

Nevertheless he had bought a little barbarian to wife and had been looking forward to garrison duty at Eboracum-when this happened. Iunio shrugged. “Perhaps if I had been careful with lustrations and sacrifices, my luck wouldn’t have run out. But I figure that if a man does his duty and keeps himself and his weapons clean, the rest is the C.O.’s worry. Careful of that doorway; it’s witched.”

The longer he talked the easier it was to understand him. The “-us” endings turned to “-o” and his vocabulary was not that of De Bello Gallico -“horse” wasn’t “equus”; it was “caballo.” His idioms bothered me, plus the fact that his Latin was diluted by a dozen barbarian tongues. But you can blank out every third word in a newspaper and still catch the gist.

I learned a lot about the daily life and petty politics of the Victrix and nothing that I wanted to know. Iunio did not know how he had gotten where he was nor why-except that he was dead and awaiting disposition in a receiving barracks somewhere in the nether world-a theory which I was not yet prepared to accept.

He knew the year of his “death”-Year Eight of the Emperor and Eight Hundred and Ninety-Nine of Rome. I wrote out the dates in Roman numerals to make sure. But I did not remember when Rome was founded nor could I identify the “Caesar” even by his full name-there have been so many Caesars. But Hadrian’s Wall had been built and Britain was still occupied; that placed lunio close to the third century.

He wasn’t interested in the cave man across the way-it embodied to him the worst vice of a barbarian: cowardice. I didn’t argue but I would be timid, too, if I had saber-toothed tigers yowling at my door. (Did they have sabertooths then? Make it “cave bears.”)

Iunio went back and returned with hard dark bread, cheese, and a cup. He did not offer me any and I don’t think it was the barrier. He poured a little of his drink on the floor and started to chomp. It was a mud floor; the walls were rough stone and the ceiling was supported by wooden beams. It may have been a copy of dwellings during the occupation of Britain, but I’m no

expert.

I didn’t stay much longer. Not only did bread and cheese remind me that I was hungry, but I offended lunio. I don’t know what set him off, but he discussed me with cold thoroughness,   my eating habits, ancestry, appearance, conduct, and method of earning a living. Iunio was pleasant as long as you agreed with him, ignored insults, and deferred to him. Many older people demand this, even in buying a thirty-nine-cent can of talcum; you learn to give it without thinking-otherwise you get a reputation as a fresh kid and potential juvenile delinquent. The less respect an older person deserves the more certain he is to demand it from anyone younger. So I left, as lunio didn’t know anything helpful anyhow. As I went back I saw the cave   man peering out his cave. I said, “Take it easy, Jo-Jo,” and went on.

I bumped into another invisible barrier blocking our archway. I felt it, then said quietly, “I want to go in.” The barrier melted away and I walked in-then found that it was back in place.  My rubber soles made no noise and I didn’t call out because Peewee might be asleep. Her door was open and I peeped in. She was sitting tailor-fashion on that incredible Oriental

divan, rocking Madame Pompadour and crying.

I backed away, then returned whistling, making a racket, and calling to her. She popped out of her door, with smiling face and no trace of tears. “Hi, Kip! It took you long enough.” “That guy talks too much. What’s new?”

“Nothing. I ate and you didn’t come back, so I took a nap. You woke me. What did you find out?” “Let me order dinner and I’ll tell you while I eat.”

I was chasing the last bit of gravy when a bellhop robot came for us. It was like the other one except that it had in glowing gold on its front that triangle with three spirals. “Follow me,” it said in English.

I looked at Peewee. “Didn’t the Mother Thing say she was coming back?” “Why, I thought so.”

The machine repeated, “Follow me. Your presence is required.”

I laid my ears back. I have taken lots of orders, some of which I shouldn’t have, but I had never yet taken orders from a piece of machinery. “Go climb a rope!” I said. “You’ll have to drag me.”

This is not what to say to a robot. It did.

Peewee yelled, “Mother Thing! Where are you? Help us!”

Her birdsong came out of the machine. (“It’s all right, dears. The servant will lead you to me.”)

I quit struggling and started to walk. That refugee from an appliance dealer took us into another lift, then into a corridor whose walls whizzed past as soon as we entered. It nudged us through an enormous archway topped by the triangle and spirals and herded us into a pen near one wall. The pen was not apparent until we moved-more of that annoying solid air.

It was the biggest room I have ever been in, triangular, unbroken by post or pillar, with ceiling so high and walls so distant that I half expected local thunderstorms. An enormous room makes me feel like an ant; I was glad to be near a wall. The room was not empty-hundreds in it-but it looked empty because they were all near the walls; the giant floor was bare.

But there were three wormfaces out in the center-Wormface’s trial was in progress.

I don’t know if our own Wormface was there. I would not have known even if they had not been a long way off as the difference between two wormfaces is the difference between having your throat cut and being beheaded. But, as we learned, the presence or absence of the individual offender was the least important part of a trial. Wormface was being tried, present or not-alive or dead.

The Mother Thing was speaking. I could see her tiny figure, also far out on the floor but apart from the wormfaces. Her birdsong voice reached me faintly but I heard her words clearly-in English; from somewhere near us her translated words were piped to us. The feel of her was in the English translation just as it was in her bird tones.

She was telling what she knew of wormface conduct, as dispassionately as if describing something under a microscope, like a traffic officer testifying: “At 9:17 on the fifth, while on duty at-” etc. The facts. The Mother Thing was finishing her account of events on Pluto. She chopped it off at the point of explosion.

Another voice spoke, in English. It was flat with a nasal twang and reminded me of a Vermont grocer we had dealt with one summer when I was a kid. He was a man who never smiled nor frowned and what little he said was all in the same tone, whether it was, “She is a good woman,” or, “That man would cheat his own son,” or, “Eggs are fifty-nine cents,” cold as a cash register. This voice was that sort.

It said to the Mother Thing: “Have you finished?” “I have finished.”

“The other witnesses will be heard. Clifford Russell-“

I jumped, as if that grocer had caught me in the candy jar. The voice went on: “-listen carefully.” Another voice started.

My own-it was the account I had dictated, flat on my back on Vega Five.

But it wasn’t all of it; it was just that which concerned wormfaces. Adjectives and whole sentences had been cut-as if someone had taken scissors to a tape recording. The facts were there; what I thought about them was missing.

It started with ships landing in the pasture back of our house; it ended with that last wormface stumbling blindly down a hole. It wasn’t long, as so much had been left out-our hike across the Moon, for example. My description of Wormface was left in but had been trimmed so much that I could have been talking about Venus de Milo instead of the ugliest thing in creation.

My recorded voice ended and the Yankee-grocer voice said, “Were those your words?” “Huh? Yes.”

“Is the account correct?” “Yes, but-“

“Is it correct?” “Yes.”

“Is it complete?”

I wanted to say that it certainly was not-but I was beginning to understand the system. “Yes.” “Patricia Wynant Reisfeld-“

Peewee’s story started earlier and covered all those days when she had been in contact with wormfaces while I was not. But it was not much longer, for, while Peewee has a sharp eye and a sharper memory, she is loaded with opinions. Opinions were left out.

When Peewee had agreed that her evidence was correct and complete the Yankee voice stated, “All witnesses have been heard, all known facts have been integrated. The three individuals may speak for themselves.”

I think the wormfaces picked a spokesman, perhaps the Wormface, if he was alive and there. Their answer, as translated into English, did not have the guttural accent with which

Wormface spoke English; nevertheless it was a wormface speaking. That bone-chilling yet highly intelligent viciousness, as unmistakable as a punch in the teeth, was in every syllable.

Their spokesman was so far away that I was not upset by his looks and after the first stomach-twisting shock of that voice I was able to listen more or less judicially. He started by denying that this court had jurisdiction over his sort. He was responsible only to his mother-queen and she only to their queen-groups-that’s how the English came out.

That defense, he claimed, was sufficient. However, if the “Three Galaxies” confederation existed-which he had no reason to believe other than that he was now being detained unlawfully before this hiveful of creatures met as a kangaroo court-if it existed, it still had no jurisdiction over the Only People, first, because the organization did not extend to his part of space; second, because even if it were there, the Only People had never joined and therefore its rules (if it had rules) could not apply; and third, it was inconceivable that their queen-group would associate itself with this improbable “Three Galaxies” because people do not contract with animals.

This defense was also sufficient.

But disregarding for the sake of argument these complete and sufficient defenses, this trial was a mockery because no offense existed even under the so-called rules of the alleged “Three Galaxies.” They (the wormfaces) had been operating in their own part of space engaged in occupying a useful but empty planet, Earth. No possible crime could lie in colonizing land inhabited merely by animals. As for the agent of Three Galaxies, she had butted in; she had not been harmed; she had merely been kept from interfering and had been detained only for the purpose of returning her where she belonged.

He should have stopped. Any of these defenses might have stood up, especially the last one. I used to think of the human race as “lords of creation”-but things had happened to me since. I was not sure that this assemblage would think that humans had rights compared with wormfaces. Certainly the wormfaces were ahead of us in many ways. When we clear jungle to make farms, do we worry if baboons are there first?

But he discarded these defenses, explained that they were intellectual exercises to show how foolish the whole thing was under any rules, from any point of view. He would now make his defense.

It was an attack.

The viciousness in his voice rose to a crescendo of hatred that made every word slam like a blow. How dared they do this? They were mice voting to bell the cat! (I know-but that’s how it came out in translation.) They were animals to be eaten, or merely vermin to be exterminated. Their mercy would be rejected if offered, no negotiation was possible, their crimes would never be forgotten, the Only People would destroy them!

I looked around to see how the jury was taking it. This almost-empty hall had hundreds of creatures around the three sides and many were close to us. I had been too busy with the trial to do more than glance at them. Now I looked, for the wormface’s blast was so disturbing that I welcomed a distraction.

They were all sorts and I’m not sure that any two were alike. There was one twenty feet from me who was as horrible as Wormface and amazingly like him-except that this creature’s   grisly appearance did not inspire disgust. There were others almost human in appearance, although they were greatly in the minority. There was one really likely-looking chick as human as I am-except for iridescent skin and odd and skimpy notions of dress. She was so pretty that I would have sworn that the iridescence was just make-up-but I probably would have been wrong. I wondered in what language the diatribe was reaching her? Certainly not English.

Perhaps she felt my stare, for she looked around and unsmilingly examined me, as I might a chimpanzee in a cage. I guess the attraction wasn’t mutual.

There was every gradation from pseudo-wormface to the iridescent girl -not only the range between, but also way out in left field; some had their own private aquaria.

I could not tell how the invective affected them. The girl creature was taking it quietly, but what can you say about a walrus thing with octopus arms? If he twitches, is he angry? Or laughing? Or itches where the twitch is?

The Yankee-voiced spokesman let the wormface rave on.

Peewee was holding my hand. Now she grabbed my ear, tilted her face and whispered, “He talks nasty.” She sounded awed.

The wormface ended with a blast of hate that must have overtaxed the translator for instead of English we heard a wordless scream. The Yankee voice said flatly, “But do you have anything to say in your defense?”

The scream was repeated, then the wormface became coherent. “I have made my defense-that no defense is necessary.” The emotionless voice went on, to the Mother Thing. “Do you speak for them?”

She answered reluctantly, “My lord peers … I am forced to say … that I found them to be quite naughty.” She sounded grieved. “You find against them?”

“I do.”

“Then you may not be heard. Such is the Law.” ” ‘Three Galaxies, One Law.’ I may not speak.”

The flat voice went on, “Will any witness speak favorably?” There was silence.

That was my chance to be noble. We humans were their victims; we were in a position to speak up, point out that from their standpoint they hadn’t done anything wrong, and ask mercy-if they would promise to behave in the future.

Well, I didn’t. I’ve heard all the usual Sweetness and Light that kids get pushed at them-how they should always forgive, how there’s some good in the worst of us, etc. But when I see a black widow, I step on it; I don’t plead with it to be a good little spider and please stop poisoning people. Ablack widow spider can’t help it-but that’s the point.

The voice said to the wormfaces: “Is there any race anywhere which might speak for you? If so, it will be summoned.” The spokesman wormface spat at the idea. That another race might be character witnesses for them disgusted him. “So be it,” answered the Yankee voice. “Are the facts sufficient to permit a decision?”

Almost immediately the voice answered itself: “Yes.” “What is the decision?”

Again it answered itself: “Their planet shall be rotated.”

It didn’t sound like much-shucks, all planets rotate-and the flat voice held no expression. But the verdict scared me. The whole room seemed to shudder.

The Mother Thing turned and came toward us. It was a long way but she reached us quickly. Peewee flung herself on her; the solid air that penned us solidified still more until we three were in a private room, a silvery hemisphere.

Peewee was trembling and gasping and the Mother Thing comforted her. When Peewee had control of herself, I said nervously, “Mother Thing? What did he mean? ‘Their planet shall be rotated.’ “

She looked at me without letting go of Peewee and her great soft eyes were sternly sad. (“It means that their planet is tilted ninety degrees out of the space-time of your senses and mine.”)

Her voice sounded like a funeral dirge played softly on a flute. Yet the verdict did not seem tragic to me. I knew what she meant; her meaning was even clearer in Vegan than in English. If you rotate a plane figure about an axis in its plane-it disappears. It is no longer in a plane and Mr. A. Square of Flatland is permanently out of touch with it.

But it doesn’t cease to exist; it just is no longer where it was. It struck me that the wormfaces were getting off easy. I had halfway expected their planet to be blown up (and I didn’t doubt

that Three Galaxies could do so), or something equally drastic. As it was, the wormfaces were to be run out of town and would never find their way back-there are so many, many dimensions-but they wouldn’t be hurt; they were just being placed in Coventry.

But the Mother Thing sounded as if she had taken unwilling part in a hanging. So I asked her.

(“You do not understand, dear gentle Kip-they do not take their star with them.”) “Oh-” was all I could say.

Peewee turned white.

Stars are the source of life-planets are merely life’s containers. Chop off the star … and the planet gets colder … and colder … and colder-then still colder. How long until the very air freezes? How many hours or days to absolute zero? I shivered and got goose pimples. Worse than Pluto-

“Mother Thing? How long before they do this?” I had a queasy misgiving that I should have spoken, that even wormfaces did not deserve this. Blow them up, shoot them down-but don’t freeze them.

(“It is done,”) she sang in that same dirgelike way. “What?”

(“The agent charged with executing the decision waits for the word … the message goes out the instant we hear it. They were rotated out of our world even before I turned to join you. It is better so.”)

I gulped and heard an echo in my mind: “-‘twere well it were done quickly.”

But the Mother Thing was saying rapidly, (“Think no more on ‘t, for now you must be brave!”) “Huh? What, Mother Thing? What happens now?”

(“You’ll be summoned any moment-for your own trial.”)

I simply stared, I could not speak-I had thought it was all over. Peewee looked still thinner and whiter but did not cry. She wet her lips and said quietly, “You’ll come with us, Mother Thing?”

(“Oh, my children! I cannot. You must face this alone.”)

I found my voice. “But what are we being tried for? We haven’t hurt anybody. We haven’t done a thing.” (“Not you personally. Your race is on trial. Through you.”)

Peewee turned away from her and looked at me-and I felt a thrill of tragic pride that in our moment of extremity she had turned, not to the Mother Thing, but to me, another human being.

I knew that she was thinking of the same thing I was: a ship, a ship hanging close to Earth, only an instant away and yet perhaps uncounted trillion miles in some pocket of folded space, where no DEW line gives warning, where no radar can reach.

The Earth, green and gold and lovely, turning lazily in the warm light of the Sun- Aflat voice- No more Sun.

No stars.

The orphaned Moon would bobble once, then continue around the Sun, a gravestone to the hopes of men. The few at Lunar Base and Luna City and Tombaugh Station would last weeks or even months, the only human beings left alive. Then they would go-if not of suffocation, then of grief and loneliness.

Peewee said shrilly, “Kip, she’s not serious! Tell me she’s not!”

I said hoarsely, “Mother Thing-are the executioners already waiting?”

She did not answer. She said to Peewee, (“It is very serious, my daughter. But do not be afraid. I exacted a promise before I surrendered you. If things go against your race, you two will return with me and be suffered to live out your little lives in my home. So stand up and tell the truth … and do not be afraid.”)

The flat voice entered the closed space: “The human beings are summoned.”

Chapter 11

We walked out onto that vast floor. The farther we went the more I felt like a fly on a plate. Having Peewee with me was a help; nevertheless it was that nightmare where you find yourself not decently dressed in a public place. Peewee clutched my hand and held Madame Pompadour pressed tightly to her. I wished that I had suited-up in Oscar-I wouldn’t have felt quite so under a microscope with Oscar around me.

Just before we left, the Mother Thing placed her hand against my forehead and started to hold me with her eyes. I pushed her hand aside and looked away. “No,” I told her. “No treatments! I’m not going to-oh, I know you mean well but I won’t take an anesthetic. Thanks.”

She did not insist; she simply turned to Peewee. Peewee looked uncertain, then shook her head. “We’re ready,” she piped.

The farther out we got on that great bare floor the more I regretted that I had not let the Mother Thing do whatever it was that kept one from worrying. At least I should have insisted that Peewee take it.

Coming at us from the other walls were two other flies; as they got closer I recognized them: the Neanderthal and the Legionary. The cave man was being dragged invisibly; the Roman covered ground in a long, slow, easy lope. We all arrived at the center at the same time and were stopped about twenty feet apart, Peewee and I at one point of a triangle, the Roman and the cave man each at another.

I called out, “Hail, Iunio!”

“Silence, barbarian.” He looked around him, his eyes estimating the crowd at the walls.

He was no longer in casual dress. The untidy leggings were gone; strapped to his right shin was armor. Over the tunic he wore full cuirass and his head was brave with plumed helmet. All metal was burnished, all leather was clean.

He had approached with his shield on his back, route-march style. But even as we were stopped he unslung it and raised it on his left arm. He did not draw his sword as his right hand held his javelin at the ready carried easily while his wary eyes assessed the foe.

To his left the cave man hunkered himself small, as an animal crouches who has no place to hide.

“Iunio!” I called out. “Listen!” The sight of those two had me still more worried. The cave man I could not talk to but perhaps I could reason with the Roman. “Do you know why we are here?”

“I know,” he tossed over his shoulder. “Today the Gods try us in their arena. This is work for a soldier and a Roman citizen. You’re no help so keep out. No-watch behind me and shout. Caesar will reward you.”

I started to try to talk sense but was cut off by a giant voice from everywhere: “YOU ARE NOW BEING JUDGED!”

Peewee shivered and got closer. I twisted my left hand out of her clutch, substituted my right, and put my left arm around her shoulders. “Head up, partner,” I said softly. “Don’t let them scare you.”

“I’m not scared,” she whispered as she trembled. “Kip? You do the talking.” “Is that the way you want it?”

“Yes. You don’t get mad as fast as I do-and if I lost my temper … well, that’d be awful.” “Okay.”

We were interrupted by that flat, nasal twang. As before, it seemed close by. “This case derives from the one preceding it. The three temporal samples are from a small Lanador-type planet around a star in an out-center part of the Third Galaxy. It is a very primitive area having no civilized races. This race, as you see from the samples, is barbaric. It has been examined twice before and would not yet be up for routine examination had not new facts about it come out in the case which preceded it.”

The voice asked itself: “When was the last examination made?”

It answered itself: “Approximately one half-death of Thorium-230 ago.” It added, apparently to us only: “About eighty thousand of your years.”

Iunio jerked his head and looked around, as if trying to locate the voice. I concluded that he had heard the same figure in his corrupt Latin. Well, I was startled too-but I was numb to that sort of shock.

“Is it necessary again so soon?”

“It is. There has been a discontinuity. They are developing with unexpected speed.” The flat voice went on, speaking to us: “I am your judge. Many of the civilized beings you see around you are part of me. Others are spectators, some are students, and a few are here because they hope to catch me in a mistake.” The voice added, “This they have not managed to do in more than a million of your years.”

I blurted out, “You are more than a million years old?” I did not add that I didn’t believe it.

The voice answered, “I am older than that, but no part of me is that old. I am partly machine, which part can be repaired, replaced, recopied; I am partly alive, these parts die and are replaced. My living parts are more than a dozen dozens of dozens of civilized beings from throughout Three Galaxies, any dozen dozens of which may join with my non-living part to act. Today I am two hundred and nine qualified beings, who have at their instant disposal all knowledge accumulated in my non-living part and all its ability to analyze and integrate.”

I said sharply, “Are your decisions made unanimously?” I thought I saw a loophole-I never had much luck mixing up Dad and Mother but there had been times as a kid when I had managed to confuse issues by getting one to answer one way and the other to answer another.

The voice added evenly, “Decisions are always unanimous. It may help you to think of me as one person.” It addressed everyone: “Standard sampling has been followed. The contemporary sample is the double one; the intermediate sample for curve check is the clothed single sample and was taken by standard random at a spacing of approximately one half-death of Radium-226-” The voice supplemented: “-call it sixteen hundred of your years. The remote curve-check sample, by standard procedure, was taken at two dozen times that distance.”

The voice asked itself: “Why is curve-check spacing so short? Why not at least a dozen times that?” “Because this organism’s generations are very short. It mutates rapidly.”

The explanation appeared to satisfy for it went on, “The youngest sample will witness first.”

I thought he meant Peewee and so did she; she cringed. But the voice barked and the cave man jerked. He did not answer; he simply crouched more deeply into himself. The voice barked again.

It then said to itself, “I observe something.” “Speak.”

“This creature is not ancestor to those others.”

The voice of the machine almost seemed to betray emotion, as if my dour grocer had found salt in his sugar bin. “The sample was properly taken.” “Nevertheless,” it answered, “it is not a correct sample. You must review all pertinent data.”

For a long five seconds was silence. Then the voice spoke: “This poor creature is not ancestor to these others; he is cousin only. He has no future of his own. Let him be returned at once to the space-time whence he came.”

The Neanderthal was dragged rapidly away. I watched him out of sight with a feeling of loss. I had been afraid of him at first. Then I had despised him and was ashamed of him. He was  a coward, be was filthy, he stank. Adog was more civilized. But in the past five minutes I had decided that I had better love him, see his good points-for, unsavory as he was, he was human. Maybe he wasn’t my remote grandfather, but I was in no mood to disown even my sorriest relation.

The voice argued with itself, deciding whether the trial could proceed. Finally it stated: “Examination will continue. If enough facts are not developed, another remote sample of correct lineage will be summoned. Iunio.”

The Roman raised his javelin higher. “Who calls Iunio?” “Stand forth and bear witness.”

Just as I feared, lunio told the voice where to go and what to do. There was no protecting Peewee from his language; it echoed back in English-not that it mattered now whether Peewee was protected from “unladylike” influences.

The flat voice went on imperturbably: “Is this your voice? Is this your witnessing?” Immediately another voice started up which I recognized as that of the Roman, answering questions, giving accounts of battle, speaking of treatment of prisoners. This we got only in English but the translation held the arrogant timbre of Iunio’s voice.

Iunio shouted “Witchcraft!” and made horns at them.

The recording cut off. “The voice matches,” the machine said dryly. “The recording will be integrated.”

But it continued to peck at lunio, asking him details about who he was, why he was in Britain, what he had done there, and why it was necessary to serve Caesar. lunio gave short answers, then blew his top and gave none. He let out a rebel yell that bounced around that mammoth room, drew back and let fly his javelin.

It fell short. But I think he broke the Olympic record. I found myself cheering.

Iunio drew his sword while the javelin was still rising. He flung it up in a gladiatorial challenge, shouting, “Hail, Caesar!” and dropped into guard. He reviled them. He told them what he thought of vermin who were not citizens, not even barbarians!

I said to myself, “Oh, oh! There goes the game. Human race, you’ve had it.”

Iunio went on and on, calling on his gods to help him, each way worse than the last, threatening them with Caesar’s vengeance in gruesome detail. I hoped that, even though it was translated, Peewee would not understand much of it. But she probably did; she understood entirely too much.

I began to grow proud of him. That wormface, in diatribe, was evil; Iunio was not. Under bad grammar, worse language, and rough manner, that tough old sergeant had courage, human dignity, and a basic gallantry. He might be an old scoundrel-but he was my kind of scoundrel.

He finished by demanding that they come at him, one at a time-or let them form a turtle and he would take them all on at once. “I’ll make a funeral pyre of you! I’ll temper my blade in your guts! I, who am about to die, will show you a Roman’s grave-piled high with Caesar’s enemies!”

He had to catch his breath. I cheered again and Peewee joined in. He looked over his shoulder and grinned. “Slit their throats as I bring them down, boy! There’s work to do!” The cold voice said: “Let him now be returned to the space-time whence he came.”

Iunio looked startled as invisible hands pulled him along. He called on Mars and Jove and laid about him. The sword clattered to the floor-picked itself up and returned itself to his scabbard. lunio was moving rapidly away; I cupped my hands and yelled, “Good-bye, lunio!”

“Farewell, boy! They’re cowards!” He shook himself. “Nothing but filthy witchcraft!” Then he was gone. “Clifford Russell-“

“Huh? I’m here.” Peewee squeezed my hand. “Is this your voice?”

I said, “Wait a minute-“ “Yes? Speak.”

I took a breath. Peewee pushed closer and whispered, “Make it good, Kip. They mean it.”

“I’ll try, kid,” I whispered, then went on, “What is this? I was told you intend to judge the human race.” “That is correct.”

“But you can’t. You haven’t enough to go on. No better than witchcraft, just as lunio said. You brought in a cave man-then decided he was a mistake. That isn’t your only mistake. You had lunio here. Whatever he was-and I’m not ashamed of him; I’m proud of him-he’s got nothing to do with now. He’s been dead two thousand years, pretty near-if you’ve sent him back, I mean-and all that he was is dead with him. Good or bad, he’s not what the human race is now.”

“I know that. You two are the test sample of your race now.”

“Yes-but you can’t judge from us. Peewee and I are about as far from average as any specimens can be. We don’t claim to be angels, either one of us. If you condemn our race on what we have done, you do a great injustice. Judge us-or judge me, at least-“

“Me, too!”

“-on whatever I’ve done. But don’t hold my people responsible. That’s not scientific. That’s not valid mathematics.” “It is valid.”

“It is not. Human beings aren’t molecules; they’re all different.” I decided not to argue about jurisdiction; the wormfaces had ruined that approach. “Agreed, human beings are not molecules. But they are not individuals, either.”

“Yes, they are!”

“They are not independent individuals; they are parts of a single organism. Each cell in your body contains your whole pattern. From three samples of the organism you call the human race I can predict the future potentialities and limits of that race.”

“We have no limits! There’s no telling what our future will be.”

“It may be that you have no limits,” the voice agreed. “That is to be determined. But, if true, it is not a point in your favor. For we have limits.” “Huh?”

“You have misunderstood the purpose of this examination. You speak of ‘justice.’ I know what you think you mean. But no two races have ever agreed on the meaning of that term, no matter how they say it. It is not a concept I deal with here. This is not a court of justice.”

“Then what is it?”

“You would call it a ‘Security Council.’ Or you might call it a committee of vigilantes. It does not matter what you call it; my sole purpose is to examine your race and see if you threaten our survival. If you do, I will now dispose of you. The only certain way to avert a grave danger is to remove it while it is small. Things that I have learned about you suggest a possibility that you may someday threaten the security of Three Galaxies. I will now determine the facts.”

“But you said that you have to have at least three samples. The cave man was no good.”

“We have three samples, you two and the Roman. But the facts could be determined from one sample. The use of three is a custom from earlier times, a cautious habit of checking and rechecking. I cannot dispense ‘justice’; I can make sure not to produce error.”

I was about to say that he was wrong, even if he was a million years old. But the voice went on, “I continue the examination. Clifford Russell, is this your voice?”

My voice sounded then-and again it was my own dictated account, but this time everything was left in-purple adjectives, personal opinions, comments about other matters, every word and stutter.

I listened to enough of it, held up my hand. “All right, all right, I said it.” The recording stopped. “Do you now confirm it?”

“Eh? Yes.”

“Do you wish to add, subtract, or change?”

I thought hard. Aside from a few wisecracks that I had tucked in later it was a straight-forward account. “No. I stand on it.” “And is this also your voice?”

This one fooled me. It was that endless recording I had made for Prof Joe about-well, everything on Earth … history, customs, peoples, the works. Suddenly I knew why Prof Joe had worn the same badge the Mother Thing wore. What did they call that?-“Planting a stool pigeon.” Good Old Prof Joe, the no-good, had been a stoolie.

I felt sick.

“Let me hear more of it.”

They accommodated me. I didn’t really listen; I was trying to remember, not what I was hearing, but what else I might have said-what I had admitted that could be used against the human race. The Crusades? Slavery? The gas chambers at Dachau? How much had I said?

The recording droned on. Why, that thing had taken weeks to record; we could stand here until our feet went flat. “It’s my voice.”

“Do you stand on this, too? Or do you wish to correct, revise, or extend?” I said cautiously, “Can I do the whole thing over?”

“If you so choose.”

I started to say that I would, that they should wipe the tape and start over. But would they? Or would they keep both and compare them? I had no compunction about lying-“tell the truth and shame the devil” is no virtue when your family and friends and your whole race are at stake.

But could they tell if I lied?

“The Mother Thing said to tell the truth and not to be afraid.” “But she’s not on our side!”

“Oh, yes, she is.”

I had to answer. I was so confused that I couldn’t think. I had tried to tell the truth to Prof Joe … oh, maybe I had shaded things, not included every horrid thing that makes a headline. But it was essentially true.

Could I do better under pressure? Would they let me start fresh and accept any propaganda I cooked up? Or would the fact that I changed stories be used to condemn our race?  “I stand on it!”

“Let it be integrated. Patricia Wynant Reisfeld-“

Peewee took only moments to identify and allow to be integrated her recordings; she simply followed my example.

The machine voice said: “The facts have been integrated. By their own testimony, these are a savage and brutal people, given to all manner of atrocities. They eat each other, they starve each other, they kill each other. They have no art and only the most primitive of science, yet such is their violent nature that even with so little knowledge they are now energetically using it to exterminate each other, tribe against tribe. Their driving will is such that they may succeed. But if by some unlucky chance they fail, they will inevitably, in time, reach other stars. It is this possibility which must be calculated: how soon they will reach us, if they live, and what their potentialities will be then.”

The voice continued to us: “This is the indictment against you-your own savagery, combined with superior intelligence. What have you to say in your defense?”  I took a breath and tried to steady down. I knew that we had lost-yet I had to try.

I remembered how the Mother Thing had spoken. “My lord peers-“

“Correction. We are not your ‘lords,’ nor has it been established that you are our equals. If you wish to address someone, you may call me the ‘Moderator.’”

“Yes, Mr. Moderator-” I tried to remember what Socrates had said to his judges. He knew ahead of time that he was condemned just as we knew-but somehow, though he had been forced to drink hemlock, he had won and they had lost.

No! I couldn’t use his Apologia-all he had lost was his own life. This was everybody. “-you say we have no art. Have you seen the Parthenon?”

“Blown up in one of your wars.”

“Better see it before you rotate us-or you’ll be missing something. Have you read our poetry? ‘Our revels now are ended: these our actors, as I foretold you, were all spirits, and are   melted into air, into thin air: And, like the baseless fabric of this vision, the cloud-capped towers, the gorgeous palaces, the solemn temples, the great globe itself … itself-yea-all which it

… inherit-shall dissolve-“

I broke down. I heard Peewee sobbing beside me. I don’t know why I picked that one-but they say the subconscious mind never does things “accidentally.” I guess it had to be that one. “As it well may,” commented the merciless voice.

“I don’t think it’s any of your business what we do-as long as we leave you alone-” My stammer was back and I was almost sobbing. “We have made it our business.”

“We aren’t under your government and-“

“Correction. Three Galaxies is not a government; conditions for government cannot obtain in so vast a space, such varied cultures. We have simply formed police districts for mutual protection.”

“But-even so, we haven’t troubled your cops. We were in our own backyards-I was in my own backyard!-when these wormface things came along and started troubling us. We haven’t hurt you.”

I stopped, wondering where to turn. I couldn’t guarantee good behavior, not for the whole human race-the machine knew it and I knew it.

“Inquiry.” It was talking to itself again. “These creatures appear to be identical with the Old Race, allowing for mutation. What part of the Third Galaxy are they from?”  It answered itself, naming co-ordinates that meant nothing to me. “But they are not of the Old Race; they are ephemerals. That is the danger; they change too fast.” “Didn’t the Old Race lose a ship out that way a few half-deaths of Thorium-230 ago? Could that account for the fact that the youngest sample failed to match?”

It answered firmly, “It is immaterial whether or not they may be descended from the Old Race. An examination is in progress; a decision must be made.” “The decision must be sure.”

“It will be.” The bodyless voice went on, to us: “Have either of you anything to add in your defense?”

I had been thinking of what had been said about the miserable state of our science. I wanted to point out that we had gone from muscle power to atomic power in only two centuries-but I was afraid that fact would be used against us. “Peewee, can you think of anything?”

She suddenly stepped forward and shrilled to the air, “Doesn’t it count that Kip saved the Mother Thing?” “No,” the cold voice answered. “It is irrelevant.”

“Well, it ought to count!” She was crying again. “You ought to be ashamed of yourselves! Bullies! Cowards! Oh, you’re worse than wormfaces!”

I pulled her back. She hid her head against my shoulder and shook. Then she whispered, “I’m sorry, Kip. I didn’t mean to. I guess I’ve ruined it.” “It was ruined anyhow, honey.”

“Have you anything more to say?” old no-face went on relentlessly.

I looked around at the hall. -the cloud-capped towers … the great globe itself- “Just this!” I said savagely. “It’s not a defense, you don’t want a defense. All right, take away our star- You will if you can and I guess you can. Go ahead! We’ll make a star! Then, someday, we’ll come back and hunt you down-all of you!”

“That’s telling ‘em. Kip! That’s telling them!”

Nobody bawled me out. I suddenly felt like a kid who has made a horrible mistake at a party and doesn’t know how to cover it up. But I meant it. Oh, I didn’t think we could do it. Not yet. But we’d try. “Die trying” is the proudest human thing.

“It is possible that you will,” that infuriating voice went on. “Are you through?” “I’m through.” We all were through … every one of us.

“Does anyone speak for them? Humans, will any race speak for you?” We didn’t know any other races. Dogs- Maybe dogs would.

“I speak for them!”

Peewee raised her head with a jerk. “Mother Thing!”

Suddenly she was in front of us. Peewee tried to run to her, bounced off that invisible barrier. I grabbed her. “Easy, hon. She isn’t there-it’s some sort of television.”

“My lord peers … you have the advantage of many minds and much knowledge-” It was odd to see her singing, hear her in English; the translation still held that singing quality.

“-but I know them. It is true that they are violent-especially the smaller one-but they are not more violent than is appropriate to their ages. Can we expect mature restraint in a race whose members all must die in early childhood? And are not we ourselves violent? Have we not this day killed our billions? Can any race survive without a willingness to fight? It is true that these creatures are often more violent than is necessary or wise. But, my peers, they all are so very young. Give them time to learn.”

“That is exactly what there is to fear, that they may learn. Your race is overly sentimental; it distorts your judgment.”

“Not true! We are compassionate, we are not foolish. I myself have been the proximate cause of how many, many adverse decisions? You know; it is in your records-I prefer not to remember. And I shall be again. When a branch is diseased beyond healing, it must be pruned. We are not sentimental; we are the best watchers you have ever found, for we do it without anger. Toward evil we have no mercy. But the mistakes of a child we treat with loving forbearance.”

“Have you finished?”

“I say that this branch need not be pruned! I have finished.”

The Mother Thing’s image vanished. The voice went on, “Does any other race speak for them?”

“I do.” Where she had been now stood a large green monkey. He stared at us and shook his head, then suddenly did a somersault and finished looking at us between his legs. “I’m no friend of theirs but I am a lover of ‘justice’-in which I differ from my colleagues in this Council.” He twirled rapidly several times. “As our sister has said, this race is young. The infants of   my own noble race bite and scratch each other-some even die from it. Even I behaved so, at one time.” He jumped into the air, landed on his hands, did a flip from that position. “Yet does anyone here deny that I am civilized?” He stopped, looked at us thoughtfully while scratching. “These are brutal savages and I don’t see how anyone could ever like them-but I say: give them their chance!”

His image disappeared.

The voice said, “Have you anything to add before a decision is reached?”

I started to say: No, get it over with-when Peewee grabbed my ear and whispered. I listened, nodded, and spoke. “Mr. Moderator-if the verdict is against us-can you hold off your hangmen long enough to let us go home? We know that you can send us home in only a few minutes.”

The voice did not answer quickly. “Why do you wish this? As I have explained, you are not personally on trial. It has been arranged to let you live.” “We know. We’d rather be home, that’s all-with our people.”

Again a tiny hesitation. “It shall be done.”

“Are the facts sufficient to permit a decision?” “Yes.”

“What is the decision?”

“This race will be re-examined in a dozen half-deaths of radium. Meanwhile there is danger to it from itself. Against this mischance it will be given assistance. During the probationary period it will be watched closely by Guardian Mother-” the machine trilled the true Vegan name of the Mother Thing “-the cop on that beat, who will report at once any ominous change. In the meantime we wish this race good progress in its long journey upward.

“Let them now be returned forthwith to the space-time whence they came.”

Chapter 12

I didn’t think it was safe to make our atmosphere descent in New Jersey without filing a flight plan. Princeton is near important targets; we might be homed-on by everything up to A- missiles. The Mother Thing got that indulgent chuckle in her song: (“I fancy we can avoid that.”)

She did. She put us down in a side street, sang good-bye and was gone. It’s not illegal to be out at night in space suits, even carrying a rag dolly. But it’s unusual-cops hauled us in. They phoned Peewee’s father and in twenty minutes we were in his study, drinking cocoa and talking and eating shredded wheat.

Peewee’s mother almost had a fit. While we told our story she kept gasping, “I can’t believe it!” until Professor Reisfeld said, “Stop it, Janice. Or go to bed.” I don’t blame her. Her   daughter disappears on the Moon and is given up for dead-then miraculously reappears on Earth. But Professor Reisfeld believed us. The way the Mother Thing had “understanding” he had “acceptance.” When a fact came along, he junked theories that failed to match.

He examined Peewee’s suit, had her switch on the helmet, shined a light to turn it opaque, all with a little smile. Then he reached for the phone. “Dario must see this.” “At midnight. Curt?”

“Please, Janice. Armageddon won’t wait for office hours.” “Professor Reisfeld?”

“Yes, Kip?”

“Uh, you may want to see other things first.” “That’s possible.”

I took things from Oscar’s pockets-two beacons, one for each of us, some metal “paper” covered with equations, two “happy things,” and two silvery spheres. We had stopped on Vega Five, spending most of the time under what I suppose was hypnosis while Prof Joe and another professor thing pumped us for what we knew of human mathematics. They hadn’t been learning math from us-oh, no! They wanted the language we use in mathematics, from radicals and vectors to those weird symbols in higher physics, so that they could teach us; the results were on the metal paper. First I showed Professor Reisfeld the beacons. “The Mother Thing’s beat now includes us. She says to use these if we need her. She’ll usually be close by-a thousand light-years at most. But even if she is far away, she’ll come.”

“Oh.” He looked at mine. It was neater and smaller than the one she haywired on Pluto. “Do we dare take it apart?” “Well, it’s got a lot of power tucked in it. It might explode.”

“Yes, it might.” He handed it back, looking wistful.

A“happy thing” can’t be explained. They look like those little abstract sculptures you feel as well as look at. Mine was like obsidian but warm and not hard; Peewee’s was more like jade. The surprise comes when you touch one to your head. I had Professor Reisfeld do so and he looked awed-the Mother Thing is all around you and you feel warm and safe and understood.

He said, “She loves you. The message wasn’t for me. Excuse me.” “Oh, she loves you, too.”

“Eh?”

“She loves everything small and young and fuzzy and helpless. That’s why she’s a ‘mother thing.’ “ I didn’t realize how it sounded. But he didn’t mind. “You say she is a police officer?”

“Well, she’s more of a juvenile welfare officer-this is a slum neighborhood we’re in, backward and pretty tough. Sometimes she has to do things she doesn’t like. But she’s a good cop and somebody has to do nasty jobs. She doesn’t shirk them.”

“I’m sure she wouldn’t.” “Would you like to try it again?” “Do you mind?”

“Oh, no, it doesn’t wear out.”

He did and got that warm happy look. He glanced at Peewee, asleep with her face in her cereal. “I need not have worried about my daughter, between the Mother Thing-and you.” “It was a team,” I explained. “We couldn’t have made it without Peewee. The kid’s got guts.”

“Too much, sometimes.”

“Other times you need that extra. These spheres are recorders. Do you have a tape recorder, Professor?”

“Certainly, sir.” We set it up and let a sphere talk to it. I wanted a tape because the spheres are one-shot-the molecules go random again. Then I showed him the metal paper. I had tried to read it, got maybe two inches into it, then just recognized a sign here and there. Professor Reisfeld got halfway down the first page, stopped. “I had better make those phone calls.”

At dawn a sliver of old Moon came up and I tried to judge where Tombaugh Station was. Peewee was asleep on her Daddy’s couch, wrapped in his bathrobe and clutching Madame Pompadour. He had tried to carry her to bed but she had wakened and become very, very difficult, so he put her down. Professor Reisfeld chewed an empty pipe and listened to my sphere whispering softly to his recorder. Occasionally he darted a question at me and I’d snap out of it.

Professor Giomi and Dr. Bruck were at the other end of the study, filling a blackboard, erasing and filling it again, while they argued over that metal paper. Geniuses are common at the Institute for Advanced Study but these two wouldn’t be noticed anywhere; Bruck looked like a truckdriver and Giomi like an excited Iunio. They both had that Okay-I-get-you that Professor Reisfeld had. They were excited but Dr. Bruck showed it only by a tic in his face-which Peewee’s Daddy told me was a guarantee of nervous breakdowns-not for Bruck, for other physicists.

Two mornings later we were still there. Professor Reisfeld had shaved; the others hadn’t. I napped and once I took a shower. Peewee’s Daddy listened to recordings-he was now replaying Peewee’s tape. Now and then Bruck and Giomi called him over, Giomi almost hysterical and Bruck stolid. Professor Reisfeld always asked a question or two, nodded and came back to his chair. I don’t think he could work that math-but he could soak up results and fit them with other pieces.

I wanted to go home once they were through with me but Professor Reisfeld said please stay; the Secretary General of the Federated Free Nations was coming.

I stayed. I didn’t call home because what was the use in upsetting them? I would rather have gone to New York City to meet the Secretary General, but Professor Reisfeld had invited him here-I began to realize that anybody really important would come if Professor Reisfeld asked him.

Mr. van Duivendijk was slender and tall. He shook hands and said, “I understand that you are Dr. Samuel C. Russell’s son.” “You know my father, sir?”

“I met him years ago, at the Hague.”

Dr. Bruck turned-he had barely nodded at the Secretary General. “You’re Sam Russell’s boy?” “Uh, you know him, too?”

“Of course. On the Statistical Interpretation of Imperfect Data. Brilliant.” He turned back and got more chalk on his sleeve. I hadn’t known that Dad had written such a thing, nor suspected that he knew the top man in the Federation. Sometimes I think Dad is eccentric.

Mr. van D. waited until the double domes came up for air, then said, “You have something, gentlemen?” “Yeah,” said Bruck.

“Superb!” agreed Giomi. “Such as?”

“Well-” Dr. Bruck pointed at a line of chalk. “That says you can damp out a nuclear reaction at a distance.” “What distance?”

“How about ten thousand miles? Or must you do it from the Moon?” “Oh, ten thousand miles is sufficient, I imagine.”

“You could do it from the Moon,” Giomi interrupted, “if you had enough power. Magnificent!” “It is,” agreed van Duivendijk. “Anything else?”

“What do you want?” demanded Bruck. “Egg in your suds?” “Well?”

“See that seventeenth line? It may mean anti-gravity, I ain’t promising. Or, if you rotate ninety degrees, this unstable Latin thinks it’s time travel.” “It is!”

“If he’s right, the power needed is a fair-sized star-so forget it.” Bruck stared at hen’s tracks. “Anew approach to matter conversion-possibly. How about a power pack for your vest pocket that turns out more ergs than the Brisbane reactors?”

“This can be done?”

“Ask your grandson. It won’t be soon.” Bruck scowled. “Dr. Bruck, why are you unhappy?” asked Mr. van D.

Bruck scowled harder. “Are you goin’ to make this Top Secret’? I don’t like classifying mathematics. It’s shameful.”

I batted my ears. I had explained to the Mother Thing about “classified” and I think I shocked her. I said that the FFN had to have secrets for survival, just like Three Galaxies. She couldn’t see it. Finally she had said that it wouldn’t make any difference in the long run. But I had worried because while I don’t like science being “secret,” I don’t want to be reckless, either.

Mr. van D. answered, “I don’t like secrecy. But I have to put up with it.” “I knew you would say that!”

“Please. Is this a U.S. government project?” “Eh? Of course not.”

“Nor a Federation one. Very well, you’ve shown me some equations. I can’t tell you not to publish them. They’re yours.” Bruck shook his head. “Not ours.” He pointed at me. “His.”

“I see.” The Secretary General looked at me. “I am a lawyer, young man. If you wish to publish, I see no way to stop you.” “Me? It’s not mine-I was just-well, a messenger.”

“You seem to have the only claim. Do you wish this published? Perhaps with all your names?” I got the impression that he wanted it published. “Well, sure. But the third name shouldn’t be mine; it should be-” I hesitated. You can’t put a birdsong down as author. “-uh, make it ‘Dr. M. Thing.’” “Who is he?”

“She’s a Vegan. But we could pretend it’s a Chinese name.”

The Secretary General stayed on, asking questions, listening to tapes. Then he made a phone call-to the Moon. I knew it could be done, I never expected to see it. “Van Duivendijk here … yes, the Secretary General. Get the Commanding General … Jim? … This connection is terrible … Jim, you sometimes order practice maneuvers … My call is unofficial but you might check a valley-” He turned to me; I answered quickly. “-a valley just past the mountains east of Tombaugh Station. I haven’t consulted the Security Council; this is between friends. But if   you go into that valley I very strongly suggest that it be done in force, with all weapons. It may have snakes in it. The snakes will be camouflaged. Call it a hunch. Yes, the kids are fine and so is Beatrix. I’ll phone Mary and tell her I talked with you.”

The Secretary General wanted my address. I couldn’t say when I would be home because I didn’t know how I would get there-I meant to hitchhike but didn’t say so. Mr. van D.’s eyebrows went up. “I think we owe you a ride home. Eh, Professor?”

“That would not be overdoing it.”

“Russell, I heard on your tape that you plan to study engineering-with a view to space.” “Yes, sir. I mean, ‘Yes, Mr. Secretary.’ “

“Have you considered studying law? Many young engineers want to space-not many lawyers. But the Law goes everywhere. Aman skilled in space law and meta-law would be in a strong position.”

“Why not both?” suggested Peewee’s Daddy. “I deplore this modern overspecialization.” “That’s an idea,” agreed Mr. van Duivendijk. “He could then write his own terms.”

I was about to say I should stick to electronics-when suddenly I knew what I wanted to do. “Uh, I don’t think I could handle both.” “Nonsense!” Professor Reisfeld said severely.

“Yes, sir. But I want to make space suits that work better. I’ve got some ideas.”

“Mmm, that’s mechanical engineering. And many other things, I imagine. But you’ll need an M.E. degree.” Professor Reisfeld frowned. “As I recall your tape, you passed College Boards but hadn’t been accepted by a good school.” He drummed his desk. “Isn’t that silly, Mr. Secretary? The lad goes to the Magellanic Clouds but can’t go to the school he wants.”

“Well, Professor? You pull while I push?”

“Yes. But wait.” Professor Reisfeld picked up his phone. “Susie, get me the President of M.I.T. I know it’s a holiday; I don’t care if he’s in Bombay or in bed; get him. Good girl.” He put down the phone. “She’s been with the Institute five years and on the University switchboard before that. She’ll get him.”

I felt embarrassed and excited. M.I.T.-anybody would jump at the chance. But tuition alone would stun you. I tried to explain that I didn’t have the money. “I’ll work the rest of this school and

next summer-I’ll save it.”

The phone rang. “Reisfeld here. Hi, Oppie. At the class reunion you made me promise to tell you if Bruck’s tic started bothering him. Hold onto your chair; I timed it at twenty-one to the minute. That’s a record… . Slow down; you won’t send anybody, unless I get my pound of flesh. If you start your lecture on academic freedom and ‘the right to know,’ I’ll hang up and call Berkeley. I can do business there-and I know I can here, over on the campus… . Not much, just a four-year scholarship, tuition and fees… . Don’t scream at me; use your discretionary fund-or make it a wash deal in bookkeeping. You’re over twenty-one; you can do arithmetic… . Nope, no hints. Buy a pig in a poke or your radiation lab won’t be in on it. Did I say ‘radiation lab’? I meant the entire physical science department. You can flee to South America, don’t let me sway you… . What? I’m an embezzler, too. Hold it.” Professor Reisfeld said to me, “You applied for M.I.T.?”

“Yes, sir, but-“

“He’s in your application files, ‘Clifford C. Russell.’ Send the letter to his home and have the head of your team fetch my copy… . Oh, a broad team, headed by a mathematical physicist- Farley, probably; he’s got imagination. This is the biggest thing since the apple konked Sir Isaac… . Sure, I’m a blackmailer, and you are a chair warmer and a luncheon speaker. When are you returning to the academic life? … Best to Beulah. ‘Bye.”

He hung up. “That’s settled. Kip, the one thing that confuses me is why those worm-faced monsters wanted me.”

I didn’t know how to say it. He had told me only the day before that he had been correlating odd data-unidentified sightings, unexpected opposition to space travel, many things that did not fit. Such a man is likely to get answers-and be listened to. If he had a weakness, it was modesty-which he hadn’t passed on to Peewee. If I told him that invaders from outer space had grown nervous over his intellectual curiosity, he would have pooh-poohed it. So I said, “They never told us, sir. But they thought you were important enough to grab.”

Mr. van Duivendijk stood up. “Curt, I won’t waste time listening to nonsense. Russell, I’m glad your schooling is arranged. If you need me, call me.” When he was gone, I tried to thank Professor Reisfeld. “I meant to pay my way, sir. I would have earned the money before school opens again.”

“In less than three weeks? Come now. Kip.” “I mean the rest of this year and-“

“Waste a year? No.”

“But I already-” I looked past his head at green leaves in their garden. “Professor … what date is it?” “Why, Labor Day, of course.”

(“-forthwith to the space-time whence they came.”)

Professor Reisfeld flipped water in my face. “Feeling better?” “I-I guess so. We were gone for weeks.”

“Kip, you’ve been through too much to let this shake you. You can talk it over with the stratosphere twins-” He gestured at Giomi and Bruck. “-but you won’t understand it. At least I didn’t. Why not assume that a hundred and sixty-seven thousand light-years leaves room for Tennessee windage amounting to only a hair’s breadth of a fraction of one per cent? Especially when the method doesn’t properly use space-time at all?”

When I left, Mrs. Reisfeld kissed me and Peewee blubbered and had Madame Pompadour say good-bye to Oscar, who was in the back seat because the Professor was driving me to the airport.

On the way he remarked, “Peewee is fond of you.” “Uh, I hope so.”

“And you? Or am I impertinent?”

“Am I fond of Peewee? I certainly am! She saved my life four or five times.” Peewee could drive you nuts. But she was gallant and loyal and smart-and had guts. “You won a life-saving medal or two yourself.”

I thought about it. “Seems to me I fumbled everything I tried. But I had help and an awful lot of luck.” I shivered at how luck alone had kept me out of the soup-real soup.  ” ‘Luck’ is a question-begging word,” he answered. “You spoke of the ‘amazing luck’ that you were listening when my daughter called for help. That wasn’t luck.”

“Huh? I mean, ‘Sir’?”

“Why were you on that frequency? Because you were wearing a space suit. Why were you wearing it? Because you were determined to space. When a space ship called, you answered.    If that is luck, then it is luck every time a batter hits a ball. Kip, ‘good luck’ follows careful preparation; ‘bad luck’ comes from sloppiness. You convinced a court older than Man himself that you and your kind were worth saving. Was that mere chance?”

“Uh … fact is, I got mad and almost ruined things. I was tired of being shoved around.”

“The best things in history are accomplished by people who get ‘tired of being shoved around.’ ” He frowned. “I’m glad you like Peewee. She is about twenty years old intellectually and six emotionally; she usually antagonizes people. So I’m glad she has gained a friend who is smarter than she is.”

My jaw dropped. “But, Professor, Peewee is much smarter than I am. She runs me ragged.”

He glanced at me. “She’s run me ragged for years-and I’m not stupid. Don’t downgrade yourself, Kip.” “It’s the truth.”

“So? The greatest mathematical psychologist of our time, a man who always wrote his own ticket even to retiring when it suited him-very difficult, when a man is in demand-this man married his star pupil. I doubt if their offspring is less bright than my own child.”

I had to untangle this to realize that he meant me. Then I didn’t know what to Say. How many kids really know their parents? Apparently I didn’t.

He went on, “Peewee is a handful, even for me. Here’s the airport. When you return for school, please plan on visiting us. Thanksgiving, too, if you will-no doubt you’ll go home Christmas.”

“Uh, thank you, sir. I’ll be back.” “Good.”

“Uh, about Peewee-if she gets too difficult, well, you’ve got the beacon. The Mother Thing can handle her.” “Mmm, that’s a thought.”

“Peewee tries to get around her but she never does. Oh-I almost forgot. Whom may I tell? Not about Peewee. About the whole thing.” “Isn’t that obvious?”

“Sir?”

“Tell anybody anything. You won’t very often. Almost no one will believe you.”

I rode home in a courier jet-those things go fast. Professor Reisfeld had insisted on lending me ten dollars when he found out that I had only a dollar sixty-seven, so I got a haircut at the bus station and bought two tickets to Centerville to keep Oscar out of the luggage compartment; he might have been damaged. The best thing about that scholarship was that now I

needn’t ever sell him-not that I would.

Centerville looked mighty good, from elms overhead to the chuckholes under foot. The driver stopped near our house because of Oscar; he’s clumsy to carry. I went to the barn and racked Oscar, told him I’d see him later, and went in the back door.

Mother wasn’t around. Dad was in his study. He looked up from reading. “Hi, Kip.” “Hi, Dad.”

“Nice trip?”

“Uh, I didn’t go to the lake.”

“I know. Dr. Reisfeld phoned-he briefed me thoroughly.”

“Oh. It was a nice trip-on the whole.” I saw that he was holding a volume of the Britannica, open to “Magellanic Clouds.”

He followed my glance. “I’ve never seen them,” he said regretfully. “I had a chance once, but I was busy except one cloudy night.” “When was that. Dad?”

“In South America, before you were born.” “I didn’t know you had been there.”

“It was a cloak-and-daggerish government job-not one to talk about. Are they beautiful?”

“Uh, not exactly.” I got another volume, turned to “Nebulae” and found the Great Nebula of Andromeda. “Here is beauty. That’s the way we look.” Dad sighed. “It must be lovely.”

“It is. I’ll tell you all about it. I’ve got a tape, too.”

“No hurry. You’ve had quite a trip. Three hundred and thirty-three thousand light-years-is that right?” “Oh, no, just half that.”

“I meant the round trip.”

“Oh. But we didn’t come back the same way.” “Eh?”

“I don’t know how to put it, but in these ships, if you make a jump, any jump, the short way back is the long way ‘round. You go straight ahead until you’re back where you started. Well, not ‘straight’ since space is curved-but straight as can be. That returns everything to zero.”

“Acosmic great-circle?”

“That’s the idea. All the way around in a straight line.”

“Mmm-” He frowned thoughtfully. “Kip, how far is it, around the Universe? The red-shift limit?”

I hesitated. “Dad, I asked-but the answer didn’t mean anything.” (The Mother Thing had said, “How can there be ‘distance’ where there is nothing?”) “It’s not a distance; it’s more of a condition. I didn’t travel it; I just went. You don’t go through, you slide past.”

Dad looked pensive. “I should know not to ask a mathematical question in words.”

I was about to suggest that Dr. Bruck could help when Mother sang out: “Hello, my darlings!” For a split second I thought I was hearing the Mother Thing.

She kissed Dad, she kissed me. “I’m glad you’re home, dear.” “Uh-” I turned to Dad.

“She knows.”

“Yes,” Mother agreed in a warm indulgent tone, “and I don’t mind where my big boy goes as long as he comes home safely. I know you’ll go as far as you want to.” She patted my cheek. “And I’ll always be proud of you. Myself, I’ve just been down to the corner for another chop.”

Next morning was Tuesday, I went to work early. As I expected, the fountain was a mess. I put on my white jacket and got cracking. Mr. Charton was on the phone; he hung up and came over. “Nice trip. Kip?”

“Very nice, Mr. Charton.”

“Kip, there’s something I’ve been meaning to say. Are you still anxious to go to the Moon?” I was startled. Then I decided that he couldn’t know.

Well, I hadn’t seen the Moon, hardly, I was still eager-though not as much in a hurry. “Yes, sir. But I’m going to college first.” “That’s what I mean. I- Well, I have no children. If you need money, say so.”

He had hinted at pharmacy school-but never this. And only last night Dad had told me that he had bought an education policy for me the day I was born-he had been waiting to see what I would do on my own. “Gee, Mr. Charton, that’s mighty nice of you!”

“I approve of your wanting an education.”

“Uh, I’ve got things lined up, sir. But I might need a loan someday.” “Or not a loan. Let me know.” He bustled away, plainly fussed.

I worked in a warm glow, sometimes touching the happy thing, tucked away in a pocket. Last night I had let Mother and Dad put it to their foreheads. Mother had cried; Dad said solemnly,  “I begin to understand, Kip.” I decided to let Mr. Charton try it when I could work around to it. I got the fountain shining and checked the air conditioner. It was okay.

About midafternoon Ace Quiggle came in, plunked himself down. “Hi, Space Pirate! What do you hear from the Galactic Overlords? Yuk yuk yukkity yuk!” What would he have said to a straight answer? I touched the happy thing and said, “What’ll it be. Ace?”

“My usual, of course, and snap it up!” “Achoc malt?”

“You know that. Look alive. Junior! Wake up and get hep to the world around you.”

“Sure thing, Ace.” There was no use fretting about Ace; his world was as narrow as the hole between his ears, no deeper than his own hog wallow. Two girls came in; I served them   cokes while Ace’s malt was in the mixer. He leered at them. “Ladies, do you know Commander Comet here?” One of them tittered; Ace smirked and went on: “I’m his manager. You want

heroing done, see me. Commander, I’ve been thinking about that ad you’re goin’ to run.”

“Huh?”

“Keep your ears open. ‘Have Space Suit-Will Travel,’ that doesn’t say enough. To make money out of that silly clown suit, we got to have oomph. So we add: “Bug-Eyed Monsters Exterminated-World Saving a Specialty-Rates on Request.’ Right?”

I shook my head. “No, Ace.”

“S’matter with you? No head for business?”

“Let’s stick to the facts. I don’t charge for world saving and don’t do it to order; it just happens. I’m not sure I’d do it on purpose-with you in it.” Both girls tittered. Ace scowled. “Smart guy, eh? Don’t you know that the customer is always right?”

“Always?”

“He certainly is. See that you remember it. Hurry up that malt!”

“Yes, Ace.” I reached for it; he shoved thirty-five cents at me; I pushed it back. “This is on the house.” I threw it in his face.

The End

I hope that you enjoyed this post. I have other posts that cover many other stories in my Fictional Index. You can go there using this link…

Fictional Stories

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

Orphans of the Sky (full text) by Robert A. Heinlein

The following is the full text of the short science fiction novel by Robert Heinlein titled “Orphans of the Sky”. Here it is in it’e entirety and you do not need to “register”, give out your credit card number or do anything that compromises your privacy to view it. It is all 100% free for you to read. Enjoy.

Lost in Space -- Hugh had been taught that, according to the ancient sacred writings, the Ship was on a voyage to faraway Centaurus. But he also understood this was just allegory for a voyage to spiritual perfection. Indeed, how could the Ship move, since its miles and miles of metal corridors were all there was of creation? Science knew that the Ship was all the universe, and as long as the sacred Converter was fed, the lights would continue to glow, the air would flow, and the Creator's Plan would be fulfilled.

Some quick reviews

I've read this book three times; first when I was a young boy and, later, as a young man, at my aunt and uncle's house in Potsdam, NY. My uncle was David A. Kyle and he was a sci-fi writer and #1 fan of that genre. He and my aunt used to fly me up from NJ to spend summers with them. They had a vast library of sci-fi literature, books, in particular. It was a fascinating place to stay and it opened my mind to the universe. I read many books, but this one really captured my imagination and brought back happy memories of my youth.

-Marinade Dave
I first read this when I was 9. Back then it was just a simple adventure story. I re-read it at 21 and got a whole lot more about the background politics and such in the story. When this e-book came out, I snatched it up out of nostalgia, and when I read it again at 53, I saw things I had never realized were in there before about just how degraded society and conditions were aboard that ship. It's a short novel, but there are layers upon layers woven throughout it.

-Richard Chandler
First Impressions:

The book reads rather rapidly and well for a young adult novel, originally appearing in Astounding Science Fiction back in the 1940s. Heinlein's writing and plotting had improved since those days, but there's something fun and unique about his early writings such as Space Cadet, or Starship Troopers, contemporary stories that involved a strong lead character and lots of plot points.

Plots:

I may be wrong but this may be one of the first stories of a multi-generational ship that had some kind of catastrophe where everyone forgot they lived on a ship and thought the Ship was all there was! I've seen this idea played out in the original Star Trek episode "For the World is Hollow and I Have Touched the Sky" and the television series "Star Lost."

The main character Hugh Hoyland lives on a Ship where scientists are revered as holy and the Captain of the ship is near godhood. There are farms going on, and a Converter that is used to create energy from mass (and occasionally from dead bodies). There is an internal struggle with mutants in the upper levels. It's very dictatorial and people know their places. To question is to court death.

But Hugh questions. And he ends up with the mutants, a two headed guy called Joe-Jim and his sidekick Bobo. This small unassuming trio are the vanguard of a major change where the Ship is headed for a star -- but the inhabitants don't even know what space is.

Fascinating scenario, but not enough time is spent on the whole religious aspect of the scientists. They do mention a few scientific facts but have decided its all allegory and ancient myths -- such as the law of gravity!

The part where we move into rebellion, assassination and betrayal towards the end of the book is really fascinating. The end is a bit rushed, but Heinlein acknowledges that as a string of amazing coincidences! Ha!

Overall a great read and highly recommended to fans of early Heinlein.

-Critics Corner

Orphans of the Sky

UNIVERSE

The Proxima Centauri Expedition, sponsored by the Jordan Foundation in 2119, was the first recorded attempt to reach the nearer stars of this galaxy. Whatever its unhappy fate we can only conjecture. — Quoted from The Romance of Modern Astrography, by Franklin Buck, published by Lux Transcriptions, Ltd., 3.50 cr.

“THERE’S AMUTIE! Look out!”

At the shouted warning, Hugh Hoyland ducked, with nothing to spare. An egg-sized iron missile clanged against the bulkhead just above his scalp with force that promised a fractured skull. The speed with which he crouched had lifted his feet from the floor plates. Before his body could settle slowly to the deck, he planted his feet against the bulkhead behind him and shoved. He went shooting down the passageway in a long, flat dive, his knife drawn and ready.

He twisted in the air, checked himself with his feet against the opposite bulkhead at the turn in the passage from which the mutie had attacked him, and floated lightly to his feet. The other branch of the passage was empty. His two companions joined him, sliding awkwardly across the floor plates.

“Is it gone?” demanded Alan Mahoney.

“Yes,” agreed Hoyland. “I caught a glimpse of it as it ducked down that hatch. Afemale, I think. Looked like it had four legs.” “Two legs or four, we’ll never catch it now,” commented the third man.

“Who the Huff wants to catch it?” protested Mahoney. “I don’t.”

“Well, I do, for one,” said Hoyland. “By Jordan, if its aim had been two inches better, I’d be ready for the Converter.”

“Can’t either one of you two speak three words without swearing?” the third man disapproved. “What if the Captain could hear you?” He touched his forehead reverently as he mentioned the Captain.

“Oh, for Jordan’s sake,” snapped Hoyland, “don’t be so stuffy, Mort Tyler. You’re not a scientist yet. I reckon I’m as devout as you are; there’s no grave sin in occasionally giving vent to your feelings. Even the scientists do it. I’ve heard ‘em.”

Tyler opened his mouth as if to expostulate, then apparently thought better of it. Mahoney touched Hoyland on the arm. “Look, Hugh,” he pleaded, “let’s get out of here. We’ve never been this high before. I’m jumpy; I want to get back down to where I can feel some weight on my feet.”

Hoyland looked longingly toward the hatch through which his assailant had disappeared while his hand rested on the grip of his knife, then be turned to Mahoney. “OK, kid,” he agreed, “It’s along trip down anyhow.”

He turned and slithered back toward the hatch, whereby they had reached the level where they now were, the other two following him. Disregarding the ladder by which they had mounted, he stepped off into the opening and floated slowly down to the deck fifteen feet below, Tyler and Mahoney close behind him. Another hatch, staggered a few feet from the first, gave

access to a still lower deck. Down, down, down, and still farther down they dropped, tens and dozens of decks, each silent, dimly lighted, mysterious. Each time they fell a little faster, landed a little harder. Mahoney protested at last, “Let’s walk the rest of the way, Hugh. That last jump hurt my feet.”

“All right. But it will take longer. How far have we got to go? Anybody keep count?” “We’ve got about seventy decks to go to reach farm country,” answered Tyler. “How d’you know?” demanded Mahoney suspiciously.

“I counted them, stupid. And as we came down I took one away for each deck.”

“You did not. Nobody but a scientist can do numbering like that. Just because you’re learning to read and write you think you know everything.”

Hoyland cut in before it could develop into a quarrel. “Shut up, Alan. Maybe he can do it. He’s clever about such things. Anyhow, it feels like about seventy decks — I’m heavy enough.” “Maybe he’d like to count the blades on my knife.”

“Stow it, I said. Dueling is forbidden outside the village. That is the Rule.” They proceeded in silence, running lightly down the stairways until increasing weight on each succeeding level forced them to a more pedestrian pace. Presently they broke through into a level that was quite brilliantly lighted and more than twice as deep between decks as the ones above it. The  air was moist and warm; vegetation obscured the view.

“Well, down at last,” said Hugh. “I don’t recognize this farm; we must have come down by a different line than we went up.” “There’s a farmer,” said Tyler. He put his little fingers to his lips and whistled, then called, “Hey! Shipmate! Where are we?”

The peasant looked them over slowly, then directed them in reluctant monosyllables to the main passageway which would lead them back to their own village.

Abrisk walk of a mile and a half down a wide tunnel moderately crowded with traffic: travelers, porters, an occasional pushcart, a dignified scientist swinging in a litter borne by four husky orderlies and preceded by his master-at-arms to clear the common crew out of the way. Amile and a half of this brought them to the common of their own village, a spacious   compartment three decks high and perhaps ten times as wide. They split up and went their own ways, Hugh to his quarters in the barracks of the cadets, young bachelors who do not live with their parents. He washed himself and went thence to the compartments of his uncle, for whom he worked for his meals. His aunt glanced up as he came in, but said nothing, as became a woman.

His uncle said, “Hello, Hugh. Been exploring again?” “Good eating, Uncle. Yes.”

His uncle, a stolid, sensible man, looked tolerantly amused. “Where did you go and what did you find?”

Hugh’s aunt had slipped silently out of the compartment, and now returned with his supper which she placed before him. He fell to; it did not occur to him to thank her. He munched a bite before replying.

“Up. We climbed almost to the level-of-no-weight. Amutie tried to crack my skull.”

His uncle chuckled. “You’ll find your death In those passageways, lad. Better you should pay more attention to my business against the day when I die and get out of your way.” Hugh looked stubborn. “Don’t you have any curiosity, Uncle?”

“Me? Oh, I was prying enough when I was a lad. I followed the main passage all the way around and back to the village. Right through the Dark Sector I went, with muties tagging my heels. See that scar?”

Hugh glanced at it perfunctorily. He had seen it many times before and heard the story repeated to boredom. Once around the Ship, pfft! He wanted to go everywhere, see everything, and find out the why of things. Those upper levels now: if men were not intended to climb that high, why had Jordan created them?

But he kept his own counsel and went on with his meal. His uncle changed the subject. “I’ve occasion to visit the Witness. John Black claims I owe him three swine. Want to come along?”

“Why, no, I guess not — Wait! I believe I will.”

“Hurry up, then.”

They stopped at the cadets’ barracks, Hugh claiming an errand. The Witness lived in a small, smelly compartment directly across the Common from the barracks, where he would be readily accessible to any who had need of his talents. They found him leaning in his doorway, picking his teeth with a fingernail. His apprentice, a pimply-faced adolescent with an intent nearsighted expression, squatted behind him.

“Good eating.” said Hugh’s uncle.

“Good eating to you, Edard Hoyland. D’you come on business, or to keep an old man company?” “Both,” Hugh’s uncle returned diplomatically, then explained his errand.

“So,” said the Witness. “Well, the contract’s clear enough. Black John delivered ten bushels of oats, Expecting his pay in a pair of shoats; Ed brought his sow to breed for pig; John gets his pay when the pigs grow big.

“How big are the pigs now, Edard Hoyland?”

“Big enough,” acknowledged Hugh’s uncle, “but Black John claims three instead of two.” “Tell him to go soak his head. The Witness has spoken.”

He laughed in a thin, high cackle.

The two gossiped for a few minutes, Edard Hoyland digging into his recent experiences to satisfy the old man’s insatiable liking for details. Hugh kept decently silent while the older men talked. But when his uncle turned to go he spoke up. “I’ll stay awhile, Uncle.”

“Eh? Suit yourself. Good eating, Witness.” “Good eating, Edard Hoyland.”

“I’ve brought you a present, Witness,” said Hugh, when his uncle had passed out of hearing. “Let me see it.”

Hugh produced a package of tobacco which he had picked up from his locker at the barracks. The Witness accepted it without acknowledgment, then tossed it to his apprentice, who took charge of it.

“Come inside,” invited the Witness, then directed his speech to his apprentice. “Here, you, fetch the cadet a chair.” “Now, lad,” he added as they sat themselves down, “tell me what you have been doing with yourself.”

Hugh told him, and was required to repeat In detail all the incidents of his more recent explorations, the Witness complaining the meanwhile over his inability to remember exactly everything he saw.

“You youngsters have no capacity,” he pronounced. “No capacity. Even that lout—” he jerked his head toward the apprentice, “he has none, though he’s a dozen times better than you. Would you believe it, he can’t soak up a thousand lines a day, yet he expects to sit in my seat when I am gone. Why, when I was apprenticed, I used to sing myself to sleep on a mere thousand lines. Leaky vessels — that’s what you are.”

Hugh did not dispute the charge, but waited for the old man to go on, which he did in his own time. “You had a question to put to me, lad?”

“In a way, Witness.”

“Well? Out with it. Don’t chew your tongue.”

“Did you ever climb all the way up to no-weight?”

“Me? Of course not. I was a Witness, learning my calling. I had the lines of all the Witnesses before me to learn, and no time for boyish amusements.” “I had hoped you could tell me what I would find there.”

“Well, now, that’s another matter. I’ve never climbed, but I hold the memories of more climbers than you will ever see. I’m an old man. I knew your father’s father, and his grandsire before that. What is it you want to know?”

“Well…” What was it be wanted to know? How could he ask a question that was no more than a gnawing ache in his breast? Still… “What is it all for, Witness? Why are there all those levels above us?”

“Eh? How’s that? Jordan’s name, son, I’m a Witness, not a scientist.” “Well … I thought you must know. I’m sorry.”

“But I do know. What you want is the Lines from the Beginning.” “I’ve heard them.”

“Hear them again. All your answers are in there, if you’ve the wisdom to see them. Attend me. No, this is a chance for my apprentice to show off his learning. Here, you! The Lines from the Beginning — and mind your rhythm.”

The apprentice wet his lips with his tongue and began:

“In the Beginning there was Jordan, thinking His lonely thoughts alone. In the Beginning there was darkness, formless, dead, and Man unknown. Out of the loneness came a longing, out of the longing came a vision, Out of the dream there came a planning, out of the plan there came decision: Jordan’s hand was lifted and the Ship was born.

Mile after mile of snug compartments, tank by tank for the golden corn, Ladder and passage, door and locker, fit for the needs of the yet unborn. He looked on His work and found it pleasing, meet for a race that was yet to be. He thought of Man; Man came into being; checked his thought and searched for the key. Man untamed would shame his Maker, Man unruled would spoil the Plan; So Jordan made the Regulations, orders to each single man, Each to a task and each to a station, serving a purpose beyond their ken, Some to speak and some to listen; order came to the ranks of men. Crew He created to work at their stations, scientists to guide the Plan. Over them all He created the Captain, made him judge of the race of Man. Thus it was in the Golden Age!

Jordan is perfect, all below him lack perfection in their deeds. Envy, Greed, and Pride of Spirit sought for minds to lodge their seeds. One there was who gave them lodging: accursed Huff, the first to sin! His evil counsel stirred rebellion, planted doubt where it had not been; Blood of martyrs stained the floor plates, Jordan’s Captain made the Trip. Darkness swallowed up—”

The old man gave the boy the back of his hand, sharp across the mouth. “Try again!” “From the beginning?”

“No! From where you missed.”

The boy hesitated, then caught his stride: “Darkness swallowed ways of virtue, Sin prevailed through out the Ship . .”

The boy’s voice droned on, stanza after stanza, reciting at great length but with little sharpness of detail the dim, old story of sin, rebellion, and the time of darkness. How wisdom prevailed at last and the bodies of the rebel leaders were fed to the Converter. How some of the rebels escaped making the Trip and lived to father the muties. How a new Captain was chosen, after prayer and sacrifice. Hugh stirred uneasily, shuffling his feet. No doubt the answers to his questions were there, since these were the Sacred Lines, but he had not the wit to understand them. Why? What was it all about? Was there really nothing more to life than eating and sleeping and finally the long Trip? Didn’t Jordan intend for him to understand? Then why this ache in his breast? This hunger that persisted in spite of good eating?

While he was breaking his fast after sleep an orderly came to the door of his uncle’s compartments. “The scientist requires the presence of Hugh Hoyland,” be recited glibly.

Hugh knew that the scientist referred to was lieutenant Nelson, in charge of the spiritual and physical welfare of the Ship’s sector which included Hugh’s flative vilage. He bolted the last of his breakfast and hurried after the messenger.

“Cadet Hoyland!” he was announced. The scientist locked up from his own meal and said: “Oh, yes. Come in, my boy. Sit down. Have you eaten?”

Hugh acknowjedged that he had, but his eyes rested with interest on the fancy fruit In front of his superior. Nelson followed his glance. “Try some of these figs. They’re a new mutation; I had them brought all the way from the far side. Go ahead — a man your age always has somewhere to stow a few more bites.”

Hugh accepted with much self-consciousness. Never before had he eaten in the presence of a scientist. The elder leaned back in his chair, wiped his fingers on his shirt, arranged his beard, and started in.

“I haven’t seen you lately, son. Tell me what you have been doing with yourself.” Before Hugh could reply he went on: “No, don’t tell me; I will tell you. For one thing you have been exploring, climbing, without too much respect for the forbidden areas. Is it not so?” He held the young man’s eye. Hugh fumbled for a reply.

But he was let off again. “Never mind. I know, and you know that I know. I am not too displeased. But it has brought it forcibly to my attention that it is time that you decided what you are to do with your life. Have you any plans?”

“Well, no definite ones, sir.”

“How about that girl, Edris Baxter? D’you intend to marry her?”

“Why, uh — I don’t know, sir. I guess I want to, and her father is willing, I think. Only…” “Only what?”

“Well, he wants me to apprentice to his farm. I suppose it’s a good idea. His farm together with my uncle’s business would make a good property.” “But you’re not sure?”

“Well, I don’t know.”

“Correct. You’re not for that. I have other plans. Tell me, have you ever wondered why I taught you to read and write? Of course, you have. But you’ve kept your own counsel. That is good. “Now attend me. I’ve watched you since you were a small child. You have more imagination than the common run, more curiosity, more go. And you are a born leader. You were different even as a baby. Your head was too large, for one thing, and there were some who voted at your birth inspection to put you at once into the Converter. But I held them off. I wanted to see how you would turn out.

“Apeasant life is not for the likes of you. You are to be a scientist.”

The old man paused and studied his face. Hugh was confused, speechless. Nelson went on, “Oh, yes. Yes, indeed. For a man of your temperament, there are only two things to do with him: Make him one of the custodians, or send him to the Converter.”

“Do you mean, sir, that I have nothing to say about it?”

“If you want to put it that bluntly, yes. To leave the bright ones among the ranks of the Crew is to breed heresy. We can’t have that. We had it once and it almost destroyed tbe human race. You have marked yourself out by your exceptional ability; you must now be instructed in right thinking, be initiated into the mysteries, in order that you may be a conserving force rather   than a focus of infection and a source of trouble.” The orderly reappeared loaded down with bundles which he dumped on the deck. Hugh glanced at them, then burst out, “Why, those   are my things!”

“Certainly,” acknowledged Nelson. “I sent for them. You’re to sleep here henceforth. I’ll see you later and start you on your studies, unless you have something more on your mind?” “Why, no, sir. I guess not. I must admit I am a little confused. I suppose … I suppose this means you don’t want me to marry?”

“Oh, that,” Nelson answered indifferently. “Take her if you like; her father can’t protest now. But let me warn you, you’ll grow tired of her.”

Hugh Hoyland devoured the ancient books that his mentor permitted him to read, and felt no desire for many, many sleeps to go climbing, or even to stir out of Nelson’s cabin. More than once he felt that he was on the track of the secret — a secret as yet undefined, even as a question — but again he would find himself more confused than ever. It was evidently harder to reach the wisdom of scientisthood than he had thought.

Once, while he was worrying away at the curious twisted characters of the ancients and trying to puzzle out their odd rhetoric and unfamiliar terms, Nelson came into the little compartment that had been set aside for him, and, laying a fatherly hand on his shoulder, asked, “How goes it, boy?”

“Why, well enough, sir, I suppose,” he answered, laying the book aside. “Some of it is not quite clear to me — not clear at all, to tell the truth.”

“That is to be expected,” the old man said equably. “I’ve let you struggle along by yourself at first in order that you may see the traps that native wit alone will fall into. Many of these things are not to be understood without instruction. What have you there?” He picked up the book and glanced at it. It was inscribed Basic Modern Physics. “So? This is one of the most valuable of the sacred writings, yet the uninitiate could not possibly make good use of it without help. The first thing that you must understand, my boy, is that our forefathers, for all their spiritual perfection, did not look at things in the fashion in which we do.

“They were incurable romantics, rather than rationalists, as we are, and the truths which they handed down to us, though strictly true, were frequently clothed in allegorical language. For example, have you come to the Law of Gravitation?”

“I read about it.”

“Did you understand it? No, I can see that you didn’t.”

“Well,” said Hugh defensively, “it didn’t seem to mean anything. It just sounded silly, if you will pardon me, sir.”

“That illustrates my point. You were thinking of it in literal terms, like the laws governing electrical devices found elsewhere in this same book. ‘Two bodies attract each other directly as   the product of their masses and inversely as the square of their distance.’ It sounds like a rule for simple physical facts, does it not? Yet it is nothing of the sort; it was the poetical way the old ones bad of expressing the rule of propinquity which governs the emotion of love. The bodies referred to are human bodies, mass is their capacity for love. Young people have a greater capacity for love than the elderly; when they are thrown together, they fall in love, yet when they are separated they soon get over it. ‘Out of sight, out of mind.’ It’s as simple as that. But you were seeking some deep meaning for it.”

Hugh grinned. “I never thought of looking at it that way. I can see that I am going to need a lot of help.” “Is there anything else bothering you just now?”

“Well, yes, lots of things, though I probably can’t remember them offhand. I mind one thing: Tell me, Father, can muties be considered as being people?”

“I can see you have been listening to idle talk. The answer to that is both yes and no. It is true that the niuties originally descended from people but they are no longer part of the Crew; they cannot now be considered as members of the human race, for they have flouted Jordan’s Law.

“This is a broad subject,” he went on, settling down to it. “There is even some question as to the original meaning of the word ‘mutie.’ Certainly they number among their ancestors the mutineers who escaped death at the time of the rebellion. But they also have in their blood the blood of many of the mutants who were born during the dark age. You understand, of

course, that during that period our present wise rule of inspecting each infant for the mark of sin and returning to the Converter any who are found to be mutations was not in force. There are strange and horrible things crawling through the dark passageways and lurking in the deserted levels.”

Hugh thought about it for a while, then asked, “Why is it that mutations still show up among us, the people?”

“That is simple. The seed of sin is still in us. From time to time it still shows up, incarnate. In destroying those monsters we help to cleanse the stock and thereby bring closer the culmination of Jordan’s Plan, the end of the Trip at our heavenly home, Far Centaurus.”

Hoyland’s brow wrinkled again. “That is another thing that I don’t understand. Many of these ancient writings speak of the Trip as if it were an actual moving, a going somewhere, as if the Ship itself were no more than a pushcart. How can that be?”

Nelson chuckled. “How can it, indeed? How can that move which is the background against which all else moves? The answer, of course, is plain. You have again mistaken allegorical language for the ordinary usage of everyday speech. Of course, the Ship is solid, immovable, in a physical sense. How can the whole universe move? Yet, it does move, in a spiritual sense. With every righteous act we move closer to the sublime destination of Jordan’s Plan.”

Hugh nodded. “I think I see.”

“Of course, it is conceivable that Jordan could have fashioned the world in some other shape than the Ship, had it suited His purpose. When man was younger and more poetical, holy men vied with one another in inventing fanciful worlds which Jordan might have created. One school invented an entire mythology of a topsy-turvy world of endless reaches of space, empty save for pinpoints of light and bodiless mythological monsters. They called it the heavenly world, or heaven, as if to contrast it with the solid reality of the Ship. They seemed never to tire of speculating about it, inventing details for it, and of outlining pictures of what they conceived it to be like. I suppose they did it to the greater glory of Jordan, and who is to say that He found their dreams unacceptable? But in this modern age we have more serious work to do.”

Hugh was not interested In astronomy. Even his untutored mind had been able to see in its wild extravagance an intention not literal. He turned to problems nearer at hand. “Since the muties are the seed of sin, why do we make no effort to wipe them out? Would not that be an act that would speed the Plan?”

The old man considered a while before replying. “That is a fair question and deserves a straight answer. Since you are to be a scientist you will need to know the answer. Look at it this way. There is a definite limit to the number of Crew the Ship can support. If our numbers increase without limit, there comes a time when there will not be good eating for all of us. Is it not better that some should die in brushes with the muties than that we should grow in numbers until we killed each other for food?.

“The ways of Jordan are inscrutable. Even the muties have a part in His Plan.” It seemed reasonable, but Hugh was not sure.

But when Hugh was transferred to active work as a junior scientist in the operation of the Ship’s functions, he found there were other opinions. As was customary, he put in a period serving the Converter. The work was not onerous; he had principally to check in the waste materials brought in by porters from each of the villages, keep books of their contributions, and make sure that no redemable metal was introduced into the first-stage hopper. But it brought him into contact with Bill Ertz, the Assistant Chief Engineer, a man not much older than himself.

He discussed with him the things he had learned from Nelson, and was shocked at Ertz’s attitude.

“Get this through your head, kid,” Ertz told him. “This is a practical job for practical men. Forget all that romantic nonsense. Jordan’s Plan! That stuff is all right to keep the peasants quiet and in their place, but don’t fall for it yourself. There is no Plan, other than our own plans for looking out for ourselves. The Ship has to have light and heat and power for cooking and irrigation. The Crew can’t get along without those things and that makes us boss of the Crew.

“As for this softheaded tolerance toward the muties, you’re going to see some changes made! Keep your mouth shut and string along with us.”

It impressed on him that he was expected to maintain a primary loyalty to the bloc of younger men among the scientists. They were a well-knit organization within an organization and   were made up of practical, hardheaded men who were working toward improvement of conditions throughout the Ship, as they saw them. They were well knit because an apprentice who failed to see things their way did not last long. Either he failed to measure up and soon found himself back in the ranks of the peasants, or, as was more likely, suffered some mishap   and wound up in the Converter.

And Hoyland began to see that they were right.

They were realists. The Ship was the Ship. It was a fact, requiring no explanation. As for Jordan, who had ever seen Him, spoken to Him? What was this nebulous Plan of His? The object of life was living. Aman was born, lived his life, and then went to the Converter. It was as simple as that, no mystery to it, no sublime Trip and no Centaurus. These romantic stories were simply hangovers from the childhood of the race before men gained the understanding and the courage to look facts in the face.

He ceased bothering his head about astronomy and mystical physics and all the other mass of mythology he bad been taught to revere. He was still amused, more or less, by the Lines from the Beginning and by all the old stories about Earth (what the Huff was ‘Earth,’ anyhow?) but now realized that such things could be taken seriously only by children and dullards.

Besides, there was work to do. The younger men, while still maintaining the nominal authority of their elders, had plans of their own, the first of which was a systematic extermination of  the muties. Beyond that, their intentions were still fluid, but they contemplated making full use of the resources of the Ship, including the upper levels. The young men were able to move ahead with their plans without an open breach with their elders because the older scientists simply did not bother to any great extent with the routine of the Ship. The present Captain had grown so fat that he rarely stirred from his cabin; his aide, one of the young men’s bloc, attended to affairs for him.

Hoyland never laid eyes on the Chief Engineer save once, when he showed up for the purely religious ceremony of manning landing stations.

The project of cleaning out the muties required reconnaissance of the upper levels to be done systematically. It was in carrying out such scouting that Hugh Hoyland was again ambushed by a mutie.

This mutie was more accurate with his slingshot. Hoyland’s companions, forced to retreat by superior numbers, left him for dead.

Joe-Jim Gregory was playing himself a game of checkers. Time was when they had played cards together, but Joe, the head on the right, had suspected Jim, the left-hand member of the team, of cheating. They had quarreled about it, then given it up, for they both learned early in their joint career that two heads on one pair of shoulders must necessarily find ways of    getting along together.

Checkers was better. They could both see the board, and disagreement was impossible.

Aloud metallic knocking at the door of the oompartment interrupted the game. Joe-Jim unsheathed his throwing knife and cradled it, ready for quick use. “Come in!” roared Jim.   The door opened, the one who had knocked backed into the room — the only safe way, as everyone knew, to enter Joe-Jim’s presence. The newcomer was squat and rugged and

powerful, not over four feet in height. The relaxed body of a man hung across one shoulder and was steadied by a hand.

Joe-Jim returned the knife to its sheath. “Put it down, Bobo,” Jim ordered. “And close the door,” added Joe. “Now what have we got here?”

It was a young man, apparently dead, though no wound appeared on him. Bobo patted a thigh. “Eat ‘im?” he said hopefully. Saliva spilled out of his still-opened lips. “Maybe,” temporized Jim. “Did you kill him?”

Bobo shook his undersized head.

“Good Bobo,” Joe approved. “Where did you hit him?”

“Bobo hit him there.” The microcephalic shoved a broad thumb against the supine figure in the area between the umbilicus and the breasthone. “Good shot,” Joe approved. “We couldn’t have done better with a knife.”

“Bobo good shot,” the dwarf agreed blandly. “Want see?” He twitched his slingshot invitingly.

“Shut up,” answered Joe, not unkindly. “No, we don’t want to see; we want to make him talk.” “Bobo fix,” the short one agreed, and started with simple brutality to carry out his purpose.

Joe-Jim slapped him away, and applied other methods, painful but considerably less drastic than those of the dwarf. The younger man jerked and opened his eyes. “Eat ‘im?” repeated Bobo.

“No,” said Joe. “When did you eat last?” inquired Jim.

Bobo shook his head and rubbed his stomach, indicating with graphic pantomime that it had been a long time, too long. Joe-Jim went over to a locker, opened it, and withdrew a haunch of meat. He held it up. Jim smelled it and Joe drew his head away in nose-wrinkling disgust Joe-Jim threw, it to Bobo, who snatched it happily out of the air. “Now, get out,” ordered Jim.

Bobo trotted away, closing the door behind him. JoeJim turned to the captive and prodded him with his foot. “Speak up,” said Jim. “Who the Huff are you?”

The young man shivered, put a hand to his head, then seemed suddenly to bring his surroundings into focus, for be scrambled to his feet, moving awkwardly. against the low weight conditions of this level, and reached for his knife.

It was not at his belt.

Joe-Jim had his own out and brandished it. “Be good and you won’t get hurt. What do they call you?” The young man wet his lips, and his eyes hurried about the room. “Speak up,” said Joe.

“Why bother with him?” inquired Jim. “I’d say he was only good for meat. Better call Bobo back.” “No hurry about that,” Joe answered. “I want to talk to him. What’s your name?”

The prisoner looked again at the kife and muttered, “Hugh Hoyland.”

“That doesn’t tell us much,” Jim commented. “What d’you do? What village do you come from? And what were you doing in mutie country?” But this time Hoyland was sullen. Even the prick of the knife against his ribs caused him only to bite his lips. “Shucks,” said Joe, “he’s only a stupid peasant. Let’s drop it.”

“Shall we finish him off?” “No. Not now. Shut him up.”

Joe-Jim opened the door of a small side compartment, and urged Hugh in with the knife. He then closed and fastened the door and went back to his game. “Your move, Jim.”

The compartment in which Hugh was locked was dark. He soon satisfied himself by touch that the smooth steel walls were entirely featureless save for the solid, securely fastened door. Presently he lay down on the deck and gave himself up to fruitless thinking.

He had plenty of time to think, time to fall asleep and awaken more than once. And time to grow very hungry and very, very thirsty.

When Joe-Jim next took sufficient interest in his prisoner to open the door of the cell, Hoyland was not immediately in evidence. He had planned many times what he would do when the door opened and his chance came, but when the event arrived, he was too weak, semi-comatose. Joe-Jim dragged him out. , The disturbance roused him to partial comprehension. He sat up and stared around him. “Ready to talk?” asked Jim. Hoyland opened his mouth but no words came out.

“Can’t you see he’s too dry to talk?” Joe told his twin. Then to Hugh: “Will you talk if we give you some water?” Hoyland looked puzzled, then nodded vigorously.

Joe-Jim returned in a moment with a mug of water. Hugh drank greedily, paused, and seemed about to faint. Joe-Jim took the mug from him. “That’s enough for now,” said Joe. “Tell us about yourself.”

Hugh did so. In detail, being prompted from time to time by questions from one of the twins, or a kick against his shin.

Hugh accepted a de facto condition of slavery with no particular resistance and no great disturbance of soul. The word ‘slave’ was not in his vocabulary, but the condition was a commonplace in everything he had ever known. There had always been those who gave orders and those who carried them out; he could imagine no other condition, no other type of social organization. It was a fact of life.

Though naturally he thought of escape.

Thinking about it was as far as he got. Joe-Jim guessed his thoughts and brought the matter out into the open. Joe told him, “Don’t go getting ideas, youngster. Without a knife you wouldn’t get three levels away in this part of the Ship. If you managed to steal a knife from me, you still wouldn’t make it down to high-weight. Besides, there’s Bobo.”

Hugh waited a moment, as was fitting, then said, “Bobo?”

Jim grinned and replied, “We told Bobo that you were his to butcher, if he liked, if you ever stuck your head out of our compartments without us. Now he sleeps outside the door and spends a lot of his time there.”

“It was only fair,” put in Joe. “He was disappointed when we decided to keep you.”

“Say,” suggested Jim, turning his bead toward his brother’s, “how about some fun?” He turned back to Hugh. “Can you throw a knife?” “Of course,” Hugh answered.

“Let’s see you. Here.” Joe-Jim handed him their own knife. Hugh accepted it, jiggling it in his band to try its balance. “Try my mark.”

Joe-Jim had a plastic target. set up at the far end of the room from his favorite chair, on which he was wont to practice his own skill. Hugh eyed it, and, with an arm motion too fast to follow, let fly. He used the economical underhand stroke, thumb on the blade, fingers together. The blade shivered in the target, well centered in the chewed-up area which marked Joe- Jim’s best efforts. “Good boy!” Joe approved. “What do you have in mind, Jim?”

“Let’s give him the knife and see how far he gets.” “No,” said Joe, “I don’t agree.”

“Why not?”

“If Bobo wins, we’re out one servant. If Hugh wins, we lose both Bobo and him. It’s wasteful.” “Oh, well, if you insist.”

“I do. Hugh, fetch the knife.”

Hugh did so. It had not occurred to him to turn the knife against Joe-Jim. The master was the master. For servant to attack master was not simply repugnant to good morals, it was an idea so wild that it did not occur to him at all.

Hugh had expected that Joe-Jim would be impressed by his learning as a scientist. It did not work out that way. Joe-Jim, especially Jim, loved to argue. They sucked Hugh dry in short order and figuratively cast him aside. Hoyland felt humiliated. After all, was he not a scientist? Could he not read and write?

“Shut up,” Jim told Hugh. “Reading is simple. I could do it before your father was born. D’you think you’re the first scientist that has served me? Scientists—bah! Apack of ignoramuses!”  In an attempt to re-establish his own intellectual conceit, Hugh expounded the theories of the younger scientists, the strictly matter-of-fact, hard-boiled realism which rejected all religious interpretation and took the Ship as it was. He confidently expected Joe-Jim to approve such a point of view; it seemed to fit their temperaments. They laughed in his face.

“Honest,” Jim insisted, when be bad ceased snorting, “are you young punks so stupid as all that? Why you’re worse than your elders.”

“But you just got through saying,” Hugh protested in hurt tones, “that all our accepted religious notions are so much bunk. That is just what my friends think. They want to junk all that old nonsense.”

Joe started to speak; Jim cut in ahead of him. “Why bother with him, Joe? He’s hopeless.”

“No, he’s not. I’m enjoying this. He’s the first one I’ve talked with in I don’t know how long who stood any chance at all of seeing the truth. Let us be — I want to see whether that’s a head he has on his shoulders, or just a place to hang his ears.”

“O.K.,” Jim agreed, “but keep it quiet. I’m going to take a nap.” The left-hand head closed its eyes, soon it was snoring. Joe and Hugh continued their discussion in whispers.

“The trouble with you youngsters,” Joe said, “is that if you can’t understand a thing right off, you think it can’t be true. The trouble with your elders is, anything they didn’t understand they reinterpreted to mean something else and then thought they understood it. None of you has tried believing clear words the way they were written and then tried to understand them on that basis. Oh, no, you’re all too bloody smart for that! If you can’t see it right off, it ain’t so; it must mean something different.”

“What do you mean?” Hugh asked suspiciously.

“Well, take the Trip, for instance. What does it mean to you?

“Well, to my mind, it doesn’t mean anything. It’s just a piece of nonsense to impress the peasants.” “And what is the accepted meaning?”

“Well, it’s where you go when you die, or rather what you do. You make the Trip to Centaurus.” “And what is Centaurus?”

“It’s — mind you, I’m just telling you the orthodox answers; I don’t really believe this stuff — it’s where you arrive when you’ve made the Trip, a place where everybody’s happy and there’s always good eating.” Joe snorted. Jim broke the rhythm of his snoring, opened one eye, and settled back again with a grunt.

“That’s just what I mean,” Joe went on in a lower whisper. “You don’t use your head. Did it over occur to you that the Trip was just what the old books said It was: the Ship and all the Crew actually going somewhere, moving?” Hoyland thought about it. “You don’t mean for me to take you seriously. Physically, it’s an impossibility. The Ship can’t go anywhere. It already is everywhere. We can make a trip through it, but the Trip, that has to have a spiritual meaning, if it has any.”

Joe called on Jordan to support him. “Now, listen,” he said, “get this through that thick head of yours. Imagine a place a lot bigger than the Ship, a lot bigger, with the Ship inside it, moving. D’you get it?”

Hugh tried. He tried very hard. He shook his bead. “It doesn’t make sense,” he said. “There can’t be anything bigger than the Ship. There wouldn’t be any place for it to be.” “Oh, for Huff’s sake! Listen. Outside the Ship, get that? Straight down beyond the level in every direction. Emptiness out there. Understand me?”

“But there isn’t anything below the lowest level. That’s why it’s the lowest level.”

“Look. If you took a knife and started digging a hole in the floor of the lowest level, where would it get you?” “But you can’t. It’s too hard.”

“But suppose you did and it made a hole. Where would that hole go? Imagine it.”

Hugh shut his eyes and tried to imagine digging a hole in the lowest level. Digging as if it were soft, soft as cheese. He began to get some glimmering of a possibility, a possibility that was unsettling, soul-shaking. He was falling, falling into a hole that he had dug which had no levels under it. He opened his eyes very quickly. “That’s awful!” he ejaculated. “I won’t believe it.”

Joe-Jim got up. “I’ll make you believe it,” he said grimly, “if I have to break your neck to do it.” He strode over to the outer door and opened it. “Bobo!” he shouted. “Bobo!”

Jim’s head snapped erect. “Wassa matter? Wha’s going on?” “We’re going to take Hugh to no-weight.”

“What for?”

“To pound some sense into his silly head.” “Some other time.”

“No, I want to do it now.”

“All right, all right. No need to shake. I’m awake now anyhow.”

Joe-Jim Gregory was almost as nearly unique in his — or their — mental ability as he was in his bodily construction. Under any circumstances he would have been a dominant personality; among the muties it was inevitable that he should bully them, order them about, and live on their services. Had he had the will-to-power, it is conceivable that he could have organized the muties to fight and overcome the Crew proper.

But he lacked that drive. He was by native temperament an intellectual, a bystander, an observer. He was interested in the ‘how’ and the ‘why,’ but his will to action was satisfied with comfort and convenience alone.

Had he been born two normal twins and among the Crew, it is likely that he would have drifted into scientisthood as the easiest and most satisfactory answer to the problem of living and as such would have entertained himself mildly with conversation and administration. As it was, he lacked mental companionship and had whiled away three generations reading and rereading books stolen for him by his stooges.

The two halves of his dual person had argued and discussed what they had read, and had almost inevitably arrived at a reasonably coherent theory of history and the physical world, except in one respect. The concept of fiction was entirely foreign to them; they treated the novels that had been provided for the Jordan expedition in exactly the same fashion that they did text and reference books.

This led to their one major difference of opinion. Jim regarded Allan Quartermain as the greatest man who had ever lived; Joe held out for John Henry.

They were both inordinately fond of poetry; they could recite page after page of Kipling, and were nearly as fond of Rhysling, the blind singer of the spaceways. Bobo backed in. Joe-Jim hooked a thumb toward Hugh. “Look,” said Joe, “he’s going out.”

“Now?” said Bobo happily, and grinned, slavering.

“You and your stomach!” Joe answered, rapping Bobo’s pate with his knuckles. “No, you don’t eat him. You and him, blood brothers. Get it?” “Not eat ‘im?”

“No. Fight for him. He fights for you.”

“O.K.” The pinhead shrugged his shoulders at the inevitable. “Blood brothers. Bobo know.”  “All right. Now we go up to the place-where-everybody-flies. You go ahead and make lookout.”

They climbed in single file, the dwarf running ahead to spot the lie of the land, Hoyland behind him, Joe-Jim bringing up the rear, Joe with eyes to the front, Jim watching their rear, head

turned over his shoulder.

Higher and higher they went, weight slipping imperceptibly from them with each successive deck. They emerged finally into a level beyond which there was no further progress, no opening above them. The deck curved gently, suggesting that the true shape of the space was a giant cylinder, but overhead a metallic expanse which exhibited a similar curvature obstructed the view and prevented one from seeing whether or not the deck in truth curved back on itself.

There were no proper bulkheads; great stanchions, so huge and squat as to give an impression of excessive, unnecessary strength, grew thickly about them, spacing deck and overhead evenly apart.

Weight was imperceptible. If one remained quietly in one place, the undetectable residuum of weight would bring the body in a gentle drift down to the ‘floor,’ but ‘up’ and ‘down’ were terms largely lacking in meaning. Hugh did not like it; it made him gulp, but Bobo seemed delighted by it and not unused to it. He moved through the air like an uncouth fish, banking off stanchion, floor plate, and overhead as suited his convenience.

Joe-Jim set a course parallel to the common axis of the inner and outer cylinders, following a passageway formed by the orderly spacing of the stanchions. There were handrails set along the passage, one of which he followed like a spider on its thread. He made remarkable speed, which Hugh floundered to maintain. In time, be caught the trick of the easy, effortless, overhand pull, the long coast against nothing but air resistance, and the occasional flick of the toes or the hand against the floor. But he was much too busy to tell how far they went before they stopped. Miles, he guessed it to be, but he did not know.

When they did stop, it was because the passage, had terminated. Asolid bulkhead, stretching away to right and left, barred their way. Joe-Jim moved along it to the right, searching.

He found what he sought, a man-sized door, closed, its presence distinguishable only by a faint crack which marked its outline and a cursive geometrical design on its surface. Joe-Jim studied this and scratched his right-hand head. The two heads whispered to each other. Joe-Jim raised his hand in an awkward gesture.

“No, no!” said Jim. Joe-Jim checked himself. “How’s that?” Joe answered. They whispered together again, Joe nodded, and Joe-Jim again raised his hand.

He traced the design on the door without touching It, moving his forefinger through the air perhaps four inches from the surface of the door. The order of succession in which his finger moved over the lines of the design appeared simple but certainly not obvious.

Finished, he shoved a palm against the adjacent bulkhead, drifted back from the door, and waited.

Amoment later there was a soft, almost inaudible insufflation; the door stirred and moved outward perhaps six inches, then stopped. Joe-Jim appeared puzzled. He ran his hands cautiously into the open crack and pulled. Nothing happened. He called to Bobo, “Open it.”

Bobo looked the situation over, with a scowl on his forehead which wrinkled almost to his crown. He then placed his feet against the bulkhead, steadying himself by grasping the door with one hand. He took hold of the edge of the door with both hands, settled his feet firmly, bowed his body, and strained.

He held his breath, chest rigid, back bent, sweat breaking out from the effort. The great cords in his neck stood out, making of his head a misshapen pyramid. Hugh could hear the dwarf’s joints crack. It was easy to believe that he would kill himself with the attempt, too stupid to give up.

But the door gave suddenly, with a plaint of binding metal. As the door, in swinging out, slipped from Bobo’s fingers, the unexpectedly released tension in his legs shoved him heavily away from the bulkhead; he plunged down the passageway, floundering for a handhold. But he was back in a moment, drifting awkwardly through the air as he massaged a cramped calf.

Joe-Jim led the way inside, Hugh close behind him. “What is this place?” demanded Hugh, his curiosity overcoming his servant manners. “The Main Control Room,” said Joe.

Main Control Room! The most sacred and taboo place in the Ship, its very location a forgotten mystery. In the credo of the young men it was nonexistent. The older scientists varied in their attitude between fundamentalist acceptance and mystical belief. As enlightened as Hugh believed himself to be, the very words frightened him. The Control Room! Why, the very spirit of Jordan was said to reside there. He stopped.

Joe-Jim stopped and Joe looked around. “Come on,” he said. “What’s the matter?” “Why, uh … uh …”

“Speak up.”

“But … but this place is haunted … this is Jordan’s…”

“Oh, for Jordan’s sake!” protested Joe, with slow exasperation. “I thought you told me you young punks didn’t take any stock in Jordan.” “Yes, but … but this is…”

“Stow it. Come along, or I’ll have Bobo drag you.” He turned away. Hugh followed, reluctantly, as a man climbs a scaffold. They threaded through a passageway just wide enough for two   to use the handrails abreast. The passage curved in a wide sweeping arc of full ninety degrees, then opened into the control room proper. Hugh peered past Joe-Jim’s broad shoulders, fearful but curious.

He stared into a well-lighted room, huge, quite two hundred feet across. It was spherical, the interior of a great globe. The surface of the globe was featureless, frosted silver. In the geometrical center of the sphere, Hugh saw a group of apparatus about fifteen feet across. To his inexperienced eye, it was completely unintelligible; he could not have described it, but he saw that it floated steadily, with no apparent support.

Running from the end of the passage to the mass at the center of the globe was a tube of metal latticework, wide as the passage itself. It offered the only exit from the passage. Joe-Jim turned to Bobo, and ordered him to remain in the passageway, then entered the tube.

He pulled himself along it, hand over hand, the bars of the latticework making a ladder. Hugh followed him; they emerged into the mass of apparatus occupying the center of the sphere. Seen close up, the gear of the control station resolved itself into its individual details, but it still made no sense to him. He glanced away from it to the inner surface of the globe which surrounded them.

That was a mistake. The surface of the globe, being featureless silvery white, had nothing to lend it perspective. It might have been a hundred feet away, or a thousand, or, many miles.   He had never experienced an unbroken height greater than that between two decks, nor an open space larger than the village common. He was panic-stricken, scared out of his wits, the more so in that he did not know what it was he feared. But the ghost of long-forgotten jungle ancestors possessed him and chilled his stomach with the basic primitive fear of falling.

He clutched at the control gear, clutched at Joe-Jim.

Joe-Jim let him have one, hard across the mouth with the flat of his hand. “What’s the matter with you?” growled Jim. “I don’t know,” Hugh presently managed to get out. “I don’t know, but I don’t like this place. Let’s get out of here!”

Jim lifted his eyebrows to Joe, looked disgusted, and said, “We might as well. That weak-bellied baby will never understand anything you tell him.” “Oh, he’ll be all right,” Joe replied, dismissing the matter. “Hugh, climb into one of the chairs; there, that one.”

In the meantime, Hugh’s eyes had fallen on the tube whereby they had reached the control center and had followed it back by eye to the passage door. The sphere suddenly shrank to its proper focus and the worst of his panic was over. He complied with the order, still trembling, but able to obey. The control center consisted of a rigid framework, made up of chairs, or frames, to receive the bodies of the operators, and consolidated instrument and report panels, mounted in such a fashion as to be almost in the laps of the operators, where they were readily visible but did not obstruct the view. The chairs had high supporting sides, or arms, and mounted in these aims were the controls appropriate to each officer on watch, but Hugh was not yet aware of that. He slid under the instrument panel into his seat and settled back, glad of its enfolding stability. It fitted him in a semi-reclining position, footrest to head support.

But something was happening on the panel in front of Joe-Jim; he caught it out of the corner of his eye and turned to look. Bright red letters glowed near the top of the board: 2ND ASTROGATOR POSTED. What was a second astrogator? He didn’t know; then he noticed that the extreme top of his own board was labeled 2ND ASTROGATOR and concluded it must be himself, or rather, the man who should be sitting there. He felt momentarily uncomfortable that the proper second astrogator might come in and find him usurping his post, but he put

it out of his mind; it seemed unlikely.

But what was a second astrogator, anyhow?

The letters faded from Joe-Jim’s board, a red dot appeared on the left-hand edge and remained. Joe-Jim did something with his right hand; his board reported: ACCELERATION: ZERO, then MAIN DRIVE. The last two words blinked several times, then were replaced with NO REPORT. These words faded out, and a bright green dot appeared near the right-hand edge.

“Get ready,” said Joe, looking toward Hugh; “the light is going out.” “You’re not going to turn out the light?” protested Hugh.

“No, you are. Take a look by your left hand. See those little white lights?”

Hugh did so, and found, shining up through the surface the chair arm, little beads of light arrayed to form two squares, one above the other. “Each one controls the light of one quadrant,” explained Joe. “Cover them with your hand to turn Out the light. Go ahead, do it.”

Reluctantly, but fascinated, Hugh did as he was directed. He placed a palm over the tiny lights, and waited. The silvery sphere turned to dull lead, faded still more, leaving them in darkness complete save for the silent glow from the instrument panels. Hugh felt nervous but exhilarated. He withdrew his palm; the sphere remained dark, the eight little lights had turned blue.

“Now,” said Joe, “I’m going to show you the Stars!”

In the darkness, Joe-Jim’s right hand slid over another pattern of eight lights. Creation.

Faithfully reproduced, shining as steady and serene from the walls of the stellarium as did their originals from the black deeps of space, the mirrored stars looked down on him. Light  after jeweled light, scattered in careless bountiful splendor across the simulacrum sky, the countless suns lay before him; before him, over him, under him, behind him, in every direction from him. He hung alone in the center of the stellar universe.

“Oooooh!” It was an involuntary sound, caused by his indrawn breath. He clutched the chair arms hard enough to break fingernails, but he was not aware of it. Nor was he afraid at the moment; there was room in his being for but one emotion. Life within the Ship, alternately harsh and workaday, had placed no strain on his innate capacity to experience beauty; for the first time in his life he knew the intolerable ecstasy of beauty unalloyed. It shook him and hurt him, like the first trembling intensity of sex.

It was some time before Hugh sufficiently recovered from the shock and the ensuing intense preoccupation to be able to notice Jim’s sardonic laugh, Joe’s dry chuckle. “Had enough?” inquired Joe. Without waiting for a reply, Joe-Jim turned the lights back on, using the duplicate controls mounted in the left arm of his chair.

Hugh sighed. His chest ached and his heart pounded. He realized suddenly that he had been holding his breath the entire time that the lights had been turned out. “Well, smart boy,” asked Jim, “are you convinced?”

Hugh sighed again, not knowing why. With the lights back on, he felt safe and snug again, but was possessed of a deep sense of personal loss. He knew, subconsciously, that, having seen the stars, he would never be happy again. The dull ache in his breast, the vague inchoate yearning for his lost heritage of open sky and stars, was never to be silenced, even though he was yet too ignorant to be aware of it at the top of his mind. “What was it?” he asked in a hushed voice.

“That’s,” answered Joe. “That’s the world. That’s the universe. That’s what we’ve been trying to tell you about.”

Hugh tried furiously to force his inexperienced mind to comprehend. “That’s what you mean by Outside?” he asked. “All those beautiful little lights?” “Sure,” said Joe, “only they aren’t little. They’re a long way off, you see; maybe thousands of miles.”

“What?”

“Sure, sure,” Joe persisted. “There’s lots of room out there. Space. It’s big. Why, some of those stars may be as big as the Ship, maybe bigger.” Hugh’s face was a pitiful study in overstrained imagination. “Bigger than the Ship?” he repeated. “But … but …”

Jim tossed his head impatiently and said to Joe, “Wha’d’ I tell you? You’re wasting our time on this lunk. He hasn’t got the capacity.”

“Easy, Jim,” Joe answered mildly; “don’t expect him to run before he can crawl. It took us a long time. I seem to remember that you were a little slow to believe your own eyes.” “That’s a lie,” said Jim nastily. “You were the one that had to be convinced.”

“O.K., O.K.,” Joe conceded, “let it ride. But it was a long time before we both had it all straight.”

Hoyland paid little attention to the exchange between the two brothers. It was a usual thing; his attention was centered on matters decidedly not usual. “Joe,” he asked, “what became of the Ship while we were looking at the Stars? Did we stare right through it?”

“Not exactly,” Joe told him. “You weren’t looking directly at the stars at all, but at a kind of picture of them. It’s like… Well, they do it with mirrors, sort of. I’ve got a book that tells about it.” “But you can see ‘em directly,” volunteered Jim, his momentary pique forgotten. “There’s a compartment forward of here…”

“Oh, yes,” put in Joe, “it slipped my mind. The Captain’s veranda. He’s got one all of glass; you can look right out.” “The Captain’s veranda? But—”

“Not this Captain. He’s never been near the place. That’s the name over the door of the compartment.” “What’s a ‘veranda’?”

“Blessed if I know. It’s just the name of the place.” “Will you take me up there?”

Joe appeared to be about to agree, but Jim cut in. “Some other time. I want to get back; I’m hungry.” They passed back through the tube, woke up Bobo, and made the long trip back down.

It was long before Hugh could persuade Joe-Jim to take him exploring again, but the time intervening was well spent. Joe-Jim turned him loose on the largest collection of books that Hugh had ever seen. Some of them were copies of books Hugh had seen before, but even these he read with new meanings. He read incessantly, his mind soaking up new ideas, stumbling over them, struggling, striving to grasp them. He begrudged sleep, he forgot to eat until his breath grew sour and compelling pain in his midriff forced him to pay attention to his body. Hunger satisfied, he would be back at it until his head ached and his eyes refused to focus.

Joe-Jim’s demands for service were few. Although Hugh was never off duty, Joe-Jim did not mind his reading as long as he was within earshot and ready to jump when called. Playing checkers with one of the pair when the other did not care to play was the service which used up the most time, and even this was not a total loss, for, if the player were Joe, he could almost always be diverted into a discussion of the Ship, its history, its machinery as equpment, the sort of people who had built it and then manned it and their history, back on Earth, Earth the incredible, that strange place where people had lived on the outside instead of the inside.

Hugh wondered why they did not fall off.

He took the matter up with Joe and at last gained some notion of gravitation. He never really understood it emotionally; it was too wildly improbable; but as an intellectual concept he was able to accept it and use it, much later, in his first vague glimmerings of the science of ballistics: and the art of astrogation and ship maneuvering. And it led in time to his wondering    about weight in the Ship, a matter that had never bothered him before. The lower the level the greater the weight had been to his mind simply the order of nature, and nothing to wonder    at. He was familiar with centrifugal force as it applied to slingshots. To apply it also to the whole Ship, to think of the Ship as spinning like a slingshot and thereby causing weight, was too much of a hurdle; he never really believed it.

Joe-Jim took him back once more to the Control Room and showed him what little Joe-Jim knew about the manipulation of the controls and the reading of the astrogation instruments.

The long-forgotten engineer-designers employed by the Jordan Foundation had been instructed to design a ship that would not — could not — wear out, even though the Trip were protracted beyond the expected sixty years. They builded better than they knew. In planning the main drive engines and the auxiliary machinery, largely automatic, which would make the Ship habitable, and in designing the controls necessary to handle all machinery not entirely automatic, the very idea of moving parts had been rejected. The engines and auxiliary equipment worked on a level below mechanical motion, on a level of pure force, as electrical transformers do. Instead of push buttons, levers, cams, and shafts, the controls and the machinery they served were planned in terms of balance between static fields, bias of electronic flow, circuits broken or closed by a hand placed over a light.

On this level of action, friction lost its meaning, wear and erosion took no toll. Had all hands been killed in the mutiny, the Ship would still have plunged on through space, still lighted, its air still fresh and moist, its engines ready and waiting. As it was, though elevators and conveyor belts fell into disrepair, disuse, and finally into the oblivion of forgotten function, the essential machinery of the Ship continued its automatic service to its ignorant human freight, or waited, quiet and ready, for someone bright enough to puzzle out its key.

Genius had gone into the building of the Ship. Far too huge to be assembled on Earth, it had been put together piece by piece in its own orbit out beyond the Moon. There it had swung for fifteen silent years while the problems presented by the decision to make its machinery foolproof and enduring had been formulated and solved. Awhole new field of submolar action    had been conceived in the process, struggled with, and conquered.

So, when Hugh placed an untutored, questing hand over the first of a row of lights marked ACCELERATION, POSITIVE, he got an immediate response, though not in terms of acceleration. Ared light at the top of the chief pilot’s board blinked rapidly and the annunciator panel glowed with a message: MAIN ENGINES: NOT MANNED.

“What does that mean?” he asked Joe-Jim.

“There’s no telling,” said Jim. “We’ve done the same thing in the main engine room,” added Joe. “There, when you try it, it says ‘Control Room Not Manned.’” Hugh thought a moment. “What would happen,” he persisted, “if all the control stations had somebody at ‘em at once, and then I did that?”

“Can’t say,” said Joe. “Never been able to try it.”

Hugh said nothing. Aresolve which had been growing, formless, in his mind was now crystalizing into decision. He was busy with it for some time, weighing it, refining it, and looking for the right moment to bring it into the open.

He waited until he found Joe-Jim in a mellow mood, both of him, before broaching his idea. They were in the Captain’s veranda at the time Hugh decided the moment was due. Joe-Jim rested gently in the Captain’s easy chair, his belly full of food, and gazed out through the heavy glass of the view port at the serene stars. Hugh floated beside him. The spinning of the Ship caused the stars to cross the circle of the port in barely perceptible arcs.

Presently he said, “Joe-Jim …”

“Eh? What’s that, youngster?” It was Joe who had replied. “It’s pretty swell, isn’t it?”

“What is?”

“All that. The stars.” Hugh indicated the view through the port with a sweep of his arm, then caught at the chair to stop his own backspin. “Yeah, it sure is. Makes you feel good.” Surprisingly, it was Jim who offered this.

Hugh knew the time was right. He waited a moment, then said, “Why don’t we finish the job?” Two heads turned simultaneously, Joe leaning out a little to see past Jim. “What job?”

“The Trip. Why don’t we start up the main drive and go on with it? Somewhere out there,” be said hurriedly to finish before he was interrupted, “there are planets like Earth, or so the First Crew thought. Let’s go find them.”

Jim looked at him, then laughed. Joe shook his head.

“Kid,” he said, “you don’t know what you are talking about. You’re as balmy as Bobo. “No,” he went on, “that’s all over and done with. Forget it.” “Why is it over and done with, Joe?”

“Well, because. It’s too big a job. It takes a crew that understands what it’s all about, trained to operate the Ship.”

“Does it take so many? You have shown me only about a dozen places, all told, for men actually to be at the controls. Couldn’t a dozen men run the Ship … if they knew what you know,” he added slyly.

Jim chuckled. “He’s got you, Joe. He’s right”

Joe brushed it aside. “You overrate our knowledge. Maybe we could operate the Ship, but we wouldn’t get anywhere. We don’t know where we are. The Ship has been drifting for I don’t know how many generations. We don’t know where we’re headed, or how fast we’re going.”

“But look,” Hugh pleaded, “there are instruments. You showed them to me. Couldn’t we learn how to use them? Couldn’t you figure them out, Joe, if you really wanted to?” “Oh, I suppose so,” Jim agreed.

“Don’t boast, Jim,” said Joe.

“I’m not boasting,” snapped Jim. “If a thing’ll work, I can figure it out.”

“Humph!” said Joe. The matter rested in delicate balance. Hugh had got them disagreeing among themselves — which was what he wanted — with the less tractable of the pair on his side. Now, to consolidate his gain, “I had an idea,” he said quickly, “to get you men to work with, Jim, if you were able to train them.”

“What’s your idea?” demanded Jim suspiciously. “Well, you remember what I told you about a bunch of the younger scientists?” “Those fools!”

“Yes, yes, sure; but they didn’t know what you know. In their way they were trying to be reasonable. Now, if I could go back down and tell them what you’ve taught me, I could get you enough men to work with.”

Joe cut in. “Take a good look at us, Hugh. What do you see?” “Why … why, I see you. Joe-Jim.”

“You see a mutie,” corrected Joe, his voice edged with sarcasm. “We’re a mutie. Get that? Your scientists won’t work with us.”

“No, no,” protested Hugh, “that’s not true. I’m not talking about peasants. Peasants wouldn’t understand, but these are scientists, and the smartest of the lot. They’ll understand. All you need to do is to arrange safe conduct for them through mutie country. You can do that, can’t you?” he added, instinctively shifting the point of the argument to firmer ground.

“Why, sure,” said Jim. “Forget it,” said Joe.

“Well, O.K.,” Hugh agreed, sensing that Joe really was annoyed at his persistence, “but it would be fun.” He withdrew some distance from the brothers.

He could hear Joe-Jim continuing the discussion with himself in low tones. He pretended to ignore it. Joe-Jim had this essential defect in his joint nature: being a committee, rather than  a single individual, he was hardly fitted to be a man of action, since all decisions were necessarily the result of discussion and compromise. Several moments later Hugh heard Joe’s

voice raised. “All right, all right, have it your own way!” He then called out, “Hugh! Come here!” Hugh kicked himself away from an adjacent bulkhead and shot over to the immediate vicinity of Joe-Jim, arresting his flight with both hands against the framework of the Captain’s chair.

“We’ve decided,” said Joe without preliminaries, “to let you go back down to the high-weight and try to peddle your goods. But you’re a fool,” he added sourly.

Bobo escorted Hugh down through the dangers of the levels frequented by muties and left him in the uninhabited zone above high-weight “Thanks, Bobo,” Hugh said in parting. “Good eating.” The dwarf grinned, ducked his head, and sped away, swarming up the ladder they had just descended. Hugh turned and started down, touching his knife as he did so. It was good to feel it against him again.

Not that it was his original knife. That had been Bobo’s prize when he was captured, and Bobo had been unable to return it, having inadvertently left it sticking in a big one that got away. But the replacement Joe-Jim had given him was well balanced and quite satisfactory.

Bobo had conducted him, at Hugh’s request and by Joe-Jim’s order, down to the area directly over the auxiliary Converter used by the scientists. He wanted to find Bill Ertz, Assistant  Chief Engineer and leader of the bloc of younger scientists, and he did not want to have to answer too many questions before he found him. Hugh dropped quickly down the remaining levels and found himself in a main passageway which he recognized. Good! Aturn to the left, a couple of hundred yards walk and he found himself at the door of the compartment which housed the Converter. Aguard lounged in front of it. Hugh started to push on past, was stopped. “Where do you think you’re going?”

“I want to find Bill Ertz.”

“You mean the Chief Engineer? Well, he’s not here.”

“Chief? What’s happened to the old one?” Hoyland regretted the remark at once, but it was already out.

“Huh? The old Chief? Why, he’s made the Trip long since.” The guard looked at him suspiciously. “What’s wrong with you?” “Nothing,” denied Hugh. “Just a slip.”

“Funny sort of a slip. Well, you’ll find Chief Ertz around his office probably.” “Thanks. Good eating.”

“Good eating.”

Hugh was admitted to see Ertz after a short wait Ertz looked up from his desk as Hugh came in. “Well,” he said, “so you’re back, and not dead after all. This is a surprise. We had written you off, you know, as making the Trip.”

“Yes, I suppose so.”

“Well, sit down and tell me about it; I’ve a little time to spare at the moment. Do you know, though, I wouldn’t have recognized you. You’ve changed a lot, all that gray hair. I imagine you had some pretty tough times.”

Gray hair? Was his hair gray? And Ertz had changed a lot, too, Hugh now noticed. He was paunchy and the lines in his face had set. Good Jordan! How long had he been gone? Ertz drummed on his desk top, and pursed his lips. “It makes a problem, your coming back like this. I’m afraid I can’t just assign you to your old job; Mort Tyler has that. But we’ll find a place for you, suitable to your rank.”

Hugh recalled Mort Tyler and not too favorably. Aprecious sort of a chap, always concerned with what was proper and according to regulations. So Tyler had actually made scientisthood, and was on Hugh’s old job at the Converter. Well, it didn’t matter. “That’s all right, he began. “I wanted to talk to you about—”

“Of course, there’s the matter of seniority,” Ertz went on, “Perhaps the Council had better consider the matter. I don’t know of a precedent. We’ve lost a number of scientists to the muties in the past, but you are the first to escape with his life in my memory.”

“That doesn’t matter,” Hugh broke in. “I’ve something much more pressing to talk about. While I was away I found out some amazing things, Bill, things that it is of paramount importance for you to know about. That’s why I came straight to you. Listen. I—”

Ertz was suddenly alert. “Of course you have! I must be slowing down. You must have had a marvelous opportunity to study the muties and scout out their territory. Come on, man, spill it! Give me your report.”

Hugh wet his lips. “It’s not what you think,” he said. “It’s much more important than just a report on the muties, though it concerns them, too. In fact, we may have to change our whole policy with respect to the mu—”

“Well, go ahead, go ahead! I’m listening.”

“All right.” Hugh told him of his tremendous discovery as to the actual nature of the Ship, choosing his words carefully and trying very hard to be convincing. He dwelt lightly on the difficulties presented by an attempt to reorganize the Ship in accordance with the new concept and bore down heavily on the prestige and honor that would accrue to the man who led the effort.

He watched Ertz’s face as he talked. After the first start of complete surprise when Hugh launched his key idea, the fact that the Ship was actually a moving body in a great outside space, his face became impassive and Hugh could read nothing in it, except that he seemed to detect a keener interest when Hugh spoke of how Ertz was just the man for the job because of  his leadership of the younger, more progressive scientists.

When Hugh concluded, he waited for Ertz’s response. Ertz said nothing at first, simply continued with his annoying habit of drumming on the top of his desk. Finally he said, “These are important matters, Hoyland, much too important to be dealt with casually. I must have time to chew it over.”

“Yes, certainly,” Hugh agreed. “I wanted to add that I’ve made arrangements for safe passage up to no-weight. I can take you up and let you see for yourself.” “No doubt that is best,” Ertz replied. “Well, are you hungry?”

“No.”

“Then we’ll both sleep on it. You can use the compartment at the back of my office. I don’t want you discussing this with anyone else until I’ve had time to think about it; it might cause unrest if it got out without proper prepartion.”

“Yes, you’re right”

“Very well, then.” Ertz ushered him into a compartment behind his office which he very evidently used for a lounge. “Have a good rest,” he said, “and we’ll talk later.” “Thanks,” Hugh acknowledged. “Good eating.”

“Good eating.”

Once he was alone, Hugh’s excitement gradually dropped away from him, and he realized that he was fagged out and very sleepy. He stretched out on a builtin couch and fell asleep. When he awoke he discovered that the only door to the compartment was barred from the other side. Worse than that, his knife was gone.

He had waited an indefinitely long time when he heard activity at the door. It opened; two husky, unsmiling men entered. “Come along,” said one of them. He sized them up, noting that neither of them carried a knife. No chance to snatch one from their belts, then. On the other hand he might be able to break away from them.

But beyond them, a wary distance away in the outer room, were two other equally formidable men, each armed with a knife. One balanced his for throwing; the other held his by the grip, ready to stab at close quarters. He was boxed in and be knew it. They had anticipated his possible moves.

He had long since learned to relax before the inevitable. He composed his face and marched quietly out. Once through the door he saw Ertz, waiting and quite evidently in charge of the party of men. He spoke to him, being careful to keep his voice calm. “Hello, Bill. Pretty extensive preparations you’ve made. Some trouble, maybe?”

Ertz seemed momentarily uncertain of his answer, then said, “You’re going before the Captain.”

“Good!” Hugh answered. “Thanks, Bill. But do you think it’s wise to try to sell the idea to him without laying a little preliminary foundation with the others?”

Ertz was annoyed at his apparent thickheadedness and showed it. “You don’t get the idea,” he growled. “You’re going before the Captain to stand trial for heresy!”

Hugh considered this as if the idea had not before occurred to him. He answered mildly, “You’re off down the wrong passage, Bill. Perhaps a charge and trial is the best way to get at the matter, but I’m not a peasant, simply to be hustled before the Captain. I must be tried by the Council. I am a scientist.”

“Are you now?” Ertz said softly. “I’ve had advice about that. You were written off the lists. Just what you are is a matter for the Captain to determine.”

Hugh held his peace. It was against him, he could see, and there was no point in antagonizing Ertz. Ertz made a signal; the two unarmed men each grasped one of Hugh’s arms. He went with them quietly.

Hugh looked at the Captain with new interest. The old man had not changed much, a little fatter, perhaps. The Captain settled himself slowly down in his chair, and picked up the memorandum before him. “What’s this all about?” he began irritably. “I don’t understand it.”

Mort Tyler was there to present the case against Hugh, a circumstance which Hugh had had no way of anticipating and which added to his misgivings. He searched his boyhood recollections for some handle by which to reach the man’s sympathy, found none. Tyler cleared his throat and commenced: “This is the case of one Hugh Hoyland, Captain, formerly one of your junior scientists—”

“Scientist, eh? Why doesn’t the Council deal with him?”

“Because he is no longer a scientist, Captain. He went over to the muties. He now returns among us, preaching heresy and seeking to undermine your authority.” The Captain looked at Hugh with the ready belligerency of a man jealous of his prerogatives. “Is that so?” he bellowed. “What have you to say for yourself?”

“It is not true, Captain,” Hugh answered. “All that I have said to anyone has been an affirmation of the absolute truth of our ancient knowledge. I have not disputed the truths under which we live; I have simply affirmed them more forcibly than is the ordinary custom. I—”

“I still don’t understand this,” the Captain interrupted, shaking his head. “You’re charged with heresy, yet you say you believe the Teachings. If you aren’t guilty, why are you here?” “Perhaps I can clear the matter up,” put in Ertz. “Hoyland—”

“Well, I hope you can,” the Captain went on. “Come, let’s hear it.”

Ertz proceeded to give a reasonably correct, but slanted, version of Hoyland’s return and his strange story. The Captain listened, with an expression that varied between puzzlement and annoyance. When Ertz had concluded, the Captain turned to Hugh. “Humph!” he said.

Hugh spoke immediately. “The gist of my contention, Captain, is that there is a place up at no-weight where you can actually see the truth of our faith that the Ship is moving, where you can actually see Jordan’s Plan in operation. That is not a denial of faith; that affirms it. There is no need to take my word for it. Jordan Himself will prove it.”

Seeing that the Captain appeared to be in a state of indecision, Tyler broke in: “Captain, there is a possible explanation of this incredible situation which I feel duty bound that you should hear. Offhand, there are two obvious interpretations of Hoyland’s ridiculous story He may simply be guilty of extreme heresy, or he may be a mutie at heart and engaged in a scheme to lure you into their hands. But there is a third, more charitable explanation and one which I feel within me is probably the true one.

“There is record that Hoyland was seriously considered for the Converter at his birth inspection, but that his deviation from normal was slight, being simply an overlarge head, and he   was passed. It seems to me that the terrible experiences he has undergone at the hands of the muties have finally unhinged an unstable mind. The poor chap is simply not responsible for his own actions.”

Hugh looked at Tyler with new respect. To absolve him of guilt and at the same time to make absolutely certain that Hugh would wind up making the Trip: how neat! The Captain shook a palm at them. “This has gone on long enough.” Then, turning to Ertz, “Is there recommendation?”

“Yes, Captain. The Converter.”

“Very well, then. I really don’t see, Ertz,” he continued testily, “why I should be bothered with these details. It seems to me that you should be able to handle discipline in your department without my help.”

“Yes, Captain.”

The Captain shoved back from his desk, started to get up. “Recommendation confirmed. Dismissed.”

Anger flooded through Hugh at the unreasonable injustice of it. They had not even considered looking at the only real evidence he had in his defense. He heard a shout: “Wait!” — then discovered it was his own voice. The Captain paused, looking at him.

“Wait a moment,” Hugh went on, his words spilling out of their own accord. “This won’t make any difference, for you’re all so damn sure you know all the answers that you won’t consider  a fair offer to come see with your own eyes. Nevertheless … Nevertheless, it still moves!”

Hugh had plenty of time to think, lying in the compartment where they confined him to await the power needs of the Converter, time to think, and to second-guess his mistakes. Telling his tale to Ertz immediately, that had been mistake number one. He should have waited, become reacquainted with the man and felt him out, instead of depending on a friendship which had never been very close.

Second mistake, Mort Tyler. When he heard his name he should have investigated and found out just how much influence the man had with Ertz. He had known him of old, he should have known better.

Well, here he was, condemned as a mutant, or maybe as a heretic. It came to the same thing. He considered whether or not he should have tried to explain why mutants happened. He had learned about it himself in some of the old records in Joe-Jim’s possession. No, it wouldn’t wash. How could you explain about radiations from the Outside causing the birth of mutants when the listeners did not believe there was such a place as Outside? No, he had messed it up before he was ever taken before the Captain.

His self-recriminations were disturbed at last by the sound of his door being unfastened. It was too soon for another of the infrequent meals; he thought that they had come at last to take him away, and renewed his resolve to take someone with him.

But he was mistaken. He heard a voice of gentle dignity: “Son, son, how does this happen?” It was Lieutenant Nelson, his first teacher, looking older than ever and frail.

The interview was distressing for both of them. The old man, childless himself, had cherished great hopes for his protege, even the ambition that he might eventually aspire to the captaincy, though he had kept his vicarious ambition to himself, believing it not good for the young to praise them too highly. It had hurt his heart when the youth was lost.

Now he had returned, a man, but under disgraceful conditions and under sentence of death. The meeting was no less unhappy for Hugh. He had loved the old man, in his way, wanted to please him and needed his approval. But he could see, as he told his story, that Nelson was not capable of treating the the story as anything but an aberration of Hugh’s mind, and he suspected that Nelson would rather see him meet a quick death in the Converter, his atoms smashed to hydrogen and giving up clean useful power, than have him live to make a mock   of the ancient teachings.

In that.he did the old man an injustice; he underrated Nelson’s mercy, but not his devotion to ‘science.’ But let it be said for Hugh that, had there been no more at issue than his own personal welfare, he might have preferred death to breaking the heart of his benefactor, being a romantic and more than a bit foolish. Presently the old man got up to leave, the visit having grown unendurable to each of them. “Is there anything I can do for you, son? Do they feed you well enough?”

“Quite well, thanks,” Hugh lied. “Is there anything else?”

“No … yes, you might send me some tobacco. I haven’t had a chew in a long time.”

“I’ll take care of it. Is there anyone you would like to see?”

“Why, I was under the impression that I was not permitted visitors … ordinary visitors.”

“You are right, but I think perhaps I may be able to get the rule relaxed. But you will have to give me your promise not to speak of your heresy,” he added anxiously. Hugh thought quickly. This was a new aspect, a new possibility. His uncle? No, while they had always got along well, their minds did not meet; they would greet each other as strangers. He had never made friends easily; Ertz had been his obvious next friend and now look at the damned thing! Then he recalled his village chum, Alan Mahoney, with whom he had played as a boy. True, he had seen practically nothing of him since the time he was apprenticed to Nelson. Still… “Does Alan Mahoney still live in our village?”

“Why, yes.”

“I’d like to see him, if he’ll come.”

Alan arrived, nervous, ill at ease, but plainly glad to see Hugh and very much upset to find him under sentence to make the Trip. Hugh pounded him on the back. “Good boy,” he said. “I knew you would come.”

“Of course, I would,” protested Alan, “once I knew. But nobody in the village knew it. I don’t think even the Witnesses knew it.” “Well, you’re here, that’s what matters. Tell me about yourself. Have you married?”

“Huh, uh, no. Let’s not waste time talking about me. Nothing ever happens to me anyhow. How in Jordan’s name did you get in this jam, Hugh?” “I can’t talk about that, Alan. I promised Lieutenant Nelson that I wouldn’t.”

“Well, what’s a promise, that kind of a promise? You’re in a jam, fellow.” “Don’t I know it!”

“Somebody have it in for you?”

“Well, our old pal Mort Tyler didn’t help any; I think I can say that much.” Alan whistled and nodded his head slowly. “That explains a lot.”    “How come? You know something?”

“Maybe, — maybe not. After you went away he married Edris Baxter.”

“So? Hmm-m-m … yes, that clears up a lot.” He remained silent for a time.

Presently Alan spoke up: “Look, Hugh. You’re not going to sit here and take it, are you? Particularly with Tyler mixed in it. We gotta get you outa here.” “How?”

“I don’t know. Pull a raid, maybe. I guess I could get a few knives to rally round and help us; all good boys, spoiling for a fight.” “Then, when it’s over, we’d all be for the Converter. You, me, and your pals. No, it won’t wash.”

“But we’ve got to do something. We can’t just sit here and wait for them to burn you.”

“I know that.” Hugh studied Alan’s face. Was it a fair thing to ask? He went on, reassured by what he had seen. “Listen. You would do anything you could to get me out of this, wouldn’t you?”

“You know that.” Alan’s tone showed hurt.

“Very well, then. There is a dwarf named Bobo. I’ll tell you how to find him…”

Alan climbed, up and up, higher than he had ever been since Hugh had led him, as a boy, into foolhardy peril. He was older now, more conservative; he had no stomach for it. To the very real danger of leaving the well-traveled lower levels was added his superstitious ignorance. But still he climbed.

This should be about the place, unless he had lost count. But he saw nothing of the dwarf Bobo saw him first. Aslingshot load caught Alan in the pit of the stomach, even as he was shouting, “Bobo!”

Bobo backed into Joe-Jim’s compartment and dumped his load at the feet of the twins. “Fresh meat,” he said proudly. “So it is,” agreed Jim indifferently. “Well, it’s yours; take it away.”

The dwarf dug a thumb into a twisted ear, “Funny,” he said, “he knows Bobo’s name.”

Joe looked up from the book he was reading: _Browning’s Collected Poems_, L-Press, New York, London, Luna City, cr. 35. “That’s interesting. Hold on a moment.”

Hugh had prepared Alan for the shock of Joe-Jim’s appearance. In reasonably short order he collected his wits sufficiently to be able to tell his tale. Joe-Jim listened to it without much comment, Bobo with interest but little comprehension.

When Alan concluded, Jim remarked, “Well, you win, Joe. He didn’t make it.” Then, turning to Alan, he added, “You can take Hoyland’s place. Can you play checkers?” Alan looked from one head to the other. “But you don’t understand,” he said. “Aren’t you going to do anything about it?”

Joe looked puzzled. “Us? Why should we?”

“But you’ve got to. Don’t you see? He’s depending on you. There’s nobody else he can look to. That’s why I came. Don’t you see?”

“Wait a moment,” drawled Jim, “wait a moment. Keep your belt on. Supposing we did want to help him, which we don’t, how in Jordan’s Ship could we? Answer me that.” “Why, why,” Alan stumbled in the face of such stupidity. “Why, get up a rescue party, of course, and go down and get him out!”

“Why should we get ourselves killed in a fight to rescue your friend?” Bobo pricked his ears. “Fight?” he inquired eagerly. “No, Bobo,” Joe denied. “No fight. Just talk.” “Oh,” said Bobo and returned to passivity.

Alan looked at the dwarf. “If you’d even let Bobo and me—”

“No,” Joe said shortly. “It’s out of the question. Shut up about it.”

Alan sat in a corner, hugging his knees in despair. If only he could get out of there. He could still try to stir up some help down below. The dwarf seemed to be asleep, though it was difficult to be sure with him. If only Joe-Jim would sleep, too.

Joe-Jim showed no indication of sleepiness. Joe tried to continue reading, but Jim interrupted him from time to time. Alan could not hear what they were saying. Presently Joe raised his voice. “Is that your idea of fun?” he demanded.

“Well,” said Jim, “it beats checkers.”

“It does, does it? Suppose you get a knife in your eye; where would I be then?” “You’re getting old, Joe. No juice in you any more.”

“You’re as old as I am.”

“Yeah, but I got young ideas.”

“Oh, you make me sick. Have it your own way, but don’t blame me. Bobo!” The dwarf sprang up at once, alert. “Yeah, Boss.”

“Go out and dig up Squatty and Long Arm and Pig.”

Joe-Jim-got up, went to a locker, and started pulling knives out of their racks.

Hugh heard the commotion in the passageway outside his prison. It could be the guards coming to take him to the Converter, though they probably wouldn’t be so noisy. Or it could be just some excitement unrelated to him. On the other hand it might be …

It was. The door burst open, and Alan was inside, shouting at him and thrusting a brace of knives into his hands. He was hurried out of the door, while stuffing the knives in his belt and accepting two more.

Outside he saw Joe-Jim, who did not see him at once, as he was methodically letting fly, as calmly as if he had been engaging in target practice in his own study. And Bobo, who ducked his head and grinned with a mouth widened by a bleeding cut, but continued the easy flow of the motion whereby he loaded and let fly. There were three others, two of whom Hugh recognized as belonging to Joe-Jim’s privately owned gang of bullies, muties by definition and birthplace; they were not deformed.

The count does not include still forms on the floor plates.

“Come on!” yelled Alan. “There’ll be more in no time.” He hurried down the passage to the right

Joe-Jim desisted and followed him. Hugh let one blade go for luck at a figure running away to the left. The target was poor, and he had no time to see if he had thrown 01000. They scrambled along the passage, Bobo bringing up the rear, as if reluctant to leave the fun, and came to a point where a side passage crossed the main one.

Alan led them to the right again. “Stairs ahead,” he shouted.

They did not reach them. An airtight door, rarely used, clanged in their faces ten yards short of the stairs. Joe-Jim’s bravoes checked their flight and they looked doubtfully at their master. Bobo broke his thickened nails trying to get a purchase on the door.

The sounds of pursuit were clear behind them. “Boxed in,” said Joe softly. “I hope you like it, Jim.”

Hugh saw a head appear around the corner of the passage they had quitted. He threw overhand but the distance was too great; the knife clanged harmlessly against steel. The head disappeared. Long Arm kept his eye on the spot, his sling loaded and ready.

Hugh grabbed Bobo’s shoulder. “Listen! Do you see that light?”

The dwarf blinked stupidly. Hugh pointed to the intersection of the glowtubes where they crossed in the overhead directly above the junction of the passages. “That light. Can you hit them where they cross?”

Bobo measured the distance with his eye. It would be a hard shot under any conditions at that range. Here, constricted as he was by the low passageway, it called for a fast, flat trajectory, and allowance for higher weight then he was used to.

He did not answer. Hugh felt the wind of his swing but did not see the shot. There was a tinkling crash; the passage became dark.

“Now!” yelled Hugh, and led them away at a run. As they neared the intersection he shouted, “Hold your breaths! Mind the gas!” The radioactive vapor poured lazily out from the broken tube above and filled the crossing with a greenish mist.

Hugh ran to the right, thankful for his knowledge as an engineer of the lighting circuits. He had picked the right direction; the passage ahead was black, being serviced from beyond the break. He could hear footsteps around him; whether they were friend or enemy he did not know.

They burst into light. No one was in sight but a scared and harmless peasant who scurried away at an unlikely pace. They took a quick muster. All were present, but Bobo was making heavy going of it.

Joe looked at him. “He sniffed the gas, I think. Pound his back.”

Pig did so with a will. Bobo belched deeply, was suddenly sick, then grinned. “He’ll do,” decided Joe.

The slight delay had enabled one at least to catch up with them. He came plunging out of the dark, unaware of, or careless of, the strength against him. Alan knocked Pig’s arm down, as he raised it to throw. “Let me at him!” he demanded. “He’s mine!” It was Tyler.

“Man-fight?” Alan challenged, thumb on his blade.

Tyler’s eyes darted from adversary to adversary and accepted the invitation to individual duel by lunging at Alan. The quarters were too cramped for throwing; they closed, each achieving his grab in parry, fist to wrist.

Alan was stockier, probably stronger; Tyler was slippery. He attempted to give Alan a knee to the crotch. Alan evaded it, stamped on Tyler’s planted foot. They went clown. There was a crunching crack.

Amoment later, Alan was wiping his knife against his thigh. “Let’s get goin’,” he complained. “I’m scared.”

They reached a stairway, and raced up it, Long Arm and Pig ahead to fan out on each level and cover their flanks, and the third of the three choppers (Hugh heard him called Squatty) covering the rear. The others bunched in between.

Hugh thought they had won free, when he heard shouts and the clatter of a thrown knife just above him. He reached the level above in time to be cut not deeply but jaggedly by a ricocheted blade.

Three men were down. Long Arm bad a blade sticking in the fleshy part of his upper arm, but it did not seem to bother him. His slingshot was still spinning. Pig was scrambling after a thrown knife, his own armament exhausted. But there were signs of his work; one man was down on one knee some twenty feet away. He was bleeding from a knife wound in the thigh.

As the figure steadied himself with one hand against the bulkhead and reached towards an empty belt with the other, Hugh recognized him. Bill Ertz.

He had led a party up another way, and flanked them, to his own ruin. Bobo crowded behind Hugh and got his mighty arm free for the cast. Hugh caught at it. “Easy, Bobo,” he directed. “In the stomach, and easy.”

The dwarf looked puzzled, but did as he was told.

Ertz folded over at the middle and slid to the deck. “Well placed,” said Jim. “Bring him along, Bobo,” directed Hugh, “and stay in the middle.” He ran his eye over their party, now huddled at the top of that flight of stairs. “All right, gang; up we go again! Watch it.”

Long Arm and Pig swarmed up the next flight, the others disposing themselves as usual. Joe looked annoyed. In some fashion, a fashion by no means clear at the moment, he had been eased out as leader of this gang, his gang, and Hugh was giving orders. He reflected as there was no time now to make a fuss. It might get them all killed.

Jim did not appear to mind. In fact, he seemed to be enjoying himself.

They put ten more levels behind them with no organized opposition. Hugh directed them not to kill peasants unnecessarily. The three bravoes obeyed; Bobo was too loaded down with  Ertz to constitute a problem in discipline. Hugh saw to it that they put thirty-odd more decks below them and were well into no man’s land before he let vigilance relax at all. Then he called  a halt and they examined wounds.

The only deep ones were to Long Arm’s arm and Bobo’s face. Joe-Jim examined them and applied presses with which he had outfitted himself before starting. Hugh refused treatment for his flesh wound. “It’s stopped bleeding,” he insisted, “and I’ve got a lot to do.”

“You’ve got nothing to do but to get up home,” said Joe, “and that will be an end to this foolishness.” “Not quite,” denied Hugh. “You may be going home, but Alan and I and Bobo are going up to no-weight; to the Captain’s veranda.”

“Nonsense,” said Joe. “What for?”

“Come along if you like, and see. All right, gang. Let’s go.”

Joe started to speak, stopped when Jim kept still. Joe-Jim followed along. They floated gently through the door of the veranda, Hugh, Alan, Bobo with his still-passive burden, and Joe- Jim. “That’s it,” said Hugh to Alan, waving his hand at the splendid stars, “that’s what I’ve been telling you about.”

Alan looked and clutched at Hugh’s arm. “Jordan!” he moaned. “We’ll fall out!” He closed his eyes tightly. Hugh shook him. “It’s all right,” he said. “It’s grand. Open your eyes.”

Joe-Jim touched Hugh’s arm. “What’s it all about?” he demanded. “Why did you bring him up here?” He pointed to Ertz. “Oh, him. Well, when he wakes up I’m going to show him the stars, prove to him that the Ship moves.”

“Well? What for?”

“Then I’ll send him back down to convince some others.”

“Hm-m-m, suppose he doesn’t have any better luck than you had?”

“Why, then,” Hugh shrugged his shoulders “why, then we shall just have to do it all over, I suppose, till we do convince them. “We’ve got to do it, you know.”

COMMON SENSE

JOE, THE RIGHT HAND head of Joe-Jim, addressed his words to Hugh Hoyland. “All right, smart boy, you’ve convinced the Chief Engineer.” He gestured toward Bill Ertz with the blade of his knife, then resumed picking Jim’s teeth with it. “So what? Where does it get you?”

“I’ve explained that,” Hugh Hoyland answered irritably. “We keep on, until every scientist in the Ship, from the Captain to the greenest probationer, knows that the Ship moves and believes that we can make it move. Then we’ll finish the Trip, as Jordan willed. How many knives can you muster?” he added.

“Well, for the love of Jordan! Listen, have you got some fool idea that we are going to help you with this crazy scheme?” “Naturally. You’re necessary to it.”

“Then you had better think up another think. That’s out. Bobo! Get out the checkerboard.”

“O.K., Boss.” The microcephalic dwarf hunched himself up off the floor plates and trotted across Joe-Jim’s apartment.

“Hold it, Bobo.” Jim, the left-hand head, had spoken. The dwarf stopped dead, his narrow forehead wrinkled. The fact that his two-headed master occasionally failed to agree as to what Bobo should do was the only note of insecurity in his tranquil bloodthirsty existence.

“Let’s hear what he has to say,” Jim continued. “There may be some fun in this.”

“Fun! The fun of getting a knife in your ribs. Let me point out that they are my ribs, too. I don’t agree to it.”

“I didn’t ask you to agree; I asked you to listen. Leaving fun out of it, it may be the only way to keep a knife out of our ribs.”

“What do you mean?” Joe demanded suspiciously. “You heard what Ertz had to say.” Jim flicked a thumb toward the prisoner. “The Ship’s officers are planning to clean out the upper levels. How would you like to go into the Converter, Joe? You can’t play checkers after we’re broken down into hydrogen.”

“Bunk! The Crew can’t exterminate the muties; they’ve tried before.” Jim turned to Etrz. “How about it?”

Ertz answered somewhat diffidently, being acutely aware of his own changed status from a senior Ship’s officer to prisoner of war. He felt befuddled anyhow; too much had happened and too fast. He had been kidnaped, hauled up to the Captain’s veranda, and had there gazed out at the stars. The stars.

His hard-boiled rationalism included no such concept. If an Earth astronomer had had it physically demonstrated to him that the globe spun on its axis because someone turned a crank, the upset in evaluations could have been no greater.

Besides that, he was acutely aware that his own continued existence hung in fine balance. Joe-Jim was the first upper-level mutie he had ever met other than in combat, knife to knife. A word from him to that great ugly dwarf sprawled on the deck— He chose his words. “I think the Crew would be successful, this time. We … they have organized for it. Unless there are more of you than we think there are and better organized, I think it could be done. You see … well, uh, I organized it.”

“You?”

“Yes. Agood many of the Council don’t like the policy of letting the muties alone. Maybe it’s sound religious doctrine and maybe it isn’t, but we lose a child here and a couple of pigs there. It’s annoying.”

“What do you expect muties to eat?” demanded Jim belligerently. “Thin air?”

“No, not exactly. Anyhow, the new policy was not entirely destructive. Any muties that surrendered and could be civilized we planned to give to masters and put them to work as part of the Crew. That is, any that weren’t, uh … that were—” He broke off in embarrassment, and shifted his eyes from the two-headed monstrosity before him.

“You mean any that weren’t physical mutations, like me,” Joe filled in nastily. “Don’t you?” he persisted. “For the likes of me it’s the Converter, isn’t it?” He slapped the blade of his knife nervously on the palm of his hand.

Ertz edged away, his own hand shifting to his belt. But no knife was slung there; he felt naked and helpless without it. “Just a minute,” he said defensively, “you asked me; that’s the situation. It’s out of my hands. I’m just telling you.”

“Let him alone, Joe. He’s just handing you the straight dope. It’s like I was telling you: either go along with Hugh’s plan, or wait to be hunted down. And don’t get any ideas about killing him; we’re going to need him.” As Jim spoke he attempted to return the knife to its sheath. There was a brief and silent struggle between the twins for control of the motor nerves to their right arm, a clash of will below the level of physical activity. Joe gave in.

“All right,” he agreed surlily, “but if I go to the Converter, I want to take this one with me for company.” “Stow it,” said Jim. “You’ll have me for company.”

“Why do you believe him?”

“He has nothing to gain by lying. Ask Alan.”

Alan Mahoney, Hugh’s friend and boyhood chum, had listened to the argument round-eyed, without joining it. He, too, had suffered the nerve-shaking experience of viewing the outer stars, but his ignorant peasant mind had not the sharply formulated opinions of Ertz, the Chief Engineer. Ertz had been able to see almost at once that the very existence of a world outside the Ship changed all his plans and everything he had believed in; Alan was capable only of wonder.

“What about this plan to fight the muties, Alan?”

“Huh? Why, I don’t know anything about it. Shucks, I’m not a scientist. Say, wait a minute; there was a junior officer sent in to help our village scientist, Lieutenant Nelson.” He stopped and looked puzzled.

“What about it? Go ahead.”

“Well, he has been organizing the cadets in our village, and the married men, too, but not so much. Making ‘em practice with their blades and slings. Never told us what for, though.” Ertz spread his hands. “You see?”

Joe nodded. “I see,” he admitted grimly.

Hugh Hoyland looked at him eagerly. “Then you’re with me?” “I suppose so,” Joe admitted. “Right!” added Jim.

Hoyland looked back to Ertz. “How about you, Bill Ertz?” “What choice have I got?”

“Plenty. I want you with me wholeheartedly. Here’s the layout: The Crew doesn’t count; it’s the officers we have to convince. Any that aren’t too addlepated and stiff-necked to understand after they’ve seen the stars and the Control Room, we keep. The others—” he drew a thumb across his throat while making a harsh sibilance in his cheek, “the Converter.”

Bobo grinned happily and imitated the gesture and the sound. Ertz nodded. “Then what?”

“Muties and Crew together, under a new Captain, we move the Ship to Far Centaurus! Jordan’s Will be done!”

Ertz stood up and faced Hoyland. It was a heady notion, too big to be grasped at once, but, by Jordan! he liked it. He spread his hands on the table and leaned across it. “I’m with you, Hugh Hoyland!”

Aknife clattered on the table before him, one from the brace at Joe-Jim’s belt. Joe looked startled, seemed about to speak to his brother, then appeared to think better of it. Ertz looked his thanks and stuck the knife in his belt.

The twins whispered to each other for a moment, then Joe spoke up. “Might as well make it stick,” he said. He drew his remaining knife and, grasping the blade between thumb and forefinger so that only the point was exposed, he jabbed himself in the fleshly upper part of his left arm. “Blade for blade!”

Ertz’s eyebrows shot up. He whipped out his newly acquired blade and cut himself in the same location. The blood spurted and ran down to the crook of his arm. “Back to back!” He shoved the table aside and pressed his gory shoulder against the wound on Joe-Jim.

Alan Mahoney, Hugh Hoyland, Bobo: all had their blades out, all nicked their arms till the skin ran red and wet. They crowded in, bleeding shoulders pushed together so that the blood dripped united to the death.

“Blade for blade!” “Back to back!” “Blood to blood!”

“Blood brothers, to the end of the Trip!”

An apostate scientist, a kidnaped scientist, a dull peasant, a two-headed monster, a apple-brained moron; five knives, counting Joe-Jim as one; five brains, counting Joe-Jim as two and Bobo as none; five brains and five knives to overthrow an entire culture.

“But I don’t want to go back, Hugh.” Alan shuffled his feet and looked dogged. “Why can’t I stay here with you? I’m a good blade.” “Sure you are, old fellow. But right now you’ll be more useful as a spy.”

“But you’ve got Bill Ertz for that.”

“So we have, but we need you too. Bill is a public figure; he can’t duck out and climb to the upper levels without it being noticed and causing talk. That’s where you come in; you’re his go- between.”

“I’ll have a Huff of a time explaining where I’ve been.”

“Don’t explain any more than you have to. But stay away from the Witness.” Hugh had a sudden picture of Alan trying to deceive the old village historian, with his searching tongue and lust for details. “Keep clear of the Witness. The old boy would trip you up.”

“Him? You mean the old one; he’s dead. Made the Trip long since. The new one don’t amount to nothing.” “Good. If you’re careful, you’ll be safe.” Hugh raised his voice. “Bill! Are you ready to go down?”

“I suppose so.” Ertz picked himself up and reluctantly put aside the book he had been reading _The Three Musketeers_, illustrated, one of Joe-Jim’s carefully stolen library. “Say, that’s a wonderful book. Hugh, is Earth really like that?”

“Of course. Doesn’t it say so in the book?”

Ertz chewed his lip and thought about it. “What is a house?” “Ahouse? Ahouse is a sort of a… a sort of a compartment.”

“That’s what I thought at first, but how can you ride on a compartment?” “Huh? What do you mean?”

“Why, all through the book they keep climbing on their houses and riding away.”

“Let me see that book,” Joe ordered. Ertz handed it to him. Joe-Jim thumbed through it rapidly. “I see what you mean. Idiot! They ride horses, not houses.” “Well, what’s a horse?”

“Ahorse is an animal, like a big hog, or maybe like a cow. You squat up on top of it and let it carry you along.”

Ertz considered this. “It doesn’t seem practical. Look, when you ride in a litter, you tell the chief porter where you want to go. How can you tell a cow where you want to go?” “That’s easy. You have a porter lead it.”

Ertz conceded the point. “Anyhow, you might fall off. It isn’t practical. I’d rather walk.” “It’s quite a trick,” Joe explained. “Takes practice.”

“Can you do it?”

Jim sniggered. Joe looked annoyed. “There are no horses in the Ship.”                  “OK, O.K. But look. These guys Athos, Porthos, and Aramis, they had something—” “We can discuss that later,” Hugh interrupted. “Bobo is back. Are you ready to go, Bill?” “Don’t get in a hurry, Hugh. This is important. These chaps had knives.”               “Sure. Why not?”

“But they were better than our knives. They had knives as long as your arm, maybe longer. If we are going to fight the whole Crew, think what an advantage that would be.” “Hm-m-m.” Hugh drew his knife and looked at it, cradling it in his palm. “Maybe. You couldn’t throw it as well.”

“We could have throwing knives, too.” “Yes, I suppose we could.”

The twins had listened Without comment. “He’s right,” put in Joe. “Hugh, you take care of placing the knives. Jim and I have some reading to do.” Both of Joe-Jim’s heads were busy thinking of other books they owned, books. that discussed in saguinary detail the infinitely varied methods used by mankind to shorten the lives of enemies. He was about to institute a War College Department of Historical Research, although he called his project by no such fancy term.

“O.K.,” Hugh agreed, “but you will have to say the word to them.”

“Right away.” Joe-Jim stepped out of his apartment into the passageway where Bobo had assembled a couple of dozen of Joe-Jim’s henchmen among the muties. Save for Long Arm, Pig, and Squatty, who had taken part in the rescue of Hugh, they were all strangers to Hugh, Alan, and Bill, and they were all sudden death to strangers.

Joe-Jim motioned for the three from the lower decks to join him. He pointed them out to the muties, and ordered them to look closely and not to forget: these three were to have safe passage and protection wherever they went. Furthermore, in Joe-Jim’s absence his men were to take orders from any of them.

They stirred and looked at each other. Orders they were used to, but from Joe-Jim only.

Abig-nosed individual rose up from his squat and addressed them. He looked at Joe-Jim, but his words were intended for all. “I am Jack-of-the-Nose. My blade is sharp and my eye is keen. Joe-Jim with the two wise heads is my Boss and my knife fights for him. But Joe is my Boss, not strangers from heavy decks. What do say, knives? Is that not the Rule?”

He paused. The others had listened to him stealing glances at Joe-Jim. Joe muttered something of the corner of his mouth to Bobo. Jack O’Nose opened his mouth to continue. There was a smash of splintering teeth, a crack from a broken neck; his mouth stopped with a missile.

Bobo reloaded his slingshot. The body, not yet still, settled slowly to the deck. Joe-Jim waved a hand it. “Good eating!” Joe announced. “He’s yours.” The muties converged on the body as if they had suddenly been unleashed. They concealed it completely in a busy grunting pile-up. Knives out, they cuffed and crowded each other for a piece of the prize.

Joe-Jim waited patiently for the undoing to be over, then, when the place where Jack O’Nose had been was no more than a stain on the deck and the several polite arguments over the sharing had died down, he started again; Joe spoke. “Long Arm, you and Forty-one and the Ax go down with Bobo, Alan and Bill. The rest here.”

Bobo trotted away in the long loping strides, sped on by the low pseudogravity near the axis of rotation of Ship. Three of the muties detached themselves from pack and followed. Ertz and Alan Mahoney hurried catch up.

When he reached the nearest staircase trunk, he skipped out into space without breaking his stride letting centrifugal force carry him down to the next. Alan and the muties followed; but Ertz paused on the edge and looked back. “Jordan keep you, brother!” he sang out.

Joe-Jim waved to him. “And you,” acknowledged Joe. “Good eating!” Jim added.

“Good eating!”

Bobo led them down forty-odd decks, well into no man’s land inhabited neither by mutie nor crew, stopped. He pointed in succession to Long Arm, Forty-one, and the Ax. “Two Wise Heads say for you to watch here. You first,” he added, pointing again to Forty-one. “It’s like this,” Ertz amplified. “Alan and I are going down to heavy-weight level. You three are to keep a guard here, one at a time, so that I will be able to send messages back up to Joe-Jim. Get it?”

“Sure. Why not?” Long Arm answered.

“Joe-Jim says it,” Forty-one commented with a note of finality in his voice. The Ax grunted agreeably.

“O.K.,” said Bobo. Forty-one sat down at the stairwell, letting his feet hang over, and turned his attention to food which he had been carrying tucked under his left arm.

Bobo slapped Ertz and Alan on their backs. “Good eating,” he bade them, grinning. When he could get his breath, Ertz acknowledged the courteous thought, then dropped at once to the next lower deck, Alan close after him. They had still many decks to go to ‘civilization.’

Commander Phineas Narby, Executive Assistant to Jordan’s Captain, in rummaging through the desk of the Chief Engineer was amused to find that Bill Ertz had secreted therein a couple of Unnecessary books. There were the usual Sacred books, of course, including the priceless _Care and Maintenance of the Auxiliary Fourstage Converter_ and the _Handbook of Power, Light, and Conditioning, Starship Vanguard_. These were Sacred books of the first order, bearing the imprint of Jordan himself, and could lawfully be held only by the Chief Engineer.

Narby considered himself a skeptic and rationalist. Belief in Jordan was a good thing — for the Crew. Nevertheless the sight of a title page with the words ‘Jordan Foundation’ on it stirred up within him a trace of religious awe such as he had not felt since before he was admitted to scientisthood.

He knew that the feeling was irrational; probably there had been at some time in the past some person or persons called Jordan. Jordan might have been an early engineer or captain who codified the common sense and almost instinctive rules for running the Ship. Or, as seemed more likely, the Jordan myth went back much farther than this book in his hand, and its author had simply availed himself of the ignorant superstitions of the Crew to give his writings authority. Narby knew how such things were done; he planned to give the new policy with respect to the muties the same blessing of Jordan when the time was ripe for it to be put into execution. Yes, order and discipline and belief in authority were good things, for the Crew. It was equally evident that a rational, coolheaded common sense was a proper attribute for the scientists who were custodians of the Ship’s welfare, common sense and a belief in  nothing but facts.

He admired the exact lettering on the pages of the book he held. They certainly had excellent clerks in those ancient times; not the sloppy draftsmen he was forced to put up with, who could hardly print two letters alike.

He made a mental note to study these two indispensable handbooks of the engineering department before turning them over to Ertz’s successor. It would be well, he thought, not to be too dependent on the statements of the Chief Engineer when he himself succeeded to the captaincy. Narby had no particular respect for engineers, largely because he had no particular talent for engineering. When he had first reached scientisthood and had been charged to defend the spiritual and material welfare of the Crew, had sworn to uphold the Teachings of Jordan, he soon discovered that administration and personnel management were more in his lines than tending the converter or servicing the power lines. He had served as clerk,  village administrator, recorder to the Council, personnel officer, and was now chief executive for Jordan’s Captain himself, ever since an unfortunate and rather mysterious accident had shortened the life of Narby’s predecessor in that post.

His decision to study up on engineering before a new Chief Engineer was selected brought to mind the problem of choosing a new chief. Normally the Senior Watch Officer for the Converter would become Chief Engineer when a chief made the Trip, but in this case, Mort Tyler, the Senior Watch, had made the Trip at the same time; his body had been found, stiff   and cold, after the mutie raid which had rescued that heretic, Hugh Hoyland. That left the choice wide open and Narby was a bit undecided as to whom he should suggest to the Captain.

One thing was certain; the new chief must not be a man with as much aggressive initiative as Ertz. Narby admitted that Ertz had done a good job in organizing the Crew for the proposed

extermination of the muties, but his very efficiency had made him too strong a candidate for succession to the captaincy, if and when. Had he thought about it overtly Narby might have admitted to himself that the present Captain’s life span had extended unduly because Narby was not absolutely certain that Ertz would not be selected. What he did think was that this might be a good time for the old Captain to surrender his spirit to Jordan. The fat old fool had long outlived his usefulness; Narby was tired of having to wheedle him into giving the proper orders. If the Council were faced with the necessity of selecting a new Captain at this time, there was but one candidate available. Narby put the book down, his mind made up.

The simple decision to eliminate the old Captain carried with it in Narby’s mind no feeling of shame, nor sin, nor disloyalty. He felt contempt but not dislike for the Captain, and no mean spirit colored his decision to kill him. Narby’s plans were made on the noble level of statesmanship. He honestly believed that his objective was the welfare of the entire Crew; common- sense administration, order and discipline, good eating for everyone. He selected himself because it was obvious to him that he was best fitted to accomplish those worthy ends. That some must make the Trip in order that these larger interests be served he did not find even mildly regrettable, but he bore them no malice.

“What in the Huff are you doing at my desk?”

Narby looked up to see the late Bill Ertz standing over him, not looking pleased. He looked again, then as an afterthought closed his mouth. He had been so certain, when Ertz failed to reappear after the raid, that he had made the Trip and was in all probability butchered and eaten; so certain that it was now a sharp wrench to his mind to see Ertz standing before him, aggressively alive. But he pulled himself together.

“Bill! Jordan bless you, man, we thought you had made the Trip! Sit down, sit down, and tell me what happened to you.” “I will if you will get out of my chair,” Ertz answered bitingly.

“Oh, sorry!” Narby hastily vacated the chair at Ertz’s desk and found another.

“And now,” Ertz continued, taking the seat Narby had left, “you might explain why you were going through my writings.”

Narby managed to look hurt. “Isn’t that obvious? We assumed you were dead. Someone had to take over and attend to your department until a new chief was designated. I was acting on behalf of the Captain.”

Ertz looked him in the eyes. “Don’t give me that guff, Narby. You know and I know who puts words in the Captain’s mouth; we’ve planned it often enough. Even if you did think I was dead,  it seems to me you could wait longer than the time between two sleeps to pry through my desk.”

“Now really, old man, when a person is missing after a mutie raid, it’s a common-sense assumption that he has made the Trip.” “O.K., O.K., skip it. Why didn’t Mort Tyler take over in the meantime?”

“He’s in the Converter.”

“Killed, eh? But who ordered him put in the Converter? That much mass will make a terrific peak in the load.”

“I did, in place of Hugh Hoyland. Their masses were nearly the same, and your requisition for the mass of Hugh Hoyland was unfilled.” “Nearly the same isn’t good enough in handling the Converter. I’ll have to check on it.” He started to rise.

“Don’t get excited,” said Narby. “I’m not an utter fool in engineering, you know. I ordered his mass to be trimmed according to the same schedule you had laid out for Hoyland.” “Well, all right. That will do for now. But I will have to check it. We can’t afford to waste mass.”

“Speaking of waste mass,” Narby said sweetly, “I found a couple of Unnecessary books in your desk.” “Well?”

“They are classed as mass available for power, you know.” “So? And who is the custodian of mass allocated for power?” “You are certainly. But what were they doing in your desk?”

“Let me point out to you, my dear Captain’s Best Boy, that it lies entirely within my discretion where I choose to store mass available for power.” “Hm-m-m. I suppose you are right. By the way, if you don’t need them for the power schedule at once, would you mind letting me read them?”

“Not at all, if you want to be reasonable about it. I’ll check them out to you: have to do that; they’ve already been centrifuged. Just be discreet about it.” “Thanks. Some of those ancients had vivid imaginations. Utterly crazy, of course, but amusing for relaxation.”

Ertz got out the two volumes and prepared a receipt for Narby to sign. He did this absent-mindedly, being preoccupied with the problem of how and when to tackle Narby. Phineas Narby he knew to be a key man in the task he and his blood brothers had undertaken, perhaps the key man. If he could be won over… “Fine,” he said, when Narby had signed, “I wonder if we followed the wisest policy in Hoyland’s case.” Narby looked surprised, but said nothing.

“Oh, I don’t mean that I put any stock in his story,” Ertz added hastily, “but I feel that we missed an opportunity. We should have kidded him along. He was a contact with the muties. The worst handicap we work under in trying to bring mutie country under the rule of the Council is the fact that we know very little about theni. We don’t know how many of them there are, nor how strong they are, or how well organized. Besides that, we will have to carry the fight to them and that’s a big disadvantage. We don’t really know our way around the upper decks. If we had played along with him and pretended to believe his story, we might have learned a lot of things.”

“But we couldn’t rely on what he told us,” Narby pointed out

“We didn’t need to. He offered us an opportunity to go all the way to no-weight, and look around.”

Narby looked astounded. “You surely aren’t serious? Amember of the Crew that trusted the muties’ promise not to harm him wouldn’t get up to no-weight; he’d make the Trip — fast!” “I’m not so certain about that,” Ertz objected. “Hoyland believed his own story, I’m sure of that. And—”

“What! All that utter nonsense about the Ship being capable of moving. The solid Ship.” He pounded the bulkhead. “No one could believe that.”

“But I tell you he did. He’s a religious fanatic, granted. But he saw something up there, and that was how he interpreted it. We could have gone up to see whatever it was he was raving about and used the chance to scout out the muties.”

“Utterly foolhardy!”

“I don’t think so. He must have a great deal of influence among the muties; look at the trouble they went to just to rescue him. If he says he can give us safe passage up to no-weight, I think he can.”

“Why this sudden change of opinion?”

“It was the raid that changed my mind. If anyone had told me that a gang of muties would come clear down to high-weight and risk their necks to save the life of one man I would not have believed him. But it happened. I’m forced to revise my opinions. Quite aside from his story, it’s evident that the muties will fight for him and probably take orders from him. If that is true, it would be worth while to pander to his religious convictions if it would enable us to gain control over the muties without having to fight for it.”

Narby shrugged it off. “Theoretically you may have something there. But why waste time over might-have-beens? If there was such an opportunity, we missed it.” “Maybe not. Hoyland is still alive and back with the muties. If I could figure out some way of getting a message to him, we might still be able to arrange it.”        “But how could you?”

“I don’t know exactly. I might take a couple of the boys and do some climbing. If we could capture a mutie without killing him, it might work out.”

“Aslim chance.”

“I’m willing to risk it”

Narby turned the matter over in his mind. The whole plan seemed to him to be filled with long chances and foolish assumptions. Nevertheless if Ertz were willing to take the risk and it   did work, Narby’s dearest ambition would be much nearer realization. Subduing the unities by force would be a long and bloody job, perhaps an impossible job. He was clearly aware of its difficulty.

If it did not work, nothing was lost, but Ertz. Now that he thought it over, Ertz would be no loss at this point in the game. Hm-m-m. “Go ahead,” he said. “You are a brave man, but its a worth-while venture.”

“O.K.,” Ertz agreed. “Good eating.”

Narby took the hint. “Good eating,” he answered, gathered up the books, and left. It did not occur to him until later that Ertz had not told him where he had been for so long.

And Ertz was aware that Narby had not been entirely frank with him, but, knowing Narby, he was not surprised. He was pleased enough that his extemporaneous groundwork for future action had been so well received. It never did occur to him that it might have been simpler and more effective to tell the truth.

Ertz busied himseif for a short time in making a routine inspection of the Converter and appointed an acting Senior Watch Officer. Satisfied that his department could then take care of  itself during a further absence, he sent for his chief porter and told the servant to fetch Alan Mahoney from his village. He had considered ordering his litter and meeting Mahoney halfway, but he decided against it as being too conspicuous.

Alan greeted him with enthusiasm. To him, still an unmarried cadet and working for more provident men when his contemporaries were all heads of families and solid men of property,  the knowledge that he was blood brother to a senior scientist was quite the most important thing that had ever happened to him, even overshadowing his recent adventures, the meaning of which he was hardly qualified to understand anyway.

Ertz cut him short, and hastily closed the door to the outer engineering office. “Walls have ears,” he said quietly, “and certainly clerks have ears, and tongues as well. Do you want us both to make the Trip?”

“Aw, gosh, Bill … I didn’t mean to—”

“Never mind. I’ll meet you on the same stair trunk we came down by, ten decks above this one. Can you count?”

“Sure, I can count that much. I can count twice that much. One and one makes two, and one more makes three, and one more makes four, and one makes five, and—”

“That’s enough. I see you can. But I’m relying more on your loyalty and your knife than I am on your mathematical ability. Meet me there as soon as you can. Go up somewhere where you won’t be noticed.”

Forty-one was still on watch when they reached the rendezvous. Ertz called him by name while standing out of range of slingshot or thrown knife, a reasonable precaution in dealing with  a creature who had grown to man size by being fast with his weapons. Once identification had been established, he directed the guard to find Hugh Hoyland. He and Alan sat down to wait.

Forty-one failed to find Hugh Hoyland at Joe-Jim’s apartment. Nor was Joe-Jim there. He did find Bobo, but the pinhead was not very helpful. Hugh, Bobo told him, had gone up where- everybody-flies. That meant very little to Forty-one; he had been up to no-weight only once in his life. Since the level of weightlessness extended the entire length of the Ship, being in fact the last concentric cylinder around the Ship’s axis, not that Forty-one could conceive it in those terms, the information that Hugh. had headed for no-weight was not helpful.

Forty-one was puzzled. An order from Joe-Jim was not to be ignored and he had got it through his not overbright mind that an order from Ertz carried the same weight. He woke Bobo up again. “Where is the Two Wise Heads?”

“Gone to see knifemaker.” Bobo closed his eyes again.

That was better. Forty-one knew where the knifemaker lived. Every mutie had dealings with her; she was the indispensable artisan and tradesman of mutie country. Her person was necessarily taboo; her workshop and the adjacent neighborhood were neutral territory for all. He scurried up two decks and hurried thence.

Adoor reading THERMODYNAMIC LABORATORY: KEEP OUT was standing open. Forty-one could not read; neither the name nor the injunction mattered to him. But he could hear voices, one of which be identified as coming from the twins, the other from the knifemaker. He walked in. “Boss,” be began.

“Shut up,” said Joe. Jim did not look around but continued his argument with the Mother of Blades. “You’ll make knives,” he said, “and none of your lip.”

She faced him, her four calloused hands set firmly on her broad hips. Her eyes were reddened from staring into the furnace in which she heated her metal; sweat ran down her wrinkled face into the sparse gray mustache which disfigured her upper lip, and dripped onto her bare chest. “Sure I make knives,” she snapped. “Honest knives. Not pig-stickers like you want   me to make. Knives as long as your arm, ptui!” She spat at the cherry-red lip of the furnace.

“Listen, you old Crew bait,” Jim replied evenly, “you’ll make knives the way I tell you to, or I’ll toast your feet in your own furnace. Hear me?” Forty-one was struck speechless. No one ever talked back to the Mother of Blades; the Boss was certainly a man of power!

The knifemaker suddenly cracked. “But that’s not the right way to make knives,” she complained shrilly. “They wouldn’t balance right. I’ll show you.” She snatched up two braces of knives from her workbench and let fly at a cross-shaped target across the room — not in succession, but all four arms swinging together, all four blades in the air at once. They spwiged into the target, a blade at the extreme end of each arm of the cross. “See? You couldn’t do that with a long knife. It would fight with itself and not go straight.”

“Boss—” Forty-one tried again. Joe-Jim handed him a mouthful of knuckles without looking around.

“I see your point,” Jim told the knifemaker, “but we don’t want these knives for throwing. We want them for cutting and stabbing up close. Get on with it; I want to see the first one before you eat again.”

The old woman bit her lip. “Do I get my usuals?” she said sharply.

“Certainly you get your usuals,” he assured her. “Atithe on every kill till the blades are paid for, and good eating all the time you work.”

She shrugged her misshapen shoulders. “O.K.” She turned, tonged up a long flat fragment of steel with her two left hands and clanged the stock into the furnace. Joe-Jim turned to Forty- one.

“What is it?” Joe asked.     “Boss, Ertz sent me to get Hugh.” “Well, why didn’t you do it?”

“I don’t find him. Bobo says he’s gone up to no-weight.”

“Well, go get him. No, that won’t do; you wouldn’t know where to find him. I’ll have to do it myself. Go back to Ertz and tell him to wait.” Forty-one hurried off. The Boss was all right, but it was not good to tarry in his presence.

“Now you’ve got us running errands,” Jim commented sourly. “How do you like being a blood brother, Joe?” “You got us into this.”

“So? The blood-swearing was your idea.”

“Damn it, you know why I did that. They took it seriously. And we are going to need all the help we can get, if we are to get out of this with a skin that will hold water.”

“Oh? So you didn’t take it seriously?”

“Did you?”

Jim smiled cynically. “Just about as seriously as you do, my dear, deceitful brother. As matters stand now, it is much, much healthier for you and me to keep to the bargain right up to the hilt. ‘All for one and one for all!’”

“You’ve been reading Dumas again.” “And why not?”

“That’s O.K. But don’t be a damn fool about it.”         “I won’t be. I know which side of the blade is edged.”

Joe-Jim found Squatty and Pig sleeping outside the door which led to the Control Room. He knew then that Hugh must be inside, for he had assigned the two as personal bodyguards to Hugh. It was a foregone conclusion anyhow; if Hugh had gone up to no-weight, he would be heading either for Main Drive, or the Control Room, more probably the Control Room. The place held a tremendous fascination for Hugh. Ever since the earlier time when Joe-Jim had almost literally dragged him into the Control Room and had forced him to see with his own eyes that the Ship was not the whole world but simply a vessel adrift in a much larger world — a vessel that could be driven and moved — ever since that time and throughout the period that followed while he was still a captured slave of Joe-Jim’s, he had been obsessed with the idea of moving the Ship, of sitting at the controls and making it go!

It meant more to him than it could possibly have meant to a space pilot from Earth. From the time that the first rocket made the little jump from Terra to the Moon, the spaceship pilot has been the standard romantic hero whom every boy wished to emulate. But Hugh’s ambition was of no such picayune caliber; he wished to move his world. In Earth standards and concepts it would be less ambitious to dream of equipping the Sun with jets and go gunning it around the Galaxy.

Young Archimedes had his lever; he sought a fulcrum.

Joe-Jim paused at the door of the great silver stellarium globe which constituted the Control Room and peered in. He could not see Hugh, but he knew that he must be at the controls in the chair of the chief astrogator, for the lights were being manipulated. The images of the stars were scattered over the inner surface of the sphere producing a simulacrum of the heavens outside the Ship. The illusion was not fully convincing from the door where Joe-Jim rested; from the center of the sphere it would be complete.

Sector by sector the stars snuffed out, as Hugh manipulated the controls from the center of the sphere. Asector was left shining on the far side forward. It was marked by a large and brilliant orb, many times as bright as its companions. Joe-Jim ceased watching and pulled himself hand over hand up to the control chairs. “Hugh!” Jim called out.

“Who’s there?” demanded Hugh and leaned his head out of the deep chair. “Oh, it’s you. Hello.” “Ertz wants to see you. Come on out of there.”

“O.K. But come here first. I want to show you something.”

“Nuts to him,” Joe said to his brother. But Jim answered, “Oh, come on and see what it is. Won’t take long.” The twins climbed into the control station and settled down in the chair next to Hugh’s. “What’s up?”         “That star out there,” said Hugh, pointing at the brilliant one. “It’s grown bigger since the last time I was here.” “Huh? Sure it has. It’s been getting brighter for a long time. Couldn’t see it at all first time I was ever in here.” “Then we’re closer to it.”

“Of course,” agreed Joe. “I knew that. It just goes to prove that the Ship is moving.” “But why didn’t you tell me about this?”

“About what?”

“About that star. About the way it’s been growing bigger.” “What difference does it make?”

“What difference does it make! Why, good Jordan, man, that’s it. That’s where we’re going. That’s the End of the Trip!”

Joe-Jim, both of him, was momentarily startled. Not being himself concerned with any objective other than his own safety and comfort, it was hard for him to realize that Hugh, and perhaps Bill Ertz as well, held as their first objective the recapturing of the lost accomplishments of their ancestors’ high order to complete the long-forgotten, half-mythical Trip to Far Centaurus.

Jim recovered himself. “Hm-m-m. Maybe. What makes you think that star is Far Centaurus?”

“Maybe it isn’t. I don’t care. But it’s the star we are closest to and we are moving toward it. When we don’t know which star is which, one is as good as another. Joe-Jim, the ancients must have had some way of telling the stars apart.”

“Sure they did,” Joe confirmed, “but what of it? You’ve picked the one you want to go to. Come on. I want to get back down.” “All right,” Hugh agreed reluctantly. They began the long trip down.

Ertz sketched out to Joe-Jim and Hugh his interview with Narby. “Now my idea in coming up,” he continued, “is this: I’ll send Alan back down to heavy-weight with a message to Narby, telling him that I’ve been able to get in contact with you, Hugh, and urging him to meet us somewhere above Crew country to hear what I’ve found out.”

“Why don’t you simply go back and fetch him yourself?” objected Hugh.

Ertz looked slightly sheepish. “Because you tried that method on me, and it didn’t work. You returned from mutie country and told me the wonders you had seen. I didn’t believe you and had you tried for heresy. If Joe-Jim hadn’t rescued you, you would have gone to the Converter. If you had not hauled me up to no-weight and forced me to see with my own eyes, I never would have believed you. I assure you Narby won’t be any easier a lock to force than I was. I want to get him up here, then show him the stars and make him see, peacefully if we can; by force if we must.”

“I don’t get it,” said Joe. “Why wouldn’t it be simpler to cut his throat?”

“It would be a pleasure. But it wouldn’t be smart. Narby can be a tremendous amount of help to us. Jim, if you knew the Ship’s organization the way I do, you would see why. Narby carries more weight in the Council than any other Ship’s officer and he speaks for the Captain. If we win him over, we may never have to fight at all. if we don’t … well, I’m not sure of the outcome, not if we have to fight.”

“I don’t think he’ll come up. He’ll suspect a trap.”

“Which is another reason why Alan must go rather than myself. He would ask me a lot of embarrassing questions and be dubious about the answers. Alan he won’t expect so much of.” Ertz turned to Alan and continued, “Alan, you don’t know anything when he asks you but just what I’m about to tell you. Savvy?”

“Sure. I don’t know nothing, I ain’t seen nothing, I ain’t heard nothing.” With frank simplicity he added, “I never did know much.”

“Good. You’ve never laid eyes on Joe-Jim, you’ve never heard of the stars. You’re just my messenger, a knife I took along to help me. Now here’s what you are to tell him.” He gave Alan the message for Narby, couched in simple but provocative terms, then made sure that Alan had it all straight. “All right, on your way! Good eating.”

Alan slapped the grip of his knife, answered, “Good eating!” and sped away.

It is not possible for a peasant to burst precipitously into the presence of the Captain’s Executive; Alan found that out. He was halted by the master-at-arms on watch outside Narby’s

suite, cuffed around a bit for his insistence on entering, referred to a boredly unsympathetic clerk who took his name and told him to return to his village and wait to be summoned. He held his ground and insisted that he had a message of immediate importance from the Chief Engineer to Commander Narby. The clerk looked up again. “Give me the writing.”

“There is no writing.”

“What? That’s ridiculous. There is always a writing. Regulations.” “He had no time to make a writing. He gave me a word message.” “What is it?”

Alan shook his head. “It is private, for Commander Narby only. I have orders.” The clerk looked his exasperation.

But, being only a probationer, he forewent the satisfaction of direct and immediate disciplining of the recalcitrant churl in favor of the safer course of passing the buck higher up. The chief clerk was brief. “Give me the message.”

Alan braced himself and spoke to a scientist in a fashion be had never used in his life, even to one as junior, as this passed clerk. “Sir, all I ask is for you to tell Commrnder Narby that I have a message for him from Chief Engineer Ertz. If the message is not delivered, I won’t be the one to go to the Converter! But I don’t dare give the message to anyone else.”

The under official pulled at his lip, and decided to take a chance on disturbing his superior.

Alan delivered his message to Narby in a low voice in order that the orderly standing just outside the door might not overhear. Narby stared at him. “Ertz wants me to come along with you up to mutie country?”

“Not all the way up to mutie country, sir. To a point in between, where Hugh Hoyland can meet you.” Narby exhaled noisily. “It’s preposterous. I’ll send a squad of knives up to fetch him down to me.”

Alan delivered the balance of his message. This time he carefully raised his voice to ensure that the orderly, and, if possible, others might hear his words. “Ertz said to tell you that if you were afraid to go, just to forget the whole matter. He will take it up with the Council himself.”

Alan owed his continued existence thereafter to the fact that Narby was the sort of man who lived by shrewdness rather than by direct force. Narby’s knife was at his belt; Alan was painfully aware that he had been required to deposit his own with the master-at-arms.

Narby controlled his expression. He was too intelligent to attribute the insult to the oaf before him, though he promised himself to give said oaf a little special attention at a more convenient time. Pique, curiosity, and potential loss of face all entered into his decision. “I’m coming with you,” he said savagely. “I want to ask him if you got his message straight.”

Narby considered having a major guard called out to accompany him, but he discarded the idea. Not only would it make the affair extremely public before he had an opportunity to judge its political aspects, but also it would cost him almost as much face as simply refusing to go. But he inquired nervously of Alan as Alan retrieved his weapon from the master-at-arms, “You’re a good knife?”

“None better,” Alan agreed cheerfully.

Narby hoped that the man was not simply boasting. Muties! Narby wished that he himself had found more time lately for practice in the manly arts.

Narby gradually regained his composure as he followed Alan up toward low-weight. In the first place nothing happened, no alarms; in the second place Alan was obviously a cautious  and competent scout, one who moved alert and noiselessly and never entered a deck without pausing to peer cautiously around before letting his body follow his eye. Narby might have been more nervous had be hearing what Alan did hear: little noises from the depths of the great dim passageways, rustlings which told him that their progress was flanked on all sides. This worried Alan subconsciously, although he had expected something of the sort; he knew that both Hugh and Joe-Jim were careful captains who would not neglect to cover an approach. He would have worried more if he had not been able detect a reconnaissance which should have been present.

When he approached the rendezvous some twenty decks above the highest civilized level, he stopped and whistled. Awhistle answered him. “It’s Alan,” he called out.

“Come up and show yourself?” Alan did so, without neglecting his usual caution. When be saw no one but his friends: Ertz, Hugh, Joe-Jim, and Bobo, be motioned for Narby to foflow him.

The sight of Joe-Jim and Bobo broke Narby’s unsteady calm with a sudden feeling that he had been trapped. He snatched at his knife and backed clumsily down the stair then turned. Bobo’s knife was out even faster. For a split moment the outcome hung balanced, ready to fall either way. But Joe-Jim slapped Bobo across the face, took his knife from him and let it clatter to the deck, then relieved him of his slingshot.

Narby was in full flight, with Hugh and Ertz calling vainly after him. “Fetch him, Bobo!” Jim commanded, “and do not hurt him.” Bobo lumbered away.

He was back in fairly short order. “Run fast,” be commented. He dropped Narby to the deck where the officer lay almost quiet while he fought to catch his breath. Bobo took Narby’s knife from his own belt and tried it by shaving coarse black hairs from his left forearm. “Good blade,” he approved.

“Give it back to him,” Jim ordered. Bobo looked extremely startled but complied wistfully. Joe-Jim returned Bobo’s own weapons to him. Narby matched Bobo’s surprise at regaining his sidearm, but he concealed it better. He even managed to accept it with dignity.

“Look,” Ertz began in worried tones, “I’m sorry you got your wind up, Fin. Bobo’s not a bad sort. It was the only way to get you back.”

Narby fought with himself to regain the cool self-discipline with which he habitually met the world. Damn! he told himself, this situation is preposterous. Well… “Forget it,” he said shortly.  “I was expecting to meet you; I didn’t expect a bunch of armed muties. You have an odd taste in playmates, Ertz.”

“Sorry,” Bill Ertz replied, “I guess I should have warned you.” a piece of mendacious diplomacy. “But they’re all right. Bobo you’ve met. This is Joe-Jim. He’s a… a sort of a Ship’s officer among the muties.”

“Good eating,” Joe acknowledged politely. “Good eating,” Narby replied mechanically.

“Hugh you know, I think.” Narby agreed that he did. An embarrassed pause followed. Narby broke it.

“Well,” he said, “you must have had some reason to send word for me to come up here. Or was it just to play games?”

“I did,” Ertz agreed. “I — Shucks, I hardly know where to start. See here, Narby, you won’t believe this, but I’ve seen. Everything Hugh told us was true. I’ve been in the Control Room. I’ve seen the stars. I know?”

Narby stared at him. “Ertz,” he said slowly, “you’ve gone out of your mind.”

Hugh Hoyland spoke up excitedly. “That’s because you haven’t seen. It moves, look you. The Ship moves like a—”

“Fit handle this,” Ertz cut in. “listen to me, Narby. What it all means you will soon decide for yourself, but I can tell you what I saw. They took me up to no-weight and into the Captain’s veranda. That’s a compartment with a glass wall. You can stare right out through into a great black empty space: big, bigger than anything could be. Bigger than the Ship. And there were lights out there, stars, just like the ancient myths said.”

Narby looked both amazed and disgusted. “Where’s your logic, man? I thought you were a scientist. What do you mean, ‘bigger than the Ship’? That’s an absurdity, a contradiction in terms. By definition, the Ship is the Ship. All else is a part of it.”

Ertz shrugged helplessly. “I know it sounds that way. I can’t explain it; it defies all logic. It’s — Oh, Huff! You’ll know what I mean when you see it.”

“Control yourself,” Narby advised him. “Don’t talk nonsense. Athing is logical or it isn’t. For a thing to be it must occupy space. You’ve seen, or thought you saw, something remarkable, but whatever it was, it can be no larger than the compartment it was in. You can’t show me anything that contradicts an obvious fact of nature.”

“I told you I couldn’t explain it.” “Of course you can’t.”

The twins had been whispering disgustedly, one head to the other. “Stop the chatter,” Joe said in louder tones. “We’re ready to go. Come on.” “Sure,” Ertz agreed eagerly, “let’s drop it, Narby, until you have seen it. Come on now; it’s a long climb.”

“What?” Narby demanded. “Say, what is this? Go where?” “Up to the Captain’s veranda, and the Control Room.” “Me? Don’t be ridiculous. I’m going down at once.”

“No, Narby,” Ertz denied. “That’s why I sent for you. You’ve got to see.”

“Don’t be silly. I don’t need to see; common sense gives sufficient answer. However,” he went on, “I do want to congratulate you on making a friendly contact with the muties. We should be able to work out some means of cooperation. I think—”

Joe-Jim took one step forward. “You’re wasting time,” he said evenly. “We’re going up; you, too. I really do insist.”              Narby shook his head. “It’s out of the question. Some other time, perhaps, after we have worked out a method of cooperation.” Hugh stepped in closer to him from the other side. “You don’t seem to understand. You’re going now.”

Narby glanced the other way at Ertz. Ertz nodded. “That’s how it is, Narby.”

Narby cursed himself silently. Great Jordan! What in the Ship was he thinking of to let himself get into such a position? He had a distinct feeling that the two-headed man would rather  that he showed fight. Impossible, preposterous situation. He cursed again to himself, but gave way as gracefully as he could. “Oh, well! Rather than cause an argument I’ll go now. Let’s get on with it. Which way?”

“Just stick with me,” advised Ertz. Joe-Jim whistled loudly in a set pattern. Muties seemed to grow out of the floor plates, the bulkheads, the overhead, until six or eight more had been added to the party. Narby was suddenly sick with the full realization of just how far he had strayed from the way of caution. The party moved up.

It took them a long time to get up to no-weight, as Narby was not used to climbing. The steady reduction in weight as they rose from deck to deck relieved him somewhat but the help afforded was more than offset by the stomach qualms he felt as weight dropped away from him. He did not have a true attack of space-sickness; like all born in the Ship, muties and Crew, he was more or less acclimated to lessened weight, but he had done practically no climbing since reckless adolescence. By the time they reached the innermost deck of the Ship he was acutely uncomfortable and hardly able to proceed.

Joe-Jim sent the added members of the party back below and told Bobo to carry Narby. Narby waved him away. “I can make it,” he protested, and by sheer stubborn will forced his body to behave. Joe-Jim looked him over and countermanded the order. By the time a long series of gliding dives had carried them as far forward as the transverse bulkhead beyond which lay  the Control Room, he was reasonably comfortable again.

They did not stop first at the Control Room, but, in accordance with a plan of Hugh’s, continued on to. the Captain’s veranda. Narby was braced for what he saw there, not only by Ertz’s confused explanation, but because Hugh had chattered buoyantly to him about it all the latter part of the trip. Hugh was feeling warmly friendly to Narby by the time they arrived; it was wonderful to have somebody to listen!

Hugh floated in through the door ahead of the others, executed a neat turn in mid-air, and steadied himself with one hand on the back of the Captain’s easy chair. With the other he waved at the great view port and the starry firmament beyond it. “There it is!” he exulted. “There it is. Look at it, isn’t it wonderful?”

Narby’s face, showed no expression, but he looked long and intently at the brilliant display. “Remarkable,” he conceded at last, “remarkable. I’ve never seen anything like it.” “Remarkable ain’t half,” protested Hugh. “Wonderful is the word.”

“O.K., ‘wonderful,’” Narby assented. “Those bright little lights … you say those are the stars that the ancients talked about?”

“Why, yes,” agreed Hugh, feeling slightly disconcerted without knowing why, “only they’re not little. They’re big, enormous things, like the Ship. They just look little because they are so far away. See that very bright one, that big one, down to the left? It looks big because it’s closer. I think that is Far Centaurus, but I’m not sure,” he admitted in a burst of frankness.

Narby glanced quickly at him, then back to the big star. “How far away is it?”

“I don’t know. But we’ll find out. There are instruments to measure such things in the Control Room, but I haven’t got the hang of them entirely. It doesn’t matter, though. We’ll get there yet!”

“Huh?”

“Sure. Finish the Trip.”

Narby looked blank, but said nothing. His was a careful and orderly mind, logical to a high degree. He was a capable executive and could make rapid decisions when necessary, but he was by nature inclined to reserve his opinions when possible, until he had had time to chew over the data and assess it.

He was even more taciturn, in the Control Room. He listened and looked, but asked very few questions. Hugh did not care. This was his toy, his gadget, his baby. To show it off to someone who had never seen it and who would listen was all he asked.

At Ertz’s suggestion the party stopped at Joe-Jim’s apartment on the way back down. Narby must be committed to the same course of action as the blood brotherhood and plans must be made to carry out such action, if the stratagem which brought Narby to them was to be fruitful. Narby agreed to stop unreluctantly, having become convinced of the reality of the truce under which he made this unprecedented sortie into mutie country. He listened quietly while Ertz outlined what they had in mind. He was still quiet when Ertz had finished.

“Well?” said Ertz at last, when the silence had dragged on long enough to get on his nerves. “You expect some comment from me?”

“Yes, of course. You figure into it.” Narby knew that he did and knew that an answer was expected from him; he was stalling for time.

“Well…” Narby pursed his lips and fitted his fingertips together. “It seems to me that this problem divides itself into two parts. Hugh Hoyland, as I understand it, your purpose of carrying  out the ancient Plan of Jordan cannot be realized until the Ship as a whole is pacified and brought under one rule; you need order and discipline for your purpose from Crew country clear to the Control Room. Is that right?”

“Certainly. We have to man the Main Drive and that means—”

“Please. Frankly, I am not qualified to understand things that I have seen so recently and have had no opportunity to study. As to your chances of success in that project, I would prefer to rely on the opinion of the Chief Engineer. Your problem is the second phase; it appears that you are necessarily interested in the first phase.”

“Of course.”

“Then let’s talk about the first phase only. It involves matters of public policy and administration. I feel more at home there; perhaps my advice will be useful. Joe-Jim, I understand that you ate looking for an opportunity to effect a peace between the muties and the members of the Crew; peace and good eating? Right?”

“That’s correct,” Jim agreed.

“Good. It has been my purpose for a long time and that of many of the Ship’s officers. Frankly it never occurred to me that it could be achieved other than by sheer force. We had steeled ourselves to the prospect of a long and difficult and bloody war. The records of the oldest Witness, handed down to him by his predecessors clear back to the time of the mythical Mutiny, make no mention of anything but war between muties and the Crew. But this is a better way; I am delighted.”

“Then you’re with us!” exclaimed Ertz.

“Steady, there are many other things to be considered. Ertz, you and I know, and Hoyland as well I should think, that not all of the Ship’s officers will agree with us. What of that?” “That’s easy,” put in Hugh Hoyland. “Bring them up to no-weight one at a time, let them see the stars and learn the truth.”

Narby shook his head. “You have the litter carrying the porters. I told you this problem is in two phases. There is no point in trying to convince a man of something he won’t believe when you need him to agree to something he can understand. After the Ship is consolidated it will be simple enough then to let the officers experience the Control Room and the stars.”

“But—”

“He’s right,” Ertz stopped him. “No use getting cluttered up with a lot of religious issues when the immediate problem is a practical one. There are numerous officers whom we could get on our side for the purpose of pacifying the Ship who would raise all kinds of fuss if we tackled them first on the idea that the Ship moves.”

“But—”

“No ‘buts’ about it. Narby is right. It’s common sense. Now, Narby, about this matter of those officers who may not be convinced, here’s how we see it: In the first place it’s your business and mine to win over as many as we can. Any who hold out against us — well, the Converter is always hungry.”

Narby nodded, completely undismayed by the idea of assassination as a policy. “That seems the safest plan. Mightn’t it be a little bit difficult?” “That is where Joe-Jim comes in. We’ll have the best knives in the Ship to back us up.”

“I see. Joe-Jim is, I take it, Boss of all the muties?”

“What gave you that idea?” growled Joe, vexed without knowing why.

“Why, I supposed … I was given to understand—” Narby stopped. No one had told him that Joe-Jim was king of the upper decks; he had assumed it from appearances. He felt suddenly very uneasy. Had he been negotiating uselessly? What was the point in a pact with this two-headed monstrosity if he did not speak for the muties?

“I should have made that clear,” Ertz said hastily. “Joe-Jim helps us to establish a new administration, then we will be able to back him up with knives to pacify the rest of the muties. Joe- Jim isn’t Boss of all the muties, but he has the largest, strongest gang. With our help he soon will be Boss of all of them.”

Narby quickly adjusted his mind to the new data. Muties against muties, with only a little help from the cadets of the Crew, seemed to him a good way to fight. On second thoughts, it was better than an outright truce at once, for there would be fewer muties to administer when it was all over, less chance of another mutiny. “I see,” he agreed. “So … Have you considered what the situation will be afterwards?”

“What do you mean?” inquired Hoyland.

“Can you picture the present Captain carrying out these plans?” Ertz saw what he was driving at, and so did Hoyland vaguely. “Go on,” said Ertz.

“Who is to be the new Captain?” Narby looked squarely at Ertz.

Ertz had not thought the matter through; he realized now that the question was very pertinent, if the coup d’etat was not to be followed by a bloody scramble for power. He had permitted himself to dream of being selected as Captain, sometime. But he knew that Narby was pointed that way, too.

Ertz had been as honestly struck by the romantic notion of moving the Ship as Hoyland. He realized that his old ambition stood in the way of the plan; he renounced the old with only a touch of wistfulness.

“You will have to be Captain, Fin. Are you willing to be?”

Phineas Narby accepted gracefully. “I suppose so, if that’s the way you want it. You would make a fine Captain, yourself, Ertz.”

Ertz shook his head, understanding perfectly that Narby’s full cooperation turned on this point. “I’ll continue Chief Engineer. I want to handle the Main Drive of the Trip.” “Slow down!” Joe interrupted. “I don’t agree to this. Why should he be Captain?”

Narby faced him. “Do you want to be Captain?” He kept his voice carefully free of sarcasm. Amutie for Captain! “Huff’s name, no! But why should you be? Why not Ertz or Hugh?”

“Not me,” Hugh disclaimed. “I’ll have no time for administration. I’m the astrogator.”

“Seriously, Joe-Jim,” Ertz explained, “Narby is the one of the group who can get the necessary cooperation out of the Ship’s officers.” “Damn it, if they won’t cooperate we can slit their throats.”

“With Narby as Captain we won’t have to slit throats.”

“I don’t like it,” groused Joe. His brother shushed, “Why get excited about it, Joe? Jordan knows we don’t want the responsibility.”

“I quite understand your misgivings,” Narby suggested suavely, “but I don’t think you need worry. I would forced to depend on you, of course, to administer the muties. I would administer the lower decks, a job I am used to and you would be Vice-Captain, if you are willing serve, for the muties. It would be folly for me to attempt to administer directly a part of the Ship I’m not familiar with and people whose customs I don’t know. I really can’t accept the captaincy unless you are willing to help me in that fashion. Will you do it?”

“I don’t want any part of it,” protested Joe.

“I’m sorry. Then I must refuse to be Captain. I really can’t undertake it if you won’t help me that much.” “Oh, go ahead, Joe,” Jim insisted. “Let’s take it, for the time being at least. The job has to be done.” “All right,” Joe capitulated, “but I don’t like it.”

Narby ignored the fact that Joe-Jim had not specifically agreed to Narby’s elevation to the captaincy; no further mention was made of it.

The discussion of ways and means was tedious and need not be repeated. It was agreed that Ertz, Alan, and Narby should all return to their usual haunts and occupations while preparations were made to strike.

Hugh detailed a guard to see them safely down to high-weight. “You’ll send Alan up when you are ready?” he said to Narby as they were about to leave.

“Yes,” Narby agreed, “but don’t expect him soon. Ertz and I will have to have time to feel out friends, and there’s the matter of the old Captain. I’ll have to persuade him to call a meeting of all the Ship’s officers; he’s never too easy to handle.”

“Well, that’s your job. Good eating!” “Good eating.”

On the few occasions when the scientist priests who ruled the Ship under Jordan’s Captain met in full assembly they gathered in a great hall directly above the Ship’s offices on the last civilized deck. Forgotten generations past, before the time of the mutiny led by Ship’s Metalsmith Roy Huff, the hall had been a gymnasium, a place for fun and healthy exercise, as planned by the designers of the great starship; but the present users knew nothing of that.

Narby watched the roster clerk check off the Ship’s Officers as they arrived, worried under a bland countenance. There were only a few more to arrive; he would soon have no excuse not to notify the Captain that the meeting was ready, but he had received no word from Joe-Jim and Hoyland. Had that fool Alan managed to get himself killed on the way up to deliver the word? Had he fallen and broken his worthless neck? Was he dead with a mutie’s knife in his belly?

Ertz came in, and before seeking his seat among the department heads, went up to where Narby sat in front of the Captain’s chair. “How about it?” he inquired softly. “All right,” Narby told him, “but no word yet.”

“Hm-m-m.” Ertz turned around and assayed his support in the crowd. Narby did likewise. Not a majority, not a certain majority, for anything as drastic as this. Still, the issue would not depend on voting.

The roster clerk touched his arm. “All present, sir, except those excused for sickness, and one on watch at the Converter.”

Narby directed that the Captain be notified, with a sick feeling that something had gone wrong. The Captain, as usual, with complete disregard for the comfort and convenience of others, took his time about appearing. Narby was glad of the delay, but miserable in enduring it. When the old man finally waddled in, flanked by his orderlies, and settled heavily into his chair,   he was, again as usual, impatient to get the meeting over. He waved for the others to be seated and started in on Narby.

“Very well, Commander Narby, let’s have the agenda. You have an agenda, I hope?” “Yes, Captain, there is an agenda.”

“Then have it read, man, have it read! Why are you delaying?”

“Yes, sir.” Narby turned to the reading clerk and handed him a sheaf of writings. The clerk glanced at them, looked puzzled, but, receiving no encouragement from Narby, commenced to read: “Petition, to Council and Captain: Lieutenant Braune, administrator of the village of Sector 9, being of frail health and advanced age, prays that he be relieved of all duty and retired.” The clerk continued, setting forth the recommendations of the officers and departments concerned.

The Captain twisted impatiently in his chair, finally interrupted the reading. “What is this, Narby? Can’t you handle routine matters without all this fuss?”

“I understood that the Captain was displeased with the fashion in which a similar matter was lately handled. I have no wish to trespass on the Captain’s prerogatives.” “Nonsense, man! Don’t read Regulations to me. Let the Council act, then bring their decision to me for review.”

“Yes, sir.” Narby took the writing from the clerk and gave him another. The clerk read.

It was an equally fiddling matter. Sector 3 village, because of an unexplained blight which had infected their hydroponic farms, prayed for relief and a suspension of taxes. The Captain  put up with still less of this item before interrupting. Narby would have been sorely pressed for any excuse to continue the meeting had not the word he awaited arrived at that moment. It was a mere scrap of parchment, brought in from outside the hall by one of his own men. It contained the single word, “Ready.” Narby looked at it, nodded to Ertz, and addressed the Captain:

“Sir, since you have no wish to listen to the petitions of your Crew, I will continue at once with the main business of this meeting.” The veiled insolence of the statement caused the Captain to stare at him suspiciously, but Narby went on. “For many generations, through the lives of a succession of Witnesses, the Crew has suffered from the depredations of the muties. Our livestock, our children, even our own persons, have been in constant jeopardy. Jordan’s Regulations are not honored above the levels where we live. Jordan’s Captain himself is not free to travel in the upper levels of the Ship.

“It has been an article of faith that Jordan so ordained it, that the children pay with blood for the sins of their ancestors. It was the will of Jordan, we were told. “I, for one, have never been reconciled to this constant drain on the Ship’s mass.” He paused.

The old Captain had been having some difficulty in believing his ears. But he found his voice. Pointing, he squealed, “Do you dispute the Teachings?”

“I do not. I maintain that the Teachings do not command us to leave the muties outside the Regulations, and never did. I demand that they be brought under the Regulations!” “You … you! You are relieved of duty, sir!”

“Not,” answered Narby, his insolence now overt, “until I have had my say.”

“Arrest that man!” But the Captain’s orderlies stood fast, though they shuffled and looked unhappy. Narby himself had selected them.

Narby turned back to the amazed Council, and caught the eye of Ertz. “All right,” he said. “Now!” Ertz got up and trotted toward the door. Narby continued, “Many of you think as I do, but we always supposed that we would have to fight for it. With the help of Jordan, I have been able to achieve contact with the muties and propose terms of a truce. Their leaders are coming here to negotiate with us. There!” He pointed dramatically at the door.

Ertz reappeared; following him came Hugh Hoyland, Joe-Jim, and Bobo. Hoyland turned to the right along the wall and circled the company. He was followed single file by a string of muties: Joe-Jim’s best butcher boys. Another such column trailed after Joe-Jim and Bobo to the left.

Joe-Jim, Hugh, and half a dozen more in each wing were covered with crude armor which extended below their waists. The armor was topped off with clumsy helms, latticeworks of steel, which protected their heads without greatly interfering with vision. Each of the armored ones, a few of the others, carried unheard-of knives, long as a man’s arm!

The startled officers might have stopped the invasion at the bottleneck through which it entered had they been warned and led. But they were disorganized, helpless, and their strongest leaders had invited the invaders in. They shifted in their chairs, reached for their knives, and glanced anxiously from one to another. But no one made the first move which would start a general bloodletting.

Narby turned to the Captain. “What about it? Do you receive this delegation in peace?”

It seemed likely that age and fat living would keep the Captain from answering, from ever answering anything again. But he managed to croak, “Get ‘em out of here! Get ‘em out! You— You’ll make the Trip for this!”

Narby turned back to Joe-Jim and jerked his thumb upward. Jim spoke to Bobo and a knife was buried to the grip in the Captain’s fat belly. He squawked, rather than screamed, and a look of utter bewilderment spread over his features. He plucked awkwardly at the hilt as if to assure himself that it was really there. “Mutiny.” he stated. “Mutiny—” The word trailed off as he collapsed into his chair, and fell heavily forward to the deck on his face.

Narby shoved it with his foot and spoke to the two orderlies. “Carry it outside,” he commanded. They obeyed, seeming relieved at having something to do and someone to tell them to do it. Narby turned back to the silent watching mass. “Does anyone else object to a peace with the muties?”

An elderly officer, one who had dreamed away his life as judge and spiritual adviser to a remote village, stood up and pointed a bony finger at Narby, while his white beard jutted indignantly. “Jordan will punish you for this! Mutiny and sin, the spirit of Huff!”

Narby nodded to Joe-Jim; the old man’s words gurgled in his throat, the point of a blade sticking out under one ear. Bobo looked pleased with himself.

“There has been enough talk,” Narby announced. “It is better to have a little blood now than much blood later. Let those who stand with me in this matter get up and come forward.” Ertz set the precedent by striding forward and urging his surest personal supporters to come with him. Reaching the front of the room, he pulled out his knife and raised the point. “I

salute Phineas Narby, Jordan’s Captain!”

His own supporters were left with no choice. “Phineas Narby, Jordan’s Captain!”

The hard young men in Narby’s clique, the backbone of the dissident rationalist bloc among the scientist priests, joined the swing forward en masse, points raised high and shouting for

the new Captain. The undecided and the opportunists hastened to join, as they saw which side of the blade was edged. When the division was complete, there remained a handful only of Ship’s officers still hanging back, almost all of whom were either elderly or hyperreligious.

Ertz watched Captain Narby look them over, then pick up Joe-Jim with his eyes. Ertz put a hand on his arm. “There are few of them and practically helpless,” he pointed out. “Why not disarm them and let them retire?”

Narby Eave him an unfriendly look. “Let them stay alive and breed mutiny. I am quite capable of making my own decisions, Ertz.” Ertz bit his lip. “Very well, Captain.”

“That’s better.” He signaled to Joe-Jim. The long knives made short work of it.

Hugh hung back horn the slaughter. His old teacher, Lieutenant Nelson, the village scientist who had seen his ability and selected him for scientisthood, was one of the group. It was a factor be had not anticipated.

World conquest and consolidation. Faith, or the Sword. Joe-Jim’s bullies, amplified by hot-blooded young cadets supplied by Captain Narby, combed the middle decks and the upper decks. The muties, individualists by the very nature of their existence and owing no allegiance higher than that to the leaders of their gangs, were no match for the planned generalship of Joe-Jim, nor did their weapons match the strange, long knives that bit before a man was ready.

The rumor spread through mutie country that it was better to surrender quietly to the gang of the Two Wise Heads; good eating for those who surrendered, death inescapable for those who did not.

But it was nevertheless a long slow process. There were so many, many decks, so many miles of gloomy corridors, so many countless compartments in which unsubdued muties might lurk. Furthermore, the process grew slower as it advanced, as Joe-Jim attempted to establish a police patrol, an interior guard, over each sector, deck, and stair way trunk, as fast as his striking groups mopped them up.

To Narby’s disappointment, the two-headed man was not killed in his campaigns. Joe-Jim had learned from his own books that a general need not necessarily expose himself to direct combat.

Hugh buried himself in the Control Room. Not only was he more interested in the subtle problems of mastering the how and why of the complex controls and the parallel complexity of starship ballistics, but also the whole matter of the blood purge was distasteful to him because of Lieutenant Nelson. Violence and death he was used to; they were commonplace even on the lower levels, but that incident made him vaguely unhappy, even though his own evaluations were not sufficiently clean-cut for him to feel personal responsibility for the old man’s death.

He just wished it had not happened.

But the controls: ahh. There was something a man could put his heart into. He was attempting a task that an Earthman would have rejected as impossible; an Earthmaa would have known that the piloting and operation of an interstellar ship was a task so difficult that the best possible technical education combined with extensive experience in the handling of lesser spacecraft would constitute a barely adequate grounding for the additional intensive highly specialized training necessary for the task.

Hugh Hoyland did not know that. So he went ahead and did it anyhow.

In which attempt he was aided by the genius of the designers. The controls of most machinery may be considered under the head of simple pairs, stop-and-go, push-and-pull, up-and- down, in-and-out, on-and-off, right-and-left, their permutations and combinations. The real difficulties have to do with upkeep and repair, adjustment and replacements.

But the controls and main drive machinery of the starship Vanguard required no upkeep and no repair; their complexities were below the molar level, they contained no moving parts, friction took no toil and they did not fall out of adjustment. Had it been necessary for him to understand and repair the machines he dealt with, it would have been impossible. Afourteen- year-old child may safely be entrusted with a family skycar and be allowed to make thousand-mile jaunts overnight unaccompanied; it is much more probable that he will injure himself on the trip by overeating than by finding some way to mismanage or damage the vehicle. But if the skycar should fall out of adjustment, ground itself, and signal for a repair crew, the repair crew is essential; the child cannot fix it himself.

The Vanguard needed no repair crew, save for nonessential ancilliary machinery such as transbelts, elevators, automassagers, dining services, and the like. Such machinery which necessarily used moving parts had worn out before the time of the first Witness; the useless mass involved had gone into the auxiliary Converter, or had been adapted to other simpler purposes. Hugh was not even aware that there ever had been such machinery; the stripped condition of most compartments was a simple fact of nature to him, no cause for wonder.

Hugh was aided in his quest for understanding by two other facts:

First, spaceship ballistics is a very simple subject, being hardly more than the application of the second law of motion to an inverse-square field. That statement runs contrary to our   usual credos; It happens to be true. Baking a cake calls for much greater, though subconscious, knowledge of engineering; knitting a sweater requires a subconscious understanding of much more complex mathematical relationships: topology of a knitted garment, but try it yourself sometime!

For a complex subject, consider neurology, or catalysts, but don’t mention ballistics.

Second, the designers had clearly in mind that the Vanguard would reach her destination not sooner than generations after her departure; they wished to make it easy for the then-not- yet-born pilots who would command her on arrival. Although they anticipated no such hiatus in technical culture as took place, they did their best to make the controls simple and self- explanatory. The sophisticated fourteen-year-old mentioned, oriented as he would be to the concept of space, would doubtless have figured them out in a few minutes. Hugh, reared in a culture which believed that the Ship was the whole world, made no such quick job of it.

He was hampered by two foreign concepts, distance and metrical time. He had to learn to operate the finder, a delayed-action, long-base, parallax type designed for the Vanguard, and had taken measurements on a couple of dozen stellar bodies before it occurred him that the results he was getting could possibly stand for anything. The readings were in parsecs and without meaning emotionally. The attempt with the aid of the Sacred to translate his readings into linear units he could stand resulted in figures which he felt sure were were obviously preposterous. Check and recheck, followed long periods of brooding forced him unwillingly into some dim comprehension of astronomical magnitudes.

The concepts frightened him and bewildered him. For a period of several sleeps he stayed away from the Control Room, and gave way to a feeling of futility and depression. He occupied the time in sorting over the women captives, it being the first time since his capture by Joe-Jim long ago that he had had both the opportunity and the mood to consider the subject. The candidates were numerous, for, in addition to the usual crop of village maidens, Joe-Jim’s military operations had produced a number of prime widows. Hugh availed himself of his leading position in the Ship’s new setup to select two women. The first was a widow, a strong competent woman, adept at providing a man with domestic comforts. He set her up in his new apartment high up in low-weight, gave her a free hand, and allowed her to retain her former name of Chloe.

The other was a maiden, untrained and wild as a mutie. Hugh could not have told himself why he picked her. Certainly she had no virtues, but she made him feel funny. She had bitten him while he was inspecting her; he had slapped her, naturally, and that should have been an end to the matter. But he sent word back later for her father to send her along.

He had not got around to naming her.

Metrical time caused him as much mental confusion as astronomical distances, but no emotional upset The trouble was again the lack of the concept in the Ship. The Crew had the notion of topological time; they understood “now,” “before,” “after,” “has been,” “will be,” even such notions as long time and short time, but the notion of measured time had dropped out of the culture. The lowest of earthbound cultures has some idea of measured time, even if limited to days and seasons, but every earthly concept of measured time originates in astronomical phenomena; the Crew had been insulated from all astronomical phenomena for uncounted generations.

Hugh had before him, on the control consoles, the only working timepieces in the Ship, but it was a long, long time before he grasped what they were for and what bearing they had on other instruments. But until did, he could not control the Ship. Speed, and its derivatives, acceleration and flexure, are based on measured time.

But when these two new concepts were finally grasped, chewed over, and ancient books reread in the light of these concepts, he was, in a greatly restricted and theoretical sense, an astrogator.

Hugh sought out Joe-Jim to ask him a question. Joe-Jim’s minds were brilliantly penetrating when he cared to exert himself; he remained a superficial dilettante because he rarely cared.

Hugh found Narby just leaving. In order to conduct the campaign of pacification of the muties it had been necessary for Narby and Joe-Jim to confer frequently; to their mutual surprise they got along well together. Narby was a capable administrator, able to delegate authority and not given to useless elbow jogging; Joe-Jim surprised and pleased Narby by being more able than any subordinate he had ever dealt with before. There was no love wasted. between them, but each recognized in the other both intelligence and a hard self-interest which matched his own. There was respect and grudging contemptuous liking.

“Good eating, Captain,” Hugh greeted Narby formally.

“Oh, hello, Hugh,” Narby answered, then turned back to Joe-Jim. “I’ll expect a report, then.”

“You’ll get it,” Joe agreed. “There can’t be more than a few dozen stragglers. We’ll hunt them out, or starve them.” “Am I butting in?” Hugh asked.

“No, I’m just leaving. How goes the great work, my dear fellow?” He smiled irritatingly. “Well enough, but slowly. Do you wish a report?”

“No hurry. Oh, by the bye, I’ve made the Control Room and Main Drive, in fact the entire level of no-weight, taboo for everyone, muties and Crew alike.” “So? I see your point, I guess. There is no need for any but officers to go up there.”

“You don’t understand me. It is a general taboo, applying to officers as well. Not to ourselves, of course.”                             “But… but, that won’t work. The only effective way to convince the officers of the truth is to take them up and show them the stars!”

“That’s exactly my point. I can’t have any officers upset by disturbing ideas while I am consolidating my administration. It will, create religious differences and impair discipline.” Hugh was too upset and astounded to answer at once. “But,” he said at last, “but that’s the point. That’s why you were made Captain.”

“And as Captain I will have to be the final judge of policy. The matter is closed. You are not to take anyone to the Control Room, nor any part of no-weight, until I deem it advisable. You’ll have to wait.”

“It’s a good idea, Hugh,” Jim commented. “We shouldn’t stir things up while we’ve got a war to attend to.” “Let me get this straight,” Hugh persisted. “You mean this is a temporary policy?”

“You could put it that way.”

“Well, all right,” Hugh conceded. “But wait — Ertz and I need to train assistants at once.” “Very well. Nominate them to me and I’ll pass on them. Whom do you have in mind?”

Hugh thought. He did not actually need assistance himself; although the Control Room contained acceleration chairs for half a dozen, one man, seated in the chief astrogator’s chair, could pilot the Ship. The same applied to Ertz in the Main Drive station, save in one respect. “How about Ertz? He needs porters to move mass to the Main Drive.”

“Let him. I’ll sign the writing. See that he uses porters from the former muties; but no one goes to the Control Room save those who have been there before.” Narby turned and left with an air of dismissal.

Hugh watched him leave, then said, “I don’t like this, Joe-Jim.” “Why not?” Jim asked. “It’s reasonable.”

“Perhaps it is. But … well, damn it! It seems to me, somehow, that truth ought to be free to anyone, any time!” He threw up his hands in a gesture of baffled exasperation. Joe-Jim looked at him oddly. “What a curious idea,” said Joe.

“Yeah, I know. It’s not common sense, but it seems like it ought to be. Oh, well, forget it! That’s not what I came to see you about.” “What’s on your mind, Bud?”

“How do we … Look, we finish the Trip, see? We’ve got the Ship touching a planet, like this—” He brought his two fists together. “Yes. Go on.”

“Well, when that’s done, how do we get out of the Ship?”

The twins looked confused, started to argue between themselves. Finally Joe interrupted his brother. “Wait a bit, Jim. Let’s be logical about this. It was intended for us to get out; that implies a door, doesn’t it?”

“Yeah. Sure.”

“There’s no door up here. It must be down in high weight.”

“But it isn’t,” objected Hugh. “All that country is known. There isn’t any door. It has to be up in mutie country.”

“In that case,” Joe continued, “it should be either all the way forward, or all the way aft, otherwise it would not go anywhere. It isn’t aft. There’s nothing back of Main Drive but solid bulkheads. It would need to be forward.”

“That’s silly,” Jim commented. “There’s the Control Room and the Captain’s veranda. That’s all.” “Oh, yeah? How about the locked compartments?”

“Those aren’t doors, not to the Outside anyway. Just bulkheads abaft the Control Room.” “No, stupid, but they might lead to doors.”

“Stupid, eh? Even so, how are you going to open them; answer me that, bright boy?” “What,” demanded Hugh, “are the ‘locked compartments’?”

“Don’t you know? There are seven doors, spaced on the main shaft in the same bulkhead as the door to Main Control Room. We’ve never been able to open them.” “Well, maybe that’s what we’re looking for. Let’s see!”

“It’s a waste of time,” Jim insisted. But they went.

Bobo was taken along to try his monstrous strength on the doors. But even his knotted swollen muscles couldn’t budge the levers which appeared to be intended to actuate the doors. “Well?” Jim sneered to his brother. “You see?”

Joe shrugged. “O.K., you win. Let’s go down.”

“Wait a little,” Hugh pleaded. “The second door back the handle seemed to turn a little. Let’s try it again.” “I’m afraid it’s useless,” Jim commented. But Joe said, “Oh, all right, as long as we’re here.”

Bobo tried again, wedging his shoulder under the lever and pushing from his knees. The lever gave suddenly, but the door did not open. “He’s broken it,” Joe announced.

“Yeah,” Hugh acknowledged. “I guess that’s that.” He placed his hand against the door. It swung open easily.

The door did not lead to outer space, which was well for the three, for nothing in their experience warned them against the peril of the outer vacuum. Instead a very short and narrow vestibule led them to another door which was just barely ajar. The door stuck on its hinges, but the fact that it was slightly ajar prevented it from binding anywhere else. Perhaps the last man to use it left it so as a precaution against the metal surfaces freezing together, but no one would ever know.

Bobo’s uncouth strength opened it easily. Another door lay six feet beyond. “I don’t understand this,” complained Jim as Bobo strained at the third door. “What’s the sense in an endless series of doors?”

“Wait and find out,” advised his brother.

Beyond the third door lay, not another door, but an apartment, a group of compartments, odd ones, small, crowded together and of unusual shapes. Bobo shot on. ahead and explored the place, knife in teeth, his ugly body almost graceful in flight. Hugh and Joe-Jim proceeded more slowly, their eyes caught by the strangeness Of the place.

Bobo returned, killed his momentum skillfully against a bulkhead, took his blade from his teeth, and reported, “No door. No more door any place. Bobo look.” “There has to be,” Hugh insisted, irritated at the dwarf for demolishing his hopes.

The moron shrugged. “Bobo look.”

“We’ll look.” Hugh and the twins moved off in different directions, splitting the reconnaissance between them.

Hugh found no door, but what he did find interested him even more: an impossibility. He was about to shout for Joe-Jim, when he heard his own name called. “Hugh! Come here!” Reluctantly he left his discovery, and sought out the twins. “Come see what I’ve found,” he began.

“Nevermind,” Joe cut him short. “Look at that.”

Hugh looked. “That” was a Converter. Quite impossibly but indubitably a Converter. “It doesn’t make sense,” Jim protested. “An apartment this size doesn’t need a Converter. That thing would supply power and light for half the Ship. What do you make of it, Hugh?”

Hugh examined it. “I don’t know,” he admitted, “but if you think this is strange, come see what I’ve found.” “What have you found?”

“Come see.”

The twins followed him, and saw a small compartment, one wall of which appeared to be of glass, black as if the far side were obscured. Facing the wall were two acceleratlon chairs, side by side. The arms and the lap desks of the chairs were covered with patterns of little white lights of the same sort as the control lights on the chairs in the Main Control Room.

Joe-Jim made no comment at first, save for a low whistle from Jim. He sat down in one of the chairs and started experimenting cautiously with the controls. Hugh sat down beside him. Joe-Jim covered a group of white lights on the right-hand arm of his chair; the lights in the compartment went out. When he lifted his hand the tiny control lights were blue instead of white. Neither Joe-Jim nor Hugh was startled. When the lights went out; they had expected it, for the control involved corresponded to similar controls in the Control Room.

Joe-Jim fumbled around, trying to find controls which would produce a simulacrum of the heavens on the blank glass before him. There were no such controls and he had no way of knowing that the glass was an actual view port, obscured by the hull of the Ship proper, rather than a view screen.

But he did manage to actuate the controls that occupied the corresponding position. These controls were labeled LAUNCHING; Joe-Jim had disregarded the label because he did not understand it. Actuating them produced no very remarkable results, except that a red light blinked rapidly and a transparency below the label came into life. It read: AIR-LOCK OPEN.

Which was very lucky for Joe-Jim, Hugh, and Bobo. Had they closed the doors behind them and had the little Converter contained even a few grams of mass available for power, they would have found themselves launched suddenly into space, in a Ship’s boat unequipped for a trip and whose controls they understood only by analogy with those in the Control Room. Perhaps they could have maneuvered the boat back into its cradle; more likely they would have crashed attempting it.

But Hugh and Joe-Jim were not yet aware that the “apartment” they had entered was a spacecraft; the idea of a Ship’s boat was still foreign to them. “Turn on the lights,” Hugh requested. Joe-Jim did so.

“Well?” Hugh went on. “What do you make of it?”

“It seems pretty obvious,” answered Jim. “This is another Control Room. We didn’t guess it was here because we couldn’t open the door.” “That doesn’t make sense,” Joe objected. “Why should there be two Control Rooms for one Ship?”

“Why should a man have two heads?” his brother reasoned. “From my point of view, you are obviously a supernumerary.” “It’s not the same thing; we were born that way. But this didn’t just happen; the Ship was built.”

“So what?” Jim argued. “We carry two knives, don’t we? And we weren’t born with ‘em. It’s a good idea to have a spare.”

“But you can’t control the Ship from here,” Joe protested. “You can’t see anything from here. If you wanted a second set of controls, the place to put them would be the Captain’s veranda, where you can see the stars.”

“How about that?” Jim asked, indicating the wall of glass.

“Use your head,” his brother advised. “It faces the wrong direction. It looks into the Ship, not out. And it’s not an arrangement like the Control Room; there isn’t any way to mirror the stars on it.”

“Maybe we haven’t located the controls for it.”

“Even so, you’ve forgotten something. How about that little Converter?” “What about it?”

“It must have some significance. It’s not here by accident. I’ll bet you that these controls have something to do with that Converter.” “Why?”

“Why not? Why are they here together if there isn’t some connection?”

Hugh broke his puzzled silence. Everythmg the twins had said seemed to make sense, even the contradictions. It was all very confusing. But the Converter, the little Conver— “Say, look,” he burst out.

“Look at what?”

“Do you suppose — Do you think that maybe this part of the Ship could move?” “Naturally. The whole Ship moves.”

“No,” said Hugh, “no, no. I don’t mean that at all. Suppose it moved by itself. These controls and the little Converter, suppose it could move right away from the Ship.” “That’s pretty fantastic.”

“Maybe so … but if it’s true, this is the way out.”

“Huh?” said Joe. “Nonsense. No door to the Outside here either.”

“But there would be if this apartment were moved away from the Ship: the way we came in!”

The two heads snapped simultaneously toward him as if jerked by the same string. Then they looked at each other and fell to arguing. Joe-Jim repeated his experiment witit the controls. “See?” Joe pointed out “‘Launching.’ It means to start something, to push something away.”

“Then why doesn’t it?”

“‘Air Lock Open.’ The doors we came through; it has to be that. Everything else is closed.” “Let’s try it.”

“We would have to start the Converter first.” “O.K.”

“Not so fast. Get out, and maybe you can’t come back. We’d starve.” “Hm-m-m, we’ll wait a while.”

Hugh listened to the discussion while snooping around the control panels, trying to figure them out. There was a stowage space under the lap desk of his chair; he fished into it, encountered something, and hauled it out. “See what I’ve found!”

“What Is it?” asked Joe. “Oh, a book. Lot of them back in the room next to the Converter.” “Let’s see it,” said Jim. But Hugh had opened it himself. “Log, Starship Vanguard,” he spelled out, “2 June, 2172. Cruising as before—” “What!” yelled Joe. “Let me see that!”

“3 June. Cruising as before. 4 June. Cruising as before. Captain’s mast for rewards and punishments held at 1300. See Administration Log. 5 June. Cruising as before.” “Gimme that!”

“Wait!” said Hugh. “6 June. Mutiny broke out at 0431. The watch became aware of it by visiplate. Hull, Metalsmith Ordinary, screened the control station and called on the watch to surrender, designating himself as ‘Captain.’ The officer of the watch ordered him to consider himself under arrest and signaled the Captain’s cabin. No answer.

“0435. Communications failed. The officer of the watch dispatched a party of three to notify the Captain, turn out the chief proctor, and assist in the arrest of Huff. “0441. Converter power off; free flight

“0502. Lacy, Crewman Ordinary, messenger-of-thewatch, one of the party of three sent below, returned to the control station alone. He reported verbally that the other two, Malcolm Young and Arthur Sears, were dead and that he had been permitted to return in order to notify the watch to surrender. The mutineers gave 0515 as a—”

The next entry was in a different hand: “0545. I have made every attempt to get into communication with other stations and officers in the Ship, without success. I conceive it as my duty, under the circumstances, to leave the control station without being properly relieved, and attempt to restore order down below. My decision may be faulty, since we are unarmed, but I see no other course open to me.

“Jean Baldwin, Pilot Officer Third Class, Officer of the Watch.” “Is that all?” demanded Joe.

“No,” said Hugh. “1 October (approximately), 2172. I, Theodor Mawson, formerly Storekeeper Ordinary, have been selected this date as Captain of the Vanguard. Since the last entry in this log there have been enormous changes. The mutiny has been suppressed, or more properly, has died out, but with tragic cost. Every pilot officer, every navigation officer is dead, or believed to be dead. I would not have been chosen Captain had there been a qualified man left.

“Approximately ninety per cent of the personnel are dead. Not all of that number died in the original outbreak; no crops have been planted since the mutiny; our food stocks are low. There seems to be clear evidence of cannibalism among the mutineers who have not surrendered.

“My immediate task must be to restore some semblance of order and discipline among the Crew. Crops must be planted. Aregular watch must be instituted at the auxiliary Converter on which we are dependent for heat and light and power.”

The next entry was undated. “I have been far too busy to keep this log up properly. Truthfully, I do not know the date even approximately. The Ship’s clocks no longer run. That may be attributable to the erratic operation of the auxiliary Converter, or it may possibly be an effect of radiations from outer space. We no longer have an antiradiation shield around the Ship, since the Main Converter is not in operation. My Chief Engineer assures me that the Main Converter could be started, but we have no one fitted to astrogate. I have tried to teach myself astrogation from the books at hand, but the mathematics involved are very difficult.

“About one newborn child out of twenty is deformed. I have instituted a Spartan code: such children are not permitted to live. It is harsh, but necessary.

“I am growing very old and feeble and must consider the selection of my successor. I am the last member of the crew to be born on Earth, and even I have little recollection of it. I was five when my parents embarked. I do not know my own age, but certain unmistakable signs tell me that the time is not far away when I, too, must make the Trip to the Converter.

“There has been a curious change in orientation in my people. Never having lived on a planet, it becomes more difficult as time passes for them to comprehend anything not connected with the Ship. I have ceased trying to talk to them about it; it is hardly a kindness anyhow, as I have no hope of leading them out of the darkness. Theirs is a hard life at best: they strive for  a crop only to have it raided by the outlaws who still flourish on the upper levels. Why speak to them of better things?

“Rather than pass this on to my successor I have decided to attempt to hide it, if possible, in the single Ship’s boat left by the mutineers who escaped. It will be safe there a long time, otherwise some witless fool may decide to use it for fuel for the Converter. I caught the man on watch feeding it with the last of a set of Encyclopaedia Terresriana: priceless books. The idiot had never been taught to read! Some rule must be instituted concerning books.

“This is my last entry. I have put off making the attempt to place this log in safekeeping, because it is very perilous to ascend above the lower decks. But my life is no longer valuable; I wish to die knowing that a true record is left.

“Theodor Mawson, Captain.”

Even the twins were silent for a long time after Hugh stopped reading. At last Joe heaved a long sigh and said, “So that’s how it happened.” “The poor guy,” Hugh said softly.

“Who? Captain Mawson? Why so?”

“No, not Captain Mawson. That other guy, Pilot Officer Baldwin. Think of him going out through that door, with Huff on the other side.” Hugh shivered. In spite of his enlightenment, he subconsciously envisioned Huff, ‘Huff the Accursed, first to sin,’ as about twice as high as Joe-Jim, twice as strong as Bobo, and having fangs rather than teeth.

Hugh borrowed a couple of porters from Ertz, porters whom Ertz was using to fetch the pickled bodies of the war casualties to the Main Converter for fuel, and used them to provision the Ship’s boat: water, breadstuffs, preserved meats, mass for the Converter. He did not report the matter to Narby, nor did he report the discovery of the boat itself. He had no conscious reason; Narby irritated him.

The star of their destination grew and grew, swelled until it showed a visible disc and was too bright to be stared at long. Its bearing changed rapidly, for a star; it pulled across the backdrop of the stellariwn dome. Left uncontrolled, the Ship would have swung part way around it in a wide hyperbolic arc, accelerated as it flipped around the star, then sped off again into the darkness. It took Hugh the equivalent of many weeks to calculate the elements of the trajectory; it took still longer for Ertz and Joe-Jim to check his figures and satisfy themselves that the preposterous answers were right. It took even longer to convince Ertz that the way to rendezvous in space was to apply a force that pushed one away from where one wished to  go, that is to say, dig in the heels, put on the brakes, kill the momentum.

In fact it took a series of experiments in free flight on the level of weightlessness to sell him the idea, otherwise he would have favored finishing the Trip by the simple expedient of crashing headlong into the star at top Speed. Thereafter Hugh and Joe-Jim calculated how to apply acceleration to kill the speed of the Vanguard and warp her into an eccentric ellipse around the star. After that, they would search for planets.

Ertz bad a little trouble understanding the difference between a planet and a star. Alan never did get it. “If my numbering is correct,” Hugh informed Ertz, “we should start accelerating any time now.”

“O.K.,” Ertz told him. “Main Drive is ready: over two hundred bodies and a lot of waste mass. What are waiting for?” “Let’s see Narby and get permission to start.”

“Why ask him?”

Hugh shrugged. “He’s Captain. He’ll want to know.”

“All right. Let’s pick up Joe-Jim and get on with it.” They left Hugh’s apartment and went to Joe-Jim’s. Joe-Jim was not there, but they found Alan looking for him, too. “Squatty says he’s gone down to the Captain’s office,” Alan informed him.

“So? It’s just as well. We’ll see him there. Alan, old boy, you know what?” “What?”

“The time has arrived. We’re going to do it! Start moving the Ship!” Alan looked round-eyed. “Gee! Right now?” “Just as soon as we can notify the Captain. Come along, if you like.”

“You bet! Wait while I tell my woman.” He darted away to his own quarters nearby. “He pampers that wench,” remarked Ertz.

“Sometimes you can’t help it,” said Hugh with a faraway look.

Alan returned promptly, although it was evident that he had taken time to change to a fresh breechcloth. “O.K.,” he bubbled. “Let’s go!”

Alan approached the Captain’s office with a proud step. He was an important guy now, he exulted to himself. He’d march on through with his friends while the guards saluted; no more of this business of being pushed around.

But the doorkeeper did not stand aside, although he did salute, while placing himself so that he filled the door. “Gangway, man!” Ertz said gruffly. “Yes, sir,” acknowledged the guard, without moving. “Your weapons, please.”

“What! Don’t you know me, you idiot? I’m the Chief Engineer.” “Yes, sir. Leave your weapons with me, please. Regulations.”

Ertz put a hand on the man’s shoulder and shoved. The guard stood firm. “I’m sorry, sir. No one approaches the Captain wearing weapons. No one.” “Well, I’ll be damned!”

“He remembers what happened to the old Captain,” Hugh observed sotto voce. “He’s smart.” He drew his own knife and tossed it to the guard, who caught it neatly by the hilt. Ertz looked; shrugged, and handed over his own. Alan, considerably crestfallen, passed his own pair over with a look that should have shortened the guard’s life.

Narby was talking; Joe-Jim was scowling on both his faces; Bobo looked puzzled, and naked, unfinished, without his ubiquitous knives and slingshot. “The matter is closed, Joe-Jim. That is my decision. I’ve granted you the faver of explaining my reasons, but it does not matter whether you like them or not.”

“What’s the trouble?” inquired Hugh.

Narby looked up. “Oh. I’m glad you came in. Your mutie friend seems to be in doubt as to who is Captain.” “What’s up?”

“He,” growled Jim, hooking a thumb toward Narby, “seems to think he’s going to disarm all the muties.” “Well, the war’s over, isn’t it?”

“It wasn’t agreed on. The muties were to become part of the Crew. Take the knives away from the muties and the Crew will kill them off in no time. It’s not fair. The Crew have knives.” “The time will come when they won’t,” Narby predicted, “but I’ll do it at my own time in my own way. This is the first step. What did you want to see me about, Ertz?”

“Ask Hugh.” Narby turned to Hugh.

“I’ve come to notify you, Captain Narby,” Hugh stated formally, “that we are about to start the Main Converter and move the Ship.”

Narby looked surprised but not disconcerted. “I’m afraid you will have to postpone that. I am not yet ready to permit officers to go up to no-weight.”

“It won’t be necessary,” Hugh explained. “Ertz and I can handle the first maneuvers alone. But we can’t wait. If the Ship is not moved at once, the Trip won’t be in your lifetime nor mine.” “Then it must,” Narby replied evenly, “wait.”

“What?” cried Hugh. “Narby, don’t you want to the Trip?” “I’m in no hurry.”

“What sort of damn foolishness is this?” Ertz demanded. “What’s got into you, Fin? Of course we move the Ship.”

Narby drummed on his desk top before replying. Then: he said, “Since there seems to be some slight misunderstanding as to who gives orders around here, I might as well let you have  it straight. Hoyland, as long as your pastimes did not interfere with the administration of tbe Ship, I was willing for you to amuse yourself. I granted that willingly, for you have been very useful in your own way. But when your crazy beliefs become a possible source of corruption to good morals and a danger to the peace and security of the Ship, I have to crack down.”

Hugh had opened and closed his mouth several times during this speech. Finally he managed to get out: “Crazy? Did you say crazy?”

“Yes, I did. For a man to believe that the solid Ship can move means that he is either crazy, or an ignorant religious fanatic. Since both of you have the advantage of a scientist’s training, I assume that you have lost your minds.”

“Good Jordan!” said Hugh. “The man has seen with his own eyes, he’s seen the immortal stars, yet he sits there and calls us crazy!”

“What’s the meaning of this, Narby?” Ertz inquired coldly. “Why the razzle-dazzle? You aren’t kidding anyone; you’ve been to the Control Room, you’ve been to the Captain’s veranda, you know the Ship moves.”

“You interest me, Ertz,” commented Narby, looking him over. “I’ve wondered whether you were playing up to Hoyland’s delusions, or were deluded yourself. Now I see that you are crazy too.”

Ertz kept his temper. “Explain yourself. You’ve seen the Control Room; how can you contend that the Ship does not move?”

Narby smiled. “I thought you were a better engineer than you appear to be, Ertz. The Control Room is an enormous hoax. You know yourself that those lights are turned on and off by

switches — a very clever piece of engineering. My theory is that it was used to strike awe in the minds of the superstitious and make them believe in the ancient myths. But we don’t need  it any more, the Crew believe without it. It’s a source of distraction now I’m going to have it destroyed and the door sealed up.”

Hugh went all to pieces at this, sputtered incoherently, and would have grappled with Narby had not Ertz restrained him. “Easy, Hugh,” he admonished. Joe-Jim took Hugh by the arm, his own faces stony masks.

Ertz went on quietly, “Suppose what you say is true. Suppose that the Main Converter and the Main Drive itself are nothing but dummies and that we can never start them, what about the Captain’s veranda? You’ve seen the stars there, not just an engineered shadow show.”

Narby laughed. “Ertz, you are stupider than I’ve guessed. I admit that the display in the veranda had me mystified at first, not that I ever believed in it! Then the Control Room gave the clue: it’s an Illusion, a piece of skillful engineering. Behind that glass is another compartment, about the same size and unlighted. Against its darkness those tiny moving lights give the effect   of a bottomless hole. It’s essentially the same trick as they used in the Control Room.

“It’s obvious,” he went on. “I’m surprised that you did not see it. When an apparent fact runs contrary to logic and common sense, it’s obvious that you have failed to interpret the fact correctly. The most obvious fact of nature is the reality of the Ship itself, solid, immutable, complete. Any so-called fact which appears to disprove that is bound to be an illusion. Knowing that, I looked for the trick behind the illusion and found it.”

“Wait,” said Ertz. “Do you mean that you have been on the other side of the glass in the Captain’s veranda and seen these trick lights you talk about?”

“No,” admitted Narby, “it wasn’t necessary. Not that it wouldn’t be easy enough to do so, but it isn’t necessary. I don’t have to cut myself to know that knives are sharp.”

“So…” Ertz paused and thought a moment. “I’ll strike a deal with you. If Hugh and I are crazy in our beliefs, no harm is done as long as we keep our mouths shut. We try to move the Ship.  If we fail, we’re wrong and you’re right.”

“The Captain does not bargain,” Narby pointed out. “However, I’ll consider it. That’s all. You may go.” Ertz turned to go, unsatisfied but checked for moment. He caught sight of Joe-Jim’s faces, and turned back. “One more thing,” he said. “What’s this about the muties? Why are you shoving Joe-Jim around? He and his boys made you Captain; you’ve got to fair about this.”

Narby’s smiling superiority cracked for amoment.

“Don’t interfere, Ertz! Groups of armed savages are not going to threaten this Ship!”

“You can do what you like with the prisoners,” Jim stated, “but my own gang keep their knives. They were promised good eating forever if they fought for you. They keep their knives. And that’s flnal!”

Narby looked him up and down. “Joe-Jim,” he remarked, “I have long believed that the only good mutie was a dead mutie. You do much to confirm my opinion. It will interest you to know that, by this time, your gang is already disarmed, and dead in the bargain. That’s why I sent for you!”

The guards piled in, whether by signal or previous arrangement it was impossible to say. Caught flatfooted, naked, weaponless, the five found themselves each with an armed man at his back before they could rally. “Take them away,” ordered Narby.

Bobo whined and looked to Joe-Jim for guidance. Joe caught his eye. “Up, Bobo!”

The dwarf jumped straight for Joe-Jim’s captor, careless of the knife at his back. Forced to split his attention, the man lost a vital half second. Joe-Jim kicked him in the stomach, and appropriated his blade.

Hugh was on the deck, deadlocked with his man, his fist clutched around the knife wrist. Joe-Jim thrust and the struggle ceased. The two-headed man looked around, saw a mixed pile- up of four bodies, Ertz, Alan, two others. Joe-Jim used his knife judiciously, being careful to match the faces with the bodies. Presently his men emerged. “Get their knives,” he ordered superfluously.

His words were drowned by a high, agonized scream. Bobo, still without a knife, had resorted to his primal weapons. His late captor’s face was a bloody mess, half bitten away. “Get his knife,” said Joe.

“Can’t reach it,” Bobo admitted guiltily. The reason was evident: the hilt protruded from Bobo’s ribs, just below his right shoulder blade. Joe-Jim examined it, touched it gently. It was stuck. “Can you walk?”

“Sure,” grunted Bobo, and grimaced.

“Let it stay where it is. Alan! With me. Hugh and Bill, cover rear. Bobo In the middle.” “Where’s Narby?” demanded Ertz, dabbing at a round on his cheekbone.

But Narby was gone, ducked out through the rear door behind his desk. And it was locked.

Clerks scattered before them in the outer office; Joe-Jim knifed the guard at the outer door while he was still raising his whistle. Hastily they retrieved their own weapons and added them to those they had seized. They fled upward.

Two decks above inhabited levels Bobo stumbled and fell. Joe-Jim picked him up. “Can you make it?” The dwarf nodded dumbly, blood on his lips. They climbed. Twenty decks or so higher it became evident that Bobo could no longer climb, though they had taken turns in boosting him from the rear. But weight was lessened appreciably at that level; Alan braced himself and picked up the solid form as if it were a child. They climbed. Joe-Jim relieved Alan. They climbed.

Ertz relieved Joe-Jim. Hugh relieved Ertz.

They reached the level on which they lived forward of their group apartments. Hugh turned in that direction. “Put him down,” commanded Joe. “Where do you think you are going?” Hugh settled the wounded man to the deck. “Homes. Where else?”

“Fool! That’s where they will look for us first.” “Where do we go?”

“Nowhere, in the Ship. We go out of the Ship!” “Huh?”

“The Ship’s boat.”

“He’s right,” agreed Ertz. “The whole Ship’s against us, now.”

“But … but—” Hugh surrendered. “It’s a long chance — but we’ll try it.” He started again in the direction of their homes. “Hey!” shouted Jim. “Not that way.”

“We have to get our women.”

“To Huff with the women! You’ll get caught. There’s no time.” But Ertz and Alan started off without question. “Oh, all right!” Jim snorted. “But hurry! I’ll stay with Bobo” Joe-Jim turned his attention to the dwarf, gently rolled him to his side and made a careful examination. His skin was gray and damp; a long red stain ran down from his right shoulder. Bobo sighed bubblingly and rubbed his head against Joe-Jim’s thigh. “Bobo tired, Boss.”

Joe-Jim patted his head. “Easy,” said Jim, “this is going to hurt.” Lifting the wounded man slightly, he cautiously worked the blade loose and withdrew it from the wound. Blood poured out freely.

Joe-Jim examined the knife, noted the deadly length of steel, and measured it against the wound. “He’ll never make it,” whispered Joe.

Jim caught his eye. “Well?”

Joe nodded slowly. Joe-Jim tried the blade he had just extracted from the wound against his own thigh, and discarded it in favor of one of his own razor-edged tools. He took the dwarf’s chin in his left hand and Joe commanded, “Look at me, Bobo!”

Bobo looked up, answered inaudibly. Joe held his eye. “Good Bobo! Strong Bobo!” The dwarf grinned as if he heard and understood, but made no attempt to reply. His master pulled his head a little to one side; the blade bit deep, snicking the jugular vein without touching the windpipe. “Good Bobo!” Joe repeated. Bobo grinned again.

When the eyes were glassy and breathing had unquestionably stopped, Joe-Jim stood up, letting the head and shoulders roll from him. He shoved the body with his foot to the side of the passage, and stared down the direction in which the others had gone. They should be back by now.

He stuck the salvaged blade in his belt and made sure that all his weapons were loose and ready.

They arrived on a dead run. “Alittle trouble,” Hugh explained breathlessly. “Squatty’s dead. No more of your men around. Dead maybe. Narby probably meant it. Here.” He handed him a long knife and the body armor that had been built for Joe-Jim, with its great wide cage of steel, fit to cover two heads.

Ertz and Alan wore armor, as did Hugh. The women did not; none had been built for them. Joe-Jim noted that Hugh’s younger wife bore a fresh swelling on her lip, as if someone had persuaded her with a heavy hand. Her eyes were stormy though her manner was docile. The older wife, Chloe, seemed to take the events in her stride. Ertz’s was crying softly; Alan’s wench reflected the bewilderment of her master.

“How’s Bobo?” Hugh inquired, as he settled Joe-Jim’s armor in place. “Made the Trip,” Joe informed him.

“So? Well, that’s that; let’s go.”

They stopped short of the level of no-weight and worked forward, because the women were not adept at weightless flying. When they reached the bulkhead which separated the Control Room and boat pockets from the body of the Ship, they went up. There was neither alarm nor ambush, although Joe thought that he saw a head show as they reached one deck. He mentioned it to his brother but not to the others.

The door to the boat pocket stuck and Bobo was not there to free it. The men tried it in succession, sweating big with the strain. Joe-Jim tried it a second time, Joe relaxing and letting Jim control their muscles, that they might not fight each other. The door gave. “Get them inside!” snapped Jim.

“And fast!” Joe confirmed. “They’re on us.” He had kept lookout while his brother strove. Ashout from down the line reinforced his warning.

The twins faced around to meet the threat while the men shoved the women in. Alan’s fuzzy-headed mate chose that moment to go to pieces, squalled, and tried to run but weightlessness defeated her. Hugh nabbed her, shoved her inside and booted her heartily with his foot.

Joe-Jim let a blade go at long throwing range to slow down the advance. It accomplished its purpose; their opponents, half a dozen of them, checked their advance. Then, apparently on signal, six knives cut the air simultaneonsly.

Jim felt something strike him, felt no pain, and concluded that the armor had saved him. “Missed us, Joe,” he exulted.

There was no answer. Jim turned his bead, tried to look at his brother. Afew inches from his eye a knife stuck through the bars of the helmet, its point was buried deep inside his left eye. His brother was dead.

Hugh stuck his head back out of the door. “Come on, Joe-Jim,” he shouted. “We’re all in.” “Get inside,” ordered Jim. “Close the door.”

“But—”

“Get inside!” Jim turned, and shoved him in the face, closing the door as he did so. Hugh had one startled glimpse of the knife and the sagging, lifeless face it pinned. Then the door closed against him, and he heard the lever turn.

Jim turned back at the attackers. Shoving himself away from the bulkhead with legs which were curiously heavy, he plunged toward them, his great arm-long knife, more a bob than a sword, grasped with both hands. Knives sang toward him, clattered against his breastplate, bit into his legs. He swung a wide awkward two-handed stroke which gutted an opponent, nearly cutting him in two. “That’s for Joe!”

The blow stopped him. He turned in the air, steadied himself, and swung again. “That’s for Bobo!”

They closed on him; he swung widely caring not where he hit as long as his blade met resistance. “And that’s for me!” Aknife planted itself in his thigh. It did not even slow him up; legs were dispensable in no-weight. “‘One for all!’”

Aman was on his back now he could feel him. No matter; here was one before him, too, one who could feel steel. As be swung, he shouted, “All for o—” The words trailed off, but the stroke was finished.

Hugh tried to open the door which had been slammed in his face. He was unable to do so; if there were means provided to do so, he was unable to figure them out. He pressed an ear against the steel and listened, but the airtight door gave back no clue.

Ertz touched him on the shoulder. “Come on,” be said. “Where’s Joe-Jim?” “He stayed behind.”

“Open up the door! Get him.”

“I can’t, it won’t open. He meant to stay, he closed it himself.” “But we’ve got to get him; we’re blood-sworn.”

“I think,” said Hugh, with a sudden flash of insight, “that’s why he stayed behind.” He told Ertz what he had seen.

“Anyhow,” he concluded, “it’s the End of the Trip to him. Get on back and feed mass to that Converter. I want power.” They entered the Ship’s boat proper. Hugh closed the air-lock doors behind them. “Alan!” he called out. “We’re going to start. Keep those damned women out of the way.”

He settled himself in the pilot’s chair, and cut the lights.

In the darkness he covered a pattern of green lights. Atransparency flashed on the lap desk: DRIVE READY. Ertz was on the job. Here goes! he thought, and actuated the launching combination. There was a short pause, a short and sickening lurch, a twist. It frightened him, since he had no way of knowing that the launching tracks were pitched to offset the normal spinning of the Ship.

The glass of the view port before him was speckled with stars; they were free — moving!

But the spread of jeweled lights was not unbroken, as it invariably had been when seen from the veranda, or seen mirrored on the Control Room walls; a great, gross, ungainly shape gleamed softly under the light of the star whose system they had entered. At first he could not account for it. Then with a rush of superstitious awe he realized that he was looking at the Ship itself, the true Ship, seen from the Outside. In spite of his long intellectual awareness of the true nature of the Ship; he had never visualized looking at it. The stars, yes; the surface of  a planet, he had struggled with that concept; but the outer surface of the Ship, no.

When he did see it, it shocked him. Alan touched him. “Hugh, what is it?”

Hoyland tried to explain to him. Alan shook his head, and blinked his eyes. “I don’t get it.”

“Never mind. Bring Ertz up here. Fetch the women, too; we’ll let them see it.”

“All right. But,” he added, with sound intuition, “it’s a mistake to show the women. You’ll scare ‘em silly; they ain’t even seen the stars.”

Luck, sound engineering design, and a little knowledge. Good design, ten times that much luck, and a precious little knowledge. It was luck that had placed the Ship near a star with a planetary system, luck that the Ship arrived there with a speed low enough for Hugh to counteract it in a ship’s auxiliary craft, luck that he learned to handle it after a fashion before they starved or lost themselves in deep space.

It was good design that provided the little craft with a great reserve of power and speed. The designers had anticipated that the pioneers might need to explore the far-flung planets of a solar system; they had provided for it in the planning of the Ship’s boats, with a large factor of safety. Hugh strained that factor to the limit.

It was luck that placed them near the plane of planetary motion, luck that, when Hugh did manage to gun the tiny projectile into a closed orbit, the orbit agreed in direction with the rotation of the planets.

Luck that the eccentric ellipse he achieved should cause them to crawl up on a giant planet so that he was eventually able to identify it as such by sight.

For otherwise they might have spun around that star until they all died of old age, ignoring for the moment the readier hazards of hunger and thirst, without ever coming close enough to a planet to pick it out from the stars.

There is a misconception, geocentric and anthropomorphic, common to the large majority of the earth-bound, which causes them to visualize a planetary system stereoscopically. The mind’s eye sees a sun, remote from a backdrop of stars, and surrounded by spinning apples: the planets. Step out on your balcony and look. Can you tell the planets from the stars? Venus you may pick out with ease, but could you tell it from Canopus, if you had not previously been introduced? That little red speck: is it Mars, or is it Antares? How would you know, if you were as ignorant as Hugh Hoyland? Blast for Antares, believing it to be a planet, and you will never live to have grandchildren.

The great planet that they crawled up on, till it showed a visible naked-eye disc, was larger than Jupiter, a companion to the star, somewhat younger and larger the the Sun, around which  it swung at a lordly distance. Hugh blasted back, killing his speed over many sleeps, to bring the Ship into a path around the planet. The maneuver brought him close enough to see its moons.

Luck helped him again. He had planned to ground the great planet, knowing no better. Had he been able do so they would have lived just long enough to open the air-lock.

But he was short of mass, after the titanic task of pulling them out of the headlong hyperbolic plunge around an arc past the star and warping them into a closed orbit about the star, then into a subordinate orbit around the giant planet. He pored over the ancient books, substituted endlessly in the equations the ancients had set down as the laws for moving bodies,   figured and refigured, and tested even the calm patience of Chloe. The other wife, the unnamed one, kept out of his way after losing a tooth, quite suddenly.

But he got no answer that did not require him to sacrifice some, at least, of the precious, irreplaceable ancient books for fuel. Yes, even though they stripped themselves naked and chucked in their knives, the mass of the books would still be needed.

He would have preferred to dispense with one of his wives. He decided to ground on one of the moons.

Luck again. Coincidence of such a colossal proportion that one need not be expected to believe it, for the moon of that planet was suitable for human terrestrial life. Never mind, skip over it, rapidly; the combination of circumstances is of the same order needed to produce such a planet in the first place. Our own planet, under our own sun is of the “There ain’t no such animal” variety. It is a ridiculous improbability.

Hugh’s luck was a ridiculous improbability.

Good design handled the next phase. Although he learned to maneuver the little Ship out in space where there is elbow room, landing is another and a ticklish matter. He would have crashed any spacecraft designed before the designing of the Vanguard. But the designers of the Vanguard had known that the Ship’s auxiliary craft would be piloted and grounded by at least the second generation of explorers; green pilots must make those landings unassisted. They planned for it.

Hugh got the vessel down into the stratosphere and straightened it triumphantly into a course that would with certainty kill them all. The autopilots took over.

Hugh stormed and swore, producing some words which diverted Alan’s attention and admiration from the view out of the port. But nothing he could do would cause the craft to respond. It settled in its own way and leveled off at a thousand feet, an altitude which it maintained regardless of changing contour.

“Hugh, the stars are gone!” “I know it.”

“But Jordan! Hugh, what happened to them?”

Hugh glared at Alan. “I don’t know and I don’t care! You get aft with the women and stop asking silly questions.”

Alan departed reluctantly with a backward look at the surface of the planet and the bright sky; It interested him, but he did not marvel much at it; his ability to marvel had been overstrained.  It was some hours before Hugh discovered that a hitherto ignored group of control lights set in motion a chain of events whereby the autopilot would ground the Ship. Since he found this

out experimentally he did not exactly choose the place of landing. But the unwinking stereo-eyes of the autopilot fed its data to the ‘brain’; the submolar mechanism selected and rejected;

the Ship grounded gently on a rolling high prairie near a clump of vegetation.

Ertz came forward. “What’s happened, Hugh?”

Hugh waved at the view port. “We’re there.” He was too tired to make much of it, too tired and too emotionally exhausted. His weeks of fighting a fight he understood but poorly, hunger, and lately thirst, years of feeding on a consuming ambition, these left him with little ability to enjoy his goal when it arrived.

But they had landed, they had finished Jordan’s Trip. He was not unhappy, at peace rather, and very tired. Ertz stared out. “Jordan!” he muttered. Then, “Let’s go out.” “All right.”

Alan came forward, as they were opening the air-lock, and the women pressed after him. “Are we there, Captain?” “Shut up,” said Hugh.

The women crowded up to the deserted view port; Alan explained to them, importantly and incorrectly, the scene outside. Ertz got the last door open.

They sniffed at the air. “It’s cold,” said Ertz. In fact the temperature was perhaps five degrees less than the steady monotony of the Ship’s temperature, but Ertz was experiencing weather for the first time.

“Nonsense,” said Hugh, faintly annoyed that any fault should be found with _his_ planet. “It’s just your imagination.” “Maybe,” Ertz conceded. He paused uneasily. “Going out?” he added.

“Of course.” Mastering his own reluctance, Hugh pushed him aside and dropped five feet to the ground “Come on; it’s fine.” Ertz joined him, and stood close to him. Both of them remained close to the Ship. “It’s big, isn’t it?” Ertz said in a hushed voice. “Well, we knew it would be,” Hugh snapped, annoyed with himself for having the same lost feeling.

“Hi!” Alan peered cautiously out of the door. “Can I comedown? Is it alright?” “Come ahead.”

Alan eased himself gingerly over the edge and joined them. He looked around and whistled. “Gosh!”

Their first sortie took them all of fifty feet from the Ship. They huddled close together for silent comfort, and watched their feet to keep from stumbling on this strange uneven deck. They made it without incident until Alan looked up from the ground and found himself for the first time in his life with nothing close to him. He was hit by vertigo and acute agoraphobia; he moaned, closed his eyes and fell.

“What in the Ship?” demanded Ertz, looking around. Then it hit him.

Hugh fought against it. It pulled him to his knees, but be fought it, steadying himself with one hand on the ground. However, he had the advantage of having stared out through the view port for endless time; neither Alan nor Ertz were cowards.

“Alan!” his wife shrilled from the open door. “Alan! Come back here!” Alan opened one eye, managed to get it focused on the Ship, and started inching back on his belly. “Man!” commanded Hugh. “Stop that! Situp.”

Alan did so, with the air of a man pushed too far. “Open your eyes!” Alan obeyed cautiously, reclosed them hastily.

“Just sit still and you’ll be all right,” Hugh added. “I’m all right already.” To prove it he stood up. He was still dizzy, but he made it. Ertz sat up.

The sun had crossed a sizable piece of the sky, enough time had passed for a well-fed man to become hungry, and they were not well fed. Even the women were outside; that had been accomplished by the simple expedient of going back in and pushing them out. They had not ventured away from the side of the Ship, but sat huddled against it. But their menfolk had  even learned to walk singly, even in open spaces. Alan thought nothing of strutting a full fifty yards away from the shadow of the Ship, and did so more than once, in full sight of the women.

It was on one such journey that a small animal native to the planet let his curiosity exceed his caution. Alan’s knife knocked him over and left him kicking. Alan scurried to the spot, grabbed his fat prize by one leg, and bore it proudly back to Hugh. “Look, Hugh, look! Good eating!”

Hugh looked with approval. His first strange fright of the place had passed and had been replaced with a deep warm feeling, a feeling that he had come at last to his long home. This seemed a good omen. “Yes,” he agreed. “Good eating. From now on, Alan, always Good Eating.”

The End

Do you want more?

I have many other stories in my Fictional Stories index, here…

Fictional Stories

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

More escapist entertainment to get our minds off of the COVID-19 coronavirus lock-down emergency.

Here’s some more escapist, mostly upbeat or odd, movies to help release you from the insanity that has become our daily ritual. These movies and shows were not chosen because they were praised by critics, or because I was paid to recommend them (Under some kind of money-making scheme.). Instead they are generally, known (and forgotten) movies that have the ability to carry you away to another time and another place.

And that is what is important

Don’t you know.

To be able to carry you away to a different time, and a different place, and a different lifestyle.

And, in this case, for me… way way back to my 20’s. That in-between stage from during the transition from High School to adulthood. As a young man, being strong and healthy, and with options all over the place…

So when you watch these movies you can forget the life that you live now. You can forget your boss, the need to buy groceries, the dog wanting to go outside, and the bills piling up on the kitchen table. Instead you can escape to a quieter time; a time when things were simpler, and the entire world was yours for the taking.

It can transport you to a time when the “news” only lasted for thirty minutes, and just gave summarys of events, not panels of “experts” endlessly debating if Peanut butter and jelly sandwiches are racist.

It will transport you to a time when people seemed a little bit happier, a time when that grey storm cloud wasn’t hovering over their head, and a time when … well, it was possible for you to be anything you wanted to be.

We will start with a relatively unknown movie.

Hot Dog The Movie (1984)

This movie will absolutely cart you off to the 1980’s, to the land of ski lodges, big hair, and vibrant colors in the snowy mountains. It’s funny, silly, up-beat and has a positive up-lifting music track. All in all, perfect for these uncertain times.

Who knows what surprises you might discover when trapped inside a gondola with a "snow bunny"?
Who knows what surprises you might discover when trapped inside a gondola with a “snow bunny”?
Did you ever own white Vuarnet Cat Eyes? Are you stoked that padded ski sweaters are coming back in? Did you ever want to be the toast of Tahoe, ski all season long, party every night and hang out with a wacky bunch of ski bums with names like Thrasher and Squirrel?

Then check out this early 80's classic (I know, it came out in '84, but it's SO '82).

Harkin Banks is the wunderkind from the sticks who hooks up with Dan O'Callahan. Dan is the good time Squaw Valley veteran who's a permanent fixture on the competitive ski scene, sharing slopes and hot tubs with his party hardy co-horts: the nutty Squirrel Murphy, who digs zinc oxide, sexy ski bunnies and long gondola rides; Kendo Yamamoto, who doesn't speak much English, but can tear down the mountain like a Kamakaze; punk rocker, Thrasher, who dances to his own tune, even at parties; and a host of semi-nameless others.
The "rat pack", just some friends that want to ski, drink and have fun together. What's so wrong about that?
The “rat pack”, just some friends that want to ski, drink and have fun together. What’s so wrong about that?
But what would a crazy party flick be without some bad guys?

Enter Rudy Garmisch, zee Austrian ski champ and nemesis of the Squaw Valley locals. He and his loyal "Rudettes", mostly nameless guys and fraulines also from zee Austrian slopes, push some of the locals out of the upcoming competition with promises of good television ratings and new sponsorships from internationally minded companies.

Uh-oh, ol' Dan's not happy with that at all!

Toss in a battle over a cute blonde runaway, Sunny, and you have a recipe for trouble on the slopes.
Oh. I had Sonny-side-up. Und, I had Sonny-side-down. Und, I had Sonny-side all zee way around!
Oh. I had Sunny-side-up. Und, I had Sunny-side-down. Und, I had Sunny-side all zee way around!
Not to worry, all's well that ends well. After all, what tiff can't be settled over a friendly game of Chinese Downhill? Not sure you wanna play? No worries…there's nothing one of Dan's famous "Leg Spreader" cocktails can't fix. 

And to top it all off, there's some fantastic ski footage set to even more fantastic early 80's music. Why they even bothered to make Ski School in the early 90's was a mystery to me - how could they do the ski party flick any better than Hot Dog? 

Surprisingly enough it was also pretty damn good. But Hot Dog: The Movie is still the original and the best.

THE classic early 80's ski flick 
colparker4 February 2003
It's a movie about sun, fun and friends. It's about having fun and just enjoying life. Oh, and by the way, there isn't any sort of political correctness either. It's PC free - you all!
It’s a movie about sun, fun and friends. It’s about having fun and just enjoying life. Oh, and by the way, there isn’t any sort of political correctness either. It’s PC free – you all!

You don’t need to know how to sky to appreciate this movie. It’s just a lot of fun, a lot of smiles, and just some good old vibes.

"What the fuck is a Chinese downhill?"

You are not going to be sad after watching this movie. Instead you will be in a good joyous mood and wondering just why… why you never took up skiing.

Risky Business (1983)

Just about everyone of my generation knows this movie. It’s the movie that made Tom Cruse the screen actor that he is today. But let’s be real. When was the last time you watched it?

For me, It’s been at least a decade, perhaps two. And I have talked to some of the younger folk who have never heard of this masterpiece. Can you believe it?

Well, for some fun, I downloaded the torrent and checked it out. (My betaMAX tape is lost somewhere under a pile of dusty boxes.)

It took me away.

It blew me away.

On a number of really curious levels…

As a young businessman he learns how to manage time and resources. He tries to keep his overhead down, while maximizing the profits.
As a young businessman he learns how to manage time and resources. He tries to keep his overhead down, while maximizing the profits. Look at how young Tom Cruse is.

Well, after decades, I finally sat down and re-watched this. And ohhhh there were so many things that I had forgotten, and so many things that I can see with my older eyes of experience. It will carry you back to a time long ago when business opportunities can just fall right into your lap, unplanned and ultimately successful.

It’s partially remembering what it was like having the entire house to yourself when your parents are away…

…and it’s partially about exploring what it’s like to have a relationship with a strong and positive female…

… and it’s partially about what it was like before the decades of greed and spite took over America.

fun, funny, and smart 
8 February 2003 | by pompaj 

Most funny comedies aren't very smart. They're funny because of individual jokes that play by themselves, without relying on the overall plot. Risky Business is an exception and the reason why it works so well, is because it tells a simple story that could really happen and would also be a lot of fun. 

You're a high school kid, your parents go on vacation for a week, leaving you the whole house to yourself. That's the setup. 

A friend calls up a call girl, she shows up, and the entertainment begins. 

This movie is smart enough to know what kids think about at that age, sex, and it holds nothing back. It is very clever at times and has a strong character in Joel, played by a young, energetic Tom Cruise. Another thing that this movie understands is mood and tempo. Everything hits the right beat. Smart and funny is an ideal combination and this movie achieves it.
Risky Business is all about a young man who learns about how to make and manage money with a female partner.
Risky Business is all about a young man who learns about how to make and manage money with a female partner. He handles finances, and she handles operations and labor.

The thing is, this movie not only takes you back to another place…

… but it gives you context. You can see what transpired in the last four decades and your experiences, right or wrong. It will give you an appreciation of things at so many levels. It’s worth a re-viewing.

"Money may not buy happiness, but it will buy the things that will Make you happy" 
mercuryix-121 December 2006

There are too many reviews of Risky Business for mine to have any relevance as a movie review. However, this movie is for me a time capsule of the era I saw it in, and a photograph of the future to come in American culture.

I saw this movie when I was 22 in a tiny college theater with a date. I remember several disconnected things about it: The movie was much more interesting than my date was, the music by Tangerine Dream was hypnotic and fit the tone of the film, which struck me as being more depressing in places than funny (although there are some funny moments in it), and it gave me a glimpse into a world that I thought was fictional. 

It turned out I hadn't experienced the world it was presenting yet. 

When Cruise asks his friends what they plan to do with their lives, one's answer is very simple and focused: "Make money". Another friend adds: "Make a LOT of money".
I well remember that when the movie first came out, I was amazed at the idea of a cordless phone.
I well remember that when the movie first came out, I was amazed at the idea of a cordless phone. Here we have a scene where his friend is pushing the idea of calling in a prostitute for the night.
It turns out the movie was precognizant of the next ten to twenty years of American culture; the absolute obsession with making money through any means necessary, legally or illegally, regardless of consequences to yourself or others. 

Then taking that money and buying the things that will make you happy: a porsche, a big house, and most importantly, a hot babe in your bed, that will only be there as long as the money is. 

Internally discovered happiness? A quaint notion created by the poor who can't afford the toys that validate your existence.

I am sure that the filmmaker would be the first to say that the movie parodies the hollowness of the "American Dream" of acquiring wealth to buy creature comforts, but too much of the time it feels like it celebrates them. 

At the end, the hooker stays Cruise's girlfriend only as long as he continues to make her money; she even says "I'll be your girlfriend...for a while". 

Real loyalty there. But then, she is a hooker, and is being honest. 

She in fact is presented as the only person in the film that is not a hypocrite. 

She has no illusions that money & sex make the American world go 'round, and doesn't pretend herself to be otherwise; unlike Cruise and the rest of his friends. 

In the end however, she is still hollow, the values the kids pursue are hollow (they are only after sex, not love), and the movie feels as deep and solid as a glossy magazine ad for a Lexus.
Ah. His "partner" has a love for night time rides on subway trains.
Ah. His “partner” has a love for night time rides on subway trains. The scene, the music and the situation are all hypnotic.
Even over the obsession of greed, however, the film illustrates the complete alienation of the modern American teenage male: alone, isolated, judged by his peers with the kind of car his dad lets him drive, his clothes, and whether he can get laid or not. 

The emphasis is on sex, not relationship. 

There is no rite of passage into adulthood, no guidance from parents who more often than not are as distant from their children as the cardboard cutout parents in this film.

In short, as depressing as this film is when you step back from it, it paints a frighteningly accurate portrait of how superficial and narrow a world, yet directionless (except for accumulating superficial wealth) a young boy's world can be. 

There are no values taught in this film, because there are none available as examples. And that is the environment too many kids are subject to. That is what was so disturbing to me about the film at the time I saw it, yet it took 20 years to understand why (as I was, like most kids my age, in the same vacuous and bankrupt culture this kid was in at the time).

There are 300% more suicides committed by 14 year old boys in America than any other age group or category. This movie explains why.

Seven stars, not for humor, but for photographing the beginning of an era that lasts until this day. The message from Enron, WorldCom, Martha Stewart and others for American kids will be: Don't get caught. A message which is slowly becoming the only "moral direction" left in American culture.

This move will not only take you back forty years to a time where your reality was something quite different, but it will give you perspective in the reality that you now inhabit. And at that, this movie is worth viewing again.

One Crazy Summer

Here’s a fine 1980’s escape. It’s got what we all need today…

No, I’m not talking about super heroes that got bitten by a radioactive spider, guys dressed in black trooping around in flack vests and holding assault guns, or super-dooper CGI special effect animation. I’m not talking about bullets that hang in the air, or magical powers that you can use to push people away with the wave of your hand. You won’t find ugly monsters or effeminate millennial men trying to get a woman to seduce them…

This movie is about silliness on the beach.

It is politically incorrect, very dated, and outrageously stupid. It is precisely what America needs right now.

The cast of "One Crazy Summer" hams it up for a group portrait.
The cast of “One Crazy Summer” hams it up for a group portrait. The 1980’s were a time of many things, but one of the things of value was that Hollywood has reached it’s stride. From the 1980’s into the 1990’s Hollywood produced a broad range of movies Movies that catered to average people. Not movies that targeted a set demographic or political interest.

It’s a simple fact that there are many of us from generations from long ago who grew up loving those loopy John Cusack comedies made by Savage Steve Holland. And while I prefer there other more bizarre, out-there flick, Better Off Dead, it’s hard for me to dislike One Crazy Summer.

This is a movie I grew up loving wholeheartedly.

One Crazy Summer was a follow-up to Better Off Dead, returning Cusack and Curtis Armstrong from that film.

I cannot say enough good things about this movie. From the warped mind of Savage Steve Holland comes this superior laughfest. First, I have to say that I haven't seen many movies that start out with a David Lee Roth tune. This could be a good or bad thing.
I cannot say enough good things about this movie. From the warped mind of Savage Steve Holland comes this superior laughfest. First, I have to say that I haven’t seen many movies that start out with a David Lee Roth tune. This could be a good or bad thing.

Cusack is Hoops, following graduation pal Joel Murray(George)to Nantucket for the summer to each some fun on the beach. He picks up his kid sister from “Generic Elementary” school with her sick dog and the adventure begins…

Hoops finds himself embroiled in a feud with a blonde, buff punk named Teddy Beckersted whose lecherous father has designs on bulldozing over homes of a neighborhood to build a giant condominium. Sigh. So 1980’s.

One of the homes, needing it’s mortgage repaid belongs to Demi Moore (Cassandra). Yikes!

However, there’s a sailboat race. And it might be their only hope of saving Cassandra’s grandfather’s home. You see it has been won by Teddy over the past many years and they need to fight for it. Yet, Hoops is deathly afraid of boats over water.

But, with the help and motivation of newfound Nantucket friends (..such as the goofy auto-mechanic twin brothers!), George, and budding love-interest Cassandra, perhaps Hoops can come to terms with his fears and win the race to save the neighborhood.

Armstrong has a supporting part as the son of a kooky, manic weapons salesman, General Raymond(..SCTV’s Joe Flaherty in an inspired bit of casting), Ack, who uses the training from his father to assist Hoops and company in their goals to win the race.

 This movie is all about leaving your troubles behind and getting away for the summer to Nantucket. Hoops is afraid of boats, but has no choice when his friend George launches his clunker onto the ferry at the last minute. He shacks up with future love interest Demi Moore, the worst actress in history. But she is pretty young and 80's looking in this one. They finally hit mainland and meet a whole host of odd characters.
This movie is all about leaving your troubles behind and getting away for the summer to Nantucket. Hoops is afraid of boats, but has no choice when his friend George launches his clunker onto the ferry at the last minute. He shacks up with future love interest Demi Moore, the worst actress in history. But she is pretty young and 80’s looking in this one. They finally hit mainland and meet a whole host of odd characters.

Memorable scenes include Bobcat getting stuck in a Godzilla suit (!) running rampant across an entire model of Aguilla. I love how the smoke and fire is coming out of his mouth as he does so (a cigar was thrown into his costume), and the Japanese investor loving the presentation.

Beckersted (Mark Metcalf, barely recognizable as Teddy’s rather unhinged pops)’s condominium…

… Hoops being chased by deranged cub scouts wishing to perform first aid…

… George a victim of toxic flatulence…

… Bruce Wagner’s nutty Uncle Frank’s increasing insanity every time he tries to better his chances to win 1 million dollars from a radio show…

… and the wonderful Billie Bird as George’s grandma who actually bills the group after a meal!

Jeremy Piven as(you guessed it)a brutish jerk who associates with Teddy and causes trouble for Hoops and his posse, the yummy Kimberly Foster as Cookie (..Teddy’s girl who attempts to make-out with Hoops while he attends a luncheon with his father), and the one-and-only William Hickey as Old Man Beckersted, who will not reward his son and grandson an inheritance if they lose the sail boat race.

Demi Moore is cute, but this is Cusack’s vehicle, though Bobcat and Villard steal most of the scenes their in.

Again, some delightful animation from Holland are sprinkled throughout the movie (Hoops is an artist, appropriately).

The movie comes to a happy ending via boat race on the lovely waters of Nantucket. Cusack and co. build a riff raff boat and blow everyone out of the water, despite some unfair play along the way. So they get the trophy, house, and Cusack ends up in a liplock with Demi. Despite the cheesey story, there are some really funny moments in this one. Some of my personal favorites are: the guy getting stabbed during the hat toss at graduation, Uncle Frank getting launched out of the bathroom window, and Bobcat getting stuck in a Godzilla costume.
The movie comes to a happy ending via boat race on the lovely waters of Nantucket. Cusack and co. build a riff raff boat and blow everyone out of the water, despite some unfair play along the way. So they get the trophy, house, and Cusack ends up in a lip-lock with Demi. Despite the cheesy story, there are some really funny moments in this one. Some of my personal favorites are: the guy getting stabbed during the hat toss at graduation, Uncle Frank getting launched out of the bathroom window, and Bobcat getting stuck in a Godzilla costume.

Dream a Little Dream (1989)

Sometimes a movie can take you back… way… way back to a time that you have almost no recollection of. Can you remember what it was like when you were 14, 15 or even 16 years old?

An accident puts the consciousness of an elderly dream researcher into the body of a bratty teenager. The problem? The kid prefers dreamworld limbo to real life.

-IMDB

There, in the tumultuous middle school years we have forgotten what it was like. For, and that is true for most young people, don’t really come into their own until their final years in High School.

This movie will transport you to that time.

And at that, it is valuable. On that reason alone.

The lives of a crusty old scientist and a bratty, teen Michael-Jackson-wannabe are about to intersect in a paranormal way. Coleman Ettinger seeks to break down the door of reality through studied dreaming; Bobby Keller wants Lainie Diamond, the girlfriend of his high school friend Joel, a handsome school jock. As Coleman persuades his wife Gena to join his experiments, an accident knocks their bodies out of existence, along with Bobby's consciousness. Coleman's consciousness winds up in Bobby's body while Gena's ends up buried and asleep inside Lainie's. Only when sleeping can Coleman contact Bobby and elicit his help in putting things back, except that Bobby smugly prefers limbo over his aggravated life as a modern teenager.

-IMDB
This movie will teleport you back to your middle school years.
This movie will teleport you back to your middle school years.

Bizarre dream sequences are only a small part of this fabulous fantasy comedy starring Corey Feldman, Corey Haim, Jason Robards, Piper Laurie, and Meredith Salenger.

Bobby Keller (Corey Feldman) lives his life day to day, as he puts it. He is failing all his classes, his parents don’t talk to him, and he is head over heels in love with Lainie (Meredith Salenger), although he dates Shelly.

But, an accident involving Bobby and Lainie and Coleman and Geena (Jason Robards and Piper Laurie) causes Coleman to take over Bobby’s mind and body, and part of Geena’s mind takes over Lainie.

Now, Coleman has to find a way to switch back and get his wife back. But, Bobby isn’t so willing to, unless Coleman can correct his screwed-up existance, while helping him to get Lainie.

Lainie was a "hottie" in the movie.
Lainie was a “hottie” in the movie.
A Touching Film 
crice-149 July 2009

It's difficult to me to review this film, for the simple reason that I was 15 when I saw this movie. It was made for me. It was made for teenagers trying to figure out life, love, getting into college, and dealing with adults. I loved it.

It was the best by far of the "body-switching" genre that seemed to dominate the 1987-1990 period, but rarely was a teen drama tackled with more earnestness and via such a bizarre but interesting plot. Whatever the reason, it works and instead of being just another body switch comedy or teen fluff, it truly becomes a beautiful film that deserves its cult status.

Favorite scenes: The opening scene intercut with the opening credits involving Bobby and Dinger (Corey Haim) talking about Bobby’s infatuation with Lainie…

… as well as singing the blues and why Dinger’s leg was broken (reason: his mom ran him over with her Volvo)…

… the accident scene, the dream sequences, the scene where Bobby discovers that he’s Coleman…

… and when they were having a hard time going to sleep…

… the scene in the gym when they were dancing to the rock version of “Dream a Little Dream of Me”, and the closing credits with Bobby and Coleman dancing to the same song.

Just handing out with your high school friends. It was a different time and your worries and concerns were all quite different then.
Just handing out with your high school friends. It was a different time and your worries and concerns were all quite different then.

This was a wonderfully romantic movie with an original plot. It was adorable, and Corey Feldman was kinda cute, despite the Michael Jackson look, something he was into for a few years.

The Dream sequences were really interesting, filmed in a strange blue tint.

The movie also had an interesting plot, and great music (especially Frank Sinatra’s “Young at Heart” and both versions on “Dream a Little Dream of Me.”).

I highly recommend it to anyone who likes the two Coreys, good acting, creativity, or body switching movies.

Better Off Dead… (1985)

This is another movie that I had on BetaMAX.

But it’s a true classic.

I well remember when I first viewed it. I was in Ridgecrest, California, and I had gotten off the base at the China Lake Naval Weapons Center, and pulled into the video rental store in a strip mall right outside the main gate. When I walked in, they had this movie playing and everyone was standing in the shop watching it. So I asked what the movie was, and then I went and rented it right there and then.

I should go as far as to say that all the John Cusack movies from the 1980’s are fine escapist flicks today. All of them are silly, charming and sweet. Nothing is too serious. Nothing is too dangerous…

… and there are no superheroes!

There are also no gun fights, no SWAT teams dressed in black, no making fun of traditional roles… no gays… no lesbians… not transgenders… no CGI monsters, or special effects where people can jump to the top of a building. None of that nonsense.

You won’t be assaulted by “role reversals” and insulted by snide anti-male jokes.

It’s all just good fun.

This one's hilarious! My family have borrowed several lines from this film and use them as inside jokes. John Cusak stars in this film about a teenager whose girlfriend dumps him for the Captain of the ski team. The film has enough skiing in it to satisfy most ski buffs, but it's not really about skiing. It's about relationships more than anything and the results are absolutely hilarious.
This one’s hilarious! My family have borrowed several lines from this film and use them as inside jokes. John Cusak stars in this film about a teenager whose girlfriend dumps him for the Captain of the ski team. The film has enough skiing in it to satisfy most ski buffs, but it’s not really about skiing. It’s about relationships more than anything and the results are absolutely hilarious.

What makes this a cut above is the composition of sight gags — ‘How to build a space shuttle out of household items’ is in the foreground, and then the eye pulls back to reveal the mother battling a sea monster in a pot, which frustrates her attempt to cook it…

Cusack frets over an impossibly broken binding, and in the same frame the ‘paperboy from hell’ appears on a weatherized delivery bicycle…it’s priceless stuff.

This is one of those movies where you are with your friends twenty years later and go, "I WANT MY TWO DOLLARS" and the room jocularly erupts and instigates discussion. If you find yourself easily amused, can see the humor in the way life hands you a sour glass of milk to wash down life's trials in love, then you MUST see this Classic Movie.
This is one of those movies where you are with your friends twenty years later and go, “I WANT MY TWO DOLLARS” and the room jocularly erupts and instigates discussion. If you find yourself easily amused, can see the humor in the way life hands you a sour glass of milk to wash down life’s trials in love, then you MUST see this Classic Movie.

Better Off Dead is the zaniest movie that I think I have ever seen. Let’s just recap what this movie has in it.

  • We have a guy that attempts suicide but he can’t even succeed at that.
  • There is his best friend that declares that a mountain they are on in the dead of winter is pure snow, saying ” Do you know what the street value of this is?”
  • We have a father that is trying to speak the lingo of his kids and fails miserably.
  • A mom that cooks food that literally slides off it’s plate.
  • We have a math class full of genius’ that get upset when they don’t have homework to do.
  • Lane has a younger brother who orders books on how to pick up trashy women and learns how to build rockets.
  • We have the entire male population ( and Barney Rubble ) that wants to go out with Lane’s ex-girlfriend now that they are broken up.
  • There is two Oriental guys that want to constantly race Lane and then broadcast it over the loud speaker on their car.
  • There is Porky from the Porky’s movies basically playing the same role here.
  • Dancing hamburgers.
  • A basketball team that grunts.
  • And of course the most relentless paper boy in the history of paper delivery…
If there was ever a role that John Cusack milked until it mooed, this one would have to have been it. His portrayal of Lane Meyer will forever be remembered in high school lore as the Ultimate Champion of the Underdog. I laughed so hard my sides ached and tears were running down my cheeks. What has long been a cult classic, this film did an excellent job of portraying the awkwardness of those teenage years that all adults thank God every day that we now have behind us.
If there was ever a role that John Cusack milked until it mooed, this one would have to have been it. His portrayal of Lane Meyer will forever be remembered in high school lore as the Ultimate Champion of the Underdog. I laughed so hard my sides ached and tears were running down my cheeks. What has long been a cult classic, this film did an excellent job of portraying the awkwardness of those teenage years that all adults thank God every day that we now have behind us.

Whoooooooo! I’m out of breath.

So why do I mention all of these things about the movie? Because all of these little issues combine to somehow make one of the funniest and zaniest movies you will ever see.

Better Off Dead is so full of energy that there is enough material in here for ten movies. But Savage Steve Holland makes it work. Don’t ask me how, but he does. I think I’m going to stop here because if you haven’t seen this movie you have to see it now. This is a completely original film and it also one that no one will ever have the guts to make again.

This is one hell of a film.

Captain Ron (1992)

Captain Ron* - (I think I'll have a…   ...a Margarita!)

-The best movies of 1992

Are you tired of the daily grind? Do you want to have some adventure and excitement in your life? Most men in their 30’s would ascribe to this sentiment. And it is exactly this that makes this next movie so special.

What happens when you are living the married life, the life with a job and a boss? When you are playing the role as a father at home, and a worker at your work? Is that all there is to life, you ask?

Maybe you dream about chucking it all way…

Hopping on a steam tramp and going somewhere… anywhere… other than here.

You need a break, a vacation a… reset.

Ya! This is pretty common in America. The society and laws are all pretty repressive. No vacation for you, and pay your taxes, and everything else. You can relax by watching movies that make fun of you. That’s your reality. Deal with it.

OK. I’m not going to elaborate more than what all this means. You all need to take a break and a vacation from life right now. You need to get out and have some fun. You need more than just a change. You need a slap in the face change…

Adulthood has lots of perks: No more homework, you really can eat whatever you want, and you eventually become a good 90 percent less angsty. However, one major drawback is you no longer get a spring break (or a summer break, for that matter). Being an adult means work never stops and vacations are rare. But! That doesn't mean you can't still vicariously enjoy spring break through your favorite movies. Is it the same as escaping to a pristine beach? No... but it is cheaper, so there's that.

There are awesome spring break movies from every era, but the '90s in particular were big on vacation movies. While not all of them focused on spring break, they did showcase the joys of travel, downtime, and relaxation. Basically, all the things you can't just drop everything and do on a whim because you have responsibilities now. Bask in the joy of those simpler times when you naively thought summer would never end and life really could just be one long vacation in the sun by planning a spring break movie night with your closest friends.

Make mixed drinks with tiny umbrellas and throw pillows all over the floor slumber party style as you watch one of these '90s gems — after all, you are an adult and while you may not get a designated spring break, you make your own rules now.

Movies about vacations gone awry are my favorite kind of movies. In real life, you want your vacation to go smoothly, but watching a movie family's vacation go from crazy to crazier is always hilarious. Captain Ron is no exception. Watching Martin Short get shown up by the cooler than cool Captain Ron during his own family vacation is a madcap story that might just make you glad you have to work all spring.

-Bustle

Let’s look at a forgotten gem of a movie… Captain Ron.

A family in Chicago inherits the yacht formerly owned by Clark Gable. They decide to sail it from the island of Ste. Pomme de Terre to Miami, and they sail with the assistance of Captain Ron and their lives will never be the same again
'Captain Ron' is a great movie! The plot is simple, & so is the movie. That is what make sit so much fun. Yes, most of the movie is translucent, but it works so well. Kurt Russell shines as Capt. Ron Rico, who has been hired by the Harvey family to be the drive their boat from a Carribean Island to Miami, FL. While he bumbles from one scene to the other, Capt. Ron manages to be successful in the end. All of this makes Martin Harvey(Martin Short) furious, while his family loves Capt. Ron.
‘Captain Ron’ is a great movie! The plot is simple, & so is the movie. That is what make sit so much fun. Yes, most of the movie is translucent, but it works so well. Kurt Russell shines as Capt. Ron Rico, who has been hired by the Harvey family to be the drive their boat from a Carribean Island to Miami, FL. While he bumbles from one scene to the other, Capt. Ron manages to be successful in the end. All of this makes Martin Harvey(Martin Short) furious, while his family loves Capt. Ron.

This is wonderful movie, one of my favorite movies of all time! A family inherits a sailboat and decides to flee the urban rat race. They don’t realize that they will have to over come many hurdles, including aspects of them selves, Capt. Ron, the boat and the environment.

The daughter plays a teenager that is simultaneously apathetic and nearly out of control. The son is a kid who hasn’t taken an interest in life until now. The father assumes that Capt. Ron can’t know anything while the family begins to believe that it’s the father who doesn’t know anything.

This movie was one of the triggers to me moving to a tropical island to live, oh and to buy a boat.

This film has a little bit of everything in it. Adventure, pirates, lots of excitement, and plenty of laughs. It is one of the most enjoyable movies I've watched. If you just want to relax and sit back and laugh, CAPTAIN RON is a movie you won't want to miss.
This film has a little bit of everything in it. Adventure, pirates, lots of excitement, and plenty of laughs. It is one of the most enjoyable movies I’ve watched. If you just want to relax and sit back and laugh, CAPTAIN RON is a movie you won’t want to miss.

I don’t understand the many poor reviews I have seen from the “professional reviewers”. I think this movie is incredibly funny in a quiet sort of way. I have seen it many times. It reminds me of the many Jackie Gleason Honeymooners I have seen over and over. I know what punch line is coming and I begin laughing before the punch line is even delivered.

Martin Short is not his usual manic self and this is refreshing. Kurt Russell is a master as he plays against the heroic roles he has played so often.

The play of the use of “gorillas” versus “guerillas” is laid back genius.

By the end of the movie, I actually found myself nostalgic for the sense of freedom and fun that only Captain Ron can steer you towards
By the end of the movie, I actually found myself nostalgic for the sense of freedom and fun that only Captain Ron can steer you towards

Ignore all the stuffed shirt, up-tight pinheads who couldn’t loosen up if a gun was held to their collective heads. Treat this movie as it should be…FUN! This is the film that keeps us going through the winter until sailing season…then we take the video along on board.

Martin Short and Kurt Russell are TERRIFIC together, and Mary Kay Place? Who’d have known what a versatile actress she is, after all, most of us only had Mary Hartman, Mary Hartman to judge her by. The kids certainly do add a fine finishing touch to this hilarious crew.

This movie was not created to win an academy award, it was created to be lighthearted and fun and it far exceeded that goal.

Every time i want to laugh, when i see this movie on, i catch a glimpse. This movie is guaranteed to make you laugh. And Mary Kay Place is such EYE candy for us men. She represents the type of woman we men would ask to marry. Beautiful yet supportive of her family and children.
Every time i want to laugh, when I see this movie on, I catch a glimpse. This movie is guaranteed to make you laugh. And Mary Kay Place is such EYE candy for us men. She represents the type of woman we men would ask to marry. Beautiful yet supportive of her family and children.

Kurt Russell is THE man! One of the most under appreciated actors in Hollywood, Russell takes what would have been a run of the mill comedy and makes it HILARIOUS!!!

Captain Ron Rico is about as laid back as laid back can be. He’s an ex Navy boat driver whose been through one too many squalls, not to mention a stint in rehab. A treasure chest of worldly knowledge, he’s never at a loss to relate his exploits even when it comes to his glass eye, “Won it in a crap game a few years back.”

At first glance he’s a man you wouldn’t trust to float an inner tube, but as he proves to Martin Short throughout the course of the movie, he’s “far more cunning than first suspected.”

After all, you gotta love a guy who as he’s sipping beer with Short’s young son, he tells the young lad that he just caught his parents “Playing hide-the-salami in the shower.” I crack up just writing about it.

This is a very funny movie that never really hit the headlines. No idea why, but maybe because I am a sailor myself, I can appreciate the humour. Well worth watching if you want to lose yourself in the Caribbean lifestyle from your cold and damp apartment in winter.
This is a very funny movie that never really hit the headlines. No idea why, but maybe because I am a sailor myself, I can appreciate the humor. Well worth watching if you want to lose yourself in the Caribbean lifestyle from your cold and damp apartment in winter.

But besides Russell’s stellar performance, there’s BEAUTIFUL locations and photography, a catchy reggae soundtrack, and enough laughs from the rest of the cast to make this a most enjoyable film to pop a bowl of popcorn to and enjoy with the family.

As for me….I wanna go out drinkin’ with Captain Ron!

‘There’s Something About Mary’ (1998)

This movie was filed in Woonsockett, RI when I was actually living there. Which is a pretty old blue collar town, quiet and kind of boarded up. “Going to seed”, some might say.

This movie is great escapist fare.

Thirteen years ago, Ted (Ben Stiller) landed a prom date with the most popular and beautiful girl in school, Mary (Cameron Diaz). Unfortunately, the date wasn’t meant to be, for Ted has his manhood damaged right in front of Mary, and ends up in the hospital instead of the prom.

Owwww!

What makes this work...is its a dead-on hard hitting comedy. The Farrley Brothers spared no one: male or female, handicapped or able bodied, black or white, rich or poor, job or not, straight or gay, animal or vegetable, blonde or brunette, educated or not. It is NOT a cinematic masterpiece so don't look for one, it is not a punch line comedy or slapstick comedy, it is sophomore humor done very well because you're going to be laughing at what you think you shouldn't no matter how much you want to say you would never laugh at something like that.
What makes this work…is its a dead-on hard hitting comedy. The Farrley Brothers spared no one: male or female, handicapped or able bodied, black or white, rich or poor, job or not, straight or gay, animal or vegetable, blonde or brunette, educated or not. It is NOT a cinematic masterpiece so don’t look for one, it is not a punch line comedy or slapstick comedy, it is sophomore humor done very well because you’re going to be laughing at what you think you shouldn’t no matter how much you want to say you would never laugh at something like that.

Thirteen years later, Ted decides to track Mary down, and have a second chance with his dream girl. He hires sleazy private eye, Pat (Matt Dillon) to find her for him.

Pat finds her, and she’s grown up beautiful.

Pat decides he wants to date her. He stalks her, finds out everything she wants in a man, and poses as just that. Meanwhile, Ted has been led to believe that Mary has become fat white trash in a wheelchair. Pat and Mary start dating.

The Farrley Brothers added in "some things" that...well... may have just happened to you at some point in your adolescence, and put a comedic/gross quality to it that shocks you into laughing at it. All through the film you might laugh because you're thinking, "Better them than me".....even if it was you!
The Farrley Brothers added in “some things” that…well… may have just happened to you at some point in your adolescence, and put a comedic/gross quality to it that shocks you into laughing at it. All through the film you might laugh because you’re thinking, “Better them than me”…..even if it was you!

Well, by the end of the movie, every man who is involved in the story has tried to make a move on Mary.

We all know how it ends, but it’s one funny ride.

The funniest gag in the movie involves a NEW brand of HAIR GEL (compliments of Ted, and tested by Mary). I won’t tell you what’s really being mistaken for hair gel, but when you find out, you’ll laugh so hard, you gag.

Not for eveyone's taste, even those who think they know comedy, but this is that kinda comedy that is hard to do once you've reached maturity and forgotten what it was like to laugh at simple things. This is as simple as it gets. Don't put too much into it, it is what it is, and to me, it was really funny!
Not for everyone’s taste, even those who think they know comedy, but this is that kinda comedy that is hard to do once you’ve reached maturity and forgotten what it was like to laugh at simple things. This is as simple as it gets. Don’t put too much into it, it is what it is, and to me, it was really funny!

This movie knows what a comedy of this type should be like. Each gag goes somewhere, and is really big. They’re usually extended scenes with punchlines.

Me, Myself, and Irene goes for the cheapest laughs you can find, but There’s Something About Mary takes it’s time, carefully planning each gag, in order to make it gut-bustingly hilarious. That’s just what this movie is. 10/10.

‘Wayne’s World’ (1992)

Maybe you might have forgotten this flick. But, I’ll bet you that you can remember some scenes as clear as a bright day on a fresh Spring morning.

This is a positive and happy, and yet terribly silly movie that is just perfect for these dark times of fear and uncertainty.

Wayne is still living at home. He has a world class collection of name tags from jobs he's tried, but he does have his own public access TV show. A local station decides to hire him and his sidekick, Garth, to do their show professionally and Wayne & Garth find that life is no longer the same...
This is the film that catapulted both comedians' careers into the stratosphere. 1992 is an interesting time in alternative rock history between the peak of grunge in 1991, and the coming crest of the "punk revival" in 1994 with Green Day, Offspring and Rancid. Wayne's World reveled in this new "alternative rock," music a concept which was at the time much more flexible than it is now. Rife with irony, alternative rock was eventually the name given to the music that blended aspects of rock, metal, punk, pop, and eclectic "weirdness." While Wayne is the more metal half of the excellent duo, Garth is the grunge/nerd/"punk" side of the equation.
This is the film that catapulted both comedians’ careers into the stratosphere. 1992 is an interesting time in alternative rock history between the peak of grunge in 1991, and the coming crest of the “punk revival” in 1994 with Green Day, Offspring and Rancid. Wayne’s World reveled in this new “alternative rock,” music a concept which was at the time much more flexible than it is now. Rife with irony, alternative rock was eventually the name given to the music that blended aspects of rock, metal, punk, pop, and eclectic “weirdness.” While Wayne is the more metal half of the excellent duo, Garth is the grunge/nerd/”punk” side of the equation.

Ask most men within a decade of my age in either direction to list the 100 best movie scenes of all time, and the scene from “Wayne’s World” where they sing/lip sync Bohemian Rhapsody in the car will be on more lists than not.

Heck! It might even make every list.

Not designed for the “critically acclaimed” snob set, Wayne’s World, the film adaptation of the extremely popular Saturday Night Live skit by the same name, targets its audience perfectly and never even enters the water, let alone jumps any sharks.

The movie is true to itself from start to finish.

Heavy metal rock and roll fandom provides the backdrop for a non-stop train wreck of social satire. Only in 1992; and only in Meyer's and Carvey's comedic genius could Aurora, Illinois ever seem so cool. Wayne's World, in the film's plot, is the name of a Cable Access television show (dare I say "DIY") hosted by Wayne Campbell and Garth Algar. Rob Lowe plays Benjamin, an advertising scout/producer who is looking for talent to promote a chain of video game arcade stores. He finds his muse in the low-brow witticism, promptly signs the boys, and sets them up in a proper television studio.
Heavy metal rock and roll fandom provides the backdrop for a non-stop train wreck of social satire. Only in 1992; and only in Meyer’s and Carvey’s comedic genius could Aurora, Illinois ever seem so cool.

The plot centers around an opportunistic television producer named Benjamin Kane (Rob Lowe), who, with funding from an arcade owner, turns Wayne’s World into a slickly produced national show.

You would think that this would be a good thing. But alas, it’s not.

The transition caused it to lose touch with its audience, and causing friction between Wayne and Garth, who feel they have sold out their fans and their roots.

A love interest is tossed to Wayne in the form of Cassandra (Tia Carerre), in triangular form due to the attention paid to her by Kane as well as Wayne.

Rob Lowe was excellent as the sleazeball junior television executive, while cameos abound from the rock world, including Alice Cooper and Meat Loaf. Though not publicized as much as the other quotables from the movie, my personal favorite scene was when Wayne and Garth were each laying on parked cars, and Garth starts whistling the closing theme from Star Trek.
Rob Lowe was excellent as the sleazeball junior television executive, while cameos abound from the rock world, including Alice Cooper and Meat Loaf. Though not publicized as much as the other quotables from the movie, my personal favorite scene was when Wayne and Garth were each laying on parked cars, and Garth starts whistling the closing theme from Star Trek.

The pop-culture cuisinart responsible for most of the SNL skits was working in overdrive in this film, and that’s a good thing. Everything from product placements, to gratuitous sex, to lame plot devices were lampooned.

 Wayne's World, in the film's plot, is the name of a Cable Access television show (dare I say "DIY") hosted by Wayne Campbell and Garth Algar. Rob Lowe plays Benjamin, an advertising scout/producer who is looking for talent to promote a chain of video game arcade stores. He finds his muse in the low-brow witticism, promptly signs the boys, and sets them up in a proper television studio.
Wayne’s World, in the film’s plot, is the name of a Cable Access television show (dare I say “DIY”) hosted by Wayne Campbell and Garth Algar. Rob Lowe plays Benjamin, an advertising scout/producer who is looking for talent to promote a chain of video game arcade stores. He finds his muse in the low-brow witticism, promptly signs the boys, and sets them up in a proper television studio.

You might have seen this move before, but a re-watch is always in order for some much needed “positive vibes”.

‘Dazed and Confused’ (1993)

There is not a single movie that portrayed my Junior / Senior year in 1976 / 1977 than this movie. To say that it is accurate is an understatement.

Wooderson: Let me tell you what Melba Toast is packin' right here, all right. We got 4:11 Positrac outback, 750 double pumper, Edelbrock intake, bored over 30, 11 to 1 pop-up pistons, turbo-jet 390 horsepower. We're talkin' some fuckin' muscle.

This movie is EXACTLY what it was like for me in 1976. My Junior year. It was exactly what was going on including my Orange (goat) GTO!

What a great, great movie. If you want to know what being in High School in the mid 70's was like, rent this film. 

I grew up in the metro Manhattan area. We didn't have the freshman hazing, and few of us could afford the cars (although we sure knew about them and lusted after them), but the rest of this movie is so dead on about my experience of High School in the 70's that it's scary. 

Every character in the film corresponds with someone that I knew during that time. 

Yes, there was a lot of pot smoking, yes, obtaining beer was quite easy for underage kids…

I used to buy it in bars when I was 16. 

We made pipes in shop class. 

We hung out and had parties at night, drove the streets drinking beers and smoking joints listening to the same music. 

There were no youth centers though. 

The girls that I knew were as beautiful, and also struggled to get into their jeans. They used pliers too, but they also put them on while they were wet to further get that skintight look. 

There was no HIV virus to worry about, Herpes was not a big thing then, the biggest worry was getting pregnant. 

Everyone was having sex… 

All of these facts also were no big deal. 

Most of my peers grew up just fine, and now are upstanding pillars of the community. Many today would like you to believe that this is an example of the road to ruin. 

It was an incredible great time. 

The film has interesting character development, with the same types I remember. Philosophers, heads (now called stoners), bullies and waifs. 

This is my American Graffiti and it is perfect. Waxing nostalgic? Perhaps, but anyone that didn't live through that time will sill love the dialog in this film, as it deals with the universal experience of that point in one's life. 

This is high school in the 70's. Check it out.

Almost a Documentary... 
goodwynn191914 July 2005

The first day of summer vacation leaves a group of ’70s teens exhilarated and ready to party. Their raucous activities might remind you of the debauchery you got up to in the good old days. There are so many scenes that we all have lived, and personal flashbacks will flood your mind.

Either way, the feeling of complete freedom makes this movie a must for anyone starving for a vacation.

This movie takes you back to a time when you had absolutely no worries. It was a time when everything was in a kind of dull haze and everyone was walking in some kind of a fog.
This movie takes you back to a time when you had absolutely no worries. It was a time when everything was in a kind of dull haze and everyone was walking in some kind of a fog.

What makes “Dazed” work so well is that it gets the LOOK of the mid-to-late 70s just right, particularly the hair & clothing styles. Secondly, the actors pull off the material expertly. In fact, a large part of the film’s success is the excellent casting choices. Both are no easy feat. Speaking of the actors, you get a few up-and-comers here: Matthew McConaughey, Milla Jovovich, Ben Affleck and one or two of lesser note (as far as future popularity goes).

Slater: Are you cool, man?
Mitch Kramer: Like how?
Slater: Okay.

All the standard school archetypes are here: the jock who parties on the side, the bullies, the hot sister and her little long-haired brother, the black dude, the hot (feminist) teacher, the streetfighter, the cool guys, the geekier crowd, the babes, the guy who graduated years ago but still hangs around, the mentors & mentees, etc.

A Time Machine Trip Back To The Texas Summer Of 1976 
Oracle29594 February 2005

I graduated in 1976 from a high school in North Dallas and this entire movie is so spot on it's scary. 

It is my favorite film. I've seen it hundred's of times and every time it's like watching it for the first time. Only someone that was there and lived through those days could have directed such a movie. 

I drove a 70 dark blue Chevelle SS 454 with a 4-speed, over 400 HP and all of the goodies Wooderson described. Starting that car up, listening to the roar of that engine and burning out in 1st gear while in a thick cloud of blue smoke in front of the high school at 3PM while wasted......doing over 80MPH in 2nd gear....oh yea! 

I feel sorry for the teenagers today that drive the limp wrist fluffs of metal that pass themselves off as cars these days.

I was a stoner like Pickford smoking weed non-stop. 

Some mentioned that the heavy drug use was not too common. Well, at our school it was beyond common. Before school, during school (in the bathroom and football field) and after school. Our school had a smoking area outside the cafeteria where everyone went to light up.

The opening scene with Aerosmith "Sweet Emotion" slowly building up and Pickford driving his Goat and girlfriend in the school parking lot kills me every time. 

I cannot imagine a better opening scene for the movie. That was pure genius. 

The funny thing is Linklater did not show getting licks from the coach or the principal. For all the "uninitiated" back then all a coach or an asst. principal had to say was "Smith, I want to see you back at my office now". 

Our coach had a paddle he personally made that he kept on his wall over his desk. It had about 30 holes drilled in it and it was covered in black electrical tape! When that one came down you knew it! Now with all the PC people coach would go to jail for "assaulting the poor boy" Hell, back then it was called character building. As I remember from the 7th grade on licks were given out.

The soundtrack. Best ever. 

Might as well be back at White Rock Lake or Lake Ray Hubbard on a Friday night getting wasted. Head East was a nice touch. Every time I listen to that soundtrack I remember things I have not thought about in 25 years. 

The man that portrayed Pickford's dad was dead on. Accent, demeanor along with the big caddy and the tennis playing wife in the mini-skirt and puffed up hair.

Some of the reviewers mentioned they did not think it was too realistic showing/mixing a lot of sexual activity among the freshman girls. That is another point I must dispute. 

Maybe at their school in their town of 500 or their strict upbringing but at our junior high and high school the freshman and younger girls were pretty wild. 

I mean really "wild"! 

This is coming from someone who "lost it" at 12. So insinuating things about a 15 or 16 year old freshman is pretty tame. 15 and 16 year old's were the "world travelers" to us 13 or 14 year old guys.

There is something about this movie that pulls me back over and over again. It's hard to describe. 

I'm not sure what it is. Am I a Wooderson that enjoys reminiscing? Am I someone that prefers simpler times? Am I someone that is so sick of PC people that a movie like D&C is like a breath of fresh air? 

Was there something magical in the air back in 1976? 

The country was celebrating 200 years of freedom. Now within the last 30 years it seems that most of those freedoms have been slowly whittled away with and all that is left is a former shell of the old. 

Especially after 2001.

The best scene? To me it's a toss-up between the opening "Sweet Emotion" GTO in the school parking lot and the Emporium scene with "Hurricane" playing in the background while (The Past) Wooderson, (The Present) Pink and (The Future) Mitch walk into the Emporium while the camera films every little nuance in slow motion. 

The cockiness of Woods, the mellow Pink and the innocence of Mitch. Put that scene on slow motion and study their faces and the reaction shots of their peer's faces as they acknowledge their presence.

Your own personal time machine if only for an hour and a half. Slip the DVD in, turn the lights down low, take a couple good strong hits and wash them down with a few Tallboys. Use your imagination and for the briefest of time you are back in 1976. I wish they made more movies like this instead of the sugar coated pablum coming out of Hollywood nowadays.

Remember this?

Howard Hughes died, 
Robin Trower-Bridge of Sighs, 
Jeff Beck, 
Kawasaki Z1, 
Kawasaki 750 triple 2-stroke, 
45 cents a gallon gas, 
104 octane gas, 
Frampton Comes Alive, 
Bad Company - Shooting Star, 
Elvin Bishop - Fooled Around and Fell In Love, 
Jimmy Carter, 
Dirty Mary, 
Crazy Larry, 
1969 Dodge Charger 440, 
2 Lane Blacktop, 
3 finger lids, 
windowpane, 
Diamond Dogs, 
J. Geils, 
Midnight Special, Wings Over America tour, 
Bad Company - Movin' On, 
Mott The Hoople - All The Young Dudes,
SD 455 with the Big Bird on the hood, 
Marshall Tucker Band - Heard It In A Love Song, 
Emerson, Lake and Palmer - Brain Salad Surgery, 
Edelbrock Tunnel Ram with Holley Double Pumpers, ...

...getting high at dusk while listening to Pink Floyd's "Time" and looking at the Dallas skyscraper skyline against the setting sun.

If you do then Dazed and Confused is right up your alley. If you don't then still watch it, the characters in D&C cover all generations, just the cars and clothing have changed.
Dazed and Confused is a lot like the time in which it takes place. The film doesn't have much of note to say, but you get the sense that it has a good time just being there. By 1976, Vietnam was in the rear-view mirror, as were much of the struggles of the previous decades. It was almost like people were sick and tired of caring about things and just wanted to get wasted. Notice how nobody seemed to care when their teacher was trying to tell them about the 1968 Democratic Convention or our "aristocratic" forefathers. There is a certain innocence about the period that our up-tight and violent world of today could use right now.
Dazed and Confused is a lot like the time in which it takes place. The film doesn’t have much of note to say, but you get the sense that it has a good time just being there. By 1976, Vietnam was in the rear-view mirror, as were much of the struggles of the previous decades. It was almost like people were sick and tired of caring about things and just wanted to get wasted. Notice how nobody seemed to care when their teacher was trying to tell them about the 1968 Democratic Convention or our “aristocratic” forefathers. There is a certain innocence about the period that our up-tight and violent world of today could use right now.

And then you have the standard school experiences like parties at friend’s houses, keg parties, fleeing bullies, dealing with coaches & teachers, flirting, the possibility of sex, hanging out, meaningless conversations, fights, smoking pot at school or in your friend’s bedroom, etc.

Like “Fast Times,” “Dazed and Confused” is a joy to watch — whatever your age — because it successfully takes you back to the high school years with all its joys & agonies.

Cynthia: Maybe the 80s will be like radical or something. I figure we'll be in our 20s and it cant' get worse.

Some don’t like it because it’s more of a slice-of-life film than a plot-driven, contrived story. The plot here is simple: It’s the last day of school and the youths want to celebrate. If they can’t party at their friend’s house (because the dad catches wind of their plans) they’ll have a party at the park or wherever, but they WILL party. The rest of the film involves their interactions within this context.

Our film shows us the trials and tribulations of kids just looking to get high, drunk, or just save their butts from being paddled on the last day of school. Not much of note happens in this film. We just see kids doing what kids are still doing. They are all just out to have a good time.
Our film shows us the trials and tribulations of kids just looking to get high, drunk, or just save their butts from being paddled on the last day of school. Not much of note happens in this film. We just see kids doing what kids are still doing. They are all just out to have a good time.
Slater: Oh, a little weed, you know. There may be a beer bust later on.

I’ve heard some complain that the film conveys a terrible message.

What message?

There is no message.

The message is that school’s out and it’s time to celebrate! Besides, there are a few positive points that can be mined from the proceedings: the arrogant bully gets what’s coming, make a stand and fight when you have to (even if you get beat up), ultra-tight pants must be put on with pliers & the help of a friend, be true to yourself, etc.

But — really — this isn’t a movie to look for deep messages, its simple purpose is to take you back to the school years — in this case, 1976 — and all the fun & painful experiences thereof.

 There is nothing at all pretentious or preachy about any of the subject matter. We see some cool cars, tight jeans, long hair, and just about anything you would associate with this time frame.
There is nothing at all pretentious or preachy about any of the subject matter. We see some cool cars, tight jeans, long hair, and just about anything you would associate with this time frame.
Don Dawson: You know that Julie chick? Loves you. You want her? Gotta play it cool, you know. You can't let her know how much you like ?cause if she knows, she'll dump you like that. Believe me. Like, if she asks you if you want a ride, you say, "No, I've got my own ride, but maybe I'll see you later." Sounds stupid, doesn't it? It works.

No review of “Dazed and Confused” would be complete without noting the excellent soundtrack. You get some great rock/metal of the 70s like “Sweet Emotion,” “School’s Out,” “Stranglehold,” “Do You Feel Like We Do,” “Love Hurts,” “Paranoid,” “Rock & Roll Hootchie Coo,” “Rock & Roll All Nite,” “Slow Ride,” “Cherry Bomb,” “Tuesday’s Gone” and many more.

Here’s some quotes, and slang, that my generation can most certainly relate to…

  • “Alright, alright, alright!” — Wooderson
  • “Shotgun!” — Slater
  • “It’d be a lot cooler if you did.” — Wooderson
  • “You cool, man?” — Slater
  • “‘I’ve got my own ride, but maybe I’ll see you later.’” — Dawson
  • “You gotta keep on livin’… L-I-V-I-N.” — Wooderson

‘Wild at Heart’ (1990)

I’m going to close out this post with a slightly more serious flick. This one is surreal, but anyone that was in love with someone who’s parents hated you would relate to this movie.

Indeed.

It was hard enough finding a girlfriend in high school. But finding one that had parents that approved of you was just about impossible. Here is a movie … perhaps taken to extremes… that illustrates the lengths at which a mother would go to in order to “protect” her daughter.

Lula's psychopathic mother goes crazy at the thought of Lula being with Sailor, who just got free from jail. 

Ignoring Sailor's probation, they set out for California. 

However their mother hires a killer to hunt down Sailor and hurt him. 

Unaware of this, the two enjoy their journey and themselves being together... until they witness a young woman dying after a car accident - a bad omen.

Yah.

It’s something that I know all too well.

The opening scene to Wild At Heart features Nick Cage ferociously beating an assassin to death. Heads are rammed against walls, fists are lunged into guts and what results is a brutally bashed corpse with brains pouring out of it’s head. This kind of high-octane violence which is fueled by maniacal characters and deranged intervals creates a fantastic effect. One which has so much impact and so much individuality to it’s merit that it turns out to be one hell of a movie.

Recipient of the prestigious Palme d'Or award at Cannes, David Lynch's "Wild at Heart" is an amazingly brilliant spectacle for the senses. Bold splashes of deep red, curiously staged musical numbers (Nicolas Cage does his own singing – and he's great!), and the continuous references to "The Wizard of Oz" help create a surreal and dreamlike texture to the narrative.
Recipient of the prestigious Palme d’Or award at Cannes, David Lynch’s “Wild at Heart” is an amazingly brilliant spectacle for the senses. Bold splashes of deep red, curiously staged musical numbers (Nicolas Cage does his own singing – and he’s great!), and the continuous references to “The Wizard of Oz” help create a surreal and dreamlike texture to the narrative.

This is simultaneously a thrilling road movie and a revelation of small town, American country folk. The two protagonists, Sailor and Lula (Nicholas Cage and Laura Dern) are so in love with each other that they’d go to extreme lengths not to be separated.

Their separation is exactly what Lula’s crazed mother wants, as she believes that Sailor is a cold-blooded murderer who is putting her daughter in danger.

Her anger is so fierce that the viewer becomes slightly scared by her: her manic fits of rage where she plasters herself in red lipstick; her bizarre paroxysms fueled by numerous cocktails.

All of her slight idiosyncrasies and mannerisms well up to create a very intimidating mother.

She sends out a hitman to dispose of Sailor and bring back her daughter, but the lovely couple are on the run from her and the law.

Sailor and Lula (excellent performances from both Nicolas Cage & Laura Dern); two broken souls passionately in love, flee the vengeful wrath of Lula's mother Marietta, who for reasons of her own will stop at nothing to ensure the lovers are kept apart. Diane Ladd practically steals the show in her brave portrayal of Lula's psychopathic mother Marietta.
Sailor and Lula (excellent performances from both Nicolas Cage & Laura Dern); two broken souls passionately in love, flee the vengeful wrath of Lula’s mother Marietta, who for reasons of her own will stop at nothing to ensure the lovers are kept apart. Diane Ladd practically steals the show in her brave portrayal of Lula’s psychopathic mother Marietta.

Sailor and Lula meet up with some very strange characters whilst travelling far away from Lula’s mother.

The eccentricities of ‘Tuna Town’ in Texas, the insane car accident victim and Lula’s nutcase cousin who believes that “the man with the black glove is coming to get him”.

It’s all rudimentary David Lynch fare. He has mastered the art of contemporary film making: a clever blend of black-comedy, violence and fantasy.

Gut wrenchingly violent in places, hopelessly romantic in others; Lynch has crafted an adult fairy tale worthy of multiple viewings. Recommended to those who enjoy and appreciate abstract methods of film-making – a definite 10/10!
Gut wrenchingly violent in places, hopelessly romantic in others; Lynch has crafted an adult fairy tale worthy of multiple viewings. Recommended to those who enjoy and appreciate abstract methods of film-making – a definite 10/10!

The viewer builds an empathy for the two main characters, as it would be a terrible thing to see their undying love for each other shattered.

The other characters in the movie all seem to want to destroy that love.

Sailor’s character, although violent and hard-bitten, seems the most normal of the lot. It takes a sane man to make sense of all the insane folk in America’s underbelly.

He puts up with a lot from everyone, but all he really wants to do is escape from it all with Lula.

They meet an assortment of weird people, especially Bobby Peru, and also Perdita Durango, who has appeared recently in a film with her name as the title, also written by Barry Gifford. It is classic David Lynch, with a homage type theme to the Wizard of Oz. It has the sensuality and eroticism later seen in Lost Highway, the violence and gore, the head sequence after the bank robbery being graphic, and a general uneasiness throughout. But it is a darkly humorous and transfixing piece.
They meet an assortment of weird people, especially Bobby Peru, and also Perdita Durango, who has appeared recently in a film with her name as the title, also written by Barry Gifford. It is classic David Lynch, with a homage type theme to the Wizard of Oz. It has the sensuality and eroticism later seen in Lost Highway, the violence and gore, the head sequence after the bank robbery being graphic, and a general uneasiness throughout. But it is a darkly humorous and transfixing piece.

Summary…

Well, while you are trapped in this slow-motion nightmare you might as well make the best of the situation. Now, rather than watching horror and adventure movies out of Hollywood, how about checking out some more light hearted fare for purposes of escape…

If you want to relive your school years…

  • Hot Dog the Movie
  • One Crazy Summer
  • Risky Business
  • Dream a little dream
  • Better off dead
  • Dazed and Confused

If you want to relive your 20’s…

  • Wayne’s world
  • Something about Mary
  • Wild at Heart

If you want to just escape from your life as an Adult…

  • Captain Ron

In any event, it’s great escapist entertainment. Certainly at least one of these movies will strike a cord within your soul. Have fun.

MOVIES

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

Fun escapist movie- The Adventures of Tintin (2011)

Well, if you are stuck inside your home, with tons of time on your hands, nothing could be more rewarding than watching this jewel of a movie. For me, as I watched it, I became an eleven year old boy again. It’s a movie about adventure, strange lands, mysteries, pirates, and a cute white dog named Snowy. It’s also about treasure, hidden secrets, maps, and hidden clues, motorcycle escapes, and survival at sea. Oh, and let’s not forget being kidnapped and Shanghaied by your crew and an endless supply of whiskey. It’s everything that an eleven year old boy could want and more. And that is why I loved this movies and recommend it for all your co-shut-ins.

The movie is perfect, but I do believe that a bowl or Doritos or Wise / Lays (American style) potato-chips with a nice onion dip would really help to enhance the enjoyment of this movie. Oh, yeah, and don’t forget the frosty ice-cold beer while you are at it.

It’s a great movie to have your favorite pet by your side as well.

The Plot

Before he died in 1983, (the man who created Tintin) Hergé said that if any filmmaker was to adapt his collection of stories about the adventures of Tintin into a movie, then Steven Spielberg was the only man for the job.

Thus after two decades of trial and error, the cinematic version of Tintin has finally reached our screens.

Alongside Spielberg on this project was maestro Peter Jackson as producer (perhaps you know him from the Lord of the Rings trilogy) and three of Britain’s brightest writers (Steven Moffat, Edgar Wright and Joe Cornish).

What begins as a fun, nimble little mystery in the first act soon kicks into comedy-action-adventure high gear when junior reporter Tintin, with his brave dog Snowy, stumbles upon boozy Captain Haddock (an excellent Andy Serkis), whose family legacy may prove pivotal in a race to uncover the secret of the Unicorn.
What begins as a fun, nimble little mystery in the first act soon kicks into comedy-action-adventure high gear when junior reporter Tintin, with his brave dog Snowy, stumbles upon boozy Captain Haddock (an excellent Andy Serkis), whose family legacy may prove pivotal in a race to uncover the secret of the Unicorn.

This 3D motion-capture and CGI masterpiece combines three of Tintin’s most beloved stories ([1] The Crab with the Golden Claws, [2] The Secret of the Unicorn and [3] Red Rackham’s Treasure).

Spielberg and Jackson and all the team behind this movie adaptation obviously gave the original material the love and respect it deserved, while making it their own.

To clarify the origins of the story itself, you have to know that it isn’t the adaptation of one, but three Tintin comics.

Its beginning takes root in [1] “Le Crabe aux Pinces D’or”, while the rest of the movie revolves around the two-albums story of the hunt for Rakham the Red’s treasure, [2] “Le Secret de la Licorne” and [3] “Le Trésor de Rakham le Rouge”.

While it could seem like a lot of material for a whole movie, the choice of blending those three (two and a half) stories together turns out giving the movie a rather perfect pacing.

Snowy, while definitely smarter than your average cute canine, is also given to chasing cats, digging up fossilised bones from the desert, and gobbling sandwiches at decidedly inopportune moments. In other words, he's an instant audience favourite.
Snowy, while definitely smarter than your average cute canine, is also given to chasing cats, digging up fossilized bones from the desert, and gobbling sandwiches at decidedly inopportune moments. In other words, he’s an instant audience favorite.

After discovering an elegant model of the ship the ‘Unicorn’ at a market, Tintin (voiced by Jamie Bell) and his loyal dog Snowy are intrigued as to why so many desire it, and comment on the secrets it holds.

When the model is stolen, more information surfaces. And so the pair set out to discover the truth. Thus teaming up, after a surprise meeting, with the boisterous drunkard Captain Haddock (voiced by Andy Serkis).

Adventure

The group’s adventure spans the globe, with each destination bringing more danger and that crucial step closer towards unraveling the mystery.

From the moment the picture opens, the film’s tone and mood is set: mystery and adventure merged with fun and frolics.

The classy, hand-drawn, animated titles use the Tintin signature silhouette imagery with style and sophistication.

One extended chase sequence through the flooding streets of a North African city is so dazzling and dizzying it reminded me why no other filmmaker can match Spielberg when he lets his imagination out for a spin. Another action scene, told in flashback, depicts a breathless pirate showdown in a storm, and features some of the most playful transitions I've seen since Ang Lee's Hulk. There's a pretty good villain, too, played by a wily Daniel Craig.
One extended chase sequence through the flooding streets of a North African city is so dazzling and dizzying it reminded me why no other filmmaker can match Spielberg when he lets his imagination out for a spin.

The Adventures of Tintin is an entirely new bunch of blistering blue barnacles – every frame enforces impeccable detail and naturalism. And like the best animated pictures, viewers will forget they are watching digitalised representations in no time.

Visuals

Whether the visuals are mind-blowing as in the all-important action sequences or brilliantly subtle this film is a clear example of just how magnificent technology is in this day and age.

Tintin is brave, and he always gets out of the tangles he gets in. He is a good guy. He doesn’t know doubts…

Haddock is the dark side of Tintin. He is prone to anger and shouting insults, hard drinker, natural born loser…

Far from a being just a comical sidekick, Haddock is the human counterpart to the flawless hero that Tintin is.

The plot is a by the numbers mystery/adventure/treasure hunt, complete with bumbling detectives (so-so comic support from Simon Pegg and Nick Frost), exciting sea plane action and hidden clues, but it's brought to life in gorgeous visual style. While the script only comes alive in fits and starts, the whole film is bursting with rich detail, and is given added depth by a good, solid use of 3D. The virtual camera-work throughout is stupendous.
The plot is a by the numbers mystery/adventure/treasure hunt, complete with bumbling detectives , exciting sea plane action and hidden clues, but it’s brought to life in gorgeous visual style. The whole film is bursting with rich detail, and is given added depth by a good, solid use of 3D. The virtual camera-work throughout is stupendous.

With it’s tremendous visual flair, the feature’s script is a masterpiece. It is beautifully written. It possesses a kind of whimsical dialogue that is frequently hilarious and yet manages to keep people riveted to their seats.

An adaptation from the origional

Considering Hergé’s original stories are completely separate volumes, the writing trio behind this movie are able to make a sensible structure with the texts at hand.

And let’s not forget the laughs, as the script provides great character development for those new to the world of Tintin without insulting audiences with an hour’s lesson.

Young children will have no trouble picking up who’s who in the early stages, before settling back for the incredible roller coaster ride of the second and final climatic act.

The film is a really mixture of action and adventure. We see the heroes on board ships, rowing boats, fly airplanes, riding camels, having car\bike chases and crane fights. The time flew past for me and not once did i feel bored, this was probably down to the amount going with the film, the quick pace of the action and the different locations of the characters were always in. It reminded me of the Indiana Jones films a lot, where he is on the hunt for treasure, and he only has half of the clues, and the bad guys have the others half and both sides are trying to get the other half for the themselves. He then needs to go around the globe via different transportation to get the info he needs to find the treasure.
The film is a really mixture of action and adventure. We see the heroes on board ships, rowing boats, fly airplanes, riding camels, having car\bike chases and crane fights. The time flew past for me and not once did i feel bored.

Action fans will gain greatness from this movie too.

Expect high octane chases, pirate swordplay and more bullets than a Sylvester Stallone entry – just a lot less gore and swearing.

The main character is Tintin, who is a journalist who we never see doing any journalism though, but that doesn't matter because he is the textbook example of a heroic boy with boy scout qualities. The voice of Jamie Bell fits perfectly for the character. Andy Serkis gives here an incredible performance as Captain Haddock, the drunken sailor who to me was often reminiscent of a grumpy Harrison Ford. The story did seem as too much centered on Captain Haddock though, you could even say this should have been called "The Adventures of Captain Haddock" instead of Tintin. Daniel Craig is unrecognizable as the main villain Sacharine. Although his voice did jump to his normal voice in one line but otherwise you didn't know it was him. Of course I can't forget to mention the lovable dog, Snowy. He steals almost every scene that he is in. He is very realistic, he acts like a real dog and even gets his own chase scene. I'm telling you will adore this dog.
The main character is Tintin, who is a journalist who we never see doing any journalism though, but that doesn’t matter because he is the textbook example of a heroic boy with boy scout qualities. Of course I can’t forget to mention the lovable dog, Snowy. He steals almost every scene that he is in. He is very realistic, he acts like a real dog and even gets his own chase scene. I’m telling you, you will adore this dog.

Action packed

In fact, although The Adventures of Tintin is action-packed, its PG certificate is justified. Seriously, I cannot recall anything remotely damaging or frightening for young eyes. So relax. Eat some chips and spend quality time with your young-in’s.

The voice casting is collectively brilliant with Bell (Tintin) and Serkis (Haddock) being the obvious standouts.

Bell provides the voice for Tintin. It is his inquisitive tone and frequent high-pitched bursts that mirror the speech bubbles that Tintin utters in the comic panels.

When reading a Hergé story, this is exactly how the character sounds in your head don’t you know.


The action scenes are brilliantly written and directed, the angles of the camera, the drive of the action scenes, timing of all the jokes(physical or visual) is genius. Because Spielberg is a genius. He is the master of adventure movies and there never will be another genius in adventure movies like him.
The action scenes are brilliantly written and directed, the angles of the camera, the drive of the action scenes, timing of all the jokes(physical or visual) is genius. Because Spielberg is a genius. He is the master of adventure movies and there never will be another genius in adventure movies like him.

Serkis steals the show as Captain Haddock and is given splendid dialogue to growl through bitter Scottish chords. Haddock’s often stupid remarks and forgetfulness is beautifully represented through the animated character.

Daniel Craig is also fantastic as the less-than-trustworthy Ivanovich Sakharine, Heh heh.

And let’s not forget Simon Pegg and Nick Frost as the voice overs for the lovable policing dunces Thomson and Thompson.

Plus Snowy (the lovable dog) is absolutely wonderful.

The animation is magnificent, the motion capture has gone a long way since The Polar Express. It looks very realistic, especially all the features on Captain Haddock's face. The beard, the wrinkles and the eyes, they all looked amazing. Especially the eyes, they aren't so dead-eyed anymore as in The Polar Express.
The animation is magnificent, the motion capture has gone a long way. It looks very realistic, especially all the features on Captain Haddock’s face. The beard, the wrinkles and the eyes, they all looked amazing.

Welcome to the world of Tintin

Perhaps those new to Tintin will be influenced to re-visit the books and television shows of yesteryear and become more involved with one of the century’s most beloved and important literary creations.

Overall Spielberg has created an old-fashioned style adventure movie for the whole family to enjoy,where the important things are just the hunt for the treasure, the friendship two people can make and the most important thing of all that it is an entertaining ride. Spielberg never disappoints, it doesn't matter if you are a fan of Tintin or not, you will enjoy this film nonetheless
Overall Spielberg has created an old-fashioned style adventure movie for the whole family to enjoy,where the important things are just the hunt for the treasure, the friendship two people can make and the most important thing of all that it is an entertaining ride. Spielberg never disappoints, it doesn’t matter if you are a fan of Tintin or not, you will enjoy this film nonetheless

This was such an enjoyable film, there are so many great things about this movie.

Easily one of the most visually stunning films I have ever seen. The animation is perfect. it’s bright, colourful and scarily lifelike.

Tintin (Jamie Bell) is a young reporter who gets tangled in the affairs of smugglers when he buys a model ship from a scrap meet. When he gets kidnapped to the smugglers' ship, he meets Captain Haddock (Andy Serkis). He's a pure-hearted old drunk, who has information on a great treasure, told as a legend in his family for generations. He just needs to get sober enough to remember it. The adventure takes the pair to the Atlantic, Sahara, Morocco and finally back home. Tintin lives in an unmentioned European city that could be Brussels, London or any other one that has a sea port, really.
Tintin (Jamie Bell) is a young reporter who gets tangled in the affairs of smugglers when he buys a model ship from a scrap meet. When he gets kidnapped to the smugglers’ ship, he meets Captain Haddock (Andy Serkis). The adventure takes the pair to the Atlantic, Sahara, Morocco and finally back home.

The characters, scenery and landscapes all look incredible and so creative. Even the opening credits look fantastic! I can’t stress how good the visuals are in this film.

The film's story is faithful to the comic book, and thus ends promising more. I hope there will be, because I was willing to follow Tintin and Haddock on new adventures straight away! I'd say it's among the best, the most innovative comic-book films with Sin City and Scott Pilgrim vs. The World. The film is excellent escapism from the dreary day-to-day life and it made me smile for the rest of the day.
The film’s story is faithful to the comic book, and thus ends promising more. I hope there will be, because I was willing to follow Tintin and Haddock on new adventures straight away! The film is excellent escapism from the dreary day-to-day life and it made me smile for the rest of the day.

The Movie Flows Well

Spielberg has done an incredible job here, nothing could have been improved in this aspect. The way the movie flows and the way the scenes intertwine and change is beautiful.

There are scenes where it switches from past to present and back again in such a way that you just get lost in it.. you will understand what i mean when you see the movie.

There are scenes of fights and chases which are so creative and so imaginative, the way he uses angles and the way everything links on is a real joy to watch. Its hard to explain, watch the film and you will know exactly what I mean.

Billions of blue blistering barnacles, Ten thousand thundering typhoons – for a whole generation these were the epitome of cuss words thanks to Captain Haddock. I am one of those in late 20s who grew up reading ( mugging to be precise as Thomson & Thompson would have said) Tintin and almost worship Herge for the genius intelligent stories created in the black and white times of our grandpas. Being an hardcore Tintin loyalist the excitement to see the boy sleuth of screen was certainly brewing high. But the movie left me wanting more. It left a mixed reaction in me and here I come one by one at different levels.
Billions of blue blistering barnacles, Ten thousand thundering typhoons – for a whole generation these were the epitome of cuss words thanks to Captain Haddock.

However, the film is not just a visual feast like many films are. It has a good solid story with likable, interesting characters – I found the story line kept my attention throughout. A very original adventure/quest film, searching for hidden treasure and defeating the bad guys along the way!

I cannot recommend this film enough, i was so surprised by just how good it was. I am sorry I didn’t go and see this in the cinema as it really would have been a fantastic experience.

Captain Haddock works brilliantly for the most part: he's unpredictable, endearing, and colourful in all the ways Tintin himself isn't. While the youngster is well played by Jamie Bell, he's mostly just there to work out the clues for the audience. Tintin and Haddock make for a good double-act, though: brains and brawn, cunning and in-over-his-head rashness; together they'd make a good Indiana Jones.
Captain Haddock works brilliantly for the most part: he’s unpredictable, endearing, and colorful in all the ways Tintin himself isn’t. Tintin and Haddock make for a good double-act, though: brains and brawn, cunning and in-over-his-head rashness; together they’d make a good Indiana Jones.

Exposition

The movie also deftly skips what could have been a typically Hollywoodish mistake of giving Tintin exposition. But none of that nonsense here.

Exposition in a written work is the passages which explain where events take place, what happened before the story begins, and the background of the characters.

Tintin is a reporter, that’s all you need to know.

That’s all the comics ever told us about him. None of them ever showed Tintin doing actual reporter work.

I don’t think he ever used a typewriter, he has no boss, no workplace. Tintin just finds himself where adventure is.

Because he’s a reporter.

Hergé never needed more, kudos for the guys behind this movie for keeping true to that. It will be held against them, but that will be coming from people who don’t know the original material.

Spielberg is almost perfect in designing the characters. The look and the way the screen Tintin reacts brings in nostalgia of childhood days when we imagined (and discussed amongst friends) how Tintin would have behaved if made on screen. The attire to expression was all nicely done. Same goes for Snowy, the cute loyal dog of Tintin who can fight with the goons to save his beloved master. In fact children will fall in love with Snowy after watching this film. In one of the scene when Tintin gets kidnapped Snowy follows the car of the goons to the ship were Tintin was deported. The scene indeed brings out the pathos and concern inside Snowy for his master.
Spielberg is almost perfect in designing the characters. The look and the way the screen Tintin reacts brings in nostalgia of childhood days. The attire to expression was all nicely done. Same goes for Snowy, the cute loyal dog of Tintin who can fight with the goons to save his beloved master. In fact children will fall in love with Snowy after watching this film. In one of the scene when Tintin gets kidnapped Snowy follows the car of the goons to the ship were Tintin was deported.

CGI Bad?

Yet the movie did a great job of shutting up the anti-CGI geek in me. It simply looks stunning, and your mind easily jumps back and forth between forgetting these are cartoon characters and appreciating their transition to a 3D environment, respectful of the original designs but literally bringing them to life.

Captain Haddock the characterization isn't as perfect as the comic book. The laziness and craziness in the first half were apt but then Captain fighting in the second half is too hard to digest. The detective duo Thompson and Thomson is appropriate and so is famous nightingale singer Bianca Castaphiore. But Spielberg should have included the verbal fights between Castaphiore and Haddock though he hinted Haddock and Snowy's disgust for Castaphiore's opera. Coming to the villains I am not convinced of Sachcharin as the main villain. The original had Bird Brothers as the villain duo which was more convincing than the screen one. In fact if they wanted to include a dreaded notorious villain then Rastapopulous (the evergreen big nosed enemy of Tintin) would have been the right choice. Even malicious Captain Allan has been relegated to side kick of Sachcharin. Captain Francis Haddock and Red Rackham's characters were true to the original book and it worked well.
The detective duo Thompson and Thomson is appropriate and so is famous nightingale singer Bianca Castaphiore. Captain Francis Haddock and Red Rackham’s characters were true to the original book and it worked well.

In short, all these elements drew me to the same conclusion, Spielby and co. managed to deal a great adaptation.

One that has true respect for the original material, and the great ambition of adding something to it.

Yes, not everything of it. “Le Crabe aux Pinces D’or” could have deserved a whole movie itself. So yeah, shortcuts are taken, and as true to their originals as they are, the characters have been redesigned.

But in the end you have a movie that can be appreciated both by fans of the comics as well as people who have “just heard about them”.

It is fun, packed with adventure and action, enjoyable at all ages.

And most of all, you can go see it without having to worry about seeing another piece of Hollywood-flavored perversion, a fast-foodified betrayal.

It's an old-fashioned treasure hunt. Tintin runs into a frequently inebriated Captain Haddock (voice of Andy Serkis), who has a strong connection to the original Unicorn and to the scrolls themselves. With Haddock and Snowy at his side, Tintin races across the globe to solve the mystery before Sakharine, a journey that takes him to multiple continents, fighting bad guys with swords, guns, fists, and feet. It's a throwback movie; a movie quite similar to Raiders of the Lost Ark, itself a throwback to the serials of the early 20th century. And, of course, the director here is one Steven Spielberg, whom you might recognize as a progenitor of that Raiders universe himself.
It’s an old-fashioned treasure hunt. Tintin runs into a frequently inebriated Captain Haddock (voice of Andy Serkis), who has a strong connection to the original Unicorn and to the scrolls themselves. With Haddock and Snowy at his side, Tintin races across the globe to solve the mystery before Sakharine, a journey that takes him to multiple continents, fighting bad guys with swords, guns, fists, and feet.

Some background

Since 1981, Spielberg has become an avid fan of this gorgeous “Tintin” comics and has been longing to create a film about it. Before he and “Tintin’s” master, Herge could meet, Herge passed away.

However, Herge’s widow decided to give them the rights and began the adventure to re-create this marvelous wonder of art.

Three comics

Adapted from three of the comics, Spielberg’s re-creation of the comics introduces us to Tintin (Jamie Bell), a Belgian reporter who gets caught up in all sorts of adventures.

One day, he found a model of a ship, the Unicorn and bought it and brought it home. However, when Tintin was buying the ship, two men came to try to buy the model, Sakharine (Daniel Craig) and Barnaby.

Later, Tintin discovers that the model holds an important secret and somehow, the secret is linked to the real ship itself. On the way for answers, he meets the grumpy Haddock and head off to an adventure spanning around the globe with his white fluffy dog Snowy.

Animation

The animation is one of the most beautiful I’ve ever seen. It’s not right to call it a CGI film as the motion of the characters are much smoother than that of CGI films. Tintin’s animated self looks stunning (even though he looks kind of different from his comic-self) in his blue long sleeves.

Snowy is fluffier than ever.

Captain Haddock is grumpier than ever.

All of the animation is simply breathtaking and the best I’ve ever seen.

Here's an added bonus - apparently, the movie is very close to the source material. Tintin has not been updated or modified to mollify new audiences; remember, so many Americans have never heard of the intrepid reporter. And there's no time waste on explaining who Tintin is, or what he is, or how old he's supposed to be. You know why? Because it's irrelevant, that's why. He's just an adventuring dude with a smart dog and a lot of panache.
Here’s an added bonus – apparently, the movie is very close to the source material. Tintin has not been updated or modified to mollify new audiences; remember, so many Americans have never heard of the intrepid reporter. And there’s no time waste on explaining who Tintin is, or what he is, or how old he’s supposed to be. You know why? Because it’s irrelevant, that’s why. He’s just an adventuring dude with a smart dog and a lot of panache.

Scene shifting

I like how they change scenes.

While most other movies just shift scenes normally, this film uses a little imagination and is ingenious in shifting scenes. They can change from a scene of shaking hands to a desert scene by using the outline of the two hands into the surface of the desert.

This thing is just entertaining and great in its own right and deserves some reward.

A Fun Movie

The movie itself is fun to watch. The story is compelling, the lines are natural-sounding, and the action is plenty of fun to watch. It is great excitement to watch and I was hooked from beginning to end. Great plot + awesome action + breathtaking animation equals up to amazing movie.

The 3D is perfectly fine. The depth is seen and the 3D just makes the movie even more fun to watch.

The movie is animated, both literally and figuratively, and the animation is so exquisitely realistic that it's easy to perceive it as completely lifelike. The action is intense and relentless, but because of the depth of detail in the animation, it's tough to imagine it as anything other than a terrific live-action film. When Tintin leaps from building to building or from a moving car, we actually cringe - can he make it?
The movie is animated, both literally and figuratively, and the animation is so exquisitely realistic that it’s easy to perceive it as completely lifelike. The action is intense and relentless, but because of the depth of detail in the animation, it’s tough to imagine it as anything other than a terrific live-action film. When Tintin leaps from building to building or from a moving car, we actually cringe – can he make it?

Excitement

OK, let’s release now all the excitement about this movie. If I had just five short seconds to say how I think this movie is I’d chose 7 words: Mesmerising from the beginning to the end. That’s quite true if you consider that even the opening credits are little shining pearls of direction and creativity.

Overall Impression

The general impression you get from this movie, talking about direction, is that nothing could have been better. Spielberg’s direction is, in this case as well, a real warranty of general great quality.

Three things I particularly appreciated:

  • There’s literally a STORM of brain-waves (and here comes the Spielberg’s touch); especially, in the connection phases between one scene and the other the director totally expresses his genius, turning the open ocean into a pond, making two shaking hands become dunes in the desert and so on (you’ll understand what I mean when you’ll watch the movie)
  • The ‘camera’s movements’ literally pull you into the movie and you can’t help feeling excited or scared according to the situation.
  • The movie flows perfectly; it is kind of a pleasure for your eyes and for your brain to follow the adventure.

You can tell it’s a Spielberg’s movie even just looking at these things.

The quality of animation.

I really could not find a proper term to define my astonishment when my eyes approached the beautiful places and landscapes shown in the movie. They look perfect, shining and bursting with emotions, sensations; they almost look like paintings: For instance, there’s a sunset in the movie that I will hardly forget. It’s the same with the CGI models: you can see the wrinkles on the character’s faces, even their sweat.

The Actors

Furthermore, some words about the actors: Bells and Serkis are maybe the sole two living beings who could have given birth to the cinematographic version of the two main characters.

The first succeeds in the hard challenge to provide the audience with a convincing and faithful interpretation of the beloved protagonist (he did A GREAT job indeed), the second is a delightful surprise again, with a funny Scottish accent and a very good interpretation.

Soundtrack

One last word goes to one of the best composer alive: Sir John Williams. There’s nothing to do, every piece of music he creates is able to touch the deepest strings of our hearts.

With a soundtrack that ranges from the epic tones to the mysterious ones he gives us another example of his limitless genius, because he still remains one of those composers able to make us cry.


Conclusion

‘The Adventures of Tintin’ is quintessentially the perfect family film and has plenty to offer audiences of all ages. This is an incredibly joyous, thrilling and comically genius adventure. Hergé was onto a winner with his thoughts towards Spielberg and he can rest easy now knowing his tales have been faithfully and beautifully translated into a cinematic masterwork. Great Snakes, it’s good.

I hope that you enjoyed this post. I have other similar posts in my movie index here…


MOVIES

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

Plague Ship (Full Text) by Andre Norton (writing as “Andrew North”)

Here is a piece of classic science fiction. It’s a full novel or novelle (if your wish)… maybe a novelette. Plague Ship (Full Text) by Andre Norton. What ever it is, it’s a good read from the days of pulp science fiction stories.

These books used to rest in wire frames in the fronts of pharmacies, small-town grocery stores, soda fountains, and other similiar venues all accross the United States. Boys like myself, would plop down a nickel, buy one of these books, and grab a soda to read during the long hot Summer.

Well, I actually came a little later on the scene. The stores that sold these books were mostly “booksellers”, and the cost of a soda increased to twenty five cents. But pretty much everything else stayed the same. Oh, and I fogot to add my “Banana seat” bicycle to the mix…

Anyways…

It’s a grood read for all of you’se guys who are all at home cooped up trying to avoid the COVID-19. Stay safe. Be cool, and enjoy this moment. It will allow you some much needed family and personal time. Don’t squander it.

Enjoy.

PLAGUE SHIP


Chapter I

PERFUMED PLANET

Dane Thorson, Cargo-master-apprentice of the Solar Queen, Galactic Free Trader spacer, Terra registry, stood in the middle of the ship’s cramped bather while Rip Shannon, assistant Astrogator and his senior in the Service of Trade by some four years, applied gobs of highly scented paste to the skin between Dane’s rather prominent shoulder blades. The small cabin was thickly redolent with spicy odors and Rip sniffed appreciatively.

“You’re sure going to be about the best smelling Terran who ever set boot on Sargol’s soil,” his soft slur of speech ended in a rich chuckle.

Dane snorted and tried to estimate progress over one shoulder.

“The things we have to do for Trade!” his comment carried a hint of present embarrassment. “Get it well in—this stuff’s supposed to hold for hours. It’d better. According to Van those Salariki can talk your ears right off your head and say nothing worth hearing. And we have to sit and listen until we get a straight answer out of them. Phew!” He shook his head. In such close quarters the scent, pleasing as it was, was also overpowering. “We would have to pick a world such as this—”

Rip’s dark fingers halted their circular motion. “Dane,” he warned, “don’t you go talking against this venture. We got it soft and we’re going to be credit-happy—if it works out—”

But, perversely, Dane held to a gloomier view of the immediate future. “If,” he repeated. “There’s a galaxy of ‘ifs’ in this Sargol proposition. All very well for you to rest easy on your fins—you don’t have to run about smelling like a spice works before you can get the time of day from one of the natives!”

Rip put down the jar of cream. “Different worlds, different customs,” he iterated the old tag of the Service. “Be glad this one is so easy to conform to. There are some I can think of—There,” he ended his massage with a stinging slap. “You’re all evenly greased. Good thing you don’t have Van’s bulk to cover. It takes him a good hour to get his cream on—even with Frank helping to spread. Your clothes ought to be steamed up and ready, too, by now—”

He opened a tight wall cabinet, originally intended to sterilize clothing which might be contaminated by contact with organisms inimical to Terrans. A cloud of steam fragrant with the same spicy scent poured out.

Dane gingerly tugged loose his Trade uniform, its brown silky fabric damp on his skin as he dressed. Luckily Sargol was warm. When he stepped out on its ruby tinted soil this morning no lingering taint of his off-world origin must remain to disgust the sensitive nostrils of the Salariki. He supposed he would get used to this process. After all this was the first time he had undergone the ritual. But he couldn’t lose the secret conviction that it was all very silly. Only what Rip had pointed out was the truth—one adjusted to the customs of aliens or one didn’t trade and there were other things he might have had to do on other worlds which would have been far more upsetting to that core of private fastidiousness which few would have suspected existed in his tall, lanky frame.

“Whew—out in the open with you—!” Ali Kamil apprentice Engineer, screwed his too regular features into an expression of extreme distaste and waved Dane by him in the corridor.

For the sake of his shipmates’ olfactory nerves, Dane hurried on to the port which gave on the ramp now tying the Queen to Sargol’s crust. But there he lingered, waiting for Van Rycke, the Cargo-master of the spacer and his immediate superior. It was early morning and now that he was out of the confinement of the ship the fresh morning winds cut about him, rippling through the blue-green grass forest beyond, to take much of his momentary irritation with them.

There were no mountains in this section of Sargol—the highest elevations being rounded hills tightly clothed with the same ten-foot grass which covered the plains. From the Queen’s observation ports, one could watch the constant ripple of the grass so that the planet appeared to be largely clothed in a shimmering, flowing carpet. To the west were the seas—stretches of shallow water so cut up by strings of islands that they more resembled a series of salty lakes. And it was what was to be found in those seas which had lured the Solar Queen to Sargol.

Though, by rights, the discovery was that of another Trader—Traxt Cam—who had bid for trading rights to Sargol, hoping to make a comfortable fortune—or at least expenses with a slight profit—in the perfume trade, exporting from the scented planet some of its most fragrant products. But once on Sargol he had discovered the Koros stones—gems of a new type—a handful of which offered across the board in one of the inner planet trading marts had nearly caused a riot among bidding gem merchants. And Cam had been well on the way to becoming one of the princes of Trade when he had been drawn into the vicious net of the Limbian pirates and finished off.

Because they, too, had stumbled into the trap which was Limbo, and had had a very definite part in breaking up that devilish installation, the crew of the Solar Queen had claimed as their reward the trading rights of Traxt Cam in default of legal heirs. And so here they were on Sargol with the notes left by Cam as their guide, and as much lore concerning the Salariki as was known crammed into their minds.

Dane sat down on the end of the ramp, his feet on Sargolian soil, thin, red soil with glittering bits of gold flake in it. He did not doubt that he was under observation from hidden eyes, but he tried to show no sign that he guessed it. The adult Salariki maintained at all times an attitude of aloof and complete indifference toward the Traders, but the juvenile population were as curious as their elders were contemptuous. Perhaps there was a method of approach in that. Dane considered the idea.

Van Rycke and Captain Jellico had handled the first negotiations—and the process had taken most of a day—the result totaling exactly nothing. In their contacts with the off world men the feline ancestered Salariki were ceremonious, wary, and completely detached. But Cam had gotten to them somehow—or he would not have returned from his first trip with that pouch of Koros stones. Only, among his records, salvaged on Limbo, he had left absolutely no clue as to how he had beaten down native sales resistance. It was baffling. But patience had to be the middle name of every Trader and Dane had complete faith in Van. Sooner or later the Cargo-master would find a key to unlock the Salariki.

As if the thought of Dane’s chief had summoned him, Van Rycke, his scented tunic sealed to his bull’s neck in unaccustomed trimness, his cap on his blond head, strode down the ramp, broadcasting waves of fragrance as he moved. He sniffed vigorously as he approached his assistant and then nodded in approval.

“So you’re all greased and ready—”

“Is the Captain coming too, sir?”

Van Rycke shook his head. “This is our headache. Patience, my boy, patience—” He led the way through a thin screen of the grass on the other side of the scorched landing field to a well-packed earth road.

Again Dane felt eyes, knew that they were being watched. But no Salarik stepped out of concealment. At least they had nothing to fear in the way of attack. Traders were immune, taboo, and the trading stations were set up under the white diamond shield of peace, a peace guaranteed on blood oath by every clan chieftain in the district. Even in the midst of interclan feuding deadly enemies met in amity under that shield and would not turn claw knife against each other within a two mile radius of its protection.

The grass forests rustled betrayingly, but the Terrans displayed no interest in those who spied upon them. An insect with wings of brilliant green gauze detached itself from the stalk of a grass tree and fluttered ahead of the Traders as if it were an official herald. From the red soil crushed by their boots arose a pungent odor which fought with the scent they carried with them. Dane swallowed three or four times and hoped that his superior officer had not noticed that sign of discomfort. Though Van Rycke, in spite of his general air of sleepy benevolence and careless goodwill, noticed everything, no matter how trivial, which might have a bearing on the delicate negotiations of Galactic Trade. He had not climbed to his present status of expert Cargo-master by overlooking anything at all. Now he gave an order:

“Take an equalizer—”

Dane reached for his belt pouch, flushing, fiercely determined inside himself, that no matter how smells warred about him that day, he was not going to let it bother him. He swallowed the tiny pellet Medic Tau had prepared for just such trials and tried to occupy his mind with the work to come. If there would be any work—or would another long day be wasted in futile speeches of mutual esteem which gave formal lip service to Trade and its manifest benefits?

“Houuuu—” The cry which was half wail, half arrogant warning, sounded along the road behind them.

Van Rycke’s stride did not vary. He did not turn his head, show any sign he had heard that heralding fanfare for a clan chieftain. And he continued to keep to the exact center of the road, Dane the regulation one pace to the rear and left as befitted his lower rank.

“Houuu—” that blast from the throat of a Salarik especially chosen for his lung power was accompanied now by the hollow drum of many feet. The Terrans neither looked around nor withdrew from the center, nor did their pace quicken.

That, too, was in order, Dane knew. To the rank conscious Salariki clansmen you did not yield precedence unless you wanted at once to acknowledge your inferiority—and if you did that by some slip of admission or omission, there was no use in trying to treat face to face with their chieftains again.

“Houuu—!” The blast behind was a scream as the retinue it announced swept around the bend in the road to catch sight of the two Traders oblivious of it. Dane longed to be able to turn his head, just enough to see which one of the local lordlings they blocked.

“Houu—” there was a questioning note in the cry now and the heavy thud-thud of feet was slacking. The clan party had seen them, were hesitant about the wisdom of trying to shove them aside.

Van Rycke marched steadily onward and Dane matched his pace. They might not possess a leather-lunged herald to clear their road, but they gave every indication of having the right to occupy as much of it as they wished. And that unruffled poise had its affect upon those behind. The pound of feet slowed to a walk, a walk which would keep a careful distance behind the two Terrans. It had worked—the Salariki—or these Salariki—were accepting them at their own valuation—a good omen for the day’s business. Dane’s spirits rose, but he schooled his features into a mask as wooden as his superior’s. After all this was a very minor victory and they had ten or twelve hours of polite, and hidden, maneuvering before them.

The Solar Queen had set down as closely as possible to the trading center marked on Traxt Cam’s private map and the Terrans now had another five minutes march, in the middle of the road, ahead of the chieftain who must be inwardly boiling at their presence, before they came out in the clearing containing the roofless, circular erection which served the Salariki of the district as a market place and a common meeting ground for truce talks and the mending of private clan alliances. Erect on a pole in the middle, towering well above the nodding fronds of the grass trees, was the pole bearing the trade shield which promised not only peace to those under it, but a three day sanctuary to any feuder or duelist who managed to win to it and lay hands upon its weathered standard.

They were not the first to arrive, which was also a good thing. Gathered in small groups about the walls of the council place were the personal attendants, liege warriors, and younger relatives of at least four or five clan chieftains. But, Dane noted at once, there was not a single curtained litter or riding orgel to be seen. None of the feminine part of the Salariki species had arrived. Nor would they until the final trade treaty was concluded and established by their fathers, husbands, or sons.

With the assurance of one who was master in his own clan, Van Rycke, displaying no interest at all in the shifting mass of lower rank Salariki, marched straight on to the door of the enclosure. Two or three of the younger warriors got to their feet, their brilliant cloaks flicking out like spreading wings. But when Van Rycke did not even lift an eyelid in their direction, they made no move to block his path.

As fighting men, Dane thought, trying to study the specimens before him with a totally impersonal stare, the Salariki were an impressive lot. Their average height was close to six feet, their distant feline ancestry apparent only in small vestiges. A Salarik’s nails on both hands and feet were retractile, his skin was gray, his thick hair, close to the texture of plushy fur, extended down his backbone and along the outside of his well muscled arms and legs, and was tawny-yellow, blue-gray or white. To Terran eyes the broad faces, now all turned in their direction, lacked readable expression. The eyes were large and set slightly aslant in the skull, being startlingly orange-red or a brilliant turquoise green-blue. They wore loin cloths of brightly dyed fabrics with wide sashes forming corselets about their slender middles, from which gleamed the gem-set hilts of their claw knives, the possession of which proved their adulthood. Cloaks as flamboyant as their other garments hung in bat wing folds from their shoulders and each and every one moved in an invisible cloud of perfume.

Brilliant as the assemblage of liege men without had been, the gathering of clan leaders and their upper officers within the council place was a riot of color—and odor. The chieftains were installed on the wooden stools, each with a small table before him on which rested a goblet bearing his own clan sign, a folded strip of patterned cloth—his “trade shield”—and a gemmed box containing the scented paste he would use for refreshment during the ordeal of conference.

A breeze fluttered sash ends and tugged at cloaks, otherwise the assembly was motionless and awesomely quiet. Still making no overtures Van Rycke crossed to a stool and table which stood a little apart and seated himself. Dane went into the action required of him. Before his superior he set out a plastic pocket flask, its color as alive in the sunlight as the crudely cut gems which the Salariki sported, a fine silk handkerchief, and, last of all, a bottle of Terran smelling salts provided by Medic Tau as a necessary restorative after some hours combination of Salariki oratory and Salariki perfumes. Having thus done the duty of liege man, Dane was at liberty to seat himself, cross-legged on the ground behind his chief, as the other sons, heirs, and advisors had gathered behind their lords.

The chieftain whose arrival they had in a manner delayed came in after them and Dane saw that it was Fashdor—another piece of luck—since that clan was a small one and the chieftain had little influence. Had they so slowed Halfer or Paft it might be a different matter altogether.

Fashdor was established at his seat, his belongings spread out, and Dane, counting unobtrusively, was certain that the council was now complete. Seven clans Traxt Cam had recorded divided the sea coast territory and there were seven chieftains here—indicative of the importance of this meeting since some of these clans beyond the radius of the shield peace, must be fighting a vicious blood feud at that very moment. Yes, seven were here. Yet there still remained a single stool, directly across the circle from Van Rycke. An empty stool—who was the late comer?

That question was answered almost as it flashed into Dane’s mind. But no Salariki lordling came through the door. Dane’s self-control kept him in his place, even after he caught the meaning of the insignia emblazoned across the newcomer’s tunic. Trader—and not only a Trader but a Company man! But why—and how? The Companies only went after big game—this was a planet thrown open to Free Traders, the independents of the star lanes. By law and right no Company man had any place here. Unless—behind a face Dane strove to keep as impassive as Van’s his thoughts raced. Traxt Cam as a Free Trader had bid for the right to exploit Sargol when its sole exportable product was deemed to be perfume—a small, unimportant trade as far as the Companies were concerned. And then the Koros stones had been found and the importance of Sargol must have boomed as far as the big boys could see. They probably knew of Traxt Cam’s death as soon as the Patrol report on Limbo had been sent to Headquarters. The Companies all maintained their private information and espionage services. And, with Traxt Cam dead without an heir, they had seen their chance and moved in. Only, Dane’s teeth set firmly, they didn’t have the ghost of a chance now. Legally there was only one Trader on Sargol and that was the Solar Queen, Captain Jellico had his records signed by the Patrol to prove that. And all this Inter-Solar man would do now was to bow out and try poaching elsewhere.

But the I-S man appeared to be in no haste to follow that only possible course. He was seating himself with arrogant dignity on that unoccupied stool, and a younger man in I-S uniform was putting before him the same type of equipment Dane had produced for Van Rycke. The Cargo-master of the Solar Queen showed no surprise, if the Eysies’ appearance had been such to him.

One of the younger warriors in Paft’s train got to his feet and brought his hands together with a clap which echoed across the silent gathering with the force of an archaic solid projectal shot. A Salarik, wearing the rich dress of the upper ranks, but also the collar forced upon a captive taken in combat, came into the enclosure carrying a jug in both hands. Preceded by Paft’s son he made the rounds of the assembly pouring a purple liquid from his jug into the goblet before each chieftain, a goblet which Paft’s heirs tasted ceremoniously before it was presented to the visiting clan leader. When they paused before Van Rycke the Salarik nobleman touched the side of the plasta flask in token. It was recognized that off world men must be cautious over the sampling of local products and that when they joined in the Taking of the First Cup of Peace, they did so symbolically.

Paft raised his cup, his gesture copied by everyone around the circle. In the harsh tongue of his race he repeated a formula so archaic that few of the Salariki could now translate the sing-song words. They drank and the meeting was formally opened.

But it was an elderly Salarik seated to the right of Halfer, a man who wore no claw knife and whose dusky yellow cloak and sash made a subdued note amid the splendor of his fellows, who spoke first, using the click-clack of the Trade Lingo his nation had learned from Cam.

“Under the white,” he pointed to the shield aloft, “we assemble to hear many things. But now come two tongues to speak where once there was but one father of a clan. Tell us, outlanders, which of you must we now hark to in truth?” He looked from Van Rycke to the I-S representative.

The Cargo-master from the Queen did not reply. He stared across the circle at the Company man. Dane waited eagerly. What was the I-S going to say to that?

But the fellow did have an answer, ready and waiting. “It is true, fathers of clans, that here are two voices, where by right and custom there should only be one. But this is a matter which can be decided between us. Give us leave to withdraw from your sight and speak privately together. Then he who returns to you will be the true voice and there shall be no more division—”

It was Paft who broke in before Halfer’s spokesman could reply.

“It would have been better to have spoken together before you came to us. Go then until the shadow of the shield is not, then return hither and speak truly. We do not wait upon the pleasure of outlanders—”

A murmur approved that tart comment. “Until the shadow of the shield is not.” They had until noon. Van Rycke arose and Dane gathered up his chief’s possessions. With the same superiority to his surroundings he had shown upon entering, the Cargo-master left the enclosure, the Eysies following. But they were away from the clearing, out upon the road back to the Queen before the two from the Company caught up with them.

“Captain Grange will see you right away—” the Eysie Cargo-master was beginning when Van Rycke met him with a quelling stare.

“If you poachers have anything to say—you say it at the Queen and to Captain Jellico,” he stated flatly and started on.

Above his tight tunic collar the other’s face flushed, his teeth flashed as he caught his lower lip between them as if to forcibly restrain an answer he longed to make. For a second he hesitated and then he vanished down a side path with his assistant. Van Rycke had gone a quarter of the distance back to the ship before he spoke.

“I thought it was too easy,” he muttered. “Now we’re in for it—maybe right up the rockets! By the Spiked Tail of Exol, this is certainly not our lucky day!” He quickened pace until they were close to trotting.


Chapter II

RIVALS

“That’s far enough, Eysie!”

Although Traders by law and tradition carried no more potent personal weapons—except in times of great crisis—than hand sleep rods, the resultant shot from the latter was just as unpleasant for temporary periods as a more forceful beam—and the threat of it was enough to halt the three men who had come to the foot of the Queen’s ramp and who could see the rod held rather negligently by Ali. Ali’s eyes were anything but negligent, however, and Free Traders had reputations to be respected by their rivals of the Companies. The very nature of their roving lives taught them savage lessons—which they either learned or died.

Dane, glancing down over the Engineer-apprentice’s shoulder, saw that Van Rycke’s assumption of confidence had indeed paid off. They had left the trade enclosure of the Salariki barely three-quarters of an hour ago. But below now stood the bebadged Captain of the I-S ship and his Cargo-master.

“I want to speak to your Captain—” snarled the Eysie officer.

Ali registered faint amusement, an expression which tended to rouse the worst in the spectator, as Dane knew of old when that same mocking appraisal had been turned on him as the rawest of the Queen’s crew.

“But does he wish to speak to you?” countered Kamil. “Just stay where you are, Eysie, until we are sure about that fact.”

That was his cue to act as messenger. Dane retreated into the ship and swung up the ladder to the command section. As he passed Captain Jellico’s private cabin he heard the muffled squall of the commander’s unpleasant pet—Queex, the Hoobat—a nightmare combination of crab, parrot and toad, wearing a blue feather coating and inclined to scream and spit at all comers. Since Queex would not be howling in that fashion if its master was present, Dane kept on to the control cabin where he blundered in upon an executive level conference of Captain, Cargo-master and Astrogator.

“Well?” Jellico’s blaster scarred left cheek twitched as he snapped that impatient inquiry at the messenger.

“Eysie Captain below, sir. With his Cargo-master. They want to see you—”

Jellico’s mouth was a straight line, his eyes very hard. By instinct Dane’s hand went to the grip of the sleep rod slung at his belt. When the Old Man put on his fighting face—look out! Here we go again, he told himself, speculating as to just what type of action lay before them now.

“Oh, they do, do they!” Jellico began and then throttled down the temper he could put under iron control when and if it were necessary. “Very well, tell them to stay where they are. Van, we’ll go down—”

For a moment the Cargo-master hesitated, his heavy-lidded eyes looked sleepy, he seemed almost disinterested in the suggestion. And when he nodded it was with the air of someone about to perform some boring duty.

“Right, sir.” He wriggled his heavy body from behind the small table, resealed his tunic, and settled his cap with as much precision as if he were about to represent the Queen before the assembled nobility of Sargol.

Dane hurried down the ladders, coming to a halt beside Ali. It was the turn of the man at the foot of the ramp to bark an impatient demand:

“Well?” (Was that the theme word of every Captain’s vocabulary?)

“You wait,” Dane replied with no inclination to give the Eysie officer any courtesy address. Close to a Terran year aboard the Solar Queen had inoculated him with pride in his own section of Service. A Free Trader was answerable to his own officers and to no one else on earth—or among the stars—no matter how much discipline and official etiquette the Companies used to enhance their power.

He half expected the I-S officers to leave after an answer such as that. For a Company Captain to be forced to wait upon the convenience of a Free Trader must be galling in the extreme. And the fact that this one was doing just that was an indication that the Queen’s crew did, perhaps, have the edge of advantage in any coming bargain. In the meantime the Eysie contingent fumed below while Ali lounged whistling against the exit port, playing with his sleep rod and Dane studied the grass forest. His boot nudged a packet just inside the port casing and he glanced inquiringly from it to Ali.

“Cat ransom,” the other answered his unspoken question.

So that was it—the fee for Sinbad’s return. “What is it today?”

“Sugar—about a tablespoon full,” the Engineer-assistant returned, “and two colored steelos. So far they haven’t run up the price on us. I think they’re sharing out the spoil evenly, a new cub brings him back every night.”

As did all Terran ships, the Solar Queen carried a cat as an important member of the regular crew. And the portly Sinbad, before their landing on Sargol, had never presented any problem. He had done his duty of ridding the ship of unusual and usual pests and cargo despoilers with dispatch, neatness and energy. And when in port on alien worlds had never shown any inclination to go a-roving.

But the scents of Sargol had apparently intoxicated him, shearing away his solid dignity and middle-aged dependability. Now Sinbad flashed out of the Queen at the opening of her port in the early morning and was brought back, protesting with both voice and claws, at the end of the day by that member of the juvenile population whose turn it was to collect the standing reward for his forceful delivery. Within three days it had become an accepted business transaction which satisfied everyone but Sinbad.

The scrape of metal boot soles on ladder rungs warned of the arrival of their officers. Ali and Dane withdrew down the corridor, leaving the entrance open for Jellico and Van Rycke. Then they drifted back to witness the meeting with the Eysies.

There were no prolonged greetings between the two parties, no offer of hospitality as might have been expected between Terrans on an alien planet a quarter of the Galaxy away from the earth which had given them a common heritage.

Jellico, with Van Rycke at his shoulder, halted before he stepped from the ramp so that the three Inter-Solar men, Captain, Cargo-master and escort, whether they wished or no, were put in the disadvantageous position of having to look up to a Captain whom they, as members of one of the powerful Companies, affected to despise. The lean, well muscled, trim figure of the Queen’s commander gave the impression of hard bitten force held in check by will control, just as his face under its thick layer of space burn was that of an adventurer accustomed to make split second decisions—an estimate underlined by that seam of blaster burn across one flat cheek.

Van Rycke, with a slight change of dress, could have been a Company man in the higher ranks—or so the casual observer would have placed him, until an observer marked the eyes behind those sleepy drooping lids, or caught a certain note in the calm, unhurried drawl of his voice. To look at the two senior officers of the Free Trading spacer were the antithesis of each other—in action they were each half of a powerful, steamroller whole—as a good many men in the Service—scattered over a half dozen or so planets—had discovered to their cost in the past.

Now Jellico brought the heels of his space boots together with an extravagant click and his hand flourished at the fore of his helmet in a gesture which was better suited to the Patrol hero of a slightly out-of-date Video serial.

“Jellico, Solar Queen, Free Trader,” he identified himself brusquely, and added, “this is Van Rycke, our Cargo-master.”

Not all the flush had faded from the face of the I-S Captain.

“Grange of the Dart,” he did not even sketch a salute. “Inter-Solar. Kallee, Cargo-master—” And he did not name the hovering third member of his party.

Jellico stood waiting and after a long moment of silence Grange was forced to state his business.

“We have until noon—”

Jellico, his fingers hooked in his belt, simply waited. And under his level gaze the Eysie Captain began to find the going hard.

“They have given us until noon,” he started once more, “to get together—”

Jellico’s voice came, coldly remote. “There is no reason for any ‘getting together,’ Grange. By rights I can have you up before the Trade Board for poaching. The Solar Queen has sole trading rights here. If you up-ship within a reasonable amount of time, I’ll be inclined to let it pass. After all I’ve no desire to run all the way to the nearest Patrol post to report you—”

“You can’t expect to buck Inter-Solar. We’ll make you an offer—” That was Kallee’s contribution, made probably because his commanding officer couldn’t find words explosive enough.

Jellico, whose forté was more direct action, took an excursion into heavy-handed sarcasm. “You Eysies have certainly been given excellent briefing. I would advise a little closer study of the Code—and not the sections in small symbols at the end of the tape, either! We’re not bucking anyone. You’ll find our registration for Sargol down on tapes at the Center. And I suggest that the sooner you withdraw the better—before we cite you for illegal planeting.”

Grange had gained control of his emotions. “We’re pretty far from Center here,” he remarked. It was a statement of fact, but it carried over-tones which they were able to assess correctly. The Solar Queen was a Free Trader, alone on an alien world. But the I-S ship might be cruising in company, ready to summon aid, men and supplies. Dane drew a deep breath, the Eysies must be sure of themselves, not only that, but they must want what Sargol had to offer to the point of being willing to step outside the law to get it.

The I-S Captain took a step forward. “I think we understand each other now,” he said, his confidence restored.

Van Rycke answered him, his deep voice cutting across the sighing of the wind in the grass forest.

“Your proposition?”

Perhaps this return to their implied threat bolstered their belief in the infallibility of the Company, their conviction that no independent dared stand up against the might and power of Inter-Solar. Kallee replied:

“We’ll take up your contract, at a profit to you, and you up-ship before the Salariki are confused over whom they are to deal with—”

“And the amount of profit?” Van Rycke bored in.

“Oh,” Kallee shrugged, “say ten percent of Cam’s last shipment—”

Jellico laughed. “Generous, aren’t you, Eysie? Ten percent of a cargo which can’t be assessed—the gang on Limbo kept no records of what they plundered.”

“We don’t know what he was carrying when he crashed on Limbo,” countered Kallee swiftly. “We’ll base our offer on what he carried to Axal.”

Now Van Rycke chucked. “I wonder who figured that one out?” he inquired of the scented winds. “He must save the Company a fair amount of credits one way or another. Interesting offer—”

By the bland satisfaction to be read on the three faces below the I-S men were assured of their victory. The Solar Queen would be paid off with a pittance, under the vague threat of Company retaliation she would up-ship from Sargol, and they would be left in possession of the rich Koros trade—to be commended and rewarded by their superiors. Had they, Dane speculated, ever had any dealings with Free Traders before—at least with the brand of independent adventurers such as manned the Solar Queen?

Van Rycke burrowed in his belt pouch and then held out his hand. On the broad palm lay a flat disc of metal. “Very interesting—” he repeated. “I shall treasure this recording—”

The sight of that disc wiped all satisfaction from the Eysie faces. Grange’s purplish flush spread up from his tight tunic collar, Kallee blinked, and the unknown third’s hand dropped to his sleep rod. An action which was not overlooked by either Dane or Ali.

“A smooth set down to you,” Jellico gave the conventional leave taking of the Service.

“You’d better—” the Eysie Captain began hotly, and then seeing the disc Van Rycke held—that sensitive bit of metal and plastic which was recording this interview for future reference, he shut his mouth tight.

“Yes?” the Queen’s Cargo-master prompted politely. But Kallee had taken his Captain’s arm and was urging Grange away from the spacer.

“You have until noon to lift,” was Jellico’s parting shot as the three in Company livery started toward the road.

“I don’t think that they will,” he added to Van Rycke.

The Cargo-master nodded. “You wouldn’t in their place,” he pointed out reasonably. “On the other hand they’ve had a bit of a blast they weren’t expecting. It’s been a long time since Grange heard anyone say ‘no.'”

“A shock which is going to wear off,” Jellico’s habitual distrust of the future gathered force.

“This,” Van Rycke tucked the disc back into his pouch, “sent them off vector a parsec or two. Grange is not one of the strong arm blaster boys. Suppose Tang Ya does a little listening in—and maybe we can rig another surprise if Grange does try to ask advice of someone off world. In the meantime I don’t think they are going to meddle with the Salariki. They don’t want to have to answer awkward questions if we turn up a Patrol ship to ask them. So—” he stretched and beckoned to Dane, “we shall go to work once more.”

Again two paces behind Van Rycke Dane tramped to the trade circle of the Salariki clansmen. They might have walked out only five or six minutes of ship time before, and the natives betrayed no particular interest in their return. But, Dane noted, there was only one empty stool, one ceremonial table in evidence. The Salariki had expected only one Terran Trader to join them.

What followed was a dreary round of ceremony, an exchange of platitudes and empty good wishes and greetings. No one mentioned Koros stones—or even perfume bark—that he was willing to offer the off-world traders. None lifted so much as a corner of his trade cloth, under which, if he were ready to deal seriously, his hidden hand would meet that of the buyer, so that by finger pressure alone they could agree or disagree on price. But such boring sessions were part of Trade and Dane, keeping a fraction of attention on the speeches and “drinkings-together,” watched those around him with an eye which tried to assess and classify what he saw.

The keynote of the Salariki character was a wary independence. The only form of government they would tolerate was a family-clan organization. Feuds and deadly duels between individuals and clans were the accepted way of life and every male who reached adulthood went armed and ready for combat until he became a “Speaker for the past”—too old to bear arms in the field. Due to the nature of their battling lives, relatively few of the Salariki ever reached that retirement. Short-lived alliances between families sometimes occurred, usually when they were to face a common enemy greater than either. But a quarrel between chieftains, a fancied insult would rip that open in an instant. Only under the Trade Shield could seven clans sit this way without their warriors being at one another’s furred throats.

An hour before sunset Paft turned his goblet upside down on his table, a move followed speedily by every chieftain in the circle. The conference was at an end for that day. And as far as Dane could see it had accomplished exactly nothing—except to bring the Eysies into the open. What had Traxt Cam discovered which had given him the trading contract with these suspicious aliens? Unless the men from the Queen learned it, they could go on talking until the contract ran out and get no farther than they had today.

From his training Dane knew that ofttimes contact with an alien race did require long and patient handling. But between study and experiencing the situation himself there was a gulf, and he thought somewhat ruefully that he had much to learn before he could meet such a situation with Van Rycke’s unfailing patience and aplomb. The Cargo-master seemed in nowise tired by his wasted day and Dane knew that Van would probably sit up half the night, going over for the hundredth time Traxt Cam’s sketchy recordings in another painstaking attempt to discover why and how the other Free Trader had succeeded where the Queen’s men were up against a stone wall.

The harvesting of Koros stones was, as Dane and all those who had been briefed from Cam’s records knew, a perilous job. Though the rule of the Salariki was undisputed on the land masses of Sargol, it was another matter in the watery world of the shallow seas. There the Gorp were in command of the territory and one had to be constantly alert for attack from the sly, reptilian intelligence, so alien to the thinking processes of both Salariki and Terran that there was, or seemed to be, no point of possible contact. One went gathering Koros gems after balancing life against gain. And perhaps the Salariki did not see any profit in that operation. Yet Traxt Cam had brought back his bag of gems—somehow he had managed to secure them in trade.

Van Rycke climbed the ramp, hurrying on into the Queen as if he would not get back to his records soon enough. But Dane paused and looked back at the grass jungle a little wistfully. To his mind these early morning hours were the best time on Sargol. The light was golden, the night winds had not yet arisen. He disliked exchanging the freedom of the open for the confinement of the spacer.

And, as he hesitated there, two of the juvenile population of Sargol came out of the forest. Between them they carried one of their hunting nets, a net which now enclosed a quiet but baneful eyed captive—Sinbad being delivered for nightly ransom. Dane was reaching for the pay to give the captors when, to his real astonishment, one of them advanced and pointed with an extended forefinger claw to the open port.

“Go in,” he formed the Trade Lingo words with care. And Dane’s surprise must have been plain to read for the cub followed his speech with a vigorous nod and set one foot on the ramp to underline his desire.

For one of the Salariki, who had continually manifested their belief that Terrans and their ship were an offence to the nostrils of all right living “men,” to wish to enter the spacer was an astonishing about-face. But any advantage no matter how small, which might bring about a closer understanding, must be seized at once.

Dane accepted the growling Sinbad and beckoned, knowing better than to touch the boy. “Come—”

Only one of the junior clansmen obeyed that invitation. The other watched, big-eyed, and then scuttled back to the forest when his fellow called out some suggestion. He was not going to be trapped.

Dane led the way up the ramp, paying no visible attention to the young Salarik, nor did he urge the other on when he lingered for a long moment or two at the port. In his mind the Cargo-master apprentice was feverishly running over the list of general trade goods. What did they carry which would make a suitable and intriguing gift for a small alien with such a promising bump of curiosity? If he had only time to get Van Rycke!

The Salarik was inside the corridor now, his nostrils spread, assaying each and every odor in this strange place. Suddenly his head jerked as if tugged by one of his own net ropes. His interest had been riveted by some scent his sensitive senses had detected. His eyes met Dane’s in appeal. Swiftly the Terran nodded and then followed with a lengthened stride as the Salarik sped down into the lower reaches of the Queen, obviously in quest of something of great importance.


Chapter III

CONTACT AT LAST

“What in”—Frank Mura, steward, storekeeper, and cook of the Queen, retreated into the nearest cabin doorway as the young Salarik flashed down the ladder into his section.

Dane, with the now resigned Sinbad in the crook of his arm, had tailed his guest and arrived just in time to see the native come to an abrupt halt before one of the most important doors in the spacer—the portal of the hydro garden which renewed the ship’s oxygen and supplied them with fresh fruit and vegetables to vary their diet of concentrates.

The Salarik laid one hand on the smooth surface of the sealed compartment and looked back over his shoulder at Dane with an inquiry to which was added something of a plea. Guided by his instinct—that this was important to them all—Dane spoke to Mura:

“Can you let him in there, Frank?”

It was not sensible, it might even be dangerous. But every member of the crew knew the necessity for making some sort of contact with the natives. Mura did not even nod, but squeezed by the Salarik and pressed the lock. There was a sign of air, and the crisp smell of growing things, lacking the languorous perfumes of the world outside, puffed into the faces.

The cub remained where he was, his head up, his wide nostrils visibly drinking in that smell. Then he moved with the silent, uncanny speed which was the heritage of his race, darting down the narrow aisle toward a mass of greenery at the far end.

Sinbad kicked and growled. This was his private hunting ground—the preserve he kept free of invaders. Dane put the cat down. The Salarik had found what he was seeking. He stood on tiptoe to sniff at a plant, his yellow eyes half closed, his whole stance spelling ecstasy. Dane looked to the steward for enlightenment.

“What’s he so interested in, Frank?”

“Catnip.”

“Catnip?” Dane repeated. The word meant nothing to him, but Mura had a habit of picking up strange plants and cultivating them for study. “What is it?”

“One of the Terran mints—an herb,” Mura gave a short explanation as he moved down the aisle toward the alien. He broke off a leaf and crushed it between his fingers.

Dane, his sense of smell largely deadened by the pungency with which he had been surrounded by most of that day, could distinguish no new odor. But the young Salarik swung around to face the steward his eyes wide, his nose questing. And Sinbad gave a whining yowl and made a spring to push his head against the steward’s now aromatic hand.

So—now they had it—an opening wedge. Dane came up to the three.

“All right to take a leaf or two?” he asked Mura.

“Why not? I grow it for Sinbad. To a cat it is like heemel smoke or a tankard of lackibod.”

And by Sinbad’s actions Dane guessed that the plant did hold for the cat the same attraction those stimulants produced in human beings. He carefully broke off a small stem supporting three leaves and presented it to the Salarik, who stared at him and then, snatching the twig, raced from the hydro garden as if pursued by feuding clansmen.

Dane heard the pad of his feet on the ladder—apparently the cub was making sure of escape with his precious find. But the Cargo-master apprentice was frowning. As far as he could see there were only five of the plants.

“That’s all the catnip you have?”

Mura tucked Sinbad under his arm and shooed Dane before him out of the hydro. “There was no need to grow more. A small portion of the herb goes a long way with this one,” he put the cat down in the corridor. “The leaves may be preserved by drying. I believe that there is a small box of them in the galley.”

A strictly limited supply. Suppose this was the key which would unlock the Koros trade? And yet it was to be summed up in five plants and a few dried leaves! However, Van Rycke must know of this as soon as possible.

But to Dane’s growing discomfiture the Cargo-master showed no elation as his junior poured out the particulars of his discovery. Instead there were definite signs of displeasure to be read by those who knew Van Rycke well. He heard Dane out and then got to his feet. Tolling the younger man with him by a crooked finger, he went out of his combined office-living quarters to the domain of Medic Craig Tau.

“Problem for you, Craig.” Van Rycke seated his bulk on the wall jump seat Tau pulled down for him. Dane was left standing just within the door, very sure now that instead of being commended for his discovery of a few minutes before, he was about to suffer some reprimand. And the reason for it still eluded him.

“What do you know about that plant Mura grows in the hydro—the one called ‘catnip’?”

Tau did not appear surprised at that demand—the Medic of a Free Trading spacer was never surprised at anything. He had his surfeit of shocks during his first years of service and after that accepted any occurrence, no matter how weird, as matter-of-fact. In addition Tau’s hobby was “magic,” the hidden knowledge possessed and used by witch doctors and medicine men on alien worlds. He had a library of recordings, odd scraps of information, of certified results of certain very peculiar experiments. Now and then he wrote a report which was sent into Central Service, read with raised eyebrows by perhaps half a dozen incredulous desk warmers, and filed away to be safely forgotten. But even that had ceased to frustrate him.

“It’s an herb of the mint family from Terra,” he replied. “Mura grows it for Sinbad—has quite a marked influence on cats. Frank’s been trying to keep him anchored to the ship by allowing him to roll in fresh leaves. He does it—then continues to sneak out whenever he can—”

That explained something for Dane—why the Salariki cub wished to enter the Queen tonight. Some of the scent of the plant had clung to Sinbad’s fur, had been detected, and the Salarik had wanted to trace it to its source.

“Is it a drug?” Van Rycke prodded.

“In the way that all herbs are drugs. Human beings have dosed themselves in the past with a tea made of the dried leaves. It has no great medicinal properties. To felines it is a stimulation—and they get the same satisfaction from rolling in and eating the leaves as we do from drinking—”

“The Salariki are, in a manner of speaking, felines—” Van Rycke mused.

Tau straightened. “The Salariki have discovered catnip, I take it?”

Van Rycke nodded at Dane and for the second time the Cargo-master apprentice made his report. When he was done Van Rycke asked a direct question of the medical officer:

“What effect would catnip have on a Salarik?”

It was only then that Dane grasped the enormity of what he had done. They had no way of gauging the influence of an off-world plant on alien metabolism. What if he had introduced to the natives of Sargol a dangerous drug—started that cub on some path of addiction. He was cold inside. Why, he might even have poisoned the child!

Tau picked up his cap, and after a second’s hesitation, his emergency medical kit. He had only one question for Dane.

“Any idea of who the cub is—what clan he belongs to?”

And Dane, chill with real fear, was forced to answer in the negative. What had he done!

“Can you find him?” Van Rycke, ignoring Dane, spoke to Tau.

The Medic shrugged. “I can try. I was out scouting this morning—met one of the storm priests who handles their medical work. But I wasn’t welcomed. However, under the circumstances, we have to try something—”

In the corridor Van Rycke had an order for Dane. “I suggest that you keep to quarters, Thorson, until we know how matters stand.”

Dane saluted. That note in his superior’s voice was like a whip lash—much worse to take than the abuse of a lesser man. He swallowed as he shut himself into his own cramped cubby. This might be the end of their venture. And they would be lucky if their charter was not withdrawn. Let I-S get an inkling of his rash action and the Company would have them up before the Board to be stripped of all their rights in the Service. Just because of his own stupidity—his pride in being able to break through where Van Rycke and the Captain had faced a stone wall. And, worse than the future which could face the Queen, was the thought that he might have introduced some dangerous drug into Sargol with his gift of those few leaves. When would he learn? He threw himself face down on his bunk and despondently pictured the string of calamities which could and maybe would stem from his thoughtless and hasty action.

Within the Queen night and day were mechanical—the lighting in the cabins did not vary much. Dane did not know how long he lay there forcing his mind to consider his stupid action, making himself face that in the Service there were no short cuts which endangered others—not unless those taking the risks were Terrans.

“Dane—!” Rip Shannon’s voice cut through his self-imposed nightmare. But he refused to answer. “Dane—Van wants you on the double!”

Why? To bring him up before Jellico probably. Dane schooled his expression, got up, pulling his tunic straight, still unable to meet Rip’s eyes. Shannon was just one of those he had let down so badly. But the other did not notice his mood. “Wait ’til you see them—! Half Sargol must be here yelling for trade!”

That comment was so far from what he had been expecting that Dane was startled out of his own gloomy thoughts. Rip’s brown face was one wide smile, his black eyes danced—it was plain he was honestly elated.

“Get a move on, fire rockets,” he urged, “or Van will blast you for fair!”

Dane did move, up the ladder to the next level and out on the port ramp. What he saw below brought him up short. Evening had come to Sargol but the scene immediately below was not in darkness. Blazing torches advanced in lines from the grass forest and the portable flood light of the spacer added to the general glare, turning night into noonday.

Van Rycke and Jellico sat on stools facing at least five of the seven major chieftains with whom they had conferred to no purpose earlier. And behind these leaders milled a throng of lesser Salariki. Yes, there was at least one carrying chair—and also an orgel from the back of which a veiled noblewoman was being assisted to dismount by two retainers. The women of the clans were coming—which could mean only that trade was at last in progress. But trade for what?

Dane strode down the ramp. He saw Paft, his hand carefully covered by his trade cloth, advance to Van Rycke, whose own fingers were decently veiled by a handkerchief. Under the folds of fabric their hands touched. The bargaining was in the first stages. And it was important enough for the clan leaders to conduct themselves. Where, according to Cam’s records, it had been usual to delegate that power to a favored liege man.

Catching the light from the ship’s beam and from the softer flares of the Salariki torches was a small pile of stones resting on a stool to one side. Dane drew a deep breath. He had heard the Koros stones described, had seen the tri-dee print of one found among Cam’s recordings but the reality was beyond his expectations. He knew the technical analysis of the gems—that they were, as the amber of Terra, the fossilized resin exuded by ancient plants (maybe the ancestors of the grass trees) long buried in the saline deposits of the shallow seas where chemical changes had taken place to produce the wonder jewels. In color they shaded from a rosy apricot to a rich mauve, but in their depths other colors, silver, fiery gold, spun sparks which seemed to move as the gem was turned. And—which was what first endeared them to the Salariki—when worn against the skin and warmed by body heat they gave off a perfume which enchanted not only the Sargolian natives but all in the Galaxy wealthy enough to own one.

On another stool placed at Van Rycke’s right hand, as that bearing the Koros stones was at Paft’s, was a transparent plastic box containing some wrinkled brownish leaves. Dane moved as unobtrusively as he could to his proper place at such a trading session, behind Van Rycke. More Salariki were tramping out of the forest, torch bearing retainers and cloaked warriors. A little to one side was a third party Dane had not seen before.

They were clustered about a staff which had been driven into the ground, a staff topped with a white streamer marking a temporary trading ground. These were Salariki right enough but they did not wear the colorful garb of those about them, instead they were all clad alike in muffling, sleeved robes of a drab green—the storm priests—their robes denoting the color of the Sargolian sky just before the onslaught of their worst tempests. Cam had not left many clues concerning the religion of the Salariki, but the storm priests had, in narrowly defined limits, power, and their recognition of the Terran Traders would add to good feeling.

In the knot of storm priests a Terran stood—Medic Tau—and he was talking earnestly with the leader of the religious party. Dane would have given much to have been free to cross and ask Tau a question or two. Was all this assembly the result of the discovery in the hydro? But even as he asked himself that, the trade cloths were shaken from the hands of the bargainers and Van Rycke gave an order over his shoulder.

“Measure out two spoonsful of the dried leaves into a box—” he pointed to a tiny plastic container.

With painstaking care Dane followed directions. At the same time a servant of the Salarik chief swept the handful of gems from the other stool and dropped them in a heap before Van Rycke, who transferred them to a strong box resting between his feet. Paft arose—but he had hardly quitted the trading seat before one of the lesser clan leaders had taken his place, the bargaining cloth ready looped loosely about his wrist.

It was at that point that the proceedings were interrupted. A new party came into the open, their utilitarian Trade tunics made a drab blot as they threaded their way in a compact group through the throng of Salariki. I-S men! So they had not lifted from Sargol.

They showed no signs of uneasiness—it was as if their rights were being infringed by the Free Traders. And Kallee, their Cargo-master, swaggered straight to the bargaining point. The chatter of Salariki voices was stilled, the Sargolians withdrew a little, letting one party of Terrans face the other, sensing drama to come. Neither Van Rycke nor Jellico spoke, it was left to Kallee to state his case.

“You’ve crooked your orbit this time, bright boys,” his jeer was a paean of triumph. “Code Three—Article six—or can’t you absorb rules tapes with your thick heads?”

Code Three—Article six, Dane searched his memory for that law of the Service. The words flashed into his mind as the auto-learner had planted them during his first year of training back in the Pool.

“To no alien race shall any Trader introduce any drug, food, or drink from off world, until such a substance has been certified as nonharmful to the aliens.”

There it was! I-S had them and it was all his fault. But if he had been so wrong, why in the world did Van Rycke sit there trading, condoning the error and making it into a crime for which they could be summoned before the Board and struck off the rolls of the Service?

Van Rycke smiled gently. “Code Four—Article two,” he quoted with the genial air of one playing gift-giver at a Forkidan feasting.

Code Four, Article two: Any organic substance offered for trade must be examined by a committee of trained medical experts, an equal representation of Terrans and aliens.

Kallee’s sneering smile did not vanish. “Well,” he challenged, “where’s your board of experts?”

“Tau!” Van Rycke called to the Medic with the storm priests. “Will you ask your colleague to be so kind as to allow the Cargo-master Kallee to be presented?”

The tall, dark young Terran Medic spoke to the priest beside him and together they came across the clearing. Van Rycke and Jellico both arose and inclined their heads in honor to the priests, as did the chief with whom they had been about to deal.

“Reader of clouds and master of many winds,” Tau’s voice flowed with the many voweled titles of the Sargolian, “may I bring before your face Cargo-master Kallee, a servant of Inter-Solar in the realm of Trade?”

The storm priest’s shaven skull and body gleamed steel gray in the light. His eyes, of that startling blue-green, regarded the I-S party with cynical detachment.

“You wish of me?” Plainly he was one who believed in getting down to essentials at once.

Kallee could not be overawed. “These Free Traders have introduced among your people a powerful drug which will bring much evil,” he spoke slowly in simple words as if he were addressing a cub.

“You have evidence of such evil?” countered the storm priest. “In what manner is this new plant evil?”

For a moment Kallee was disconcerted. But he rallied quickly. “It has not been tested—you do not know how it will affect your people—”

The storm priest shook his head impatiently. “We are not lacking in intelligence, Trader. This plant has been tested, both by your master of life secrets and ours. There is no harm in it—rather it is a good thing, to be highly prized—so highly that we shall give thanks that it was brought unto us. This speech-together is finished.” He pulled the loose folds of his robe closer about him and walked away.

“Now,” Van Rycke addressed the I-S party, “I must ask you to withdraw. Under the rules of Trade your presence here can be actively resented—”

But Kallee had lost little of his assurance. “You haven’t heard the last of this. A tape of the whole proceedings goes to the Board—”

“As you wish. But in the meantime—” Van Rycke gestured to the waiting Salariki who were beginning to mutter impatiently. Kallee glanced around, heard those mutters, and made the only move possible, away from the Queen. He was not quite so cocky, but neither had he surrendered.

Dane caught at Tau’s sleeve and asked the question which had been burning in him since he had come upon the scene.

“What happened—about the catnip?”

There was lightening of the serious expression on Tau’s face.

“Fortunately for you that child took the leaves to the storm priest. They tested and approved it. And I can’t see that it has any ill effects. But you were just lucky, Thorson—it might have gone another way.”

Dane sighed. “I know that, sir,” he confessed. “I’m not trying to rocket out—”

Tau gave a half-smile. “We all off-fire our tubes at times,” he conceded. “Only next time—”

He did not need to complete that warning as Dane caught him up:

“There isn’t going to be a next time like this, sir—ever!”


Chapter IV

GORP HUNT

But the interruption had disturbed the tenor of trading. The small chief who had so eagerly taken Paft’s place had only two Koros stones to offer and even to Dane’s inexperienced eyes they were inferior in size and color to those the other clan leader had tendered. The Terrans were aware that Koros mining was a dangerous business but they had not known that the stock of available stones was so very small. Within ten minutes the last of the serious bargaining was concluded and the clansmen were drifting away from the burned over space about the Queen’s standing fins.

Dane folded up the bargain cloth, glad for a task. He sensed that he was far from being back in Van Rycke’s good graces. The fact that his superior did not discuss any of the aspects of the deals with him was a bad sign.

Captain Jellico stretched. Although his was not, or never, what might be termed a good-humored face, he was at peace with his world. “That would seem to be all. What’s the haul, Van?”

“Ten first class stones, about fifty second grade, and twenty or so of third. The chiefs will go to the fisheries tomorrow. Then we’ll be in to see the really good stuff.”

“And how’s the herbs holding out?” That interested Dane too. Surely the few plants in the hydro and the dried leaves could not be stretched too far.

“As well as we could expect.” Van Rycke frowned. “But Craig thinks he’s on the trail of something to help—”

The storm priests had uprooted the staff marking the trading station and were wrapping the white streamer about it. Their leader had already gone and now Tau came up to the group by the ramp.

“Van says you have an idea,” the Captain hailed him.

“We haven’t tried it yet. And we can’t unless the priests give it a clear lane—”

“That goes without saying—” Jellico agreed.

The Captain had not addressed that remark to him personally, but Dane was sure it had been directed at him. Well, they needn’t worry—never again was he going to make that mistake, they could be very sure of that.

He was part of the conference which followed in the mess cabin only because he was a member of the crew. How far the reason for his disgrace had spread he had no way of telling, but he made no overtures, even to Rip.

Tau had the floor with Mura as an efficient lieutenant. He discussed the properties of catnip and gave information on the limited supply the Queen carried. Then he launched into a new suggestion.

“Felines of Terra, in fact a great many other of our native mammals, have a similar affinity for this.”

Mura produced a small flask and Tau opened it, passing it to Captain Jellico and so from hand to hand about the room. Each crewman sniffed at the strong aroma. It was a heavier scent than that given off by the crushed catnip—Dane was not sure he liked it. But a moment later Sinbad streaked in from the corridor and committed the unpardonable sin of leaping to the table top just before Mura who had taken the flask from Dane. He miaowed plaintively and clawed at the steward’s cuff. Mura stoppered the flask and put the cat down on the floor.

“What is it?” Jellico wanted to know.

“Anisette, a liquor made from the oil of anise—from seeds of the anise plant. It is a stimulant, but we use it mainly as a condiment. If it is harmless for the Salariki it ought to be a bigger bargaining point than any perfumes or spices, I-S can import. And remember, with their unlimited capital, they can flood the market with products we can’t touch, selling at a loss if need be to cut us out. Because their ship is not going to lift from Sargol just because she has no legal right here.”

“There’s this point,” Van Rycke added to the lecture. “The Eysies are trading or want to trade perfumes. But they stock only manufactured products, exotic stuff, but synthetic.” He took from his belt pouch two tiny boxes.

Before he caught the rich scent of the paste inside them Dane had already identified each as luxury items from Casper—chemical products which sold well and at high prices in the civilized ports of the Galaxy. The Cargo-master turned the boxes over, exposing the symbol on their undersides—the mark of I-S.

“These were offered to me in trade by a Salarik. I took them, just to have proof that the Eysies are operating here. But—note—they were offered to me in trade, along with two top Koros for what? One spoonful of dried catnip leaves. Does that suggest anything?”

Mura answered first. “The Salariki prefer natural products to synthetic.”

“I think so.”

“D’you suppose that was Cam’s secret?” speculated Astrogator Steen Wilcox.

“If it was,” Jellico cut in, “he certainly kept it! If we had only known this earlier—”

They were all thinking of that, of their storage space carefully packed with useless trade goods. Where, if they had known, the same space could have carried herbs with five or twenty-five times as much buying power.

“Maybe now that their sales’ resistance is broken, we can switch to some of the other stuff,” Tang Ya, torn away from his beloved communicators for the conference, said wistfully. “They like color—how about breaking out some rolls of Harlinian moth silk?”

Van Rycke sighed wearily. “Oh, we’ll try. We’ll bring out everything and anything. But we could have done so much better—” he brooded over the tricks of fate which had landed them on a planet wild for trade with no proper trade goods in either of their holds.

There was a nervous little sound of a throat being apologetically cleared. Jasper Weeks, the small wiper from the engine room detail, the third generation Venusian colonist whom the more vocal members of the Queen’s complement were apt to forget upon occasion, seeing all eyes upon him, spoke though his voice was hardly above a hoarse whisper.

“Cedar—lacquel bark—forsh weed—”

“Cinnamon,” Mura added to the list. “Imported in small quantities—”

“Naturally! Only the problem now is—how much cedar, lacquel bark, forsh weed, cinnamon do we have on board?” demanded Van Rycke.

His sarcasm did not register with Weeks for the little man pushed by Dane and left the cabin to their surprise. In the quiet which followed they could hear the clatter of his boots on ladder rungs as he descended to the quarters of the engine room staff. Tang turned to his neighbor, Johan Stotz, the Queen’s Engineer.

“What’s he going for?”

Stotz shrugged. Weeks was a self-effacing man—so much so that even in the cramped quarters of the spacer very little about him as an individual impressed his mates—a fact which was slowly dawning on them all now. Then they heard the scramble of feet hurrying back and Weeks burst in with energy which carried him across to the table behind which the Captain and Van Rycke now sat.

In the wiper’s hands was a plasta-steel box—the treasure chest of a spaceman. Its tough exterior was guaranteed to protect the contents against everything but outright disintegration. Weeks put it down on the table and snapped up the lid.

A new aroma, or aromas, was added to the scents now at war in the cabin. Weeks pulled out a handful of fluffy white stuff which frothed up about his fingers like soap lather. Then with more care he lifted up a tray divided into many small compartments, each with a separate sealing lid of its own. The men of the Queen moved in, their curiosity aroused, until they were jostling one another.

Being tall Dane had an advantage, though Van Rycke’s bulk and the wide shoulders of the Captain were between him and the object they were so intent upon. In each division of the tray, easily seen through the transparent lids, was a carved figure. The weird denizens of the Venusian polar swamps were there, along with lifelike effigies of Terran animals, a Martian sand-mouse in all its monstrous ferocity, and the native animal and reptile life of half a hundred different worlds. Weeks put down a second tray beside the first, again displaying a menagerie of strange life forms. But when he clicked open one of the compartments and handed the figurine it contained to the Captain, Dane understood the reason for now bringing forward the carvings.

The majority of them were fashioned from a dull blue-gray wood and Dane knew that if he picked one up he would discover that it weighed close to nothing in his hand. That was lacquel bark—the aromatic product of a Venusian vine. And each little animal or reptile lay encased in a soft dab of frothy white—frosh weed—the perfumed seed casing of the Martian canal plants. One or two figures on the second tray were of a red-brown wood and these Van Rycke sniffed at appreciatively.

“Cedar—Terran cedar,” he murmured.

Weeks nodded eagerly, his eyes alight. “I am waiting now for sandalwood—it is also good for carving—”

Jellico stared at the array in puzzled wonder. “You have made these?”

Being an amateur xenobiologist of no small standing himself, the shapes of the carvings more than the material from which they fashioned held his attention.

All those on board the Queen had their own hobbies. The monotony of voyaging through hyper-space had long ago impressed upon men the need for occupying both hands and mind during the sterile days while they were forced into close companionship with few duties to keep them alert. Jellico’s cabin was papered with tri-dee pictures of the rare animals and alien creatures he had studied in their native haunts or of which he kept careful and painstaking records. Tau had his magic, Mura not only his plants but the delicate miniature landscapes he fashioned, to be imprisoned forever in the hearts of protecting plasta balls. But Weeks had never shown his work before and now he had an artist’s supreme pleasure of completely confounding his shipmates.

The Cargo-master returned to the business on hand first. “You’re willing to transfer these to ‘cargo’?” he asked briskly. “How many do you have?”

Weeks, now lifting a third and then a fourth tray from the box, replied without looking up.

“Two hundred. Yes, I’ll transfer, sir.”

The Captain was turning about in his fingers the beautifully shaped figure of an Astran duocorn. “Pity to trade these here,” he mused aloud. “Will Paft or Halfer appreciate more than just their scent?”

Weeks smiled shyly. “I’ve filled this case, sir. I was going to offer them to Mr. Van Rycke on a venture. I can always make another set. And right now—well, maybe they’ll be worth more to the Queen, seeing as how they’re made out of aromatic woods, then they’d be elsewhere. Leastwise the Eysies aren’t going to have anything like them to show!” he ended in a burst of honest pride.

“Indeed they aren’t!” Van Rycke gave honor where it was due.

So they made plans and then separated to sleep out the rest of the night. Dane knew that his lapse was not forgotten nor forgiven, but now he was honestly too tired to care and slept as well as if his conscience were clear.

But morning brought only a trickle of lower class clansmen for trading and none of them had much but news to offer. The storm priests, as neutral arbitrators, had divided up the Koros grounds. And the clansmen, under the personal supervision of their chieftains were busy hunting the stones. The Terrans gathered from scraps of information that gem seeking on such a large scale had never been attempted before.

Before night there came other news, and much more chilling. Paft, one of the two major chieftains of this section of Sargol—while supervising the efforts of his liege men on a newly discovered and richly strewn length of shoal water—had been attacked and killed by gorp. The unusual activity of the Salariki in the shallows had in turn drawn to the spot battalions of the intelligent, malignant reptiles who had struck in strength, slaying and escaping before the Salariki could form an adequate defense, having killed the land dwellers’ sentries silently and effectively before advancing on the laboring main bodies of gem hunters.

A loss of a certain number of miners or fishers had been preseen as the price one paid for Koros in quantity. But the death of a chieftain was another thing altogether, having repercussions which carried far beyond the fact of his death. When the news reached the Salariki about the Queen they melted away into the grass forest and for the first time the Terrans felt free of spying eyes.

“What happens now?” Ali inquired. “Do they declare all deals off?”

“That might just be the unfortunate answer,” agreed Van Rycke.

“Could be,” Rip commented to Dane, “that they’d think we were in some way responsible—”

But Dane’s conscience, sensitive over the whole matter of Salariki trade, had already reached that conclusion.

The Terran party, unsure of what were the best tactics, wisely decided to do nothing at all for the time being. But, when the Salariki seemed to have completely vanished on the morning of the second day, the men were restless. Had Paft’s death resulted in some interclan quarrel over the heirship and the other clans withdrawn to let the various contendents for that honor fight it out? Or—what was more probable and dangerous—had the aliens come to the point of view that the Queen was in the main responsible for the catastrophe and were engaged in preparing too warm a welcome for any Traders who dared to visit them?

With the latter idea in mind they did not stray far from the ship. And the limit to their traveling was the edge of the forest from which they could be covered and so they did not learn much.

It was well into the morning before they were dramatically appraised that, far from being considered in any way an enemy, they were about to be accepted in a tie as close as clan to clan during one of the temporary but binding truces.

The messenger came in state, a young Salarik warrior, his splendid cloak rent and hanging in tattered pieces from his shoulders as a sign of his official grief. He carried in one hand a burned out torch, and in the other an unsheathed claw knife, its blade reflecting the sunlight with a wicked glitter. Behind him trotted three couples of retainers, their cloaks also ragged fringes, their knives drawn.

Standing up on the ramp to receive what could only be a formal deputation were Captain, Astrogator, Cargo-master and Engineer, the senior officers of the spacer.

In the rolling periods of the Trade Lingo the torch bearer identified himself as Groft, son and heir of the late lamented Paft. Until his chieftain father was avenged in blood he could not assume the high seat of his clan nor the leadership of the family. And now, following custom, he was inviting the friends and sometimes allies of the dead Paft to a gorp hunt. Such a gorp hunt, Dane gathered from amidst the flowers of ceremonial Salariki speech, as had never been planned before on the face of Sargol. Salariki without number in the past had died beneath the ripping talons of the water reptiles, but it was seldom that a chieftain had so fallen and his clan were firm in their determination to take a full blood price from the killers.

“—and so, sky lords,” Groft brought his oration to a close, “we come to ask that you send your young men to this hunting so that they may know the joy of plunging knives into the scaled death and see the horned ones die bathed in their own vile blood!”

Dane needed no hint from the Queen’s officers that this invitation was a sharp departure from custom. By joining with the natives in such a foray the Terrans were being admitted to kinship of a sort, cementing relations by a tie which the I-S, or any other interloper from off-world, would find hard to break. It was a piece of such excellent good fortune as they would not have dreamed of three days earlier.

Van Rycke replied, his voice properly sonorous, sounding out the rounded periods of the rolling tongue which they had all been taught during the voyage, using Cam’s recording. Yes, the Terrans would join with pleasure in so good and great a cause. They would lend the force of their arms to the defeat of all gorp they had the good fortune to meet. Groft need only name the hour for them to join him—

It was not needful, the young Salariki chieftain-to-be hastened to tell the Cargo-master, that the senior sky lords concern themselves in this matter. In fact it would be against custom, for it was meet that such a hunt be left to warriors of few years, that they might earn glory and be able to stand before the fires at the Naming as men. Therefore—the thumb claw of Groft was extended to its greatest length as he used it to single out the Terrans he had been eyeing—let this one, and that, and that, and the fourth be ready to join with the Salariki party an hour after nooning on this very day and they would indeed teach the slimy, treacherous lurkers in the depths a well needed lesson.

The Salarik’s choice with one exception had unerringly fallen upon the youngest members of the crew, Ali, Rip, and Dane in that order. But his fourth addition had been Jasper Weeks. Perhaps because of his native pallor of skin and slightness of body the oiler had seemed, to the alien, to be younger than his years. At any rate Groft had made it very plain that he chose these men and Dane knew that the Queen’s officers would raise no objection which might upset the delicate balance of favorable relations.

Van Rycke did ask for one concession which was reluctantly granted. He received permission for the spacer’s men to carry their sleep rods. Though the Salariki, apparently for some reason of binding and hoary custom, were totally opposed to hunting their age-old enemy with anything other than their duelists’ weapons of net and claw knife.

“Go along with them,” Captain Jellico gave his final orders to the four, “as long as it doesn’t mean your own necks—understand? On the other hand dead heroes have never helped to lift a ship. And these gorp are tough from all accounts. You’ll just have to use your own judgment about springing your rods on them—” He looked distinctly unhappy at that thought.

Ali was grinning and little Weeks tightened his weapon belt with a touch of swagger he had never shown before. Rip was his usual soft voiced self, dependable as a rock and a good base for the rest of them—taking command without question as they marched off to join Groft’s company.


Chapter V

THE PERILOUS SEAS

The gorp hunters straggled through the grass forest in family groups, and the Terrans saw that the enterprise had forced another uneasy truce upon the district, for there were representatives from more than just Paft’s own clan. All the Salariki were young and the parties babbled together in excitement. It was plain that this hunt, staged upon a large scale, was not only a means of revenge upon a hated enemy but, also, a sporting event of outstanding prestige.

Now the grass trees began to show ragged gaps, open spaces between their clumps, until the forest was only scattered groups and the party the Terrans had joined walked along a trail cloaked in knee-high, yellow-red fern growth. Most of the Salariki carried unlit torches, some having four or five bundled together, as if gorp hunting must be done after nightfall. And it was fairly late in the afternoon before they topped a rise of ground and looked out upon one of Sargol’s seas.

The water was a dull-metallic gray, broken by great swaths of purple as if an artist had slapped a brush of color across it in a hit or miss fashion. Sand of the red grit, lightened by the golden flecks which glittered in the sun, stretched to the edge of the wavelets breaking with only languor on the curve of earth. The bulk of islands arose in serried ranks farther out—crowned with grass trees all rippling under the sea wind.

They came out upon the beach where one of the purple patches touched the shore and Dane noted that it left a scummy deposit there. The Terrans went on to the water’s edge. Where it was clear of the purple stuff they could get a murky glimpse of the bottom, but the scum hid long stretches of shoreline and outer wave, and Dane wondered if the gorp used it as a protective covering.

For the moment the Salariki made no move toward the sea which was to be their hunting ground. Instead the youngest members of the party, some of whom were adolescents not yet entitled to wear the claw knife of manhood, spread out along the shore and set industriously to gathering driftwood, which they brought back to heap on the sand. Dane, watching that harvest, caught sight of a smoothly polished length. He called Weeks’ attention to the water rounded cylinder.

The oiler’s eyes lighted and he stooped to pick it up. Where the other sticks were from grass trees this was something else. And among the bleached pile it had the vividness of flame. For it was a strident scarlet. Weeks turned it over in his hands, running his fingers lovingly across its perfect grain. Even in this crude state it had beauty. He stopped the Salarik who had just brought in another armload of wood.

“This is what?” he spoke the Trade Lingo haltingly.

The native gazed somewhat indifferently at the branch. “Tansil,” he answered. “It grows on the islands—” He made a vague gesture to include a good section of the western sea before he hurried away.

Weeks now went along the tide line on his own quest, Dane trailing him. At the end of a quarter hour when a hail summoned them back to the site of the now lighted fire, they had some ten pieces of the tansil wood between them. The finds ranged from a three foot section some four inches in diameter, to some slender twigs no larger than a writing steelo—but all with high polish, the warm flame coloring. Weeks lashed them together before he joined the group where Groft was outlining the technique of gorp hunting for the benefit of the Terrans.

Some two hundred feet away a reef, often awash and stained with the purple scum, angled out into the sea in a long curve which formed a natural breakwater. This was the point of attack. But first the purple film must be removed so that land and sea dwellers could meet on common terms.

The fire blazed up, eating hungrily into the driftwood. And from it ran the young Salariki with lighted brands, which at the water’s edge they whirled about their heads and then hurled out onto the purple patches. Fire arose from the water and ran with frantic speed across the crests of the low waves, while the Salariki coughed and buried their noses in their perfume boxes, for the wind drove shoreward an overpowering stench.

Where the cleansing fire had run on the water there was now only the natural metallic gray of the liquid, the cover was gone. Older Salariki warriors were choosing torches from those they had brought, doing it with care. Groft approached the Terrans carrying four.

“These you use now—”

What for? Dane wondered. The sky was still sunlit. He held the torch watching to see how the Salariki made use of them.

Groft led the advance—running lightly out along the reef with agile and graceful leaps to cross the breaks where the sea hurled in over the rock. And after him followed the other natives, each with a lighted torch in hand—the torch they hunkered down to plant firmly in some crevice of the rock before taking a stand beside that beacon.

The Terrans, less surefooted in the space boots, picked their way along the same path, wet with spray, wrinkling their noses against the lingering puffs of the stench from the water.

Following the example of the Salariki they faced seaward—but Dane did not know what to watch for. Cam had left only the vaguest general descriptions of gorp and beyond the fact that they were reptilian, intelligent and dangerous, the Terrans had not been briefed.

Once the warriors had taken up their stand along the reef, the younger Salariki went into action once more. Lighting more torches at the fire, they ran out along the line of their elders and flung their torches as far as they could hurl them into the sea outside the reef.

The gray steel of the water was now yellow with the reflection of the sinking sun. But that ocher and gold became more brilliant yet as the torches of the Salariki set blazing up far floating patches of scum. Dane shielded his eyes against the glare and tried to watch the water, with some idea that this move must be provocation and what they hunted would so be driven into view.

He held his sleep rod ready, just as the Salarik on his right had claw knife in one hand and in the other, open and waiting, the net intended to entangle and hold fast a victim, binding him for the kill.

But it was at the far tip of the barrier—the post of greatest honor which Groft had jealously claimed as his, that the gorp struck first. At a wild shout of defiance Dane half turned to see the Salarik noble cast his net at sea level and then stab viciously with a well practiced blow. When he raised his arm for a second thrust, greenish ichor ran from the blade down his wrist.

“Dane!”

Thorson’s head jerked around. He saw the vee of ripples headed straight for the rocks where he balanced.

But he’d have to wait for a better target than a moving wedge of water. Instinctively he half crouched in the stance of an embattled spaceman, wishing now that he did have a blaster.

Neither of the Salariki stationed on either side of him made any move and he guessed that was hunt etiquette. Each man was supposed to face and kill the monster that challenged him—without assistance. And upon his skill during the next few minutes might rest the reputation of all Terrans as far as the natives were concerned.

There was a shadow outline beneath the surface of the metallic water now, but he could not see well because of the distortion of the murky waves. He must wait until he was sure.

Then the thing gave a spurt and, only inches beyond the toes of his boots, a nightmare creature sprang halfway out of the water, pincher claws as long as his own arms snapping at him. Without being conscious of his act, he pressed the stud of the sleep rod, aiming in the general direction of that horror from the sea.

But to his utter amazement the creature did not fall supinely back into watery world from which it had emerged. Instead those claws snapped again, this time scrapping across the top of Dane’s foot, leaving a furrow in material the keenest of knives could not have scored.

“Give it to him!” That was Rip shouting encouragement from his own place farther along the reef.

Dane pressed the firing stud again and again. The claws waved as the monstrosity slavered from a gaping frog’s mouth, a mouth which was fanged with a shark’s vicious teeth. It was almost wholly out of the water, creeping on a crab’s many legs, with a clawed upper limb reaching for him, when suddenly it stopped, its huge head turning from side to side in the sheltering carapace of scaled natural armor. It settled back as if crouching for a final spring—a spring which would push Dane into the ocean.

But that attack never came. Instead the gorp drew in upon itself until it resembled an unwieldy ball of indestructible armor and there it remained.

The Salariki on either side of Dane let out cries of triumph and edged closer. One of them twirled his net suggestively, seeing that the Terran lacked what was to him an essential piece of hunting equipment. Dane nodded vigorously in agreement and the tough strands swung out in a skillful cast which engulfed the motionless creature on the reef. But it was so protected by its scales that there was no opening for the claw knife. They had made a capture but they could not make a kill.

However, the Salariki were highly delighted. And several abandoned their posts to help the boys drag the monster ashore where it was pinned down to the beach by stakes driven through the edges of the net.

But the hunting party was given little time to gloat over this stroke of fortune. The gorp killed by Groft and the one stunned by Dane were only the van of an army and within moments the hunters on the reef were confronted by trouble armed with slashing claws and diabolic fighting ability.

The battle was anything but one-sided. Dane whirled, as the air was rent by a shriek of agony, just in time to see one of the Salariki, already torn by the claws of a gorp, being drawn under the water. It was too late to save the hunter, though Dane, balanced on the very edge of the reef, aimed a beam into the bloody waves. If the gorp was affected by this attack he could not tell, for both attacker and victim could no longer be seen.

But Ali had better luck in rescuing the Salarik who shared his particular section of reef, and the native, gashed and spurting blood from a wound in his thigh, was hauled to safety. While the gorp, coiling too slowly under the Terran ray, was literally hewn to pieces by the revengeful knives of the hunter’s kin.

The fight broke into a series of individual duels carried on now by the light of the torches as the evening closed in. The last of the purple patches had burned away to nothing. Dane crouched by his standard torch, his eyes fastened on the sea, watching for an ominous vee of ripples betraying another gorp on its way to launch against the rock barrier.

There was such wild confusion along that line of water sprayed rocks that he had no idea of how the engagement was going. But so far the gorp showed no signs of having had enough.

Dane was shaken out of his absorption by another scream. One, he was sure, which had not come from any Salariki throat. He got to his feet. Rip was stationed four men beyond him. Yes, the tall Astrogator-apprentice was there, outlined against torch flare. Ali? No—there was the assistant Engineer. Weeks? But Weeks was picking his way back along the reef toward the shore, haste expressed in every line of his figure. The scream sounded for a second time, freezing the Terrans.

“Come back—!” That was Weeks gesturing violently at the shore and something floundering in the protecting circle of the reef. The younger Salariki who had been feeding the fire were now clustered at the water’s edge.

Ali ran and with a leap covered the last few feet, landing reckless knee deep in the waves. Dane saw light strike on his rod as he swung it in a wide arc to center on the struggle churning the water into foam. A third scream died to a moan and then the Salariki dashed into the sea, their nets spread, drawing back with them through the surf a dark and now quiet mass.

The fact that at least one gorp had managed to get on the inner side of the reef made an impression on the rest of the native hunters. After an uncertain minute or two Groft gave the signal to withdraw—which they did with grisly trophies. Dane counted seven gorp bodies—which did not include the prisoner ashore. And more might have slid into the sea to die. On the other hand two Salariki were dead—one had been drawn into the sea before Dane’s eyes—and at least one was badly wounded. But who had been pulled down in the shallows—some one sent out from the Queen with a message?

Dane raced back along the reef, not waiting to pull up his torch, and before he reached the shore Rip was overtaking him. But the man who lay groaning on the sand was not from the Queen. The torn and bloodstained tunic covering his lacerated shoulders had the I-S badge. Ali was already at work on his wounds, giving temporary first aid from his belt kit. To all their questions he was stubbornly silent—either he couldn’t or wouldn’t answer.

In the end they helped the Salariki rig three stretchers. On one the largest, the captive gorp, still curled in a round carapace protected ball, was bound with the net. The second supported the wounded Salarik clansman and onto the third the Terrans lifted the I-S man.

“We’ll deliver him to his own ship,” Rip decided. “He must have tailed us here as a spy—” He asked a passing Salarik as to where they could find the Company spacer.

“They might just think we are responsible,” Ali pointed out. “But I see your point. If we do pack him back to the Queen and he doesn’t make it, they might say that we fired his rockets for him. All right, boys, let’s up-ship—he doesn’t look too good to me.”

With a torch-bearing Salarik boy as a guide, they hurried along a path taking in turns the burden of the stretcher. Luckily the I-S ship was even closer to the sea than the Queen and as they crossed the slagged ground, congealed by the break fire, they were trotting.

Though the Company ship was probably one of the smallest Inter-Solar carried on her rosters, it was a third again as large as the Queen—with part of that third undoubtedly dedicated to extra cargo space. Beside her their own spacer would seem not only smaller, but battered and worn. But no Free Trader would have willingly assumed the badges of a Company man, not even for the command of such a ship fresh from the cradles of a builder.

When a man went up from the training Pool for his first assignment, he was sent to the ship where his temperament, training and abilities best fitted. And those who were designated as Free Traders would never fit into the pattern of Company men. Of late years the breech between those who lived under the strict parental control of one of the five great galaxy wide organizations and those still too much of an individual to live any life but that of a half-explorer-half-pioneer which was the Free Trader’s, had widened alarmingly. Antagonism flared, rivalry was strong. But as yet the great Companies themselves were at polite cold war with one another for the big plums of the scattered systems. The Free Traders took the crumbs and there was not much disputing—save in cases such as had arisen on Sargol, when suddenly crumbs assumed the guise of very rich cake, rich and large enough to attract a giant.

The party from the Queen was given a peremptory challenge as they reached the other ship’s ramp. Rip demanded to see the officer of the watch and then told the story of the wounded man as far as they knew it. The Eysie was hurried aboard—nor did his shipmates give a word of thanks.

“That’s that.” Rip shrugged. “Let’s go before they slam the hatch so hard they’ll rock their ship off her fins!”

“Polite, aren’t they?” asked Weeks mildly.

“What do you expect of Eysies?” Ali wanted to know. “To them Free Traders are just rim planet trash. Let’s report back where we are appreciated.”

They took a short cut which brought them back to the Queen and they filed up her ramp to make their report to the Captain.

But they were not yet satisfied with Groft and his gorp slayers. No Salarik appeared for trade in the morning—surprising the Terrans. Instead a second delegation, this time of older men and a storm priest, visited the spacer with an invitation to attend Paft’s funeral feast, a rite which would be followed by the formal elevation of Groft to his father’s position, now that he had revenged that parent. And from remarks dropped by members of the delegation it was plain that the bearing of the Terrans who had joined the hunting party was esteemed to have been in highest accord with Salariki tradition.

They drew lots to decide which two must remain with the ship and the rest perfumed themselves so as to give no offense which might upset their now cordial relations. Again it was mid-afternoon when the Salariki escort sent to do them honor waited at the edge of the wood and Mura and Tang saw them off. With a herald booming before them, they traveled the beaten earth road in the opposite direction from the trading center, off through the forest until they came to a wide section of several miles which had been rigorously cleared of any vegetation which might give cover to a lurking enemy. In the center of this was a twelve-foot-high stockade of the bright red, burnished wood which had attracted Weeks on the shore. Each paling was the trunk of a tree and it had been sharpened at the top to a wicked point. On the field side was a wide ditch, crossed at the gate by a bridge, the planking of which might be removed at will. And as Dane passed over he looked down into the moat that was dry. The Salariki did not depend upon water for a defense—but on something else which his experience of the previous night had taught him to respect. There was no mistaking that shade of purple. The highly inflammable scum the hunters had burnt from the top of the waves had been brought inland and lay a greasy blanket some eight feet below. It would only be necessary to toss a torch on that and the defenders of the stockade would create a wall of fire to baffle any attackers. The Salariki knew how to make the most of their world’s natural resources.


Chapter VI

DUELIST’S CHALLENGE

Inside the red stockade there was a crowded community. The Salariki demanded privacy of a kind, and even the unmarried warriors did not share barracks, but each had a small cubicle of his own. So that the mud brick and timber erections of one of their clan cities resembled nothing so much as the comb cells of a busy beehive. Although Paft’s was considered a large clan, it numbered only about two hundred fighting men and their numerous wives, children and captive servants. Not all of them normally lived at this center, but for the funeral feasting they had assembled—which meant a lot of doubling up and tenting out under makeshift cover between the regular buildings of the town. So that the Terrans were glad to be guided through this crowded maze to the Great Hall which was its heart.

As the trading center had been, the hall was a circular enclosure open to the sky above but divided in wheel-spoke fashion with posts of the red wood, each supporting a metal basket filled with imflammable material. Here were no lowly stools or trading tables. One vast circular board, broken only by a gap at the foot, ran completely around the wall. At the end opposite the entrance was the high chair of the chieftain, set on a two step dais. Though the feast had not yet officially begun, the Terrans saw that the majority of the places were already occupied.

They were led around the perimeter of the enclosure to places not far from the high seat. Van Rycke settled down with a grunt of satisfaction. It was plain that the Free Traders were numbered among the nobility. They could be sure of good trade in the days to come.

Delegations from neighboring clans arrived in close companies of ten or twelve and were granted seats, as had been the Terrans, in groups. Dane noted that there was no intermingling of clan with clan. And, as they were to understand later that night, there was a very good reason for that precaution.

“Hope all our adaption shots work,” Ali murmured, eyeing with no pleasure at all the succession of platters now being borne through the inner opening of the table.

While the Traders had learned long ago that the wisest part of valor was not to sample alien strong drinks, ceremony often required that they break bread (or its other world equivalent) on strange planets. And so science served expediency and now a Trader bound for any Galactic banquet was immunized, as far as was medically possible, against the evil consequences of consuming food not originally intended for Terran stomachs. One of the results being that Traders acquired a far flung reputation of possessing bird-like appetites—since it was always better to nibble and live, than to gorge and die.

Groft had not yet taken his place in the vacant chieftain’s chair. For the present he stood in the center of the table circle, directing the captive slaves who circulated with the food. Until the magic moment when the clan themselves would proclaim their overlord, he remained merely the eldest son of the house, relatively without power.

As the endless rows of platters made their way about the table the basket lights on the tops of the pillars were ignited, dispelling the dusk of evening. And there was an attendant stationed by each to throw on handsful of aromatic bark which burned with puffs of lavender smoke, adding to the many warring scents. The Terrans had recourse at intervals to their own pungent smelling bottles, merely to clear their heads of the drugging fumes.

Luckily, Dane thought as the feast proceeded, that smoke from the braziers went straight up. Had they been in a roofed space they might have been overcome. As it was—were they entirely conscious of all that was going on around them?

His reason for that speculation was the dance now being performed in the center of the hall—their fight with the gorp being enacted in a series of bounds and stabbings. He was sure that he could no longer trust his eyes when the claw knife of the victorious dancer-hunter apparently passed completely through the chest of another wearing a grotesque monster mask.

As a fitting climax to their horrific display, three of the men who had been with them on the reef entered, dragging behind them—still enmeshed in the hunting net—the gorp which Dane had stunned. It was uncurled now and very much alive, but the pincer claws which might have cut its way to safety were encased in balls of hard substance.

Freed from the net, suspended by its sealed claws, the gorp swung back and forth from a standard set up before the high seat. Its murderous jaws snapped futilely, and from it came an enraged snake’s vicious hissing. Though totally in the power of its enemies it gave an impression of terrifying strength and menace.

The sight of their ancient foe aroused the Salariki, inflaming warriors who leaned across the table to hurl tongue-twisting invective at the captive monster. Dane gathered that seldom had a living gorp been delivered helpless into their hands and they proposed to make the most of this wonderful opportunity. And the Terran suddenly wished the monstrosity had fallen back into the sea. He had no soft thoughts for the gorp after what he had seen at the reef and the tales he had heard, but neither did he like what he saw now expressed in gestures, heard in the tones of voices about them.

A storm priest put an end to the outcries. His dun cloak making a spot of darkness amid all the flashing color, he came straight to the place where the gorp swung. As he took his stand before the wriggling creature the din gradually faded, the warriors settled back into their seats, a pool of quiet spread through the enclosure.

Groft came up to take his position beside the priest. With both hands he carried a two handled cup. It was not the ornamented goblet which stood before each diner, but a manifestly older artifact, fashioned of some dull black substance and having the appearance of being even older than the hall or town.

One of the warriors who had helped to bring in the gorp now made a quick and accurate cast with a looped rope, snaring the monster’s head and pulling back almost at a right angle. With deliberation the storm priest produced a knife—the first straight bladed weapon Dane had seen on Sargol. He made a single thrust in the soft underpart of the gorp’s throat, catching in the cup he took from Groft some of the ichor which spurted from the wound.

The gorp thrashed madly, spattering table and surrounding Salariki with its life fluid, but the attention of the crowd was riveted elsewhere. Into the old cup the priest poured another substance from a flask brought by an underling. He shook the cup back and forth, as if to mix its contents thoroughly and then handed it to Groft.

Holding it before him the young chieftain leaped to the table top and so to stand before the high seat. There was a hush throughout the enclosure. Now even the gorp had ceased its wild struggles and hung limp in its bonds.

Groft raised the cup above his head and gave a loud shout in the archaic language of his clan. He was answered by a chant from the warriors who would in battle follow his banner, chant punctuated with the clinking slap of knife blades brought down forcibly on the board.

Three times he recited some formula and was answered by the others. Then, in another period of sudden quiet, he raised the cup to his lips and drank off its contents in a single draught, turning the goblet upside down when he had done to prove that not a drop remained within. A shout tore through the great hall. The Salariki were all on their feet, waving their knives over their heads in honor to their new ruler. And Groft for the first time seated himself in the high seat. The clan was no longer without a chieftain. Groft held his father’s place.

“Show over?” Dane heard Stotz murmur and Van Rycke’s disappointing reply:

“Not yet. They’ll probably make a night of it. Here comes another round of drinks—”

“And trouble with them,”—that was Captain Jellico being prophetic.

“By the Coalsack’s Ripcord!” That exclamation had been jolted out of Rip and Dane turned to see what had so jarred the usually serene Astrogator-apprentice. He was just in time to witness an important piece of Sargolian social practice.

A young warrior, surely only within a year or so of receiving his knife, was facing an older Salarik, both on their feet. The head and shoulder fur of the older fighter was dripping wet and an empty goblet rolled across the table to bump to the floor. A hush had fallen on the immediate neighbors of the pair, and there was an air of expectancy about the company.

“Threw his drink all over the other fellow,” Rip’s soft whisper explained. “That means a duel—”

“Here and now?” Dane had heard of the personal combat proclivities of the Salariki.

“Should be to the death for an insult such as that,” Ali remarked, as usual surveying the scene from his chosen role as bystander. As a child he had survived the unspeakable massacres of the Crater War, nothing had been able to crack his surface armor since.

“The young fool!” that was Steen Wilcox sizing up the situation from the angle of a naturally cautious nature and some fifteen years of experience on a great many different worlds. “He’ll be mustered out for good before he knows what happened to him!”

The younger Salarik had barked a question at his elder and had been promptly answered by that dripping warrior. Now their neighbors came to life with an efficiency which suggested that they had been waiting for such a move, it had happened so many times that every man knew just the right procedure from that point on.

In order for a Sargolian feast to be a success, the Terrans gathered from overheard remarks, at least one duel must be staged sometime during the festivities. And those not actively engaged did a lot of brisk betting in the background.

“Look there—at that fellow in the violet cloak,” Rip directed Dane. “See what he just laid down?”

The nobleman in the violet cloak was not one of Groft’s liege men, but a member of the delegation from another clan. And what he had laid down on the table—indicating as he did so his choice as winner in the coming combat, the elder warrior—was a small piece of white material on which reposed a slightly withered but familiar leaf. The neighbor he wagered with, eyed the stake narrowly, bending over to sniff at it, before he piled up two gem set armlets, a personal scent box and a thumb ring to balance.

At this practical indication of just how much the Terran herb was esteemed Dane regretted anew their earlier ignorance. He glanced along the board and saw that Van Rycke had noted that stake and was calling their Captain’s attention to it.

But such side issues were forgotten as the duelists vaulted into the circle rimmed by the table, a space now vacated for their action. They were stripped to their loin cloths, their cloaks thrown aside. Each carried his net in his right hand, his claw knife ready in his left. As yet the Traders had not seen Salarik against Salarik in action and in spite of themselves they edged forward in their seats, as intent as the natives upon what was to come. The finer points of the combat were lost on them, and they did not understand the drilled casts of the net, which had become as formalized through the centuries as the ancient and now almost forgotten sword play of their own world. The young Salarik had greater agility and speed, but the veteran who faced him had the experience.

To Terran eyes the duel had some of the weaving, sweeping movements of the earlier ritual dance. The swift evasions of the nets were graceful and so timed that many times the meshes grazed the skin of the fighter who fled entrapment.

Dane believed that the elder man was tiring, and the youngster must have shared that opinion. There was a leap to the right, a sudden flurry of dart and retreat, and then a net curled high and fell, enfolding flailing arms and kicking legs. When the clutch rope was jerked tight, the captured youth was thrown off balance. He rolled frenziedly, but there was no escaping the imprisoning strands.

A shout applauded the victor. He stood now above his captive who lay supine, his throat or breast ready for either stroke of the knife his captor wished to deliver. But it appeared that the winner was not minded to end the encounter with blood. Instead he reached out a long, befurred arm, took up a filled goblet from the table and with serious deliberation, poured its contents onto the upturned face of the loser.

For a moment there was a dead silence around the feast board and then a second roar, to which the honestly relieved Terrans added spurts of laughter. The sputtering youth was shaken free of the net and went down on his knees, tendering his opponent his knife, which the other thrust along with his own into his sash belt. Dane gathered from overheard remarks that the younger man was, for a period of time, to be determined by clan council, now the servant-slave of his overthrower and that since they were closely united by blood ties, this solution was considered eminently suitable—though had the elder killed his opponent, no one would have thought the worse of him for that deed.

It was the Queen’s men who were to provide the next center of attraction. Groft climbed down from his high seat and came to face across the board those who had accompanied him on the hunt. This time there was no escaping the sipping of the potent drink which the new chieftain slopped from his own goblet into each of theirs.

The fiery mouthful almost gagged Dane, but he swallowed manfully and hoped for the best as it burned like acid down his throat into his middle, there to mix uncomfortably with the viands he had eaten. Weeks’ thin face looked very white, and Dane noticed with malicious enjoyment, that Ali had an unobtrusive grip on the table which made his knuckles stand out in polished knobs—proving that there were things which could upset the imperturbable Kamil.

Fortunately they were not required to empty that flowing bowl in one gulp as Groft had done. The ceremonial mouthful was deemed enough and Dane sat down thankfully—but with uneasy fears for the future.

Groft had started back to his high seat when there was an interruption which had not been foreseen. A messenger threaded his way among the serving men and spoke to the chieftain, who glanced at the Terrans and then nodded.

Dane, his queasiness growing every second, was not attending until he heard a bitten off word from Rip’s direction and looked up to see a party of I-S men coming into the open space before the high seat. The men from the Queen stiffened—there was something in the attitude of the newcomers which hinted at trouble.

“What do you wish, sky lords?” That was Groft using the Trade Lingo, his eyes half closed as he lolled in his chair of state, almost as if he were about to witness some entertainment provided for his pleasure.

“We wish to offer you the good fortune desires of our hearts—” That was Kallee, the flowery words rolling with the proper accent from his tongue. “And that you shall not forget us—we also offer gifts—”

At a gesture from their Cargo-master, the I-S men set down a small chest. Groft, his chin resting on a clenched fist, lost none of his lazy air.

“They are received,” he retorted with the formal acceptance. “And no one can have too much good fortune. The Howlers of the Black Winds know that.” But he tendered no invitation to join the feast.

Kallee did not appear to be disconcerted. His next move was one which took his rivals by surprise, in spite of their suspicions.

“Under the laws of the Fellowship, O, Groft,” he clung to the formal speech, “I claim redress—”

Ali’s hand moved. Through his growing distress Dane saw Van Rycke’s jaw tighten, the fighting mask snap back on Captain Jellico’s face. Whatever came now was real trouble.

Groft’s eyes flickered over the party from the Queen. Though he had just pledged cup friendship with four of them, he had the malicious humor of his race. He would make no move to head off what might be coming.

“By the right of the knife and the net,” he intoned, “you have the power to claim personal satisfaction. Where is your enemy?”

Kallee turned to face the Free Traders. “I hereby challenge a champion to be set out from these off-worlders to meet by the blood and by the water my champion—”

The Salariki were getting excited. This was superb entertainment, an engagement such as they had never hoped to see—alien against alien. The rising murmur of their voices was like the growl of a hunting beast.

Groft smiled and the pleasure that expression displayed was neither Terran—nor human. But then the clan leader was not either, Dane reminded himself.

“Four of these warriors are clan-bound,” he said. “But the others may produce a champion—”

Dane looked along the line of his comrades—Ali, Rip, Weeks and himself had just been ruled out. That left Jellico, Van Rycke, Karl Kosti, the giant jetman whose strength they had to rely upon before, Stotz the Engineer, Medic Tau and Steen Wilcox. If it were strength alone he would have chosen Kosti, but the big man was not too quick a thinker—

Jellico got to his feet, the embodiment of a star lane fighting man. In the flickering light the scar on his cheek seemed to ripple. “Who’s your champion?” he asked Kallee.

The Eysie Cargo-master was grinning. He was confident he had pushed them into a position from which they could not extricate themselves.

“You accept challenge?” he countered.

Jellico merely repeated his question and Kallee beckoned forward one of his men.

The Eysie who stepped up was no match for Kosti. He was a slender, almost wand-slim young man, whose pleased smirk said that he, too, was about to put something over on the notorious Free Traders. Jellico studied him for a couple of long seconds during which the hum of Salariki voices was the threatening buzz of a disturbed wasps’ nest. There was no way out of this—to refuse conflict was to lose all they had won with the clansmen. And they did not doubt that Kallee had, in some way, triggered the scales against them.

Jellico made the best of it. “We accept challenge,” his voice was level. “We, being guesting in Groft’s holding, will fight after the manner of the Salariki who are proven warriors—” He paused as roars of pleased acknowledgment arose around the board.

“Therefore let us follow the custom of warriors and take up the net and the knife—”

Was there a shade of dismay on Kallee’s face?

“And the time?” Groft leaned forward to ask—but his satisfaction at such a fine ending for his feast was apparent. This would be talked over by every Sargolian for many storm seasons to come!

Jellico glanced up at the sky. “Say an hour after dawn, chieftain. With your leave, we shall confer concerning a champion.”

“My council room is yours,” Groft signed for a liege man to guide them.


Chapter VII

BARRING ACCIDENT

The morning winds rustled through the grass forest and, closer to hand, it pulled at the cloaks of the Salariki. Clan nobles sat on stools, lesser folk squatted on the trampled stubble of the cleared ground outside the stockade. In their many colored splendor the drab tunics of the Terrans were a blot of darkness at either end of the makeshift arena which had been marked out for them.

At the conclusion of their conference the Queen’s men had been forced into a course Jellico had urged from the first. He, and he alone, would represent the Free Traders in the coming duel. And now he stood there in the early morning, stripped down to shorts and boots, wearing nothing on which a net could catch and so trap him. The Free Traders were certain that the I-S men having any advantage would press it to the ultimate limit and the death of Captain Jellico would make a great impression on the Salariki.

Jellico was taller than the Eysie who faced him, but almost as lean. Hard muscles moved under his skin, pale where space tan had not burned in the years of his star voyaging. And his every movement was with the liquid grace of a man who, in his time, had been a master of the force blade. Now he gripped in his left hand the claw knife given him by Groft himself and in the other he looped the throwing rope of the net.

At the other end of the field, the Eysie man was industriously moving his bootsoles back and forth across the ground, intent upon coating them with as much of the gritty sand as would adhere. And he displayed the supreme confidence in himself which he had shown at the moment of challenge in the Great Hall.

None of the Free Trading party made the mistake of trying to give Jellico advice. The Captain had not risen to his command without learning his duties. And the duties of a Free Trader covered a wide range of knowledge and practice. One had to be equally expert with a blaster and a slingshot when the occasion demanded. Though Jellico had not fought a Salariki duel with net and knife before, he had a deep memory of other weapons, other tactics which could be drawn upon and adapted to his present need.

There was none of the casual atmosphere which had surrounded the affair between the Salariki clansmen in the hall. Here was ceremony. The storm priests invoked their own particular grim Providence, and there was an oath taken over the weapons of battle. When the actual engagement began the betting among the spectators had reached, Dane decided, epic proportions. Large sections of Sargolian personal property were due to change hands as a result of this encounter.

As the chief priest gave the order to engage both Terrans advanced from their respective ends of the fighting space with the half crouching, light footed tread of spacemen. Jellico had pulled his net into as close a resemblance to rope as its bulk would allow. The very type of weapon, so far removed from any the Traders knew, made it a disadvantage rather than an asset.

But it was when the Eysie moved out to meet the Captain that Rip’s fingers closed about Dane’s upper arm in an almost paralyzing grip.

“He knows—”

Dane had not needed that bad news to be made vocal. Having seen the exploits of the Salariki duelists earlier, he had already caught the significance of that glide, of the way the I-S champion carried his net. The Eysie had not had any last minute instruction in the use of Sargolian weapons—he had practiced and, by his stance, knew enough to make him a formidable menace. The clamor about the Queen’s party rose as the battle-wise eyes of the clansmen noted that and the odds against Jellico reached fantastic heights while the hearts of his crew sank.

Only Van Rycke was not disturbed. Now and then he raised his smelling bottle to his nose with an elegant gesture which matched those of the befurred nobility around him, as if not a thought of care ruffled his mind.

The Eysie feinted in a opening which was a rather ragged copy of the young Salarik’s more fluid moves some hours before. But, when the net settled, Jellico was simply not there, his quick drop to one knee had sent the mesh flailing in an arc over his bowed shoulders with a good six inches to spare. And a cry of approval came not only from his comrades, but from those natives who had been gamblers enough to venture their wagers on his performance.

Dane watched the field and the fighters through a watery film. The discomfort he had experienced since downing that mouthful of the cup of friendship had tightened into a fist of pain clutching his middle in a torturing grip. But he knew he must stick it out until Jellico’s ordeal was over. Someone stumbled against him and he glanced up to see Ali’s face, a horrible gray-green under the tan, close to his own. For a moment the Engineer-apprentice caught at his arm for support and then with a visible effort straightened up. So he wasn’t the only one—He looked for Rip and Weeks and saw that they, too, were ill.

But for a moment all that mattered was the stretch of trampled earth and the two men facing each other. The Eysie made another cast and this time, although Jellico was not caught, the slap of the mesh raised a red welt on his forearm. So far the Captain had been content to play the defensive role of retreat, studying his enemy, planning ahead.

The Eysie plainly thought the game his, that he had only to wait for a favorable moment and cinch the victory. Dane began to think it had gone on for weary hours. And he was dimly aware that the Salariki were also restless. One or two shouted angrily at Jellico in their own tongue.

The end came suddenly. Jellico lost his footing, stumbled, and went down. But before his men could move, the Eysie champion bounded forward, his net whirling out. Only he never reached the Captain. In the very act of falling Jellico had pulled his legs under him so that he was not supine but crouched, and his net swept but at ground level, clipping the I-S man about the shins, entangling his feet so that he crashed heavily to the sod and lay still.

“The whip—that Lalox whip trick!” Wilcox’s voice rose triumphantly above the babble of the crowd. Using his net as if it had been a thong, Jellico had brought down the Eysie with a move the other had not foreseen.

Breathing hard, sweat running down his shoulders and making tracks through the powdery red dust which streaked him, Jellico got to his feet and walked over to the I-S champion who had not moved or made a sound since his fall. The Captain went down on one knee to examine him.

“Kill! Kill!” That was the Salariki, all their instinctive savagery aroused.

But Jellico spoke to Groft. “By our customs we do not kill the conquered. Let his friends bear him hence.” He took the claw knife the Eysie still clutched in his hand and thrust it into his own belt. Then he faced the I-S party and Kallee.

“Take your man and get out!” The rein he had kept on his temper these past days was growing very thin. “You’ve made your last play here.”

Kallee’s thick lips drew back in something close to a Salarik snarl. But neither he nor his men made any reply. They bundled up their unconscious fighter and disappeared.

Of their own return to the sanctuary of the Queen Dane had only the dimmest of memories afterwards. He had made the privacy of the forest road before he yielded to the demands of his outraged interior. And after that he had stumbled along with Van Rycke’s hand under his arm, knowing from other miserable sounds that he was not alone in his torment.

It was some time later, months he thought when he first roused, that he found himself lying in his bunk, feeling very weak and empty as if a large section of his middle had been removed, but also at peace with his world. As he levered himself up the cabin had a nasty tendency to move slowly to the right as if he were a pivot on which it swung, and he had all the sensations of being in free fall though the Queen was still firmly planeted. But that was only a minor discomfort compared to the disturbance he remembered.

Fed the semi-liquid diet prescribed by Tau and served up by Mura to him and his fellow sufferers, he speedily got back his strength. But it had been a close call, he did not need Tau’s explanation to underline that. Weeks had suffered the least of the four, he the most—though none of them had had an easy time. And they had been out of circulation three days.

“The Eysie blasted last night,” Rip informed him as they lounged in the sun on the ramp, sharing the blessed lazy hours of invalidism.

But somehow that news gave Dane no lift of spirit. “I didn’t think they’d give up—”

Rip shrugged. “They may be off to make a dust-off before the Board. Only, thanks to Van and the Old Man, we’re covered all along the line. There’s nothing they can use against us to break our contract. And now we’re in so solid they can’t cut us out with the Salariki. Groft asked the Captain to teach him that trick with the net. I didn’t know the Old Man knew Lalox whip fighting—it’s about one of the nastiest ways to get cut to pieces in this universe—”

“How’s trade going?”

Rip’s sunniness clouded. “Supplies have given out. Weeks had an idea—but it won’t bring in Koros. That red wood he’s so mad about, he’s persuaded Van to stow some in the cargo holds since we have enough Koros stones to cover the voyage. Luckily the clansmen will take ordinary trade goods in exchange for that and Weeks thinks it will sell on Terra. It’s tough enough to turn a steel knife blade and yet it is light and easy to handle when it’s cured. Queer stuff and the color’s interesting. That stockade of it planted around Groft’s town has been up close to a hundred years and not a sign of rot in a log of it!”

“Where is Van?”

“The storm priests sent for him. Some kind of a gabble-fest on the star-star level, I gather. Otherwise we’re almost ready to blast. And we know what kind of cargo to bring next time.”

They certainly did, Dane agreed. But he was not to idle away his morning. An hour later a caravan came out of the forest, a line of complaining, burdened orgels, their tiny heads hanging low as they moaned their woes, the hard life which sent them on their sluggish way with piles of red logs lashed to their broad toads’ backs. Weeks was in charge of the procession and Dane went to work with the cargo plan Van had left, seeing that the brilliant scarlet lengths were hoist into the lower cargo hatch and stacked according to the science of stowage. He discovered that Rip had been right, the wood for all its incredible hardness was light of weight. Weak as he still was he could lift and stow a full sized log with no great difficulty. And he thought Weeks was correct in thinking that it would sell on their home world. The color was novel, the durability an asset—it would not make fortunes as the Koros stones might, but every bit of profit helped and this cargo might cover their fielding fees on Terra.

Sinbad was in the cargo space when the first of the logs came in. With his usual curiosity the striped tom cat prowled along the wood, sniffing industriously. Suddenly he stopped short, spat and backed away, his spine fur a roughened crest. Having backed as far as the inner door he turned and slunk out. Puzzled, Dane gave the wood a swift inspection. There were no cracks or crevices in the smooth surfaces, but as he stopped over the logs he became conscious of a sharp odor. So this was one scent of the perfumed planet Sinbad did not like. Dane laughed. Maybe they had better have Weeks make a gate of the stuff and slip it across the ramp, keeping Sinbad on ship board. Odd—it wasn’t an unpleasant odor—at least to him it wasn’t—just sharp and pungent. He sniffed again and was vaguely surprised to discover that it was less noticeable now. Perhaps the wood when taken out of the sunlight lost its scent.

They packed the lower hold solid in accordance with the rules of stowage and locked the hatch before Van Rycke returned from his meeting with the storm priests. When the Cargo-master came back he was followed by two servants bearing between them a chest.

But there was something in Van Rycke’s attitude, apparent to those who knew him best, that proclaimed he was not too well pleased with his morning’s work. Sparing the feelings of the accompanying storm priests about the offensiveness of the spacer Captain Jellico and Steen Wilcox went out to receive them in the open. Dane watched from the hatch, aware that in his present pariah-hood it would not be wise to venture closer.

The Terran Traders were protesting some course of action that the Salariki were firmly insistent upon. In the end the natives won and Kosti was summoned to carry on board the chest which the servants had brought. Having seen it carried safely inside the spacer, the aliens departed, but Van Rycke was frowning and Jellico’s fingers were beating a tattoo on his belt as they came up the ramp.

“I don’t like it,” Jellico stated as he entered.

“It was none of my doing,” Van Rycke snapped. “I’ll take risks if I have to—but there’s something about this one—” he broke off, two deep lines showing between his thick brows. “Well, you can’t teach a sasseral to spit,” he ended philosophically. “We’ll have to do the best we can.”

But Jellico did not look at all happy as he climbed to the control section. And before the hour was out the reason for the Captain’s uneasiness was common property throughout the ship.

Having sampled the delights of off-world herbs, the Salariki were determined to not be cut off from their source of supply. Six Terran months from the present Sargolian date would come the great yearly feast of the Fifty Storms, and the priests were agreed that this year their influence and power would be doubled if they could offer the devout certain privileges in the form of Terran plants. Consequently they had produced and forced upon the reluctant Van Rycke the Koros collection of their order, with instructions that it be sold on Terra and the price returned to them in the precious seeds and plants. In vain the Cargo-master and Captain had pointed out that Galactic trade was a chancy thing at the best, that accident might prevent return of the Queen to Sargol. But the priests had remained adamant and saw in all such arguments only a devious attempt to raise prices. They quoted in their turn the information they had levered out of the Company men—that Traders had their code and that once pay had been given in advance the contract must be fulfilled. They, and they alone, wanted the full cargo of the Queen on her next voyage, and they were taking the one way they were sure of achieving that result.

So a fortune in Koros stones which as yet did not rightfully belong to the Traders was now in the Queen’s strong-room and her crew were pledged by the strongest possible tie known in their Service to set down on Sargol once more before the allotted time had passed. The Free Traders did not like it, there was even a vaguely superstitious feeling that such a bargain would inevitably draw ill luck to them. But they were left with no choice if they wanted to retain their influence with the Salariki.

“Cutting orbit pretty fine, aren’t we?” Ali asked Rip across the mess table. “I saw your two star man sweating it out before he came down to shoot the breeze with us rocket monkeys—”

Rip nodded. “Steen’s double checked every computation and some he’s done four times.” He ran his hands over his close cropped head with a weary gesture. As a semi-invalid he had been herded down with his fellows to swallow the builder Mura had concocted and Tau insisted that they take, but he had been doing a half a night’s work on the plotter under his chief’s exacting eye before he came. “The latest news is that, barring accident, we can make it with about three weeks’ grace, give or take a day or two—”

“Barring accident—” the words rang in the air. Here on the frontiers of the star lanes there were so many accidents, so many delays which could put a ship behind schedule. Only on the main star trails did the huge liners or Company ships attempt to keep on regularly timed trips. A Free Trader did not really dare to have an inelastic contract.

“What does Stotz say?” Dane asked Ali.

“He says he can deliver. We don’t have the headache about setting a course—you point the nose and we only give her the boost to send her along.”

Rip sighed. “Yes—point her nose.” He inspected his nails. “Goodbye,” he added gravely. “These won’t be here by the time we planet here again. I’ll have my fingers gnawed off to the first knuckle. Well, we lift at six hours. Pleasant strap down.” He drank the last of the stuff in his mug, made a face at the flavor, and got to his feet, due back at his post in control.

Dane, free of duty until the ship earthed, drifted back to his own cabin, sure of part of a night’s undisturbed rest before they blasted off. Sinbad was curled on his bunk. For some reason the cat had not been prowling the ship before take-off as he usually did. First he had sat on Van’s desk and now he was here, almost as if he wanted human company. Dane picked him up and Sinbad rumbled a purr, arching his head so that it rubbed against the young man’s chin in an extremely uncharacteristic show of affection. Smoothing the fur along the cat’s jaw line Dane carried him back to the Cargo-master’s cabin.

With some hesitation he knocked at the panel and did not step in until he had Van Rycke’s muffled invitation. The Cargo-master was stretched on the bunk, two of the take off straps already fastened across his bulk as if he intended to sleep through the blast-off.

“Sinbad, sir. Shall I stow him?”

Van Rycke grunted an assent and Dane dropped the cat in the small hammock which was his particular station, fastening the safety cords. For once Sinbad made no protest but rolled into a ball and was promptly fast asleep. For a moment or two Dane thought about this unnatural behavior and wondered if he should call it to the Cargo-master’s attention. Perhaps on Sargol Sinbad had had his equivalent of a friendship cup and needed a check-up by Tau.

“Stowage correct?” the question, coming from Van Rycke, was also unusual. The seal would not have been put across the hold lock had its contents not been checked and rechecked.

“Yes, sir,” Dane replied woodenly, knowing he was still in the outer darkness. “There was just the wood—we stowed it according to chart.”

Van Rycke grunted once more. “Feeling top-layer again?”

“Yes, sir. Any orders, sir?”

“No. Blast-off’s at six.”

“Yes, sir.” Dane left the cabin, closing the panel carefully behind him. Would he—or could he—he thought drearily, get back in Van Rycke’s profit column again? Sargol had been unlucky as far as he was concerned. First he had made that stupid mistake and then he got sick and now—And now—what was the matter? Was it just the general attack of nerves over their voyage and the commitments which forced their haste, or was it something else? He could not rid himself of a vague sense that the Queen was about to take off into real trouble. And he did not like the sensation at all!


Chapter VIII

HEADACHES

They lifted from Sargol on schedule and went into Hyper also on schedule. From that point on there was nothing to do but wait out the usual dull time of flight between systems and hope that Steen Wilcox had plotted a course which would cut that flight time to a minimum. But this voyage there was little relaxation once they were in Hyper. No matter when Dane dropped into the mess cabin, which was the common meeting place of the spacer, he was apt to find others there before him, usually with a mug of one of Mura’s special brews close at hand, speculating about their landing date.

Dane, himself, once he had thrown off the lingering effects of his Sargolian illness, applied time to his studies. When he had first joined the Queen as a recruit straight out of the training Pool, he had speedily learned that all the ten years of intensive study then behind him had only been an introduction to the amount he still had to absorb before he could take his place as an equal with such a trader as Van Rycke—if he had the stuff which would raise him in time to that exalted level. While he had still had his superior’s favor he had dared to treat him as an instructor, going to him with perplexing problems of stowage or barter. But now he had no desire to intrude upon the Cargo-master, and doggedly wrestled with the microtapes of old records on his own, painfully working out the why and wherefor for any departure from the regular procedure. He had no inkling of his own future status—whether the return to Terra would find him permanently earthed. And he would ask no questions.

They had been four days of ship’s time in Hyper when Dane walked into the mess cabin, tired after his work with old records, to discover no Mura busy in the galley beyond, no brew steaming on the heat coil. Rip sat at the table, his long legs stuck out, his usually happy face very sober.

“What’s wrong?” Dane reached for a mug, then seeing no pot of drink, put it back in place.

“Frank’s sick—”

“What!” Dane turned. Illness such as they had run into on Sargol had a logical base. But illness on board ship was something else.

“Tau has him isolated. He has a bad headache and he blacked out when he tried to sit up. Tau’s running tests.”

Dane sat down. “Could be something he ate—”

Rip shook his head. “He wasn’t at the feast—remember? And he didn’t eat anything from outside, he swore that to Tau. In fact he didn’t go dirt much while we were down—”

That was only too true as Dane could now recall. And the fact that the steward had not been at the feast, had not sampled native food products, wiped out the simplest and most comforting reasons for his present collapse.

“What’s this about Frank?” Ali stood in the doorway. “He said yesterday that he had a headache. But now Tau has him shut off—”

“But he wasn’t at that feast.” Ali stopped short as the implications of that struck him. “How’s Tang feeling?”

“Fine—why?” The Com-tech had come up behind Kamil and was answering for himself. “Why this interest in the state of my health?”

“Frank’s down with something—in isolation,” Rip replied bluntly. “Did he do anything out of the ordinary when we were off ship?”

For a long moment the other stared at Shannon and then he shook his head. “No. And he wasn’t dirt-side to any extent either. So Tau’s running tests—” He lapsed into silence. None of them wished to put their thoughts into words.

Dane picked up the microtape he had brought with him and went on down the corridor to return it. The panel of the cargo office was ajar and to his relief he found Van Rycke out. He shoved the tape back in its case and pulled out the next one. Sinbad was there, not in his own private hammock, but sprawled out on the Cargo-master’s bunk. He watched Dane lazily, mouthing a silent mew of welcome. For some reason since they had blasted from Sargol the cat had been lazy—as if his adventures afield there had sapped much of his vitality.

“Why aren’t you out working?” Dane asked as he leaned over to scratch under a furry chin raised for the benefit of such a caress. “You inspect the hold lately, boy?”

Sinbad merely blinked and after the manner of his species looked infinitely bored. As Dane turned to go the Cargo-master came in. He showed no surprise at Dane’s presence. Instead he reached out and fingered the label of the tape Dane had just chosen. After a glance at the identifying symbol he took it out of his assistant’s hand, plopped it back in its case, and stood for a moment eyeing the selection of past voyage records. With a tongue-click of satisfaction he pulled out another and tossed it across the desk to Dane.

“See what you can make out of this tangle,” he ordered. But Dane’s shoulders went back as if some weight had been lifted from them. The old easiness was still lacking, but he was no longer exiled to the outer darkness of Van Rycke’s displeasure.

Holding the microtape as if it were a first grade Koros stone Dane went back to his own cabin, snapped the tape into his reader, adjusted the ear buttons and lay back on his bunk to listen.

He was deep in the intricacy of a deal so complicated that he was lost after the first two moves, when he opened his eyes to see Ali at the door panel. The Engineer-apprentice made an emphatic beckoning wave and Dane slipped off the ear buttons.

“What is it?” His question lacked a cordial note.

“I’ve got to have help.” Ali was terse. “Kosti’s blacked out!”

“What!” Dane sat up and dropped his feet to the deck in almost one movement.

“I can’t shift him alone,” Ali stated the obvious. The giant jetman was almost double his size. “We must get him to his quarters. And I won’t ask Stotz—”

For a perfectly good reason Dane knew. An assistant—two of the apprentices—could go sick, but their officers’ continued good health meant the most to the Queen. If some infection were aboard it would be better for Ali and himself to be exposed, than to have Johan Stotz with all his encyclopedic knowledge of the ship’s engines contract any disease.

They found the jetman half sitting, half lying in the short foot or so of corridor which led to his own cubby. He had been making for his quarters when the seizure had taken him. And by the time the two reached his side, he was beginning to come around, moaning, his hands going to his head.

Together they got him on his feet and guided him to his bunk where he collapsed again, dead weight they had to push into place. Dane looked at Ali—

“Tau?”

“Haven’t had time to call him yet.” Ali was jerking at the thigh straps which fastened Kosti’s space boots.

“I’ll go.” Glad for the task Dane sped up the ladder to the next section and threaded the narrow side hall to the Medic’s cabin where he knocked on the panel.

There was a pause before Craig Tau looked out, deep lines of weariness bracketing his mouth, etched between his eyes.

“Kosti, sir,” Dane gave his bad news quickly. “He’s collapsed. We got him to his cabin—”

Tau showed no sign of surprise. His hand shot out for his kit.

“You touched him?” At the other’s nod he added an order. “Stay in your quarters until I have a chance to look you over—understand?”

Dane had no chance to answer, the Medic was already on his way. He went to his own cabin, understanding the reason for his imprisonment, but inwardly rebelling against it. Rather than sit idle he snapped on the reader—but, although facts and figures were dunned into his ears—he really heard very little. He couldn’t apply himself—not with a new specter leering at him from the bulkhead.

The dangers of the space lanes were not to be numbered, death walked among the stars a familiar companion of all spacemen. And to the Free Trader it was the extra and invisible crewman on every ship that raised. But there were deaths and deaths—And Dane could not forget the gruesome legends Van Rycke collected avidly as his hobby—had recorded in his private library of the folk lore of space.

Stories such as that of the ghostly “New Hope” carrying refugees from the first Martian Rebellion—the ship which had lifted for the stars but had never arrived, which wandered for a timeless eternity, a derelict in free fall, its port closed but the warning “dead” lights on at its nose—a ship which through five centuries had been sighted only by a spacer in similar distress. Such stories were numerous. There were other tales of “plague” ships wandering free with their dead crews, or discovered and shot into some sun by a patrol cruiser so that they might not carry their infection farther. Plague—the nebulous “worst” the Traders had to face. Dane screwed his eyes shut, tried to concentrate upon the droning voice in his ears, but he could not control his thoughts nor—his fears.

At a touch on his arm he started so wildly that he jerked the cord loose from the reader and sat up, somewhat shamefaced, to greet Tau. At the Medic’s orders he stripped for one of the most complete examinations he had ever undergone outside a quarantine port. It included an almost microscopic inspection of the skin on his neck and shoulders, but when Tau had done he gave a sigh of relief.

“Well, you haven’t got it—at least you don’t show any signs yet,” he amended his first statement almost before the words were out of his mouth.

“What were you looking for?”

Tau took time out to explain. “Here,” his fingers touched the small hollow at the base of Dane’s throat and then swung him around and indicated two places on the back of his neck and under his shoulder blades. “Kosti and Mura both have red eruptions here. It’s as if they have been given an injection of some narcotic.” Tau sat down on the jump seat while Dane dressed. “Kosti was dirt-side—he might have picked up something—”

“But Mura—”

“That’s it!” Tau brought his fist down on the edge of the bunk. “Frank hardly left the ship—yet he showed the first signs. On the other hand you are all right so far and you were off ship. And Ali’s clean and he was with you on the hunt. We’ll just have to wait and see.” He got up wearily. “If your head begins to ache,” he told Dane, “you get back here in a hurry and stay put—understand?”

As Dane learned all the other members of the crew were given the same type of inspection. But none of them showed the characteristic marks which meant trouble. They were on course for Terra—but—and that but must have loomed large in all their minds—once there would they be allowed to land? Could they even hope for a hearing? Plague ship—Tau must find the answer before they came into normal space about their own solar system or they were in for such trouble as made a broken contract seem the simplest of mishaps.

Kosti and Mura were in isolation. There were volunteers for nursing and Tau, unable to be in two places at once, finally picked Weeks to look after his crewmate in the engineering section.

There was doubling up of duties. Tau could no longer share with Mura the care of the hydro garden so Van Rycke took over. While Dane found himself in charge of the galley and, while he did not have Mura’s deft hand at disguising the monotonous concentrates to the point they resembled fresh food, after a day or two he began to experiment cautiously and produced a stew which brought some short words of appreciation from Captain Jellico.

They all breathed a sigh of relief when, after three days, no more signs of the mysterious illness showed on new members of the crew. It became routine to parade before Tau stripped to the waist each morning for the inspection of the danger points, and the Medic’s vigilance did not relax.

In the meantime neither Mura nor Kosti appeared to suffer. Once the initial stages of headaches and blackouts were passed, the patients lapsed into a semi-conscious state as if they were under sedation of some type. They would eat, if the food was placed in their mouths, but they did not seem to know what was going on about them, nor did they answer when spoken to.

Tau, between visits to them, worked feverishly in his tiny lab, analyzing blood samples, reading the records of obscure diseases, trying to find the reason for their attacks. But as yet his discoveries were exactly nothing. He had come out of his quarters and sat in limp exhaustion at the mess table while Dane placed before him a mug of stimulating caf-hag.

“I don’t get it!” The Medic addressed the table top rather than the amateur cook. “It’s a poison of some kind. Kosti went dirt-side—Mura didn’t. Yet Mura came down with it first. And we didn’t ship any food from Sargol. Neither did he eat any while we were there. Unless he did and we didn’t know about it. If I could just bring him to long enough to answer a couple of questions!” Sighing he dropped his weary head on his folded arms and within seconds was asleep.

Dane put the mug back on the heating unit and sat down at the other end of the table. He did not have the heart to shake Tau into wakefulness—let the poor devil get a slice of bunk time, he certainly needed it after the fatigues of the past four days.

Van Rycke passed along the corridor on his way to the hydro, Sinbad at his heels. But in a moment the cat was back, leaping up on Dane’s knee. He did not curl up, but rubbed against the young man’s arm, finally reaching up with a paw to touch Dane’s chin, uttering one of the soundless, mews which were his bid for attention.

“What’s the matter, boy?” Dane fondled the cat’s ears. “You haven’t got a headache—have you?” In that second a wild surmise came into his mind. Sinbad had been planet-side on Sargol as much as he could, and on ship board he was equally at home in all their cabins—could he be the carrier of the disease?

A good idea—only if it were true, then logically the second victim should have been Van, or Dane—whereas Sinbad lingered most of the time in their cabins—not Kosti. The cat, as far as he knew, had never shown any particular fondness for the jetman and certainly did not sleep in Karl’s quarters. No—that point did not fit. But he would mention it to Tau—no use overlooking anything—no matter how wild.

It was the sequence of victims which puzzled them all. As far as Tau had been able to discover Mura and Kosti had nothing much in common except that they were crewmates on the same spacer. They did not bunk in the same section, their fields of labor were totally different, they had no special food or drink tastes in common, they were not even of the same race. Frank Mura was one of the few descendants of a mysterious (or now mysterious) people who had had their home on a series of islands in one of Terra’s seas, islands which almost a hundred years before had been swallowed up in a series of world-rending quakes—Japan was the ancient name of that nation. While Karl Kosti had come from the once thickly populated land masses half the planet away which had borne the geographical name of “Europe.” No, all the way along the two victims had only very general meeting points—they both shipped on the Solar Queen and they were both of Terran birth.

Tau stirred and sat up, blinking bemusedly at Dane, then pushed back his wiry black hair and assumed a measure of alertness. Dane dropped the now purring cat in the Medic’s lap and in a few sentences outlined his suspicion. Tau’s hands closed about Sinbad.

“There’s a chance in that—” He looked a little less beat and he drank thirstily from the mug Dane gave him for the second time. Then he hurried out with Sinbad under one arm—bound for his lab.

Dane slicked up the galley, trying to put things away as neatly as Mura kept them. He didn’t have much faith in the Sinbad lead, but in this case everything must be checked out.

When the Medic did not appear during the rest of the ship’s day Dane was not greatly concerned. But he was alerted to trouble when Ali came in with an inquiry and a complaint.

“Seen anything of Craig?”

“He’s in the lab,” Dane answered.

“He didn’t answer my knock,” Ali protested. “And Weeks says he hasn’t been in to see Karl all day—”

That did catch Dane’s attention. Had his half hunch been right? Was Tau on the trail of a discovery which had kept him chained to the lab? But it wasn’t like the Medic not to look in on his patients.

“You’re sure he isn’t in the lab?”

“I told you that he didn’t answer my knock. I didn’t open the panel—” But now Ali was already in the corridor heading back the way he had come, with Dane on his heels, an unwelcome explanation for that silence in both their minds. And their fears were reinforced by what they heard as they approached the panel—a low moan wrung out of unbearable pain. Dane thrust the sliding door open.

Tau had slipped from his stool to the floor. His hands were at his head which rolled from side to side as if he were trying to quiet some agony. Dane stripped down the Medic’s under tunic. There was no need to make a careful examination, in the hollow of Craig Tau’s throat was the tell-tale red blotch.

“Sinbad!” Dane glanced about the cabin. “Did Sinbad get out past you?” he demanded of the puzzled Ali.

“No—I haven’t seen him all day—”

Yet the cat was nowhere in the tiny cabin and it had no concealed hiding place. To make doubly sure Dane secured the panel before they carried Tau to his bunk. The Medic had blacked out again, passed into the lethargic second stage of the malady. At least he was out of the pain which appeared to be the worst symptom of the disease.

“It must be Sinbad!” Dane said as he made his report directly to Captain Jellico. “And yet—”

“Yes, he’s been staying in Van’s cabin,” the Captain mused. “And you’ve handled him, he slept on your bunk. Yet you and Van are all right. I don’t understand that. Anyway—to be on the safe side—we’d better find and isolate him before—”

He didn’t have to underline any words for the grim-faced men who listened. With Tau—their one hope of fighting the disease gone—they had a black future facing them.

They did not have to search for Sinbad. Dane coming down to his own section found the cat crouched before the panel of Van Rycke’s cabin, his eyes glued to the thin crack of the door. Dane scooped him up and took him to the small cargo space intended for the safeguarding of choice items of commerce. To his vast surprise Sinbad began fighting wildly as he opened the hatch, kicking and then slashing with ready claws. The cat seemed to go mad and Dane had all he could do to shut him in. When he snapped the panel he heard Sinbad launch himself against the barrier as if to batter his way out. Dane, blood welling in several deep scratches, went in search of first aid. But some suspicion led him to pause as he passed Van Rycke’s door. And when his knock brought no answer he pushed the panel open.

Van Rycke lay on his bunk, his eyes half closed in a way which had become only too familiar to the crew of the Solar Queen. And Dane knew that when he looked for it he would find the mark of the strange plague on the Cargo-master’s body.


Chapter IX

PLAGUE!

Jellico and Steen Wilcox pored over the few notes Tau had made before he was stricken. But apparently the Medic had found nothing to indicate that Sinbad was the carrier of any disease. Meanwhile the Captain gave orders for the cat to be confined. A difficult task—since Sinbad crouched close to the door of the storage cabin and was ready to dart out when food was taken in for him. Once he got a good way down the corridor before Dane was able to corner and return him to keeping.

Dane, Ali and Weeks took on the full care of the four sick men, leaving the few regular duties of the ship to the senior officers, while Rip was installed in charge of the hydro garden.

Mura, the first to be taken ill, showed no change. He was semi-conscious, he swallowed food if it were put in his mouth, he responded to nothing around him. And Kosti, Tau, and Van Rycke followed the same pattern. They still held morning inspection of those on their feet for signs of a new outbreak, but when no one else went down during the next two days, they regained a faint spark of hope.

Hope which was snapped out when Ali brought the news that Stotz could not be roused and must have taken ill during a sleep period. One more inert patient was added to the list—and nothing learned about how he was infected. Except that they could eliminate Sinbad, since the cat had been in custody during the time Stotz had apparently contracted the disease.

Weeks, Ali and Dane, though they were in constant contact with the sick men, and though Dane had repeatedly handled Sinbad, continued to be immune. A fact, Dane thought more than once, which must have significance—if someone with Tau’s medical knowledge had been able to study it. By all rights they should be the most susceptible—but the opposite seemed true. And Wilcox duly noted that fact among the data they had recorded.

It became a matter of watching each other, waiting for another collapse. And they were not surprised when Tang Ya reeled into the mess, his face livid and drawn with pain. Rip and Dane got him to his cabin before he blacked out. But all they could learn from him during the interval before he lost consciousness was that his head was bursting and he couldn’t stand it. Over his limp body they stared at one another bleakly.

“Six down,” Ali observed, “and six to go. How do you feel?”

“Tired, that’s all. What I don’t understand is that once they go into this stupor they just stay. They don’t get any worse, they have no rise in temperature—it’s as if they are in a modified form of cold sleep!”

“How is Tang?” Rip asked from the corridor.

“Usual pattern,” Ali answered, “He’s sleeping. Got a pain, Fella?”

Rip shook his head. “Right as a Com-unit. I don’t get it. Why does it strike Tang who didn’t even hit dirt much—and yet you keep on—?”

Dane grimaced. “If we had an answer to that, maybe we’d know what caused the whole thing—”

Ali’s eyes narrowed. He was staring straight at the unconscious Com-tech as if he did not see that supine body at all. “I wonder if we’ve been salted—” he said slowly.

“We’ve been what?” Dane demanded.

“Look here, we three—with Weeks—drank that brew of the Salariki, didn’t we? And we—”

“Were as sick as Venusian gobblers afterwards,” agreed Rip.

Light dawned. “Do you mean—” began Dane.

“So that’s it!” flashed Rip.

“It might just be,” Ali said. “Do you remember how the settlers on Camblyne brought their Terran cattle through the first year? They fed them salt mixed with fansel grass. The result was that the herds didn’t take the fansel grass fever when they turned them out to pasture in the dry season. All right, maybe we had our ‘salt’ in that drink. The fansel-salt makes the cattle filthy sick when it’s forced down their throats, but after they recover they’re immune to the fever. And nobody on Camblyne buys unsalted cattle now.”

“It sounds logical,” admitted Rip. “But how are we going to prove it?”

Ali’s face was black once more. “Probably by elimination,” he said morosely. “If we keep our feet and all the rest go down—that’s our proof.”

“But we ought to be able to do something—” protested Shannon.

“Just how?” Ali’s slender brows arched. “Do you have a gallon of that Salariki brew on board you can serve out? We don’t know what was in it. Nor are we sure that this whole idea has any value.”

All of them had had first aid and basic preventive medicine as part of their training, but the more advanced laboratory experimentation was beyond their knowledge and skill. Had Tau still been on his feet perhaps he could have traced that lead and brought order out of the chaos which was closing in upon the Solar Queen. But, though they reported their suggestion to the Captain, Jellico was powerless to do anything about it. If the four who had shared that upsetting friendship cup were immune to the doom which now overhung the ship, there was no possible way for them to discover why or how.

Ship’s time came to have little meaning. And they were not surprised when Steen Wilcox slipped from his seat before the computer—to be stowed away with what had become a familiar procedure. Only Jellico withstood the contagion apart from the younger four, taking his turn at caring for the helpless men. There was no change in their condition. They neither roused nor grew worse as the hours and then the days sped by. But each of those units of time in passing brought them nearer to greater danger. Sooner or later they must make the transition out of Hyper into system space, and the jump out of warp was something not even a veteran took lightly. Rip’s round face thinned while they watched. Jellico was still functioning. But if the Captain collapsed the whole responsibility for the snap-out would fall directly on Shannon. An infinitesimal error would condemn them to almost hopeless wandering—perhaps for ever.

Dane and Ali relieved Rip of all duty but that which kept him chained in Wilcox’s chair before the computers. He went over and over the data of the course the Astrogator had set. And Captain Jellico, his eyes sunk in dark pits, checked and rechecked.

When the fatal moment came Ali manned the engine room with Weeks at his elbow to tend the controls the acting-Engineer could not reach. And Dane, having seen the sick all safely stowed in crash webbing, came up to the control cabin, riding out the transfer in Tang Ya’s place.

Rip’s voice hoarsened into a croak, calling out the data. Dane, though he had had basic theory, was completely lost before Shannon had finished the first set of co-ordinates. But Jellico replied, hands playing across the pilot’s board.

“Stand-by for snap-out—” the croak went down to the engines where Ali now held Stotz’s post.

“Engines ready!” The voice came back, thinned by its journey from the Queen’s interior.

“Ought-five-nine—” That was Jellico.

Dane found himself suddenly unable to watch. He shut his eyes and braced himself against the vertigo of snap-out. It came and he whirled sickeningly through unstable space. Then he was sitting in the laced Com-tech’s seat looking at Rip.

Runnels of sweat streaked Shannon’s brown face. There was a damp patch darkening his tunic between his shoulder blades, a patch which it would take both of Dane’s hands to cover.

For a moment he did not raise his head to look at the vision plate which would tell him whether or not they had made it. But when he did familiar constellations made the patterns they knew. They were out—and they couldn’t be too far off the course Wilcox had plotted. There was still the system run to make—but snap-out was behind them. Rip gave a deep sigh and buried his head in his hands.

With a throb of fear Dane unhooked his safety belt and hurried over to him. When he clutched at Shannon’s shoulder the Astrogator-apprentice’s head rolled limply. Was Rip down with the illness too? But the other muttered and opened his eyes.

“Does your head ache?” Dane shook him.

“Head? No—” Rip’s words came drowsily. “Jus’ sleepy—so sleepy—”

He did not seem to be in pain. But Dane’s hands were shaking as he hoisted the other out of his seat and half carried-half led him to his cabin, praying as he went that it was only fatigue and not the disease. The ship was on auto now until Jellico as pilot set a course—

Dane got Rip down on the bunk and stripped off his tunic. The fine-drawn face of the sleeper looked wan against the foam rest, and he snuggled into the softness like a child as he turned over and curled up. But his skin was clear—it was real sleep and not the plague which had claimed him.

Impulse sent Dane back to the control cabin. He was not an experienced pilot officer, but there might be some assistance he could offer the Captain now that Rip was washed out, perhaps for hours.

Jellico hunched before the smaller computer, feeding pilot tape into its slot. His face was a skull under a thin coating of skin, the bones marking it sharply at jaw, nose and eye socket.

“Shannon down?” His voice was a mere whisper of its powerful self, he did not turn his head.

“He’s just worn out, sir,” Dane hastened to give reassurance. “The marks aren’t on him.”

“When he comes around tell him the co-ords are in,” Jellico murmured. “See he checks course in ten hours—”

“But, sir—” Dane’s protest failed as he watched the Captain struggle to his feet, pulling himself up with shaking hands. As Thorson reached forward to steady the other, one of those hands tore at tunic collar, ripping loose the sealing—

There was no need for explanation—the red splotch signaled from Jellico’s sweating throat. He kept his feet, holding out against the waves of pain by sheer will power. Then Dane had a grip on him, got him away from the computer, hoping he could keep him going until they reached Jellico’s cabin.

Somehow they made that journey, being greeted with raucous screams from the Hoobat. Furiously Dane slapped the cage, setting it to swinging and so silencing the creature which stared at him with round, malignant eyes as he got the Captain to bed.

Only four of them on their feet now, Dane thought bleakly as he left the cabin. If Rip came out of it in time they could land—Dane’s breath caught as he made himself face up to the fact that Shannon might be ill, that it might be up to him to bring the Queen in for a landing. And in where? The Terra quarantine was Luna City on the Moon. But let them signal for a set-down there—let them describe what had happened and they might face death as a plague ship.

Wearily he climbed down to the mess cabin to discover Weeks and Ali there before him. They did not look up as he entered.

“Old Man’s got it,” he reported.

“Rip?” was Ali’s crossing question.

“Asleep. He passed out—”

“What!” Weeks swung around.

“Worn out,” Dane amended. “Captain fed in a pilot tape before he gave up.”

“So—now we are three,” was Ali’s comment. “Where do we set down—Luna City?”

“If they let us,” Dane hinted at the worst.

“But they’ve got to let us!” Weeks exclaimed. “We can’t just wander around out here—”

“It’s been done,” Ali reminded them brutally and that silenced Weeks.

“Did the Old Man set Luna?” After a long pause Ali inquired.

“I didn’t check,” Dane confessed. “He was giving out and I had to get him to his bunk.”

“It might be well to know.” The Engineer-apprentice got up, his movements lacking much of the elastic spring which was normally his. When he climbed to control both the others followed him.

Ali’s slender fingers played across a set of keys and in the small screen mounting on the computer a set of figures appeared. Dane took up the master course book, read the connotation and blinked.

“Not Luna?” Ali asked.

“No. But I don’t understand. This must be for somewhere in the asteroid belt.”

Ali’s lips stretched into a pale caricature of a smile. “Good for the Old Man, he still had his wits about him, even after the bug bit him!”

“But why are we going to the asteroids?” Weeks asked reasonably enough. “There’re Medics at Luna City—they can help us—”

“They can handle known diseases,” Ali pointed out. “But what of the Code?”

Weeks dropped into the Com-tech’s place as if some of the stiffening had vanished from his thin but sturdy legs. “They wouldn’t do that—” he protested, but his eyes said that he knew that they might—they well might.

“Oh, no? Face the facts, man,” Ali sounded almost savage. “We come from a frontier planet, we’re a plague ship—”

He did not have to underline that. They all knew too well the danger in which they now stood.

“Nobody’s died yet,” Weeks tried to find an opening in the net being drawn about them.

“And nobody’s recovered,” Ali crushed that thread of hope. “We don’t know what it is, how it is contracted—anything about it. Let us make a report saying that and you know what will happen—don’t you?”

They weren’t sure of the details, but they could guess.

“So I say,” Ali continued, “the Old Man was right when he set us on an evasion course. If we can stay out until we really know what is the matter we’ll have some chance of talking over the high brass at Luna when we do planet—”

In the end they decided not to interfere with the course the Captain had set. It would take them into the fringes of solar civilization, but give them a fighting chance at solving their problem before they had to report to the authorities. In the meantime they tended their charges, let Rip sleep, and watched each other with desperate but hidden intentness, ready for another to be stricken. However, they remained, although almost stupid with fatigue at times, reasonably healthy. Time was proving that their guess had been correct—they had been somehow inoculated against the germ or virus which had struck the ship.

Rip slept for twenty-four hours, ship time, and then came into the mess cabin ravenously hungry, to catch up on both food and news. And he refused to join with the prevailing pessimistic view of the future. Instead he was sure that their own immunity having been proven, they had a talking point to use with the medical officials at Luna and he was eager to alter course directly for the quarantine station. Only the combined arguments of the other three made him, unwillingly, agree to a short delay.

And how grateful they should be for Captain Jellico’s foresight they learned within the next day. Ali was at the com-unit, trying to pick up Solarian news reports. When the red alert flashed on throughout the ship it brought the others hurrying to the control cabin. The code squeaks were magnified as Ali switched on the receiver full strength, to be translated as he pressed a second button.

“Repeat, repeat, repeat. Free Trader, Solar Queen, Terra Registry 65-724910-Jk, suspected plague ship—took off from infected planet. Warn off—warn off—report such ship to Luna Station. Solar Queen from infected planet—to be warned off and reported.” The same message was repeated three times before going off ether.

The four in the control cabin looked at each other blankly.

“But,” Dane broke the silence, “how did they know? We haven’t reported in—”

“The Eysies!” Ali had the answer ready. “That I-S ship must be having the same sort of trouble and reported to her Company. They would include us in their report and believe that we were infected too—or it would be easy to convince the authorities that we were.”

“I wonder,” Rip’s eyes were narrowed slits as he leaned back against the wall. “Look at the facts. The Survey ship which charted Sargol—they were dirt-side there about three-four months. Yet they gave it a clean bill of health and put it up for trading rights auction. Then Cam bought those rights—he made at least two trips in and out before he was blasted on Limbo. No infection bothered him or Survey—”

“But you’ve got to admit it hit us,” Weeks protested.

“Yes, and the Eysie ship was able to foresee it—report us before we snapped out of Hyper. Sounds almost as if they expected us to carry plague, doesn’t it?” Shannon wanted to know.

“Planted?” Ali frowned at the banks of controls. “But how—no Eysie came on board—no Salarik either, except for the cub who showed us what they thought of catnip.”

Rip shrugged. “How would I know how they did—” he was beginning when Dane cut in:

“If they didn’t know about our immunity the Queen might stay in Hyper and never come out—there wouldn’t be anyone to set the snap-out.”

“Right enough. But on the chance that somebody did keep on his feet and bring her home, they were ready with a cover. If no one raises a howl Sargol will be written off the charts as infected, I-S sits on her tail fins a year or so and then she promotes an investigation before the Board. The Survey records are trotted out—no infection recorded. So they send in a Patrol Probe. Everything is all right—so it wasn’t the planet after all—it was that dirty old Free Trader. And she’s out of the way. I-S gets the Koros trade all square and legal and we’re no longer around to worry about! Neat as a Salariki net-cast—and right around our collective throats, my friends!”

“So what do we do now?” Weeks wanted to know.

“We keep on the Old Man’s course, get lost in the asteroids until we can do some heavy thinking and see a way out. But if I-S gave us this prize package, some trace of its origin is still aboard. And if we can find that—why, then we have something to start from.”

“Mura went down first—and then Karl. Nothing in common,” the old problem faced Dane for the hundredth time.

“No. But,” Ali arose from his place at the com-unit. “I’d suggest a real search of first Frank’s and then Karl’s quarters. A regular turn out down to the bare walls of their cabins. Are you with me?”

“Fly boy, we’re ahead of you!” Rip contributed, already at the door panel. “Down to the bare walls it is.”


Chapter X

E-STAT LANDING

Since Mura was in the isolation of ship sick bay the stripping of his cabin was a relatively simple job. But, though Rip and Dane went over it literally by inches, they found nothing unusual—in fact nothing from Sargol except a small twig of the red wood which lay on the steward’s worktable where he had been fashioning something to incorporate in one of his miniature fairy landscapes, to be imprisoned for all time in a plasta-bubble. Dane turned this around in his fingers. Because it was the only link with the perfumed planet he couldn’t help but feel that it had some importance.

But Kosti had not shown any interest in the wood. And he, himself, and Weeks had handled it freely before they had tasted Graft’s friendship cup and had no ill effects—so it couldn’t be the wood. Dane put the twig back on the work table and snapped the protecting cover over the delicate tools—never realizing until days later how very close he had been in that moment to the solution of their problem.

After two hours of shifting every one of the steward’s belongings, of crawling on hands and knees about the deck and climbing to inspect perfectly bare walls, they had found exactly nothing. Rip sat down on the end of the denuded bunk.

“There’s the hydro—Frank spent a lot of time in there—and the storeroom,” he told the places off on his fingers. “The galley and the mess cabin.”

Those had been the extent of Mura’s world. They could search the storeroom, the galley and the mess cabin—but to interfere with the hydro would endanger their air supply. It was for that very reason that they now looked at each other in startled surmise.

“The perfect place to plant something!” Dane spoke first.

Rip’s teeth caught his underlip. The hydro—something planted there could not be routed out unless they made a landing on a port field and had the whole section stripped.

“Devilish—” Rip’s mobile lips drew tight. “But how could they do it?”

Dane didn’t see how it could have been done either. No one but the Queen’s own crew had been on board the ship during their entire stay on Sargol, except for the young Salarik. Could that cub have brought something? But he and Mura had been with the youngster every minute that he had been in the hydro. To the best of Dane’s memory the cub had touched nothing and had been there only for a few moments. That had been before the feast also—

Rip got to his feet. “We can’t strip the hydro in space,” he pointed out the obvious quietly.

Dane had the answer. “Then we’ve got to earth!”

“You heard that warn-off. If we try it—”

“What about an Emergency station?”

Rip stood very still, his big hands locked about the buckle of his arms belt. Then, without another word, he went out of the cabin and at a pounding pace up the ladder, bound for the Captain’s cabin and the records Jellico kept there. It was such a slim chance—but it was better than none at all.

Dane shouldered into the small space in his wake to find Rip making a selection from the astrogation tapes. There were E-Stats among the asteroids—points prospectors or small traders in sudden difficulties might contact for supplies or repairs. The big Companies maintained their own—the Patrol had several for independents.

“No Patrol one—”

Rip managed a smile. “I haven’t gone space whirly yet,” was his comment. He was feeding a tape into the reader on the Captain’s desk. In the cage over his head the blue Hoobat squatted watching him intently—for the first time since Dane could remember showing no sign of resentment by weird screams or wild spitting.

“Patrol E-Stat A-54—” the reader squeaked. Rip hit a key and the wire clicked to the next entry. “Combine E-Stat—” Another punch and click. “Patrol E-Stat A-55—” punch-click. “Inter-Solar—” this time Rip’s hand did not hit the key and the squeak continued—”Co-ordinates—” Rip reached for a steelo and jotted down the list of figures.

“Got to compare this with our present course—”

“But that’s an I-S Stat,” began Dane and then he laughed as the justice of such a move struck him. They did not dare set the Queen down at any Patrol Station. But a Company one which would be manned by only two or three men and not expecting any but their own people—and I-S owed them help now!

“There may be trouble,” he said, not that he would have any regrets if there was. If the Eysies were responsible for the present plight of the Queen he would welcome trouble, the kind which would plant his fists on some sneering Eysie face.

“We’ll see about that when we come to it,” Rip went on to the control cabin with his figures. Carefully he punched the combination on the plotter and watched it be compared with the course Jellico had set before his collapse.

“Good enough,” he commented as the result flashed on. “We can make it without using too much fuel—”

“Make what?” That was Ali up from the search of Kosti’s quarters. “Nothing,” he gave his report of what he had found there and then returned to the earlier question. “Make what?”

Swiftly Dane outlined their suspicions—that the seat of the trouble lay in the hydro and that they should clean out that section, drawing upon emergency materials at the I-S E-Stat.

“Sounds all right. But you know what they do to pirates?” inquired the Engineer-apprentice.

Space law came into Dane’s field, he needed no prompting. “Any ship in emergency,” he recited automatically, “may claim supplies from the nearest E-Stat—paying for them when the voyage is completed.”

“That means any Patrol E-Stat. The Companies’ are private property.”

“But,” Dane pointed out triumphantly, “the law doesn’t say so—there is nothing about any difference between Company and Patrol E-Stat in the law—”

“He’s right,” Rip agreed. “That law was framed when only the Patrol had such stations. Companies put them in later to save tax—remember? Legally we’re all right.”

“Unless the agents on duty raise a howl,” Ali amended. “Oh, don’t give me that look, Rip. I’m not sounding any warn-off on this, but I just want you to be prepared to find a cruiser riding our fins and giving us the hot flash as bandits. If you want to spoil the Eysies, I’m all for it. Got a stat of theirs pinpointed?”

Rip pointed to the figures on the computer. “There she is. We can set down in about five hours’ ship time. How long will it take to strip the hydro and re-install?”

“How can I tell?” Ali sounded irritable. “I can give you oxgy for quarters for about two hours. Depends upon how fast we can move. No telling until we make a start.”

He started for the corridor and then added over his shoulder: “You’ll have to answer a com challenge—thought about that?”

“Why?” Rip asked. “It might be com repairs bringing us in. They won’t be expecting trouble and we will—we’ll have the advantage.”

But Ali was not to be shaken out of his usual dim view of the future. “All right—so we land, blaster in hand, and take the place. And they get off one little squeak to the Patrol. Well, a short life but an interesting one. And we’ll make all the Video channels for sure when we go out with rockets blasting. Nothing like having a little excitement to break the dull routine of a voyage.”

“We aren’t going to, are we—” Dane protested, “land armed, I mean?”

Ali stared at him and Rip, to Dane’s surprise, did not immediately repudiate that thought.

“Sleep rods certainly,” the Astrogator-apprentice said after a pause. “We’ll have to be prepared for the moment when they find out who we are. And you can’t re-set a hydro in a few minutes, not when we have to keep oxgy on for the others. If we were able to turn that off and work in suits it’d be a quicker job—we could dump before we set down and then pile it in at once. But this way it’s going to be piece work. And it all depends on the agents at the Stat whether we have trouble or not.”

“We had better break out the suits now,” Ali added to Rip’s estimate of the situation. “If we set down and pile out wearing suits at once it will build up our tale of being poor wrecked spacemen—”

Sleep rods or not, Dane thought to himself, the whole plan was one born of desperation. It would depend upon who manned the E-Stat and how fast the Free Traders could move once the Queen touched her fins to earth.

“Knock out their coms,” that was Ali continuing to plan. “Do that first and then we don’t have to worry about someone calling in the Patrol.”

Rip stretched. For the first time in hours he seemed to have returned to his usual placid self. “Good thing somebody in this spacer watches Video serials—Ali, you can brief us on all the latest tricks of space pirates. Nothing is so wildly improbable that you can’t make use of it sometime during a checkered career.”

He glanced over the board before he brought his hand down on a single key set a distance apart from the other controls. “Put some local color into it,” was his comment.

Dane understood. Rip had turned on the distress signal at the Queen’s nose. When she set down on the Stat field she would be flaming a banner of trouble. Next to the wan dead lights, set only when a ship had no hope of ever reaching port at all, that signal was one every spacer dreaded having to flash. But it was not the dead lights—not yet for the Queen.

Working together they brought out the space suits and readied them at the hatch. Then Weeks and Dane took up the task of tending their unconscious charges while Rip and Ali prepared for landing.

There was no change in the sleepers. And in Jellico’s cabin even Queex appeared to be influenced by the plight of its master, for instead of greeting Dane with its normal aspect of rage, the Hoobat stayed quiescent on the floor of its cage, its top claws hooked about two of the wires, its protruding eyes staring out into the room with what seemed closed to a malignant intelligence. It did not even spit as Dane passed under its abode to pour thin soup into his patient.

As for Sinbad, the cat had retreated to Dane’s cabin and steadily refused to leave the quarters he had chosen, resisting with tooth and claw the one time Dane had tried to take him back to Van Rycke’s office and his own hammock there. Afterwards the Cargo-apprentice did not try to evict him—there was comfort in seeing that plump gray body curled on the bunk he had little chance to use.

His nursing duties performed for the moment, Dane ventured into the hydro. He was practiced in tending this vital heart of the ship’s air supply. But outfitting a hydro was something else again. In his cadet years he had aided in such a program at least twice as a matter of learning the basic training of the Service. But then they had had unlimited supplies to draw on and the action had taken place under no more pressure than that exerted by the instructors. Now it was going to be a far more tricky job—

He went slowly down the aisle between the banks of green things. Plants from all over the Galaxy, grown for their contribution to the air renewal—as well as side products such as fresh fruit and vegetables, were banked there. The sweet odor of their verdant life was strong. But how could any of the four now on duty tell what was rightfully there and what might have been brought in? And could they be sure anything had been introduced?

Dane stood there, his eyes searching those lines of greens—such a mixture of greens from the familiar shade of Terra’s fields to greens tinged with shades first bestowed by other suns on other worlds—looking for one which was alien enough to be noticeable. Only Mura, who knew this garden as he knew his own cabin, could have differentiated between them. They would just dump everything and trust to luck—

He was suddenly aware of a slight movement in the banks—a shivering of stem, quiver of leaf. The mere act of his passing had set some sensitive plant to register his presence. A lacy, fern-like thing was contracting its fronds into balls. He should not stay—disturbing the peace of the hydro. But it made little difference now—within a matter of hours all this luxuriance would be thrust out to die and they would have to depend upon canned oxgy and algae tanks. Too bad—the hydro represented much time and labor on Mura’s part and Tau had medical plants growing there he had been observing for a long time.

As Dane closed the door behind him, seeing the line of balled fern which had marked his passage, he heard a faint rustling, a sound as if a wind had swept across the green room within. The imagination which was a Trader’s asset (when it was kept within bounds) suggested that the plants inside guessed—With a frown for his own sentimentality, Dane strode down the corridor and climbed to check with Rip in control.

The Astrogator-apprentice had his own problems. To bring the Queen down on the circumscribed field of an E-Stat—without a guide beam to ride in—since if they contacted the Stat they must reveal their own com was working and they would have to answer questions—was the sort of test even a seasoned pilot would tense over. Yet Rip was sitting now in the Captain’s place, his broad hands spread out on the edge of the control board waiting. And below in the engine room Ali was in Stotz’s place ready to fire and cut rockets at order. Of course they were both several years ahead of him in Service, Dane knew. But he wondered at their quick assumption of responsibility and whether he himself could ever reach that point of self-confidence—his memory turning to the bad mistake be had made on Sargol.

There was the sharp note of a warning gong, the flash of red light on the control board. They were off automatic, from here on in it was all Kip’s work. Dane strapped down at the silent com-unit and was startled a moment later when it spat words at him, translated from space code.

“Identify—identify—I-S E-Stat calling spacer—identify—”

So compelling was that demand that Dane’s fingers went to the answer key before he remembered and snatched them back, to fold his hands in his lap.

“Identify—” the expressionless voice of the translator droned over their heads.

Rip’s hands were on the control board, playing the buttons there with the precision of a musician creating some symphonic masterpiece. And the Queen was alive, now quivering through her stout plates, coming into a landing.

Dane watched the visa plate. The E-Stat asteroid was of a reasonable size, but in their eyes it was a bleak, torn mote of stuff swimming through vast emptiness.

“Identify—” the drone heightened in pitch.

Rip’s lips were compressed, he made quick calculations. And Dane saw that, though Jellico was the master, Rip was fully fit to follow in the Captain’s boot prints.

There was a sudden silence in the cabin—the demand had stopped. The agents below must now have realized that the ship with the distress signals blazing on her nose was not going to reply. Dane found he could not watch the visa plate now, Rip’s hands about their task filled his whole range of sight.

He knew that Shannon was using every bit of his skill and knowledge to jockey them into the position where they could ride their tail rockets down to the scorched rock of the E-Stat field. Perhaps it wasn’t as smooth a landing as Jellico could have made. But they did it. Rip’s hands were quiet, again that patch of darkness showed on the back of his tunic. He made no move from his seat.

“Secure—” Ali’s voice floated up to them.

Dane unbuckled his safety webbing and got up, looking to Shannon for orders. This was Rip’s plan they were to carry through. Then something moved him to give honor where it was due. He touched that bowed shoulder before him.

“Fin landing, brother! Four points and down!”

Rip glanced up, a grin made him look his old self. “Ought to have a recording of that for the Board when I go up for my pass-through.”

Dane matched his smile. “Too bad we didn’t have someone out there with a tri-dee machine.”

“More likely it’d be evidence at our trial for piracy—” their words must have reached Ali on the ship’s inter-com, for his deflating reply came back, to remind them of why they had made that particular landing. “Do we move now?”

“Check first,” Rip said into the mike.

Dane looked at the visa-plate. Against a background of jagged rock teeth was the bubble of the E-Stat housing—more than three-quarters of it being in the hollowed out sections below the surface of the miniature world which supported it, as Dane knew. But a beam of light shown from the dome to center on the grounded Queen. They had not caught the Stat agents napping.

They made the rounds of the spacer, checking on each of the semi-conscious men. Ali had ready the artificial oxgy tanks—they must move fast once they began the actual task of clearing and restocking the hydro.

“Hope you have a good story ready,” he commented as the other three joined him by the hatch to don the suits which would enable them to cross the airless, heatless surface of the asteroid.

“We have a poisoned hydro,” Dane said.

“One look at the plants we dump will give you the lie. They won’t accept our story without investigation.”

Dane was aroused. Did Ali think he was a stupid as all that? “If you’d take a look in there now you’d believe me,” he snapped.

“What did you do?” Ali sounded genuinely interested.

“Chucked a heated can of lacoil over a good section. It’s wilting down fast in big patches.”

Rip snorted. “Good old lacoil. You drink it, you wash in it, and now you kill off the Hydro with it. Maybe we can give the company an extra testimonial for the official jabber and collect when we hit Terra. All right—Weeks,” he spoke to the little man, “you listen in on the com—it’s tuned to our helmet units. We’ll climb into these pipe suits and see how many tears we can wring out of the Eysies with our sad, sad tale.”

They got into the awkward, bulky suits and squeezed into the hatch while Weeks slammed the lock door at their backs and operated the outer opening. Then they were looking out across the ground, still showing signs of the heat of their landing, and lighted by the dome beam.

“Nobody hurrying out with an aid and comfort kit,” Rip’s voice sounded in Dane’s earphones. “A little slack aren’t they?”

Slack—or was it that the Eysies had recognized the Queen and was preparing the sort of welcome the remnant of her crew could not withstand? Dane, wanting very much in his heart to be elsewhere, climbed down the ladder in Rip’s wake, both of them spotlighted by the immovable beam from the Stat dome.


Chapter XI

DESPERATE MEASURES

Measured in distance and time that rough walk in the ponderous suits across the broken terrain of the asteroid was a short one, measured by the beating of his own heart, Dane thought it much too long. There was no sign of life by the air lock of the bubble—no move on the part of the men stationed there to come to their assistance.

“D’you suppose we’re invisible?” Ali’s disembodied voice clicked in the helmet earphones.

“Maybe we’ll wish we were,” Dane could not forego that return.

Rip was almost to the air lock door now. His massively suited arm was outstretched toward the control bar when the com-unit in all three helmets caught the same demand:

“Identify!” The crisp order had enough snap to warn them that an answer was the best policy.

“Shannon—A-A of the Polestar,” Rip gave the required information. “We claim E rights—”

But would they get them? Dane wondered. There was a click loud in his ears. The metal door was yielding to Rip’s hand. At least those on the inside had taken off the lock. Dane quickened pace to join his leader.

Together the three from the Queen crowded through the lock door, saw that swing shut and seal behind them, as they stood waiting for the moment they could discard the suits and enter the dome. The odds against them could not be too high, this was a small Stat. It would not house more than four agents at the most. And they were familiar enough with the basic architecture of such stations to know just what move to make. Ali was to go to the com room where he could take over if they did meet with trouble. Dane and Rip would have to handle any dissenters in the main section. But they still hoped that luck might ride their fins and they could put over a story which would keep them out of active conflict with the Eysies.

The gauge on the wall registered safety and they unfastened the protective clasps of the suits. Standing the cumbersome things against the wall as the inner door to the lock rolled back, they walked into Eysie territory.

As Free Traders they had the advantage of being uniformly tunicked—with no Company badge to betray their ship or status. So that could well be the “Polestar” standing needle slim behind them—and not the notorious “Solar Queen.” But each, as he passed through the inner lock, gave a hitch to his belt which brought the butt of his sleep rod closer to hand. Innocuous as that weapon was, in close quarters its effects, if only temporary, was to some purpose. And since they were prepared for trouble, they might have a slight edge over the Eysies in attack.

A Company man, his tunic shabby and open in a negligent fashion at his thick throat, stood waiting for them. His unhelmeted head was grizzled, his coarse, tanned face with heavy jowls bristly enough to suggest he had not bothered to use smooth-cream for some days. An under officer of some spacer, retired to finish out the few years before pension in this nominal duty—fast letting down the standards of personal regime he had had to maintain on ship board. But he wasn’t all fat and soft living, the glance with which he measured them was shrewdly appraising.

“What’s your trouble?” he demanded without greeting. “You didn’t I-dent coming in.”

“Coms are out,” Rip replied as shortly. “We need E-Hydro—”

“First time I ever heard it that the coms were wired in with the grass,” the Eysies’s hands were on his hips—in close proximity to something which made Dane’s eyes narrow. The fellow was wearing a flare-blaster! That might be regulation equipment for an E-Stat agent on a lonely asteroid—but he didn’t quite believe it. And probably the other was quick on the draw too.

“The coms are something else,” Rip answered readily. “Our tech is working on them. But the hydro’s bad all though. We’ll have to dump and restock. Give you a voucher on Terra for the stuff.”

The Eysie agent continued to block the doorway into the station. “This is private—I-S property. You should hit the Patrol post—they cater to you F-Ts.”

“We hit the nearest E-Stat when we discovered that we were contaminated,” Rip spoke with an assumption of patience. “That’s the law, and you know it. You have to supply us and take a voucher—”

“How do I know that your voucher is worth the film it’s recorded on?” asked the agent reasonably.

“All right,” Rip shrugged. “If we have to do it the hard way, we’ll cargo dump to cover your bill.”

“Not on this field.” The other shook his head. “I’ll flash in your voucher first.”

He had them, Dane thought bitterly. Their luck had run out. Because what he was going to do was a move they dared not protest. It was one any canny agent would make in the present situation. And if they were what they said they were, they must readily agree to let him flash their voucher of payment to I-S headquarters, to be checked and okayed before they took the hydro stock.

But Rip merely registered a mild resignation. “You the Com-tech? Where’s your unit? I’ll indit at once if you want it that way.”

Whether their readiness to co-operate allayed some of the agent’s suspicion or not, he relaxed some, giving them one more stare all around before he turned on his heel. “This way.”

They followed him down the narrow hall, Rip on his heels, the others behind.

“Lonely post,” Rip commented. “I’d think you boys’d get space-whirly out here.”

The other snorted. “We’re not star lovers. And the pay’s worth a three month stretch. They take us down for Terra leave before we start talking to the Whisperers.”

“How many of you here at a time?” Rip edged the question in casually.

But the other might have been expecting it by the way he avoided giving a direct answer. “Enough to run the place—and not enough to help you clean out your wagon,” he was short about it. “Any dumping you do is strictly on your own. You’ve enough hands on a spacer that size to manage—”

Rip laughed. “Far be it from me to ask an Eysie to do any real work,” was his counter. “We know all about you Company men—”

But the agent did not take fire at that jib. Instead he pushed back a panel and they were looking into com-unit room where another man in the tunic of the I-S lounged on what was by law twenty-four hour duty, divided into three watches.

“These F-Ts want to flash a voucher request through,” their guide informed the tech. The other, interested, gave them a searching once-over before he pushed a small scriber toward Rip.

“It’s all yours—clear ether,” he reported.

Ali stood with his back to the wall and Dane still lingered in the portal. Both of them fixed their attention on Rip’s left hand. If he gave the agreed upon signal! Their fingers were linked loosely in their belts only an inch or so from their sleep rods.

With his right hand Rip scooped up the scribbler while the Com-tech half turned to make adjustments to the controls, picking up a speaker to call the I-S headquarters.

Rip’s left index finger snapped across his thumb to form a circle. Ali’s rod did not even leave his belt, it tilted up and the invisible deadening stream from it centered upon the seated tech. At the same instant Dane shot at the agent who had guided them there. The latter had time for a surprised grunt and his hand was at his blaster as he sagged to his knees and then relaxed on the floor. The Tech slumped across the call board as if sleep had overtaken him at his post.

Rip crossed the room and snapped off the switch which opened the wire for broadcasting. While Ali, with Dane’s help, quietly and effectively immobilized the Eysies with their own belts.

“There should be at least three men here,” Rip waited by the door. “We have to get them all under control before we start work.”

However, the interior of the bubble, extending as it did on levels beneath the outer crust of the asteroid, was not an easy place to search. An enemy, warned of the invasion, could easily keep ahead of the party from the Queen, spying on them at his leisure or preparing traps for them. In the end, afraid of wasting time, they contented themselves with locking the doors of the corridor leading to the lower levels, making ready to raid the storeroom they had discovered during their search.

Emergency hydro supplies consisted mainly of algae which could be stored in tanks and hastily put to use—as the plants now in the Queen took much longer to grow even under forcing methods. Dane volunteered to remain inside the E-Stat and assemble the necessary containers at the air lock while the other two, having had more experience, went back to the spacer to strip the hydro and prepare to switch contents.

But, when Rip and Ali left, the younger Cargo-apprentice began to find the bubble a haunted place. He took the sealed containers out of their storage racks, stood them on a small hand truck, and pushed them to the foot of the stairs, up which he then climbed carrying two of the cylinders at a time.

The swish of the air current through the narrow corridors made a constant murmur of sound, but he found himself listening for something else, for a footfall other than his own, for the betraying rasp of clothing against a wall—for even a whisper of voice. And time and time again he paused suddenly to listen—sure that the faintest hint of such a sound had reached his ears. He had a dozen containers lined up when the welcome signal reached him by the com-unit of his field helmet. To transfer the cylinders to the lock, get out, and then open the outer door, did not take long. But as he waited he still listened for a sound which did not come—the notice, that someone besides himself was free to move about the Stat.

Not knowing just how many of the supply tins were needed, he worked on transferring all there were in the storage racks to the upper corridor and the lock. But he still had half a dozen left to pass through when Rip sent a message that he was coming in.

Out of his pressure suit, the Astrogator-apprentice stepped lightly into the corridor, looked at the array of containers and shook his head.

“We don’t need all those. No, leave them—” he added as Dane, with a sigh, started to pick up two for a return trip. “There’s something more important just now—” He turned into the side hall which led to the com room.

Both the I-S men had awakened. The Com-tech appeared to accept his bonds philosophically. He was quiet and flat on his back, staring pensively at the ceiling. But the other agent had made a worm’s progress half across the room and Rip had to halt in haste to prevent stepping on him.

Shannon stooped and, hooking his fingers in the other’s tunic, heaved him back while the helpless man favored them with some of the ripest speech—and NOT Trade Lingo—Dane had ever heard. Rip waited until the man began to run down and then he broke in with his pleasant soft drawl.

“Oh, sure, we’re all that. But time runs on, Eysie, and I’d like a couple of answers which may mean something to you. First—when do you expect your relief?”

That set the agent off again. And his remarks—edited—were that no something, something F-T was going to get any something, something information out of him!

But it was his companion in misfortune—the Com-tech—who guessed the reason behind Rip’s question.

“Cut jets!” he advised the other. “They’re just being soft-hearted. I take it,” he spoke over the other agent’s sputtering to Rip, “that you’re worried about leaving us fin down—That’s it, isn’t it?”

Rip nodded. “In spite of what you think about us,” he replied, “We’re not Patrol Posted outlaws—”

“No, you’re just from a plague ship,” the Com-tech remarked calmly. And his words struck his comrade dumb. “Solar Queen?”

“You got the warn-off then?”

“Who didn’t? You really have plague on board?” The thought did not appear to alarm the Com-tech unduly. But his fellow suddenly heaved his bound body some distance away from the Free Traders and his face displayed mixed emotions—most of them fearful.

“We have something—probably supplied,” Rip straightened. “Might pass along to your bosses that we know that. Now suppose you tell me about your relief. When is it due?”

“Not until after we take off on the long orbit if you leave us like this. On the other hand,” the other added coolly, “I don’t see how you can do otherwise. We’ve still got those—” with his chin he pointed to the com-unit.

“After a few alterations,” Rip amended. The bulk of the com was in a tightly sealed case which they would need a flamer to open. But he could and did wreak havoc with the exposed portions. The tech watching this destruction spouted at least two expressions his companion had not used. But when Rip finished he was his unruffled self again.

“Now,” Rip drew his sleep rod. “A little rest and when you wake it will all be a bad dream.” He carefully beamed each man into slumber and helped Dane strip off their bonds. But before he left the room he placed on the recorder the voucher for the supplies they had taken. The Queen was not stealing—under the law she still had some shadow of rights.

Suited they crossed the rough rock to the ship. And there about the fins, already frozen into brittle spikes was a tangle of plants—the rich result of years of collecting.

“Did you find anything?” Dane asked as they rounded that mess on their way to the ladder.

Rip’s voice came back through the helmet com. “Nothing we know how to interpret. I wish Frank or Craig had had a chance to check. We took tri-dees of everything before we dumped. Maybe they can learn something from these when—”

His voice trailed off leaving that “when” to ring in both their minds. It was such an important “when.” When would either the steward or the Medic recover enough to view those tri-dee shots? Or was that “when” really an ominous “if?”

Back in the Queen, sealed once more for blast-off, they took their stations. Dane speculated as to the course Rip had set—were they just going to wander about the system hoping to escape notice until they had somehow solved their problem? Or did Shannon have some definite port in mind? He did not have time to ask before they lifted. But once they were space borne again he voiced his question.

Rip’s face was serious. “Frankly—” he began and then hesitated for a long moment before he added, “I don’t know. If we can only get the Captain or Craig on their feet again—”

“One thing,” Ali materialized to join them, “Sinbad’s back in the hydro. And this morning you couldn’t get him inside the door. It’s not a very good piece of evidence—”

No, it wasn’t but they clung to it as backing for their actions of the past few hours. The cat that had shown such a marked distaste for the company of the stricken, and then for the hydro, was now content to visit the latter as if some evil he has sensed there had been cleansed with the dumping of the garden. They had not yet solved their mystery but another clue had come into their hands.

But now the care of the sick occupied hours and Rip insisted that a watch be maintained by the com—listening in for news which might concern the Queen. They had done a good job at silencing the E-Stat, for they had been almost six hours in space before the news of their raid was beamed to the nearest Patrol post.

Ali laughed. “Told you we’d be pirates,” he said when he listened to that account of their descent upon the I-S station. “Though I didn’t see all that blaster work they’re now raving about. You’d think we fought a major battle there!”

Weeks growled. “The Eysies are trying to make it look good. Make us into outlaws—”

But Rip did not share in the general amusement at the wild extravagation of the report from the ether. “I notice they didn’t say anything about the voucher we left.”

Ali’s cynical smile curled. “Did you expect them to? The Eysies think they have us by the tail fins now—why should they give us any benefit of the doubt? We junked all our boosters behind us on this take-off, and don’t forget that, my friends.”

Weeks looked confused. “But I thought you said we could do this legal,” he appealed to Rip. “If we’re Patrol Posted as outlaws—”

“They can’t do any more to us than they can for running in a plague ship,” Ali pointed out. “Either will get us blasted if we happen into the wrong vector now. So—what do we do?”

“We find out what the plague really is,” Dane said and meant every word of it.

“How?” Ali inquired. “Through some of Craig’s magic?”

Dane was forced to answer with the truth. “I don’t know yet—but it’s our only chance.”

Rip rubbed his eyes wearily. “Don’t think I’m disagreeing—but just where do we start? We’ve already combed Frank’s quarters and Kosti’s—we cleaned out the hydro—”

“Those tri-dee shots of the hydro—have you checked them yet?” Dane countered.

Without a word Ali arose and left the cabin. He came back with a microfilm roll. Fitting it into the large projector he focused it on the wall and snapped the button.

They were looking at the hydro—down the length of space so accurately recorded that it seemed they might walk straight into it. The greenery of the plants was so vivid and alive Dane felt that he could reach out and pluck a leaf. Inch by inch he examined those ranks, looking for something which was not in order, had no right to be there.

The long shot of the hydro as it had been merged into a series of sectional groupings. In silence they studied it intently, using all their field lore in an attempt to spot what each one was certain must be there somewhere. But they were all handicapped by their lack of intimate knowledge of the garden.

“Wait!” Weeks’ voice scaled up. “Left hand corner—there!” His pointing hand broke and shadowed the portion he was calling to their attention. Ali jumped to the projector and made a quick adjustment.

Plants four and five times life size glowed green on the wall. What Weeks had caught they all saw now—ragged leaves, stripped stems.

“Chewed!” Dane supplied the answer.

It was only one species of plant which had been so mangled. Other varieties in the same bank showed no signs of disturbance. But all of that one type had at least one stripped branch and two were virtual skeletons.

“A pest!” said Rip.

“But Sinbad,” Dane began a protest before the memory of the cat’s peculiar actions of the past weeks stopped him. Sinbad had slipped up, the hunter who had kept the Queen free of the outré alien life which came aboard from time to time with cargo, had not attacked that which had ravaged the hydro plants. Or if he had done so, he had not, after his usual custom, presented the bodies of the slain to any crew member.

“It looks as if we have something at last,” Ali observed and someone echoed that with a sigh of heartdeep relief.


Chapter XII

STRANGE BEHAVIOR OF A HOOBAT

“All right, so we think we know a little more,” Ali added a moment later. “Just what are we going to do? We can’t stay in space forever—there’re the small items of fuel and supplies and—”

Rip had come to a decision. “We’re not going to remain space borne,” he stated with the confidence of one who now saw an open road before him.

“Luna—” Weeks was plainly doubtful.

“No. Not after that warn-off. Terra!”

For a second or two the other three stared at Rip agape. The audacity and danger of what he suggested was a little stunning. Since men had taken regularly to space no ship had made a direct landing on their home planet—all had passed through the quarantine on Luna. It was not only risky—it was so unheard of that for some minutes they did not understand him.

“We try to set down at Terraport,” Dane found his tongue first, “and they flame us out—”

Rip was smiling. “The trouble with you,” he addressed them all, “is that you think of earth only in terms of Terraport—”

“Well, there is the Patrol field at Stella,” Weeks agreed doubtfully. “But we’d be right in the middle of trouble there—”

“Did we have a regular port on Sargol—on Limbo—on fifty others I can name out of our log?” Rip wanted to know.

Ali voiced a new objection. “So—we have the luck of Jones and we set down somewhere out of sight. Then what do we do?”

“We seal ship until we find the pest—then we bring in a Medic and get to the bottom of the whole thing,” Rip’s confidence was contagious. Dane almost believed that it could be done that way.

“Did you ever think,” Ali cut in, “what would happen if we were wrong—if the Queen really is a plague carrier?”

“I said—we seal the ship—tight,” countered Shannon. “And when we earth it’ll be where we won’t have visitors to infect—”

“And that is where?” Ali, who knew the deserts of Mars better than he did the greener planet from which his stock had sprung, pursued the question.

“Right in the middle of the Big Burn!”

Dane, Terra born and bred, realized first what Rip was planning and what it meant. Sealed off was right—the Queen would be amply protected from investigation. Whether her crew would survive was another matter—whether she could even make a landing there was also to be considered.

The Big Burn was the horrible scar left by the last of the Atomic Wars—a section of radiation poisoned land comprising hundreds of square miles—land which generations had never dared to penetrate. Originally the survivors of that war had shunned the whole continent which it disfigured. It had been close to two centuries before men had gone into the still wholesome land laying to the far west and the south. And through the years, the avoidance of the Big Burn had become part of their racial instinct as they shrank from it. It was a symbol of something no Terran wanted to remember.

But Ali now had only one question to ask. “Can we do it?”

“We’ll never know until we try,” was Rip’s reply.

“The Patrol’ll be watching—” that was Weeks. With his Venusian background he had less respect for the dangers of the Big Burn than he did for the forces of Law and order which ranged the star lanes.

“They’ll be watching the route lanes,” Rip pointed out. “They won’t expect a ship to come in on that vector, steering away from the ports. Why should they? As far as I know it’s never been tried since Terraport was laid out. It’ll be tricky—” And he himself would have to bear most of the responsibility for it. “But I believe that it can be done. And we can’t just roam around out here. With I-S out for our blood and a Patrol warn-off it won’t do us any good to head for Luna—”

None of his listeners could argue with that. And, Dane’s spirits began to rise, after all they knew so little about the Big Burn—it might afford them just the temporary sanctuary they needed. In the end they agreed to try it, mainly because none of them could see any alternative, except the too dangerous one of trying to contact the authorities and being summarily treated as a plague ship before they could defend themselves.

And their decision was ably endorsed not long afterwards by a sardonic warning on the com—a warning which Ali who had been tending the machine passed along to them.

“Greetings, pirates—”

“What do you mean?” Dane was heating broth to feed to Captain Jellico.

“The word has gone out—our raid on the E-Stat is now a matter of history and Patrol record—we’ve been Posted!”

Dane felt a cold finger drawn along his backbone. Now they were fair game for the whole system. Any Patrol ship that wanted could shoot them down with no questions asked. Of course that had always been a possibility from the first after their raid on the E-Stat. But to realize that it was now true was a different matter altogether. This was one occasion when realization was worse than anticipation. He tried to keep his voice level as he answered:

“Let us hope we can pull off Rip’s plan—”

“We’d better. What about the Big Burn anyway, Thorson? Is it as tough as the stories say?”

“We don’t know what it’s like. It’s never been explored—or at least those who tried to explore its interior never reported in afterwards. As far as I know it’s left strictly alone.”

“Is it still all ‘hot’?”

“Parts of it must be. But all—we don’t know.”

With the bottle of soup in his hand Dane climbed to Jellico’s cabin. And he was so occupied with the problem at hand that at first he did not see what was happening in the small room. He had braced the Captain up into a half-sitting position and was patiently ladling the liquid into his mouth a spoonful at a time when a thin squeak drew his attention to the top of Jellico’s desk.

From the half open lid of a microtape compartment something long and dark projected, beating the air feebly. Dane, easing the Captain back on the bunk, was going to investigate when the Hoobat broke its unnatural quiet of the past few days with an ear-splitting screech of fury. Dane struck at the bottom of its cage—the move its master always used to silence it—But this time the results were spectacular.

The cage bounced up and down on the spring which secured it to the ceiling of the cabin and the blue feathered horror slammed against the wires. Either its clawing had weakened them, or some fault had developed, for they parted and the Hoobat came through them to land with a sullen plop on the desk. Its screams stopped as suddenly as they had begun and it scuttled on its spider-toad legs to the microtape compartment, acting with purposeful dispatch and paying no attention to Dane.

Its claws shot out and with ease it extracted from the compartment a creature as weird as itself—one which came fighting and of which Dane could not get a very clear idea. Struggling they battled across the surface of the desk and flopped to the floor. There the hunted broke loose from the hunter and fled with fantastic speed into the corridor. And before Dane could move the Hoobat was after it.

He gained the passage just in time to see Queex disappear down the ladder, clinging with the aid of its pincher claws, apparently grimly determined to catch up with the thing it pursued. And Dane went after them.

There was no sign of the creature who fled on the next level. But Dane made no move to recapture the blue hunter who squatted at the foot of the ladder staring unblinkingly into space. Dane waited, afraid to disturb the Hoobat. He had not had a good look at the thing which had run from Queex—but he knew it was something which had no business aboard the Queen. And it might be the disturbing factor they were searching for. If the Hoobat would only lead him to it—

The Hoobat moved, rearing up on the tips of its six legs, its neckless head slowly revolving on its puffy shoulders. Along the ridge of its backbone its blue feathers were rising into a crest much as Sinbad’s fur rose when the cat was afraid or angry. Then, without any sign of haste, it crawled over and began descending the ladder once more, heading toward the lower section which housed the Hydro.

Dane remained where he was until it had almost reached the deck of the next level and then he followed, one step at a time. He was sure that the Hoobat’s peculiar construction of body prevented it from looking up—unless it turned upon its back—but he did not want to do anything which would alarm it or deter Queex from what he was sure was a methodical chase.

Queex stopped again at the foot of the second descent and sat in its toad stance, apparently brooding, a round blue blot. Dane clung to the ladder and prayed that no one would happen along to frighten it. Then, just as he was beginning to wonder if it had lost contact with its prey, once more it arose and with the same speed it had displayed in the Captain’s cabin it shot along the corridor to the hydro.

To Dane’s knowledge the door of the garden was not only shut but sealed. And how either the stranger or Queex could get through it he did not see.

“What the—?” Ali clattered down the ladder to halt abruptly as Dane waved at him.

“Queex,” the Cargo-apprentice kept his voice to a half whisper, “it got loose and chased something out of the Old Man’s cabin down here.”

“Queex—!” Ali began and then shut his mouth, moving noiselessly up to join Dane.

The short corridor ended at the hydro entrance. And Dane had been right, there they found the Hoobat, crouched at the closed panel, its claws clicking against the metal as it picked away useless at the portal which would not admit it.

“Whatever it’s after must be in there,” Dane said softly.

And the hydro, stripped of its luxuriance of plant life, occupied now by the tanks of green scum, would not afford too many hiding places. They had only to let Queex in and keep watch.

As they came up the Hoobat flattened to the floor and shrilled its war cry, spitting at their boots and then flashing claws against the stout metal enforced hide. However, though it was prepared to fight them, it showed no signs of wishing to retreat, and for that Dane was thankful. He quickly pressed the release and tugged open the panel.

At the first crack of its opening Queex turned with one of those bursts of astounding speed and clawed for admittance, its protest against the men forgotten. And it squeezed through a space Dane would have thought too narrow to accommodate its bloated body. Both men slipped around the door behind it and closed the panel tight.

The air was not as fresh as it had been when the plants were there. And the vats which had taken the places of the banked greenery were certainly nothing to look at. Queex humped itself into a clod of blue, immovable, halfway down the aisle.

Dane tried to subdue his breathing, to listen. The Hoobat’s actions certainly argued that the alien thing had taken refuge here, though how it had gotten through—? But if it were in the hydro it was well hidden.

He had just begun to wonder how long they must wait when Queex again went into action. Its clawed front legs upraised, it brought the pinchers deliberately together and sawed one across the other, producing a rasping sound which was almost a vibration in the air. Back and forth, back and forth, moved the claws. Watching them produced almost a hypnotic effect, and the reason for such a maneuver was totally beyond the human watchers.

But Queex knew what it was doing all right, Ali’s fingers closed on Dane’s arm in a pincher grip as painful as if he had been equipped with the horny armament of the Hoobat.

Something, a flitting shadow, had rounded one vat and was that much closer to the industrious fiddler on the floor. By some weird magic of its own the Hoobat was calling its prey to it.

Scrape, scrape—the unmusical performance continued with monotonous regularity. Again the shadow flashed—one vat closer. The Hoobat now presented the appearance of one charmed by its own art—sunk in a lethargy of weird music making.

At last the enchanted came into full view, though lingering at the round side of a container, very apparently longing to flee again, but under some compulsion to approach its enchanter. Dane blinked, not quite sure that his eyes were not playing tricks on him. He had seen the almost transparent globe “bogies” of Limbo, had been fascinated by the weird and ugly pictures in Captain Jellico’s collection of tri-dee prints. But this creature was as impossible in its way as the horrific blue thing dragging it out of concealment.

It walked erect on two threads of legs, with four knobby joints easily detected. A bulging abdomen sheathed in the horny substance of a beetle’s shell ended in a sharp point. Two pairs of small legs, folded close to the much smaller upper portion of its body, were equipped with thorn shack terminations. The head, which constantly turned back and forth on the armor plated shoulders, was long and narrow and split for half its length by a mouth above which were deep pits which must harbor eyes, though actual organs were not visible to the watching men. It was a palish gray in color—which surprised Dane a little. His memory of the few seconds he had seen it on the Captain’s desk had suggested that it was much darker. And erect as it was, it stood about eighteen inches high.

With head turning rapidly, it still hesitated by the side of the vat, so nearly the color of the metal that unless it moved it was difficult to distinguish. As far as Dane could see the Hoobat was paying it no attention. Queex might be lost in a happy dream, the result of its own fiddling. Nor did the rhythm of that scraping vary.

The nightmare thing made the last foot in a rush of speed which reduced it to a blur, coming to a halt before the Hoobat. Its front legs whipped out to strike at its enemy. But Queex was no longer dreaming. This was the moment the Hoobat had been awaiting. One of the sawing claws opened and closed, separating the head of the lurker from its body. And before either of the men could interfere Queex had dismembered the prey with dispatch.

“Look there!” Dane pointed.

The Hoobat held close the body of the stranger and where the ashy corpse came into contact with Queex’s blue feathered skin it was slowly changing hue—as if some of the color of its hunter had rubbed off it.

“Chameleon!” Ali went down on one knee the better to view the grisly feast now in progress. “Watch out!” he added sharply as Dane came to join him.

One of the thin upper limbs lay where Queex had discarded it. And from the needle tip was oozing some colorless drops of fluid. Poison?

Dane looked around for something which he could use to pick up the still jerking appendage. But before he could find anything Queex had appropriated it. And in the end they had to allow the Hoobat its victim in its entirety. But once Queex had consumed its prey it lapsed into its usual hunched immobility. Dane went for the cage and working gingerly he and Ali got the creature back in captivity. But all the evidence now left were some smears on the floor of the hydro, smears which Ali blotted up for future research in the lab.

An hour later the four who now comprised the crew of the Queen gathered in the mess for a conference. Queex was in its cage on the table before them, asleep after all its untoward activity.

“There must be more than just one,” Weeks said. “But how are we going to hunt them down? With Sinbad?”

Dane shook his head. Once the Hoobat had been caged and the more prominent evidence of the battle scraped from the floor, he had brought the cat into the hydro and forced him to sniff at the site of the engagement. The result was that Sinbad had gone raving mad and Dane’s hands were now covered with claw tears which ran viciously deep. It was plain that the ship’s cat was having none of the intruders, alive or dead. He had fled to Dane’s cabin where he had taken refuge on the bunk and snarled wild eyed when anyone looked in from the corridor.

“Queex has to do it,” Rip said. “But will it hunt unless it is hungry?”

He surveyed the now comatose creature skeptically. They had never seen the Captain’s pet eat anything except some pellets which Jellico kept in his desk, and they were aware that the intervals between such feedings were quite lengthy. If they had to wait the usual time for Queex to feel hunger pangs once more, they might have to wait a long time.

“We should catch one alive,” Ali remarked thoughtfully. “If we could get Queex to fiddle it out to where we could net it—”

Weeks nodded eagerly. “A small net like those the Salariki use. Drop it over the thing—”

While Queex still drowsed in its cage, Weeks went to work with fine cord. Holding the color changing abilities of the enemy in mind they could not tell how many of the creatures might be roaming the ship. It could only be proved where they weren’t by where Sinbad would consent to stay. So they made plans which included both the cat and the Hoobat.

Sinbad, much against his will, was buckled into an improvised harness by which he could be controlled without the handler losing too much valuable skin.

And then the hunt started at the top of the ship, proceeding downward section by section. Sinbad raised no protest in the control cabin, nor in the private cabins of the officers’ thereabouts. If they could interpret his reactions the center section was free of the invaders. So with Dane in control of the cat and Ali carrying the caged Hoobat, they descended once more to the level which housed the hydro galley, steward’s quarters and ship’s sick bay.

Sinbad proceeded on his own four feet into the galley and the mess. He was not uneasy in the sick bay, nor in Mura’s cabin, and this time he even paced the hydro without being dragged—much to their surprise as they had thought that the headquarters of the stowaways.

“Could there only have been one?” Weeks wanted to know as he stood by ready with the net in his hands.

“Either that—or else we’re wrong about the hydro being their main hideout. If they’re afraid of Queex now they may have withdrawn to the place they feel the safest,” Rip said.

It was when they were on the ladder leading to the cargo level that Sinbad balked. He planted himself firmly and yowled against further progress until Dane, with the harness, pulled him along.

“Look at Queex!”

They followed Weeks’ order. The Hoobat was no longer lethargic. It was raising itself, leaning forward to clasp the bars of its cage, and now it uttered one of its screams of rage. And as Ali went on down the ladder it rattled the bars in a determined effort for freedom. Sinbad, spitting and yowling refused to walk. Rip nodded to Ali.

“Let it out.”

Tipped out of its cage the Hoobat scuttled forward, straight for the panel which opened on the large cargo space and there waited, as if for them to open the portal and admit the hunter to its hunting territory.


Chapter XIII

OFF THE MAP

Across the lock of the panel was the seal set in place by Van Rycke before the spacer had lifted from Sargol. Under Dane’s inspection it showed no crack. To all evidence the hatch had not been opened since they left the perfumed planet. And yet the hunting Hoobat was sure that the invading pests were within.

It took only a second for Dane to commit an act which, if he could not defend it later, would blacklist him out of space. He twisted off the official seal which should remain there while the freighter was space borne.

With Ali’s help he shouldered aside the heavy sliding panel and they looked into the cargo space, now filled with the red wood from Sargol. The redwood! When he saw it Dane was struck with their stupidity. Aside from the Koros stones in the stone box, only the wood had come from the Salariki world. What if the pests had not been planted by I-S agents, but were natives of Sargol being brought in with the wood?

The men remained at the hatch to allow the Hoobat freedom in its hunt. And Sinbad crouched behind them, snarling and giving voice to a rumbling growl which was his negative opinion of the proceedings.

They were conscious of an odor—the sharp, unidentifiable scent Dane had noticed during the loading of the wood. It was not unpleasant—merely different. And it—or something—had an electrifying effect upon Queex. The blue hunter climbed with the aid of its claws to the top of the nearest pile of wood and there settled down. For a space it was apparently contemplating the area about it.

Then it raised its claws and began the scraping fiddle which once before had drawn its prey out of hiding. Oddly enough that dry rasp of sound had a quieting effect upon Sinbad and Dane felt the drag of the harness lessen as the cat moved, not toward escape, but to the scene of action, humping himself at last in the open panel, his round eyes fixed upon the Hoobat with a fascinated stare.

Scrape-scrape—the monotonous noise bit into the ears of the men, gnawed at their nerves.

“Ahhh—” Ali kept his voice to a whisper, but his hand jerked to draw their attention to the right at deck level. Dane saw that flicker along a log. The stowaway pest was now the same brilliant color as the wood, indistinguishable until it moved, which probably explained how it had come on board.

But that was only the first arrival. A second flash of movement and a third followed. Then the hunted remained stationary, able to resist for a period the insidious summoning of Queex. The Hoobat maintained an attitude of indifference, of being so wrapped in its music that nothing else existed. Rip whispered to Weeks:

“There’s one to the left—on the very end of that log. Can you net it?”

The small oiler slipped the coiled mesh through his calloused hands. He edged around Ali, keeping his eyes on the protuding protruding bump of red upon red which was his quarry.

“—two—three—four—five—” Ali was counting under his breath but Dane could not see that many. He was sure of only four, and those because he had seen them move.

The things were ringing in the pile of wood where the Hoobat fiddled, and two had ascended the first logs toward their doom. Weeks went down on one knee, ready to cast his net, when Dane had his first inspiration. He drew his sleep rod, easing it out of its holster, set the lever on “spray” and beamed it at three of those humps.

Rip seeing what he was doing, dropped a hand on Weeks’ shoulder, holding the oiler in check. A hump moved, slid down the rounded side of the log into the narrow aisle of deck between two piles of wood. It lay quiet, a bright scarlet blot against the gray.

Then Weeks did move, throwing his net over it and jerking the draw string tight, at the same time pulling the captive toward him over the deck. But, even as it came, the scarlet of the thing’s body was fast fading to an ashy pink and at last taking on a gray as dull as the metal on which it lay—the complete camouflage. Had they not had it enmeshed they might have lost it altogether, so well did it now blend with the surface.

The other two in the path of the ray had not lost their grip upon the logs, and the men could not advance to scoop them up. Not while there were others not affected, free to flee back into hiding. Weeks bound the net about the captive and looked to Rip for orders.

“Deep freeze,” the acting-commander of the Queen said succinctly. “Let me see it get out of that!”

Surely the cold of the deep freeze, united to the sleep ray, would keep the creature under control until they had a chance to study it. But, as Weeks passed Sinbad on his errand, the cat was so frantic to avoid him, that he reared up on his hind legs, almost turning a somersault, snarling and spitting until Weeks was up the ladder to the next level. It was very evident that the ship’s cat was having none of this pest.

They might have been invisible and their actions non-existent as far as Queex was concerned. For the Hoobat continued its siren concert. The lured became more reckless, mounting the logs to Queex’s post in sudden darts. Dane wondered how the Hoobat proposed handling four of the creatures at once. For, although the other two which had been in the path of the ray had not moved, he now counted four climbing.

“Stand by to ray—” that was Rip.

But it would have been interesting to see how Queex was prepared to handle the four. And, though Rip had given the order to stand by, he had not ordered the ray to be used. Was he, too, interested in that?

The first red projection was within a foot of the Hoobat now and its fellows had frozen as if to allow it the honor of battle with the feathered enemy. To all appearances Queex did not see it, but when it sprang with a whir of speed which would baffle a human, the Hoobat was ready and its claws, halting their rasp, met around the wasp-thin waist of the pest, speedily cutting it in two. Only this time the Hoobat made no move to unjoint and consume the victim. Instead it squatted in utter silence, as motionless as a tri-dee print.

The heavy lower half of the creature rolled down the pile of logs to the deck and there paled to the gray of its background. None of its kind appeared to be interested in its fate. The two which had been in the path of the ray, continued to be humps on the wood, the others faced the Hoobat.

But Rip was ready to waste no more time. “Ray them!” he snapped.

All three of their sleep rods sprayed the pile, catching in passing the Hoobat. Queex’s pop eyes closed, but it showed no other sign of falling under the spell of the beam.

Certain that all the creatures in sight were now relatively harmless, the three approached the logs. But it was necessary to get into touching distance before they could even make out the outlines of the nightmare things, so well did their protective coloring conceal them. Wearing gloves Ali detached the little monsters from their holds on the wood and put them for temporary safekeeping—during a transfer to the deep freeze—into the Hoobat’s cage. Queex, they decided to leave where it was for a space, to awaken and trap any survivor which had been too wary to emerge at the first siren song. As far as they could tell the Hoobat was their only possible protection against the pest and to leave it in the center of infection was the wisest course.

Having dumped the now metal colored catch into the freeze, they held a conference.

“No plague—” Weeks breathed a sigh of relief.

“No proof of that yet,” Ali caught him up short. “We have to prove it past any reasonable doubt.”

“And how are we going to do—?” Dane began when he saw what the other had brought in from Tau’s stores. A lancet and the upper half of the creature Queex had killed in the cargo hold.

The needle pointed front feet of the thing were curled up in its death throes and it was now a dirty white shade as if the ability to change color had been lost before it matched the cotton on which it lay. With the lancet Ali forced a claw away from the body. It was oozing the watery liquid which they had seen on the one in the hydro.

“I have an idea,” he said slowly, his eyes on the mangled creature rather than on his shipmates, “that we might have escaped being attacked because they sheered off from us. But if we were clawed we might take it too. Remember those marks on the throats and backs of the rest? That might be the entry point of this poison—if poison it is—”

Dane could see the end of that line of reasoning. Rip and Ali—they couldn’t be spared. The knowledge they had would bring the Queen to earth. But a Cargo-master was excess baggage when there was no reason for trade. It was his place to try out the truth of Ali’s surmise.

But while he thought another acted. Weeks leaned over and twitched the lancet out of Ali’s fingers. Then, before any of them could move, he thrust its contaminated point into the back of his hand.

“Don’t!”

Both Dane’s cry and Rip’s hand came too late. It had been done. And Weeks sat there, looking alone and frightened, studying the drop of blood which marked the dig of the surgeon’s keen knife. But when he spoke his voice sounded perfectly natural.

“Headache first, isn’t it?”

Only Ali was outwardly unaffected by what the little man had just done. “Just be sure you have a real one,” he warned with what Dane privately considered real callousness.

Weeks nodded. “Don’t let my imagination work,” he answered shrewdly. “I know. It has to be real. How long do you suppose?”

“We don’t know,” Rip sounded tired, beaten. “Meanwhile,” he got to his feet, “we’d better set a course home—”

“Home,” Weeks repeated. To him Terra was not his own home—he had been born in the polar swamps of Venus. But to All Solarians—no matter which planet had nurtured them—Terra was home.

“You,” Rip’s big hand fell gently on the little oiler’s shoulder, “stay here with Thorson—”

“No,” Weeks shook his head. “Unless I black out, I’m riding station in the engine room. Maybe the bug won’t work on me anyway.”

And because he had done what he had done they could not deny him the right to ride his station as long as he could during the grueling hours to come.

Dane visited the cargo hold once more. To be greeted by an irate scream which assured him that Queex was again awake and on guard. Although the Hoobat was ready enough to give tongue, it still squatted in its chosen position on top of the log stack and he did not try to dislodge it. Perhaps with Queex planted in the enemies’ territory they would have nothing to fear from any pests not now confined in the deep freeze.

Rip set his course for Terra—for that plague spot on their native world where they might hide out the Queen until they could prove their point—that the spacer was not a disease ridden ship to be feared. He kept to the control cabin, shifting only between the Astrogator’s and the pilot’s station. Upon him alone rested the responsibility of bringing in the ship along a vector which crossed no well traveled space lane where the Patrol might challenge them. Dane rode out the orbiting in the Com-tech’s seat, listening in for the first warning of danger—that they had been detected.

The mechanical repetition of their list of crimes was now stale news and largely off-ether. And from all traces he could pick up, they were lost as far as the authorities were concerned. On the other hand, the Patrol might indeed be as far knowing as its propaganda stated and the Queen was running headlong into a trap. Only they had no choice in the matter.

It was the ship’s inter-com bringing Ali’s voice from the engine room which broke the concentration in the control cabin.

“Weeks’ down!”

Rip barked into the mike. “How bad?”

“He hasn’t blacked out yet. The pains in his head are pretty bad and his hand is swelling—”

“He’s given us our proof. Tell him to report off—”

But the disembodied voice which answered that was Weeks’.

“I haven’t got it as bad as the others. I’ll ride this out.”

Rip shook his head. But short-handed as they were he could not argue Weeks away from his post if the man insisted upon staying. He had other, and for the time being, more important matters before him.

How long they sweated out that descent upon their native world Dane could never afterwards have testified. He only knew that hours must have passed, until he thought groggily that he could not remember a time he was not glued in the seat which had been Tang’s, the earphones pressing against his sweating skull, his fatigue-drugged mind being held with difficulty to the duty at hand.

Sometime during that haze they made their landing. He had a dim memory of Rip sprawled across the pilot’s control board and then utter exhaustion claimed him also and the darkness closed in. When he roused it was to look about a cabin tilted to one side. Rip was still slumped in a muscle cramping posture, breathing heavily. Dane bit out a forceful word born of twinges of his own, and then snapped on the visa-plate.

For a long moment he was sure that he was not yet awake. And then, as his dazed mind supplied names for what he saw, he knew that Rip had failed. Far from being in the center—or at least well within the perimeter of the dread Big Burn—they must have landed in some civic park or national forest. For the massed green outside, the bright flowers, the bird he sighted as a brilliant flash of wind coasting color—those were not to be found in the twisted horror left by man’s last attempt to impress his will upon his resisting kind.

Well, it had been a good try, but there was no use expecting luck to ride their fins all the way, and they had had more than their share in the E-Stat affair. How long would it be before the Law arrived to collect them? Would they have time to state their case?

The faint hope that they might aroused him. He reached for the com key and a second later tore the headphones from his appalled ears. The crackle of static he knew—and the numerous strange noises which broke in upon the lanes of communication in space—but this solid, paralyzing roar was something totally new—new, and frightening.

And because it was new and he could not account for it, he turned back to regard the scene on the viewer with a more critical eye. The foliage which grew in riotous profusion was green right enough, and Terra green into the bargain—there was no mistaking that. But—Dane caught at the edge of Com-unit for support. But—What was that liver-red blossom which had just reached out to engulf a small flying thing?

Feverishly he tried to remember the little natural history he knew. Sure that what he had just witnessed was unnatural—un-Terran—and to be suspect!

He started the spy lens on its slow revolution in the Queen’s nose, to get a full picture of their immediate surroundings. It was tilted at an angle—apparently they had not made a fin-point landing this time—and sometimes it merely reflected slices of sky. But when it swept earthward he saw enough to make him believe that wherever the spacer had set down it was not on the Terra he knew.

Subconsciously he had expected the Big Burn to be barren land—curdled rock with rivers of frozen quartz, substances boiled up through the crust of the planet by the action of the atomic explosives. That was the way it had been on Limbo—on the other “burned-off” worlds they had discovered where those who had preceded mankind into the Galaxy—the mysterious, long vanished “Forerunners”—had fought their grim and totally annihilating wars.

But it would seem that the Big Burn was altogether different—at least here it was. There was no rock sterile of life outside—in fact there would appear to be too much life. What Dane could sight on his limited field of vision was a teeming jungle. And the thrill of that discovery almost made him forget their present circumstances. He was still staring bemused at the screen when Rip muttered, turned his head on his folded arms and opened his sunken eyes:

“Did we make it?” he asked dully.

Dane, not taking his eyes from that fascinating scene without, answered: “You brought us down. But I don’t know where—”

“Unless our instruments were ‘way off, we’re near to the heart of the Burn.”

“Some heart!”

“What does it look like?” Rip sounded too tired to cross the cabin and see for himself. “Barren as Limbo?”

“Hardly! Rip, did you ever see a tomato as big as a melon—At least it looks like a tomato,” Dane halted the spy lens as it focused upon this new phenomena.

“A what?” There was a note of concern in Shannon’s voice. “What’s the matter with you, Dane?”

“Come and see,” Dane willingly yielded his place to Rip but he did not step out of range of the screen. Surely that did have the likeness to a good, old fashioned earth-side tomato—but it was melon size and it hung from a bush which was close to a ten foot tree!

Rip stumbled across to drop into the Com-tech’s place. But his expression of worry changed to one of simple astonishment as he saw that picture.

“Where are we?”

“You name it,” Dane had had longer to adjust, the excitement of an explorer sighting virgin territory worked in his veins, banishing fatigue. “It must be the Big Burn!”

“But,” Rip shook his head slowly as if with that gesture to deny the evidence before his eyes, “that country’s all bare rock. I’ve seen pictures—”

“Of the outer rim,” Dane corrected, having already solved that problem for himself. “This must be farther in than any survey ship ever came. Great Spirit of Outer Space, what has happened here?”

Rip had enough technical training to know how to get part of the answer. He leaned halfway across the com, and was able to flick down a lever with the very tip of his longest finger. Instantly the cabin was filled with a clicking so loud as to make an almost continuous drone of sound.

Dane knew that danger signal, he didn’t need Rip’s words to underline it for him.

“That’s what’s happened. This country is pile ‘hot’ out there!”


Chapter XIV

SPECIAL MISSION

That click, the dial beneath the counter, warned them that they were as cut off from the luxuriance outside as if they were viewing a scene on Mars or Sargol from their present position. To go beyond the shielding walls of the spacer into that riotous green world would sentence them to death as surely as if the Patrol was without, with a flamer trained on their hatch. There was no escape from that radiation—it would be in the air one breathed, strike though one’s skin. And yet the wilderness flourished and beckoned.

“Mutations—” Rip mused. “Space, Tau’d go wild if he could see it!”

And that mention of the Medic brought them back to the problem which had earthed them. Dane leaned back against the slanting wall of the cabin.

“We have to have a Medic—”

Rip nodded without looking away from the screen.

“Can one of the flitters be shielded?” The Cargo-apprentice persisted.

“That’s a thought! Ali should know—” Rip reached for the inter-com mike. “Engines!”

“So you are alive?” Ali’s voice had a bite in it. “About time you’re contacting. Where are we? Besides being lopsided from a recruit’s scrambled set-down, I mean.”

“In the Big Burn. Come top-side. Wait—how’s Weeks?”

“He has a devil’s own headache, but he hasn’t blacked out yet. Looks like his immunity holds in part. I’ve sent him bunkside for a while with a couple of pain pills. So we’ve made it—”

He must have left to join them for when Rip answered: “After a fashion,” into the mike there was no reply.

And the clang of his boot plates on the ladder heralded his arrival at their post. There was an interval for him to view the outer world and accept the verdict of the counter and then Rip voiced Dane’s question:

“Can we shield one of the flitters well enough to cross that? I can’t take the Queen up and earth her again—”

“I know you can’t!” the acting-engineer cut in. “Maybe you could get her off world, but you’ll come close to blasting out when you try for another landing. Fuel doesn’t go on forever—though some of you space jockeys seem to think it does. The flitter? Well, we’ve some spare rocket linings. But it’s going to be a job and a half to get those beaten out and reassembled. And, frankly, the space whirly one who flies her had better be suited and praying loudly when he takes off. We can always try—” He was frowning, already busied with the problem which was one for his department.

So with intervals of snatched sleep, hurried meals and the time which must be given to tending their unconscious charges, Rip and Dane became only hands to be directed by Ali’s brain and garnered knowledge. Weeks slept off the worst of his pain and, though he complained of weakness, he tottered back on duty to help.

The flitter—an air sled intended to hold three men and supplies for exploring trips on strange-worlds—was first stripped of all non-essentials until what remained was not much more than the pilot’s seat and the motor. Then they labored to build up a shielding of the tough radiation dulling alloy which was used to line rocket tubes. And they could only praise the foresight of Stotz who carried such a full supply of spare parts and tools. It was a task over which they often despaired, and Ali improvised frantically, performing weird adjustments of engineering structure. He was still unsatisfied when they had done.

“She’ll fly,” he admitted. “And she’s the best we can do. But it’ll depend a lot on how far she has to go over ‘hot’ country. Which way do we head her?”

Rip had been busy with a map of Terra—a small thing he had discovered in one of the travel recordings carried for crew entertainment.

“The Big Burn covers three quarters of this continent. There’s no use going north—the devastated area extends into the arctic regions. I’d say west—there’s some fringe settlements on the sea coast and we need to contact a frontier territory. Now do we have it straight—? I take the flitter, get a Medic and bring him back?”

Dane cut in at that point. “Correct course! You stay here. If the Queen has to lift, you’re the only one who can take her off world. And the same’s true for Ali. I can’t ride out a blast-off in either the pilot’s or the engineer’s seat. And Weeks is on the sick list. So I’m elected to do the Medic hunting—”

They were forced to agree to that. He was no hero, Dane thought, as he gave a last glance about his cabin early the next morning. The small cubby, utilitarian and bare as it was, never looked more inviting or secure. No, no hero, it was merely a matter of common sense. And although his imagination—that deeply hidden imagination with which few of his fellows credited him—shrank from the ordeal ahead, he had not the slightest intention of allowing that to deter him.

The space suit, which had been bulky and clumsy enough on the E-Stat asteroid under limited gravity, was almost twice as poorly adapted to progression on earth. But he climbed into it with Rip’s aid, while Ali lashed a second suit under the seat—ready to encase the man Dane must bring back with him. Before he closed the helmet, Rip had one last order to give, along with an unexpected piece of equipment. And, when Dane saw that, he knew just how desperate Shannon considered their situation to be. For only on life or death terms would the Astrogator-apprentice have used Jellico’s private key, opened the forbidden arms cabinet, and withdrawn that blaster.

“If you need it—use this—” Rip’s face was very sober.

Ali arose from fastening the extra suit in place. “It’s ready—”

He came back into the corridor and Dane clanked out in his place, settling himself behind the controls. When they saw him there, the inner hatch closed and he was alone in the bay.

With tantalizing slowness the outer wall of the spacer slid back. His hands blundering with the metallic claws of the gloves, Dane buckled two safety belts about him. Then the skeleton flitter moved to the left—out into the glare of the early day, a light too bright, even through the shielded viewplates of his helmet.

For some dangerous moments the machine creaked out and down on the landing cranes, the warning counter on its control panel going into a mad whirl of color as it tried to record the radiation. There came a jar as it touched the scorched earth at the foot of the Queen’s fins.

Dane pressed the release and watched the lines whip up and the hatch above snap shut. Then he opened the controls. He used too much energy and shot into the air, tearing a wide gap through what was luckily a thin screen of the matted foliage, before he gained complete mastery.

Then he was able to level out and bore westward, the rising sun at his back, the sea of deadly green beneath him, and somewhere far ahead the faint promise of clean, radiation free land holding the help they needed.

Mile after mile of the green jungle swept under the flitter, and the flash of the counter’s light continued to record a land unfit for mankind. Even with the equipment used on distant worlds to protect what spacemen had come to recognize was a reasonably tough human frame, no ground force could hope to explore that wilderness in person. And flying above it, as well insulated as he was, Dane knew that he could be dangerously exposed. If the contaminated territory extended more than a thousand miles, his danger was no longer problematical—it was an established fact.

He had only the vague directions from the scrap of map Rip had uncovered. To the west—he had no idea how far away—there stretched a length of coastline, far enough from the radiation blasted area to allow small settlements. For generations the population of Terra, decimated by the atomic wars, and then drained by first system and then Galactic exploration and colonization, had been decreasing. But within the past hundred years it was again on the upswing. Men retiring from space were returning to their native planet to live out their remaining years. The descendants of far-flung colonists, coming home on visits, found the sparsely populated mother world appealed to some basic instinct so that they remained. And now the settlements of mankind were on the march, spreading out from the well established sections which had not been blighted by ancient wars.

It was mid-afternoon when Dane noted that the green carpet beneath the flitter was displaying holes—that small breaks in the vegetation became sizable stretches of rocky waste. He kept one eye on the counter and what, when he left the spacer, had been an almost steady beam of warning light was now a well defined succession of blinks. The land below was cooling off—perhaps he had passed the worst of the journey. But in that passing how much had he and the flitter become contaminated? Ali had devised a method of protection for the empty suit the Medic would wear—had that held? There were an alarming number of dark ifs in the immediate future.

The mutant growths were now only thin patches of stunted and yellowish green. Had man penetrated only this far into the Burn, the knowledge of what lay beyond would be totally false. This effect of dreary waste might well discourage exploration.

Now the blink of the counter was deliberate, with whole seconds of pause between the flashes. Cooling off—? It was getting cold fast! He wished that he had a com-unit. Because of the interference in the Burn he had left it behind—but with one he might be able now to locate some settlement. All that remained was to find the seashore and, with it as a guide, flit south towards the center of modern civilization.

He laid no plans of action—this whole exploit must depend upon improvisation. And, as a Free Trader, spur-of-the-moment action was a necessary way of life. On the frontier Rim of the Galaxy, where the independent spacers traced the star trails, fast thinking and the ability to change plans on an instant were as important as skill in aiming a blaster. And it was very often proven that the tongue—and the brain behind it—were more deadly than a flamer.

The sun was in Dane’s face now and he caught sight of patches of uncontaminated earth with honest vegetation—in place of the “hot” jungle now miles behind. That night he camped out on the edge of rough pasturage where the counter no longer flashed its warning and he was able to shed the suit and sleep under the stars with the fresh air of early summer against his cheek and the smell of honest growing things replacing the dry scent of the spacer and the languorous perfumes of Sargol.

He lay on his back, flat against the earth of which he was truly a part, staring up into the dark, inverted bowl of the heavens. It was so hard to connect those distant points of icy light making the well remembered patterns overhead with the suns whose rays had added to the brown stain on his skin. Sargol’s sun—the one which gave such limited light to dead Limbo—the sun under which Naxos, his first Galactic port, grew its food. He could not pick them out—was not even sure that any could be sighted from Terra. Strange suns, red, orange, blue green, white—yet here all looked alike—points of glitter.

Tomorrow at dawn he must go on. He turned his head away from the sky and grass, green Terran grass, was soft beneath his cheek. Yet unless he was successful tomorrow or the next day—he might never have the right to feel that grass again. Resolutely Dane willed that thought out of his mind, tried to fix upon something more lulling which would bring with it the sleep he must have before he went on. And in the end he did sleep, deeply, dreamlessly, as if the touch of Terra’s soil was in itself the sedative his tautly strung nerves needed.

It was before sunrise that he awoke, stiff, and chilled. The dryness of pre-dawn gave partial light and somewhere a bird was twittering. There had been birds—or things whose far off ancestors had been birds—in the “hot” forest. Did they also sing to greet the dawn?

Dane went over the flitter with his small counter and was relieved to find that they had done a good job of shielding under Ali’s supervision. Once the suit he had worn was stored, he could sit at the controls without danger and in comfort. And it was good to be free of that metal prison.

This time he took to the air with ease, the salt taste of food concentrate on his tongue as he sucked a cube. And his confidence arose with the flitter. This was the day, somehow he knew it. He was going to find what he sought.

It was less than two hours after sunrise that he did so. A village which was a cluster of perhaps fifty or so house units strung along into the land. He skimmed across it and brought the flitter down in a rock cliff walled sand pocket with surf booming some yards away, where he would be reasonably sure of safe hiding.

All right, he had found a village. Now what? A Medic—A stranger appearing on the lane which served the town, a stranger in a distinctive uniform of Trade, would only incite conjecture and betrayal. He had to plan now—

Dane unsealed his tunic. He should, by rights, shed his space boots too. But perhaps he could use those to color his story. He thrust the blaster into hiding at his waist. A rip or two in his undertunic, a shallow cut from his bush knife allowed to bleed messily. He could not see himself to judge the general effect, but had to hope it was the right one.

His chance to test his acting powers came sooner than he had anticipated. Luckily he had climbed out of the hidden cove before he was spotted by the boy who came whistling along the path, a fishing pole over his shoulder, a basket swinging from his hand. Dane assumed an expression which he thought would suggest fatigue, pain, and bewilderment and lurched forward as if, in sighting the oncoming boy, he had also sighted hope.

“Help—!” Perhaps it was excitement which gave his utterance that convincing croak.

Rod and basket fell to the ground as the boy, after one astounded stare, ran forward.

“What’s the matter!” His eyes were on those space boots and he added a “sir” which had the ring of hero worship.

“Escape boat—” Dane waved toward the sea’s general direction. “Medic—must get to Medic—”

“Yes, sir,” the boy’s basic Terran sounded good. “Can you walk if I help you?”

Dane managed a weak nod, but contrived that he did not lean too heavily on his avidly helpful guide.

“The Medic’s my father, sir. We’re right down this slope—third house. And father hasn’t left—he’s supposed to go on a northern inspection tour today—”

Dane felt a stab of distaste for the role being forced upon him. When he had visualized the Medic he must abduct to serve the Queen in her need, he had not expected to have to kidnap a family man. Only the knowledge that he did have the extra suit, and that he had made the outward trip without dangerous exposure, bolstered up his determination to see the plan through.

When they came out at the end of the single long lane which tied the houses of the village together, Dane was puzzled to see the place so deserted. But, since it was not within his role of dazed sufferer to ask questions, he did not do so. It was his young guide who volunteered the information he wanted.

“Most everyone is out with the fleet. There’s a run of red-backs—”

Dane understood. Within recent times the “red-backs” of the north had become a desirable luxury item for Terran tables. If a school of them were to be found in the vicinity no wonder this village was now deserted as its fleet went out to garner in the elusive but highly succulent fish.

“In here, sir—” Dane found himself being led to a house on the right. “Are you in Trade—?”

He suppressed a start, shedding his uniform tunic had not done much in the way of disguise. It would be nice, he thought a little bitterly, if he could flash an I-S badge now to completely confuse the issue. But he answered with the partial truth and did not enlarge.

“Yes—”

The boy was flushed with excitement. “I’m trying for Trade Service Medic,” he confided. “Passed the Directive exam last month. But I still have to go up for Prelim psycho—”

Dane had a flash of memory. Not too many months before not the Prelim psycho, but the big machine at the Assignment Center had decided his own future arbitrarily, fitting him into the crew of the Solar Queen as the ship where his abilities, knowledge and potentialities could best work to the good of the Service. At the time he had resented, had even been slightly ashamed of being relegated to a Free Trading spacer while Artur Sands and other classmates from the Pool had walked off with Company assignments. Now he knew that he would not trade the smallest and most rusty bolt from the solar Queen for the newest scout ship in I-S or Combine registry. And this boy from the frontier village might be himself as he was five years earlier. Though he had never known a real home or family, scrapping into the Pool from one of the children’s Depots.

“Good luck!” He meant that and the boy’s flush deepened.

“Thank you, sir. Around here—Father’s treatment room has this other door—”

Dane allowed himself to be helped into the treatment room and sat down in a chair while the boy hurried off to locate the Medic. The Trader’s hand went to the butt of his concealed blaster. It was a job he had to do—one he had volunteered for—and there was no backing out. But his mouth had a wry twist as he drew out the blaster and made ready to point it at the inner door. Or—his mind leaped to another idea—could he get the Medic safely out of the village? A story about another man badly injured—perhaps pinned in the wreckage of an escape boat—He could try it. He thrust the blaster back inside his torn undertunic, hoping the bulge would pass unnoticed.

“My son says—”

Dane looked up. The man who came through the inner door was in early middle age, thin, wiry, with a hard, fined-down look about him. He could almost be Tau’s elder brother. He crossed the room with a brisk stride and came to stand over Dane, his hand reaching to pull aside the bloody cloth covering the Trader’s breast. But Dane fended off that examination.

“My partner,” he said. “Back there—pinned in—” he jerked his hand southward. “Needs help—”

The Medic frowned. “Most of the men are out with the fleet. Jorge,” he spoke to the boy who had followed him, “go and get Lex and Hartog. Here,” he tried to push Dane back into the chair as the Trader got up, “let me look at that cut—”

Dane shook his head. “No time now, sir. My partner’s hurt bad. Can you come?”

“Certainly.” The Medic reached for the emergency kit on the shelf behind him. “You able to make it?”

“Yes,” Dane was exultant. It was going to work! He could toll the Medic away from the village. Once out among the rocks on the shoreline he could pull the blaster and herd the man to the flitter. His luck was going to hold after all!


Chapter XV

MEDIC HOVAN REPORTS

Fortunately the path out of the straggling town was a twisted one and in a very short space they were hidden from view. Dane paused as if the pace was too much for an injured man. The Medic put out a steadying hand, only to drop it quickly when he saw the weapon which had appeared in Dane’s grip.

“What—?” His mouth snapped shut, his jaw tightened.

“You will march ahead of me,” Dane’s low voice was steady. “Beyond that rock spur to the left you’ll find a place where it is possible to climb down to sea level. Do it!”

“I suppose I shouldn’t ask why?”

“Not now. We haven’t much time. Get moving!”

The Medic mastered his surprise and without further protest obeyed orders. It was only when they were standing by the flitter and he saw the suits that his eyes widened and he said:

“The Big Burn!”

“Yes, and I’m desperate—”

“You must be—or mad—” The Medic stared at Dane for a long moment and then shook his head. “What is it? A plague ship?”

Dane bit his lip. The other was too astute. But he did not ask why or how he had been able to guess so shrewdly. Instead he gestured to the suit Ali had lashed beneath the seat in the flitter. “Get into that and be quick about it!”

The Medic rubbed his hand across his jaw. “I think that you might just be desperate enough to use that thing you’re brandishing about so melodramatically if I don’t,” he remarked in a calmly conversational tone.

“I won’t kill. But a blaster burn—”

“Can be pretty painful. Yes, I know that, young man. And,” suddenly he shrugged, put down his kit and started donning the suit. “I wouldn’t put it past you to knock me out and load me aboard if I did say no. All right—”

Suited, he took his place on the seat as Dane directed, and then the Trader followed the additional precaution of lashing the Medic’s metal encased arms to his body before he climbed into his own protective covering. Now they could only communicate by sight through the vision plates of their helmets.

Dane triggered the controls and they arose out of the sand and rock hollow just as a party of two men and a boy came hurrying along the top of the cliff—Jorge and the rescuers arriving too late. The flitter spiraled up into the sunlight and Dane wondered how long it would be before this outrage was reported to the nearest Plant Police base. But would any Police cruiser have the hardihood to follow him into the Big Burn? He hoped that the radiation would hold them back.

There was no navigation to be done. The flitter’s “memory” should deposit them at the Queen. Dane wondered at what his silent companion was now thinking. The Medic had accepted his kidnapping with such docility that the very ease of their departure began to bother Dane. Was the other expecting a trailer? Had exploration into the Big Burn from the seaside villages been more extensive than reported officially?

He stepped up the power of the flitter to the top notch and saw with some relief that the ground beneath them was now the rocky waste bordering the devastated area. The metal encased figure that shared his seat had not moved, but now the bubble head turned as if the Medic were intent upon the ground flowing beneath them.

The flicker of the counter began and Dane realized that nightfall would find them still air borne. But so far he had not been aware of any pursuit. Again he wished he had the use of a com—only here the radiation would blanket sound with that continuous roar.

Patches of the radiation vegetation showed now and something in the lines of the Medic’s tense figure suggested that these were new to him. Afternoon waned as the patches united, spread into the beginning of the jungle as the counter was once more an almost steady light. When evening closed in they were not caught in darkness—for below trees, looping vines, brush, had a pale, evil glow of their own, proclaiming their toxicity with bluish halos. Sometimes pockets of these made a core of light which pulsed, sending warning fingers at the flitter which sped across it.

The hour was close on midnight before Dane sighted the other light, the pink-red of which winked through the ghastly blue-white with a natural and comforting promise, even though it had been meant for an entirely different purpose. The Queen had earthed with her distress lights on and no one had remembered to snap them off. Now they acted as a beacon to draw the flitter to its berth.

Dane brought the stripped flyer down on the fused ground as close to the spot from which he had taken off as he could remember. Now—if those on the spacer would only move fast enough—!

But he need not have worried, his arrival had been anticipated. Above, the rounded side of the spacer bulged as the hatch opened. Lines swung down to fasten their magnetic clamps on the flitter. Then once more they were air borne, swinging up to be warped into the side of the ship. As the outer port of the flitter berth closed Dane reached over and pulled loose the lashing which immobilized his companion. The Medic stood up, a little awkwardly as might any man who wore space armor the first time.

The inner hatch now opened and Dane waved his captive into the small section which must serve them as a decontamination space. Free at last of the suits, they went through one more improvised hatch to the main corridor of the Queen where Rip and Ali stood waiting, their weary faces lighting as they saw the Medic.

It was the latter who spoke first. “This is a plague ship—”

Rip shook his head. “It is not, sir. And you’re the one who is going to help us prove that.”

The man leaned back against the wall, his face expressionless. “You take a rather tough way of trying to get help.”

“It was the only way left us. I’ll be frank,” Rip continued, “we’re Patrol Posted.”

The Medic’s shrewd eyes went from one drawn young face to the next. “You don’t look like desperate criminals,” was his comment. “This your full crew?”

“All the rest are your concern. That is—if you will take the job—” Rip’s shoulders slumped a little.

“You haven’t left me much choice, have you? If there is illness on board, I’m under the Oath—whether you are Patrol Posted or not. What’s the trouble?”

They got him down to Tau’s laboratory and told him their story. From a slight incredulity his expression changed to an alert interest and he demanded to see, first the patients and then the pests now immured in a deep freeze. Sometime in the middle of this, Dane, overcome by fatigue which was partly relief from tension, sought his cabin and the bunk from which he wearily disposed Sinbad, only to have the purring cat crawl back once more when he had lain down.

And when he awoke, renewed in body and spirit, it was in a new Queen, a ship in which hope and confidence now ruled.

“Hovan’s already got it!” Rip told him exultantly. “It’s that poison from the little devils’ claws right enough! A narcotic—produces some of the affects of deep sleep. In fact—it may have a medical use. He’s excited about it—”

“All right,” Dane waved aside information which under other circumstances, promising as it did a chance for future trade, would have engrossed him, to ask a question which at the moment seemed far more to the point. “Can he get our men back on their feet?”

A little of Rip’s exuberance faded. “Not right away. He’s given them all shots. But he thinks they’ll have to sleep it off.”

“And we have no idea how long that is going to take,” Ali contributed.

Time—for the first time in days Dane was struck by that—time! Because of his training a fact he had forgotten in the past weeks of worry now came to mind—their contract with the storm priests. Even if they were able to clear themselves of the plague charge, even if the rest of the crew were speedily restored to health, he was sure that they could not hope to return to Sargol with the promised cargo, the pay for which was already on board the Queen. They would have broken their pledge and there could be no hope of holding to their trading rights on that world—if they were not blacklisted for breaking contract into the bargain. I-S would be able to move in and clean up and probably they could never prove that the Company was behind their misfortunes—though the men of the Queen would always be convinced that that fact was the truth.

“We’re going to break contract—” he said aloud and that shook the other two, knocked some of their assurance out of them.

“How about that?” Rip asked Ali.

The acting-engineer nodded. “We have fuel enough to lift from here and maybe set down at Terraport—if we take it careful and cut vectors. We can’t lift from there without refueling—and of course the Patrol are going to sit on their hands while we do that—with us Posted! No, put out of your heads any plan for getting back to Sargol within the time limit. Thorson’s right—that way we’re flamed out!”

Rip slumped in his seat. “So the Eysies can take over after all?”

“As I see it,” Dane cut in, “let’s just take one thing at a time. We may have to argue a broken contract out before the Board. But first we have to get off the Posted hook with the Patrol. Have you any idea about how we are going to handle that?”

“Hovan’s on our side. In fact if we let him have the bugs to play with he’ll back us all the way. He can swear us a clean bill of health before the Medic Control Center.”

“How much will that count after we’ve broken all their regs?” Ali wanted to know. “If we surrender now we’re not going to have much chance, no matter what Hovan does or does not swear to. Hovan’s a frontier Medic—I won’t say that he’s not a member in good standing of their association—but he doesn’t have top star rating. And with the Eysies and the Patrol on our necks, we’ll need more than one medic’s word—”

But Rip looked from the pessimistic Kamil to Dane. Now he asked a question which was more than half statement.

“You’ve thought of something?”

“I’ve remembered something,” the Cargo-apprentice corrected. “Recall the trick Van pulled on Limbo when the Patrol was trying to ease us out of our rights there after they took over the outlaw hold?”

Ali was impatient. “He threatened to talk to the Video people and broadcast—tell everyone about the ships wrecked by the Forerunner installation and left lying about full of treasure. But what has that to do with us now—? We bargained away our rights on Limbo for the rest of Cam’s monopoly on Sargol—not that it’s done us much good—”

“The Video,” Dane fastened on the important point, “Van threatened publicity which would embarrass the Patrol and he was legally within his rights. We’re outside the law now—but publicity might help again. How many earth-side people know of the unwritten law about open war on plague ships? How many who aren’t spacemen know that we could be legally pushed into the sun and fried without any chance to prove we’re innocent of carrying a new disease? If we could talk loud and clear to the people at large maybe we’d have a chance for a real hearing—”

“Right from the Terraport broadcast station, I suppose?” Ali taunted.

“Why not?”

There was silence in the cabin as the other two chewed upon that and he broke it again:

“We set down here when it had never been done before.”

With one brown forefinger Rip traced some pattern known only to himself on the top of the table. Ali stared at the opposite wall as if it were a bank of machinery he must master.

“It just might be whirly enough to work—” Kamil commented softly. “Or maybe we’ve been spaced too long and the Whisperers have been chattering into our ears. What about it, Rip, could you set us down close enough to Center Block there?”

“We can try anything once. But we might crash the old girl bringing her in. There’s that apron between the Companies’ Launching cradles and the Center—. It’s clear there and we could give an E signal coming down which would make them stay rid of it. But I won’t try it except as a last resort.”

Dane noticed that after that discouraging statement Rip made straight for Jellico’s record tapes and routed out the one which dealt with Terraport and the landing instructions for that metropolis of the star ships. To land unbidden there would certainly bring them publicity—and to get the Video broadcast and tell their story would grant them not only world wide, but system wide hearing. News from Terraport was broadcast on every channel every hour of the day and night and not a single viewer could miss their appeal.

But first there was Hovan to be consulted. Would he be willing to back them with his professional knowledge and assurance? Or would their high-handed method of recruiting his services operate against them now? They decided to let Rip ask such questions of the Medic.

“So you’re going to set us down in the center of the big jump-off?” was his first comment, as the acting-Captain of the Queen stated their case. “Then you want me to fire my rockets to certify you are harmless. You don’t ask for very much, do you, son?”

Rip spread his hands. “I can understand how it looks to you, sir. We grabbed you and brought you here by force. We can’t make you testify for us if you decide not to—”

“Can’t you?” The Medic cocked an eyebrow at him. “What about this bully boy of yours with his little blaster? He could herd me right up to the telecast, couldn’t he? There’s a lot of persuasion in one of those nasty little arms. On the other hand, I’ve a son who’s set on taking out on one of these tin pots to go star hunting. If I handed you over to the Patrol he might make some remarks to me in private. You may be Posted, but you don’t look like very hardened criminals to me. It seems that you’ve been handed a bad situation and handled it as best you know. And I’m willing to ride along the rest of the way on your tail blast. Let me see how many pieces you land us in at Terraport and I’ll give you my final answer. If luck holds we may have a couple more of your crew present by that time, also—”

They had had no indication that the Queen had been located, that any posse hunting the kidnapped Medic had followed them into the Big Burn. And they could only hope that they would continue to remain unsighted as they upped-ship once more and cruised into a regular traffic lane for earthing at the port. It would be a chancy thing and Ali and Rip spent hours checking the mechanics of that flight, while Dane and the recovering Weeks worked with Hovan in an effort to restore the sleeping crew.

After three visits to the hold and the discovery that the Hoobat had uncovered no more of the pests, Dane caged the angry blue horror and returned it to its usual stand in Jellico’s cabin, certain that the ship was clean for Sinbad now confidently prowled the corridors and went into every cabin of storage space Dane opened for him.

And on the morning of the day they had planned for take-off, Hovan at last had a definite response to his treatment. Craig Tau roused, stared dazedly around, and asked a vague question. The fact he immediately relapsed once more into semi-coma did not discourage the other Medic. Progress had been made and he was now sure that he knew the proper treatment.

They strapped down at zero hour and blasted out of the weird green wilderness they had not dared to explore, lifting into the arch of the sky, depending upon Rip’s knowledge to put them safely down again.

Dane once more rode out the take-off at the com-unit, waiting for the blast of radiation born static to fade so that he could catch any broadcast.

“—turned back last night. The high level of radiation makes it almost certain that the outlaws could not have headed into the dangerous central portion. Search is now spreading north. Authorities are inclined to believe that this last outrage may be a clew to the vanished ‘Solar Queen,’ a plague ship, warned off and Patrol Posted after her crew plundered an E-Stat belonging to the Inter-Solar Corporation. Anyone having any information concerning this ship—or any strange spacer—report at once to the nearest Terrapolice or Patrol station. Do not take chances—report any contact at once to the nearest Terrapolice or Patrol station!”

“That’s putting it strongly,” Dane commented as he relayed the message. “Good as giving orders for us to be flamed down at sight—”

“Well, if we set down in the right spot,” Rip replied, “they can’t flame us out without blasting the larger part of Terraport field with us. And I don’t think they are going to do that in a hurry.”

Dane hoped Shannon was correct in that belief. It would be more chancy than landing at the E-Stat or in the Big Burn—to gauge it just right and put them down on the Terraport apron where they could not be flamed out without destroying too much, where their very position would give them a bargaining point, was going to be a top star job. If Rip could only pull it off!

He could not evaluate the niceties of that flight, he did not understand all Rip was doing. But he did know enough to remain quietly in his place, ask no questions, and await results with a dry mouth and a wildly beating heart. There came a moment when Rip glanced up at him, one hand poised over the control board. The pilot’s voice came tersely, thin and queer:

“Pray it out, Dane—here we go!”

Dane heard the shrill of a riding beam, so tearing he had to move his earphones. They must be almost on top of the control tower to get it like that! Rip was planning on a set down where the Queen would block things neatly. He brought his own fingers down on the E-E-Red button to give the last and most powerful warning. That, to be used only when a ship landing was out of control, should clear the ground below. They could only pray it would vacate the port they were still far from seeing.

“Make it a fin-point, Rip,” he couldn’t repress that one bit of advice. And was glad he had given it when he saw a ghost grin tug for a moment at Rip’s full lips.

“Good enough for a check-ride?”

They were riding her flaming jets down as they would on a strange world. Below the port must be wild. Dane counted off the seconds. Two—three—four—five—just a few more and they would be too low to intercept—without endangering innocent coasters and groundhuggers. When the last minute during which they were still vulnerable passed, he gave a sigh of relief. That was one more point on their side. In the earphones was a crackle of frantic questions, a gabble of orders screaming at him. Let them rave, they’d know soon enough what it was all about.


Chapter XVI

THE BATTLE OF THE VIDEO

Oddly enough, in spite of the tension which must have boiled within him, Rip brought them in with a perfect four fin-point landing—one which, under the circumstances, must win him the respect of master star-star pilots from the Rim. Though Dane doubted whether if they lost, that skill would bring Shannon anything but a long term in the moon mines. The actual jar of their landing contact was mostly absorbed by the webbing of their shock seats and they were on their feet, ready to move almost at once.

The next operation had been planned. Dane gave a glance at the screen. Ringed now about the Queen were the buildings of Terraport. Yes, any attempt to attack the ship would endanger too much of the permanent structure of the field itself. Rip had brought them down—not on the rocket scarred outer landing space—but on the concrete apron between the Assignment Center and the control tower—a smooth strip usually sacred to the parking of officials’ ground scooters. He speculated as to whether any of the latter had been converted to molten metal by the exhausts of the Queen’s descent.

Like the team they had come to be the four active members of the crew went into action. Ali and Weeks were waiting by an inner hatch, Medic Hovan with them. The Engineer-apprentice was bulky in a space suit, and two more of the unwieldy body coverings waited beside him for Rip and Dane. With fingers which were inclined to act like thumbs they were sealed into what would provide some protection against any blaster or sleep ray. Then with Hovan, conspicuously wearing no such armor, they climbed into one of the ship’s crawlers.

Weeks activated the outer hatch and the crane lines plucked the small vehicle out of the Queen, swinging it dizzily down to the blast scored apron.

“Make for the tower—” Rip’s voice was thin in the helmet coms.

Dane at the controls of the crawler pulled on as Ali cast off the lines which anchored them to the spacer.

Through the bubble helmet he could see the frenzied activity in the aroused port. An ant hill into which some idle investigator had thrust a stick and given it a turn or two was nothing compared with Terraport after the unorthodox arrival of the Solar Queen.

“Patrol mobile coming in on southeast vector,” Ali announced calmly. “Looks like she mounts a portable flamer on her nose—”

“So.” Dane changed direction, putting behind him a customs check point, aware as he ground by that stand, of a line of faces at its vision ports. Evasive action—and he’d have to get the top speed from the clumsy crawler.

“Police ‘copter over us—” that was Rip reporting.

Well, they couldn’t very well avoid that. But at the same time Dane was reasonably sure that its attack would not be an overt one—not with the unarmed, unprotected Hovan prominently displayed in their midst.

But there he was too sanguine. A muffled exclamation from Rip made him glance at the Medic beside him. Just in time to see Hovan slump limply forward, about to tumble from the crawler when Shannon caught him from behind. Dane was too familiar with the results of sleep rays to have any doubts as to what had happened.

The P-copter had sprayed them with its most harmless weapon. Only the suits, insulated to the best of their makers’ ability against most of the dangers of space, real and anticipated, had kept the three Traders from being overcome as well. Dane suspected that his own responses were a trifle sluggish, that while he had not succumbed to that attack, he had been slowed. But with Rip holding the unconscious Medic in his seat, Thorson continued to head the crawler for the tower and its promise of a system wide hearing for their appeal.

“There’s a P-mobile coming in ahead—”

Dane was irritated by that warning from Rip. He had already sighted that black and silver ground car himself. And he was only too keenly conscious of the nasty threat of the snub nosed weapon mounted on its hood, now pointed straight at the oncoming, too deliberate Traders’ crawler. Then he saw what he believed would be their only chance—to play once more the same type of trick as Rip had used to earth them safely.

“Get Hovan under cover,” he ordered. “I’m going to crash the tower door!”

Hasty movements answered that as the Medic’s limp body was thrust under the cover offered by the upper framework of the crawler. Luckily the machine had been built for heavy duty on rugged worlds where roadways were unknown. Dane was sure he could build up the power and speed necessary to take them into the lower floor of the tower—no matter if its door was now barred against them.

Whether his audacity daunted the P-mobile, or whether they held off from an all out attack because of Hovan, Dane could not guess. But he was glad for a few minutes of grace as he raced the protesting engine of the heavy machine to its last and greatest effort. The treads of the crawler bit on the steps leading up to the impressive entrance of the tower. There was a second or two before traction caught and then the driver’s heart snapped back into place as the machine tilted its nose up and headed straight for the portal.

They struck the closed doors with a shock which almost hurled them from their seats. But that engraved bronze expanse had not been cast to withstand a head-on blow from a heavy duty off-world vehicle and the leaves tore apart letting them into the wide hall beyond.

“Take Hovan and make for the riser!” For the second time it was Dane who gave the orders. “I have a blocking job to do here.” He expected every second to feel the bit of a police blaster somewhere along his shrinking body—could even a space suit protect him now?

At the far end of the corridor were the attendants and visitors, trapped in the building, who had fled in an attempt to find safety at the crashing entrance of the crawler. These flung themselves flat at the steady advance of the two space-suited Traders who supported the unconscious Medic between them, using the low-powered anti-grav units on their belts to take most of his weight so each had one hand free to hold a sleep rod. And they did not hesitate to use those weapons—spraying the rightful inhabitants of the tower until all lay unmoving.

Having seen that Ali and Rip appeared to have the situation in hand, Dane turned to his own self-appointed job. He jammed the machine on reverse, maneuvering it with an ease learned by practice on the rough terrain of Limbo, until the gate doors were pushed shut again. Then he swung the machine around so that its bulk would afford an effective bar to keep the door locked for some very precious moments to come. Short of using a flamer full power to cut their way in, no one was going to force an entrance now.

He climbed out of the machine, to discover, when he turned, that the trio from the Queen had disappeared—leaving all possible opposition asleep on the floor. Dane clanked on to join them, carrying in plated fingers their most important weapon to awake public opinion—an improvised cage in which was housed one of the pests from the cargo hold—the proof of their plague-free state which they intended Hovan to present, via the telecast, to the whole system.

Dane reached the shaft of the riser—to find the platform gone. Would either Rip or Ali have presence of mind enough to send it down to him on automatic?

“Rip—return the riser,” he spoke urgently into the throat mike of his helmet com.

“Keep your rockets straight,” Ali’s cool voice was in his earphones, “It’s on its way down. Did you remember to bring Exhibit A?”

Dane did not answer. For he was very much occupied with another problem. On the bronze doors he had been at such pains to seal shut there had come into being a round circle of dull red which was speedily changing into a coruscating incandescence. They had brought a flamer to bear! It would be a very short time now before the Police could come through. That riser—

Afraid of overbalancing in the bulky suit Dane did not lean forward to stare up into the shaft. But, as his uncertainty reached a fever pitch, the platform descended and he took two steps forward into temporary safety, still clutching the cage. At the first try the thick fingers of his gloved hand slipped from the lever and he hit it again, harder than he intended, so that he found himself being wafted upward with a speed which did not agree with a stomach, even one long accustomed to space flight. And he almost lost his balance when it came to a stop many floors above.

But he had not lost his wits. Before he stepped from the platform he set the dial on a point which would lift the riser to the top of the shaft and hold it there. That might trap the Traders on the broadcasting floor, but it would also insure them time before the forces of the law could reach them.

Dane located the rest of his party in the circular core chamber of the broadcasting section. He recognized a backdrop he had seen thousands of times behind the announcer who introduced the news-casts. In one corner Rip, his suit off, was working over the still relaxed form of the Medic. While Ali, a grim set to his mouth, was standing with a man who wore the insignia of a Com-tech.

“All set?” Rip looked up from his futile ministrations.

Dane put down the cage and began the business of unhooking his own protective covering. “They were burning through the outer doors of the entrance hall when I took off.”

“You’re not going to get away with this—” that was the Com-tech.

Ali smiled wearily, a stretch of lips in which there was little or no mirth. “Listen, my friend. Since I started to ride rockets I’ve been told I wasn’t going to get away with this or that. Why not be more original? Use what is between those outsize ears of yours. We fought our way in here—we landed at Terraport against orders—we’re Patrol Posted. Do you think that one man, one lone man, is going to keep us now from doing what we came to do? And don’t look around for any reinforcements. We sprayed both those rooms. You can run the emergency hook-up singlehanded and you’re going to. We’re Free Traders—Ha,” the man had lost some of his assurance as he stared from one drawn young face to another, “I see you begin to realize what that means. Out on the Rim we play rough, and we play for keeps. I know half a hundred ways to set you screaming in three minutes and at least ten of them will not even leave a mark on your skin! Now do we get Service—or don’t we?”

“You’ll go to the Chamber for this—!” snarled the tech.

“All right. But first we broadcast. Then maybe someday a ship that’s run into bad luck’ll have a straighter deal than we’ve had. You get on your post. And we’ll have the play back on—remember that. If you don’t give us a clear channel we’ll know it. How about it, Rip—how’s Hovan?”

Rip’s face was a mask of worry. “He must have had a full dose. I can’t bring him around.”

Was this the end of their bold bid? Let each or all of them go before the screen to plead their case, let them show the caged pest. But without the professional testimony of the Medic, the weight of an expert opinion on their side, they were licked. Well, sometimes luck did not ride a man’s fins all the way in.

But some stubborn core within Dane refused to let him believe that they had lost. He went over to the Medic huddled in a chair. To all appearances Hovan was deeply asleep, sunk in the semi-coma the sleep ray produced. And the frustrating thing was that the man himself could have supplied the counter to his condition, given them the instructions how to bring him around. How many hours away was a natural awaking? Long before that their hold on the station would be broken—they would be in the custody of either Police or Patrol.

“He’s sunk—” Dane voiced the belief which put an end to their hopes. But Ali did not seem concerned.

Kamil was standing with their captive, an odd expression on his handsome face as if he were striving to recall some dim memory. When he spoke it was to the Com-tech. “You have an HD OS here?”

The other registered surprise. “I think so—”

Ali made an abrupt gesture. “Make sure,” he ordered, following the man into another room. Dane looked to Rip for enlightenment.

“What in the Great Nebula is an HD OS?”

“I’m no engineer. It may be some gadget to get us out of here—”

“Such as a pair of wings?” Dane was inclined to be sarcastic. The memory of that incandescent circle on the door some twenty floors below stayed with him. Tempers of Police and Patrol were not going to be improved by fighting their way around or over the obstacles the Traders had arranged to delay them. If they caught up to the outlaws before the latter had their chance for an impartial hearing, the result was not going to be a happy one as far as the Queen’s men were concerned.

Ali appeared in the doorway. “Bring Hovan in here.” Together Rip and Dane carried the Medic into a smaller chamber where they found Ali and the tech busy lashing a small, lightweight tube chair to a machine which, to their untutored eyes, had the semblance of a collection of bars. Obeying instructions they seated Hovan in that chair, fastening him in, while the Medic continued to slumber peacefully. Uncomprehendingly Rip and Dane stepped back while, under Ali’s watchful eye, the Com-tech made adjustments and finally snapped some hidden switch.

Dane discovered that he dared not watch too closely what followed. Inured as he thought he was to the tricks of Hyperspace, to acceleration and anti-gravity, the oscillation of that swinging seat, the weird swaying of the half-recumbent figure, did things to his sight and to his sense of balance which seemed perilous in the extreme. But when the groan broke through the hum of Ali’s mysterious machine, all of them knew that the Engineer-apprentice had found the answer to their problem, that Hovan was waking.

The Medic was bleary-eyed and inclined to stagger when they freed him. And for several minutes he seemed unable to grasp either his surroundings or the train of events which had brought him there.

Long since the Police must have broken into the entrance corridor below. Perhaps they had by now secured a riser which would bring them up. Ali had forced the Com-tech to throw the emergency control which was designed to seal off from the outer world the entire unit in which they now were. But whether that protective device would continue to hold now, none of the three were certain. Time was running out fast.

Supporting the wobbling Hovan, they went back into the panel room and under Ali’s supervision the Com-tech took his place at the control board. Dane put the cage with the pest well to the fore on the table of the announcer and waited for Rip to take his place there with the trembling Medic. When Shannon did not move Dane glanced up in surprise—this was no time to hesitate. But he discovered that the attention of both his shipmates was now centered on him. Rip pointed to the seat.

“You’re the talk merchant, aren’t you?” the acting commander of the Queen asked crisply. “Now’s the time to shout the Lingo—”

They couldn’t mean—! But it was very evident that they did. Of course, a Cargo-master was supposed to be the spokesman of a ship. But that was in matters of trade. And how could he stand there and argue the case for the Queen? He was the newest joined, the greenest member of her crew. Already his mouth was dry and his nerves tense. But Dane didn’t know that none of that was revealed by his face or manner. The usual impassiveness which had masked his inner conflicts since his first days at the Pool served him now. And the others never noted the hesitation with which he approached the announcer’s place.

Dane had scarcely seated himself, one hand resting on the cage of the pest, before Ali brought down two fingers in the sharp sweep which signaled the Com-tech to duty. Far above them there was a whisper of sound which signified the opening of the play-back. They would be able to check on whether the broadcast was going out or not. Although Dane could see nothing of the system wide audience which he currently faced, he realized that the room and those in it were now visible on every tuned-in video set. Instead of the factual cast, the listeners were about to be treated to a melodrama which was as wild as their favorite romances. It only needed the break-in of the Patrol to complete the illusion of action-fiction—crime variety.

A second finger moved in his direction and Dane leaned forward. He faced only the folds of a wall wide curtain, but he must keep in mind that in truth there was a sea of faces before him, the faces of those whom he and Hovan, working together, must convince if he were to save the Queen and her crew.

He found his voice and it was steady and even, he might have been outlining some stowage problem for Van Rycke’s approval.

“People of Terra—”

Martian, Venusian, Asteroid colonist—inwardly they were still all Terran and on that point he would rest. He was a Terran appealing to his own kind.

“People of Terra, we come before you to ask justice—” from somewhere the words came easily, flowing from his lips to center on a patch of light ahead. And that “justice” rang with a kind of reassurance.


Chapter XVII

IN CUSTODY

“To those of you who do not travel the star trails our case may seem puzzling—” the words were coming easily. Dane gathered confidence as he spoke, intent on making those others out there know what it meant to be outlawed.

“We are Patrol Posted, outlawed as a plague ship,” he confessed frankly. “But this is our true story—”

Swiftly, with a flow of language he had not known he could command, Dane swung into the story of Sargol, of the pest they had carried away from that world. And at the proper moment he thrust a gloved hand into the cage and brought out the wriggling thing which struck vainly with its poisoned talons, holding it above the dark table so that those unseen watchers could witness the dramatic change of color which made it such a menace. Dane continued the story of the Queen’s ill-fated voyage—of their forced descent upon the E-Stat.

“Ask the truth of Inter-Solar,” he demanded of the audience beyond those walls. “We were no pirates. They will discover in their records the vouchers we left.” Then Dane described the weird hunt when, led by the Hoobat, they had finally found and isolated the menace, and their landing in the heart of the Big Burn. He followed that with his own quest for medical aid, the kidnapping of Hovan. At that point he turned to the Medic.

“This is Medic Hovan. He has consented to appear in our behalf and to testify to the truth—that the Solar Queen has not been stricken by some unknown plague, but infested with a living organism we now have under control—” For a suspenseful second or two he wondered if Hovan was going to make it. The man looked shaken and sick, as if the drastic awaking they had subjected him to had left him too dazed to pull himself together.

But out of some hidden reservoir of strength the Medic summoned the energy he needed. And his testimony was all they had hoped it would be. Though now and then he strayed into technical terms. But, Dane thought, their use only enhanced the authority of his description of what he had discovered on board the spacer and what he had done to counteract the power of the poison. When he had done Dane added a few last words.

“We have broken the law,” he admitted forthrightly, “but we were fighting in self-defense. All we ask now is the privilege of an impartial investigation, a chance to defend ourselves—such as any of you take for granted on Terra—before the courts of this planet—” But he was not to finish without interruption.

From the play-back over their heads another voice blared, breaking across his last words:

“Surrender! This is the Patrol. Surrender or take the consequences!” And that faint sighing which signaled their open contact with the outer world was cut off. The Com-tech turned away from the control board, a sneering half smile on his face.

“They’ve reached the circuit and cut you off. You’re done!”

Dane stared into the cage where the now almost invisible thing sat humped together. He had done his best—they had all done their best. He felt nothing but a vast fatigue, an overwhelming weariness, not so much of body, but of nerve and spirit too.

Rip broke the silence with a question aimed at the tech. “Can you signal below?”

“Going to give up?” The fellow brightened. “Yes, there’s an inter-com I can cut in.”

Rip stood up. He unbuckled the belt about his waist and laid it on the table—disarming himself. Without words Ali and Dane followed his example. They had played their hand—to prolong the struggle would mean nothing. The acting Captain of the Queen gave a last order:

“Tell them we are coming down unarmed—to surrender.” He paused in front of Hovan. “You’d better stay here. If there’s any trouble—no reason for you to be caught in the middle.”

Hovan nodded as the three left the room. Dane, remembering the trick he had pulled with the riser, made a comment:

“We may be marooned here—”

Ali shrugged. “Then we can just wait and let them collect us.” He yawned, his dark eyes set in smudges. “I don’t care if they’ll just let us sleep the clock around afterwards. D’you really think,” he addressed Rip, “that we’ve done ourselves any good?”

Rip neither denied nor confirmed. “We took our only chance. Now it’s up to them—” He pointed to the wall and the teeming world which lay beyond it.

Ali grinned wryly. “I note you left the what-you-call-it with Hovan.”

“He wanted one to experiment with,” Dane replied. “I thought he’d earned it.”

“And now here comes what we’ve earned—” Rip cut in as the hum of the riser came to their ears.

“Should we take to cover?” Ali’s mobile eyebrows underlined his demand. “The forces of law and order may erupt with blasters blazing.”

But Rip did not move. He faced the riser door squarely and, drawn by something in that stance of his, the other two stepped in on either side so that they fronted the dubious future as a united group. Whatever came now, the Queen’s men would meet it together.

In a way Ali was right. The four men who emerged all had their blasters or riot stun-rifles at ready, and the sights of those weapons were trained at the middles of the Free Traders. As Dane’s empty hands, palm out, went up on a line with his shoulders, he estimated the opposition. Two were in the silver and black of the Patrol, two wore the forest green of the Terrapolice. But they all looked like men with whom it was better not to play games.

And it was clear they were prepared to take no chances with the outlaws. In spite of the passiveness of the Queen’s men, their hands were locked behind them with force bars about their wrists. When a quick search revealed that the three were unarmed, they were herded onto the riser by two of their captors, while the other pair remained behind, presumably to uncover any damage they had done to the Tower installations.

The police did not speak except for a few terse words among themselves and a barked order to march, delivered to the prisoners. Very shortly they were in the entrance hall facing the wreckage of the crawler and doors through which a ragged gap had been burned. Ali viewed the scene with his usual detachment.

“Nice job,” he commended Dane’s enterprise. “They’ll have a moving—”

“Get going!” A heavy hand between his shoulder blades urged him on.

The Engineer-apprentice whirled, his eyes blazing. “Keep your hands to yourself! We aren’t mine fodder yet. I think that the little matter of a trial comes first—”

“You’re Posted,” the Patrolman was openly contemptuous.

Dane was chilled. For the first time that aspect of their predicament really registered. Posted outlaws might, within reason, be shot on sight without further recourse to the law. If that label stuck on the crew of the Queen, they had practically no chance at all. And when he saw that Ali was no longer inclined to retort, he knew that fact had dawned upon Kamil also. It would all depend upon how big an impression their broadcast had made. If public opinion veered to their side—then they could defend themselves legally. Otherwise the moon mines might be the best sentence they dare hope for.

They were pushed out into the brilliant sunlight. There stood the Queen, her meteor scarred side reflecting the light of her native sun. And ringed around her at a safe distance was what seemed to be a small mechanized army corps. The authorities were making very sure that no more rebels would burst from her interior.

Dane thought that they would be loaded into a mobile or ‘copter and taken away. But instead they were marched down, through the ranks of portable flamers, scramblers, and other equipment, to an open space where anyone on duty at the visa-screen within the control cabin of the spacer could see them. An officer of the Patrol, the sun making an eye-blinding flash of his lightning sword breast badge, stood behind a loud speaker. When he perceived that the three prisoners were present, he picked up a hand mike and spoke into it—his voice so being relayed over the field as clearly as it must be reaching Weeks inside the sealed freighter.

“You have five minutes to open hatch. Your men have been taken. Five minutes to open hatch and surrender.”

Ali chuckled. “And how does he think he’s going to enforce that?” he inquired of the air and incidentally of the guards now forming a square about the three. “He’ll need more than a flamer to unlatch the old girl if she doesn’t care for his offer.”

Privately Dane agreed with that. He hoped that Weeks would decide to hold out—at least until they had a better idea of what the future would be. No tool or weapon he saw in the assembly about them was forceful enough to penetrate the shell of the Queen. And there were sufficient supplies on board to keep Weeks and his charges going for at least a week. Since Tau had shown signs of coming out of his coma, it might even be that the crew of the ship would arouse to their own defense in that time. It all depended upon Weeks’ present decision.

No hatch yawned in the ship’s sleek sides. She might have been an inert derelict for all response to that demand. Dane’s confidence began to rise. Weeks had picked up the challenge, he would continue to baffle police and Patrol.

Just how long that stalemate would have lasted they were not to know for another player came on the board. Through the lines of besiegers Hovan, escorted by the Patrolmen, made his way up to the officer at the mike station. There was something in his air which suggested that he was about to give battle. And the conversation at the mike was relayed across the field, a fact of which they were not at once aware.

“There are sick men in there—” Hovan’s voice boomed out. “I demand the right to return to duty—”

“If and when they surrender they shall all be accorded necessary aid,” that was the officer. But he made no impression on the Medic from the frontier. Dane, by chance, had chosen better support than he had guessed.

“Pro Bono Publico—” Hovan invoked the battle cry of his own Service. “For the Public Good—”

“A plague ship—” the officer was beginning. Hovan waved that aside impatiently.

“Nonsense!” His voice scaled up across the field. “There is no plague aboard. I am willing to certify that before the Council. And if you refuse these men medical attention—which they need—I shall cite the case all the way to my Board!”

Dane drew a deep breath. That was taking off on their orbit! Not being one of the Queen’s crew, in fact having good reason to be angry over his treatment at their hands, Hovan’s present attitude would or should carry weight.

The Patrol officer who was not yet ready to concede all points had an answer: “If you are able to get on board—go.”

Hovan snatched the mike from the astonished officer. “Weeks!” His voice was imperative. “I’m coming aboard—alone!”

All eyes were on the ship and for a short period it would seem that Weeks did not trust the Medic. Then, high in her needle nose, one of the escape ports, not intended for use except in dire emergency opened and allowed a plastic link ladder to fall link by link.

Out of the corner of his eye Dane caught a flash of movement to his left. Manacled as he was he threw himself on the policeman who was aiming a stun rifle into the port. His shoulder struck the fellow waist high and his weight carried them both with a bruising crash to the concrete pavement as Rip shouted and hands clutched roughly at the now helpless Cargo-apprentice.

He was pulled to his feet, tasting the flat sweetness of blood where a flailing blow from the surprised and frightened policeman had cut his lip against his teeth. He spat red and glowered at the ring of angry men.

“Why don’t you kick him?” Ali inquired, a vast and blistering contempt sawtoothing his voice. “He’s got his hands cuffed so he’s fair game—”

“What’s going on here?” An officer broke through the ring. The policeman, on his feet once more, snatched up the rifle Dane’s attack had knocked out of his hold.

“Your boy here,” Ali was ready with an answer, “tried to find a target inside the hatch. Is this the usual way you conduct a truce, sir?”

He was answered by a glare and the rifleman was abruptly ordered to the rear. Dane, his head clearing, looked at the Queen. Hovan was climbing the ladder—he was within arm’s length of that half open hatch. The very fact that the Medic had managed to make his point stick was, in a faint way, encouraging. But the three were not allowed to enjoy that small victory for long. They were marched from the field, loaded into a mobile and taken to the city several miles away. It was the Patrol who held them in custody—not the Terrapolice. Dane was not sure whether that was to be reckoned favorable or not. As a Free Trader he had a grudging respect for the organization he had seen in action on Limbo.

Sometime later they found themselves, freed of the force bars, alone in a room which, bare walled as it was, did have a bench on which all three sank thankfully. Dane caught the warning gesture from Ali—they were under unseen observation and they must have a listening audience too—located somewhere in the maze of offices.

“They can’t make up their minds,” the Engineer-apprentice settled his shoulders against the wall. “Either we’re desperate criminals, or we’re heroes. They’re going to let time decide.”

“If we’re heroes,” Dane asked a little querulously, “what are we doing locked up here? I’d like a few earth-side comforts—beginning with a full meal—”

“No thumb printing, no psycho testing,” Rip mused. “Yes, they haven’t put us through the system yet.”

“And we decidedly aren’t the forgotten men. Wipe your face, child,” Ali said to Dane, “you’re still dribbling.”

The Cargo-apprentice smeared his hand across his chin and brought it away red and sticky. Luckily his teeth remained intact.

“We need Hovan to read them more law,” observed Kamil. “You should have medical attention.”

Dane dabbed at his mouth. He didn’t need all that solicitude, but he guessed that Ali was talking for the benefit of those who now kept them under surveillance.

“Speaking of Hovan—I wonder what became of that pest he was supposed to have under control. He didn’t bring the cage with him when he came out of the Tower, did he?” asked Rip.

“If it gets loose in that building,” Dane decided to give the powers who held them in custody something to think about, “they’ll have trouble. Practically invisible and poisonous. And maybe it can reproduce its kind, too. We don’t know anything about it—”

Ali laughed. “Such fun and games! Imagine a hundred of the dear creatures flitting in and out of the broadcasting section. And Captain Jellico has the only Hoobat on Terra! He can name his own terms for rounding up the plague. The whole place will be filled with sleepers before they’re through—”

Would that scrap of information send some Patrolmen hurtling off to the Tower in search of the caged creature? The thought of such an expedition was, in a small way, comforting to the captives.

An hour or so later they were fed, noiselessly and without visible attendants, when three trays slid through a slit in the wall at floor level. Rip’s nose wrinkled.

“Now I get the vector! We’re plague-ridden—keep aloof and watch to see if we break out in purple spots!”

Ali was lifting thermo lids from the containers and now he suddenly arose and bowed in the direction of the blank wall. “Many, many thanks,” he intoned. “Nothing but the best—a sub-commander’s rations at least! We shall deliver top star rating to this thoughtfulness when we are questioned by the powers that shine.”

It was good food. Dane ate cautiously because of his torn lip, but the whole adventure took on a more rose-colored hue. The lapse of time before they were put through the usual procedure followed with criminals, this excellent dinner—it was all promising. The Patrol could not yet be sure how they were to be handled.

“They’ve fed us,” Ali observed as he clanged the last dish back on a tray. “Now you’d think they’d bed us. I could do with several days—and nights—of bunk time right about now.”

But that hint was not taken up and they continued to sit on the bench as time limped by. According to Dane’s watch it must be night now, though the steady light in the windowless room did not vary. What had Hovan discovered in the Queen? Had he been able to rouse any of the crew? And was the spacer still inviolate, or had the Terrapolice and the Patrol managed to take her over?

He was so very tired, his eyes felt as if hot sand had been poured beneath the lids, his body ached. And at last he nodded into naps from which he awoke with jerks of the neck. Rip was frankly asleep, his shoulders and head resting against the wall, while Ali lounged with closed eyes. Though the Cargo-apprentice was sure that Kamil was more alert than his comrades, as if he waited for something he thought was soon to occur.

Dane dreamed. Once more he trod the reef rising out of Sargol’s shallow sea. But he held no weapon and beneath the surface of the water a gorp lurked. When he reached the break in the water-washed rock just ahead, the spidery horror would strike and against its attack he was defenseless. Yet he must march on for he had no control over his own actions!

“Wake up!” Ali’s hand was on his shoulder, shaking him back and forth with something close to gentleness. “Must you give an imitation of a space-whirly moonbat?”

“The gorp—” Dane came back to the present and flushed. He dreaded admitting to a nightmare—especially to Ali whose poise he had always found disconcerting.

“No gorps here. Nothing but—”

Kamil’s words were lost in the escape of metal against metal as a panel slide back in the wall. But no guard wearing the black and silver of the Patrol stepped through to summon them to trial. Van Rycke stood in the opening, half smiling at them with his customary sleepy benevolence.

“Well, well, and here’s our missing ones,” his purring voice was the most beautiful sound Dane thought he had ever heard.


Chapter XVIII

BARGAIN CONCLUDED

“—and so we landed here, sir,” Rip concluded his report in the matter-of-fact tone he might have used in describing a perfectly ordinary voyage, say between Terraport and Luna City, a run of no incident and dull cargo carrying.

The crew of the Solar Queen, save for Tau, were assembled in a room somewhere in the vastness of Patrol Headquarters. Since the room seemed a comfortable conference chamber, Dane thought that their status must now be on a higher level than that of Patrol Posted outlaws. But he was also sure that if they attempted to walk out of the building that effort would not be successful.

Van Rycke sat stolidly in his chosen seat, fingers of both hands laced across his substantial middle. He had sat as impassively as the Captain while Rip had outlined their adventures since they had all been stricken. Though the other listeners had betrayed interest in the story, the senior officers made no comments. Now Jellico turned to his Cargo-master.

“How about it, Van?”

“What’s done is done—”

Dane’s elation vanished as if ripped away by a Sargolian storm wind. The Cargo-master didn’t approve. So there must have been another way to achieve their ends—one the younger members of the crew had been too inexperienced or too dense to see—

“If we blasted off today we might just make cargo contract.”

Dane started. That was it! The point they had lost sight of during their struggles to get aid. There was no possible chance of upping the ship today—probably not for days to come—or ever, if the case went against them. So they had broken contract—and the Board would be down on them for that. Dane shivered inside. He could try to fight back against the Patrol—there had always been a slight feeling of rivalry between the Free Traders and the space police. But you couldn’t buck the Board—and keep your license and so have a means of staying in space. A broken contract could cut one off from the stars forever. Captain Jellico looked very bleak at that reminder.

“The Eysies will be all ready to step in. I’d like to know why they were so sure we had the plague on board—”

Van Rycke snorted. “I can supply you five answers to that—for one they may have known the affinity of those creatures for the wood, and it would be easy to predict as a result of our taking a load on board—or again they may have deliberately planted the things on us through the Salariki—But we can’t ever prove it. It remains that they are going to get for themselves the Sargolian contract unless—” He stopped short, staring straight ahead of him at the wall between Rip and Dane. And his assistant knew that Van was exploring a fresh idea. Van’s ideas were never to be despised and Jellico did not now disturb the Cargo-master with questions.

It was Rip who spoke next and directly to the Captain. “Do you know what they plan to do about us, sir?”

Captain Jellico grunted and there was a sardonic twist to his mouth as he replied, “It’s my opinion that they’re now busy adding up the list of crimes you four have committed—maybe they had to turn the big HG computer loose on the problem. The tally isn’t in yet. We gave them our automat flight record and that ought to give them more food for thought.”

Dane speculated as to what the experts would make of the mechanical record of the Queen’s past few weeks—the section dealing with their landing in the Big Burn ought to be a little surprising. Van Rycke got to his feet and marched to the door of the conference room. It was opened from without so quickly Dane was sure that they had been under constant surveillance.

“Trade business,” snapped the Cargo-master, “contract deal. Take me to a sealed com booth!”

Contracts might not be as sacred to the protective Service as they were to Trade, but Trade had its powers and since Van Rycke, an innocent bystander of the Queen’s troubles, could not legally be charged with any crime, he was escorted out of the room. But the door panel was sealed behind him, shutting in the rest with the unspoken warning that they were not free agents. Jellico leaned back in his chair and stretched. Long years of close friendship had taught him that his Cargo-master was to be trusted with not only the actual trading and cargo tending, but could also think them out of some of the tangles which could not be solved by his own direct action methods. Direct action had been applied to their present problem—now the rest was up to Van, and he was willing to delegate all responsibility.

But they were not left long to themselves. The door opened once more to admit star rank Patrolmen. None of the Free Traders arose. As members of another Service they considered themselves equals. And it was their private boast that the interests of Galactic civilization, as represented by the black and silver, often followed, not preceded the brown tunics into new quarters of the universe.

However, Rip, Ali, Dane, and Weeks answered as fully as they could the flood of questions which engulfed them. They explained in detail their visit to the E-Stat, the landing in the Big Burn, the kidnapping of Hovan. Dane’s stubborn feeling of being in the right grew in opposition to the questioning. Under the same set of circumstances how would that Commander—that Wing Officer—that Senior Scout—now all seated there—have acted? And every time they inferred that his part in the affair had been illegal he stiffened.

Sure, there had to be law and order out on the Rim—and doubly sure it had to cover and protect life on the softer planets of the inner systems. He wasn’t denying that on Limbo, he, for one, had been very glad to see the Patrol blast their way into the headquarters of the pirates holed up on that half-dead world. And he was never contemptuous of the men in the field. But like all Free Traders he was influenced by a belief that too often the laws as enforced by the Patrol favored the wealth and might of the Companies, that law could be twisted and the Patrol sent to push through actions which, though legal, were inherently unfair to those who had not the funds to fight it out in the far off Council courts. Just as now he was certain that the Eysies were bringing all the influence they had to bear here against the Queen’s men. And Inter-Solar had a lot of influence.

At the end of their ordeal their statements were read back to them from the recording tape and they thumb signed them. Were these statements or confessions, Dane mused. Perhaps in their honest reports they had just signed their way into the moon mines. Only there was no move to lead them out and book them. And when Weeks pressed his thumb at the bottom of the tape, Captain Jellico took a hand. He looked at his watch.

“It is now ten hours,” he observed. “My men need rest, and we all want food. Are you through with us?”

The Commander was spokesman for the other group. “You are to remain in quarantine, Captain. Your ship has not yet been passed as port-free. But you will be assigned quarters—”

Once again they were marched through blank halls to the other section of the sprawling Patrol Headquarters. No windows looked upon the outer world, but there were bunks and a small mess alcove. Ali, Dane, and Rip turned in, more interested in sleep than food. And the last thing the Cargo-apprentice remembered was seeing Jellico talking earnestly with Steen Wilcox as they both sipped steaming mugs of real Terran coffee.

But with twelve hours of sleep behind them the three were less contented in confinement. No one had come near them and Van Rycke had not returned. Which fact the crew clung to as a ray of hope. Somewhere the Cargo-master must be fighting their battle. And all Van’s vast store of Trade knowledge, all his knack of cutting corners and driving a shrewd bargain, enlisted on their behalf, must win them some concessions.

Medic Tau came in, bringing Hovan with him. Both looked tired but triumphant. And their report was a shot in the arm for the now uneasy Traders.

“We’ve rammed it down their throats,” Tau announced. “They’re willing to admit that it was those poison bugs and not a plague. Incidentally,” he grinned at Jellico and then looked around expectantly, “where’s Van? This comes in his department. We’re going to cash in on those the kids dumped in the deep freeze. Terra-Lab is bidding on them. I said to see Van—he can arrange the best deal for us. Where is he?”

“Gone to see about our contract,” Jellico reported. “What’s the news about our status now?”

“Well, they’ve got to wipe out the plague ship listing. Also—we’re big news. There’re about twenty video men rocketing around out in the offices trying to get in and have us do some spot broadcasts. Seems that the children here,” he jerked his thumb at the three apprentices, “started something. An inter-solar invasion couldn’t be bigger news! Human interest by the tankful. I’ve been on Video twice and they’re trying to sign up Hovan almost steady—”

The Medic from the frontier nodded. “Wanted me to appear on a three week schedule,” he chuckled. “I was asked to come in on ‘Our Heroes of the Starlines’ and two Quiz programs. As for you, you young criminal,” he swung to Dane, “you’re going to be fair game for about three networks. It seems you transmit well,” he uttered the last as if it were an accusation and Dane squirmed. “Anyway you did something with your crazy stunt. And, Captain, three men want to buy your Hoobat. I gather they are planning a showing of how it captures those pests. So be prepared—”

Dane tried to visualize a scene in which he shared top billing with Queex and shuddered. All he wanted now was to get free of Terra for a nice, quiet, uncomplicated world where problems could be settled with a sleep rod or a blaster and the Video screen was unknown.

Having heard of what awaited them without, the men of the Queen were more content to be incarcerated in the quarantine section. But as time wore on and the Cargo-master did not return, their anxieties awoke. They were fairly sure by now that any penalty the Patrol or the Terrapolice would impose would not be too drastic. But a broken contract was another and more serious affair—a matter which might ground them more effectively than any rule of the law enforcement bodies. And Jellico took to pacing the room, while Tang and Wilcox who had started a game of four dimensional chess made countless errors of move, and Stotz glared moodily at the wall, apparently too sunk in his own gloomy thoughts to rise from the mess table in the alcove.

Though time had ceased to have much meaning for them except as an irritating reminder of the now sure failure of their Sargolian venture, they marked the hours into a second full day of detention before Van Rycke finally put in appearance. The Cargo-master was plainly tired, but he showed no signs of discomposure. In fact as he came in he was humming what he fondly imagined was a popular tune.

Jellico asked no questions, he merely regarded his trusted officer with a quizzically raised eyebrow. But the others drew around. It was so apparent that Van Rycke was pleased with himself. Which could only mean that in some fantastic way he had managed to bring their venture down in a full fin landing, that somehow he had argued the Queen out of danger into a position where he could control the situation.

He halted just within the doorway and eyed Dane, Ali, and Rip with mock severity. “You’re baaaad boys,” he told them with a shake of the head and a drawl of the adjective. “You’ve been demoted ten files each on the list.”

Which must put him on the bottom rung once more, Dane calculated swiftly. Or even below—though he didn’t see how he could fall beneath the rank he held at assignment. However, he found the news heartening instead of discouraging. Compared to a bleak sentence at the moon mines such demotion was absolutely nothing and he knew that Van Rycke was breaking the worst news first.

“You also forfeit all pay for this voyage,” the Cargo-master was continuing. But Jellico broke in.

“Board fine?”

At the Cargo-master’s nod, Jellico added. “Ship pays that.”

“So I told them,” Van Rycke agreed. “The Queen’s warned off Terra for ten solar years—”

They could take that, too. Other Free Traders got back to their home ports perhaps once in a quarter century. It was so much less than they had expected that the sentence was greeted with a concentrated sigh of relief.

“No earth-side leave—”

All right—no leave. They were not, after their late experiences so entranced with Terraport that they wanted to linger in its environs any longer than they had to.

“We lose the Sargol contract—”

That did hurt. But they had resigned themselves to it since the hour when they had realized that they could not make it back to the perfumed planet.

“To Inter-Solar?” Wilcox asked the important question.

Van Rycke was smiling broadly, as if the loss he had just announced was in some way a gain. “No—to Combine!”

“Combine?” the Captain echoed and his puzzlement was duplicated around the circle. How did Inter-Solar’s principal rival come into it?

“We’ve made a deal with Combine,” Van Rycke informed them. “I wasn’t going to let I-S cash in on our loss. So I went to Vickers at Combine and told him the situation. He understands that we were in solid with the Salariki and that the Eysies are not. And a chance to point a blaster at I-S’s tail is just what he has been waiting for. The shipment will go out to the storm priests tomorrow on a light cruiser—it’ll make it on time.”

Yes, a light cruiser, one of the fast ships maintained by the big Companies, could make the transition to Sargol with a slight margin to spare. Stotz nodded his approval at this practical solution.

“I’m going with it—” That did jerk them all up short. For Van Rycke to leave the Queen—that was as unthinkable as if Captain Jellico had suddenly announced that he was about to retire and become a kelp farmer. “Just for the one trip,” the Cargo-master hastened to assure them. “I smooth their vector with the storm priests and hand over so the Eysies will be frozen out—”

Captain Jellico interrupted at that point. “D’you mean that Combine is buying us out—not just taking over? What kind of a deal—”

But Van Rycke, his smile a brilliant stretch across his plump face, was nodding in agreement. “They’re taking over our contract and our place with the Salariki.”

“In return for what?” Steen Wilcox asked for them all.

“For twenty-five thousand credits and a mail run between Xecho and Trewsworld—frontier planets. They’re far enough from Terra to get around the exile ruling. The Patrol will escort us out and see that we get down to work like good little space men. We’ll have two years of a nice, quiet run on regular pay. Then, when all the powers that shine have forgotten about us, we can cut in on the trade routes again.”

“And the pay?” “First or second class mail?” “When do we start?”

“Standard pay on the completion of each run—Board rates,” he made replies in order. “First, second and third class mail—anything that bears the government seal and out in those quarters it is apt to be anything! And you start as soon as you can get to Xecho and relieve the Combine scout which has been holding down the run.”

“While you go to Sargol—” commented Jellico.

“While I make one voyage to Sargol. You can spare me,” he dropped one of his big hands on Dane’s shoulder and gave the flesh beneath it a quick squeeze. “Seeing as how our juniors helped pull us out of this last mix-up we can trust them about an inch farther than we did before. Anyway—Cargo-master on a mail run is more or less a thumb-twiddling job at the best. And you can trust Thorson on stowage—that’s one thing he does know.” Which dubious ending left Dane wondering as to whether he had been complimented or warned. “I’ll be on board again before you know it—the Combine will ship me out to Trewsworld on your second trip across and I’ll join ship there. For once we won’t have to worry for awhile. Nothing can happen on a mail run.” He shook his head at the three youngest members of the crew. “You’re in for a very dull time—and it will serve you right. Give you a chance to learn your jobs so that when you come up for reassignment you can pick up some of those files you were just demoted. Now,” he started briskly for the door, “I’ll tranship to the Combine cruiser. I take it that you don’t want to meet the Video people?”

At their hasty agreement to that, he laughed. “Well, the Patrol doesn’t want the Video spouting about ‘high-handed official news suppression’ so about an hour or so from now you’ll be let out the back way. They put the Queen in a cradle and a field scooter will take you to her. You’ll find her serviced for a take-off to Luna City. You can refit there for deep space. Frankly the sooner you get off-world the happier all ranks are going to be—both here and on the Board. It will be better for us to walk softly for a while and let them forget that the Solar Queen and her crazy crew exists. Separately and together you’ve managed to break—or at least bend—half the laws in the books and they’d like to have us out of their minds.”

Captain Jellico stood up. “They aren’t any more anxious to see us go than we are to get out of here. You’ve pulled it off for us again, Van, and we’re lucky to get out of it this easy—”

Van Rycke rolled his eyes ceilingward. “You’ll never know how lucky! Be glad Combine hates the space I-S blasts through. We were able to use that to our advantage. Get the big fellows at each others’ throats and they’ll stop annoying us—simple proposition but it works. Anyway we’re set in blessed and peaceful obscurity now. Thank the Spirit of Free Space there’s practically no trouble one can get into on a safe and sane mail route!”

But Cargo-master Van Rycke, in spite of knowing the Solar Queen and the temper of her crew, was exceedingly over-optimistic when he made that emphatic statement.

The End.

If you enjoyed this, you might find other pleasures in my Short Story Index here…

Fictional Stories

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

To go to the MAIN Index;

Master Index

.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE .
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Please kindly help me out in this effort. There is a lot of effort that goes into this disclosure. I could use all the financial support that anyone could provide. Thank you very much.

[wp_paypal_payment]

Oh, and for “shits and giggles”, a fellow has been pestering me to provide American-level leagalese to these postings. He’s afraid that the American Federal Police (I think that they are called the DHS) will bust down his door and send him to prison for reading something without attribution and licensing. Well, we don’t want that, now do we?

So here’s the “fine print” for you’se American readers can read without having a fucking cow in the process…


Attribution and Licensing

 
Creating the works from public domain print editions means that no one owns a United States copyright in these works, so the Foundation (and you!) can copy and distribute it in the United States without permission and without paying copyright royalties. Special rules, set forth in the General Terms of Use part of this license, apply to copying and distributing Project Gutenberg-tm electronic works to protect the PROJECT GUTENBERG-tm concept and trademark. Project Gutenberg is a registered trademark, and may not be used if you charge for the eBooks, unless you receive specific permission. If you do not charge anything for copies of this eBook, complying with the rules is very easy. You may use this eBook for nearly any purpose such as creation of derivative works, reports, performances and research. They may be modified and printed and given away--you may do practically ANYTHING with public domain eBooks. Redistribution is subject to the trademark license, especially commercial redistribution. *** START: FULL LICENSE *** THE FULL PROJECT GUTENBERG LICENSE PLEASE READ THIS BEFORE YOU DISTRIBUTE OR USE THIS WORK To protect the Project Gutenberg-tm mission of promoting the free distribution of electronic works, by using or distributing this work (or any other work associated in any way with the phrase "Project Gutenberg"), you agree to comply with all the terms of the Full Project Gutenberg-tm License (available with this file or online at http://gutenberg.net/license). Section 1. General Terms of Use and Redistributing Project Gutenberg-tm electronic works 1.A. By reading or using any part of this Project Gutenberg-tm electronic work, you indicate that you have read, understand, agree to and accept all the terms of this license and intellectual property (trademark/copyright) agreement. If you do not agree to abide by all the terms of this agreement, you must cease using and return or destroy all copies of Project Gutenberg-tm electronic works in your possession. If you paid a fee for obtaining a copy of or access to a Project Gutenberg-tm electronic work and you do not agree to be bound by the terms of this agreement, you may obtain a refund from the person or entity to whom you paid the fee as set forth in paragraph 1.E.8. 1.B. "Project Gutenberg" is a registered trademark. It may only be used on or associated in any way with an electronic work by people who agree to be bound by the terms of this agreement. There are a few things that you can do with most Project Gutenberg-tm electronic works even without complying with the full terms of this agreement. See paragraph 1.C below. There are a lot of things you can do with Project Gutenberg-tm electronic works if you follow the terms of this agreement and help preserve free future access to Project Gutenberg-tm electronic works. See paragraph 1.E below. 1.C. The Project Gutenberg Literary Archive Foundation ("the Foundation" or PGLAF), owns a compilation copyright in the collection of Project Gutenberg-tm electronic works. Nearly all the individual works in the collection are in the public domain in the United States. If an individual work is in the public domain in the United States and you are located in the United States, we do not claim a right to prevent you from copying, distributing, performing, displaying or creating derivative works based on the work as long as all references to Project Gutenberg are removed. Of course, we hope that you will support the Project Gutenberg-tm mission of promoting free access to electronic works by freely sharing Project Gutenberg-tm works in compliance with the terms of this agreement for keeping the Project Gutenberg-tm name associated with the work. You can easily comply with the terms of this agreement by keeping this work in the same format with its attached full Project Gutenberg-tm License when you share it without charge with others. 1.D. The copyright laws of the place where you are located also govern what you can do with this work. Copyright laws in most countries are in a constant state of change. If you are outside the United States, check the laws of your country in addition to the terms of this agreement before downloading, copying, displaying, performing, distributing or creating derivative works based on this work or any other Project Gutenberg-tm work. The Foundation makes no representations concerning the copyright status of any work in any country outside the United States. 1.E. Unless you have removed all references to Project Gutenberg: 1.E.1. The following sentence, with active links to, or other immediate access to, the full Project Gutenberg-tm License must appear prominently whenever any copy of a Project Gutenberg-tm work (any work on which the phrase "Project Gutenberg" appears, or with which the phrase "Project Gutenberg" is associated) is accessed, displayed, performed, viewed, copied or distributed: This eBook is for the use of anyone anywhere at no cost and with almost no restrictions whatsoever. You may copy it, give it away or re-use it under the terms of the Project Gutenberg License included with this eBook or online at www.gutenberg.net 1.E.2. If an individual Project Gutenberg-tm electronic work is derived from the public domain (does not contain a notice indicating that it is posted with permission of the copyright holder), the work can be copied and distributed to anyone in the United States without paying any fees or charges. If you are redistributing or providing access to a work with the phrase "Project Gutenberg" associated with or appearing on the work, you must comply either with the requirements of paragraphs 1.E.1 through 1.E.7 or obtain permission for the use of the work and the Project Gutenberg-tm trademark as set forth in paragraphs 1.E.8 or 1.E.9. 1.E.3. If an individual Project Gutenberg-tm electronic work is posted with the permission of the copyright holder, your use and distribution must comply with both paragraphs 1.E.1 through 1.E.7 and any additional terms imposed by the copyright holder. Additional terms will be linked to the Project Gutenberg-tm License for all works posted with the permission of the copyright holder found at the beginning of this work. 1.E.4. Do not unlink or detach or remove the full Project Gutenberg-tm License terms from this work, or any files containing a part of this work or any other work associated with Project Gutenberg-tm. 1.E.5. Do not copy, display, perform, distribute or redistribute this electronic work, or any part of this electronic work, without prominently displaying the sentence set forth in paragraph 1.E.1 with active links or immediate access to the full terms of the Project Gutenberg-tm License. 1.E.6. You may convert to and distribute this work in any binary, compressed, marked up, nonproprietary or proprietary form, including any word processing or hypertext form. However, if you provide access to or distribute copies of a Project Gutenberg-tm work in a format other than "Plain Vanilla ASCII" or other format used in the official version posted on the official Project Gutenberg-tm web site (www.gutenberg.net), you must, at no additional cost, fee or expense to the user, provide a copy, a means of exporting a copy, or a means of obtaining a copy upon request, of the work in its original "Plain Vanilla ASCII" or other form. Any alternate format must include the full Project Gutenberg-tm License as specified in paragraph 1.E.1. 1.E.7. Do not charge a fee for access to, viewing, displaying, performing, copying or distributing any Project Gutenberg-tm works unless you comply with paragraph 1.E.8 or 1.E.9. 1.E.8. You may charge a reasonable fee for copies of or providing access to or distributing Project Gutenberg-tm electronic works provided that - You pay a royalty fee of 20% of the gross profits you derive from the use of Project Gutenberg-tm works calculated using the method you already use to calculate your applicable taxes. The fee is owed to the owner of the Project Gutenberg-tm trademark, but he has agreed to donate royalties under this paragraph to the Project Gutenberg Literary Archive Foundation. Royalty payments must be paid within 60 days following each date on which you prepare (or are legally required to prepare) your periodic tax returns. Royalty payments should be clearly marked as such and sent to the Project Gutenberg Literary Archive Foundation at the address specified in Section 4, "Information about donations to the Project Gutenberg Literary Archive Foundation." - You provide a full refund of any money paid by a user who notifies you in writing (or by e-mail) within 30 days of receipt that s/he does not agree to the terms of the full Project Gutenberg-tm License. You must require such a user to return or destroy all copies of the works possessed in a physical medium and discontinue all use of and all access to other copies of Project Gutenberg-tm works. - You provide, in accordance with paragraph 1.F.3, a full refund of any money paid for a work or a replacement copy, if a defect in the electronic work is discovered and reported to you within 90 days of receipt of the work. - You comply with all other terms of this agreement for free distribution of Project Gutenberg-tm works. 1.E.9. If you wish to charge a fee or distribute a Project Gutenberg-tm electronic work or group of works on different terms than are set forth in this agreement, you must obtain permission in writing from both the Project Gutenberg Literary Archive Foundation and Michael Hart, the owner of the Project Gutenberg-tm trademark. Contact the Foundation as set forth in Section 3 below. 1.F. 1.F.1. Project Gutenberg volunteers and employees expend considerable effort to identify, do copyright research on, transcribe and proofread public domain works in creating the Project Gutenberg-tm collection. Despite these efforts, Project Gutenberg-tm electronic works, and the medium on which they may be stored, may contain "Defects," such as, but not limited to, incomplete, inaccurate or corrupt data, transcription errors, a copyright or other intellectual property infringement, a defective or damaged disk or other medium, a computer virus, or computer codes that damage or cannot be read by your equipment. 1.F.2. LIMITED WARRANTY, DISCLAIMER OF DAMAGES - Except for the "Right of Replacement or Refund" described in paragraph 1.F.3, the Project Gutenberg Literary Archive Foundation, the owner of the Project Gutenberg-tm trademark, and any other party distributing a Project Gutenberg-tm electronic work under this agreement, disclaim all liability to you for damages, costs and expenses, including legal fees. YOU AGREE THAT YOU HAVE NO REMEDIES FOR NEGLIGENCE, STRICT LIABILITY, BREACH OF WARRANTY OR BREACH OF CONTRACT EXCEPT THOSE PROVIDED IN PARAGRAPH F3. YOU AGREE THAT THE FOUNDATION, THE TRADEMARK OWNER, AND ANY DISTRIBUTOR UNDER THIS AGREEMENT WILL NOT BE LIABLE TO YOU FOR ACTUAL, DIRECT, INDIRECT, CONSEQUENTIAL, PUNITIVE OR INCIDENTAL DAMAGES EVEN IF YOU GIVE NOTICE OF THE POSSIBILITY OF SUCH DAMAGE. 1.F.3. LIMITED RIGHT OF REPLACEMENT OR REFUND - If you discover a defect in this electronic work within 90 days of receiving it, you can receive a refund of the money (if any) you paid for it by sending a written explanation to the person you received the work from. If you received the work on a physical medium, you must return the medium with your written explanation. The person or entity that provided you with the defective work may elect to provide a replacement copy in lieu of a refund. If you received the work electronically, the person or entity providing it to you may choose to give you a second opportunity to receive the work electronically in lieu of a refund. If the second copy is also defective, you may demand a refund in writing without further opportunities to fix the problem. 1.F.4. Except for the limited right of replacement or refund set forth in paragraph 1.F.3, this work is provided to you 'AS-IS', WITH NO OTHER WARRANTIES OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTIBILITY OR FITNESS FOR ANY PURPOSE. 1.F.5. Some states do not allow disclaimers of certain implied warranties or the exclusion or limitation of certain types of damages. If any disclaimer or limitation set forth in this agreement violates the law of the state applicable to this agreement, the agreement shall be interpreted to make the maximum disclaimer or limitation permitted by the applicable state law. The invalidity or unenforceability of any provision of this agreement shall not void the remaining provisions. 1.F.6. INDEMNITY - You agree to indemnify and hold the Foundation, the trademark owner, any agent or employee of the Foundation, anyone providing copies of Project Gutenberg-tm electronic works in accordance with this agreement, and any volunteers associated with the production, promotion and distribution of Project Gutenberg-tm electronic works, harmless from all liability, costs and expenses, including legal fees, that arise directly or indirectly from any of the following which you do or cause to occur: (a) distribution of this or any Project Gutenberg-tm work, (b) alteration, modification, or additions or deletions to any Project Gutenberg-tm work, and (c) any Defect you cause. Section 2. Information about the Mission of Project Gutenberg-tm Project Gutenberg-tm is synonymous with the free distribution of electronic works in formats readable by the widest variety of computers including obsolete, old, middle-aged and new computers. It exists because of the efforts of hundreds of volunteers and donations from people in all walks of life. Volunteers and financial support to provide volunteers with the assistance they need, is critical to reaching Project Gutenberg-tm's goals and ensuring that the Project Gutenberg-tm collection will remain freely available for generations to come. In 2001, the Project Gutenberg Literary Archive Foundation was created to provide a secure and permanent future for Project Gutenberg-tm and future generations. To learn more about the Project Gutenberg Literary Archive Foundation and how your efforts and donations can help, see Sections 3 and 4 and the Foundation web page at http://www.pglaf.org. Section 3. Information about the Project Gutenberg Literary Archive Foundation The Project Gutenberg Literary Archive Foundation is a non profit 501(c)(3) educational corporation organized under the laws of the state of Mississippi and granted tax exempt status by the Internal Revenue Service. The Foundation's EIN or federal tax identification number is 64-6221541. Its 501(c)(3) letter is posted at http://pglaf.org/fundraising. Contributions to the Project Gutenberg Literary Archive Foundation are tax deductible to the full extent permitted by U.S. federal laws and your state's laws. The Foundation's principal office is located at 4557 Melan Dr. S. Fairbanks, AK, 99712., but its volunteers and employees are scattered throughout numerous locations. Its business office is located at 809 North 1500 West, Salt Lake City, UT 84116, (801) 596-1887, email business@pglaf.org. Email contact links and up to date contact information can be found at the Foundation's web site and official page at http://pglaf.org For additional contact information: Dr. Gregory B. Newby Chief Executive and Director gbnewby@pglaf.org Section 4. Information about Donations to the Project Gutenberg Literary Archive Foundation Project Gutenberg-tm depends upon and cannot survive without wide spread public support and donations to carry out its mission of increasing the number of public domain and licensed works that can be freely distributed in machine readable form accessible by the widest array of equipment including outdated equipment. Many small donations ($1 to $5,000) are particularly important to maintaining tax exempt status with the IRS. The Foundation is committed to complying with the laws regulating charities and charitable donations in all 50 states of the United States. Compliance requirements are not uniform and it takes a considerable effort, much paperwork and many fees to meet and keep up with these requirements. We do not solicit donations in locations where we have not received written confirmation of compliance. To SEND DONATIONS or determine the status of compliance for any particular state visit http://pglaf.org While we cannot and do not solicit contributions from states where we have not met the solicitation requirements, we know of no prohibition against accepting unsolicited donations from donors in such states who approach us with offers to donate. International donations are gratefully accepted, but we cannot make any statements concerning tax treatment of donations received from outside the United States. U.S. laws alone swamp our small staff. Please check the Project Gutenberg Web pages for current donation methods and addresses. Donations are accepted in a number of other ways including including checks, online payments and credit card donations. To donate, please visit: http://pglaf.org/donate Section 5. General Information About Project Gutenberg-tm electronic works. Professor Michael S. Hart is the originator of the Project Gutenberg-tm concept of a library of electronic works that could be freely shared with anyone. For thirty years, he produced and distributed Project Gutenberg-tm eBooks with only a loose network of volunteer support. Project Gutenberg-tm eBooks are often created from several printed editions, all of which are confirmed as Public Domain in the U.S. unless a copyright notice is included. Thus, we do not necessarily keep eBooks in compliance with any particular paper edition. Most people start at our Web site which has the main PG search facility: http://www.gutenberg.net This Web site includes information about Project Gutenberg-tm, including how to make donations to the Project Gutenberg Literary Archive Foundation, how to help produce our new eBooks, and how to subscribe to our email newsletter to hear about new eBooks. *** END: FULL LICENSE ***


Some hilarious quotes from Robert Mugabe

Hilarious with some real wisdom in it!

That being said, we shouldn’t be distracted away from his other deeds. Overall, this man is often referred to as pure evil. But, then again, I don’t really know. I do not know him personally. I can’t imagine him being any worse than Hillary Clinton. Can you?

The only white man you can trust is a dead white man.
                                                                            
-Robert Mugabe     

Anyways, these quotes are golden. I guess that even the most reprehensible person can come up with one-liners, eh?

Who is Robert Mugabe?

Robert Mugabe has been the president of Zimbabwe since 1987. He attained his job after leading bloody guerrilla warfare against the white colonial rulers of what was then Rhodesia.

Mugabe lead the Zimbabwe African National Union – Patriotic Front, a socialist party founded in 1987. Mugabe and his party are also heavily nationalist with left-wing ideology, favoring land seizures from white Zimbabweans while claiming that doing so counters the nation’s imperialist past.

Mugabe holds seven degrees from South Africa’s Fort Hare University. In 1963 he was secretary general of the Maoist Zimbabwe African National Union.

In 1964, he was sentenced to 10 years in prison for “subversive speech” against the Rhodesian government. Once released, he fled to Mozambique to launch a guerrilla war for independence.

He returned to Rhodesia 1979 and became prime minister in 1980; the next month, the newly independent country was renamed Zimbabwe. Mugabe assumed the presidency in 1987, with the prime minister role being abolished.

Under his rule, annual inflation has soared to 100,000%.

Hilarious quotes from the ex-President of Zimbabwe:

  • When your clothes are made of cassava leaves, you don’t take a goat as a friend.
  • If you are ugly, you are ugly. Stop talking about inner beauty because men don’t walk around with X-ray machines to see inner beauty.
  • When one’s goat gets missing, the aroma of a neighbour’s soup gets suspicious.
  • Treat every part of your towel nicely because the part that wipes your buttocks today will wipe your face tomorrow.
  • Sometimes you look back at girls you spent money on, rather than send it to your mum, and you realize witchcraft is real.
  • Cigarette is tobacco rolled in a piece of paper with fire on one end and a fool on the other end.
  • Racism will never end as long as people still use black color for bad luck and white for peace… But I don’t care as long as I still use the white tissue paper to wipe my ass!
  • No African girl will choose six pack over six cars.. So stop going to the gym and go to work!
  • It’s better to sit in a bar thinking about God than to sit in a church thinking about beer.
  • He who swallows a complete coconut has absolute trust in his anus.
  • The only warning Africans take seriously is LOW BATTERY.
  • It is not possible that women can be at par with men.

Conclusion

You can be reprehensible and still have some good things to say. You do not have to like or admire a person to learn from them.

I get that from time to time. People accuse me of being the spawn of Satan because I happen to like to drink red wine and live in China.

Well, at which I can only respond with “ok.”

Life is too short to worry about what other people are doing with their lives.

Dionysus Cat.
Dionysus Cat.
A little weekend humor  

A pastor entered his donkey in a race and it won. The pastor was so pleased with the donkey that he entered it in the next race, and it won again. 

The local newspaper read: PASTOR’S ASS OUT FRONT. 

The Bishop was so upset with this kind of publicity that he ordered the pastor not to enter the donkey in another race. 

The next day, the local newspaper headline read: BISHOP SCRATCHES PASTOR’S ASS. 

This was too much for the Bishop, so he ordered the pastor to get rid of the donkey. The pastor decided to give it to a nun in a nearby convent. 

The local paper, hearing of the news, posted the following headline the next day: NUN HAS BEST ASS IN TOWN. 

The Bishop fainted. He informed the nun that she would have to get rid of the donkey, so she sold it to a farmer for $10. 

The next day the paper read: NUN SELLS ASS FOR $10. 

This was too much for the Bishop, so he ordered the nun to buy back the donkey and lead it to the plains where it could run wild. 

The next day the headlines read: NUN ANNOUNCES HER ASS IS WILD AND FREE. 

The Bishop was buried the next day. 

The moral of the story is:  Being concerned about public opinion can bring you much grief and misery and even shorten your life. So be yourself and enjoy life. You’ll be a lot happier and live longer! 

I hope that you enjoyed this post. I have others in my Happiness Index, over here…

Life & Happiness

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

A Fun Movie; The Fearless Vampire Killers (1967).

As I stay inside my apartment while the coronavirus wrecks havoc all through China, I have little else to do but watch movies. Now, for various reasons, I have taken a shine to the older 1960’s and 1970’s movies. And in this case, a relatively unknown vampire-comedy. And this one is simply amazing!

I well remember watching it on television with my father. He was a big Sharon Tate fan, and now that I am older I can well understand why. But more than that, I loved how it carred me away and sucked me into the movie with the “atmosphere”, and the story line.

My most memorable scene is where they are locked inside the parapet tower.

Brilliant movie - beautifully shot and with Polanski's eye for detail.  Very funny/quirky and atmospheric. I loaned it to a work colleague who  thought Polanski only made horror films like 'Rosemary's Baby', she was  amazed when confronted with this one. 

She thought it was great. 

Everyone  is always very impressed with the ballroom scene with all the mirrors  and the vampires dancing. The colours are fabulous and the outside  scenes remind one of s Christmas card. 

If it's ever on t.v. it's always  on around midnight or after, so I had to buy the DVD. Definitely one to  watch late at night when you're alone!! It took me years to find it on  DVD. I think the one I eventually bought was an import. 

- funnybunny-7 
Scene from the Fearless Vampire Killers. It's a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
Scene from the Fearless Vampire Killers. It’s a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.

Today, being much older, I have come to love Roman Polanski’s “The Fearless Vampire Killers,” which is surprising to me now because for most of my life I thought it was so-so.

You see, I missed the original release back in 1967, but I was only nine years old then. And the version released in the U.S. was a truncated travesty of what Polanski intended. It was a remake for American audiences by a jack-ass who thought that all Americans were simpletons bumpkins.

No shit!

Scene from the Fearless Vampire Killers. It's a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
Scene from the Fearless Vampire Killers. It’s a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
 When I think about R.Polanski the first thing coming to my mind is -  "the master of fear and horror", and I knew it that "Fearless vampire  Killers" is rare example of comedy by this great director. Yeah, I was  worried before seeing this movie, not as much about "geting it", but  more so about simple thing - could this movie be entertaining for "21st  Century Boy".

First thing that striked me was beautiful music by  Komeda. I was in total awe. The music was scary, but at the same time so  light and funny - just like for a good fair story. And then the  beautiful winter scenery that was so fake - almost cartooning. Few  minutes into the movie, and I could say "that's what I call movie  poetry". 

The story is so simple. The old bat researcher,  professor Abronsius and his assistant, Alfred, go to a remote  Transylvanian village looking for vampires. They stay in house where no  one speaks about vampires, but the garlic is hanging everywhere.  
Sharon Tate.
Sharon Tate.
Simplistic story is so right for this movie, because acting, scenery,  music, cinematography are all in top shape here. For composition I think  this is one of the best movie done by Polanski, next to "Tenant" for  sure.

And this movie is also a rare occasion to see Polanski in  comedic role. He and Brach make unforgettable duo. I was totally  entertain when in came to comedy in this movie, but the thing that  surprise me the most was the action factor. There is one scene that is  great example of that - when Polanski character is looking through  keyhole and is so scared of what he see that his face is screaming  "terror". It's sure funny, but in a way mad-scary too. And when I think  about this movie - this scene sums it up for me.

Its very funny,  but little outdated movie. For me one a few really cinematic fairy  tales, that keeps magic all the way to the end. Its up there with  Repulsion, Tenant, and Tess when in comes to greatest work of this  director. 

And just think about brilliant ending, so funny, so  mad. It's a shame Polanski hasn't made another comedy. Don't get me  started with Pirates - the most unfunny movie in history. But "Fearless  Vampire Killers " is movie magic - pure and simple. 

- fidomax 

And, I am not the only person that was upset with the hack-job on this movie.

I’ve read that the movie was considered an almost complete fiasco because the executive producer, Martin Ransohoff, best known for “The Beverly Hillbillies,” wanted a very different film.

Yeah.

He wanted to change the film. He wanted to “improve” it to fit the bumpkin American mentality that he envisioned all Americans had.

So, in short order, he [1] cut 16 minutes out of Polanski’s 107 minute cut (Just under 1/5 of the entire movie.), and [2] inserted a short screwy cartoon before the titles (so people would know it was supposed to be a comedy…)

Scene from the Fearless Vampire Killers. It's a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
Scene from the Fearless Vampire Killers. It’s a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.

Ransohoff thought Polanski botched it that badly, and [3] even re-dubbed some of the actors. You know, so that they would sound more “American”. I’m sure that he wanted thick “bumpkin” Southern drawls and accents. He also [4] added the awful tag line to the title, “Or, Pardon Me, But Your Teeth Are in My Neck.”

This must have been the version I saw on TV in the early seventies that I thought was so terrible.

Thankfully this abomination doesn’t seem to be in circulation anymore. Good thing. Let the rats in the film vault feat on that monstrosity.

Scene from the Fearless Vampire Killers. It's a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
Scene from the Fearless Vampire Killers. It’s a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.

However, despite its flaws “The Fearless Vampire Killers” gained a cult following over the years, partially due to the morbid fascination with the murder of Sharon Tate, but also because the movie is really very, very good.

Paramount’s Robert Evans recognized this back in ’67 and thought Polanski the right director for “Rosemary’s Baby.”

He was right and the success of that film showed Hollywood what a master of the language of film Polanski actually is.

This is a wonderfully fun movie. It's got a lot of good stuff in it, and the critics can go try to make own luck at vampire comedy.
This is a wonderfully fun movie. It’s got a lot of good stuff in it, and the critics can go try to make own luck at vampire comedy.
When I first saw this film on TV in the early 70s, I thought it was so  cheesy I gave it very little attention.  

Then in the early 90s it was  released on laserdisc in a letterboxed version and I bought it on a  lark. After I viewed in the first time I still didn't think much of it  and thought maybe I wasted my money.  

But then, as the years passed, I  would look at it every so often and now I love the film.  

It is an  acquired taste.  

You first have to love vampire films -- the  old-fashioned, Gothic kind.  Next, you need to appreciate Polanski's  style and his understated approach.  It's also best to watch this film  late at night with the lights off, and especially with a snow storm  outside.  Give it a chance and this film will creep up on you. Hopefully  it will come to DVD soon. 

- stew100 

Now, let’s be honest. This isn’t the best horror/comedy movie. The truth be told that Mel Brooks’ Young Frankenstein holds that position.

However, second place has just got to go to this one, and there’s no shame in being second here.

I don’t know too much about Roman Polanski’s career (I think I know more about his personal life): I’ve seen Rosemary’s Baby and Chinatown, and this movie is the third of his that I know about that I’ve seen. maybe there were others, but I cannot recall them at the top of my head.

Scene from the Fearless Vampire Killers. It's a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
Scene from the Fearless Vampire Killers. It’s a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.

I would never have imagined from those two movies that he could direct comedy. Because of that I came into FVK very skeptical. At first, I thought that the laughs were few and far between. I kind of took it as a light-hearted serious movie.

I also thought that Polanski’s direction was too showy for a comedy.

But as the film went on, the comic moments began to build. And the showy direction ceased seeming showy and began to seem wonderful. If you find yourself not laughing a lot, it’s understandable.

Just sit back and enjoy Polanski’s amazing direction. And the laughs, although, to many, they may seem too few, those that there are are enormous.

Scene from the Fearless Vampire Killers. It's a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
Scene from the Fearless Vampire Killers. It’s a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.

I’d also like to praise the actors, including Roman Polanski himself. The set design, especially in the castle, is more than amazing. It’s simply beautiful.

The title "fearless vampire killers" it's not  so good as "Dance of the Vampires",outside U.S. this is the original title

I  always will remember this film as "Dance of the Vampires"  ALSO,CONGRATULATIONS to Mr Polanski for the Palme D' Or, he deserves  it(without him  just cinema "boring")

I enjoyed poetic scenes  such as like moment in Sarah's bath comparing the textures of first soap  bubbles, then falling snowflakes, and finally crimson blood. when  Alfred(Polanski) carries his master across the castle battlements remind  me of Polanski early short films.  
This is a wonderfully fun movie. It's got a lot of good stuff in it, and the critics can go try to make own luck at vampire comedy.
This is a wonderfully fun movie. It’s got a lot of good stuff in it, and the critics can go try to make own luck at vampire comedy.
Krystov Komeda's music has been  acclaimed as "the most innovative and haunting score ever devised for a  horror movie" by the heavyweight Aurum Film Encyclopedia. 

Krystof  Komeda's wondrous music, with its weird choral effects and little  melodies Komeda's score communicates the Kafka-like isolation of the  setting and the characters

Polanski chose some of the finest  English cinema craft artists to work on the film: cameraman Douglas  Slocombe, production designer Wilfrid Shingleton Polanski engaged noted  choreographer Tutte Lemkow, who played the actual Fiddler in FIDDLER ON  THE ROOF, for the film's climactic Danse Macabre minuet.

Sharon Tate as Sarah was delightful(we should remember her in a good way,as a decent actress and person,her scene with Polanski  is really cool ,especially "the bite scene") Jack MacGowran as  Professor Abronsius is  just great Polanski's films often deal in contrasts of master and  servant, the empowered and the powerless. The supposedly benign  Abronsius  bullies Alfred for his own purposes, just as the vampires  consider all of humankind a resource to be harvested.

The  character called Shagal got the best lines in the movie,when A woman  thrusts a crucifix in his face, only for Shagal - a Jewish rather than a  Christian vampire - to go "Oy-yoy! You got the wrong vampire" and bite  her anyway Count Von Krolock  (Ferdy Mayne, who plays the Count)he looks  really as a Nosferatu or a man that needs Transfusion!.

Also  funny is Herbert, the openly gay vampire who is interested in Alfred  rather than Sara, the sexual deviations implicit in early Hammer films  like The Brides of Dracula (1960) and Kiss of the Vampire (1964) are  brought out. 

- patita-1 

Right from the main title sequence this film is really quite wonderful.

Christopher Komeda’s score is weird and haunting.

Scene from the Fearless Vampire Killers. It's a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
Scene from the Fearless Vampire Killers. It’s a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.

The day-for-night shots of the snowy countryside are a bit distracting, but you know they kind of fit the fairy tale quality of the film’s isolated, late 19th century Transylvanian winter never land.

The movie is extremely well-mounted with wonderful sets, especially the vampires’ castle.

Oh, young love. Eh?
Oh, young love. Eh?

All the performances are excellent. Jack MacGowran’s Professor Abronsius is an absolutely incredible characterization, unlike anything else MacGowran ever did on film.

The same is true of Alfie Bass’ Yoine Shagal, possibly the world’s first Jewish vampire, and a terrible lecher.

Sharon Tate was probably never lovelier than in this movie, and Roman Polanski is very good as Alfred, in fact amazing when you consider he was also directing.

It is a tour de force on his part.

Scene from the Fearless Vampire Killers. It's a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
Scene from the Fearless Vampire Killers. It’s a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.

Finally, Ferdy Mayne’s Count Von Krolock is a king vampire equal to any screen Dracula, while Iain Quarrier is also appropriately creepy as his gay vampire son, Herbert.

Well, what is this movie about? To begin with: although the vampire was  best popularized in the modern era by English writers, it is really a  myth of Eastern European Roman Catholicism. (I could explain that better  - and why the English so well co-opted it - but obviously not here.)  

This type of Catholicism (which finally produced a Pope in John Paul II)  now only thrives (and none too well) in Poland - Polanski's home  country. 

During the Second World War, Poland was utterly decimated.  

First, a large portion of its wealthiest citizens, who happened to be  Jewish, were exterminated. 

The Polish catholics themselves were split  radically between anti-semitic nationalists (who also, mistakenly,  thought the Nazis would save them from the Russians) and pro-Communists  who, mistakenly, thought the Russians would save them from the Nazis.  

Obviously, this was a no-win situation for the Poles. 

And yet the first  cinematic impression of this disaster arrived in the form of - a comedy -  Ernst Lubitsch's "To Be Or Not To Be" (later remade by Mel Brooks).

Does  the reader really need to know all this to appreciate this movie?  actually, yes. This film is laughter at death's door. 

The funniest and  most memorable line in the film is from the Jewish vampire, responding  to a threatened crucifix: "Oy vey, have you got the wrong vampire!"  Funny? - Hilarious. Unfortunately, if this Vampire had any  grandchildren, they all died in Auschwitz.

Why am I playing such a  heavy hand here? Because this really is a great horror-comedy, far  better and far more important than the studio hacks at MGM who released this film (after chopping it up) could ever have understood.

There  is unfortunately no rumor that there's a director's cut in the vaults;  it is well to remember that Polanski nearly disowned this film on release, and really only reclaimed it after the brutal slaying of his wife, who plays such an important role in the film.

But even as shredded as it is (pay especially close attention to the discontinuities  involving the Professor), this is still marvelously written, directed, and photographed - truly frightening at moments, utterly hilarious at  others, but always grounded in a particularly Polish sensibility which  is now, alas, a thing of the past; - the preservation of a culture that,  at its best, was among the best in Europe. 

- winner55 

Like the drinking of blood (I would imagine!), appreciation of “The Fearless Vampire Killers” is very much an acquired taste.

I don’t know what to say to those that don’t like it except, Why don’t you try watching it again? It might grow on you as it did me.

The famous Ballroom scene.
The famous Ballroom scene.

This movie also has one of the best one-sheet posters from the sixties, with art by Frank Frazetta.

All in all, this is a great movie, and I cannot praise it enough.

Scene from the Fearless Vampire Killers. It's a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
Scene from the Fearless Vampire Killers. It’s a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
It's sad to say, whenever people ask me for a good vampire movie, one I  do recommend is The Fearless Vampire Killers, they always look at me and  ask "What's that?". 

But the 3 people I've shown it too loved it and I  think that has got to say something about this great vampire classic.  

Writer, director and co-star Roman Polanski made the first real vampire  spoof and what a great movie! 

If you think about today's spoofs,  everything is always a reference to something that's in pop culture.  This movie is just pure comedy, taking what was so typical at the time  of the weary travelers who happen upon a creepy castle with the even  creepier host, yet act completely oblivious when strange things happen. 
The ballroom scene is amazing. It is just one of the great things that I really enjoy about this movie.
The ballroom scene is amazing. It is just one of the great things that I really enjoy about this movie.
Instead, how about we have two vampire slayers, one who is calm and  experienced vs. the inexperienced and nervous? While we're at it, why  not add a ball into the mix? Dancing vampires, it just doesn't get any  better than this.

In the heart of Transylvania Professor  Abronsius and his apprentice Alfred are on the hunt for vampires.  Abronsius is old and withering and barely able to survive the cold ride  through the wintry forests, while Alfred is bumbling and introverted.  

The two hunters come to a small Eastern European town seemingly at the  end of a long search for signs of vampires. 

The two stay at a local inn,  full of angst-ridden townspeople who perform strange rituals to fend  off an unseen evil. Whilst staying at the inn, Alfred develops a  fondness for Sarah, the daughter of the tavern keeper Yoine Shagal.   
The environment is amazing, and can take you away to another time and place. You can feel the cold wind on your face, and the pack of wolves snapping at your heels.
The environment is amazing, and can take you away to another time and place. You can feel the cold wind on your face, and the pack of wolves snapping at your heels.
After witnessing Sarah being kidnapped by the local vampire lord, Count  von Krolock, the two follow his snow trail, leading them to Krolock's  ominous castle in the snow-blanketed hills nearby. 

They break into the  castle, but are trapped by the Count's hunchback servant, Koukol.  Despite misgivings, Abronsius and Alfred accept the Count's invitation  to stay in his ramshackle Gothic castle, where Alfred spends the night  fitfully. 

After finding Sarah the next day, they come up with a plan to  destroy the count and save Sarah, but with a midnight ball in the mix of  vampires, the plans might be a bit harder than they realized.

I  think one of the funniest scenes in film history is when Roman Polanski  is being chased by Count Krolock's feminine vampire son, Herbert. 
Frozen stiff. Poor fellow, but then again it's actually pretty funny in a dark comedic sort of way.
Frozen stiff. Poor fellow, but then again it’s actually pretty funny in a dark comedic sort of way.
The  seduction scene before that was too funny, but let's add Roman running  around in a circle oblivious that he did just go around in a circle and  runs right back into Herbert! 

The comedic timing was just gold! 

Sharon  Tate is also in this film and she is just beautiful, you could see how  Roman would fall in love with her on and off screen so easily. It's  really sad that we lost her so young and so tragically, you see the  talent that could have been. 

I also love Jack MacGowran, he's calm  exterior to Roman's scaredy cat routine was the perfect balance the film  needed. 

I nearly die laughing each time I see the scene where they are  in the Count's bedroom about to stake him, but Jack gets stuck in the  window and Roman chickens out on killing the count. 

He has to go around  the castle to pull Jack out but gets distracted by Sharon Tate and when  he finally realizes that he left Jack in the same room with blood  sucking vampires, he just reeks with the "Oops!" face. 

The ballroom  scene is so memorable, again, the comedic timing is great. Another thing  about this film is that it also has some great scares in it too, some  great make up effects with the Count. 

I highly recommend this film; I've  been watching it since I was a little girl, I still love watching it  all these years later and can't wait to show it to others as well. 

- Smells_Like_Cheese 
Scene from the Fearless Vampire Killers. It's a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.
Scene from the Fearless Vampire Killers. It’s a great flick and does help to carry you away to another time and place. It is, thus, great escapist viewing.

Conclusion

This movie is a bit dusty and not something that you would turn to automatically. However, it is a great little gem of a movie and well worth a nice visit. It will carry you away to a different time and place and it is rather charming in it’s own sinister comic way.

For me, it took me away for the germ warfare, the masks and goggles, and the latex gloves. It took me away from the disinfecting and the isolation and being cooped inside as the beautiful day with blue skies and lush green trees beckoned to me outside.

I am sure that it will take you too to a nice place far away from your normal life.


I hope that you enjoyed this post. I have other posts regarding movies and you can view them in my movie index here…

MOVIES

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Unusual Movies – Greenslime. When Hollywood made movies without social justice agendas.

I am old enough to remember going to the movie theater to watch this flick. It was on a Saturday afternoon, and my folks gave me a dollar to watch it. I was ten years old. Back in those days we watched movies for fun, or barring that, to stay out of our parent’s hair and let them have some time alone.

Here’s some fun “elevator pitches” for science fiction movies. 

How about  having astronauts land on an asteroid that’s on a collision course with  earth? Wait, it gets better— to save the world they have to use  drilling equipment to bore holes in the rocky surface to plant nuclear  bombs inside and blow the renegade asteroid into space dust. 

Not working  for you? 

Okay, try this one on. How about having an alien life form that looks harmless in its infant state brought aboard a space ship. Then it breaks loose, transforming into a monstrous killing machine that slaughters the crew one by one!  

- Horror News

Lately, most of the larger (high budget) movies out of Hollywood are nothing more that venues to ram-rod social justice “improvements” down our collective throats. This policy certainly started long ago. Maybe back during the Clinton administration, but it most certainly became heated up to a degree of red-hot insanity during the Obama presidency.

Now we have a 007 “James Bond” flick that going to have a new transgender LGBT “woman of color” in the role of secret agent. Funny how she looks like a morph of Hillary Clinton and Michelle Obama. Yuck!

The face of the new progressive, modern "James Bond", 007. This woman looks like someone morphed Michelle Obama and Hillary Clinton together. Oh, how enlightened! How so very progressive!
The face of the new progressive, modern “James Bond”, 007. This woman looks like someone morphed Michelle Obama and Hillary Clinton together. Oh, how enlightened! How so very progressive!

Anyways, let’s get back to the movie at hand; Green slime.

This is a movie perfect for the eleven year old boy inside of all of us. It’s got a cool retro 1960’s sound track. (It’s got) Cool miniatures with all sorts of detail like something out of Fireball XL-5. It’s got spacemen exploring a rogue asteroid and carrying space rifles (!). It’s got a love triangle with a handsome man with a chiseled face, and the girls all look like they came out of a 1960’s playboy magazine.

And it’s got monsters.

Lots and lots of monsters.

Right out of the gate you can feel the movie itching to get to the good  stuff– that song barely lasts a verse and a chorus before Robert Horton,  as Commander Jack Rankin, arrives at space station Gamma 3 ready  to head up a very dangerous mission– landing on a strange asteroid and  exploding it out of its collision course with Earth.  

-Trailers from Hell

What’s not to love?

I saw THE GREEN SLIME in 1968 at the Omni Center Theatre in  Atlanta Georgia with my brother and cousin and was awestruck and  terrified as only a 6-year-old boy seeing a movie called THE GREEN SLIME  in 1968 could be so I’ve always had a huge soft spot in my heart for  this film (I was lucky enough to attend a 16mm screening at Cinema  Wasteland a couple of years ago and it held up great). 

I mentioned three  things that I think make THE GREEN SLIME so enduring. 

One. The title, THE  GREEN SLIME is so perfect and unpretentious that Saturday matinee  audiences in 1968 had to know exactly what was in store and I can’t  imagine anyone feeling let down. 

Second, THE GREEN SLIME has one of the funkiest title songs in cinema history. Written by Charles Fox (who  would go on to write the themes for THE LOVE BOAT and HAPPY DAYS) and  accompanied by a frenzied drum beat and blaring electric guitars  (someone edited the song to clips of battle scenes from the film and  posted it on youtube: http://www.youtube.com/watch?v=vKESo2ofEcw).  

THE GREEN SLIME theme is a blast and was even released as a single!  

Third, the poster is my absolute favorite from the 1960’s. The bold  colorful artwork features the emerald cretins in an action-packed outer  space battle with flying spacemen while holding a terrified Luciana  Paluzzi in a skin-tight metallic spacesuit in the foreground (an outfit  like nothing she wears in the film). 

The poster is a throwback to the  “bug-eyed monster” posters of the 1950’s and the artwork even graced the  cover of “Famous Monsters of Filmland” #57 in 1969. I have an original  THE GREEN SLIME three-sheet (40 x 80 inches) and it’s proudly displayed  in my den along with my Resin Green Slime model kit and vintage “The  Green Slime are Coming!” button.
  
- My Favorite Movies: The One About the Green Slime That I Saw at the Theater When I Was Six  
Greenslime movie poster.
Greenslime movie poster.

The Characters

The plot of THE GREEN SLIME play like a precursor (or parody) to ARMEGEDDEON and then ALIEN as a  runaway asteroid, known as Flora (!), is determined to be on a  collision course with earth. 

Rugged astronaut Jack Rankin (Robert  Horton) is ordered out of retirement to command Space Station Gamma 3,  an enormous ring-shaped outpost populated by a detachment of scientists  and military personnel, and stop Flora before it destroys our planet.  

Onboard Rankin meets his old flame Lisa (Luciana Paluzzi) and her  fiancée, Commander Vince Elliot (Richard Jaeckel), Rankin's former close  friend. 

Rankin, Elliot and the sinister Doctor Halvorsen (Ted Gunther)  land a shuttle on the asteroid, depositing explosives in an attempt to  nuke Flora. 

They succeed, but a small wad of pulsating green jelly adheres itself to Dr. Halvoson’s spacesuit and is brought back to the station unobserved. 

The crew celebrates with a groovy party featuring nurses in short skirts and high heels shimmying to 60’s electronic tunes, unaware that the oozy green stowaway is morphing into a deadly tentacled creature out to electrocute everyone in its path.  

Attempts to kill the slimy beast backfire as each drop of its blood  grows into a new monster until Gamma 3 is infested with these waddling critters collectively known as…

...The Green Slime!! 

- My Favorite Movies: The One About the Green Slime That I Saw at the Theater When I Was Six 
  • Commander Jack Rankin – This guy wouldn’t stop smiling if a rabid weasel was in his shorts; he would just grin and give you a thumbs up.
  • Commander Vince Elliott – In charge of the space station and not very happy Rankin is senior to him. A rash man who gives one Green Slime a hug. (That means he dies.)
  • Lisa Benson – Woman torn between loving Rankin and Elliott.
  • Dr. Halvorsen – Head researcher aboard the space station, he gets seriously fried.
  • General Jonathan Thompson – Gruff senior officer, his main role in this movie is sweating.
  • Captain Martin – Elliott’s right hand man, for some reason he looks natural in a white motorcycle helmet.
  • The Green Slime – Alien life form which feeds on energy and even a single drop of blood can regenerate into new creatures. Incinerated.
The Green Slime has the secret weapon every B-Movie needs-  Richard Jaeckel. 

Jaeckel was a prolific, academy award nominated actor  who bounced between supporting roles in big budget films and starring  turns in B-pictures. In fact he was nominated for a best supporting  actor Oscar for Sometimes A Great Notion (1970) right after he starred in The Green Slime.  

What made Jaeckel so special was that (like Shelly Winters) he always  delivered a class-A performance in any film, regardless of its quality.  If you watch The Green Slime carefully you’ll notice that he’s always moving, or emoting— giving the audience a little something extra.  

He never steals scenes from his co-stars, but he’s always the most  interesting thing onscreen. 

 - Horror News   
Exploring the mysterious and dangerous asteroid. Yikes!
Exploring the mysterious and dangerous asteroid. Yikes!
THE GREEN SLIME was an American/Japanese co-production shot in Tokyo with a mostly American cast (extras are Japanese or played by  American servicemen stationed in Japan) and a Japanese director giving  the film a stilted, off-the-wall international quality. 

It was shot in  English but crudely post-dubbed and the whole cast has English monikers  regardless of their ethnicity (exotic Italian beauty Luciana Paluzzi plays…..Lisa Benson!).  

Square-jawed Robert Horton (a TV actor best known for starring in WAGON  TRAIN) delivers a comically wooden lead performance as the arrogant and  condescending Rankin. 

As Elliott, Richard Jaeckel seems to have more  fun with his role and he makes a good space hero (Jaeckel stayed in  Japan to costar in the equally absurd LATITUDE ZERO before returning to  Hollywood and Oscar-nominated the next year for SOMETIMES A GREAT  NOTION). 

Luciana Paluzzi had made a splash as Bond girl Fiona Volpe in THUNDERBALL in 1965 and makes for equally sexy here. 

Director Kinji  Fukasaku went on to make cult items MESSAGE FROM SPACE in 1978 (a gonzo  STAR WARS knockoff starring Sonny Chiba that featuresmassive  sailboats in space!) and the controversial “teens- killing-teens” epic  BATTLE ROYALE in 2000. 

THE GREEN SLIME’s toy-like special effects are hardly realistic, but there are a ton of them and most are ambitious and imaginatively designed. The spaceships look like models because they are models and the fact that they are way overlit doesn’t help. 

It’s  the monsters themselves that make THE GREEN SLIME so memorable. 

Squat  and lumpy, with one giant red eye surrounded by many smaller eyes, the  rubbery, tentacle-waving gremlins were played by Japanese children in  clumsy suits. They seem more than a bit silly today but, with their  high-pitched electronic squeal, were pretty nightmarish to young  audiences in 1968.  

 - My Favorite Movies: The One About the Green Slime That I Saw at the Theater When I Was Six  

The Plot

Packing more goofy models and props than most Godzilla films comes this lovely piece of b-cinema.

I still want to know who starched Commander Rankin’s face while he was smiling, either the guy is a loon or he’s on some serious happy pills. Prozac boy gets things done though; when you have a rogue asteroid hurtling toward Earth he’s the man to call. (Not Bruce Willis, mind you.)

... a brilliantly artificial and eerily vibrant landscape which writer  Richard Harland Smith accurately described as perfectly evocative of the  Major Matt Mason space station and lunar base command toy sets of the  1960s, reproduced with full-scale reverence and a dash of pop sci-fi  psychedelia.

-Trailers from Hell  
Fighting the oozy and tentacled greenslime monsters.
Fighting the oozy and tentacled greenslime monsters.

When the astronauts land to place their bombs they find the asteroid is inhabited by strange blobs of glowing slime that are drawn to the equipment. After blasting off and barely escaping the massive explosion (Imagine an orange and brown papier mache’ ball with half a stick of dynamite inside and you’re golden.) the heroes return to Space Station Gamma 3.

Just because The Green Slime predates Alien and Armageddon  doesn’t mean it’s some visionary piece of science fiction cinema. On  the surface it’s a subpar space opera with rubber-suited monsters,  cheesy miniatures and a cast of B-movie veterans struggling to kill  aliens while keeping a straight face. 

But despite its myriad of  deficiencies The Green Slime is a charming time capsule of mid  sixties camp. 

Watching its colorful “mod” sets, plastic helmeted  astronauts and man-in-a-suit monsters is like sprawling in a bean bag  chair, sipping a can of Schlitz while feeling the luxurious shag  carpeting beneath your toes.

Scientists aboard the Gamma-3 space  station discover a massive asteroid careening towards earth. 

UN Space  Command dispatches their bravest and squarest jawed astronaut (Robert  Horton) to command the mission to destroy the deadly celestial body,  which looks like a cat toy you’d find moldering under the couch. 

But our  hero has a long simmering feud with the space station’s commander  (Richard Jaeckel) who stole his fiancée (Luciana Paluzzi) who happens to  be the space station’s resident doctor. Putting their differences aside  they land on the asteroid, drill holes, plant nukes and zip back to the  space station, barely escaping the atomic blast. 

The earth is saved, but during their escape a small bit of green slimy alien life adheres itself to an astronaut’s space suit and hitches a ride to Gamma-3. 

Due  to the station’s high oxygen (or testosterone) levels the little blob of  space spooge sprouts into a menagerie of man sized monsters shooting  electricity from their tentacles. 

Will the astronauts defeat the alien  invaders? Will the big haired sexy doctor dump her beau for her brick  headed ex fiancé? Will our two heroes finally give in to their seething  homoerotic tension and be as god made them? Its all part of The Green Slime experience. 

 - Horror News 

Unfortunately, for everyone, some of the slime was carried back on a space suit. It soon evolves into a tentacled creature! So the thing kinda looks like Sigmund the Sea Monster – he never fried anyone with several thousand volts of electricity. (Yes, I know it’s the amps that get you.) This gives a nice excuse for Elliott and Rankin to have a power struggle over who is in charge, the latter a firm believer in “shoot first ask questions later.”

This poor doctor went back into the midst of the greenslime monsters to get his papers. Bad movie Doc. Bad move.
This poor doctor went back into the midst of the greenslime monsters to get his papers. Bad movie Doc. Bad move.

Another unfortunate fact about Green Slime: even a single drop of blood will grow into a new monster. So now you have dozens of pissed off Sigmunds running around electrocuting the crew, good job Rankin.

In the end humanity is saved by crashing Gamma 3 into Earth’s atmosphere, incinerating the Green Slime. Thank goodness something stopped them besides THROWING your laser rifle. The characters often unload at point blank range without effect. Soon as they throw the weapon it goes right through the monster’s eye. Even if you don’t like watching “spacemen” wearing white 1960’s police motorcycle helmets firing “laser guns” at waddling masses of latex monsters you have to love the title song.

Fighting the deadly greenslime inside the space-station.
Fighting the deadly greenslime inside the space-station.

Things I Learned From This Movie: 

  • Asteroids look like a Gobstopper which has been under the refrigerator for ten years.
  • Movies are less interesting from a phone’s objective.
  • Pulsing green muck plays heck with interstellar golf carts.
  • People can stand up and walk around while experiencing ten G’s.
  • Never let a bitter exgirlfriend tend your wound.
  • Alien life is best represented by green soap suds.
  • Space station security guards wear white motorcycle helmets with a little space symbol on them.
  • Golf carts are not four wheel drive.
  • Laser rifles work better as spears.
  • Never hug an ungrounded Green Slime.
The most charming thing about The Green Slime is how the  filmmakers lovingly revel in its cheesiest elements. 

Those miniature  rocket ships don’t zip by in an instant— instead the producers proudly  linger on them as if they were unveiling 2001 A Space Odyssey (1968).  

The unconvincing rubber monsters aren’t confined to fleeting, shadowy  glimpses— Nope, they’re given long loving close-ups under brighter lights than a baseball game. 

I love the filmmakers for having the balls  to do that. Plus Alien never had a rocking psychedelic theme song. Why Richard Delvy’s title tune, actually entitled The Green Slime, never made it onto Lenny Kaye’s classic psychedelic music anthology Nuggets is beyond me. 

The Green Slime’s other achievement is compressing entire plot of Armageddon into the first fifteen minutes. Why couldn’t Michael Bay have done that? 

 - Horror News  
The Gamma 8 space-station.
The Gamma 8 space-station.

Stuff To Watch For: 

  • 2 mins – Nice miniatures, ahm.
  • 13 mins – For some reason this scene is making me horny.
  • 25 mins – Bad dubbing!
  • 48 mins – White motorcycle helmets?
  • 64 mins – RANDOM ACT OF VIOLENCE AGAINST A FLASHLIGHT!
  • 70 mins – The explosion took out half of the model space station, but not that flimsy door?
  • 75 mins – Elliott could use some boxing lessons.
  • 83 mins – Jack, I’m going to kick your smiling self in the testicles. What do you think of that?
Our brave hero and attractive love interest.
Our brave hero and attractive love interest.
The Green Slime was a coproduction between America’s MGM  Studios and Japan’s Toei Company LTD. But oddly for a Japanese based  production, the entire cast, right down to the extras, is entirely  western. This presented Toei with a genuine casting challenge. 

As a  result the crew of Gamma-3 are a mix of struggling American fashion  models plucked from Tokyo’s fashion runways and American sailors on  shore leave from the nearby Yokosuka Naval base. 

That’s right, those  glamorous young models were thrown together with guys who’d been stuck on an Aircraft Carrier deployed off Vietnam for six months! 

Take a good  look boys… cause this is what you’re fighting for! 

Hidden among the  space stations impossibly pretty female crew is blonde haired Linda  Miller who, a year earlier, had been the lead in the Japanese American  co-production King Kong Escapes. If you ever wake up with a hangover skip the Advil and coffee and try a double bill of The Green Slime and King Kong Escapes— your pain will be forgotten. 

- Horror News  

Conclusion

In 1968 my eight-year-old pals and I absorbed the adventure of The Green Slime and took that template to the playground, turning every jungle gym or set of monkey bars into the Gamma 3. Looking at The Green Slime  today I confess I am not seized with the urge to run over to the nearby  elementary school and start back up where I left off 46 years ago.  After all, there are plenty of reasons to put away childish things, to  bid a safe farewell to childhood and our nostalgia for it. (My bathroom  scale insists this is so.) But for me The Green Slime beautifully recreates a playground of the mind to match the one I had to leave behind.  

-Trailers from Hell

This movie will never get an Oscar award. But it’s a great movie for a rainy day, and fantastic to spend with a case of beer, some friends, a pet and loyal dog (or cat), a loved one and some potato chips. Not the flavored kind, mind you, good “old fashioned” American style salted chips… with dip. Lots and lots of dip.

This is the perfect movie to enjoy when you are stuck inside (like during a biological weapons attack like I am) or whether it is raining cats and dogs outside. Make sure that you have an ample supply of chips, dip and beer. I'll tell you what!
This is the perfect movie to enjoy when you are stuck inside (like during a biological weapons attack like I am) or whether it is raining cats and dogs outside. Make sure that you have an ample supply of chips, dip and beer. I’ll tell you what!
In closing, if you wake up craving a piece of nostalgic science fiction fromage The Green Slime  is exactly what you’re looking for. And don’t forget to sing along to  the psychedelic theme song (later covered by the Fuzztones) for a  totally immersive experience. Everybody sing… You’ll believe it when you find… Something sreamin’ ‘cross your mind… GREEN SLIME… GREEN SLIME!  

 - Horror News   

I do hope that you enjoyed this post. I have other movie review in my Movie Index. Please feel free to check them out…

MOVIES

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Awesome Movies – The James Bond 007 classic – Thunderball.

How can movies stand the test of time? I really don’t know. But in my mind, this 007 James Bond flick seems to get better with age. There are so many things that I love about this movie. It’s just stunning.

This movie fits the public narrative perfectly. Men watch the movie as escapist entertainment where they can envision themselves in the same role. Shooting bad guys, seducing women, and looking good while going on exciting adventures all over the world, and riding in nice sports cars.

And, it’s true, too. Women feel the same way in the roles that portray the women as well.

Thunderball - this film's undersea battle is still rated among the top ones of all time - but I liked the "moments"- remembering how everyone on campus had a mink glove or access to one, after this film - fun memory.

And  how many of the "gimmicks" were brand new at the time - the amazing jet  pack flying suit is still a topic of conversation and excitement for  those who now chase the hoverboard;  and then neat "discipline" gimmick  for  the embezzling Spectre agent #9 

- and Domino's brother's lookalike  surgery,  and the bombs and their robbery, and the famous " Do you mind  if my partner rests here for a moment 

- she'd "Just DEAD" when the  villainess is shot by her own men aiming for Bond 

- and then Domino's  "  I killed him - I'm glad I killed him"  line when she gets Largo .  

A  perfectly perfect take from  " you killed him - I'm  glad you killed  him"  quoted from Melanie  in Gone with the Wind , to Scarlett, when   she shoots the home invading soldier as he tries to harm her - "right  between the eyes" as her paw would have taught her. 

- Elle Shopper Lady 

The pre-title credits sequence was set in Paris, France at the funeral of JB (SPECTRE operative No. 6, French Colonel Jacques Bouvar (or Boitier)), who had murdered two agents, Bond’s colleagues.

Bouvar had faked his own death (reportedly passing away in his sleep) and dressed up as his own widow (Rose Alba/Bob Simmons).

After the funeral and aware of the ruse/disguise, James Bond (Sean Connery) hurriedly followed her/him to his French chateau, where he fought and then strangled and broke Bouvar’s neck with a fire-poker (# 1 death, #1 Bond kill).

From the roof, Bond escaped by using his jet-pack rocket belt to fly him to his parked Aston Martin DB5 vehicle nearby, accompanied by French agent Madame La Porte (uncredited Mitsouko). He avoided pursuit by activating his car’s rear armored shield and rear-firing water sprayers.

Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
Thunderball has something for everyone. What woman would not be able to see themselves in this role? Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

The high-ranking SPECTRE No. 2 villain, white-haired, black eye-patch-wearing Emilio Largo (Adolfo Celi), was introduced in Paris, entering the building of the philanthropic International Brotherhood for the Assistance of Stateless Persons.

In a large, secret inner chamber, he met for a debriefing with unseen, ruthless Persian cat-petting SPECTRE No. 1 Ernst Stavro Blofeld (uncredited Anthony Dawson) and other SPECTRE agents – “a dedicated fraternity” of international terrorists.

While  I liked "Goldfinger" a little better, "Thunderball" is certainly a  solid, entertaining and worthy part of the James Bond franchise. This is  especially impressive considering this movie was made over 50 years  ago. In "Thunderball", it feels as though the elements of what makes a  Bond film a Bond film begin to emerge. While some things strain  credulity (by this film, the paradox of James Bond's renown as a secret  agent is becoming apparent), "Thunderball" does a nice job of capturing  the style of James Bond without completely abandoning a sense of  realism. And of course, the Bond women (eg, Domino), exotic locations  and cool cars don't hurt when it comes to coaxing an audience into  willfully suspending disbelief. 

- Norman Oro UCLA 93 

One of the agents, suspected of embezzlement, was promptly eliminated by electrocution in his chair (# 2 death) and disposed of into a hole in the floor beneath him.

No. 2, in charge of SPECTRE’s “most ambitious” NATO project, reported that his blackmail plan was a ransom demanded from NATO of $280 million/£100 million pounds – his assistant Count Lippe (Guy Doleman) was in the South of England making preparations, at a health clinic named Shrublands, near the NATO air base.

Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
Getting your top secret orders. Yikes! Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

Bond was also at the Shrublands for a rest-cure, receiving a massage from pretty blonde physiotherapist Patricia Fearing (Molly Peters), where he met Lippe and noticed a small, suspicious red tattoo on his left arm (a possible Tong sign – the Red Dragon from Macao).

Bond snuck into Lippe’s room where he found nothing, but was spotted by face-bandaged neighbor Angelo Palazzi (Paul Stassino), reportedly recuperating from a car crash.

During another appointment with Patricia, Bond forced an unappreciated kiss on her.

The  title says it all!  I've been a James Bond fan for many years, mostly  for the Roger Moore films but I do like the Sean Connery films, as well  and "THUNDERBALL" is one of them.  I love the film for the beautiful  scenery since a lot of the movie is filmed in the Bahamas.  I also love  the beautiful actresses that play in the film, especially Claudine  Auger, who plays Domino, the main Bond girl.  Boy, is she beautiful,  especially when she's in a bikini, underwater, snorkeling or scuba  diving.  

Those scenes made me resume swimming, completely submerged  underwater, now with a mask & snorkel.  I also like the wonderful  acting job of Sean Connery in his 4th film as James Bond  as well as the  supporting cast.  Also, praise goes to the crew on the fantastic job  they did in making this film, especially Terence Young in his 3rd &  final time directing.  Lastly, I love the fantastic underwater battles.   To sum it up, this is a terrific movie & I recommend it to every  James Bond fan out there because, believe me, you'll enjoy it! 

- Rob Holly 

She strapped him to a motorized traction table (“the rack”) to stretch his spine (she joked: “First time I’ve felt really safe all day”).

After she left, Count Lippe entered and turned the controls to the red danger zone to kill him.

Patricia saved Bond after he passed out. She asked for him to keep silent about the incident – his price for cooperation was her seduction in the Turkish steam bath room (# 1 tryst).

To retaliate, Bond sabotaged Lippe’s steam-bath cabinet and trapped him inside. In his room, Bond rubbed a soft black mink glove over the naked back of now sexually-liberated Patricia (# 2 tryst).

Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
In this movie we have all the elements of adventure. Weapons, romance, unique and unusual places, and a scheming evil genus. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

Meanwhile, NATO’s French pilot Major Francois Derval (Paul Stassino) was being seduced by voluptuous, red-haired ‘black widow’ mistress – a SPECTRE agent named Fiona Volpe (Luciana Paluzzi).

When he was leaving for the airbase, a look-alike Major Derval was outside his door, and sprayed him with lethal gamma gas (# 3 death).

The look-alike was SPECTRE agent Angelo, who had undergone plastic surgeries over two years to face-replicate and impersonate Derval.

He had also studied films, reports, and taken voice lessons.

He greedily demanded (or extorted) $250,000 rather than $100,000 to complete the task.

He appropriated Derval’s watch, ID disk, and bag, and departed for a training sortie at the NATO air base.

This  is my favorite Sean Connery Bond film.  Thunderball is loaded with  style, slick action, great stunts, beautiful scenery, beautiful women,  and Sean Connery.  

This film continued the practice of great opening  action sequence, a 'larger-than-life' villain in Largo/ AKA No. 2  (Adolfo Celi), a collection of vicious henchmen and woman - Count Lippe,  Fiona, Vargas and Janni (played by Guy Doleman, Luciana Paluzzi, Philip  Locke and Michael Brennan), an elaborate plot and a beautiful leading  lady (Claudine Auger who plays Domino)  Bob Simmons, the main Bond  stuntman opens the film as the villainous aCol. 

Jacques Bouvar AKA  SPECTRE No. 6 who is dispatched by Bond.  

The scape by jetpack sets the  stage for the great action film that follows.  Largo and SPECTRE have  downed a UN Vulcan fighter and stolen two nuclear warheads and hidden  them in the Caribbean.  

Bond must intervene before the UN pays a ransom  to SPECTRE.  Along the way, Bond romances, fights on land and  underwater, and finally squares off on a hydrofoil.  

The one change here  is that the villain is not killed by Bond - someone else (Domino)does  that that favor.  

The cast of British actors (Bernard Lee, Desmond  Llewelyn and Lois Maxwell) return as the MI-6 crew with Rik Van Nutter  playing Felix Leiter in this film.  

The hi-lights of this film include  the incredible underwater photography and action sequences, the  villainous and voluptuous Fiona, the Vulcan crash and cover-up, and the  incredible fight on the hydro-foil, the Disco Volante.  

There are two  quintessential Bond scenes: SPECTRE's HQ and MI-6' briefing room which  are a treat for all Bond fans.  

This loud, action-filled and very  entertaining Bond film raised the level that future Bond films would  have to meet.  This one is great! 

- Jaime Contreras 

“Derval” commanded a routine NATO flight of a Vulcan jet bomber at 45,000 feet, armed with two atomic bombs (MOS type).

As the noisy plane took off, Bond was still seducing Patricia with the mink glove, although they were interrupted when Bond left to snoop on Count Lippe – who was supervising the return of Derval’s corpse (face-bandaged to look like Angelo) in an ambulance back to Shrublands (it was later claimed that “Angelo” died of a heart-attack).

Bond unwrapped the corpse’s facial bandages, and then avoided a second attempt on his life by one of Lippe’s henchmen.

Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
James Bond interacting with the office staff. He always has such a way with the girls. You can tell, eh? Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

During the NATO flight, “Derval” took the co-pilot’s seat, gassed five other crew members with the lethal gamma gas canister (while wearing a separate oxygen supply/mask) (# 4-8 deaths), and deliberately crash-landed the plane near the Bahamas in the Caribbean.

Nearby, on his luxury hydrofoil yacht the Disco Volante (Flying Saucer), Emilio Largo ordered underwater lights switched on to guide the plane to its proper landing strip location, where it gently sank to the bottom.

Wearing scuba gear, Largo swam to the submerged plane, and cut “Derval’s” air-supply hose to drown him (# 9 death) (punishing him for his extortion demand), when he was trapped in his seat-belt.

From an underwater hatch, three of Largo’s henchmen took a submersible craft to the NATO jet to unload and transport the two massive thermonuclear weapons back to the yacht, and then covered the jet with a camouflage net to hide it.

We  just recently decided to delve into the Sean Connery James Bond films.  

We went into Thunderball appreciating that it was a landmark film in  terms of cinematography for the time; it's the only film I've seen that  outdoes 20,000 Leagues Under the Sea in terms of underwater  choreography. 

We also knew that Thunderball wasn't on any top ten Bond  films lists so we didn't expect too much from it, aside from  entertainment. 

It certainly delivered in that department and we were  swept away in an undersea adventure that was tastefully and masterfully  executed. 

I particularly enjoyed that Domino had a bit more complexity  than the standard Bond girl. 

It's not one of the best of the Connery era  but it's certainly a great entry and far, far better than the campy  nightmares that the Roger Moore films became. 

Even though many people  site Goldfinger as the best Bond film of all time, I actually enjoyed  this one a bit more.

-  ashbwell 

As the yacht returned to its base in the Bahamas, SPECTRE No. 1 ordered the execution of Count Lippe.

Bond was summoned away (to London), and bid goodbye to Patricia, promising to reunite with her “another time, another place.”

As he drove off, he was followed by Lippe – SPECTRE assassin Fiona also rode behind them on a rocket-firing BSA Lightning motorcycle. She fired two deadly missiles at Lippe’s car, which exploded and crashed, killing him (# 10 death), and then submerged her bike in a nearby lake.

Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
James Bond negotiating with a pretty evil chick who does not have his best interests at heart. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

In the British Secret Service conference room in an important briefing held by “M” (Bernard Lee), with nine 00- agents in attendance (including Bond), the group was told about recent troubling developments regarding SPECTRE’s possession of two NATO bombs.

A ransom of £100 million pounds sterling was demanded of the British government within seven days – otherwise, SPECTRE threatened to destroy an unspecified major city in either England or the United States (later revealed to be Miami).

To signal their cooperation with the ransom, the Big Ben clock was to strike 7 times at 6 pm the following day.

The problem was that there was no indication about where the Vulcan jet had crashed or landed.

There  is only one 007, and that is the Scottish actor, Sean Connery. Seeing  this one again over the summer was wild and wooly. Yes, they made movies  a bit differently in the early 60's, but that's ok. With 'Thunderball'  you get what you paid for. 

Relentless action, supercool  locations(Bermuda/Virgin Islands) and ultra sexy 'Bond Girls'. Alot of  the action scenes toward the end are all underwater. Connery has fun  with this installment, as the series was still new at the time. Who can  forget the 'shark scene'? This is first class entertainment, and far  from 'politically correct.'

Everyone who is cool in the film smokes  and drinks, as well. 

Connery appeared in a total of 7 Bond movies. This  one was so good, they re-made it in 1983 and called it "Never Say Never  Again"!  True Bond fans will rank this one high on their list. So sit  back, crack open a cold one and watch the remastered version on your  flatscreen. You will not be disapointed! 

- metalhead Ted 

The mission, code-named “Thunderball,” was to work with NATO, the CIA, and all allied intelligence units.

In the briefing packet was a picture of Derval with his sister Dominique in Nassau, Bahamas. Bond was specifically assigned to Station C (Canada), although he requested that his assignment be changed to Nassau.

Bond claimed that he saw the dead pilot Derval at Shrublands (although the situation was confused because Derval was also seen boarding the Vulcan), and he wanted to interrogate Derval’s sister Dominique, presently in Nassau.

With only four days to complete his mission, Bond quickly flew there.

Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
Everyone has to report and defend their actions to the higher ups. James Bond is no exception. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

While free-diving near Dominique “Domino” Derval (former Miss France Claudine Auger), Bond saved her from drowning when her flipper was caught in coral.

Bond and his own local dive assistant, bikinied native Bahamian Paula Caplan (Martine Beswick), faked a conked-out motor and Bond asked Domino for a lift to Coral Harbor, where he invited her for lunch by the pool.

The  film is different from the recent Bond films, but they are from a  different era and cannot be compared.  Sean Connery is absolutely  charming and charismatic.  Daniel Craig is equally perfect for the  modern 007 roles.

I love 60's cinema, the 70's less so, and the  80's just kinda stunk.  It's film's like this that make me love the  60's.  There are certain special effects that are available for modern  film that weren't around then.  There is a scene where Bond is escaping  with a rocket backpack and you can actually see the supporting cables.   This does not take away from the movie.

I won't give away any  plot points, but Sean Connery is what really makes this movie special.  I  admit to Daniel Craig being my favorite 007 agent, but Connery comes in  as a close second.  If you can tear  yourself away from modern effects  and try to appreciate this film (and the others) for what they are, I  think you will be pleasantly surprised. 

- J.AllenTop Contributor: Poker 

He learned that she was the bored, love-starved mistress/kept woman (“niece”) of a possessive “guardian” (Emilio Largo) who owned a yacht and an opulent estate on the island.

He knew her nickname was “Domino” – observed on a bracelet on her ankle.

At a party that evening in a casino, attended by Bond, Domino, and Largo, Bond challenged the villain to a game of cards (with raised stakes to 500 pounds) and won, then briefly shared a drink and dance with Domino, before Largo interrupted and invited Bond to dinner at Sunday noon at his private beachside villa-estate in Palmyra.

Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
James Bond always has so much fun, now don’t you think? Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

The next day, Bond was returning to his hotel room (#304), but avoided directly entering, and came through Paula’s adjoining room (#306) instead.

He listened to a tape recording, hidden in a hollowed-out Nassau Directory.

It had recorded someone’s entry into his room.

With a silencer in his hand, he answered a knock on the door from CIA agent Felix Leiter (Rik Van Nutter), punched him in the stomach to quiet him before he said 007, and also roughly dealt with Largo’s henchman Quist (Bill Cummings) – scalding him in his bathroom shower before sending the disarmed assassin back to his superior.

I  fondly remember this movie when seeing all the James Bond -Sean Connery  movies for free with my brothers up in the above theater balcony with  special seating as my father held a second job during that decade  (1960's and early parts of the 70's) as the Motion Picture Machine  Operator.I was pretty young though at the time (just 7 years of age).Dad  was also a Commander too in the long past before I was born just like  James Bond.

I especially liked the C.I.A. Fulton equipped B-17 Flying  Fortress 44-85531 in the movie and pointed that out to father after  watching it on cable television with him a few years before he passed  away in 2004 as he was an Aircraft Commander/Pilot of the B-17's during  World War II.Sean Connery as James Bond was a character that my father  and I too adored.

To me Sean Connery is James Bond and no other actor replaces him as that for me.

Seeing this again brings me back to happier times.
The DVD was shipped quickly and it plays well. 

- x9078ljk4+ 

At Palmyra, a disgusted Largo ordered Quist – after his failed mission – to be thrown into a swimming pool containing sharks (# 11 death).

Bond met with local MI6 ally-contact Pinder (Earl Cameron) and was taken to a base of operations behind a marketplace, where “Q” (Desmond Llewelyn) provided him with the latest multi-purpose gadgets, many for underwater use.

In approximately 55 hours, the British government was planning to drop the “blood money” ransom (off the coast of Burma) in the form of blue-white diamonds worth £100 million pounds.

Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
This is an old movie and the technologies are dated. However that in no way detracts from the movies. Instead, it only adds to it’s charms. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

At night, Bond donned scuba gear and swam under Largo’s yacht — where one of Largo’s sentry-frogmen discovered him and fired a spear-gun.

Largo watched Bond struggle underwater, after turning on lights and activating closed-circuit video cameras, and saw that Bond cut the man’s air-hose.

Largo ordered hand-grenades dropped on him as Bond was taking photos of the hull of the boat (with his infra-red camera).

Bond was stunned, but escaped unharmed, and evaded a search-boat – letting them think he had been killed by its propeller.

After he came ashore, he hitchhiked and was picked up by Fiona Volpe (wearing a ring with an Octopus symbol, similar to the one worn by Largo) in a light blue Mustang and speedily driven at 100 mph back to his Nassau hotel.

The photos were developed at Pinder’s base, revealing an underwater hatch beneath Largo’s yacht.

Bond guessed that Largo’s entire operation was concealed underwater, and that the Vulcan plane was submerged.

Another  excellent James Bond film looking at men of international crime.  A  very realistic villain emerges here in this fourth James Bond film.

 Bond's crime nemesis Emilio Largo has a seaside home in Nassau out of  which he runs a nuclear weapons theft operation.  His small crew are  able to conceal the warheads easily,  and almost escaped detection if it  weren't for Bond's excellent tracking instinct and bravery.

True  to the 007 film franchise formula,  this movie has all the gizmos and  equipment that 1950's and  60's Westerners were convinced would be in  high demand such as hydrofoils and jetpacks and that sort of thing.   Unfortunately the jetpack has fallen into disfavor as a mainstream idea.   Though a lot of the tech in Thunderball has  fallen into disfavor,  still it was very cool nonetheless to journey back through the era  before I was born and see how people embraced the future.

In  summary,  this 007 movie follows on the heels of other excellent ones  that set the bar very high. Also, the underwater photography and ensuing  action sequences are really excellent,  which adds immensely to the  enjoyment of the move.  

I would consider watching this again after a  short time just for the shocking diving action sequences the end of the  film alone. However,  there are other aspects of this movie that kept my  attention as well,  such as the feeling that Ian Fleming's work  inspires us not to underestimate the deviousness and creativity of  criminal minds.  

Though we sent a man to the moon, and are optimists by  nature,  the plot stays grounded in the reality that Bond almost doesn't  prevail at several junctures against a nuclear madman.  

To Fleming,  Broccoli,  and Saltzman's credit, they seem to convey an important  subtlety well: though the MI6 team thought failure was unimaginable,  it  also doesn't mean mission accomplishment was guaranteed, or failure is  impossible. 

- Aye Aye Captain! 

The next day (Sunday), a search by helicopter for the missing plane near Nassau was unfruitful.

While shooting skeets at Largo’s oceanside villa of Palmyra, Fiona vowed to assassinate Bond when the time was right: “I shall kill him.”

Later that day as a guest at Largo’s villa for lunch, Bond was shown around and also shot skeets.

Largo bragged about his pool with Golden Grotto sharks (“the most savage, the most dangerous”). Because he was busy, Largo also invited Domino to accompany Bond to the Junkanoo, the “local Mardi Gras” that evening, to keep him occupied.

A sex scene done properly. You do not need to show pornographic activities to titillate.  Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
A sex scene done properly. You do not need to show pornographic activities to titillate. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

Meanwhile, in her hotel room waiting for Bond, Paula was chloroformed and abducted by Largo’s goons (and Fiona).

The assassinatrix noticed Bond’s photos of the yacht’s hull. During the Junkanoo celebration that night, after learning that Paula had disappeared, Bond snuck away (Leiter kept Domino occupied) and infiltrated Palmyra, at the same time that Pinder had requested a power blackout to cut the electricity.

He located Paula being questioned by Largo’s silent, sadistic black-dressed henchman Vargas (Philip Locke) in an underground room.

Thunderball  is one of the best of the James Bond movies. Although it was filmed in  the 1965, the technology and action scenes still look good 50 years  later (I bought the DVD in 2017). In this film James Bond is played by  Sean Connery, who I think did the best portrayal of Bond. The plot  revolves around the stealing of nuclear bombs by Spectre, the nefarious  group that opposes Bond in several other of the films. 

The underwater  fight sequences are spectacular – even recent films have trouble topping  them. 

The Bond girl in this movie is played by actress Claudine Auger;  excellent casting. A classic Bond film throughout; much better than many  of the other Bond films. I think Thunderball and Goldfinger are among  the best two Bond films made. 

- Lee Gimenez 

When Bond attempted to rescue her, he was too late – she had already heroically committed suicide by self-administering a cyanide capsule (# 12 death).

As Bond fled, he shot one of Largo’s men (# 13 death, # 2 Bond kill) to get the group to shoot at each other, and engaged in a fist-fight with one of the men.

The two fell into a second swimming pool (Largo deployed the metal pool cover, and then opened a tunnel hatch to the other shark pool).

Bond stabbed his opponent in the gut (his bloody wound soon attracted the hungry sharks and he was consumed) (# 14 death), and then swam through the tunnel to narrowly escape.

Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
I can see me doing this. Can’t you? (Actually, I’ve done it many times, don’t ya know.) Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

After contacting Pinder and being driven back to his hotel, Bond found Fiona naked in the bathtub of Paula’s vacated room.

After making love with the “wild” woman (“You should be locked up in a cage”) (# 3 tryst), the two dressed up and planned to return to the all-night Junkanoo celebration.

However, Fiona (revealing her true identity as Largo’s assassin) betrayed Bond and held a gun on him, to escort him to Largo’s presence with support from other thugs.

After  the first three attempts, they finally got all the right ingredients to  making a great bond film. 

A Nato Vulcan bomber carrying two atomic  bombs has crashed in the caribbean, SPECTRE has informed the British  Government that they hijacked the plane's cargo, unless a ransom of 100  million is paid in seven days a major city in england or the U.S will be  destroyed. 

So MI6 calls in all it's agents, but only one will have the  lead. 007,  and awaiting Bond in the Bahamas is Fiona Volpe. 

A SPECTRE  executioner, she's the one who orchestrated the Vulcan hijack, as a  matter of fact, as she and Bond are dancing in a street cafe. 

One of her  men is about to shoot Bond, but he swings her around, and Volpe gets  shot in the back instead of Bond, a very deadly and sexy assassin. 

Paula  Caplain, she is another MI6 agent. But sadly Fiona Caplain and Largo's  men kidnapped her from her hotel room, and Bond was too late to rescue  her. Emilio Largo, SPECTRE number 2. 

Owner of a luxurious yacht, a  niiiiice house. And owner of the two missing bombs, and last but  certainly not least is Domino Derval. The sister of NATO pilot Major  Derval, she is also Largo's girfriend. 

But grows tired of his  overbearing ways, and soon becomes attracted to Bond. As a matter of  fact, she ends up being the one who kills Largo. 

This movie has it all,  the pre-title sequence. Where bond kills another SPECTRE agent, at a  funeral, then gets away via a jetpack. Bond also has his way with the  ladies, but is also decisive when need be, a cold blooded killer. 

This  movie doesn't focus on gadgets, but it does use them. Me personally, i  think this is arguably the better of the early Bond films. 

- Ben Milton 

But Bond escaped from their car when they were held up in festival traffic, although wounded in the lower right leg as he ran into the crowd.

He was chased through a carnival parade by five henchmen, and Fiona caught up with him at the open-air Kiss Kiss Club where patrons were being entertained by a female fire-dancer, and a bongo-band played.

As Fiona danced with Bond and asked him to surrender, while steering him closer to an assassin, she was shot in the back and killed by her own bodyguard (with a bullet meant for him) (# 15 death).

The Bad guy with the pretty seductress.  Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
The Bad guy with the pretty seductress. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

With only about 15 hours until the drop of the ransom, Bond took another helicopter search with Felix Leiter for the submerged plane, spotting something at a shark-infested location called the Golden Grotto.

One shark was shot to distract the other sharks, as Bond dove down with one scuba tank to investigate.

Inside the downed plane, he found the bodies of the dead crew members, including “Derval” (Angelo, the counterfeit NATO pilot).

Bond engaged in a second dive with Domino, an opportunity to become more intimate with her underwater (# 4 tryst) although discreetly hidden when they ducked behind some coral and bubbles exploded to the surface.

Later he commented: “I hope we didn’t frighten the fish” before kissing her.

She stepped on poisonous sea egg spines as they came ashore, and after treating her, he delivered the news of her dead brother Francois, and offered his dog-tag and watch: “It’s a long story and it involves your friend, Largo…Largo had your brother murdered, or it was on his orders.”

Of  the first four Bond films this one is a powerhouse from the get-go.   Even the pre-credit section gets you going with the music, the art, the  visuals.  Thunderball really put it all together for this franchise. It  was, and still is, literally a thunderball of a production.  Everyone is  included in this and everyone shows up and delivers.  There is a real  serial moving story here from beginning to middle to end.  From the Bell  Rocket Belt, to more of the Aston Martin, to the gadgets and sheer  style.  Who can forget "Huit pour la banque. Pass the shoe."  Bond has  been his best in the casinos.  It is a real education.

This  franchise has always been big on Fords, too, and used the hot car(s) of  the time such as the Mustang.  Part of the "special relationship" we  have had with our British cousins.

I did not see any AMPAS marks  on the jewel box, but there is no way this should not have won an OSCAR  in some category -- especially a whiz-bang technical category.

This surpasses the third very impressive installment, "Goldfinger," and is probably one of the best ever of the Bond Franchise. 

- lidz 

As Bond asked for her help and trust, he explained how hundreds of thousands of people might die.

He admitted he didn’t know when the bombs would be loaded on the Disco Volante, and wanted her to detect them with his geiger counter gadget.

Bond turned and shot Vargas (pointing a gun-silencer his direction) in the stomach with a harpoon gun, impaling him to a palm tree (# 16 death, # 3 Bond kill) (“I think he got the point”).

You might be cool, but you will never be as cool as James Bond.  Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
You might be cool, but you will never be as cool as James Bond. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

As she was leaving, Domino told Bond about a canal, a bridge, and a flight of steps that led into the ocean, on the far side of Palmyra – a perfect entry-point that Bond soon swam to.

He noticed SPECTRE diving gear stashed there, swallowed a homing device, and awaited darkness.

When Largo’s army of frogmen arrived, Bond knocked one of them out, stole his scuba gear, and swam with the group out to the yacht, where Largo ordered: “Once we pick up the merchandise, head for our target area, Miami.”

Their plan was to retrieve the bombs from a hidden undersea cave compartment with the submersible, and then threaten to detonate one of the bombs at a wreck near Miami.

During the retrieval process, Bond’s cover was blown (he was recognized by Largo), and he was forced to kill one frogman (# 17 death, # 4 Bond kill).

Trapped and stranded inside the underwater cave, Bond looked for an exit and emerged deep in an island cavern.

While  many rank GOLDFINGER as the best Bond ever, THUNDERBALL has always been  my favorite.  To me it had all the Bond ingredients (gadgets, lots of  sharks, the Aston Martin, scuba diving, gorgeous babes & plenty of  action) as well as a cohesive plot.  Spectre remains one of the most  formidable villains in Bond history, even after all these years.

The  underwater brawl between the Spectre divers and the Navy(?) divers  remains a classic climactic scene in all of the Bond movies.  I'm just  guessing that they were Navy (SEALS?) as usually Army guys are not  trained in scuba operations.

Connery's final Bond movie, NEVER  SAY NEVER AGAIN, was more-or-less a remake of THUNDERBALL.  There were a  few variations here & there, but the basic plotline & many of  the elements remained the same.  This goes to show just how enduring  THUNDERBALL was to the Connery Bond movies. 

-D. Roberts

Back onboard the yacht, Largo caught Domino using the geiger counter “toy” given to her by Bond and threatened: “There is no escape for you.”

He menaced her with torture unless she revealed the extent of Bond’s knowledge, but was called away to activate the bombs.

Onboard a Coast Guard search helicopter, Leiter used Bond’s homing device signal to locate him.

Bond also indicated his exact whereabouts with a red flare gun. A cable was lowered to him for rescue.

Bond warned that Largo’s target was Miami, and that one bomb was being transferred from the yacht to a wreck off Fowley Point.

Bond. James Bond. You might be cool, but you will never be as cool as James Bond.  Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
Bond. James Bond. You might be cool, but you will never be as cool as James Bond. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

With support from the CIA and the US Coast Guard aqua-divers in red (parachuting from planes into the waters around Miami), an intensely fierce underwater battle was fought near the wreck against Largo’s frogmen-henchmen (in black) (unknown number of deaths).

Bond joined the Coast Guard divers, wearing an underwater jet pack propulsion unit (with high-velocity exploding spear-heads) strapped to his oxygen tanks.

During the bloody struggle, he cut the air-hoses of a few frogmen and also speared one of them (# 18 death, # 5 Bond kill).

Yes, that's what Bond says to the just bedded villianess
once he's captured. You gotta admire the style of it.

Though a little slow at times expecially in the underwater
scenes this fourth Bond adventure is pushed forward
by the music, the cast, and great locations. 

Bond gets off
lots of good lines and the girl is especially beautiful.
The villian, Largo,is one off the top five baddies in the
series.

The title sequence is one of the best with Tom Jones
Giving his all and falling unconscious in the
recording booth after holding the last note of the hit
title song. Way to go Tom! 

- Paul Kyriazi 

Bond then removed his tanks, used his re-breather device, and detonated an explosive canister to kill three more pursuing henchmen within the wreck (# 19-21 deaths, # 6-8 Bond kills), and then helped to turn the tide in the battle.

Blood in the water attracted sharks to the scene, as Largo’s men were routed and then surrendered.

When Largo swam away with two of his remaining men, Bond killed one of them with a harpoon-gun (# 22 death, # 9 Bond kill), and pursued an escaping Largo to his yacht.

Underwater, Bond held on as the Disco Volante weighed anchor (with one stolen disarmed atomic bomb still onboard), but was under attack by cannon-fire from the US Navy.

Largo created a smoke screen and jettisoned his yacht’s rear cocoon to increase the speed of the separate hydrofoil. The cocoon section of the yacht, with a machine gun and deck cannon, exploded and killed all onboard (many deaths, number unknown).

Bond being the quintessential man. Bond. James Bond.  Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
Bond being the quintessential man. Bond. James Bond. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

During a life-and-death hand-to-hand struggle between Bond, three crew-members, and Largo in the hydrofoil’s cabin, Bond threw one crew-member overboard, and knocked the other two unconscious.

He was saved from being shot by Largo, when Domino (who had changed allegiances), was freed in her cabin by Kutze (who had disarmed the bombs), appeared from below deck, and harpooned him in the back with a spear (# 23 death).

Can  you imagine a film getting any better whether it is the women,the  villains,the locations or even the plot this one has got it all.One  might think it is outdated now but then look again this film is the  stepping stone to any action movie that is to be made in the coming  years.

Sean Connery stamps his signature yet again as James Bond 007  in the fourth installment of the Bond franchise.Director Terence Young  makes it more tongue and cheek than any other Bond movie.There is no raw  filth or even gore but the story is so perfect that it makes you forget  about its tiny if at all faults.

There are some memorable moments in  this film like the opening jet pack sequence,gunfight at Largo's house  during a blackout and the final underwater battle.Simply breathtaking  and proof of quality film making which today is seriously considered by  Jerry Bruckhiemer/Joel Silver and Steven Speilberg.

Adding to the  movie's good points is also John Barry's superb score which to this day  haunts me as it is quite memorable.I also took a great liking to the  leading ladies because they can not get any sexier to me.

The plot  revolves around Blofeld's organization hijacking nuclear warheads and  demanding a ransom.The beautiful location of the Bahamas a used  extensively where Bond tries to unravel the doomsday plot. 

- Anisha Dharmadasa 

(Domino: “I’m glad I killed him.” Bond (relieved): “You’re glad?”).

With Largo death-locked to the jammed steering, they jumped overboard to escape from the yacht’s explosion when it ran aground and struck a reef (# 24-25 deaths, # 10-11 Bond kills).

Kutze was left at sea with a life preserver, while in a yellow raft, Bond inflated a red balloon tied to a rope that was snagged by a US Navy Boeing B-17 plane with a skyhook, and the two held onto each other during their rescue.

Rescuing the girl. All in a day's work for James Bond.  Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
Rescuing the girl. All in a day’s work for James Bond. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

Film Notables (Awards, Facts, etc.)

The fourth film in the series. This was director Terence Young’s third and final direction of a Bond film. (He did not direct the third film, Goldfinger (1964).)

The code name for the MI6 mission, Thunderball, was also the film’s title.

This was originally intended to be the first Bond film but a series of legal disputes delayed its release.

This was the first James Bond film shot in wide-screen Panavision.

The film’s remake was Never Say Never Again (1983), one of the unofficial James Bond films. However, Sean Connery portrayed Bond in the film it was his seventh and final appearance on the screen as the character. He claimed it was his favorite 007 performance.

Lighting up a cigarette while the secret agent beside you has a gun trained on him. This is a scene you won't see today. It's usually the police pointing guns at you, and usually because you are smoking cigarettes. That devil stick!
Lighting up a cigarette while the secret agent beside you has a gun trained on him. This is a scene you won’t see today. It’s usually the police pointing guns at you, and usually because you are smoking cigarettes. That devil stick!

This was the only Bond film in which all nine 00- agents appeared together in London, England, where M summoned them to a briefing about SPECTRE’s plot.

Molly Peters (as Patricia Fearing) was the first Bond girl to appear nude (in silhouette) – in the steambath scene. And Martine Beswick, as Paula Caplan was the first Bond girl to appear in two Bond girls as different characters (she was fighting gypsy girl Zora in From Russia With Love (1963)).

With an Academy Award win, the second (and last win, to date) for Best Special Visual Effects.

With a production budget of $9 million, and gross revenue of $63.6 million (domestic) and $141 million (worldwide).

Thunderball had the highest domestic box-office earnings of the Bond films (to date) – when adjusted for inflation. Its domestic unadjusted gross of $63.6 million was $600 million when adjusted. Goldfinger (1964) was a distant second with $51 million (and $531.7 million adjusted).

I  wanted to watch the original early films of Bond, beginning with Dr.  No. It's great to see Sean Connery evolve from film to film to become, I  feel, the best Bond there ever was. 

I'm progressing in order from Dr.  No, to From Russia with love, Goldfinger, Thunderball, You only live  twice, Diamonds are forever, and the later semi-Bond "Never say Never  again". 

I know many fans of Bond dislike the last film Connery did, but  perhaps they were expecting too much from a then, pretty weathered,  franchise. 

I still have to watch the final two Connery films and am not  expecting too much from "Diamonds" and even less from "Never". 

But that  doesn't take away from the talent and artistry of Sean Connery and I'm  more into those last films to simply watch how he slowly bows out of the  James Bond role forever. 

It's sad film history to watch sometimes, but  I'd rather watch these first Bond films again and again than to tolerate  the works of Roger Moore and the others. 

Daniel Craig is a fresh  approach to the role, but he lacks the warmth and humor that Connery  brought to the role. 

I'd love to see one final film where Sean Connery  has taken over the position of "M" and guides newer agents along, making  them the best they could be. Sort of like an episode of "NCIS", I know,  but it would be entertaining to see, none-the-less. 

- Richard Behmer 
What's a James Bond movie without action and excitement? Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
What’s a James Bond movie without action and excitement? Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

Bond Villains:

  • Emilio Largo (Adolfo Celi)
  • Count Lippe (Guy Doleman)
  • Angelo Palazzi (Paul Stassino)
  • Fiona Volpe (Luciana Paluzzi)
  • Ladislav Kutze (George Pravda)
  • Quist (Bill Cummings)
  • Vargas (Philip Locke)

Bond Girls:

  • Patricia Fearing (Molly Peters)
  • Dominique (“Domino”)
  • Derval (Claudine Auger)
  • Paula Caplan (Martine Beswick)
Thunderball  is one of the better James Bond movies in the set of Bond.  I have  heard the rumours about the underwater fight scene being edited but in  my personal viewpoint still an amazing fight scene and very well filmed  for the movie.  

The movie plotline was actual very believeable about  stealing a weapon and holding it for a money trade off in exchange for  where the weapon is located.  

I also would like to add that I thought  Sean Connery was in one of his best phsyical shapes as James Bond base  on the fight scenes and action stunts unless they used a stunt man.  

I  thought every actor did a great job with what they had to work with and  the added benifit of the dance scene and all that music going on very  impressive turn out.  

I look forward to many more James Bond movies and  writing up much more reviews of them to come as I watch them.  So watch  this one with an open mind and make up your own mind weither you enjoy  it as much as me or not. 

- Jack D. Lowry  Top Contributor: James Bond 
After killing the bad guys, it's time to relax and enjoy the fruits of your efforts. Don't you think? Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.
After killing the bad guys, it’s time to relax and enjoy the fruits of your efforts. Don’t you think? Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

Number of Love-Making Encounters:

There were four love-making encounters.

Film Locales:

  • Paris, France
  • Shrublands Health Farm/Clinic
  • (and the) nearby NATO airbase in south of England
  • London, England
  • Nassau
  • The Bahamas and other surrounding islands
  • Miami, Florida
The bad guy with the beautiful chick. A James Bond standard.
The bad guy with the beautiful chick. A James Bond standard. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

Gadgets:

  • A Bell Textron jet pack rocket belt.
  • Devices in Bond’s Aston Martin.
  • Angelo’s/”Derval’s” separate oxygen supply and gamma gas canister.
  • Largo’s Disco Volante (with an underwater hatch, hidden video cameras) and his yellow submersible submarine.
  • A modified waterproof (underwater) Rolex watch with geiger counter.
  • An underwater infra-red camera for nighttime photos.
  • A miniature pistol that fired distress signal flares (bright red).
  • A miniature (pocket-sized) underwater re-breather device good for four minutes.
  • A harmless radio-active homing device in the shape of a pill.
  • An underwater jet pack propulsion unit with exploding, high-velocity spearheads.
  • A sky-hook.
Bad guys look great with patches, or monocles. Having a pretty chick in a nice slinky dress helps as does piles of money at a casino table.
Bad guys look great with patches, or monocles. Having a pretty chick in a nice slinky dress helps as does piles of money at a casino table. Thunderball is a classic James Bond 007 movies. It is a movie that has something for everyone, and ages well. It gets better with time.

Vehicles:

  • A silver Aston Martin DB5 (with rear armor shield, and rear-firing, high-pressure water cannon-sprayer).
  • Vulcan jet.
  • Hydrofoil Disco Volante.
  • A gold BSA Lightning Motorcycle (with missiles).
  • Domino’s Boehler Turbocraft dive boat.
  • Volpe’s light blue Mustang.
  • A Bell helicopter.
  • A US Coast Guard helicopter.
  • A US Navy Boeing B-17 plane.

Number of Deaths (Bond Kills):

There were a total of 25+ deaths in the movie, of which James Bond killed 11.

Conclusion

This is a great movie, and fantastic escapist entertainment for men and women alike. Childish millenials need not watch it, as they are far too easily offended by normal adult interactions.

"When arrows meet".
“When arrows meet”.

Not to worry, a transgender, role-reversal Bond flick is in the works. They will continue their narrative that White Males are the scourage of the universe, dumb, stupid and a bane on society.

Don’t waste your money on this new progressive propiganda. Enjoy these older flicks before they are banned from distribution. Because, if history is any indicator, they WILL be banned.

The New “modern, progressive” 007…

You can tell why liberal Hollywood selected her. If you morphed Hillary Clinton and Michelle Obama together, this is what the result would look like…

The new "face" of 007. She's modern, she's progressive, and she's LGBT friendly. She is the new woman that will lead America towards the social utopia as promised by the "great ones".
The new “face” of 007. She’s modern, she’s progressive, and she’s LGBT friendly. She is the new woman that will lead America towards the social utopia as promised by the “great ones”.

If you enjoyed this post, please check out some of my other posts in my Movie Index…

MOVIES

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

The sad story of Suntan Suzy.

From the annals of “lost and forgotten toys” we have the sad tale of Suntan Suzy. This little doll can tell us a lot about ourselves and our society. Because we need to ask ourselves what went wrong? How did this come to pass, and what reflection does this have on our culture?

Obviously someone, at sometime, gave the “go ahead” to design, and develop this doll. They did so, made a large batch of these playthings and then nothing happened. Some sold, but the bulk collected dust and then were discarded into the vast garbage dumps of the 1960’s.

Suntan Suzy Doll

Suntan Suzy was a doll that would develop a tan if you put her in the sunlight. Back in the shade, her tan would fade. She came on the market in 1962, but lasted for only one season. As far as I can tell, she was the only doll that has ever had the ability to tan.

Advertisement for Suntan Suzy.
Advertisement for Suntan Suzy. From the Arizona Republic – Nov 23, 1962.

The secret of Suntan Suzy…

The chemistry responsible for producing the tanning effect is described in Patent No. 2,921,407 (Jan 19, 1960) – “Simulating Sunburning Toy Dolls and Figurines”: 0.5 gram of mercuric bis-dithizonate having the following structural formula was dissolved in 1000 grams of dioctyl phthalate.

The Suntan Suzy secret.
The Suntan Suzy secret.

1550 grams of a high molecular weight polyvinyl chloride polymer, in powdered form, were dispersed in this solution by stirring for ten to fifteen minutes. The latter material was specifically Bakelite Company QYNV polymer. Thus a plastisol formulation containing the phototropic dye dissolved in the liquid dioctyl phthalate (plasticizer phase) was obtained. About 120 grams of this plastisol formulation were then poured into a two piece steel mold, this having its inner surface previously coated with a silicone oil release film. This was then placed in an oven at 140 degrees centigrade and held at this temperature for eight minutes to allow solution of the polyvinyl chloride polymer phase. The mold and contents were then removed from the oven, cooled to room temperature, and the now solid form of the doll figure removed.

An amazing girl…

The Suntan Suzy doll within the original packaging.
The Suntan Suzy doll within the original packaging. The image source: worthpoint

The figure thus produced was transparent and red in color. Upon exposure to sunlight a progressive darkening to a brown, then blue-black color occurred during a period of about three to four minutes, simulating a “sunburning” effect.

When the doll was shielded from the sun a return to the original color took place, being visually complete after a period of eight to ten minutes. This action was repeatable with no detectable change in functional characteristics being noted after several dozen cycles.

Fully boxed up Suntan Suzy.
Fully boxed up Suntan Suzy.

It seems like an interesting gimmick for a doll. Curious it never caught on.

Society

Perhaps it wasn’t marketed properly, or perhaps it did not fit the profile of girl doll play. Most of the girls that I grew up with didn’t take their dolls with them to the beach. Instead, the preferred to paly with them indoors, within the comfortable confines of the house.

This little post is one of those “hum…” style posts, and will soon be forgotten. Much like a comma is in the book “War and Peace”.


If you enjoyed this post, please fell free to take a trip to my happiness index here…

Life & Happiness

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some of my favorite links and browser bookmarks.

Here are just some pretty decent websites, bookmarks, URL’s and sites that I would like to share. I think that there is something here for everyone. These, in my mind, are the “cream of the crop” of underappreciated websites, and some places that you all might want to visit.

My first up is a major personal favorite. I could spend hours flipping though the photos here…

Shorpy

Shorpy.com is a vintage photography site featuring hundreds of thousands of high-definition images from the 1850s to 1950s. The site is named after Shorpy Higginbotham, a teenage coal miner who lived 100 years ago.

Shorpy.com  is a vintage photography site featuring thousands of high-definition images from the 1850s to 1950s.
Shorpy.com is a vintage photography site featuring thousands of high-definition images from the 1850s to 1950s.

What is really cool about this site is that you can see what things were like “back in the day”. The visions of everyday life, the buildings, the cars, and the people are just wonderful to browse and explore.

It often carries me back to a simpler time…

Fall 1911. "The village street -- Lee, Massachusetts."
Fall 1911. “The village street — Lee, Massachusetts.”

All the photos are in glorious clarity.

Most are black and white, but some are in color. Additionally, super high resolution photos are available for you to obtain, and put on your wall if you want. It’s a fantastic resource, and super fun. Not to mention, a great way to reconnect with our past.

Here’s another photo…

A tough-looking group and their Essex sedan somewhere in Northern California in 1929. From a box of negatives found in a thrift store.
A tough-looking group and their Essex sedan somewhere in Northern California in 1929. From a box of negatives found in a thrift store.

And the photographs of the buildings are absolutely astounding. Seriously. Why in good-Gods name would these structures be demolished back in the 1960’s and 1970’s? What were people thinking?

New York circa 1910. "Bird's eye view of new Pennsylvania Station." Demolished in 1963.
New York circa 1910. “Bird’s eye view of new Pennsylvania Station.” Demolished in 1963.

The Woodpile Report

Update 28APR21. Ol' Remus passed on. The website is still up but it hasn't been update in months. FYI.

From the beautiful photos to some thought-provoking articles. We go to the Woodpile Report. It’s glorious.

Here’s what it’s all about, from o’ Remus himself…

Woodpilereport.com is an entirely  private information service that is my sole property made available to  others as a form of free personal expression under my de jure Preamble  Citizen’s right as later guaranteed in the First Article in Amendment to  the Constitution. 

Woodpilereport.com is not a “public accommodation”  and it is preemptively exempt from any forced or coerced accommodation,  via legislation or bureaucratic interpretation thereof or any dictate,  directive, or decree by any agency of government or by any NGO or by any  individual under any future “Fairness Doctrine” or similar charade. 

I  reserve the right to refuse service - to wit: to refuse posting,  linking, or mention of anyone or anything, at my sole discretion - to  any person, agency, corporation, or other entity.

Woodpile Report is from the  Hermetic School of websites. There is no advertising, no partnerships,  log-ins, popups, subscriptions, print version, Disqus, feedback section,  tip jar or shop. There are no trackers, cookies, LSOs, analytics or  widgets. Posted links are cleansed of superfluous identifiers. 

The woodpile report is a wonderful weekly report by ol’ Remus.

He collects and reads the news and articles from all over the internet. Ones that he finds interesting, he places a paragraph or two and his opinions plus a link. These articles are what are of interest to him, and most lie outside the mainstream media.

As such, each week is a great cross section of alternative (non-mainstream) thought on the internet. There are great links to such diverse topics from canning, to history of old radios, to prepping, and opinions on modern American politics.

It’s all worth a visit. Don’t you know.

Like this here blog, it’s not a well-known and heavily trafficked site, and I think that part of what adds to it’s charms. Go ahead and take a visit, you will not be disappointed.

Here’s an example, as this screen capture can plainly show…

Screen Capture from The Woodpile Report.

But, you know, I do like to look at art and enjoy the beauty and the meaning that lies with in. As such I often go to the…

The Art Renewal Center

The Art Renewal Center was founded by an Art Lover who was perplexed why museums and the “art industry” rejected the works of the old masters.

He wondered why, instead, they embraced the “new, progressive” art without form and meaning. (Such things like turd in a jar of olive oil, and a painting of dog foot prints on a white background.)

So, he built this website, and to his surprise, discovered that others, much like himself, were appalled at the treatment of the old artists, and the embrace of new “junk art”. He discovered that there is a world full of people, much like himself, that loved art for the sake of beauty and poetry. They, like himself, felt deprived as modern “progressive” thought was eradicating real beautiful art from society…

The Art Renewal Center was founded by an Art Lover who was perplexed why museums and the "art industry" rejected the works of the old masters, and embraced the "new, progressive" art without form and meaning.
The Art Renewal Center was founded by an Art Lover who was perplexed why museums and the “art industry” rejected the works of the old masters, and embraced the “new, progressive” art without form and meaning.

He created a website that collected all the known beautiful works of art in the world, and offers them up for free to view and appreciate.

This is what he has to say about the Art Renewal Center…

Leading the revival of realism in the visual arts, the Art Renewal  Center (ARC), a 501(c)(3), non-profit, educational foundation, hosts the  largest online museum dedicated to representational art and includes works by the old masters, 19th century, and 21st Century Artists as well as articles, letters and other online resources. 

The ARC is the foremost and only vetting service for representational art schools  ensuring that the teaching curricula and quality of teacher and student  work meet our strict standards to become ARC Approved™. 

The ARC also  runs the ARC Salon Competition,  which is the largest and most prestigious competition in the world for  realist artists painting, sculpting and drawing today with eleven  categories and thousands of works competing, culminating in a traveling live exhibition of many of the winning works. 

The ARC works with other ARC Allied Organizations™, artist groups, museums, and publications to become a central news hub for the 21st Century Representational Art Movement. 

Read the ARC Philosophy written by ARC Chairman, Frederick C. Ross, to learn why ARC is so passionately dedicated to representational art. 

Well, we know now, that the “new, modern, progressive art” is just a mechanism for transferring enormous sums of money. It does this back and forth between the global oligarchy so as to avoid the tracking and banking (read taxation) issues that the rest of us have to deal with.

Never the less, those of us that appreciate art, want to be exposed to it, and share our love of art with others. Thus this site.

Here, you can find many, many beautiful works of art done in the classical sense. This is the stop for beauty and art.

It’s got everything from the old masters…

Napoleon I and the King of Rome at Saint-Cloud by Francois Flameng , a member of the Academic Classical artist technique.
Napoleon I and the King of Rome at Saint-Cloud by Francois Flameng , a member of the Academic Classical artist technique.

To new up and coming artists…

The International Figure Painting Competition is one of a series of competitions held by NTD Television. It is a platform for artists all around the world, to showcase their talents and jointly revive the traditional art of realistic oil painting.
The International Figure Painting Competition is one of a series of competitions held by NTD Television. It is a platform for artists all around the world, to showcase their talents and jointly revive the traditional art of realistic oil painting.

I cannot stress how profoundly important this website is. As such, I am including ” The Philosophy of ARC”. If you agree with this philosophy, perhaps you might want to browse the collections and make a donation and become a member. They are doing great work. I’ll tell you what.

Fine art at its best has the power to move one to tears, or  grab your sensibilities and rivet you in the moment with an overwhelming  sense of beauty and excitement. 

People often report the sensation of  cold chills going up and down their spine. It may be the rare work that  accomplishes this, but for those who have had this experience, many have  credited it as the stimulus that set them on a personal lifetime quest;  whether as an artist, collector or art historian. 

Other human  activities can create a similar experience, whether in poetry,  literature, dance, theatre, or music, but it is the experience of beauty  in fine art and beauty and its relationship to fine art that is the  focus of this essay.         

If you are reading this, in all probability you are one of the millions of art lovers who in the 21st  Century are disillusioned with the Modernist paradigm which for more  than a century has been the dominant way the concept of art has been  taught and presented in nearly all institutions of higher learning  throughout the world.         

If you are like us, it seems more than a little self-evident  to you that works of art have infinitely more to say and communicate if  they portray the real world, or use figures and objects from the real  world even when portraying fantasies and dreams. 

You experience such  "realist" works as infinitely more successful than any Modernist works.  

The success of Modernism seems like a form of mass insanity, a  nightmarish anomaly from which we pray the art world will finally soon  awake.
         
For most of the 20th century, people who felt as  we do, found themselves attracted to fine art in most if not all cases  from having been to museums and fallen in love with a number of works of  art created in the 15th through 19th centuries.  

You may have wanted to become an artist yourself and were channeled by  advisors into fine art courses taught in the art departments of colleges  and Universities where you were promptly told that your instincts were  all wrong. 

That such works had a place in their time, but that modernist  works were far superior. 

What followed was an attempt to change your  attitudes and beliefs and to convince you that works, which commemorated  the destructions of some aspect of what used to be traditional Realism  were the only worthwhile artworks and concepts.        
 
You were never told that these "educators" had never  themselves learned any of those skills needed by all artists during  prior centuries, and so were completely bereft of any of the experience,  skills and knowledge for which you had assumed your tuition bills would  be paying. 

They made you believe that they all could draw and paint but  had chosen to abandon those skills due to some great epiphany.    
     
If you were true to yourself and your feelings and beliefs,  you probably left that "art" department and considered doing something  else with your life. 

Many of you went into commercial art. 

Others became  art historians, but most found other fields entirely. A rare few of you  searched out and found one of a handful of ateliers who actually still  taught the methods of the old masters. To the best of our knowledge  there were 7 such ateliers in 1980 and all of them were taught by  students of  Pietro Annigoni  or  Ives Gammell 1. Both atelier masters could trace their training seamlessly to the 19th century and beyond.         

By 2002 when the Art Renewal Center decided to add to their  website a section of ARC Approved® Ateliers schools the number of such  schools had grown to 14 with each having between 5 and 15 students. 

We  added a map of the world where it became very easy to identify all the  schools and to find the nearest one to any local. Within a few months  the numbers of students able to find these schools started to grow  geometrically, and today, just 14 years later, there are over 100  schools teaching the atelier style training and thousands of students.2 
        
So, what do all these students and educators see that  Modernists do not? And why is it that most educated people who are not  part of the art world seem to also prefer traditional realism?3

It is the purpose of this essay to answer that question in  the clearest most direct way possible, and to thereby help establish for  artists and the consumers of art, a set of criteria by which they can  judge works of art, understand their own preferences, and if needed, to  arm them with the facts, concepts and information to deal with the  modernists, educators and apologists who are constantly attacking and  denigrating the skills and subjects which enable fine art. 

The skills  like with literature, poetry and theatre that enable us to communicate  our shared humanity.  

We will accomplish this by delineating a simple  way to understand and define what fine art is. We will also look in  particular at the aesthetic foundation of fine art as it evolved during  the 19th Century and the Modernist juggernaut which almost lead to its complete suppression during most of the 20th  Century. 

The following information also advances criteria by which to  view artists and movements, and help to determine why some works of art  are experienced as beautiful and successful and why others seems to fall  flat or are even boring. 

It will hopefully also satisfy the needs of  practicing artists to determine what type of art and subjects they wish  to explore and which skills and techniques they will need to learn and  practice in order to accomplish this. 

As in all education, individuals  should ultimately decide for themselves what makes sense and what is  nonsense or babble.      

CONTINUED HERE    
The visual fine arts of drawing, painting and sculpture are best understood as a language ... a visual language. Very much like spoken and written languages, it was developed and preserved as a means of communication. And very much like language it is successful if communication takes place and unsuccessful if it does not.
The visual fine arts of drawing, painting and sculpture are best understood as a language … a visual language. Very much like spoken and written languages, it was developed and preserved as a means of communication. And very much like language it is successful if communication takes place and unsuccessful if it does not.

Fark

Fark is what Free Republic should of evolved into, instead of being bought-out by progressive liberal interests. People post links and a sort introductory paragraph, and others comment on it. It’s clean and just getting started. It has a lot of potential, and a healthy dose of sarcasm.

On Fark, people post links and a sort introductory paragraph, and others comment on it.
On Fark, people post links and a sort introductory paragraph, and others comment on it.

Archie McPhee

This is a store, but… what a store!

Boys like being mischievous and playing tricks. It’s a boy thing I suppose. (I just don’t remember any girls doing these types of things. Though, I am quite sure that they were involved in more cerebral activities playing “head games” with other girls.) Boys like to see the physical results of their torment. They want to see girls react in horror to a toad. They want to light firecrackers outside people’s bedrooms, and set bags of dog poo on fire on people’s porches.

Advertisement for toys and gadgets inside a vintage comic book.
When I was growing up, we would find these types and kinds of advertisements inside of our comic books and magazines. They would be full of all kinds of fun things to amuse a young child.

I remember as a boy how we had somehow come across a gadget catalog that was advertised in the back of one of the comic books that we would often read. You know the kind. Pages and pages of things like magic tricks, pranks, books on Black Magic, fake (pellet shooting) fingers, trick buzzers, masks and ”pea shooters”. As a kid, we loved it and wanted everything. We must have circled over a hundred items in that catalog.

I think that it is an interest of growing children to expand and explore these tricks and devices of prankster humor. Too bad that the days of yodeling pickles are long gone. Indeed, you need to leave the ultra-sanitized United States to find some politically incorrect playthings for your child to enjoy.

…Or, do you?

Let me introduce the reader to the Archie McPhee store.

This is the kind of place that is a young boy’s wet dream. Inside the store (physical as well as the Internet version) are absolutely enormous assortments of useless pursuits. They’ve got boxing nuns and bacon scarves. They’ve got yodeling pickles and finger hands. Don’t know what a finger hand is, well then go HERE to find out. They have stuff that only a madman could think of.

“Less talk. More monkey.”

How about rotisserie chicken flavored candy canes, emergency inflatable toast (why?), rubber chickens (big and small), and propeller beanies. Ah we all wanted one of these as a kid. They have trick gum, Holy toast, and bags of busted businessmen. They carry x-ray glasses (yes, you wanted these didn’t you?) and hypno-glasses, wind-up lederhosen, and strange action figures to include horrified movie victims. They’ve got everything from plastic arks, to singing fish. It’s a childhood delight. This store brings out the little kid in all of us. It’s many things, but above all, it’s the go-to place for plastic poop.

I just can’t stop! It’s such an amazing place.

“If there’s a heaven for the deranged, Archie McPhee is probably it.”

-Josh B in Seattle

How about vinderhosen, an emergency Santa kit, crime scene sandwich bags,  and classic disguises. What about underwear for your pet squirrel, inflatable turkey (again, why?), and contemporaneous prayer cards. Here is probably the only place where you can find cool World War II occupation money. How about medical posters from India, they’ve got religious themes, cat themes, food themes, mad scientist themes, and themes that defy description. I am not at all kidding!

The Archie McPhee store in Seattle.
There is a store in Seattle. The rest of us are limited to visiting their (most comprehensive) website.

Do yourself a favor. Let your child buy something from this store. Give them ten dollars to spend, and wait while they go back and forth, back and forth deciding what to buy. It’s all in good fun.

SOTT (Signs of the Times)

Sott is another collection of articles. This one tends to be on the intellectual side, with occasional forays into human interest.

Sign of the times screen capture.
Sign of the times screen capture.

Collective Evolution

Here are some great articles that are out of the mainstream, yet do not fall under “doom porn”, ‘Global Warming” or “Reptilian Government secrecy”. LOL. Maybe some of the articles are out there, but they do make you think. And at that, it’s a good thing.

Collective Evolution
Collective Evolution.

Leenks

Leenks is another website where links are posted. These links tend to be entertainment links, and includes porn, memes, and articles worth a passing glance. If you are bored, this site will give you a ting or two to look at.

Leenks screen capture.
Leenks screen capture.

Ace of Spades

This is a reasonably decent conservative site with great links and organization. I tend to visit it from time to time to get my American-insanity political fix.

Ace of spades screen capture.
Ace of spades screen capture.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Mongolian Women under Genghis Khan
The history of how Australia obtained Sheilas; the story of The Lady Juliana, The 18th-Century Prison Ship Filled With Women.   This is the story of the Lady Juliana. This was a special ship designed to convey female convicts from England to Australia. The idea was that a boat load of female convicts would happily link up with a colony of convicts in Australia. Thus making everyone very, very happy, and reform the colony in New South Wales.
What is going on in Hollywood?
Why no High-Speed rail in the USA?
Link
Gaslighting
Link
Link
End of the Day Potato
Dog Shit
Tomatos
Link
Mad scientist
The Navy is scrapping the F/A-18 Hornet.
Gorilla Cage in the basement
The two family types and how they work.
How to manage a family household.
Link
The most popular American foods.
Soups, Sandwiches and ice cold beer.
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Baby's got back
Link
A womanly vanity
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Things I wish I knew.
Asian Nazi Chic
Link
Travel
PT-141
Bronco Billy
How they get away with it
Paper Airplanes
Snopes
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
The Confederados
Democracy Lessons
The Rule of Eight

Funny Pictures

Picture Dump 1

Be the Rufus – Tales of Everyday Heroism.

Be the Rufus - 1
Be the Rufus, part II. More tales of heroism.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

The most popular song in China this fall; Wolf Disco.

What is the hottest song in China right now? Apparently, a little rap song called Wolf Disco has been making waves, with its take on what life was like in the late ’90s and early 2000s in China. Journalist Yew Lun Tian (from ThinkChina) is reminded of her own teenage years, as she delves into what makes this song so popular.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Wolf Disco by Gem is the hottest song in China this year. (At least according to sohu.com.)

野狼 Disco
野狼 Disco

Journalist Yew Lun Tian comments on this song…

A Singaporean friend recently asked me about this year’s hottest song in China. And a friend from China quickly shouted out the answer: “Wolf Disco (野狼 Disco)!”

The song gets in your head with its refreshing blend of Cantonese singing, dialogue in northeastern dialect, and Mandarin rap.

I felt really out of touch, and checked out the song as soon as I got home. I listened to it once — and was hooked. I wanted to hear it again and again. No cliched description could do justice to the penetrating power of this cutting edge cultural icon. A netizen put it well: “This is an amazing song that gets to your head and heart, and leaves you wanting more.” 

野狼 Disco

Wolf Disco by Dong Baoshi, stage name Gem, describes a man (“Uncle”) hitting the dance floor, showing off and trying to pick up young girls at the disco, circa late ’90s to early 2000s. The song gets in your head with its refreshing blend of Cantonese singing, dialogue in northeastern dialect, and Mandarin rap. Gem’s earnest but seriously inaccurate Cantonese pronunciation when singing “Flower of my heart, I wanna take you home” is especially funny, as he nails the endearing side of mainland Chinese when they try their hardest to sing Cantonese songs despite being no good at the language.

The song’s catchy beat and easy but evocative lyrics get people hooked; you just feel like dancing along. “To the left, draw a rainbow/and to your right, draw a dragon”; “Everybody put your head down/both hands to the front, wave them around”; “Hands to your head, move your hips/like you’re fretting”. 

野狼 Disco – 1

For the mainland Chinese audience who exercised en masse in primary school, went for social dances as adults, and joined square dances in the neighborhood during their twilight years, those lines would be somewhat uncanny. Listening to Wolf Disco is more satisfying than watching Psy’s Gangnam Style. No wonder some netizens say their three- or four-year-old kids have Wolf Disco as their morning alarm.

The song does not avoid or overuse the Hong Kong element, but uses it  to just the right degree for entertainment purposes, while not being  political.

With its brand of nostalgia, the song touches the hearts of the older crowd. The imagery of the dance hall and the references to Hong Kong popular culture capture the collective memories of a generation of mainland Chinese, as well as Chinese in the Greater China diaspora, including myself. “Slicked back hair, beeper call, 007 on the dance floor/Northeast b-boys in the house”, conjures up the hairstyles, beepers (known as pagers in Singapore), and dance moves of the time, while “Aaron Kwok hands across your chest” brought me back in a second to my pimple-faced days, singing and dancing along to his hit song Love You Endlessly (《对你爱不完》).

野狼 Disco – 2

Many years ago, the hip young people in northeast China had their fun in entertainment joints that played Cantonese songs; big shots found triad bosses in Hong Kong movies cool, like the way Uncle “feels like Tony Leung in Infernal Affairs” (see note below) when picking up girls.

When Gem was producing Wolf Disco, Hong Kong was not yet in full-blown chaos. The song does not avoid or overuse the Hong Kong element, but uses it to just the right degree for entertainment purposes, while not being political. For example, just as Deng Xiaoping previously declared “horses keep running, carry on dancing” to say nothing will change under “one country, two systems”, Uncle says “the song keeps playing, carry on dancing” to hide his embarrassment at failing to pick up girls.

Wolf Disco is so popular not just because it brings together borrowed elements from Hong Kong and Western music genres such as rap, but because it uses these external elements to authentically tell the story of a young person from northeast China. Gem calls this combination “garlic-flavoured vaporwave” — vaporwave is a Western music subgenre that combines ’80s and ’90s music with electronic sounds.

野狼 Disco – 3
Many netizens say the epitome of cheesiness is authenticity, and they  are moved by the song’s depiction of a young person who does not lose  their authenticity.

And then, while most people would take off their jackets when hitting the dance floor, Uncle’s “leather jacket stays on, don’t matter the heat”. In an interview with GQ, Gem explained that in northeast China, a leather jacket is cool, and a symbol of manhood. Some people only have that one presentable item of clothing — you can be wearing rags on the inside, but the outside has to look smart. This shows the face-loving quality of people from northeast China, and how tough it was for them when the economy in northeast China cooled down.

Many artistic elites have analyzed this phenomenal song and noted the concept of a “cheesy high” (土嗨); the presentation and/or content may be cheesy, but it still gets people high. Many netizens say the epitome of cheesiness is authenticity, and they are moved by the song’s depiction of a young person who does not lose their authenticity.

Gem has created a cultural phenomenon with Wolf Disco. (Internet)
Gem has created a cultural phenomenon with Wolf Disco. (Internet)

The way I see it, while many people go to high-end bookstores and restaurants and share photos with friends to hint that they are more westernized, artistic, and sophisticated, it works better to be plainly and unabashedly cheesy. While many stores try and attract customers with empty sales pitches, people like it better when one is not shy to show and laugh at their own lack of sophistication. When people are swept up by globalization and get nervous or anxious that their foreign language skills are inadequate, it is extremely satisfying to hear the language of one’s hometown loud and proud on the big stage. 

In 2019, a year of anniversaries, official publicity is full of big stories and lofty emotions, people would welcome a bit of unsophisticated, ordinary food for the soul.

野狼 Disco

Download the full MV

Here is the full music video of this song. It is subtitled in English and Cantonese. And it is an enjoyable video that tells the story of a young man’s dreams in NE China. It’s around 40-something MB, so grab a beer and let it download in full.

Lyrics

心里的花我想要带妳回家

Flower of my heart, I wanna take you home

在那深夜酒吧哪管它是真是假

In the late night bar, don’t matter if it’s real or fake

请妳尽情摇摆忘记钟意的他

Sway your body, forget the one you love

妳是最迷人噶 妳知道吗

You’ve caught my eye, don’t you know

这是最好的节拍 这是最爱的节拍

Here comes the beat, it’s the best, the favorite

前面儿哪里来的大井盖 我拿脚往里踹

Is that a manhole cover? Gonna kick it aside

如此动感的节拍 非得搁门口耍帅

I’m feeling the beat, just look cool outside the door

我蹦迪的动线上面儿怎么能有障碍

Clear the way, I’m heading to the floor

大背头 bb机 舞池里的007

Slicked back hair, beeper call, 007 on the dance floor

东北初代霹雳弟 dj瞅我也摇旗

Northeast b-boys in the house, DJ staring, I’m trippin’

不管多热都不能脱下我的皮大衣

Leather jacket stays on, don’t matter the heat

全场动作必须跟我整齐划壹

Everybody gotta follow my moves

来 左边 跟我一起画个龙

To the left, draw a dragon

在妳右边 画一道彩虹

To your right, draw a rainbow

来 左边 跟我一起画彩虹

To the left, draw a rainbow 

在妳右边 再画个龙

And to your right, draw a dragon

在妳胸口上比划一个郭富城

Aaron Kwok hands across your chest

左边儿右边儿摇摇头

To the left, to the right, just shake your head

两个食指就像两个钻天猴

Two fingers like sky rockets

指向闪耀的灯球

Point ’em at the disco ball

心里的花我想要带妳回家

Flower of my heart, I wanna take you home

在那深夜酒吧哪管它是真是假

In the late night bar, don’t matter if you’re real or fake

请妳尽情摇摆忘记钟意的他

Sway your body, forget the one you love

妳是最迷人噶 妳知道吗

You’re the hottest, don’t you know

玩儿归玩 闹归闹 别拿蹦迪开玩笑

Play around, mess around, but get serious when you’re dancing

左手一晃真像样 右手霹雳手套

Wave my left hand, glove on my right

金曲野人的士高都给我往后稍一稍

Disco savages take a step back

没事儿不要联系我 大哥大这没信号

Don’t be calling me, no signal on my bigass phone

小皮裙 大波浪 跳起舞来真像样

Leather mini skirt, major curves, looking so fine with her moves

喷的香水太香 好想和她唠一唠

Her perfume delicious, wanna get up close

感觉自己好像梁朝伟在演无间道

Feeling like Tony Leung in Infernal Affairs

万万没想到她让我找个镜子照一照

She tells me to look in a mirror, major burn

手照摇 舞照跳

My hands keep waving, carry on dancing

假装啥也不知道

Act like I know nothing

没有事 没有事 看着天空笑壹笑

I’m fine, I’m fine, I smile up at the sky

使劲儿扒了扒了前面儿的士高的小黄毛儿

Slapping the disco noob in front of me

气质再次完全被我卡死别跟我闹

Throwing all my shade on him, don’t mess with me

来 全场 一起跟我 低下头儿

C’mon everybody put your head down

左手右手往前游

Both hands to the front, wave them around

捂住脑门儿晃动妳的垮垮轴

Hands to your head, move your hips

好像有事儿在发愁

Like you’re fretting

心里的花我想要带妳回家

Flower of my heart, I wanna take you home

在那深夜酒吧哪管它是真是假

In the late night bar, don’t matter if you’re real or fake

请妳尽情摇摆忘记钟意的他

Sway your body, forget the one you love

妳是最迷人噶 妳知道吗

You’re the hottest, don’t you know

来 左边 跟我一起画个龙

To the left, draw a dragon

在妳右边 画一道彩虹

To your right, draw a rainbow

来 左边 跟我一起画彩虹

To the left, draw a rainbow 

在妳右边 再画个龙

And to your right, draw a dragon

在妳胸口上比划一个郭富城

Aaron Kwok hands across your chest

左边儿右边儿摇摇头

To the left, to the right, just shake your head

两个食指就像两个钻天猴

Two fingers like sky rockets

指向闪耀的灯球

Point ’em at the disco ball

来 全场 一起跟我 低下头儿

C’mon everybody put your head down

左手右手往前游

Both hands to the front, wave them around

捂住脑门儿晃动妳的垮垮轴

Hands to your head, move your hips

好像有事儿在发愁

Like you’re fretting

时时刻刻妳必须提醒妳自己

You gotta tell yourself

不能搭讪

Not to get friendly

搭讪妳就破功了 老弟

Otherwise you’re a goner, buddy

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

The US involvement in the HK "Democracy Now" movement.
How the USA can win a trade war.
Chinese reaction to the Trump Tariff Wars.
China's Global Leadership
Popular Music of China
The logistics of relocating a facotry from China back to the USA.
Hong Kong and the NED CIA operations.
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Why are Americans so angry?
Evolution of the USA and China.
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year
Trade Wars
How to get work in China if you have HIV.

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions
A polarized world.
America's sunset.
Trump trade wars  - Phase One
Asshole

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Some Fun Videos

Here’s a collection of some fun videos taken all over Asia. While there are many videos taken in China, we also have some taken in Thailand, Vietnam, Cambodia, Korea and Japan as well. It’s all in fun.

Some fun videos of China - 1
Fun Videos of Asia - 2
Fun videos of Asia - 3
Fun videos of Asia - 4
Fun Videos of Asia - 5
Fun videos of Asia - 6
Fun videos of Asia - 7
Fun videos of Asia - 8
Fun videos of Asia - 9
Fun videos of Asia - 10
Fun videos of Asia - 11
Fun videos of Asia - 12
Fun videos of Asia - 13
Fun videos of Asia - 14
Fun Videos of Asia - 15
Fun videos of Asia -16
The best way to cook marshmallows.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Introduction to the art of Mark Ryden.

Mark Ryden is an American artist based in California. He is said to have ushered in the new genre of painting and Pop Surrealism, into mainstream art culture. His style, which is reminiscent of the works of the Old Masters, has blurred the traditional boundaries between high and low art. Though inspired by surrealist techniques, he has filled his work with cultural connotations. His work is both mystical and realistic, innocent and eerie. The bright colors and childlike figures on the surface hide a darker, mysterious psyche. His paintings are meticulous and full of detail, with each detail having a significant importance.

Mark Ryden 0
Mark Ryden is an American artist based in California. He is said to have ushered in the new genre of painting and Pop Surrealism, into mainstream art culture.
Mark Ryden. The painter Mark Ryden is one of the prominent representatives of the Lowbrow art movement, which is also called Surrealist Pop. 

- Mark Ryden - 42 artworks - WikiArt.org 
Tell me a bit about yourself? How did you life in art begin?

M.R.: I spent  the vast majority of my time as a youth drawing and painting. I was also  very interested in math and science, but art was my main love.  In  college, I pursued illustration because I didn’t see myself fitting it  with what was happening in the fine art world of the 1980s. I had a  passion for classical art, figuration, surrealism, and imagination.  These subjects were all but banned from what I saw as a dry and dull art  world at that time.  For a decade I did commercial work, but things  started to change dramatically in the 1990s.  I found myself part of the  fresh exciting art movement of Pop Surrealism. 
Mark Ryden 1
“I spent the vast majority of my time as a youth drawing and painting. I was also very interested in math and science, but art was my main love. In college, I pursued illustration because I didn’t see myself fitting it with what was happening in the fine art world of the 1980s.”

Dressed in black with round, wire-rimmed glasses, a black fedora and a silvery goatee, the Pop Surrealist looks like a magical wizard as he surveys the fantastical haven of desserts he’s created for American Ballet Theatre’s new production of “Whipped Cream.”

It was quite the spectacle. It was his paintings brought to life.

Mark Ryden 2
” I had a passion for classical art, figuration, surrealism, and imagination. These subjects were all but banned from what I saw as a dry and dull art world at that time. For a decade I did commercial work, but things started to change dramatically in the 1990s. I found myself part of the fresh exciting art movement of Pop Surrealism. “

Ginormous sugary confections glint under draping stage lights: velvety swirls of sugar plum pastry, strawberry-topped cupcakes, powder-coated chocolate drops and glossy, melon-sized gum balls. Theatrical technicians, like Willy Wonka factory workers, scramble around the artist.

Stage hands roll towering peaks of whipped cream across the floor on dollies while prop artists affix Swarovski crystals to vanilla-iced tarts.

Mark Ryden 3
Mark Ryden was born in Medford Oregon. He received a BFA in 1987 from Art Center College of Design in Pasadena. He currently lives and works in Los Angeles where he paints slowly and happily amidst his countless collections of trinkets, statues, skeletons, books, paintings and antique toys.

“C’mere,” Ryden beckons, slipping behind a cotton candy-pink dessert counter, a proverbial kid in his self-conjured candy store. The black backside of the giant set piece exposes the infrastructure behind the magic — ladders and trap doors that the dancers scurry up and through.

“It’s all these details,” Ryden says, showing off the underside of a monstrous tin coffee can that one of the characters pops out of. “We had to make these openings big enough for the dancers’ tutus to get through.”

Mark Ryden 4
“I believe if an artist consciously attempts to develop a “style” that art will be hollow and superficial. An artist’s work has to develop more honestly and naturally. I think my work is simply the result of the subconscious accumulation of everything I am interested in.”
How did you develop your style or aesthetic?

M.R.: I  believe if an artist consciously attempts to develop a “style” that art  will be hollow and superficial. An artist’s work has to develop more  honestly and naturally. I think my work is simply the result of the  subconscious accumulation of everything I am interested in. I try not to  judge any particular inspiration as being more valid than another. I  can let an Old Masters painting influence me just as much as a vintage  cartoon. 

Ryden, nicknamed the “godfather of Pop Surrealism” by Interview magazine, is known for his kitschy, brightly colored paintings blending pop culture elements and old master techniques for a glossy, danger-tinged, fairy-tale-like aesthetic. His first European retrospective, at the Centro de Arte Contemporáneo de Málaga in Spain, closed on March 5.

Mark Ryden 5
Ryden, nicknamed the “godfather of Pop Surrealism” by Interview magazine, is known for his kitschy, brightly colored paintings blending pop culture elements and old master techniques for a glossy, danger-tinged, fairy-tale-like aesthetic.
Can you describe your working process from idea to finished product?

M.R.: I start  by looking at the things I surround myself that inspire me. I can’t move  forward in any way if I don’t feel a strong spark of excitement or  creativity. It’s important to be in a peaceful state of mind and then I  invite the spirits to come into the studio. I don’t stare into a blank  canvas or paper. I look through my various collections of books, toys,  statues, photographs and other things, and something will trigger an  idea. I will make many, very loose sketches. Eventually I will be forced  to pick something to take further. The decision is difficult because I  can’t make that many finished paintings.  They are meticulously painted  and take a very long time to create. 
Mark Ryden 6
“I start by looking at the things I surround myself that inspire me. I can’t move forward in any way if I don’t feel a strong spark of excitement or creativity. It’s important to be in a peaceful state of mind and then I invite the spirits to come into the studio.”

Ryden, who launched his career designing book and album covers, including Michael Jackson’s “Dangerous,” did more than simply design the costumes and backdrops though. His cutesy, seemingly saccharine style with a darkly humorous, Tim Burton-like twist inspired the creation of the production.

There’s something very unsettling, disturbing, about his paintings, which hides behind the sometimes very sweet surface.”
              
-Alexei Ratmansky           
Mark Ryden 7
“His style is completely original, it’s very precise and detailed. He uses classical techniques, but the story he tells is very contemporary,”

“His style is completely original, it’s very precise and detailed. He uses classical techniques, but the story he tells is very contemporary,” Ratmansky says. “There’s something very unsettling, disturbing, about his paintings, which hides behind the sometimes very sweet surface. I just thought it was a good fit for the music and that it would make this 1920s work feel contemporary.”

What are the various challenges you face?

M.R.: My  biggest challenge is managing my time. There are some many paintings and  various projects that I want to do, but I can only do so many things.  I  often try to do too much. The business and logistical side of being an  artist can swallow up all my time if I am not diligent to prevent it.  I  spend too much time with email. I hate email. 
Mark Ryden 8
Over the past decade, this marriage of accessibility, craftsmanship and technique with social relevance, emotional resonance and cultural reference has catapulted Ryden beyond his roots and to the attention of museums, critics and serious collectors. Ryden’s work has been exhibited in museums and galleries worldwide, including a recent museum retrospective “Wondertoonel” at the Frye Museum of Art in Seattle and Pasadena Museum of.

Ryden typically works solo, painting on flat canvases in his Portland, Ore., studio. He and his wife, the artist Marion Peck, moved there last year after Ryden had spent 35 years in L.A.

What kind of narratives or stories do you like to convey through your work?

M.R.: I don’t  attempt to convey any of my own stories or narratives, instead I like  that my work can trigger the viewer to imagine their own narrative or  story. For me, the meaning of a painting can’t be described with words  or a story. Instead it is the image itself that is the meaning. I choose  to work with figures that carry iconic power, but I like to leave the  mystery undisturbed. I leave it to the viewer to interpret the images  how they will. 
Mark Ryden 9
Mark Ryden is a veteran of the Pop-Surrealism style, having been at the forefront of this genre since the late 1990’s when it was first taking roots in the artistic community.

Mark Ryden is a veteran of the Pop-Surrealism style, having been at the forefront of this genre since the late 1990’s when it was first taking roots in the artistic community. A curiosity cabinet personified, Mark Ryden’s works are often presented in thematic groups where one major theme is explored throughout the series, further interacting with Ryden’s main influences, including: Post world-war toys to historical figures such as Abraham Lincoln, meat, dogma, religion and symbolism, and into numerology, mysticism and occultism.

Ryden’s primary medium is oil on canvas or panel, with each piece beautifully and precisely encased in its own unique frame, many of which are original designs by Mark Ryden himself, with the remainder coming from restored antique frames. The frames are an artwork of and to themselves, and when married with the artwork, transports the viewer through the looking-glass and into a most surreal vision of the 19th century.

Mark Ryden 10
Mark Ryden’s works are often presented in thematic groups where one major theme is explored throughout the series, further interacting with Ryden’s main influences, including: Post world-war toys to historical figures such as Abraham Lincoln, meat, dogma, religion and symbolism, and into numerology, mysticism and occultism.
What would you cite as your inspirations behind your work? 

M.R.: Inspiration  is the most valuable commodity for an artist; it is for me anyway. My  studio is packed full of things that inspire me. I live inside my own  cabinet of curiosities. My studio and house are overflowing with stuff. I  regularly go to flea markets and antique shops where I have amassed a  variety of things that inspire me. I collect everything from old  children’s books, interesting product packages, to toys, photographs,  medical models, skeletons, shells, minerals, and religious statues. I  also have an extensive collection of books on shelves that go all the  way up to the high ceiling behind my easel and drawing table. I think it  is the range of diversity of my inspirations that most defines my art. 
Mark Ryden 11
Ryden’s primary medium is oil on canvas or panel, with each piece beautifully and precisely encased in its own unique frame, many of which are original designs by Mark Ryden himself, with the remainder coming from restored antique frames.

Artworks from Ryden’s 1998 “The Meat Show” series contemplate meat and the idea that we, stripped of our humanity, are ourselves meaty creations. Ryden also explores the relationship we have to meat as food, in comparison to the living creatures the meat was originally taken from, and also how the viewing of meat has changed over the centuries to a point where to see it depicted in contemporary artwork is almost absurd and strange. Such is our modern-day relationship with meat in much of western society.

Mark Ryden 12
““I believe to get ideas you have to nourish the spirit. I stuff myself full of the things I like: pictures of bugs, paintings by Bouguereau and David, books about Pheneous T. Barnum, films by Ray Harryhausen, old photographs of strange people, children’s books about space and science, medical illustrations, music by Frank Sinatra and Debussy, magazines, T.V., Jung and Freud, Ren and Stimpy, Joseph Campbell and Nostradamus, Ken and Barbie, Alchemy, Freemasonary, Buddhism. “

“I believe to get ideas you have to nourish the spirit. I stuff myself full of the things I like: pictures of bugs, paintings by Bouguereau and David, books about Pheneous T. Barnum, films by Ray Harryhausen, old photographs of strange people, children’s books about space and science, medical illustrations, music by Frank Sinatra and Debussy, magazines, T.V., Jung and Freud, Ren and Stimpy, Joseph Campbell and Nostradamus, Ken and Barbie, Alchemy, Freemasonary, Buddhism. At night my head is so full of ideas I can’t sleep. I mix it all together and create my own doctrine of life and the universe. To me, certain things seem to fit together. There are certain parallels and clues all over the place. There may be a little part of Alice in Wonderland that fits in. Charles Darwin, and Colonel Sanders provide pieces. To me the world is full of awe and wonder. This is what I put in my paintings.”

Mark Ryden 13
“I admire and have been influenced by countless artists. Most are from long ago such as Carpaccio and Bronzino from the early Italian Renaissance. I like Northern Dutch artists like Van Eyck and the later French academic painters David, Gérôme and Ingres.”
Which artists do you admire? How have they influenced you?

M.R.: I admire and have been influenced by  countless artists.  Most are from long ago such as Carpaccio and  Bronzino from the early Italian Renaissance. I like Northern Dutch  artists like Van Eyck and the later French academic painters David,  Gérôme and Ingres. But, I also like contemporary artists like John  Currin. One of my favorite painters right now is Neo Rauch. They all  influence me in many different ways. I like the way Bouguereau  exquisitely paints flesh while the characters of Leonoroa Carrington  seem mystical. 

Ryden is also a proficient writer and includes artist statements and review essays for each of his artistic series, which can be found at his website here.  Reading through the writings, one is immediately drawn to the open frankness Ryden has when discussing his method, as described in his statement for “Wondertoonel” 2004, (which roughly translates as “wondrous theatre”) which gives the viewer an insight into the mind of the artist whilst also providing a guide to navigate his breathtakingly surreal artworks by:

Mark Ryden 14
Clearly infused with classical references, Ryden’s work is not only inspired by recent history, but also the works of past masters. He counts among his influences Bosch, Bruegel and Ingres with generous nods to Bouguereau and Italian and Spanish religious painting.

“It is only in childhood that contemporary society truly allows for imagination. Children can see a world ensouled, where bunnies weep and bees have secrets, where “inanimate” objects are alive. Many people think that childhood’s world of imagination is silly, unworthy of serious consideration, something to be outgrown. Modern thinking demands that an imaginative connection to nature needs to be overcome by “mature” ways of thinking about the world. Human beings used to connect to life through mystery and mythology. Now this kind of thinking is regarded as primitive or naive. Without it, we cut ourselves off from the life force, the world soul, and we are empty and starving.”

Mark Ryden 16
Artworks from Ryden’s 1998 “The Meat Show” series contemplate meat and the idea that we, stripped of our humanity, are ourselves meaty creations.
What would you say is your favorite piece of your own work and what does it mean to you?

M.R.: I like different pieces for different reasons. One piece that pops into mind is Medium Yams because of its modest scale and simplicity. In general I gravitate towards creating massive, detailed, and epic works.  While Medium Yams was a very small  and simple piece it held great power. It was a favorite of many at the exhibition where it was displayed. 

Mark Ryden came to preeminence in the 1990’s during a time when many artists, critics and collectors were quietly championing a return to the art of painting. With his masterful technique and disquieting content, Ryden quickly became one of the leaders of this movement on the West Coast.

Mark Ryden 15
Mark Ryden came to preeminence in the 1990’s during a time when many artists, critics and collectors were quietly championing a return to the art of painting.

Upon first glance Ryden’s work seems to mirror the Surrealists’ fascination with the subconscious and collective memories. However, Ryden transcends the initial Surrealists’ strategies by consciously choosing subject matter loaded with cultural connotation. His dewy vixens, cuddly plush pets, alchemical symbols, religious emblems, primordial landscapes and slabs of meat challenge his audience not necessarily with their own oddity but with the introduction of their soothing cultural familiarity into unsettling circumstances.

Viewers are initially drawn in by the comforting beauty of Ryden’s pop-culture references, then challenged by their circumstances, and finally transported to the artist’s final intent – a world where creatures speak from a place of childlike honesty about the state of mankind and our relationships with ourselves, each other and our past.

Mark Ryden 17
There is an obvious horror connected with the meat industry. The blood, the gore, the inhumane butchery. So many of us indirectly participate in this with our ravenous consumption of meat.
There is an obvious horror connected with the meat  industry. The blood, the gore, the inhumane butchery. So many of us  indirectly participate in this with our ravenous consumption of meat.  Sue Coe has explored that arena exquisitely in her work and writings. In  my own art I am not personally making a statement or judgement about  the meat consumption in our culture. I feel more like I am just  observing it. Just like T-rex, I myself am a passionate meat-eater. I  feel that the consumption of animal flesh is a natural primal instinct  just like sex and making paintings. But there is that paradox of knowing  how that scrumptious porterhouse made it to my dinner plate. We have  lost any kind of reverence for this. It would be interesting if people  would have to kill an animal themselves before they earned the right to  eat it.

 Beyond the conceptual impact, meat simply has a very strong visual  quality. The wonderful variety of textures and patterns in the marbling  of the meat is sumptuous. Subtle pinks gently swirl around with rich  vermillions and fatty yellow ochres. These visual qualities alone are  seductive enough to make meat the subject of a work of art. Meat is  glorious to paint. It is so easy to transcend the representational to  the abstract. Meat has been a subject for painters from Rembrandt to Van  Gogh. 

- In a quote from Juxtapoz magazine back in the day, Ryden explains his reason for incorporation meat into his work. 

Clearly infused with classical references, Ryden’s work is not only inspired by recent history, but also the works of past masters. He counts among his influences Bosch, Bruegel and Ingres with generous nods to Bouguereau and Italian and Spanish religious painting.

Mark Ryden 18
“There may be a little part of Alice in Wonderland that fits in. Charles Darwin, and Colonel Sanders provide pieces. To me the world is full of awe and wonder. This is what I put in my paintings.”

Over the past decade, this marriage of accessibility, craftsmanship and technique with social relevance, emotional resonance and cultural reference has catapulted Ryden beyond his roots and to the attention of museums, critics and serious collectors. Ryden’s work has been exhibited in museums and galleries worldwide, including a recent museum retrospective “Wondertoonel” at the Frye Museum of Art in Seattle and Pasadena Museum of California Art.

Mark Ryden was born in Medford Oregon. He received a BFA in 1987 from Art Center College of Design in Pasadena. He currently lives and works in Los Angeles where he paints slowly and happily amidst his countless collections of trinkets, statues, skeletons, books, paintings and antique toys.

Mark Ryden 19
There’s something very unsettling, disturbing, about his paintings, which hides behind the sometimes very sweet surface.

To see more of Mark Ryden’s stunning artwork, please visit his website, or his Facebook page.

Movies that Inspired Me

Here are some movies that I consider noteworthy and worth a view. Enjoy.

The Seventh Voyage of Sinbad.
Jason and the Argonauts
The Golden Voyage of Sinbad (1973)
The Abominable Dr. Phibes (1971)

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
R is for Rocket
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Correspondence Course
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Any Friend of Nicholas Nickleby’s Is a Friend of Mine
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury
Job: A Comedy of Justice (Full Text) by Robert Heinlein
Spell my name with an "S" by Isaac Asimov
The Proud Robot (Full Text)
The Time Locker
Not the First (Full Text) by A.E. van Vogt
The Star Mouse (Full Text)
Space Jockey (Full Text) by Robert Heinlein
He who shrank (Full Text).
Blowups Happen by Robert Heinlein
Uncle Eniar by Ray Bradbury
The Cask of Amontillado

My Poetry

My Kitten Knows

Art that Moves Me

An experiment of a bird in a vacuum jar.
Robert Williams
Todd Schorr
Mitch O'Connell
Greg (Craola) Simkins.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Introduction to the art of Greg ‘CRAOLA’ Simkins.

This is an introduction to the art of Greg (Craola) Simkins. He has created his own form of art with appears to be an off-shoot of the “low brow” movement that originated out of California. He is a talented young man that paints a very odd and eclectic mixture of birds and contemporaneous themes all mashed together in a kind of confusing array of post surrealistic nightmares.

His niche is low-brow bird portraiture.

Greg ‘Craola’ Simkins was born in 1975 in Torrance California, just south of Los Angeles. He grew up with a menagerie of animals including a number of rabbits, which often emerge in his paintings. He began drawing at the early age of three and was inspired by various cartoons and books.

Some standout books that still find their way into his art are Watership Down by Richard Adams, The Chronicles of Narnia by C.S. Lewis and The Phantom Tollbooth by Norton Juster.

Greg ‘Craola’ Simkins 1
The idea of escape is getting lost in a daydream and wandering through one’s imagination.
Why the name The Escape Artist?

The idea of escape is getting lost in a daydream and wandering  through one’s imagination. As I make art, this process is very important  to me. It’s important in the planning stages as I just fill my  sketchbooks with whatever interesting images that entertain me, and it  is important at the composition stage where I lay out these ideas in  their ideal situations so as to move onto the final stage of painting  them.

Once I get to the painting stage, the concept is at most finalized,  but with a bit of room for improvisation. Once I start painting, the  muscle memory and mechanics take over and I will put on music,  audiobooks, movies, podcasts, etc… but generally, find myself zoning out  and falling into the process of painting which can be almost  meditative. Next thing you know and 8 hours have passed by and something  new has been created on the canvas. It’s an awesome feeling being in  that “Escape Zone.” 

Simkins’ art continued to progress to the age of 18, when he started doing graffiti under the name ‘CRAOLA’. Graffiti art became his impetus for creating and gave him the confidence to paint large works. In addition it taught him perspective, color theory and further developed artistic skills, which later translated into his work with acrylics.

Greg ‘Craola’ Simkins 3
Falling into the process of painting which can be almost meditative. Next thing you know and 8 hours have passed by and something new has been created on the canvas. It’s an awesome feeling being in that “Escape Zone.”
What’s an average day in the studio?

Once I get in, I answer emails, go through sketches and draw a little  to warm up, maybe edit some video, finish my coffee, and then sit down  at the easel and pour my paint for the day. Once that is in place, I  will paint as long a stretch as possible. I don’t like taking breaks and  will generally eat my lunch while working as well.

I try to keep in that creative headspace and block out the rest of  the world. Around dinner time, I go inside and help out making food and  getting the kids to the table, we spend time together as a family, put  the kids to bed at bedtime, and then sit down to watch a show with my  wife and work on drawings and concepts. 

After receiving his Bachelor’s Degree in Studio Art from California State University of Long Beach in 1999, Simkins worked as an illustrator for various clothing companies and bands. He later moved on to Treyarch/Activision where he worked on video games including Tony Hawk 2X, Spiderman 2 and Ultimate Spiderman while attempting to paint with every free moment he had.

In 2005, Simkins pursued his desire to paint as a full-time artist. Since then, he has been featured in numerous group exhibitions and had successfully sold out solo exhibitions.

Birds are key figures in your work. Where does your fascination with birds come from?

How could anyone not be fascinated with birds? They are these jewels,  weapons, music boxes, and much more that dart around the sky as masters  of the air. They defy gravity, they curiously watch us—waiting for us  to make a move, they come in so many varieties, some create bonds with  us, others taunt us, and some would even comfort us.

They are incredible creatures, and I have chosen to give them personalities in my work and in The Outside  for all these reasons. The main bird in my work is Breeze, a large blue  jay that befriends my character Ralf “The White Knight” and protects  and teaches him the way of that world. 
Greg ‘Craola’ Simkins 4
After receiving his Bachelor’s Degree in Studio Art from California State University of Long Beach in 1999, Simkins worked as an illustrator for various clothing companies and bands.

It is his careful weaving of pop culture, the old masters, nature, carnival kitsch, and (most importantly) his warped imagination, that makes Greg Simkins a sought-after surrealist painter today. Simkins’ artwork has appeared in galleries throughout the world.

The exhibition includes a number of beautiful works on paper.  What’s your relationship with drawing and how is it part of your  creative process?

It can be either to get an idea out as fast as possible so as not to  lose it or something to later be refined into its own finished project. I  enjoy getting the gesture of an idea to use later on in a piece, but  sometimes I feel that gesture is beautiful in itself, even with all its  flaws. It is the kernel of an idea and I chose to share some of those in  this exhibition.

I also enjoy doing charcoal portraits which gives me a whole other  way to study shape and form and mark making, which speaks to my other  work. Working in multiple mediums always teaches me something new to add  to each other. 
Greg ‘Craola’ Simkins 5
How could anyone not be fascinated with birds? They are these jewels, weapons, music boxes, and much more that dart around the sky as masters of the air. They defy gravity, they curiously watch us—waiting for us to make a move, they come in so many varieties, some create bonds with us, others taunt us, and some would even comfort us.
“My creative demands are self imposed and my frustrations are my 
limitations. I sketch a lot and plan many pieces that I never get to paint. It kills me, there are so many things I want to paint and find the ticking of the clock to be deafening. Most of the time it is too many ideas and a lot get shelved or pop up in future shows. It is also a blessing sometimes because I get to revisit these ideas and tinker with them a bit and watch them blossom into something far greater than my original vision. It is as if the technique catches up with the idea over that time span, and I am thankful for it.” 

– Greg ‘Craola’ Simkins (Empty Lighthouse Magazine)
Greg ‘Craola’ Simkins 2
It is his careful weaving of pop culture, the old masters, nature, carnival kitsch, and (most importantly) his warped imagination, that makes Greg Simkins a sought-after surrealist painter today. Simkins’ artwork has appeared in galleries throughout the world.

Fictional Story Related Index

This is an index of full text reprints of stories that I have read that influenced me when I was young. They are rather difficult to come by today, as where I live they are nearly impossible to find. Yes, you can find them on the internet, behind paywalls. Ah, that’s why all those software engineers in California make all that money. Well, here they are FOR FREE. Enjoy reading them.

Movies that Inspired Me

Here are some movies that I consider noteworthy and worth a view. Enjoy.

The Seventh Voyage of Sinbad.
Jason and the Argonauts
The Golden Voyage of Sinbad (1973)
The Abominable Dr. Phibes (1971)

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
R is for Rocket
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Correspondence Course
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Any Friend of Nicholas Nickleby’s Is a Friend of Mine
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury
Job: A Comedy of Justice (Full Text) by Robert Heinlein
Spell my name with an "S" by Isaac Asimov
The Proud Robot (Full Text)
The Time Locker
Not the First (Full Text) by A.E. van Vogt
The Star Mouse (Full Text)
Space Jockey (Full Text) by Robert Heinlein
He who shrank (Full Text).
Blowups Happen by Robert Heinlein
Uncle Eniar by Ray Bradbury
The Cask of Amontillado

My Poetry

My Kitten Knows

Art that Moves Me

An experiment of a bird in a vacuum jar.
Robert Williams
Todd Schorr

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Introduction to the art of Mitch O’Connell.

“The Prince of Pop Art”, Mitch O’Connell is a beloved, cherished and respected leader of the “Lowbrow” art movement and one of the greatest illustrators of all time! Inspired by Pin-ups, hot-rods, comics, sideshows and all things kitsch, cuddly and curvaceous, he takes the vintage and makes it contemporary with his distinctive, eye-popping Pop Art imagery.

“I'm tempted to tear out the pages and hang them on the wall!" 

-USA Today 
Mitch O’Connell 10
Magazine work includes Newsweek, Time, Rolling Stone, New Yorker, Entertainment Weekly, GQ and Playboy!

He’s happy to play nice and follow instructions with illustration assignments for nearly every publication on Earth.

"We're smitten with everything Mitch has ever done. There's no escaping that his art is awesome!" 

-Bizarre magazine 

Magazine work includes Newsweek, Time, Rolling Stone, New Yorker, Entertainment Weekly, GQ and Playboy! Overnight deadlines met for newspapers include The New York Times, Village Voice, Chicago Tribune and dozens more!

Mitch O’Connell 2
He’s been featured in the world of rock ’n’ roll on album covers and posters for groups from The Ramones to Weezer to No Doubt to Moby!

He’s been featured in the world of rock ’n’ roll on album covers and posters for groups from The Ramones to Weezer to No Doubt to Moby! Mitch’s doodles are utilized in advertising campaigns for major companies from McDonalds to KFC, 7-11 to Coca-Cola! And when he’s not working with an art director, his fine art paintings have been exhibited in sold-out gallery shows from New York to Berlin, Tokyo to Miami and Hollywood to Mexico City.

"An eye-popping curation of the pop artist's finest illustrations!" 

-Yahoo! Music 

His sexy tattoo flash is a fixture on the walls of tattoo shops around the word (and on the bodies of thousands of tattoo lovers) with many of the designs collected in two bestselling books “ Mitch O’Connell Tattoos Volume 1” and “Mitch O’Connell Tattoos Volume 2“!  

Mitch O’Connell 4
His sexy tattoo flash is a fixture on the walls of tattoo shops around the word (and on the bodies of thousands of tattoo lovers) with many of the designs collected in two bestselling books.

His newest book, “Mitch O’Connell, the World’s Best Artist by Mitch O’Connell” is a huge career-spanning retrospective look at his art from the age of 3 to now!

This book is…

The book is…

AWESOME!

Just how many book reviews get a 100% love ith five stars! Not many. This should tell you all something.
Just how many book reviews get a 100% love with five stars! Not many. This should tell you all something.
"A pop surrealist and low-brow luminary ...an over-the-top, kitschy, vibrant mood-elevating coffee table book!" 

-Huffington Post 

The following are some reviews for those of you who are a tad unsure of this artists greatness…

Mitch O’Connell 5
And then there’s the AWESOME, AMAZING ARTWORK on the inside. From tattooed vixens to big-eyed bunny rabbits, there’s something here for the whole family…if you have a family where the kiddies are allowed to look at pictures of nekkid women. There is a mind-altering feast for the eyes in store for you.
Earlier  today, if you heard a sort of weird, high-pitched shrieking noise, not  unlike the mating cry of some long extinct bird, wafting high above the  trees, far off in distance...it was just ME receiving a package from my  UPS Heart Throb that contained THIS BOOK, quite possibly THE BEST BOOK  EVER!

First of all - it has a vinyl cover. A VINYL COVER!!!  Perfect for tubby-time viewing, or perhaps for enjoying in the  inflatable wading pool on those hot summer days.

And then there's  the AWESOME, AMAZING ARTWORK on the inside. From tattooed vixens to  big-eyed bunny rabbits, there's something here for the whole family...if  you have a family where the kiddies are allowed to look at pictures of  nekkid women. There is a mind-altering feast for the eyes in store for  you.

Now, if you'll excuse me, I've got to get back to squeezing  that vinyl cover. (This is apparently a new fetish I didn't know I had,  and to tell you the truth, it's got me a little worried.)

-  I'm Still Squealing!
A review of his book on Amazon.com

If  you liked the art on the cover, well, there is more of it inside. The  book itself is reminiscent of retro children's books with a foam / vinyl  embossed type cover. It even has glitter. Its something you can't  appreciate on the internet. The size is thick with tons of vibrant  reproductions of his artwork. 

There is lots of detail like the pages  have a contoured edge. The book construction itself is amazing. The  reason I bought this on amazon was because my bookstore's copy was  damaged. Seeing it in real life made me want this book, so I had to get  it. 

Its just fun to pick up and flip through. 

Chances are you are an  artist and will find some inspiration in here even if it is a little bit  crazy/freaky. I wouldn't give this book to a child, the audience is  more adult. I can't say this is lacking anything as it is just an art  book with good examples. The time that went into this book puts it over  the top. 

Worth 5 stars. I can see why 66 people thought it was awesome. I  don't know who would rate this a 4 or less unless they had a problem  with amazon. Sweet! 
Mitch O’Connell 6
The book itself is reminiscent of retro children’s books with a foam / vinyl embossed type cover. It even has glitter. Its something you can’t appreciate on the internet. The size is thick with tons of vibrant reproductions of his artwork.
Indirectly,  I've been a fan of Mitch's art since roughly, 1987. I worked as a  designer at a newspaper and we had subscriptions to two clip art  services (big, hulking glossy printed pages of several images, covering  nearly anything that can be advertised). 

One was Metro and the other was  Dynamic Graphics. Dynamic Graphics was my "go-to" service as, each  month, I scoured it's pages for that flashy, interesting, fun art with  the peculiar "MoC" signature. 

Since then, I learned the MoC was a cool  artist named Mitch O'Connell and I saw his work here and there in Heavy  Metal and some comics. I've moved on from the newspaper business but,  thanks in part to the Internet and various art books, I've been able to  follow Mitch's enthusiastic, dynamic work the last several years. 

I've  always admired his bold, daring renderings. As an illustrator myself, I  find inspiration in his lines and color palette. Today, I'm proud to say  I now own this comprehensive book. Tons of color, tons of  illustrations, tons of inspiration. 

Even the design of the book itself  is daring and bold. I've perused it several times since receiving it in  the mail and I plan on perusing it several more times, seeing something  new and interesting each time I open the book. 

Mr. O'Connell's art  speaks for itself but I'll say that It's unique a completely different  style than what anyone may be used to. I especially like the 70s-style.  He not only acknowledges it, he embraces it and you have to admire that.  I highly recommend! 

- Lived up to my expectations
The  puffy plastic cover over Mitch O'Connell The World's Greatest Artist  gives a damn good indication of what's inside: A massive, whopping,  ridiculously definitive collection of Mitch... and all Mitch.

From  the cutesy-sweet to the clip art to the truly naughty, here is  EVERYthing.  Superb book design makes the collection seem to fly, float  and take on a life of its own.

There was a long, long wait for this terrific tome; it was truly worth the wait. WOW! 

- Holy moley! All this and World War, too. 
Mitch O’Connell 3
The puffy plastic cover over Mitch O’Connell The World’s Greatest Artist gives a damn good indication of what’s inside: A massive, whopping, ridiculously definitive collection of Mitch… and all Mitch. From the cutesy-sweet to the clip art to the truly naughty, here is EVERYthing. Superb book design makes the collection seem to fly, float and take on a life of its own.
This  book is so amazing you'll want to sleep with it tucked under your head.  And thanks to the soft puffy cover you can! 

Try it, i did. Hoping some  of O'Connell's brilliance would seep into my brain. 

Fat chance! If you  have been a long time devote of O'Connell or have no idea who he is  (been living under a rock?) You NEED this book! 

By merely placing this  book on your coffee table you will immediately notice that you have  become more attractive to the world. 

You'll start getting more dates  than you can fit in your calendar. And you don't want to be left behind  when it hits the New York Times best seller list, do you? I didn't think  so. Get in on Mitch-Mania now! 

- My Bible has arrived! 
I  cant put this book down!! It had me hooked just with the glitter cover.  Wow!! I've loved this mans work for years. I can sit and look at his  art and tattoo flash for hours. This is a great addition to my  collection of his books and art work. Filled with beautiful women and  kitsch galore. This book is VERY large and informative. We learn more  about the man, myth and legend!!!  It's also a great price for so much  magnificent eye candy. I highly recommend it to any lover of Pop,  Surrealism, Kitsch or just Damn good art! :) 

- 5.0 out of 5 stars  This book is Fan-Stinken-Tastic!!
Mitch O’Connell 6
I cant put this book down!! It had me hooked just with the glitter cover. Wow!! I’ve loved this mans work for years. I can sit and look at his art and tattoo flash for hours. This is a great addition to my collection of his books and art work. Filled with beautiful women and kitsch galore.
It  has a sparkly cover and It's Mitch! So, It's good. I usually only read  on the Crapper but I already crapped today. I may just break my own rule  and read this while sitting on the couch! 

- The most important book you will ever buy 
EXCITEMENT! FUN! NUDITY! THRILLS!
BALLOONS! NUDITY! ALCOHOL! CAKE!
HILARITY! NUDITY!

When  a book has that as it's opening intro you know you have stumbled across  the new bible.  Mitch may be the world's best artist (his words,  mentioned many many times in this book) he is also probably the world's  funniest artist.

This book is comical to the extreme, louds of  laughing out loud guffaws and so much drink sprayed across the room,  luckily I chose to read this in lots of different locations so  everywhere got a nice even coating of beer.

This book is a huge  collection of his artwork, from drawings as a kid to early adverts he  was commissioned to draw to posters, tattoos and toilet seats, it is all  here.  The history of his rise to greatness and even a tour of his  mansion (puts the Taj Mahal to shame) can be found in this book.  Also  its the only book I've come across that has a gift shop at the end.

Hopefully there will be more books from Mitch to entertain us all.  I'm now off to locate him to get myself one of his tattoos. 

- World's best book 
The  second worst thing about moving to Wisconsin (first being living under  incipient fascism)is not having access to Mitch O'Connell. A lot of the  art in this book only appeared in posters , leaflets and other material  distributed in and around Chicago (Mitch 's art has appeared on  everything from pencils and skateboards to delightful women's bare  butts- I personally am waiting for the whoopee cushion).  

Years ago I  could pick the stuff up tear it off walls and enjoy it! My 20 year  deprivation has been cured with this book collecting Mitch's unique  (well sometimes a bit bizarre) interpretations of reality. 

The world  goes into Mitch's brain gets mashed around and comes out well  wonderfully different- and you can see it all here in this book without  skulking around sleazy burlesque houses, grunge band concerts and other  affairs- though all of the latter do enhance the experience! Only thing  that would make it better would be if it came with an inflatable Little  Puddles doll. 

- Modest Title Masks True Genius! 
Mitch O’Connell 7
This book is a huge collection of his artwork, from drawings as a kid to early adverts he was commissioned to draw to posters, tattoos and toilet seats, it is all here. The history of his rise to greatness and even a tour of his mansion (puts the Taj Mahal to shame) can be found in this book. Also its the only book I’ve come across that has a gift shop at the end.
This  is the only art book I own that actually entertained me.  Face it -  most art books you pay for nothing- a lot of white space around a a  reproduction of a piece you can't afford. That means you are paying most  of the cover price on blank or what design shysters call negative  space. O'Connell doesn't waste anything- including your time. 

Rather  than hiring some fancy college boy shill to write essays, O'Connell does  the writing his'sef which is why I am am actually going to read every  word- eventually. Right now I'm just happy to skim and look at all the  purty five star pictures.

By the way, not only are there sparkles in  the puffy plasticine cover- its spot glitter- which means it was  probably really expensive other than just expensive. 

- Gave Me A Stiffy 
Having  known the artist for about 35 years, I've had the great pleasure of  watching him progress from talented teen to peerless paragon of pop art.  Now, with the publication of this classy compendium, anyone who is even  remotely interested in popular art can share in this pleasure. With  exceedingly-deft hand, keen eye, and acerbic wit, Mitch O’Connell has  come to occupy a place in pop surrealism that is shared with only a few  artists --Robert Williams, self-described progenitor of the ‘Lowbrow’  movement, springs to mind.

While many of the pop surrealists or other  Lowbrow artists share the same interest in skewering the social,  cultural, political, and sexual mores of our consumerist culture, no one  --for my money, anyway-- does a better, funnier job of sending up the  obsessions of the modern world. While his technical skill is beyond  reproach, and repeated study of his work will prove this, it’s Mitch’s  sense of humor that will find readers coming back to this volume for  amusement long after the average coffee table book has been shelved and  forgotten.

In a wonderful addition to the content, the  exceedingly-high production values of the book --with a brilliant,  sparkled and textured cover; heavy, glossy-stock pages; and stunning  page layouts-- will make even those who are not familiar with Mitch’s  work sit up and take notice. Presuming there are yearly awards given for  outstanding book design, I’ll be not at all surprised to find this book  topping the list of nominees.

So, summing up: If you’re a fan of  Mitch O’Connell, buy the book. If you’re interested in modern art, buy  the book. If you’re fond of well-designed and executed art books, buy  the book. If you merely want to take a chance on a bold and brilliant  artist, this is the one to pick up...you won’t be disappointed!! 

- A peerless artist, a peerless book 
Mitch O’Connell 8
While many of the pop surrealists or other Lowbrow artists share the same interest in skewering the social, cultural, political, and sexual mores of our consumerist culture, no one –for my money, anyway– does a better, funnier job of sending up the obsessions of the modern world. While his technical skill is beyond reproach, and repeated study of his work will prove this.
I  purchased this book expecting just another glowing biography of yet  another pampered, spoiled, filthy rich, low-brow artist. All I can say  is "I was blind...and now I see!" After reading this man's, no, this  immortals, life story and gazing at his life's work, I declare myself  his humble servant.  

The colors, line work and, most importantly, the  brilliance BEHIND the work, have given my life a purpose. I worship at  the filthy, somewhat ripe feet of my Lord and Savior: Mitch O'Connell.  Mitch, I hope you are reading this. I have scanned the photos from your  book and created wallpaper (no, not digital wallpaper, but actual paper  wallpaper) and covered the walls of my cabin with thousands of images  from your book, and more importantly, you. I now live in my car and only  enter my shrine to you, formally my home, to worship at an alter that I  created that features an 8' paper mache head of you (it came out really  cool- except the left side looks a little droopy and concave. One of my  cats climbed onto it before it was fully dried.). 

If you have any  personal items that you could send me for my alter I would appreciate  it. I would collect your hair, but....! Could you send me some of your  old clothes or maybe some toe nail clippings? I would expect them to be  brightly colored and dipped in glitter, just like your art. I am working  on a life size action figure of you that I can clothe in Holy vestments  so you can perform ceremonies and we can have imaginary conversations-  together! Everyone out there, please, throw away your Bibles and holy  books and pick up Mitch O'Connell The World's Best Artist and let's  commence to worshipping at the Holy Church of Mitch! Amen! 

- This Book Spoke To Me- no kidding it actually talked 
The perfect book to introduce the unsuspecting Cool Kid to the work of Mitch O'Connell!

If  you like hot rods, 1950's comics, kitsch culture, tattoos, big-breasted  women who aren't afraid to spank you when necessary, pink poodle dogs,  aliens, motorcycles and the sarcastic, self-aware humor of one of  America's favorite retro-culture artist, then this is the book for you!

And  it comes wrapped up in a plushy, plastic foam cover that cleans up easy  if splattered with blood, baby vomit or spunk. Or a disgusting  combination of all three!

This book will make you laugh!
This book will tentpole your trousers!
This  book will make you a cooler individual than your lesser friends! I am  cooler than you, because I own this book (and a few other Mitch  O'Connell books too.)

What are you waiting for? Get up on this book! 

- Throw money at your local bookseller for this book! 
Mitch O’Connell 9
If you like hot rods, 1950’s comics, kitsch culture, tattoos, big-breasted women who aren’t afraid to spank you when necessary, pink poodle dogs, aliens, motorcycles and the sarcastic, self-aware humor of one of America’s favorite retro-culture artist, then this is the book for you!
Mitch  O'Connell's latest book, "Mitch O'Connell the World's Best Artist by  Mitch O'Connell", is the BEST and GREATEST book ever penned by the  Master to this date!

Mitch, my friend for over 30 years has created  the world's MOST magical collection of SUPER ART.... yes, the term is  SUPER ART!

Owning his most current book has cured my arthritis. By  reading the pages my 60 year old eyes now possess 20/20 vision. I can  walk without a cane. My elderly wife read it and is now using tampons  again. THE BOOK IS A MIRACLE!!! This modest genius has created the cures  for all maladies of the Human Condition by merely printing the  World's Best Art; HIS World's Best Art and AMAZING LIFE STORY in this  Remarkable 288 page book!

Ladies & Gentlemen throw away your Bibles because THIS IS IT!!!!!!! The only Good Book you will ever need!

You will never EVER get a bigger bang for your $20. 

- GOD'S GIFT TO THE ART WORLD !! 
All  art books have pictures (that's kind of the idea) but how many would  you sit down and read?  Sure, "Mitch O'Connell, the World's Best Artist"  is chock-full of the requisite lifetime's worth of artwork (well, maybe  two-thirds to half a lifetime, he's not dead yet), but it's also  brimming with personal tales and anecdotes filled with witty,  self-deprecating braggadocio, all wrapped in a puffy, sparkly vinyl  cover.  

Not many other (any other?) artists can claim to have been  published in everything from the New York Times to Juggs and you'll  learn that and many other fascinating facts when you read this book.   

Did I mention the puffy, sparkly vinyl cover?  It's an art book which  moonlights as a coaster, which is super-practical (buy a set!).  So, if  you like 60's kitsch, creepy clowns, and big-eyed rabbits (and who  doesn't?) then this is the book for you. 

- The first coffee table book you'll actually read! 
Mitch O’Connell 1
All art books have pictures (that’s kind of the idea) but how many would you sit down and read? Sure, “Mitch O’Connell, the World’s Best Artist” is chock-full of the requisite lifetime’s worth of artwork (well, maybe two-thirds to half a lifetime, he’s not dead yet), but it’s also brimming with personal tales and anecdotes filled with witty, self-deprecating braggadocio, all wrapped in a puffy, sparkly vinyl cover.
I  first saw Mitch's work back in the dark ages - before computers and  t'internet and the writing of book online reviews. It was a "graphic  novel" (trans.: Fat Expensive Comic Book) called GINGER FOX, and I've  been following his work ever since, picking up the odd book or flyer or  cover whenever I came across them. 

Now, all of that scattered detritus  has been collated into one big fat squishy plastic-covered wipe-clean  book. Fatter and more expensive than Ginger Fox, who must be in her  fatter and more expensive mid-50s' by now. 

Mitch has an assured clean  graphic line, a searing sense of eye-popping colour, a healthy interest  in the female form, and a joyous sense of the pop-art poetry inherent in  the commercial ephemera those fancy-pants "high art" snobs just don't  get. I want to delve into the dark recesses of this man's "gentleman's  magazine" collection, but fear I may never emerge... Go buy!! NOW!! 

- Squishy!
I  never in a million years would have thought I'd own this book.  I'm a  fan  and I love art books but my own art has consumed all resources  and  left my book aquiring funds non existent.  Fast forward to my B-day  party this yr  and I get Mitch's book for a gift. So of course  we  immediatly crack it open to take in the mind bending eye candy..  First  words out of my mouth. "danmmit, he IS the Worlds Greatest Artist!"   

Endless hours of entertainment. Known about in France.  As advertised.  All in all pretty stinkin' cool. Color me jealous and inspired all at  the same time. So if you're like me, put it on your wish list, and if  you can buy it  just do it now. You'll be happy you treated yourself. 

- Worlds Greatest Artist, yea right. 

Finally, from Boing Boing...

In 2015 my friend, the fabulous artist Mitch O'Connell, created this  excellent illustration of Donald Trump as one of the evil aliens from  John Carpenter's 1988 science fiction film, They Live. Once Trump became president, Mitch tried to install a billboard with the illustration, but no one in the US would let him. He ended up displaying it in Mexico City, though.
 
 Well, Mitch recently found out that a Times Square billboard company  will allow him to display his illustration on a billboard and he's  started a gofundme campaign to make this dream a reality.  
the fabulous artist Mitch O'Connell, created this  excellent illustration of Donald Trump as one of the evil aliens from  John Carpenter's 1988 science fiction film, They Live. Once Trump became president, Mitch tried to install a billboard with the illustration, but no one in the US would let him.
The fabulous artist Mitch O’Connell, created this illustration of Donald Trump as one of the evil aliens from John Carpenter’s 1988 science fiction film, They Live. Once Trump became president, Mitch tried to install a billboard with the illustration, but no one in the US would let him.

Fictional Story Related Index

This is an index of full text reprints of stories that I have read that influenced me when I was young. They are rather difficult to come by today, as where I live they are nearly impossible to find. Yes, you can find them on the internet, behind paywalls. Ah, that’s why all those software engineers in California make all that money. Well, here they are FOR FREE. Enjoy reading them.

Movies that Inspired Me

Here are some movies that I consider noteworthy and worth a view. Enjoy.

The Seventh Voyage of Sinbad.
Jason and the Argonauts
The Golden Voyage of Sinbad (1973)
The Abominable Dr. Phibes (1971)

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
R is for Rocket
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Correspondence Course
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Any Friend of Nicholas Nickleby’s Is a Friend of Mine
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury
Job: A Comedy of Justice (Full Text) by Robert Heinlein
Spell my name with an "S" by Isaac Asimov
The Proud Robot (Full Text)
The Time Locker
Not the First (Full Text) by A.E. van Vogt
The Star Mouse (Full Text)
Space Jockey (Full Text) by Robert Heinlein
He who shrank (Full Text).
Blowups Happen by Robert Heinlein
Uncle Eniar by Ray Bradbury
The Cask of Amontillado

My Poetry

My Kitten Knows

Art that Moves Me

An experiment of a bird in a vacuum jar.
Robert Williams
Todd Schorr

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some of the most popular American foods that families eat at dinner time.

Here is a great selection of fantastic, easy to make, and fun family sit-down meals for dinner. This post discusses “real” American food. Not “American fast food”, which it seems (to everyone outside of the USA) is what Americans eat all the time. We do not. We eat something else, something all together different. We eat tasty, aromatic and tasty delicious food. Don’t you know.

Hey! It’s true!

In our homes, with our families, we “break bread” with love, happiness, care and concern. We eat healthy, fine and delicious food. We share the events of the day, and we laugh and cry as the day’s events are presented. We are not alone. We are part of a bigger identity.

Fast food does not represent America. It is an off-shoot of the “modern” progressive-reality that was thrust upon the shoulders of America. We have been dealing with it since 1913, and it’s only been recently that we have decided to shake it off.

It's like coffee. Most Americans do not drink Starbucks coffee daily. Many do, but not most. Coffee is a deeply personal thing with most Americans.

American food, like coffee is a very unique and special treat. Something that does NOT resemble the monetized versions available to the rest of the world.

Surprise!

Here we talk about “dinner time”. That most special and sacred time of the day for many (but not all) Americans. It was the time when a family would take off their soiled work clothes, put aside their school book bags, and sit down together for a fine, nutritious meal. One that was (traditionally) cooked with care and love by the woman of the house.

---PHOTO---Delicious meal at dinner time, where the entire family comes together to share the events of the day and spend happy times together.
Delicious meal at dinner time, where the entire family comes together to share the events of the day and spend happy times together.

Ah, but times have changed. Oh, maybe so. But not inherently. Americans are still Americans. You cannot change who we are on the inside. We are social beings that value our time together with loved ones. Most especially with our families.

Americans are still capable of love for their families.

Yes. I do know all about the injection of “modern progressiveness” into the American culture back in 1913. I am quite cognizant on how it altered and deformed American cultures and resulted in almost all of the problems that America experiences today.

Yes. I know.

How many Americans eat dinner. They eat alone, mostly fast food, or easily prepaired meals, staring in front of a televisions set or computer screen.
How many Americans eat dinner. They eat alone, mostly fast food, or easily prepared meals, staring in front of a televisions set or computer screen.

I know, it used to be, back in the days leading up to the 1970’s and the destruction of the American family, everyone would have a “sit down” “typical” American meal. (The link opens up in another tab.)

Link

I also know how this tradition pretty much was under assault, and how the death blow smashed it to pieces in the 1970’s. Ah, yes, you can thank President Wilson for that as well. The Federal Reserve put a death-grip strangle hold on the American family.

This is the world that all that modern progressiveness wrought.

This is the world that all that modern progressiveness wrought.
This is the world that all that modern progressiveness wrought. It starts at youth. It consists of eating cheap, easy to make meals, in front of mindless electronic media.

This tradition pretty much ended during the 1970’s when families had to split apart to earn enough to make ends meet. Thus, a progressive (or “enlightened”) reality took hold; also known as “everyone forage for themselves”, or “meals by yellow-sticky notes on the refrigerator”.

It goes by other terms as well, as (the) fast-food television binge, or the creation of “easy and cheap meals”.

It’s what living a “progressive” life is all about. It’s about money. It’s about making ends meet. It’s about keeping up with the Joneses. It’s about living a life that matches the expectations shown in television, movies, and social media.

it’s all one big lie.

If we’re too busy to have dinner as a family regularly, we may want to  re-examine our priorities. 

We probably are too busy. Period. 

While our  smartphones and devices have brought us closer to the rest of humanity, it is the family that will stick with us through thick and thin.
 
It is simply amazing that something as simple as eating together as a  family may bring manifold benefits to all family members. Family meals  are for nourishment, comfort and support. As we nourish our body, we  nourish our family relationships. 

After all, food is better eaten with  the people we love! 

- Eating together helps families bond

It’s sad.

Oh you don’t know what I am talking about, eh? You think it’s an accident that tomatoes today taste like cardboard and are filled with water? You think it was an accident, or just the way it’s always been. Oh no. It’s what happens when modern, progressive scientists re-engineer society to make it “better”.

Click on the link (it opens up in a different tab) for easy browsing…

Tomatos

Here’s a picture of the “modern, progressive lifestyle” that many Americans have had to confront. Sad…

It’s how NOT to raise a family.

---PHOTO---How not to raise a family.
How not to raise a family. Mother is not cooking delicious meals. She is working at home and teaching her daughter to do the same. Father is scrounging in the kitchen and teaching his son to forage. No family togetherness. No family group discussions. No sharing, and no joy. It’s stress. It’s the progressive lifestyle you all!

All these progressives, with their modern (well meaning intentions) have totally wrecked the world. Look at the pollution. Look at the family life. Look at what it takes to get ahead. It’s not an accident people!

Ahhh!

How NOT to eat a family meal. You face each other, not electronic media. You share the time, and you talk to each other. This kind of life, where everyone is off and alone in their own individual worlds is a progressive lie broght forth by President Wilson.
How NOT to eat a family meal. You face each other, not electronic media. You share the time, and you talk to each other. This kind of life, where everyone is off and alone in their own individual worlds is a progressive lie brought forth by President Wilson.

However, do not be distressed. A rising percentage of Americans are revitalizing the old-fashioned “sit down” formal dinner time meals. It’s making a much needed comeback. And I, I for one, think that this trend is glorious!

What is better than delicious food? What is better than fine tasty drink and friends and family to share the day’s events with? Heck! It sure beats looking that the latest posts on Facebook, watching a cat video on You-tube, or reading the “news” on your Google feed.

Yeppur!

It’s people. It”s feeling. It’s emotions. It’s sharing our life with others, and that is absolutely wonderful.

Family meals done right…

---PHOTO---Family meals done right. Both parents are present. Everyone is smiling and talking and fine love-cooked food is presented in happy friendliness.
Family meals done right. Both parents are present. Everyone is smiling and talking and fine love-cooked food is presented in happy friendliness.

This is great, and people (!) this post discusses what they would be eating during all of this.

My in-laws

It has gradually dawned on me that no one (outside of the United States) has any idea what Americans eat. They think, and they really do believe this, that all that Americans eat are hamburgers and Pizza.

You laugh.

But, it’s true!

While there is a certain degree in truth to that, it’s not actually true. When I was growing up, we rarely had pizza or hamburgers. That was something that was reserved to eat “outside” of the home. Or, alternatively, something we would have at a Cub Scout cookout, or at a baseball game. It wasn’t a formal sit down meal food.

Home cooked food was tastier, healthier, cheaper, and was served in a communal setting where we all faced each other. We weren’t staring into a television set, a laptop, or a cell phone. We faced each other.

Face to face.

With delicious food, with the fine aromas wafting towards us.

---PHOTO---American style dinner done right! The entire family is together, sharing a fine home-cooked meal and being together.
American style dinner done right! The entire family is together, sharing a fine home-cooked meal and being together.

Now, I am in China.

My in-laws know nothing about the American household lifestyle. All they know is what they watch on the television show “Friends”, or The Ellen DeGeneres Show.

But, you know, my family was more like Mayberry RFD than Cheers.

This has come down to the point where my father-in-law brought groceries home from the market today. He passed them on to the women folk to cook, and he proudly displayed a McDonalds bag with a cold chicken sandwich and wilted french-fries for me to consume. He beamed with pride. (Obviously “virtue signalling” to me that he cared about me and my culture.)

Ugh!

Central Perk.
Central Perk. The six main protagonists frequently visited Central Perk throughout the series. It is situated in New York City’s Greenwich Village, in the same apartment block as Monica’s apartment. It was where they spent much of their free time conversing. There are 97 steps away from Chandler and Joey’s apartment as Joey counts in The One With George Stephanopoulos.

Ah! Is that how the rest of the world thinks of Americans? As some kind of comic book character. One devoid of emotion, a crisply packaged collection of cheap food in bright colorful plastic wrappings?

He was so proud, and he wanted to show me how he was thinking of me and supportive of me. Thank’s Pop. But, you know, I do like other things…

And thus this post came into being.

The meals

“The table is where we mark milestones, divulge dreams, bury hatchets,  make deals, give thanks, plan vacations and tell jokes. It’s also where  children learn the lessons that families teach: Manners, co-operation,  communication, self-control values. Following directions. Sitting still.  Taking turns. It’s where we make up and make merry. It’s where we live,  between bites.” 

-Eating together helps families bond

So, here’s a list of some fine and delicious American food.

Please take note that different families make things differently. Some will have different sides and fixings, while others might want to add some regional fare. Thus, this list is JUST a guideline, and it is NOT complete.

---PHOTO---Family meals are very special. They help build the family togetherness, and provide a safe place for community, family and love.
Family meals are very special. They help build the family togetherness, and provide a safe place for community, family and love.

Finally, one more thing. Eating food is a social event. It is meant to be shared with friends and family. These people are the most important people in our (individual) world. Treat them special. Enjoy a fine meal with them and share the time with a fellowship of togetherness and happy discussion.

My friends Dana and John perfectly practice what the Rev. Jack King referred to as "scruffy hospitality."  Their kitchen is small. The wood cabinets are dark and a few decades  old. Spices and jars for sugar and flour line the countertops because  there's nowhere else to put them. A tall, round table shoved in a corner  has mismatched bar stools crammed around it. 

The sliding glass doors in the kitchen lead to a back deck with a  well-used chiminea, an outdoor table and a large variety of chairs and  cushions, many of them bought at yard sales. We circle the chairs around  the chiminea on weekend nights during all four seasons, whenever Dana  and John put out a simple call out through text or Facebook that says,  "Fire tonight!" 
 
There will always be food, but like the bar stools and deck chairs,  the food is mismatched. Our hosts provide some food; John may have the  urge to make jalapeño poppers or Dana may put together some version of  salsa with whatever's fresh from the garden, but there's not a formally  prepared meal. Everyone just brings something. It's perfectly acceptable  — encouraged even — to bring odds and ends of foods that need to get  used up. I often bring wedges of cheese that have already been cut into  or half a baguette to slice up and toast to dip in hummus. Everyone  brings a little something to drink. And it's a glorious feast. 

This kitchen and deck won't be featured in Better Homes and  Gardens anytime soon, but maybe they should be. They are two of the most  hospitable spaces I know. By opening up their home as-is, Dana and John  are the most gracious hosts I know. I almost wrote "by opening up their  home with its imperfections," but that's not accurate. 

Their home is  perfect — just like it is. 

-Mother Nature Network

Now, to the meals…

Steak

The thing about steak is that you can get it all over the world. From Thailand, to China, to Argentina, to Singapore. It is a global meal. It’s also on the expensive side. So, most American families only eat steak on special occasions.

Typically is it grilled on an outside grill. This will be true if it is hot out like in July, or in the dead of Winter. It will also be cooked to perfection by the Man of the House.

---PHOTO---A delicious American steak meal with baked potatoes and melted (fully salted) butter.
A delicious American steak meal with baked potatoes and melted (fully salted) butter. I do love the XO/ VSOP in the glass with ice in the background. Ah, now this is what I call a fine family dinner.

There are all sorts of cuts of steak that can be chosen. My family would often cook porterhouse, T-bone or Filet Minion. Sides would often consist of corn, a salad, (baked) potatoes, and rolls.

American-style Spaghetti Meal

This is one of the most popular American family meals in America. It is cheap, easy to make, and delicious.

---PHOTO---American style spaghetti dinner meal.
American style spaghetti dinner meal.

It often consists of home-made meatballs, on a spaghetti sauce that is made all day in a big tureen on the stove. Sides include salad, garlic bread, a vegetable (corn, greens, green beans, or broccoli), and (of course) graded Parmesan cheese.

Baked Lasagna

This meal is related to the spaghetti meal in that they both originated from Italian American families. Lasagna takes more work to make, and thus is not as common, though it is always very popular.

---PHOTO---Baked Lasagna that is often served in American homes for dinner.
Baked Lasagna that is often served in American homes for dinner.

It’s a delicious meal that is a cross between wide noodles, meat, cheese and all sorts of spices within a very tasty delicious sauce. Oh, and it does go well with a fine bottle of wine and some nice crunchy Italian bread.

Fried Catfish

This meal is regional to the “Deep South”. It is commonly found in Louisiana, Arkansas, Mississippi, and Alabama. The fish is cut in fillets, breaded, and deep fried. It is eaten in generous quantities. Along with sides of okra, corn, picked tomatoes, Cole slaw and hush puppies (a kind of deep fried bread).

---PHOTO---Deep fried catfish meal with a side of cole slaw and sauce.
Deep fried catfish meal with a side of cole slaw and sauce.

I had no idea it existed until I moved to Mississippi. Then I immediately fell in love with it. Well, it and the “Southern style” mint sweetened iced tea.

(Baked) Friday Fish

As a Catholic, I was raised to have fish on Fridays. Thus, every Friday, without exception, we would eat fish. This changed in the 1970’s when the Catholic church embraced a more “progressive” rule to keep up with the times. Instead of eating fish, the Catholic church-goes would fill their minds with good happy and positive things.

Yeah. I’m sure it worked… for a week or two.

In general, the fish would be obtained from the frozen section in the local supermarket. Most Americans do not live on the Ocean, and thus have (out of necessity) obtain frozen deep-sea fish. (Or go fishing in the rivers and lakes.)

Baked fish done American style for a wonderful American dinner meal.
Baked fish done American style for a wonderful American dinner meal.

The fish would be baked in the oven, and served with a lemon squeeze. Sides would often consist of rice, asparagus, broccoli or peas.

One more thing. American fish are usually de-boned. It is a very rare thing to serve fish with the bones still in place.

Pork Roast

This is a fine wonderful meal that is generally common on the weekends because it takes some time to cook. In general, it is cooked for a long time so that the meat is tender and delicious.

American style baked pork roast.
American style baked pork roast.

It is often served with mashed potatoes, or rice. Sides would include salad, vegetables such as corn, green beans, and cauliflower. Bread and butter would tend to round out the meal.

Pork Chops

Pork chops are a MAJOR American love. Just about every American loves this iconic dish. (Unless you are one of those progressive Marxists that only eat tofu, and avocado milkshakes in Starbucks in the upscale sections of the American metropolis network.)

I started my first love of pork-chops when I was a toddler. My parents would often give me a bone or two to gum while I sat in the highchair. Ah. Good times. Good times.

American style pork chops meal.
American style pork chops meal.

it is often served with apple sauce, a salad, and some rice or scalloped potatoes. Delicious!

The first time that my Chinese wife tasted American style pork chops was in Pago Pago in American Samoa. She fell in love with the dish. She never tasted anything so absolutely delicious. In many ways it is similar to the Chinese cooked pork, but is cooked thin, almost like bacon. Yum!

A fantastic dinner plate of thin well cooked American style pork chops along with some wonderful mashed potatoes.
A fantastic dinner plate of thin well cooked American style pork chops along with some wonderful mashed potatoes.

Baked Whole Chicken meal

Everyone, all over the world, enjoys eating chicken.

Studies have shown time and again that eating together has many benefits beyond nutritional purposes for everyone concerned, especially for our teens and tweens.
 
The National Center on Addiction and Substance Abuse at Columbia  University, New York, reported that children who eat at least five times  a week with their family are at lower risk of developing poor eating  habits, weight problems or alcohol and substance dependencies, and tend  to perform better academically than their peers who frequently eat alone  or away from home.
 
In addition, the more frequent teens have dinner with their parents, the more likely they are to report talking to their parents about what’s  going on in their lives.
 
According to research by Rutgers, the State University of New Jersey,  teens who eat at the family table more often are more likely to show  fewer signs of depression and feel that their family is more supportive,  compared with teens who dine less often at home.
 
You are probably convinced now that having dinner together as a family  is a good thing for your teens and tweens. It is, in fact,  life-changing! 

-Eating together helps families bond

This is a baked whole chicken meal.

It is pretty much common all over the world, though there are regional differences. My father’s mother would cook it with bacon on top in the oven. While my mother’s mother would cook it in a pan of water and cook until all the water evaporated. Leaving it crunchy on the outside but super tender inside.

It can be served with just about anything. In my family it is usually served with apple sauce, a tomato salad, rolls, and rice.

American style baked whole chicken.
American style baked whole chicken.

Americans usually remove the head, and the feet before serving. This differs substantially from what you would find, say, in China where everything is served intact.

Turkey Meal

Of course, the Thanksgiving meal is famous around the world. Not so much for the history behind it, or the use during Thanksgiving or Christmas, but rather for the enormous size of the bird that accompanies the meal.

--PHOTO--Cooking together as a family.
Cooking together as a family. There is nothing finer than including your family in things that everyone loves. Teach your children how to cook and enjoy delicious food.
The dinner table is the best place to tell stories, and kids who know  their family stories are more resilient and feel better about  themselves. Most inspiring are lemonade-from-lemon stories, stories  about adversity where a lesson is learned, or negative events that  transform into something good. 

Stories help us make sense of the world,  and they help kids connect to something bigger than themselves. Tell  stories about yourself and other family members when they were the same  age as your children. Tell stories about romance, first jobs,  immigration, how names were chosen, a childhood pet, a favorite recipe  or kitchen disaster. 

-Parenting

Of course, in America we would have a fine central meal that would revolve around the main turkey bird. It would be a baked, roasted or cooked turkey with all the traditional fixings of mashed potatoes, coleslaw, and steamed cabbage and the like.

But, here, we are going to address the “other” turkey meals that the family eats during the rest of the week.

Hot turkey sandwich.
Hot turkey sandwich.

This can be anything from turkey soup, to hot turkey sandwiches, to turkey casseroles. In my family, we would always have hot turkey sandwiches on plain white bread with turkey gravy over everything and the rest of the vegetables as sides.

If you are very busy, a hot chicken sandwich is perhaps the simplest of meals to make. You get some frozen french fries, a bottle or can of instant chicken gravy and some chicken breasts with a loaf of white bread. 

You pop the french fries in the oven.

You cook up the chicken on the skillet or in boiling water.

You microwave the gravy.

Then make sandwiches and pour the gravy over everything. Super simple, and very special. The kids will love it!
Oh, don't forget the gravy over the french fries. It's what completes the meal. Outstanding!
Oh, don’t forget the gravy over the french fries. It’s what completes the meal. Outstanding!

Chicken legs

This is a “stand alone meal”. It’s super easy to make, and lends itself for a quick meal for the working man, or friends to enjoy over some icy cold beers.

You just take a package of frozen chicken legs. You put it on a tray and pop it in the oven. Before you know it, you’ve got tons of baked chicken legs that you can eat with just about any side. It’s quick, fast and super easy.

Delicious American style baked chicken legs.
Delicious American style baked chicken legs.

You can add honey, or bread crumbs or any other treatments (such as bacon) to make the taste really “pop” out. Try it.

Chicken wings

You can also cook chicken wings the same way as you cook the chicken legs. Only in America there is an added dip that is often used. It is typically dipped in Ranch or Blue Cheese salad dressing for the most wondrous taste.

Rituals like dinner, which punctuate a world that often feels  frenzied and out of control, are good for adults, too. Knowing that one  part of your day is going to unfold in basically the same way, day after day, is comforting.

So, I'm ringing the dinner bell and inviting you and your family to  come to the table. Dinner is more than a feeding station. Food will  bring the family to the table, but it's the conversation and stories  that keeps us there. In an hour, you can create comfort, fun, play and  meaningful conversation—one meal at a time.

- Anne K. Fishel, Ph.D., author of "Home for Dinner: Mixing Food, Fun and Conversation for a Happier Family and Healthier Kids," 
Baked American style chicken wings served with a fine ranch or blue cheese dressing for dipping.
Baked American style chicken wings served with a fine ranch or blue cheese dressing for dipping.

Meat Loaf

Dinner is the best indicator of how kids will fare in adolescence. The  more frequently kids eat dinner with their families, the better they do in school, and the less likely they are to become sexually active, suffer depression, get involved with drugs or alcohol, or consider suicide.
 
Why? 

Maybe because families who eat together talk more, which helps them  stay connected and build better relationships. Also, it could be  because parents who show up to eat with their teens and tweens are more  likely to express their love constructively in other ways, in the form  of both attention and supervision.
 
Maybe because families who offer kids more structure are more likely to  keep kids attending to their homework as well as out of trouble. Maybe  because dinner transforms individual family members into a “group”,  which gives parents more clout to rival the power of the peer group.
 
Or maybe because children, even more than the rest of us, need something to count on every day – the tangible security of belonging and being  nurtured that is represented by the ritual of sharing food with those we  love.
 
To quote clinical psychologist and parenting coach Dr Laura Markham:  “Whatever the reason, family dinner is a pretty easy insurance policy to  build into our home life.” 

-Eating together helps families bond

There are few things as iconic as American meatloaf. It’s as American as baseball, apple pie, and keg parties. It is a rare person, indeed, who has never had a meatloaf dinner.

Very few things beat a fine delicious meatloaf dinner. In fact it is great with beer. Try it!
Very few things beat a fine delicious meatloaf dinner. In fact it is great with beer. Try it!

Meatloaf is a very simple meal that is made out of ground beef, eggs, bread and ketchup. You mix the entire mess together and pop it into the oven and let it cook. Then take it out and eat with mashed potatoes, peas and carrots and some bread. Yum!

Tomato Soup and Grilled Cheese sandwich

Now, this meal isn’t so much a dinner meal as it is an iconic American lunch meal. And, make no mistake. It is iconic.

A fine and delicious, easy to prepare meal- tomato soup with grilled cheese sandwiches.
A fine and delicious, easy to prepare meal- tomato soup with grilled cheese sandwiches.
Among the most lasting and powerful traditions in family life is one that seems to have more influence than almost any other – the family meal. Recalling your favorite family experiences usually leads to thinking of such times as the weekly Sunday meal, family mealtimes during Thanksgiving or other holidays, or a Saturday morning breakfast with Mom or Dad. 

Why are family meals so powerful? 

Sharing a family meal provides an experience that touches all of our senses – sight, touch, taste, smell and listening to warm laughter or good conversation. Family  meals help provide a regular, consistent opportunity to create a shared experience that is meaningful and offers a sense of belonging to all. Research has shown that regular and meaningful family meals offer a large variety of benefits to children and parents. 

- The Big Benefits of Family Meals                   

Eating a nice bowl of tomato soup and dipping a really nice grilled cheese sandwich into the soup is a far wonderful thing to do. My memories of this most fantastic and simple meal have always been pleasant. They have been about friends and family and home.

American style tomato soup with a fine grilled cheese sandwich.
American style tomato soup with a fine grilled cheese sandwich.

In general you cannot get a tomato soup and grilled cheese sandwich outside of the household environment. So my memories are, of course, about family and friends.

Chicken Noodle Soup

Scruffy hospitality means you’re not waiting for everything in your  house to be in order before you host and serve friends in your home.  Scruffy hospitality means you hunger more for good conversation and  serving a simple meal of what you have, not what you don’t have. Scruffy  hospitality means you’re more interested in quality conversation than  the impression your home or lawn makes. If we only share meals with  friends when we’re excellent, we aren’t truly sharing life together. 

-MNN

Now, chicken noodle soup is a global food. Heck! You can even find it in China. Though it is made slightly different. I personally like the American version and I particularly like it with chicken breast, fresh celery, and delicious large carrots cut at an angle.

Delicious chicken noodle soup with egg noodles.
Delicious chicken noodle soup with egg noodles.

We would make the soup in a large tureen on the stove, and then cook the noodles separately. (Sometimes we would cook rice.) Though, always separately. Egg noodles were always the noodles of choice. In particular Klusky’s noodles.

We would then eat it with white bread and butter.

Eggs and bacon

This meal is actually known as “bacon and eggs”. It’s a (for certain) breakfast meal, but it is so easy to make and cheap that it can be made at any time. It’s just fantastic as a dinner if need be. You just MUST makes sure that the bacon is crunchy crisp. Wimpy and fatty bacon isn’t bacon, it’s something obscene. Ugh!

A nice bacon and eggs meal showing backon cooked properly, toast that is toasted properly and "sunny side up" eggs. Delicious!
A nice bacon and eggs meal showing bacon cooked properly, toast that is toasted properly and “sunny side up” eggs. Delicious!
Family meals offer the opportunity to connect with each other,   communicate about family happenings, and give each other time and   attention. While families are encouraged to share meals, not every   meal has to be a sit-down dinner extravaganza. The most important thing   about family meals is to make them frequent, fun and family-centered.

Couples or families will benefit more from family meals if they occur more frequently during the week. Typically, research suggests that more than half of families with children in the United States share a meal five or more times a week. A concern, however, is that 30 percent to 35 percent of families often eat less than three meals a week together, which means less time for connecting and communicating. Changes in family life, such as the increase in dual-earner families and the rise in single-parent families, may make eating together frequently more  difficult for families. Families, however, should try to set aside  regular and consistent family meal opportunities to eat together as  often as possible.

Fun also is part of the recipe for a happy family mealtime. Parents and other adults should try to avoid making mealtime a disciplinary occasion when children are reprimanded or given lectures. Instead, save such conversations for a time away from the dinner table, and focus instead on being together in a positive way.

A family-centered mealtime means limiting distractions, especially   the TV or computer. Turn such things off at mealtime and use strategies to engage each family member in conversation.

 - The Big Benefits of Family Meals       
--PHOTO--Enjoy the time with your children. Teach them the joys of ooking, as well as the fun of eating together. Make it fun. Make games of food prep. make planning meals and buying groceries and adventure. Teach them budgets planning and how to select things at a grocery sore. SHow them how differnt spices work together. You can do this!
Enjoy the time with your children. Teach them the joys of cooking, as well as the fun of eating together. Make it fun. Make games of food prep. make planning meals and buying groceries and adventure. Teach them budgets planning and how to select things at a grocery sore. Show them how different spices work together. You can do this!–

Eating “Mexican”

Now, I do hope that no one is offended. But, you know, I didn’t have my first burrito and taco until after I left the Navy.

I had no idea how delicious Mexican food was until later when I was training for my role within MAJestic at the China Lake Naval Weapons Center outside of Ridgecrest, California. There, I fell in love with a restaurant chain known as “Del Taco”. Later on, when in Corpus Christi, Texas I ended up getting my first tastes of authentic Mexican food.

I never looked back.

A wonderful and delicious burrito with sides of rice, refried beans and a mysterious but delicious salad.
A wonderful and delicious burrito with sides of rice, refried beans and a mysterious but delicious salad.

Now there are so many different kinds of “Mexican food”, that it would take a complete website to even start to list them all. For now, just realize that, for me, we would just (in general) say “let’s eat Mexican”. And we would go procure some refried beans (don’t know how to make ours from scratch), some wraps, salsa, and make up burritos or taquitos.

Tacos and fixins’

In a more traditional Spanish, Mexican or SA (Spanish-American) family, the meals are communal with multiple plates where a person can build and construct their own dinner creations. There might be a plate of cut up tomatoes, one of peppers, a bowl of rice, and one of ground beef, and another bowl of cut up lettuce.

These meals are all very easy to make and fun to eat, not to mention very delicious.

A fine Mexican themed spread for the family.
A fine Mexican themed spread for the family.
“Families should be encouraged to make the family meal more of a   priority and to try to have at least four family meals per week. It is   often easiest for families to eat dinner together, but other mealtimes work as well. Meals can be simple with shared mealtime responsibility among family members. 

Teaching children  the enjoyment of cooking and having them involved in mealtime preparation develop skills they can use for a lifetime. Shared meals can also be extended to friends and   neighbors to build a stronger sense of community and help with meal   preparation. 

… Regular family meals are key components of family life   that may make a difference in the lives of children and parents.”

-Story, M., and Neumark-Sztainer, D. A perspective on family meals: Do they matter? Nutrition Today, 40 (6), 261-266; 2005 .

Oh, and don’t forget the special corn spread that make eating an ear of corn, super special!!!

Mexican corn spread for eating the corn in a very special delicious way.
Mexican corn spread for eating the corn in a very special delicious way.

“Backyard” Hamburgers

Of course, you can always get a hamburger at any millions of chain fast food restaurants. But we are not talking about that here. We are talking about the family ritual of making home-made hamburgers for family consumption.

Fine delicious home-cooked and home-grilled hamburgers in the back yard is a staple of American family life.
Fine delicious home-cooked and home-grilled hamburgers in the back yard is a staple of American family life.

Making your own hamburgers is very American. It’s a tradition and it is reserved for special occasions. In other words, it’s not a typical dinner meal. Instead it is a meal that is used to meals with extended-family and friends. Thus, it is usually cooked and takes place on weekends and holidays.

Home made - backyard grilled - hamburgers do not resemble fast food hamburgers. They are completely different all together.
Home made – backyard grilled – hamburgers do not resemble fast food hamburgers. They are completely different all together.

You know, hamburgers are not a typical American dinner meal. They are reserved for lunches or other special occasions. However, when the occasion calls for it, home-made hamburgers just cannot be beat. Go “home style” nothing else ever comes close.

Hotdogs (With Sauerkraut)

This is a very simple but unique meal. You simply buy a package of hotdogs and a can of sauerkraut. You put the hotdogs in the bottom of a pan, and cover them with the can of sauerkraut. They cook easily and quickly. Then for dinner you eat kraut-dogs with mustard and perhaps horseradish.

This is a very simple but unique meal. You simply buy a package of hotdogs and a can of sauerkraut.
This is a very simple but unique meal. You simply buy a package of hotdogs and a can of sauerkraut.

If you have young kids, nothing will make them happier than a meal of hotdogs. You know, you don’t need to have baked beans with it, but if you wanted to you could add some black beans, and some cut up onions as well. Make it a special meal. Your family will love you for it.

Kraut-dog with fine delicious mustard. Your kids would love you for this wonderful meal. You can serve scalloped potatoes, potato salad or corn. Oh, and don't forget the beer. Make sure it is icy and chilled.
Kraut-dog with fine delicious mustard. Your kids would love you for this wonderful meal. You can serve scalloped potatoes, potato salad or corn. Oh, and don’t forget the beer. Make sure it is icy and chilled.
If you’re not into health or family, consider that eating home-cooked  meals is also cheaper. 

A sample estimate finds that a family of 4 could save nearly $40 a week,  per person, by simply shifting meals into the house. You’ll be saving  money while your kid is getting Bs and not picking up a drug habit.  That’s a win all around.
 
But the best part about getting together for dinner is that it  becomes ingrained in a family’s tradition if you start the habit early.  While eating with a little kid may feel chaotic and not very beneficial,  know that every dinner at the table removes you even further from a  dystopian hellscape. And that can only be a good thing. 

-Fatherly
Sauerkraut gets a bad rap!  Comdiments for hot dogs go deep and wide, but generally sauerkraut gets overlooked.  Is it preparation, is it the word “sauer” gets misconstrued?
Sauerkraut gets a bad rap! Condiments for hot dogs go deep and wide, but generally sauerkraut gets overlooked. Is it preparation, is it the word “sauer” gets misconstrued?

“Home made” Pizza

Yes. Pizza is not a normal dinner meal. That is, of course, except when it is a home-made, home style pizza. Oh yeah baby!

What to do when your 8-year old nephew comes to visit? Make pizza, of course!
What to do when your 8-year old nephew comes to visit? Make pizza, of course! It’s not just eating dinner that can be a social event, but also cooking the dinner can be an adventure as well.

My mother, God bless her soul, bought me a cookbook when I was younger, and one of the first things that I did was learn how to make pizzas from scratch.

I experimented on the bread dough. I experimented on the sauce. I experimented on the cheese and the toppings. I even discovered that broccoli (cut up very fine and added to the sauce) was an amazing complement to a fine well-made home-style pizza.

Making real pizzeria style pizza at home has always been very tough to do. The reason has been it’s not possible to get a home oven to the same temperature as a commercial pizza oven, but that doesn’t matter.
Making real pizzeria style pizza at home has always been very tough to do. The reason has been it’s not possible to get a home oven to the same temperature as a commercial pizza oven, but that doesn’t matter. There are all sorts of tricks to make really delicious pizzas using a normal typical household stove.

Oh, do what we do in my family. We make the dough, the sauce and the topping and freeze them. Then pull them out and allow them to defrost and throw together a really quick meal. Delicious.

Roast Mutton

When I first had kids, I ended up entertaining a lot less, partly  because of the mess in the house that I no longer had time to deal with. 

Then one day, a woman I very much admired said something so  simple. She said whenever someone was coming to her home — a home with  five children in it — and she started to worry about how her home  looked, she would stop and think: "Are they coming to see me, or are  they coming to see my home?" It occurred to her that someone who would  have a problem with her home looking like a family of seven lived in it  wasn't really someone's opinion she cared about. 

I'd love to say I embraced that wisdom immediately, but I didn't.  Slowly, though, I have let go of some of the crazy things I believed  must happen before people entered my front door. The first thing I let  go was the upstairs. Over the years, I've became more relaxed. 

Next, I didn't dust. Nobody said a word, and they came back again. 
I didn't plan the entire meal around foods I could prepare ahead  of time so my kitchen could be spotless when my guests arrived. Friends  jumped in the kitchen and helped me finish making dinner, and we had  fun. 

-Mother Nature Network

Mutton? Yes, you bet. No, it’s not an overly common meat in the United States. When I was growing up, we ate i maybe about four times a year. But still, it’s a find meat and lends itself to some very delicious meals.

Delicious lamb chops. It's outrageously delicious.
Delicious lamb chops. It’s outrageously delicious. Ask the butcher to trim the fat cap (the thick sheet of fat on the outside of each rack) but to leave a thin layer of fat. Some butchers like to “French” the ribs, trimming away the meat between the rib ends to leave the bone exposed, but this meat is tasty and should be left in place. Ask the butcher to cut between the ribs to make a total of 16 single-rib chops.
One of the most important things any dad can do for his kids is to  show up for dinner. It really is that simple. 

Research from the Journal of Adolescent Health shows that the more frequent the family dinners, the higher the positive impact they have. “When clients ask me what the most important aspect of  family meals are I answer, ‘Making them happen!’” says pediatric  dietitian Melanie Silverman. “These meals provide the structure and  sense of community that young children need and crave during their  development.”

The benefits are well documented. 

First, there’s the educational component. Research published in New Directions for Child and Adolescent Development found that mealtime conversation boosts vocabulary even more than books. According to the findings, children between the ages of three and five learned some 1,000 rare words at the dinner table compared to the 143 rare words from parents reading storybooks aloud. 

-Fatherly
Curried mutton over rice.
Curried mutton over rice. This simple meal was one of my favorites growing up as a child. My mother would make it and give us some chutney to add as a topping to it. I loved it.

Pigs in a blanket (Stuffed Cabbage)

This is a very common “American” food, even though it originated outside of the States. Most American families have learned how to make this tasty and delicious meal. Being from Pittsburgh, everyone made and ate this most wonderful of foods.

 No summer cookout is complete without a tray of stuffed cabbages!  Tender cabbage leaves stuffed with rice, seasoned ground meats and a  rich tomato sauce make this the perfect summer comfort food. Plus these  freeze beautifully!

 This dish, God this dish brings back so many childhood memories that I  literally sat here for 30 minutes in a daze just remembering some of  them. Like there was the time we were harvesting cabbages from our  garden and we each got to pick a single plant that we were in charge of  to take care of. Whoever grew the biggest cabbage won. The prize was Mom  would cook our favorite dessert to go with her famous stuffed cabbages.  That summer I ended up winning. This cabbage was so huge that I  couldn’t lift it. I know I have a picture of me trying to hold it on my  lap. I’ll dig it out to share the pic once my arm is better.

 Then there are the countless memories where our kitchen was  soooooooooooooo hot (we didn’t have AC growing up and we only had window  fans) and Mom had 3 large canning pots on the stove with boiling water  making hundreds of stuffed cabbages. I can still remember that hot  cabbage smell and hot/wet air in the kitchen. Dad would use the tongs to  pull out the leaves, put them in the colander and my Mom, sister and I  would each trim the stems.

-  Mom’s Classic Stuffed Cabbage Rolls 
Where I come from Pigs in a Blanket are cabbage rolls stuffed with rice and meat. You may also know them Halupkies. Traditionally these cabbage leaves are stuffed with rice and ground pork, beef or a combination of both meats.
Where I come from Pigs in a Blanket are cabbage rolls stuffed with rice and meat. You may also know them Halupkies. Traditionally these cabbage leaves are stuffed with rice and ground pork, beef or a combination of both meats.
Pittsburgh, a melting pot of nationalities, drew a large percentage  of its population from Central European, Eastern European, and Balkan  countries, and those influences can be seen in local cuisines that are  still popular today. One traditional dish that has remained popular over  the last century and is still a staple at many Pittsburgh area dinner  tables is the famous stuffed cabbage.
 
The stuffed cabbage roll (also known as pigs in a blanket) is a dish  consisting of a mixture of beef, rice, and seasonings, hand wrapped in  cooked cabbage leaves, and topped with a tomato sauce. Many in the area  still refer to the “hunky handgranades” by their ethinic names: Golabki  (Poland), Holubky (Czech Republic and Slovakia), Golubtsy (Russia)  Balandėliai (Lithuania) , Halubcy (Belarus), Holubtsi (Ukraine). 

-Conrad Catering

Yeah, I know that this is a regional from from Pittsburgh, but this is my blog and I am the one writing this. If you don’t like it, then you can write your own blog.

I happen to like these stuffed cabbage rolls, and yes, they are referred to a “pigs in a blanket”, not the hotdogs wrapped in the Pillsbury instant roll dough.

Stuffed Cabbage
Now depending on where you grew up or even what your background is you either called these Stuffed Cabbages, Pigs in a Blanket or Halupkies. The only real ingredient difference I’ve found between these is the use of either all beef or the omittance of rice and usage of potatoes instead.

Hey! And here’s a recipe for you all. See how easy it is to make!

Pigs In A Blanket (cabbage rolls) #SundaySupper
Pigs In A Blanket (cabbage rolls) #SundaySupper

Oh and while I am at it, let’s take a look at how families work… the division of labor and how you can eat delicious meals all the time and not be stressed out over it. Yes, there is a way.

It’s called having a “traditional conservative family“, as opposed to a “progressive modern contemporaneous family”. You can read my propaganda on this issue and the importance in parenting and family budgeting. The link below opens up in a separate tab for later reading for you all.

The two family types and how they work.

Hey! If you love your children enough. Spend TIME with them. You can always make more money, but you can never make more time.

Stuffed peppers

This meal is similar to the stuffed cabbage, only they are stuffed in peppers and use a slightly different mix of spices. Never the less, they too are easy to make and super delicious.

Stuffed Peppers dinner meal
Stuffed Bell Peppers are easy to make and everyone loves them! We fill these with a mixture of beef and sausage but you can use one or the other (or even ground turkey if you prefer) and I sometimes replace the rice with Cauliflower Rice. While I used green peppers in this recipe, any color bell pepper (red/yellow/orange) will work just fine. When choosing the color of pepper for your Stuffed Peppers recipe, it will depend on your personal taste preference. Green peppers are a bit more zesty and definitely less sweet than red, yellow or orange. Green peppers in this recipe really compliment the flavors in the beef, sausage, tomato-rice mixture.

One of the cool things with many home-made American foods is that they lend themselves to batch creation, and then freezing for later for a quick and easy delicious healthy family sit-down meal.

 “The truth is that our weeknights are pretty packed with sports  practice, piano lessons, and homework, along with what can seem like the  never-ending demands of my job,” says Sun Basket’s  executive chef, Justine Kelly. 

“It’s challenging, but I make a point to  have dinner with my daughter every night. Also, one thing we always  make time for is Sunday supper at my sister’s house. My parents come and  my daughter gets to spend time with her cousins. It’s a highlight of  our week.” 

-Fatherly
--PHOTO--There are few things finer than spending time with family and food. You can spend it with friends and pets as well. The smells, the creativity, and the environment together is far better than a movie, or a video game. Food and friendships equal paradise. make sure that you get your children involved early on.
There are few things finer than spending time with family and food. You can spend it with friends and pets as well. The smells, the creativity, and the environment together is far better than a movie, or a video game. Food and friendships equal paradise. make sure that you get your children involved early on.

Yes, you definitely can freeze Stuffed Peppers and they are a great make-ahead meal! You will need to bake them first, then you can either freeze them individually or together in a baking dish. To re-heat, simply thaw in the fridge overnight and bake in a 350°F oven until heated through.

City Chicken

City Chicken? What’s that?

It’s pork. Yup. It is.

City chicken is a Polish American entrée consisting of cubes of meat, which have been placed on a wooden skewer, then fried and/or baked. Depending on the recipe, they may be breaded. Despite the name of the dish, city chicken almost never contains chicken. 

-Wikipedia
--PHOTO--Delicious and wonderful city chicken being cooked on a skillet. It's a fine and wonderful meal that is cheap, easy to make and delicious. It also goes great with just about any veditable side dish. Oh, and do not forget the nice frosty beer.
Delicious and wonderful city chicken being cooked on a skillet. It’s a fine and wonderful meal that is cheap, easy to make and delicious. It also goes great with just about any vegetable side dish. Oh, and do not forget the nice frosty beer.

If you grew up in the North or Midwest regions of the country, you may be familiar with a dish called City Chicken, either as a mainstay in your weeknight supper rotations or a favorite comfort food.

For many people it is a mystery. No one ever really understands what it was, why it had that name, and why they couldn’t find any recipes for it in cookbooks.

You won’t find a recipe for City Chicken in the poultry section of a cookbook because, well, it isn’t poultry.

Known as a Depression Era recipe, cubes of veal and pork are threaded onto a skewer in order to create a faux drumstick. Seasoned and breaded, then fried or baked, this was a popular and delicious way to “fake” a poultry dinner.

--PHOTO--Cooking in the kitchen with friends and family. The dinner meal is an ideal opportunity for friends and family to get together and share fun, talk and drink.
I love this photo. Cooking in the kitchen with friends and family. The dinner meal is an ideal opportunity for friends and family to get together and share fun, talk and drink.

But why would you have to fake chicken?

Prior to the 1940’s, everyone wanted a chicken on the dinner table, yet surprisingly it was very expensive, even more so than pork and veal. Thus, we have the invention of “fake” chicken. Also known by the more common name of “city chicken”.

With roots in both Pittsburgh and Cleveland, where Polish and Ukrainian  immigrant communities have strong presences (and found more scarcely in  other Great Lakes-area cities like Detroit and Buffalo), city chicken  got its start in the Depression era, when chicken was scarce and more  expensive. Made then with pork, veal, or a combination of the two, the  meats were cubed and then threaded onto skewers, then breaded to create a  drumstick-like shape to better resemble chicken.  

-Eater
--PHOTO--City Chicken
Delicious city chicken. It goes great with rice, mashed potatoes, mac and cheese, or a fine spinach or maybe some cabbage. Oh, and don’t you dare forget that nice icy beer. Eh?

Spend time with friends.

Eat well.

Talk, laugh. Drink.

Enjoy your moments together.

Hygge  is the idea that helps Denmark regularly rate as one of the happiest  countries in the world — Danes have regularly been some of the most  joyful in the world for over 40 years that the U.S. has been studying  them — despite long, dark winters. 

Loosely translated at "togetherness,"  and "coziness," though it's not a physical state, it's a mental one.  According to VisitDenmark  (the country's official tourism site): "The warm glow of candlelight is  hygge. Friends and family — that’s hygge too. And let’s not forget the  eating and drinking — preferably sitting around the table for hours on  end discussing the big and small things in life." Hygge's high season is  winter, and Christmas lights, candles galore, and other manifestations  of warmth and light, including warm alcoholic beverages, are key to the  concept. 

Still a little confused and wondering how you could cultivate hygge in your life? This Danish NPR commenter  sums up some specifics: "Hygge is a deep sense of cosy that can  originate from many different sources. Here is a good example from my  life : a cloudy winter Sunday morning at the country house, fire in the  stove and 20 candles lit to dispel the gloom. My husband, puppy and I  curled up on our sheepskins wearing felt slippers, warm snuggly clothes  and hands clasped around hot mugs of tea. A full day ahead with long  walks on the cold beach, back for pancake lunch, reading, more  snuggling, etc. 

This is a very hyggligt day." Now that sounds do-able,  doesn't it? 

- 7 cultural concepts we don't have in the U.S. 
--PHOTO--A fine young budding master chef. Check out all the things he is learning. Check out that he is in the kitchen with family. Check out how intent he is on what he is doing. Not only that! But, he will be able to eat his creation. How absolutely wonderful!
A fine young budding master chef. Check out all the things he is learning. Check out that he is in the kitchen with family. Check out how intent he is on what he is doing. Not only that! But, he will be able to eat his creation. How absolutely wonderful!

Chili

Ah. Chili. My mother always would make a big stiff tureen of chili on the stove in the Winter. Then when anyone would come over to visit, we would make up a fresh pot of coffee and give them a bowl of chili and some rice.

--PHOTO--Delicious and wonderful home cooked, home made, chilit with love. It is one of the most popular American foods and can be found all over the United States. You can find chilit in California, in Texas, and in Massachusetts. Chili is a very popular meal that also lends itself to other meals as well.
Delicious and wonderful home cooked, home made, chili with love. It is one of the most popular American foods and can be found all over the United States. You can find chili in California, in Texas, and in Massachusetts. Chili is a very popular meal that also lends itself to other meals as well.

Over the years I have developed my very own versions of this simple and delicious food. In fact, it is super easy to make in China. You just use a Hunan spice packet for the Chili mix.

In general, I like to eat it over white rice. Though, I do have friends that prefer Doritos, or other kinds of chips. They also like to add graded yellow or cheddar cheese on top. While I like to crunch up saltines and cheese. I will tell you what, it is fantastic with icy cold beer. It is a fantastic meal.

--PHOTO--Second photo of wonderful delicious chili.
Delicious and wonderful home cooked, home made, chili with love. It is one of the most popular American foods and can be found all over the United States. You can find chili in California, in Texas, and in Massachusetts. Chili is a very popular meal that also lends itself to other meals as well. Oh, and never forget that chili goes good with an icy cold beer. Make sure that the chili is hot, and the beer is cold. For it is a cold bowl of chili when love let’s you down. Don’t you know.

Oh, and you can also have fresh ears of corn on the side, maybe a salad or even Oreo cookies if you would like.

“When adults are talking, putting their napkins in their laps, and  eating a variety of foods, they are teaching the young children at the  table how to be human,” says Silverman. 

“Meals are a multi-sensory  classroom with emotional, physical, and developmental benefits.” The  most important part of the puzzle? To simply make family meal-time  happen. 

-Fatherly 
--PHOTO--Chili can be made using a wide range of ingredients. You can even make an all vegitarian chilit if you wish.
Chili can be made using a wide range of ingredients. You can even make an all vegetarian chili if you wish. Personally, I just can’t see the point. As humans are carnivorous creatures as designed by God. But there you have it. If you want to do your thang – go ahead. I’m not judging. Just saying.

Stuffed Pork-chops

You know that pork-chops are great. How about stuffed pork-chops? OMG!

The chops are typically made with pork chops that are 1 1/4- to 2-inches thick. Look for chops that are already secured with twine or toothpicks that prevent the stuffing from falling out. All you have to do is pick them up, bring them home and preheat your oven or grill to cook up delicious stuffed pork chops. Baked or Roasted Stuffed Pork Chops.

-How to Cook Stuffed Pork Chops From a Grocery Store 

It’s exactly like you would expect. It’s a thicker cut of pork chops. Only you slice the chops and in that slice you stuff it with stuff. Usually, right out of the (store bought) box of stuffing.

--PHOTO--Savory and delicious tasy home made American-style pork chops. It's a very easy and delicious meal that anyone can make.
Who doesn’t like pork? Well, aside from the religious, and those on the fringe? Savory and delicious tasty home made American-style pork chops. It’s a very easy and delicious meal that anyone can make.

Italian Sausages and Rolls

This is a nice little meal that I discovered while I was in High School. A girl that I was handing out with at the time introduced me to a little “hole in the wall” establishment off a side street in Butler, PA. I immediately fell in love with them.

You know the type of place—where the big loud guy behind the counter works fast and furious, takes your order (you better know what you want!) and barks back “Sausage, Peppers, and Onions!” to the guys on the line, and within minutes you have a huge roll in your hands, loaded with more sausage and peppers with onions than you think you can possibly eat.

But eat you do, because that sausage and peppers with onions are so so good. And then you have a food coma for the rest of the afternoon, and you eat steamed broccoli for dinner because after that lunch, you just don’t need much for dinner. Yum!

--PHOTO--Here is a most delicious Italian sausage meal. The sausage is slow cooked in a crock pot for four hours or so with Italian spaghetti sauce, some peppers and onions, and then removed and placed on a freshly baked hard roll. It i a most excellent family lunch, but makes a wonder dinner when paired with a bowl of creamy soup. Yum!
Here is a most delicious Italian sausage meal. The sausage is slow cooked in a crock pot for four hours or so with Italian spaghetti sauce, some peppers and onions, and then removed and placed on a freshly baked hard roll. It i a most excellent family lunch, but makes a wonder dinner when paired with a bowl of creamy soup. Yum!

Funny thing, though. My mother never made them. She did not like sweet Italian sausages. She never could see the point of it. Why buy sweet Italian sausages when you could buy kielbasi instead?

Well, I disagreed. I happen to love this meal.

What Kind of Sausage and Peppers to Use?
This dish is typically made with a several different colors of bell  peppers—usually one green, one red, and one either yellow, orange, or  purple. That said, use whatever color bell peppers you like! If you  don’t like a color, skip it and just use more of another color.

As for sausages, in this recipe we are using a combination of sweet  and hot Italian sausages, but if you want a milder dish, use only the  sweet sausages and reduce the amount of chili pepper flakes in the  recipe. Likewise, if you would like it spicier, use all hot sausages  and/or bump up the amount of chili pepper flakes.

How to Store, Keep, and Freeze
Once cooked, the sausage, peppers, and onions will easily keep for 3  to 4 days in the fridge, but you can also freeze it for up to 3 months.  Just defrost it in the fridge overnight and reheat in a saucepan over  low heat, or pop it in a saucepan with a little bit of water or oil,  over low heat, until completely warmed through. 

-simplyrecipes.com
--PHOTO-- A very fantastic and tasty Italian sausage sandwich on a fine fresh hard crunch roll and is best served hot. You do want to drink and wash it down with a nice icy bear. A local beer is always best. Don't you know.
A very fantastic and tasty Italian sausage sandwich on a fine fresh hard crunchy roll and is best served hot. You do want to drink and wash it down with a nice icy beer. A local beer is always best. Don’t you know.
Four Steps to Make the Most of a Meal

Being  there may be the most important part of family meal-time, but there are  still a few things you can do to make the conversation all the more  fruitful.
 
1. Have a ritual.
Answer the same  question every evening when you sit down to eat to give your kid  something to prepare for and see how the answers shift over time with  their changing perspective. A few examples: “What are you thankful for?”  or “What were the peak (best part) and pit (worst part) of your day?”

2. Play games.
Challenge  your kids and encourage fun and creativity by asking them questions  like, “What were the three craziest things you saw today?” or “If you  were an animal, which would you want to be and why?”
 
3. Skip the TV dinner.
Do your best to  turn off the TV, put phones away, and negate any distractions that can  take away from your time to talk. “Family meals should be pleasant, fun,  and technology free to optimize the experience,” Silverman says.

4. Get everyone involved.
This  is a time where the whole family chips in to come together. Ask your  kids to wash veggies or set the table. “I’m a big fan of teaching  children to cook,” Silverman says. “Their own home kitchen is the  perfect place to start learning how to prepare healthy meals.” One of  the easiest ways to teach them skills in the kitchen is for them to try  their hand (with adult supervision) at one of the healthy, ready-to-make  meals from Sun Basket. 

  -Fatherly  
--PHOTO--Such a satisfying combo! Italian sausages cooked with bell peppers, sweet onions, crushed tomatoes, and garlic. Served on a hoagie roll or over pasta or polenta.
Such a satisfying combo! Italian sausages cooked with bell peppers, sweet onions, crushed tomatoes, and garlic. Served on a hoagie roll or over pasta or polenta. Mmmmm. Mmmmm. Mmmmm. Sausage and peppers with onions. This is one of those classic Italian-American street food, lunch cart dishes.

Oh, yes. Do not forget.

Food cooked, is food to eat. Don’t stand there yapping! Make yourself a sandwich and pour yourself a beer. have a good time you all!

 --PHOTO--Another fine example of a home-made-Italian sausage sandwich. I'll bet you won' be able to get this in a fast food restrurant.
Another fine example of a home-made-Italian sausage sandwich. I’ll bet you won’ be able to get this in a fast food restaurant.

Beef Tips and Rice

And no, it’s not Chinese.

This is one of those wonderful meals that I will always treasure. For some reason, and I do not know why, I always remember the relaxing time after I ate this meal. When we are all sitting at the table talking and enjoying our after-dinner coffee in demitasse cups..

--PHOTO--Delicious and wonderful beef tips and rice. The perfect meal for a fine active houshold. It acutlly goes great with iced tea. Did you know that?
Delicious and wonderful beef tips and rice. The perfect meal for a fine active household. It actually goes great with iced tea. Did you know that?

Around the south, just about all the local mom-and-pop style restaurants feature Beef Tips with Rice and Gravy on their menu.  It’s an old diner favorite that is also usually one of the cheaper menu choices because it can take a somewhat tougher cut of beef, slow simmer it to make it tender and, then serve it up with a large portion of rice and gray to cut costs.

--PHOTO--Some people like to eat the beef tips and rice in a soup bowl, or a shallow bowl. I, myself, think that a fine dinner plate is the best. But, it's all up to you all.
Some people like to eat the beef tips and rice in a soup bowl, or a shallow bowl. I, myself, think that a fine dinner plate is the best. But, it’s all up to you all.

Its so versatile in that it tastes great when served over rice, over mashed potatoes or, even over noodles. 

Busy family hints...

Here’s a really simple recipe that just needs about an hour to slow  simmer before its ready to serve.  You can take advantage of some  cheaper cuts of beef anytime you find them on sale and, whip up some  great Southern comfort food that can be served with rice, mashed  potatoes or even noodles.  It’s your choice.

Stew beef works very well for this recipe or, you can use eye of  round steak like we did.  You can even go all out and make it with a  finer cut like Ribeye steak.  The really great part is, whatever you  find on sale, can probably be used to make our Beef Tips.  Buy it while  its on sale, freeze it and have it ready for a quick and easy lunch,  dinner or Sunday dinner.  I think you’ll like it about any time of the  day. 

-Taste of Southern
--PHOTO--It certainly looks like a Chinese dish. Perhaps it is because many Chinese dishes follow the same formula. Except that they use a different scuce, different selection of spices and a different cut of meat. Thus this dish is a uniquely American dish and it is deserving of your attention as a wonderful entree to provide to your friends and family.
It certainly looks like a Chinese dish. Perhaps it is because many Chinese dishes follow the same formula. Except that they use a different sauce, different selection of spices and a different cut of meat. Thus this dish is a uniquely American dish and it is deserving of your attention as a wonderful entree to provide to your friends and family.

Salisbury steak

For the longest time my mother would make Salisbury steaks and they would be tough. They would be chewy and needed to be cut into tiny cubes to digest.

Then, I met a girl from Zambia.

--PHOTO--Again, it might seem like this salsbury steak meal is Chinese. But no. It's not. It's as American as Football cheerleading squads and Saturday nights in the GTO. It's different in that the gravy, the meat and the way of cooking the meal differs substantially from what is present in China. That's neither good nor bad, it's jsut he way it is. Live the difference!
Again, it might seem like this Salisbury steak meal is Chinese. But no. It’s not. It’s as American as Football cheer-leading squads and Saturday nights in the GTO. It’s different in that the gravy, the meat and the way of cooking the meal differs substantially from what is present in China. That’s neither good nor bad, it’s just the way it is. Live the difference!

When we lived together, she was able to make the most delicious Salisbury steaks that I have ever eaten. They were nothing sort of amazing. She said that there was nothing to it, but I disagree. Some people just have a natural affinity and skill at certain things, and she was just amazing in the kitchen.

"One of the simplest and most effective ways for parents to be engaged in their teens'  lives is by having frequent family dinners," 

- Joseph Califano Jr.,  chairman and president of The National Center on Addiction and Substance Abuse at Columbia University (CASA). 
--PHOTO-- Salsbury steak can be served on a bed of rice, or with noodles. Heck, you can even serve it with potatoes, wether mashed or in any of the other delicious forms possible.
Salisbury steak can be served on a bed of rice, or with noodles. Heck, you can even serve it with potatoes, whether mashed or in any of the other delicious forms possible.

You might wonder just how a family can afford all this. Well, it’s no mystery. You can EASILY afford these meals provided you set your familial household to operate traditionally. It’s not at all what is promoted in the mainstream media, and perhaps it’s time to take a good hard long look at the assumptions that our parents made, and the sacrifices they made to become “progressive” and “enlightened”.

You can click on the link below. It opens up into a new fresh tab so that your browsing in this article will not be interrupted.

How to manage a family household.

Shepard’s Pie

Now my first experience with “Shepard’s Pie” was in the school cafeteria. My mother tended not to make it at home. She felt that it was too simple a dish to make. More plebeian, I would guess.

--PHOTO--A fine Shepard's pie. Nope. You will not find this in a fast food restaurant. Though, you could possibly discover it in a British or Irish pub.
A fine Shepard’s pie. Nope. You will not find this in a fast food restaurant. Though, you could possibly discover it in a British or Irish pub.
Shepherd's pie or cottage pie is a meat pie with a crust or topping of mashed potato. 

The recipe has many variations, but the defining ingredients are minced red meat, cooked in a gravy or sauce with onions and sometimes other vegetables, such as peas, celery or carrots, and topped with a layer of mashed potato before it is baked. 

The pie is sometimes also topped with grated cheese to create a layer of melted cheese on top.

-Wikipedia

Chicken and Dumplings

Old Fashioned Chicken and Dumplings is a family favorite meal that is both comforting and delicious! Chicken and dumplings is a dish that consists of a chicken cooked in water, with the resulting chicken broth being used to cook the dumplings by boiling. A dumpling—in this context—is a biscuit dough, which is a mixture of flour, shortening, and liquid. The dumplings are either rolled out flat, dropped or formed into a ball.

Chicken and Dumplings. A fine, fine American meal.
Chicken and Dumplings. A fine, fine American meal.

This chicken and dumplings recipe is created from scratch including the tender dumplings and juicy chicken in an easy homemade broth. Combine chicken, onion, carrots and celery in a large pot. Season to taste.

EATING AT HOME SAVES MONEY. 

In 2007, the average household spent $3,465 on meals at home,  and $2,668 on meals away from home, according to the national Consumer  Expenditure Survey from the Bureau of Labor Statistics. Per meal, that’s  about $8 per meal outside of the home, and only about $4.50 per each  meal made in your own kitchen. 

 - 9 Scientifically Proven Reasons to Eat Dinner as a Family    

Potatoes with onions and eggs

Eating  family dinners is associated with healthy dietary food patterns. A 2000  survey found that the nine to 14-year-olds who ate dinner with their  families most frequently consumed more fruits and vegetables  and less soda and fried foods. Their diets also had higher amounts of  many key nutrients, like calcium, iron, and fiber. Matthew W. Gillman,  MD, the survey’s lead researcher, noted that family dinners allow for  both "discussions of nutrition [and] provision of healthful foods." 

- 9 Scientifically Proven Reasons to Eat Dinner as a Family  

This meal is representative of a very simple meal that I once cooked for a friend. She had to work and we offered to baby-sit her kids for her. The thing was that the poor kids weren’t eating right. They didn’t eat all day. And there was nothing in the kitchen. I mean, the entire kitchen was empty except for some onions, potatoes and a few eggs.

Here’s what you do.

Potatoes and onions, a very fast and super cheap meal to make in a pinch.
Potatoes and onions, a very fast and super cheap meal to make in a pinch.

You cut up the potatoes and the onions. Put in in a skillet with salt, pepper and butter. let it cook. The house will be filled with this wondrous aroma. Then you remove the potatoes and onions. And cook the eggs int he same pan. The kids will love it.

Meals need not be expensive. You just need to be a little creative.

Round Steak

Easy Crock Pot Round Steak recipe is quick to throw together, cooks all day and produces a delicious beef and gravy dinner waiting for you when you get home.

--PHOTO--A fine and delicious rond steak. There are so many ways to cook this steak. It is up to the family cook to pick one and see how it turns out. In any event, this meal is most certainly a pleaser. Everyone will enjoy the meal.
A fine and delicious round steak. There are so many ways to cook this steak. It is up to the family cook to pick one and see how it turns out. In any event, this meal is most certainly a pleaser. Everyone will enjoy the meal.
A round steak is a beef steak from the "round", the rear leg of the cow. The round is divided into cuts including the eye round, bottom round, and top round, with or without the "round" bone, and may include the knuckle, depending on how the round is separated from the loin. 

This is a lean cut and it is moderately tough. 

Lack of fat and marbling makes round dry out when cooked with dry-heat cooking methods like roasting or grilling. Round steak is commonly prepared with slow moist-heat methods including braising, to tenderize the meat and maintain moisture. The cut is often sliced thin, then dried or smoked at low temperature to make jerky. 

-Wikipedia

Perogies

As my parents were partially of Polish decent and from Pittsburgh, the idea of eating perogies was as natural as learning how to put on socks. We all ate this most wonderful of meals.

Though my relatives always made perogies out of meats, vegetables and fruits, personally I never got a chance to eat the sweet versions. My siblings and my cousins always gobbled up the fruit versions. Leaving me with the more “unpopular” perogies.

--PHOTO--A perogie is a Polish-American version of a Chinese dumpling. Only it is often filled with mashed potatoes, and cheese, and fried with onions in the Shanghai style.
A perogie is a Polish-American version of a Chinese dumpling. Only it is often filled with mashed potatoes, and cheese, and fried with onions in the Shanghai style.

No worries though. They now have ballooned up to the size of whales. While I have maintained my thin and trim lines. LOL.

Pierogi are filled dumplings of Central and Eastern European origin, made by wrapping unleavened dough around a savory or sweet filling and cooking in boiling water, or pan-frying. 

Pierogi which consist of noodle dough and have to be cooked in boiling water are associated with the Central and Eastern European kitchens where they are considered national dishes, especially in Poland. 

Pierogi are popular in West Slavic, Hungarian, East Slavic, some Baltic and other Central and Eastern European...

-Wikipedia

Ravioli

Ravioli is a traditional Italian pasta dish made by stuffing rounds or squares of pasta dough with a filling, creating a sort of pasta “pillow.”.

Ravioli is a traditional Italian pasta dish made by stuffing rounds or squares of pasta dough with a filling, creating a sort of pasta "pillow.". The dish is wildly popular outside of Italy, and can be readily found in fresh and frozen form in most Western supermarkets.

-Wise Geek
--PHOTO--Ravioli is a traditional Italian pasta dish made by stuffing rounds or squares of pasta dough with a filling, creating a sort of pasta "pillow.". The dish is wildly popular outside of Italy, and can be readily found in fresh and frozen form in most Western supermarkets.
Ravioli is a traditional Italian pasta dish made by stuffing rounds or squares of pasta dough with a filling, creating a sort of pasta “pillow.”. The dish is popular outside of Italy, and can be readily found in fresh and frozen form in most Western supermarkets.

Beef Stroganoff

Beef Stroganoff. My mother used to make this with egg noodles. As did all my aunties. It’s a Pittsburgh thing, I guess.

This wonderful meal consists of juicy beef smothered in a creamy mushroom and onion gravy. Beef Stroganoff is a crowd favourite that tastes like a slow cooked stew but is on the table in 30 minutes! Beef Stroganoff – a timeless retro classic!!

--PHOTO--Beef Stroganoff. Juicy beef smothered in a creamy mushroom and onion gravy. Beef Stroganoff is a crowd favourite that tastes like a slow cooked stew but is on the table in 30 minutes! Beef Stroganoff – a timeless retro classic!!
Beef Stroganoff. Juicy beef smothered in a creamy mushroom and onion gravy. Beef Stroganoff is a crowd favorite that tastes like a slow cooked stew but is on the table in 30 minutes! Beef Stroganoff – a timeless retro classic!!
If  you have a demanding job, finding time to eat with your family may  actually leave you feeling less stressed. In 2008, researchers at  Brigham Young University conducted a study of IBM employees and found  that sitting down to a family meal helped working moms reduce the tension and strain from long hours at the office. 

 - 9 Scientifically Proven Reasons to Eat Dinner as a Family   

Cold-cut Spread

This isn’t really a dinner so much as it is a tradition. What we would do at my mother’s family and with her parents and relatives would be to have a “cold cut spread”. It’s just simply a layout allowing the person to make their own sandwiches at will.

This is also known as a “cold platter”. It is a very cheap and easy way to make a meal spread without any cooking.

Sandwich spreads are some of the best make ahead meals. When your family is in and out of the house, eating at different times and with different appetites, having these delicious and nutritious spreads in the fridge is like money in the bank. And make-ahead sandwich spreads are the perfect answer to feeding your family when it’s just too hot to cook.

Turkey, ham and salami cold cuts are all at home on a sandwich—but these deli meats can be used in many other ways. We like to add thin strips of salami to pasta carbonara and cheesy frittata, smoked turkey to spaghetti and thinly-sliced chicken to spinach salad. One of our favorite deli meats is high quality pastrami—there are few sandwiches more delicious (or iconic) than a Reuben. Piled high on rye bread with Swiss cheese, sauerkraut and Russian dressing, it’s a New York deli classic.
Turkey, ham and salami cold cuts are all at home on a sandwich—but these deli meats can be used in many other ways. We like to add thin strips of salami to pasta carbonara and cheesy frittata, smoked turkey to spaghetti and thinly-sliced chicken to spinach salad. One of our favorite deli meats is high quality pastrami—there are few sandwiches more delicious (or iconic) than a Reuben. Piled high on rye bread with Swiss cheese, sauerkraut and Russian dressing, it’s a New York deli classic.
 The average restaurant meal has as much as 60% more calories than a homemade meal. Combine the fact that portions served in restaurants are continuing to expand  with that fact that when we’re presented with more food, we’re more  likely to eat more food, and it becomes clear that eating at home is  simply healthier. When families eat together, young children are less likely to be overweight or obese because these children are eat regular, nutritious, home cooked meals, and also help in making or serving those meals.  

  - 9 Scientifically Proven Reasons to Eat Dinner as a Family    

Sausage and (Hominy) Grits

A favorite of my mother. She would routinely cook this meal on every Tuesday once she settled into retirement. It’s a thing that she loved to do, but which I had no inking of why.

--PHOTO--This is a full meal ready to go. The underrated hominy takes in the flavor of the roasted sausages; spinach cooked with garlic and chili flakes makes a perfect green addition to the plate. Seconds, please!
This is a full meal ready to go. The underrated hominy takes in the flavor of the roasted sausages; spinach cooked with garlic and chili flakes makes a perfect green addition to the plate. Seconds, please!
Research examining 5,000 teenagers has shown that when children eat with their parents regularly, they are more likely to be emotionally strong and have better mental health.  

Teens who ate regular family meals were also more likely to be  adjusted, have good manners and communication skills. 

This effect is not  restricted to the children - mothers who ate with their families often  were also found to be happier and less stressed as compared to mothers who did not. 

  - 9 Scientifically Proven Reasons to Eat Dinner as a Family    

Kielbasa

Nothing says Pittsburgh better than Kielbasa.

Polish kielbasa is traditionally made from ground pork. Some commercial variations of kielbasa are made from ground turkey, but these aren’t authentic. Garlic, along with pimentos and ground cloves, are the predominant seasonings.

What sets kielbasa apart from other members of the sausage family is its coarse texture, heady garlic flavor and classic Polish preparation - it's traditionally not smoked or lightly smoked at best.  Smoked sausage, on the other hand, is cooked and then smoked. Often, artificial smoke "flavors" are added as well. Because they're fully cooked, you can eat smoked sausages cold or warmed. Other varieties include Italian mortadella, Cajun andouille, German bratwurst, bologna and hot dogs. Smoked sausage can be made from ground pork, turkey, beef or a combination of meats -- and they can include any variation of seasonings.  Polish kielbasa is traditionally made from ground pork. Some commercial variations of kielbasa are made from ground turkey, but these aren't authentic. Garlic, along with pimentos and ground cloves, are the predominant seasonings.  Serve kielbasa warm, grilled or boiled, along with other traditional Polish sides such as pierogies -- potato dumplings -- pickled cucumbers and buckwheat groats. You can also cook kielbasa with eggs, use it for sandwiches, include in soups, stews and casseroles or serve with a side of vegetables.
What sets kielbasa apart from other members of the sausage family is its coarse texture, heady garlic flavor and classic Polish preparation – it’s traditionally not smoked or lightly smoked at best. Smoked sausage, on the other hand, is cooked and then smoked. Often, artificial smoke “flavors” are added as well. Because they’re fully cooked, you can eat smoked sausages cold or warmed. Other varieties include Italian mortadella, Cajun andouille, German bratwurst, bologna and hot dogs. Smoked sausage can be made from ground pork, turkey, beef or a combination of meats — and they can include any variation of seasonings.

Serve kielbasa warm, grilled or boiled, along with other traditional Polish sides such as pierogies — potato dumplings — pickled cucumbers and buckwheat groats. You can also cook kielbasa with eggs, use it for sandwiches, include in soups, stews and casseroles or serve with a side of vegetables.

Gumbo

Nothing says Southern Louisiana food like gumbo: A thick stew-like soup of meat, okra, and Creole and Cajun seasonings. But its history—and even its essential ingredients and method of preparation—is widely disputed.

--PHOTO--A most delicious seafood gumbo. This is the food of New Iberia, you all. It is outstanding and wonderful. You have not lived until you have eaten some seafood gumbo.
A most delicious seafood gumbo. This is the food of New Iberia, you all. It is outstanding and wonderful. You have not lived until you have eaten some seafood gumbo.

Historians generally agree that its existence is first documented at the beginning of the 19th century. And the thickeners commonly used in many gumbo recipes (filé powder, okra, and gumbo roux—don’t worry, we explain all of these) give clues to its Choctaw Native American, West African, and French roots.

Regardless of its disputed origins and the myriad ways it’s prepared, it’s an essential, treasured part of New Orleans, Louisiana Creole and Cajun culture, and we’re here to break it down for you: what gumbo is, what ingredients to use, and how to make different types, from sausage to chicken to seafood gumbo.

Gumbo is a stew popular in the U.S. state of Louisiana, and is the official state cuisine. Gumbo consists primarily of a strongly-flavored stock, meat or shellfish, a thickener, and what Louisianians call the "Holy Trinity" of vegetables, namely celery, bell peppers, and onions. Gumbo is often categorized by the type of thickener used, whether okra or filé powder.

-Wikipedia 
--PHOTO--A most excellent dish, seafood gumbo has the makings of great times. All you need to do is add freinds, beer and some fine music. Just bring yourself. And... of course... be yourself.
A most excellent dish, seafood gumbo has the makings of great times. All you need to do is add friends, beer and some fine music. Just bring yourself. And… of course… be yourself.

While both gumbo and jambalaya are mainstays of Cajun and Creole cooking, they’re both distinct dishes with different methods of prep. While gumbo is typically enjoyed as a rich, flavorful soup, sometimes served spooned over rice, jambalaya is similar to Spanish paella:

The rice is usually cooked with the protein (usually some mix of chicken, seafood, and/or sausage) along with the stock, seasonings, and veggies in one large ready-to-serve skillet. Creole Jambalaya may include tomatoes, while Cajun typically does not.

Studies have proven that there’s a significant link between family dinners and academic performance. 

A report by CASA found that teens who have between five and seven family dinners per week were twice as likely to report receiving mostly A’s and B’s in school,  compared to those teens who have fewer than three family dinners per week. 

In addition, only 9% of teens who ate frequently with their families did poorly in school, according to the report. 

 - 9 Scientifically Proven Reasons to Eat Dinner as a Family   

Many people would eat Gumbo as a stand alone dish, I would prefer to eat it as a soup with a fine sandwich nearby (and an icy beer). I have a write up or post about this. It is below. You can click on it and it will open up in another tab so that this article can be finished.

Soups, Sandwiches and ice cold beer.

Hey! Here’s a great bowl of gumbo. Wouldn’t you just love to have one yourself?

--PHOTO--A bowl of fine delicious gumo with shrimp and okra. Yum!
A bowl of fine delicious gumbo with shrimp and okra. Yum!

Gumbo is usually distinguished by what is used to thicken it—typically okra, filé powder, a roux, or some combination of the three. The name “gumbo” is also speculated to come from the name of the traditional bases: either from the word kingombo, a West African Bantu word for okra, or from kombo, the Choctaw Native American word for filé powder, an essential spice.
 
Filé powder: Filé powder is dried crushed leaves from Sassafras, a plant native to the Southeastern U.S. The powder is usually added at the end of the recipe to thicken and season the gumbo and can usually be found in a well-stocked supermarket or spice store.
 
Okra: Used either fresh or dried, okra is one of the most common thickening agents of gumbo and gives it its distinctive taste and flavor—it’d be difficult to find a recipe for gumbo that doesn’t make copious use of okra. Traditionally, when okra is out of season, dried okra can be used instead.

Shrimp and okra gumbo, showing and illustrating how the okra is to be cut and added to this fine, and tasty gumbo dish.
Shrimp and okra gumbo, showing and illustrating how the okra is to be cut and added to this fine, and tasty gumbo dish.

Roux: Derived from French cooking, gumbo roux is much darker than the mildly toasted roux used in classical French cuisine. It’s made by toasting flour in fat such as butter until it’s golden brown, but many gumbo roux recipes call for a roux that is “chocolate-colored,” “mahogany,” or even “close to burnt”, and they’re typically made with oil instead of butter.

gumbo roux
Some gumbo roux that can be obtained off the internet. Thus providing delicious Gumbo just about anywhere in the world.

“Holy Trinity”: Similar to mirepoix, (carrot, onion, and celery) the “Holy Trinity” is the base of much of Creole and Cajun cooking—bell pepper, onions, and celery is used to start many gumbo recipes. Depending on the recipe, shallots, garlic, and parsley can also be included in this essential blend.

The Trinity that is used in Gumbo.
The Trinity that is used in Gumbo.

Oh my Goodness! This is a long post!

I am so, so very sorry. However, I will have to wrap up this post leaving out so many, many delicious dinner suggestions.

For the purposes of brevity, let’s tack on the following as “honorable mentions”. All of which are delicious and deserving of their own place in the spot-light.

  • Clam bake
  • Cobb Salad
  • Baked Ham
  • Oyster Stew
  • Deviled Crabs
  • Deviled eggs
  • Pulled Chicken
  • Lox and bagels
  • Shrimp
  • Jambalaya
  • Baked Turkey
--PHOTO--Family participation. Cooked and served with love and attention. No television. No cell phones. No games. No apps. Just people.
Family participation. Cooked and served with love and attention. No television. No cell phones. No games. No apps. Just people.

Conclusion

Talking. Eating. Being together. What’s not to love?

Eating meals together has the potential to strengthen family bonds as it  provides a daily time for the whole family to be together. 

For younger children, routine family meals can provide a sense of security and a feeling of belonging in the family. 

Older children and teenagers, too, prefer eating together as a family. In a recent Columbia University study, 71% of teenagers  said they consider talking, catching-up, and spending time with family members as the best part of family dinners. 

- 9 Scientifically Proven Reasons to Eat Dinner as a Family 

Meals are to be shared. Share some food with others. It’s not expensive, but the time and the friendships are the most valuable things that you can have. Share your food. Share your time. Share your life.

With others.

This kitchen may not be picture-perfect, but it's the perfect place for an authentic evening.
This kitchen may not be picture-perfect, but it’s the perfect place for an authentic evening. (Special tanks to the Mother Nature Network).

A life lived alone is a life unlived.

Meals are to be shared. Share some food with others. It's not expensive, but the time and the friendships are the most valuable things that you can have. Share your food. Share your time. Share your life.
Meals are to be shared. Share some food with others. It’s not expensive, but the time and the friendships are the most valuable things that you can have. Share your food. Share your time. Share your life.

Oh, yeah!

A family DOES NOT NEED two incomes. Nothing, and I do mean NOTHING, is more important than spending time together. Live cheaper. Live frugally. Spend more time together. One person budgets and tends to the family. The other member labors and gives everything to the family. This system works.

Give it a whirl. You might be surprised how well it works out.

For you other expats out there…

This post is designed for you to show your relatives what “American food” is, and what it is not.

This is NOT American food…

All Americans have eaten a McDonalds meal, but fast food is not family meal fare. It is not healthy and does not lend itself for communial meals and togetherness.
All Americans have eaten a McDonald’s meal, but fast food is not family meal fare. It is not healthy and does not lend itself for communal meals and togetherness.

American “fast food” is a progressive invention to assist the “modern enlightened” person to cope in a stress-filled automated reality where the needs of the group come before the needs of the individual.

--PHOTO--Tired of having to work two jobs to make ends meet. Well welcome to the new modern progressive reality ushered in by Wilson and FDR.
Tired of having to work two jobs to make ends meet. Well welcome to the new modern progressive reality ushered in by Wilson and FDR.

Background Links

Here are some links on related subjects that covers this specific subject in much more detail.

Pleasures
Link
1960's and 1970's link

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

What is going on in Hollywood?
Why no High-Speed rail in the USA?
Link
Link
Link
End of the Day Potato
Dog Shit
Tomatos
Link
Mad scientist
Gorilla Cage in the basement
The two family types and how they work.
How to manage a family household.
Link
Soups, Sandwiches and ice cold beer.
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Baby's got back
Link
A womanly vanity
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Things I wish I knew.
Link
Travel
PT-141
Bronco Billy
How they get away with it
Paper Airplanes
Snopes
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
Democracy Lessons
The Rule of Eight

Funny Pictures

Picture Dump 1

Be the Rufus – Tales of Everyday Heroism.

Be the Rufus - 1

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

“He Who Shrank” (Full Text) by Henry Hasse

This is a fine short science fiction story that I have never forgotten. I must have read it when I was in my middle teenage years. When I ran across it the other day, I felt that I just had to include it in my internet collection here. There’s nothing really special or noteworthy about this story, except that it is unique and a fun read.

Please enjoy.

The greatest scientist the world has ever had has invented a  extraordinary new means of exploring the world of the infinitely small,  and sends his devoted assistant - notwithstanding his objections to the  scheme - on a mind-boggling series of adventures exploring the infinite  series of concentric universes contained within the most minute particle  (!!), thus providing the scope and scale of one of the most ambitious  and wide-ranging and thought-provoking science-fiction stories ever.

This powerful saga was first published in the August 1936 issue of  Amazing Stories.

He Who Shrank

I

YEARS, centuries, aeons, have fled past me in endless parade, leav­ing me unscathed: for I am deathless, and in all the universe alone of my kind. Universe? Strange how that convenient word leaps instantly to my mind from force of old habit. Universe? The merest expression of a puny idea in the minds of those who cannot possibly conceive whereof they speak. The word is a mockery. Yet how glibly men utter it! How little do they realize the artificiality of the word!

That night when the Professor called me to him he was standing close to the curved transparent wall of the astrono-laboratory looking out into the blackness. He heard me enter, but did not look around as he spoke. I do not know whether he was addressing me or not.

"They call me the greatest scientist the world has had in all time."

I had been his only assistant for years, and was accustomed to his moods, so I did not speak. Neither did he for several moments and then he continued:

"Only a half year ago I discovered a principle that will be the means of  utterly annihilating every kind of disease germ. And only recently I  turned over to others the principles of a new toxin which stimulates the  worn-out protoplasmic life-cells, causing almost com­plete  rejuvenation. The combined results should nearly double the ordinary  life span. Yet these two things are only incidental in the long list of  discoveries I have made to the great benefit of the race."

He turned then and faced me, and I was surprised at a new pecul­iar glow that lurked deep in his eyes.

"And for these things they call me great! For these puny discov­eries  they heap honors on me and call me the benefactor of the race. They  disgust me, the fools! Do they think I did it for them? Do they think I  care about the race, what it does or what happens to it or how long it  lives? They do not suspect that all the things I have given them were  but accidental discoveries on my part—to which I gave hardly a thought.  Oh, you seem amazed. Yet not even you, who have assisted me here for ten  years, ever suspected that all my labors and experiments were pointed  toward one end, and one end alone."

He went over to a locked compartment which in earlier years I had wondered about and then ceased to wonder about, as I became engrossed in my work. The professor opened it now, and I glimpsed but the usual array of bottles and test-tubes and vials. One of these vials he lifted gingerly from a rack.

"And at last I have attained the end," he almost whispered, hold­ing the  tube aloft. A pale liquid scintillated eerily against the artificial  light in the ceiling. "Thirty years, long years, of ceaseless  experiment­ing, and now, here in my hand—success!"

The Professor’s manner, the glow deep in his dark eyes, the sub­merged enthusiasm that seemed at every instant about to leap out, all served to impress me deeply. It must indeed be an immense thing he had done, and I ventured to say as much.

"Immense!" he exclaimed. "Immense! Why—why it’s so immense that—. But wait. Wait. You shall see for yourself."

At that time how little did I suspect the significance of his words. I was indeed to see for myself.

Carefully he replaced the vial, then walked over to the transparent wall again.

"Look!" he gestured toward the night sky. "The unknown! Does it not  fascinate you? The other fools dream of some day travelling out there  among the stars. They think they will go out there and learn the secret  of the universe. But as yet they have been baffled by the problem of a  sufficiently powerful fuel or force for their ships. And they are blind.  Within a month I could solve the puny difficulty that confronts them;  could, but I won’t. Let them search, let them experiment, let them waste  their lives away, what do I care about them?"

I wondered what he was driving at, but realized that he would come to the point in his own way. He went on:

"And suppose they do solve the problem, suppose they do leave the  planet, go to other worlds in their hollow ships, what will it profit  them? Suppose that they travel with the speed of light for their own  life time, and then land on a star at that point, the farthest point  away from here that is possible for them? They would no doubt say: ’We  can now realize as never before the truly staggering expanse of the  universe. It is indeed a great structure, the universe. We have traveled  a far distance; we must be on the fringe of it.’
 "Thus they would believe. Only I would know how wrong they were, for I  can sit here and look through this telescope and see stars that are  fifty and sixty times as distant as that upon which they landed.  Comparatively, their star would be infinitely close to us. The poor  deluded fools and their dreams of space travel!"

“But, Professor,” I interposed, “just think—”

"Wait! Now listen. I, too, have long desired to fathom the uni­verse, to  determine what it is, the manner and the purpose and the secret of its  creation. Have you ever stopped to wonder what the universe is? For  thirty years I have worked for the answer to those questions. Unknowing,  you helped me with your efficiency on the strange experiments I  assigned to you at various times. Now I have the answer in that vial,  and you shall be the only one to share the secret with me."

Incredulous, I again tried to interrupt.

"Wait!" he said. "Let me finish. There was the time when I also looked  to the stars for the answer. I built my telescope, on a new principle of  my own. I searched the depths of the void. I made vast calculations.  And I proved conclusively to my own mind what had theretofore been only a  theory. I know now without doubt that this our planet, and other  planets revolving about the sun, are but electrons of an atom, of which  the sun is the nucleus. And our sun is but one of millions of others,  each with its allotted number of planets, each system being an atom just  as our own is in reality.

"And all these millions of solar systems, or atoms, taken together in  one group, form a galaxy. As you know, there are countless num­bers of  these galaxies throughout space, with tremendous stretches of space  between them. And what are these galaxies? Molecules! They extend  through space even beyond the farthest range of my telescope! But having  penetrated that far, it is not difficult to make the final step.

"All of these far-flung galaxies, or molecules, taken together as a  whole, form—what? Some indeterminable element or substance on a great,  ultramacrocosmic world! Perhaps a minute drop of water, or a grain of  sand, or wisp of smoke, or—good God!—an eyelash of some creature living  on that world!"

I could not speak. I felt myself grow faint at the thought he had propounded. I tried to think it could not be—yet what did I or any­one know about the infinite stretches of space that must exist beyond the ranges of our most powerful telescope?

“It can’t be!” I burst out. “It’s incredible, it’s—monstrous!”

"Monstrous? Carry it a step further. May not that ultra-world also be an  electron whirling around the nucleus of an atom? And that atom only one  of millions forming a molecule? And that molecule only one of millions  forming—"

“For God’s sake, stop!” I cried. “I refuse to believe that such a thing can be! Where would it all lead? Where would it end? It might go on—forever! And besides,” I added lamely, “what has all this to do with—your discovery, the fluid you showed me?”

"Just this. I soon learned that it was useless to look to the  infi­nitely large; so I turned to the infinitely small. For does it not  follow that if such a state of creation exists in the stars above us, it  must exist identically in the atoms below us?"

I saw his line of reasoning, but still did not understand. His next words fully enlightened me, but made me suspect that I was facing one who had gone insane from his theorizing. He went on eagerly, his voice the voice of a fanatic:

"If I could not pierce the stars above, that were so far, then I would  pierce the atoms below, that were so near. They are every­where. In  every object I touch and in the very air I breathe. But they are minute,  and to reach them I must find a way to make myself as minute as they  are, and more so! This I have done. The solution I showed you will cause  every individual atom in my body to contract, but each electron and  proton will also decrease in size, or diameter, in direct proportion to  my own shrinkage! Thus will I not only be able to become the size of an  atom, but can go down, down into infinite smallness!"

When he had stopped speaking I said calmly: “You are mad.”

He was imperturbed.

"I expected you to say that," he answered. "It is  only natural that that should be your reaction to all that I have said.  But no, I am not mad, it is merely that you are unacquainted with the  marvelous propensities of `Shrinx.’ But I promised that you should see  for yourself, and that you shall. You shall be the first to go down into  the atomic universe."

My original opinion in regard to his state of mind remained unshaken.

“I am sure you mean well, Professor,” I said, “but I must decline your offer.”

He went on as though I hadn’t spoken:

"There are several reasons why I want to send you before I myself make  the trip. In the first place, once you make the trip there can be no  returning, and there are a number of points I want to be quite clear on.  You will serve as my advance guard, so to speak."

“Professor, listen. I do not doubt that the stuff you call ’Shrinx’ has very remarkable properties. I will even admit that it will do all you say it will do. But for the past month you have worked day and night, with scarcely enough time out for food and hardly any sleep at all. You should take a rest, get away from the laboratory for awhile.”

"I shall keep in contact with your consciousness," he said, "through a  very ingenious device I have perfected. I will explain it to you later.  The `Shrinx’ is introduced directly into the blood stream. Shortly  thereafter your shrinkage should begin, and continue at moderate speed,  never diminishing in the least degree so long as the blood continues to  flow in your body. At least, I hope it never diminishes. Should it, I  shall have to make the necessary alterations in the formula. All this is  theoretical of course, but I am sure it will all work according to  schedule, and quite without harm."

I had now lost all patience. “See here, Professor,” I said crossly, “I refuse to be the object of any of your wild-sounding experiments. You should realize that what you propose to do is scientifically im­possible. Go home and rest—or go away for a while—”

Without the slightest warning he leaped at me, snatching an object from the table. Before I could take a backward step I felt a needle plunge deep into my arm, and cried out with the pain of it. Things became hazy, distorted. A wave of vertigo swept over me. Then it passed, and my vision cleared. The Professor stood leering before me.

"Yes, I’ve worked hard and I’m tired. I’ve worked thirty years, but I’m  not tired enough nor fool enough to quit this thing now, right on the  verge of the climax!"

His leer of triumph gave way to an expression almost of sympathy.

"I am sorry it had to come about this way," he said, "but I saw that you  would never submit otherwise. I really am ashamed of you. I didn’t  think you would doubt the truth of my statements to the extent of really  believing me insane. But to be safe I prepared your allotment of the  `Shrinx’ in advance, and had it ready; it is now cours­ing through your  veins, and it should be but a short time before we observe the effects.  What you saw in the vial is for myself when I am ready to make the trip.  Forgive me for having to administer yours in such an undignified  manner."

So angered was I at the utter disregard he had shown for my personal feelings, that I hardly heard his words. My arm throbbed fiercely where the needle had plunged in. I tried to take a step toward him, but not a muscle would move. I struggled hard to break the paralysis that was upon me, but could not move a fraction of an inch from where I stood.

The professor seemed surprised too, and alarmed.

"What, paralysis? That is an unforeseen circumstance! You see, it is  even as I said: the properties of `Shrinx’ are marvelous and many."

He came close and peered intently into my eyes, and seemed relieved.

"However, the effect is only temporary," he assured me. Then added: "But  you will likely be a bit smaller when the use of your muscles returns,  for your shrinkage should begin very shortly now. I must hurry to  prepare for the final step."

He walked past me, and I heard him open his private cupboard again. I could not speak, much less move, and I was indeed in a most uncomfortable, not to mention undignified, position. All I could do was to glare at him when he came around in front of me again. He carried a curious kind of helmet with ear-pieces and goggles attached, and a number of wires running from it. This he placed upon the table and connected the wires to a small flat box there.

All the while I watched him closely. I hadn’t the least idea what he was going to do with me, but never for a moment did I believe that I would shrink into an atomic universe; that was altogether too fantastic for my conception.

As though reading my thought the Professor turned and faced me. He looked me over casually for a moment and then said:

"I believe it has begun already. Yes, I am sure of it. Tell me, do you  not feel it? Do not things appear a trifle larger to you, a trifle  taller? Ah, I forgot that the paralyzing effect does not permit you to  answer. But look at me—do I not seem taller?"

I looked at him. Was it my imagination, or some kind of hypnosis he was asserting on me, that made me think he was growing slightly, ever so slightly, upward even as I looked?

"Ah!" he said triumphantly. "You have noticed. I can tell it by your  eyes. However, it is not I who am growing taller, but you who are  shrinking."

He grasped me by the arms and turned me about to face the wall.

"I can  see that you doubt," he said, "so look! The border on the wall. If you  remember, it used to be about even with your eyes. Now it is fully three  inches higher."

It was true! And I could now feel a tingling in my veins, and a slight dizziness.

"Your shrinkage has not quite reached the maximum speed," he went on.  "When it does, it will remain constant. I could not stop it now even if I  wanted to, for I have nothing to counteract it. Listen closely now, for  I have several things to tell you.
"When you have become small enough I am going to lift you up and place  you on this block of Rehyllium-X here on the table. You will become  smaller and smaller, and eventually should enter an alien universe  consisting of billions and billions of star groups, or galaxies, which  are only the molecules in this Rehyllium-X. When you burst through, your  size in comparison with this new universe should be gigantic. However,  you will constantly diminish, and will be enabled to alight on any one  of the spheres of your own choosing. And—after alighting—you will  continue—always down!"

At the concept I thought I would go mad. Already I had become fully a foot shorter, and still the paralysis gripped me. Could I have moved I would have torn the Professor limb from limb in my im­potent rage—though if what he said was true, I was already doomed.

Again it seemed as though he read my mind.

"Do not think too harshly of me," he said. "You should be very grateful  for this opportunity, for you are going on a marvelous ven­ture, into a  marvelous realm. 

Indeed, I am almost jealous that you should be the  first. But with this," he indicated the helmet and box on the table, "I  shall keep contact with you no matter how far you go. Ah, I see by your  eyes that you wonder how such a thing could be possible. Well, the  principle of this device is really very simple. 

Just as light is a form  of energy, so is thought. And just as light travels through an ’ether’  in the form of waves, so does thought. But the thought waves are much  more intangible—in fact, invisible. Nevertheless the waves are there,  and the coils in this box are so sensi­tized as to receive and amplify  them a million times, much as sound waves might be amplified. 

Through  this helmet I will receive but two of your six sensations: those of  sound,and sight. They are the two major ones, and will be sufficient for  my purpose. Every sight and sound that you encounter, no matter how  minute, reaches your brain and displaces tiny molecules there that go  out in the form of thought waves and finally reach here and are  amplified. 

Thus my brain re­ceives every impression of sight and sound  that your brain sends out."

I did not doubt now that his marvelous “Shrinx” would do every­thing he said it would do. Already I was but one-third of my original size. Still the paralysis showed no sign of releasing me, and I hoped that the Professor knew whereof he spoke when he said the effect would be but temporary. My anger had subsided somewhat, and I think I began to wonder what I would find in that other universe.

Then a terrifying thought assailed me—a thought that left me cold with apprehension. If, as the Professor had said, the atomic universe was but a tiny replica of the universe we knew, would I not find myself in the vast empty spaces between the galaxies with no air to breathe? In all the vast calculations the Professor had made, could he have overlooked such an obvious point?

Now I was very close to the floor, scarcely a foot high. Everything about me—the Professor, the tables, the walls—were gigantically out of proportion to myself.

The Professor reached down then, and swung me up on the table top amidst the litter of wires and apparatus. He began speaking again, and to my tiny ears his voice sounded a deeper note.

"Here is the block of Rehyllium-X containing the universe you soon will  fathom," he said, placing on the table beside me the square piece of  metal, which was nearly half as tall as I was. 

"As you know, Rehyllium-X  is the densest of all known metals, so the universe awaiting you should  be a comparatively dense one—though you will not think so, with the  thousands of light-years of space between stars. Of course I know no  more about this universe than you do, but I would advise you to avoid  the very bright stars and approach only the dimmer ones. 

Well, this is  good-by, then. We shall never see each other again. Even should I follow  you—as I certainly shall as soon as I have learned through you what  alterations I should make in the formula—it is impossible that I could  exactly trace your course down through all the spheres that you will  have traversed. 

One thing already I have learned: the rate of shrinkage  is too rapid; you will be able to stay on a world for only a few hours.  But perhaps that is best, after all. This is good-by for all time."

He picked me up and placed me upon the smooth surface of the Rehyllium-X. I judged that I must be about four inches tall then. It was with immeasurable relief that I finally felt the paralysis going away. The power of my voice returned first, and expanding my lungs I shouted with all by might.

“Professor!” I shouted. “Professor!”

He bent down over me. To him my voice must have sounded ridiculously high pitched.

“What about the empty regions of space I will find myself in?” I asked a bit tremulously, my mouth close to his ear. “I would last but a few minutes. My life will surely be snuffed out.”

"No, that will not happen," he answered. 

His voice beat upon my ear-drums like thunder, and I placed my hands over my ears.

He understood, and spoke more softly.

"You will be quite safe in airless  space," he went on. "In the thirty years I have worked on the problem, I  would not be likely to overlook that point—though I will admit it gave  me much trouble. But as I said, `Shrinx’ is all the more marvelous in  the fact that its qualities are many. After many difficul­ties and  failures, I managed to instill in it a certain potency by which it  supplies sufficient oxygen for your need, distributed through the blood  stream. It also irradiates a certain amount of heat; and, inas­much as I  consider the supposed sub-zero temperature of space as being somewhat  exaggerated, I don’t think you need worry about any discomfort in open  space."

III

I was scarcely over an inch in height now. I could walk about, though my limbs tingled fiercely as the paralysis left. I could beat my arms against my sides and swung them about to speed the circulation. The Professor must have thought I was waving good-by. His hand reached out and he lifted me up. Though he tried to handle me gently, the pressure of his fingers bruised. He held me in his open hand and raised me up to the level of his eyes. He looked at me for a long moment and then I saw his lips form the words “good-by.” I was terribly afraid he would drop me to the floor a dizzy distance below, and I was relieved when he lowered me again and I slid off his hand to the block of Rehyllium-X.

The Professor now appeared as a giant towering hundreds of feet into the air, and beyond him, seemingly miles away, the walls of the room extended to unimaginable heights. The ceiling above seemed as far away and expansive as the dome of the sky I had formerly known. I ran to the edge of the block and peered down. It was as though I stood at the top of a high cliff. The face of it was black and smooth, absolutely perpendicular. I stepped back apace lest I lose my footing and fall to my death. Far below extended the vast smooth plain of the table top.

I walked back to the center of the block, for I was afraid of the edge; I might be easily shaken off if the Professor were to accidentally jar the table. I had no idea of my size now, for there was nothing with which I could compare it. For all I knew I might be entirely invisible to the Professor. He was now but an indistinguishable blur, like a far-off mountain seen through a haze.

I now began to notice that the surface of the Rehyllium-X block was not as smooth as it had been. As far as I could see were shallow ravines, extending in every direction. I realized that these must be tiny surface scratches that had been invisible before.

I was standing on the edge of one of these ravines, and I clambered down the side and began to walk along it. It was as straight as though laid by a ruler. Occasionally I came to intersecting ravines, and turned to the left or right. Before long, due to my continued shrinkage, the walls of these ravines towered higher than my head, and it was as though I walked along a narrow path between two cliffs.

Then I received the shock of my life, and my adventure came near to ending right there. I approached one of the intersections. I turned the sharp corner to the right. I came face to face with the How-Shall I-Describe-It.

It was a sickly bluish white in color. Its body was disc-shaped, with a long double row of appendages—legs—on the under side. Hundreds of ugly-looking spikes rimmed the disc body on the outer and upper edges. There was no head and apparently no organ of sight, but dozens of snake-like protuberances waved in my face as I nearly crashed into it. One of them touched me and the creature backed swiftly away, the spikes springing stiffly erect in formidable array.

This impression of the creature flashed upon my mind in the merest fraction of time, for you may be sure that I didn’t linger there to take stock of its pedigree. No indeed. My heart choked me in my fright, I whirled and sped down the opposite ravine. The sound of the thing’s pursuit lent wings to my feet, and I ran as I had never run before. Up one ravine and down another I sped, doubling to right and left in my effort to lose my pursuer. The irony of being pursued by a germ occurred to me, but the matter was too serious to be funny. I ran until I was out of breath, but no matter which way I turned and doubled the germ was always a hundred paces behind me. Its organ of sound must have been highly sensitive. At last I could run no more, and I darted around the next corner and stopped, gasping for breath.

The germ rushed a short distance past me and stopped, having lost the sound of my running. Its dozens of tentacular sound organs waved in all directions. Then it came unhesitatingly toward me, and again I ran. Apparently it had caught the sound of my heavy breathing. Again I dashed around the next corner, and as I heard the germ approach I held my breath until I thought my lungs would burst. It stopped again, waved its tentacles in the air and then ambled on down the ravine. Silently I sneaked a hasty retreat.

Now the walls of these ravines (invisible scratches on a piece of metal!) towered very high above me as I continued to shrink. Now too I noticed narrow chasms and pits all around me, in both the walls at the sides and the surface on which I walked. All of these seemed very deep, and some were so wide that I had to leap across them.

At first I was unable to account for these spaces that were opening all about me, and then I realized with a sort of shock that the Rehyllium-X was becoming porous, so small was I in size! Although it was the densest of all known metals, no substance what­soever could be so dense as to be an absolute solid.

I began to find it increasingly difficult to progress; I had to get back and make running jumps across the spaces. Finally I sat down and laughed as I realized the futility and stupidity of this. Why was I risking my life by jumping across these spaces that were becoming wider as I became smaller, when I had no particular destination anyway—except down. So I may as well stay in one spot.

No sooner had I made this decision, however, than something changed my mind.

It was the germ again.

I saw it far down the ravine, heading straight for me. It might have been the same one I had encountered before, or its twin brother. But now I had become so small that it was fully fifteen times my own size, and the very sight of the huge beast ambling toward me inspired terror into my heart. Once more I ran, praying that it wouldn’t hear the sound of my flight because of my small size.

Before I had gone a hundred yards I stopped in dismay. Before me yawned a space so wide that I couldn’t have leaped half the distance. There was escape on neither side, for the chasm extended up both the walls. I looked back. The germ had stopped. Its mass of tentacles was waving close to the ground.

Then it came on, not at an amble now but at a much faster rate. Whether it had heard me or had sensed my presence in some other manner, I did not know. Only one thing was apparent: I had but a few split seconds in which to act. I threw myself down flat, slid backward into the chasm, and hung there by my hands.

And I was just in time. A huge shape rushed overhead as I looked up. So big was the germ that the chasm which had appeared so wide to me, was inconsequential to it; it ran over the space as though it weren’t there. I saw the double row of the creature’s limbs as they flashed overhead. Each one was twice the size of my body.

Then happened what I had feared. One of the huge claw-like limbs came down hard on my hand, and a sharp spur raked across it. I could feel the pain all through my arm. The anguish was insufferable. I tried to get a better grip but couldn’t. My hold loosened. I dropped down—down—

IV

“This is the end.”

Such was my thought in that last awful moment as I slipped away into space. Involuntarily I shut my eyes, and I expected at any moment to crash into oblivion.

But nothing happened.

There was not even the usual sickening sensation that accompanies acceleration. I opened my eyes to a Stygian darkness, and put out an exploring hand. It encountered a rough wall which was flash­ing upward past my face. I was falling, then; but at no such speed as would have been the case under ordinary circumstances. This was rather as if I were floating downward. Or was it downward? I had lost all sense of up or down or sideways. I doubled my limbs under me and kicked out hard against the wall, shoving myself far away from it.

How long I remained falling—or drifting—there in that darkness I have no way of knowing. But it must have been minutes, and every minute I was necessarily growing smaller.

For some time I had been aware of immense masses all around me. They pressed upon me from every side, and from them came a very faint radiance. They were of all sizes, some no larger than myself and some looming up large as mountains. I tried to steer clear of the large ones, for I had no desire to be crushed between two of them. But there was little chance of that. Although we all drifted slowly along through space together, I soon observed that none of these masses ever approached each other or deviated the least bit from their paths.

As I continued to shrink, these masses seemed to spread out, away from me; and as they spread, the light which they exuded became brighter. They ceased to be masses, and became swirling, expanding, individual stretches of mist, milky white.

They were nebulae! Millions of miles of space must stretch between each of them! The gigantic mass I had clung to, drawn there by its gravity, also underwent this nebulosity, and now I was floating in the midst of an individual nebula. It spread out as I became smaller, and as it thinned and expanded, what had seemed mist now appeared as trillions and trillions of tiny spheres in intricate patterns.

I was in the very midst of these spheres! They were all around my feet, my arms, my head! They extended farther than I could reach, farther than I could see. I could have reached out and gathered thousands of them in my hand. I could have stirred and kicked my feet and scattered them in chaotic confusion about me. But I did not indulge in such reckless and unnecessary destruction of worlds. Doubtless my presence here had already done damage enough, dis­placing millions of them.

I scarcely dared to move a muscle for fear of disrupting the orbits of some of the spheres or wreaking havoc among some solar systems or star groups. I seemed to be hanging motionless among them; or if I were moving in any direction, the motion was too slight to be noticeable. I didn’t even know if I were horizontal or vertical, as those two terms had lost all meaning.

As I became smaller, of course the spheres became larger and the space between them expanded, so that the bewildering maze thinned somewhat and gave me more freedom of movement.
I took more cognizance now of the beauty around me. I remem­bered what the Professor had said about receiving my thought waves, and I hoped he was tuned in now, for I wouldn’t have had him miss it for anything.

Every hue I had ever known was represented there among the suns and encircling planets: dazzling whites, reds, yellows, blues, greens, violets, and every intermediate shade. I glimpsed also the barren blackness of suns that had burnt out; but these were infre­quent, as this seemed to be a very young universe.

There were single suns with the orbital planets varying in number from two to twenty. There were double suns that revolved slowly about each other as on an invisible axis. There were triple suns that revolved slowly about one another—strange as it may seem—in perfect trihedral symmetry. I saw one quadruple sun: a dazzling white, a blue, a green, and a deep orange. The white and the blue circled each other on the horizontal plane while the green and the orange circled on the vertical plane, thus forming a perfect interlocking sys­tem. Around these four suns, in circular orbits, sped sixteen planets of varying size, the smallest on the inner orbits and the largest on the outer. The effect was a spinning, concave disc with the white-blue-green-orange rotating hub in the center. The rays from these four suns, as they bathed the rolling planets and were reflected back into space in many-hued magnificence, presented a sight both beauti­ful and weird.
I determined to alight on one of the planets of this quadruple sun as soon as my size permitted. I did not find it hard to maneuver to a certain extent; and eventually, when I had become much smaller, I stretched alongside this solar system, my length being as great as the diameter of the orbit of the outermost planet! Still I dared not come too close, for fear the gravity of my bulk would cause some tension in the orbital field.

I caught glimpses of the surface of the outer, or sixteenth planet, as it swung past me. Through rifts in the great billowing clouds I saw vast expanses of water, but no land; and then the planet was moving away from me, on its long journey around to the other side of the suns. I did not doubt that by the time it returned to my side I would be very much smaller, so I decided to move in a little closer and try to get a look at the fifteenth planet which was then on the opposite side but swinging around in my direction.

I had discovered that if I doubled up my limbs and thrust out violently in a direction opposite that in which I wished to move, I could make fairly good progress, though the effort was somewhat strenuous. In this manner I moved inward toward the sun-cluster, and by the time I had reached the approximate orbit of the fifteenth planet I had become much smaller—was scarcely one-third as long as the diameter of its orbit! The distance between the orbits of the sixteenth and fifteenth planets must have been about 2,500,000,000 miles, according to the old standards I had known; but to me the distance had seemed but a few hundred yards.

I waited there, and finally the planet hove into view from out of the glorious aurora of the suns. Nearer and nearer it swung in its circle, and as it approached I saw that its atmosphere was very clear, a deep saffron-color. It passed me a scant few yards away, turning lazily on its axis opposite the direction of flight. Here, too, as on planet sixteen, I saw a vast world of water. There was only one fairly large island and many scattered small ones, but I judged that fully nine-tenths of the surface area was ocean.
I moved on in to planet fourteen, which I had noticed was a beautiful golden-green color.

By the time I had maneuvered to the approximate fourteenth orbit I had become so small that the light of the central suns pained my eyes. When the planet came in sight I could easily see several large continents on the lighted side; and as the dark side turned to the suns, several more continents became visible. As it swung past me I made comparisons and observed that I was now about five times as large as the planet. When it came around again I would try to effect a landing. To attempt a contact with it now would likely prove dis­astrous to both it and myself.

As I waited there and became smaller my thoughts turned to the Professor. If his amazing theory of an infinite number of sub-uni­verses was true, then my adventure had hardly begun; wouldn’t begin until I alighted on the planet. “What would I find there? I did not doubt that the Professor, receiving my thought waves, was just as curious as I. Suppose there was life on this world—hostile life? I would face the dangers while the Professor sat in his laboratory far away. This was the first time that aspect of it occurred to me; it had probably never occurred to the Professor. Strange, too, how I thought of him as “far away.” Why, he could merely have reached out his hand and moved me, universe and all, on his laboratory table!

Another curious thought struck me: here I was waiting for a planet to complete its circle around the suns. To any beings who might exist on it, the elapsed time would represent a year; but to me it would only be a number of minutes.

At that, it returned sooner than I expected it, curving around to meet me. Its orbit, of course, was much smaller than those of the two outer planets. More minutes passed as it came closer and larger. As nearly as I could judge I was about one-fifth its size now. It skimmed past me, so closely that I could have reached out and brushed its atmosphere. And as it moved away I could feel its steady tugging, much as if I were a piece of metal being attracted to a magnet. Its speed did not decelerate in the least, but now I was moving along close behind it. It had “captured” me, just as I had hoped it would. I shoved in closer, and the gravity became a steady and stronger pull. I was “falling” toward it. I swung around so that my feet were closest to it, and they entered the atmosphere, where the golden-green touched the blackness of space. They swung down in a long arc and touched something solid. My “fall” toward the planet ceased. I was standing on one of the continents of this world.

V

So tall was I that the greatest part of my body still extended out into the blackness of space. In spite of the fact that the four suns were the distance of thirteen orbits away, they were of such intense brilliance now that to look directly at them would surely have blinded me. I looked far down my tapering length at the continent on which I stood. Even the multi-colored light reflected from the surface was dazzling to the eye. Too late I remembered the Professor’s warning to avoid the brighter suns. Close to the surface a few fleeting wisps of cloud drifted about my limbs.

As the planet turned slowly on its axis I of course moved with it, and shortly I found myself on the side away from the suns, in the planet’s shadow. I was thankful for this relief—but it was only temporary. Soon I swung around into the blinding light again. Then into the shadow, and again into the light. How many times this happened I do not know, but at last I was entirely within the planet’s atmosphere; here the rays of the sun were diffused, and the light less intense.

Miles below I could see but a vast expanse of yellow surface, stretching unbroken in every direction. As I looked far behind the curving horizon it seemed that I caught a momentary glimpse of tall, silvery towers of some far-off city; but I could not be sure, and when I looked again it had vanished.

I kept my eyes on that horizon, however, and soon two tiny red specks became visible against the yellow of the plain. Evidently they were moving toward me very rapidly, for even as I looked they became larger, and soon took shape as two blood-red spheres. Immediately I visioned them as some terrible weapons of warfare or destruction.

But as they came close to me and swerved up to where I towered high in the thin atmosphere, I could see that they were not solid at all, as I had supposed, but were gaseous, and translucent to a certain extent. Furthermore, they behaved in a manner that hinted strongly of intelligence. Without visible means of propulsion they swooped and circled about my head, to my utter discomfiture. When they came dangerously close to my eyes I raised my hand to sweep them away, but they darted quickly out of reach.

They did not approach me again, but remained there close together, pulsating in mid air. This queer pulsating of their tenuous substance gave me the impression that they were conferring together; and of course I was the object of their conference. Then they darted away in the direction whence they had come.

My curiosity was as great as theirs had seemed to be, and without hesitation I set out in the same direction. I must have covered nearly a mile at each step, but even so, these gaseous entities easily out-distanced me and were soon out of sight. I had no doubt that their destination was the city—if indeed it were a city I had glimpsed. The horizon was closer now and less curved, due to my decrease in height: I judged that I was barely five or six hundred feet tall now.

I had taken but a few hundred steps in the direction the two spheres had gone, when to my great surprise I saw them coming toward me again, this time accompanied by a score of—companions. I stopped in my tracks, and soon they came close and circled about my head. They were all about five feet in diameter, and of the same dark red color. For a minute they darted about as though studying me from every angle; then they systematically arranged themselves in a perfect circle around me. Thin streamers emanated from them, and merged, linking them together and closing the circle. Then other streamers reached slowly out toward me, wavering, cautious.

This, their manner of investigation, did not appeal to me in the least, and I swept my arms around furiously. Instantly all was wild confusion. The circle broke and scattered, the streamers snapped back and they were spheres again. They gathered in a group a short distance away and seemed to consider.

One, whose color had changed to a bright orange, darted apart from them and pulsated rapidly. As clearly as though words had been spoken, I comprehended. The bright orange color signified anger, and he was rebuking the others for their cowardice.

Led by the orange sphere they again moved closer to me, this time they had a surprise for me. A score of streamers flashed out quick as lightning, and cold blue flames spluttered where they touched me. Electric shocks ran through my arms, rendering them numb and helpless. Again they formed their circle around me, again the stream­ers emerged and completed the circle, and other streamers reached out caressingly. For a moment they flickered about my head, then merged, enveloping it in a cold red radiance. I felt no sensation at all at the touch, except that of cold.

The spheres began to pulsate again in the manner I had observed before, and immediately this pulsating began I felt tiny needlepoints of ice pierce my brain. A question became impinged upon my con­sciousness more clearly than would have been possible by spoken word:

 "Where do you come from?"

I was familiar with thought transference, had even practiced it to a certain extent, very often with astonishing success. When I heard —or received—that question, I tried hard to bring every atom of my consciousness to bear upon the circumstances that were the cause of my being there. When I had finished my mental narration and my mind relaxed from the tension I had put upon it, I received, the fol­lowing impressions:

"We receive no answer; your mind remains blank. You are alien, we have  never encountered another of your organism here. A most peculiar  organism indeed is one that becomes steadily smaller with­out apparent  reason. Why are you here, and where do you come from?" 

The icy fingers probed deeper and deeper into my brain, seeming to tear it tissue from tissue.

Again I tried, my mind focusing with the utmost clearness upon every detail, picturing my course from the very minute I entered the Professor’s laboratory to the present time. When I finished I was exhausted from the effort.

Again I received the impression: "You cannot bring your mind sufficiently into focus; we receive only fleeting shadows."

One of the spheres again changed to a bright color, and broke from the circle. I could almost imagine an angry shrug. The streamers relaxed their hold on my brain and began to withdraw—but not before I caught the fleeting impression from the orange one, who was apparently addressing the others:

"—very low mentality."

“You’re not so much yourself!” I said aloud. But of course such a crude method as speech did not register upon them. I wondered at my inability to establish thought communication with these beings. Either my brain was of such a size as to prevent them from receiving the impression (remember I was still a four or five hundred foot giant on this world), or their state of mentality was indeed so much higher than mine, that I was, to them, lower than the lowest savage. Possibly both, more probably the latter.

But they were determined to solve the mystery of my presence before I passed from their world, as I would surely do in a few hours at my rate of shrinkage. Their next move was to place themselves on each side of me in vertical rows extending from far down near the ground up to my shoulders. Again the luminous ribbons reached out and touched me at the various points. Then as at a given signal they rose high into the air, lifting me lightly as a feather! In perfect unison they sped towards their city beyond the horizon, carrying me perpendicularly with them! I marveled at the manner in which such gaseous entities as these could lift and propel such a material giant as myself. Their speed must have exceeded by far that of sound—though on all this planet there was no sound except the sound of my body swishing through the air.

In a very few minutes I sighted the city, which must have covered an area of a hundred miles square near the edge of a rolling green ocean. I was placed lightly on my feet at the very edge of the city, and once more the circle of spheres formed around my head and once more the cold tendrils of light probed my brain.

"You may walk at will about the city," came the thought, "accom­panied  by a few of us. You are to touch nothing whatever, or the pen­alty will  be extreme; your tremendous size makes your presence here among us  somewhat hazardous. When you have become much smaller we shall again  explore your mind, with somewhat different method, and learn your origin  and purpose. We realize that the great size of your brain was somewhat  of a handicap to us in our first attempt. We go now to prepare. We have  awaited your coming for years."

Leaving only a few there as my escort—or guard—the rest of the spheres sped toward a great domed building that rose from a vast plaza in the center of the city.

I was very much puzzled as to their last statement. For a moment I stood there wondering what they could have meant—”we have awaited your coming for years.” Then trusting that this and other things would be answered in the due course of their investigation, I entered the city.

It was not a strange city in so far as architecture was concerned, but it was a beautiful one. I marveled that it could have been con­ceived and constructed by these confluent globules of gas who at first glance seemed anything but intelligent, reasoning beings.

Tall as I was, the buildings towered up to four and five times my height, invariably ending in domed roofs. There was no sign of a spire or angle as far as my eye could see; apparently they grated harshly on the senses of these beings. The entire plan of the city was of vast sweeping curves and circular patterns, and the effect was striking. There were no preconceived streets or highways, nor connecting spans between buildings, for there was no need of them. The air was the natural habitable element of this race, and I did not see a one of them ever touch the ground or any surface.

They even came to rest in mid air, with a slow spinning motion. Everywhere I passed among them they paused, spinning, to observe me in apparent curiosity, then went on about their business, whatever it was. None ever approached me except my guards.

For several hours I wandered about in this manner, and finally when I was much smaller I was bade to walk towards the central plaza.

In the circular domed building the others awaited my coming, gathered about a dais surmounted by a huge oval transparent screen of glass or some similar substance. This time only one of the spheres made contact with my brain, and I received the following thought:

"Watch."

The screen became opaque, and a vast field of white came into view.

"The great nebula in which this planet is but an infinitesimal speck," came the thought.

The mass drifted almost imperceptibly across the screen, and the thought continued:

"As you see it now, so it appeared to us through our telescopes  centuries ago. Of course the drifting motion of the nebula as a whole  was not perceptible, and what you see is a chemically recorded  reproduction of the view, which has been speeded up to make the motion  visible on the screen. Watch closely now."

The great mass of the nebula had been quiescent, but as I watched, it began to stir and swirl in a huge spiral motion, and a vast dark shadow was thrown across the whole scene. The shadow seemed to recede—no, grew smaller—and I could see that it was not a shadow but a huge bulk. This bulk was entering the nebula, causing it to swirl and expand as millions of stars were displaced and shoved out­ward.

The thought came again: "The scene has been speeded up a million-fold.  The things you see taking place actually transpired over a great number  of years; our scientists watched the phenomenon in great wonder, and  many were the theories as to the cause of it. You are viewing yourself  as you entered our nebula."

I watched in a few minutes the scene before me, as these sphere creatures had watched it over a period of years; saw myself grow smaller, gradually approach the system of the four suns and finally the gold-green planet itself. Abruptly the screen cleared.

"So we watched and waited your coming for years, not knowing what you  were or whence you came. We are still very much puzzled. You become  steadily smaller, and that we cannot understand. We must hurry. Relax.  Do not interfere with our process by trying to think back to the  beginning, as you did before; it is all laid bare to us in the recesses  of your brain. Simply relax, think of nothing at all, watch the screen."

I tried to do as he said, again I felt the cold probing tendrils in my brain, and a lethargy came over my mind. Shadows flashed across the screen, then suddenly a familiar scene leaped into view: the Professor’s laboratory as I had last seen it, on the night of my departure. No sooner had this scene cleared than I entered the room, exactly as I had on that night. I saw myself approach the table close behind the Professor, saw him standing as he had stood, staring out at the night sky; saw his lips move.

The spheres about me crowded close to the screen, seemed to hang intent on every motion that passed upon it, and I sensed great excite­ment among them. I judged that the one who was exploring my mind, if not all of them, were somehow cognizant not only of the words the Professor and I spoke in those scenes, but of their mean­ing as well.

I could almost read the Professor’s lips as he spoke. I saw the utter amazement, then incredulity, then disbelief, on my features as he propounded his theory of macrocosmic worlds and still greater macro­cosmic worlds. I saw our parley of words, and finally his lunge toward me and felt again the plunge of the needle into my arm.

As this happened the spheres around me stirred excitedly.

I saw myself become smaller, smaller, to be finally lifted onto the block of Rehyllium-X where I became still smaller and disappeared. I saw my meeting with the germ, and my wild flight; my plunge into the abyss, and my flight down through the darkness, during which time the entire screen before me became black. The screen was slightly illuminated again as I traveled along with the great masses all around me, and then gradually across the screen spread the huge nebula, the same one these sphere creatures had seen through their telescopes centuries ago.

Again the screen cleared abruptly, became transparent.

"The rest we know," came the thought of the one who had searched my  brain. "The rest the screen has already shown. He—the one who invented  the—what he called ’Shrinx’—he is a very great man. Yours has indeed  been a marvelous experience, and one which has hardly begun. We envy  you, lucky being; and at the same time we are sorry for you. Anyway, it  is fortunate for us that you chose our planet on which to alight, but  soon you will pass away even as you came, and that we cannot, and would  not, prevent. In a very few minutes you will once more become of  infinitesimal size and pass into a still smaller universe. We have  microscopes powerful enough to permit us to barely glimpse this smaller  atomic universe, and we shall watch your further progress into the  unknown until you are gone from our sight forever."

I had been so interested in the familiar scenes on the screen that I had lost all conception of my steady shrinkage. I was now very much smaller than those spheres around me.

I was as interested in them as they were in me, and I tried to flash the following thought:

"You say that you envy me, and are sorry for me. Why should that be?"

The thought came back immediately:

"We cannot answer that. But it is  true; wonderful as are the things you will see in realms yet to come,  nevertheless you are to be pitied. You cannot understand at present, but  some day you will."

I flashed another thought:

"Your organism, which is known to me as  gaseous, seems as strange to me as mine, a solid, must seem to you. You  have mentioned both telescopes and microscopes, and I cannot conceive  how beings such as yourselves, without organs of sight, can number  astronomy and microscopy among the sciences."
"Your own organs of sight," came back the answer, "which you call  ’eyes,’ are not only superfluous, but are very crude sources of  perception. I think you will grant that loss of them would be a terrible  and permanent handicap. Our own source of perception is not con­fined  to any such conspicuous organs, but envelops the entire outer surface of  our bodies. We have never had organs and appendages such as those with  which you are endowed so profusely, for we are of different substance;  we merely extend any part of our bodies in any direction at will. But  from close study of your structure, we conclude that your various organs  and appendages are very crude. I predict that by slow evolution of your  own race, such frailties will disappear entirely."
"Tell me more about your own race," I went on eagerly.
 "To tell everything there is to tell," came the answer, "would take much  time; and there is little time left. We have a very high sociological  system, but one which is not without its faults, of course. We have  delved deep into the sciences and gone far along the lines of fine  arts—but all of our accomplishments along these lines would no doubt  appear very strange to you. You have seen our city. It is by no means  the largest, nor the most important, on the planet. When you alighted  comparatively near, reports were sent out and all of our important  scientists hurried here. We were not afraid because of your presence,  but rather, were cautious, for we did not know what manner of being you  were. The two whom you first saw, were sent to observe you. They had  both been guilty of a crime against the community, and were given the  choice of the punishment they deserved, or of going out to investigate  the huge creature that had dropped from the sky. They accepted the  latter course, and for their bravery—for it was bravery—they have been  exonerated."

VI

I would have liked greatly to ask more questions, for there were many phases that puzzled me; but I was becoming so very small that further communication was impossible. I was taken to a labora­tory and placed upon the slide of a microscope of strange and intricate construction and my progress continued unabated down into a still smaller atomic universe.

The method was the same as before. The substance became open and porous, spread out into open space dotted with the huge masses which in turn became porous and resolved into far flung nebulae.

I entered one of the nebulae and once more star-systems swung all around me. This time I approached a single sun of bright yellow hue, around which swung eight planets. I maneuvered to the outer­most one, and when my size permitted, made contact with it.

I was now standing on an electron, one of billions forming a microscopic slide that existed in a world which was in turn only an electron in a block of metal on a laboratory table!

Soon I reached the atmosphere, and miles below me I could see only wide patches of yellow and green. But as I came nearer to the surface more of the details became discernible. Almost at my feet a wide yellow river wound sluggishly over a vast plateau which fell suddenly away into a long line of steep precipices. At the foot of these precipices stretched a great green expanse of steaming jungle, and farther beyond a great ocean, smooth as green glass, curved to the horizon. A prehistoric world of jungles and great fern-like growths and sweltering swamps and cliffs. Not a breeze stirred and nowhere was there sight of any living thing.
I was standing in the jungle close to the towering cliffs, and for a half mile in every direction the trees and vegetation were trampled into the soil where my feet had swung down and contacted.

Now I could see a long row of caves just above a ledge half way up the side of the cliff. And I did not doubt that in each cave some being was peering furtively out at me. Even as I watched I saw a tiny figure emerge and walk out on the ledge. He was very cautious, ready to dash back into the cave at any sign of hostility on my part, and his eyes never left me. Seeing that nothing happened, others took heart and came out, and soon the ledge was lined with tiny figures who talked excitedly among themselves and gesticulated wildly in my direction. My coming must surely have aroused all their super­stitious fears—a giant descending out of the skies to land at their very feet.

I must have been nearly a mile from the cliff, but even at that distance I could see that the figures were barbarians, squat and thick muscled, and covered with hair; they were four limbed and stood erect, and all carried crude weapons.

One of them raised a bow as tall as himself and let fly a shaft at me—evidently as an expression of contempt or bravado, for he must have known that the shaft couldn’t reach half the distance. Immediately one who seemed a leader among them felled the miscreant with a single blow. This amused me. Evidently their creed was to leave well enough alone.

Experimentally I took a step toward them, and immediately a long line of bows sprang erect and scores of tiny shafts arched high in my direction to fall into the jungle far in front of me. A warning to keep my distance.

I could have strode forward and swept the lot of them from the ledge; but wishing to show them that my intentions were quite peaceful, I raised my hands and took several backward steps. Another futile volley of arrows. I was puzzled, and stood still; and as long as I did not move neither did they.

The one who had seemed the leader threw himself down flat and, shielding his eyes from the sun, scanned the expanse of jungle below. Then they seemed to talk among themselves again, and gestured not at me, but at the jungle. Then I comprehended. Evi­dently a hunting party was somewhere in that jungle which spread out around my feet—probably returning to the caves, for already it was nearing dusk, the sun casting weird conflicting streaks across the horizon. These people of the caves were in fear that I would move around too freely and perhaps trample the returning party under foot.

So thinking, I stood quietly in the great barren patch I had levelled, and sought to peer into the dank growth below me. This was nearly impossible, however, for clouds of steam hung low over the tops of the trees.

But presently my ears caught a faint sound, as of shouting, far below me, and then I glimpsed a long single file of the barbarian hunters running at full speed along a well beaten game path. They burst into the very clearing in which I stood, and stopped short in surprise, evidently aware for the first time of my gigantic presence on their world. They let fall the poles upon which were strung the carcasses of the day’s hunt, cast but one fearful look up to where I towered, then as one man fell flat upon the ground in abject terror.

All except one. I doubt if the one, who burst from the tangle of trees last of all, even saw me, so intent was he in glancing back into the darkness from which he fled. At any rate he aroused his companions with a few angry, guttural syllables, and pointed back along the path.

At that moment there floated up to me a roar that lingered loud and shuddering in my ears. At quick instructions from their leader the hunters picked up their weapons and formed a wide semi-circle before the path where they had emerged. The limb of a large tree overhung the path at this point, and the leader clambered up some overhanging vines and was soon crouched upon it. One of the warriors fastened a vine to a large clumsy looking weapon, and the one in the tree drew it up to him. The weapon consisted merely of a large pointed stake some eight feet long, with two heavy stones fastened securely to it at the half way point. The one in the tree carefully balanced this weapon on the limb, directly over the path, point downward. The semicircle of hunters crouched behind stout lances set at an angle in the ground.

Another shuddering roar floated up to me, and then the beast appeared. As I caught sight of it I marvelled all the more at the courage of these puny barbarians. From ground to shoulder the beast must have measured seven feet tall, and was fully twenty feet long. Each of its six legs ended in a wide, horny claw that could have ripped any of the hunters from top to bottom. Its long tapering tail was horny too, giving me the impression that the thing was at least partly reptilian; curved fangs fully two feet long, in a decidedly animal head, offset that impression, however.

For a long moment the monstrosity stood there, tail switching ceaselessly, glaring in puzzlement out upon the circle of puny beings who dared to confront it. Then, as its tail ceased switching and it tensed for the spring, the warrior on the limb above launched his weapon—launched it and came hurtling down with it, feet pressed hard against the heavy stone balance!

Whether the beast below heard some sound or whether a sixth sense warned it, I do not know; but just in time it leaped to one side with an agility belied by its great bulk, and the pointed stake drove deep into the ground, leaving the one who had ridden it lying there stunned.

The beast uttered a snarl of rage; its six legs sprawled outward, its great belly touched the ground. Then it sprang out upon the circle of crouching hunters. Lances snapped at the impact, and the circle broke and fled for the trees. But two of them never rose from the ground, and the lashing homed tail flattened another before he had taken four steps.

The scene took place in a matter of seconds as I towered there looking down upon it, fascinated. The beast whirled toward the fleeing ones and in another moment the destruction would have been terrible, for they could not possibly have reached safety..

Breaking the spell that was on me I swung my hand down in a huge arc even as the beast sprang for a second time. I slapped it in mid air, flattening it against the ground as I would have flattened a bothersome insect. It did not twitch a muscle, and a dark red stain seeped outward from where it lay.

The natives stopped in their flight, for the sound of my hand when I slapped the huge animal had been loud. They jabbered noisily among themselves, but fearfully kept their distance, when they saw me crouched there over the flattened enemy who had been about to wreak destruction among them.

Only one had seen the entire happening. He who had plunged downward from the tree was only momentarily stunned; he had risen dizzily to his feet as the animal charged out among his companions, and had been witness to the whole thing.

Glancing half contemptuously at the others, he now approached me. It must have taken a great deal of courage on his part, for, crouched down as I was, I still towered above the tallest trees. He looked for a moment at the dead beast, then gazed up at me in reverent awe. Falling prone, he beat his head upon the ground several times, and the others followed his example.

Then they all came forward to look at the huge animal.

From their talk and gestures, I gathered that they wanted to take it to the caves; but it would take ten of the strongest of them to even lift it, and there was still a mile stretch of jungle between them and the cliffs.

I decided that I would take it there for them if that was their want. Reaching out, I picked up the leader, the brave one, very gently. Placing him in the cupped hollow of my hand, I swung him far up to the level of my eyes. I pointed at the animal I had slain, then pointed toward the cliffs. But his eyes were closed tightly as if his last moment had come, and he trembled in every limb. He was a brave hunter, but this experience was too much. I lowered him to the ground unharmed, and the others crowded around him excitedly. He would soon recover from his fright, and no doubt some night around the camp fires he would relate this wonderful experience to a bunch of skeptical grandchildren.

Picking the animal up by its tapering tail I strode through the jungle with it, flattening trees at every step and leaving a wide path behind me. I neared the cliffs in a few steps, and those upon the ledge fled into the caves. I placed the huge carcass on the ledge, which was scarcely as high as my shoulders, then turned and strode away to the right, intending to explore the terrain beyond.

For an hour, I walked, passing other tribes of cliff dwellers who fled at my approach. Then the jungle ended in a point by the sea and the line of cliffs melted down into a rocky coast.

It had become quite dark now, there were no moons and the stars seemed dim and far away. Strange night cries came from the jungle, and to my left stretched wide, tangled marshes through which floated vague phosphorescent shapes. Behind me tiny fires sprang up on the face of the cliffs, a welcome sight, and I turned back toward them. I was now so much smaller that I felt extremely uneasy at being alone and unarmed at night on a strange planet abounding in monstrosities.

I had taken only a few steps when I felt, rather than heard, a rush of wings above and behind me. I threw myself flat upon the ground, and just in time, for the great shadowy shape of some huge night-creature swept down and sharp talons raked my back. I arose with apprehension after a few moments, and saw the creature winging its way back low over the marshes. Its wing spread must have been forty feet. I reached the shelter of the cliffs and stayed close to them thereafter.

I came to the first of the shelving ledges where the fires burned, but it was far above me now. I was a tiny being crouched at the base of the cliffs. I, an alien on this world, yet a million years ahead of these barbarians in evolution, peered furtively out into the darkness where glowing eyes and half-seen shapes moved on the edge of the encroaching jungle; and safe in their caves high above me were those so low in the state of evolution that had only the rudiments of a spoken language and were only beginning to learn the value of fire. In another million years perhaps a great civilization would cover this entire globe: a civilization rising by slow degrees from the mire and the mistakes and the myths of the dawn of time. And doubtlessly one of the myths would concern a great god-like figure that descended from the skies, leveled great trees in its stride, saved a famous tribe from destruction by slaying huge enemy beasts, and then disappeared forever during the night. And great men, great thinkers, of that future civilization would say:

"Fie! Preposterous! A stupid myth."

But at the present time the godlike figure which slew enemy beasts by a slap of the hand was scarcely a foot high, and sought a place where he might be safe from a possible attack by those same beasts. At last I found a small crevice, which I squeezed into and felt much safer than I had out in the open.

And very soon I was so small that I would have been unnoticed by any of the huge animals that might venture my way.

VII

At last I stood on a single grain of sand, and other grains towered up like smooth mountains all around me. And in the next few minutes I experienced the change for the third time—the change from microscopic being on a gigantic world to a gigantic being floating amid an endless universe of galaxies. I became smaller, the distance between galaxies widened, solar systems approached and neared the orbit of the outermost planet, I received a very unexpected, but very pleasant, surprise. Instead of myself landing upon one of the planets —and while I was yet far too large to do so—the inhabitants of this system were coming out to land on me!
There was no doubt about it. From the direction of the inner planets a tapering silvery projectile moved toward me with the speed of light. This was indeed interesting, and I halted my inward progress to await developments.

In a few minutes the space rocketship was very close. It circled about me once, then with a great rush of flame and gases from the prow to break the fall, it swooped in a long curve and landed grace­fully on my chest! I felt no more jar than if a fly had alighted on me. As I watched it, a square section swung outward from the hull and a number of things emerged. I say “things” because they were in no manner human, although they were so tiny that I could barely dis­tinguish them as minute dots of gold. A dozen of them gathered in a group a short distance away from the space-ship.

After a few moments, to my surprise, they spread huge golden wings, and I gasped at the glistening beauty of them. They scattered in various directions, flying low over the surface of my body. From this I reasoned that I must be enveloped in a thin layer of atmosphere, as were the planets. These bird creatures were an exploring party sent out from one of the inner planets to investigate the new large world which had entered their system and was approaching dangerously close to their own planet.

But, on second thought, they must have been aware—or soon would be—that I was not a world at all, but a living, sentient being. My longitudinal shape should make that apparent, besides the move­ments of my limbs. At any rate they displayed unprecedented daring by coming out to land on me. I could have crushed their frail ship at the slightest touch or flung it far out into the void beyond their reach.

I wished I could see one of the winged creatures at closer range, but none landed on me again; having traversed and circled me in every direction they returned to the space-ship and entered it.

The section swung closed, gases roared from the stern tubes and the ship swooped out into space again and back toward the sun.

What tiding would they bear to their planet? Doubtless they would describe me as an inconceivably huge monstrosity of outer space. Their scientists would wonder whence I came; might even guess at the truth. They would observe me anxiously through their telescopes. Very likely they would be in fear that I would invade or wreck their world, and would make preparations to repulse me if I came too near.

In spite of these probabilities I continued my slow progress toward the inner planets, determined to see and if possible land upon the planet of the bird creatures. A civilization that had achieved space travel must be a marvelous civilization indeed.

As I made my way through space between the planets by means of my grotesque exertions, I reflected upon another phase. By the time I reached the inner planets I would be so much smaller that I could not determine which of the planets was the one I sought, unless I saw more of the space ships and could follow their direction. Another interesting thought was that the inner planets would have sped around the green sun innumerable times, and years would have passed before I reached there. They would have ample time to prepare for my coming, and might give me a fierce reception if they had many more of the space ships such as the one I had seen.

And they did indeed have many more of them, as I discovered after an interminable length of time during which I had moved ever closer to the sun. A red-tinged planet swung in a wide curve from behind the blazing green of the sun, and I awaited its approach. After a few minutes it was so close that I could see a moon encircling the planet, and as it came still nearer I saw the rocket ships.

This, then, was the planet I sought. But I was puzzled. They surely could not have failed to notice my approach, and I had ex­pected to see a host of ships lined up in formidable array. I saw a host of them all right, hundreds of them, but they were not pointed in my direction at all; indeed, they seemed not to heed me in the least, although I must have loomed large as their planet came nearer.

Perhaps they had decided, after all, that I was harmless.

But what seemed more likely to me was that they were confronted with an issue of vastly more importance than my close proximity. For as I viewed the space ships they were leaving the atmosphere of their planet, and were pointing toward the single satellite. Row upon row, mass upon endless mass they moved outward, hundreds, thousands of them. It seemed as though the entire population was moving en masse to the satellite!

My curiosity was immediately aroused. ’What circumstances or condition would cause a highly civilized race to abandon their planet and flee to the satellite? Perhaps, if I learned, I would not want to alight on that planet. . . .

Impatiently I awaited its return as it moved away from me on its circuit around the sun. The minutes seemed long, but at last it approached again from the opposite direction, and I marvelled at the relativity of size and space and time. A year had passed on that planet and satellite, and many things might have transpired since I had last seen them.

The satellite swung between the planet and myself, and even from my point of disadvantage I could see that many things had indeed transpired. The bird people were building a protective shell around the satellite! Protection—from what? The shell seemed to be of dull gray metal, and already covered half the globe. On the uncovered side I saw land and rolling oceans. Surely, I thought, they must have the means of producing artificial light; but somehow it seemed blasphemous to forever bar the surface from the fresh pure light of the green sun. In a manner I felt sorry for them in their circumstances. But they had their space ships, and in time could move to the vast unexplored fields that the heavens offered.

More than ever I was consumed with curiosity, but was still too large to attempt a contact with the planet, and I let it pass me for a second time. I judged that when it came around again I would be sufficiently small for its gravity to “capture” me and sufficiently large that the “fall” to the surface would in no means be dangerous; and I was determined to alight.

Another wait of minutes, more minutes this time because I was smaller and time for me was correspondingly longer. When the two spheres hove into view again I saw that the smaller one was now entirely clad in its metal jacket, and the smooth unbroken surface shimmered boldly in the green glare of the sun. Beneath that barren metal shell were the bird people with their glorious golden wings, their space ships, their artificial light, and atmosphere, and civilization. I had but a glance for the satellite, however; my attention was for the planet rushing ever closer to me.

Everything passed smoothly and without mishap. I was becoming an experienced “planet hopper.” Its gravity caught me in an unre­lenting grip, and I let my limbs rush downward first in their long curve, to land with a slight jar on solid earth far below.

Bending low, I sought to peer into the murky atmosphere and see something of the nature of this world. For a minute my sight could not pierce the half gloom, but gradually the surface became visible. First, I followed my tapering limbs to where they had contacted. As nearly as I could ascertain from my height, I was standing in the midst of what seemed to be a huge mass of crushed and twisted metal!

Now, I thought to myself, I have done it. I have let myself in for it now. I have wrecked something, some great piece of machinery it seems, and the inhabitants will not take the matter lightly. Then I thought: the inhabitants? Who? Not the bird people, for they have fled, have barricaded themselves on the satellite.

Again I sought to pierce the gloom of the atmosphere, and by slow degrees more details became visible. At first my gaze only encompassed a few miles, then more, and more, until at last the view extended from horizon to horizon and included nearly an entire hemisphere.

Slowly the view cleared and slowly comprehension came; and as full realization dawned upon me, I became momentarily panic stricken. I thought insanely of leaping outward into space again, away from the planet, breaking the gravity that held me; but the opposite force of my spring could likely send the planet careening out of its orbit and it and all the other planets and myself might go plunging toward the sun. No, I had put my feet on this planet and I was here to stay.

But I did not feel like staying, for what a sight I had glimpsed! As far as I could see in every direction were huge, grotesque metal structures and strange mechanical contrivances. The thing that terrified me was that these machines were scurrying about the surface all in apparent confusion, seemed to cover the entire globe, seemed to have a complete civilization of their own, and nowhere was there the slightest evidence of any human occupancy, no controlling force, no intelligence, nothing save the machines. And I could not bring my­self to believe that they were possessed of intelligence!

Yet as I descended ever closer to the surface I could see that there was no confusion at all as it had seemed at first glance, but rather was there a simple, efficient, systematic order of things. Even as I watched, two strange mechanisms strode toward me on great jointed tripods, and stopped at my very feet. Long, jointed metal arms, with claw-like fixtures at the ends, reached out with uncanny accuracy and precision and began to clear away the twisted debris around my feet. As I watched them I admired the efficiency of their construction. No needless intricacies, no superfluous parts, only the tripods for movement and the arms for clearing. When they had finished they went away, and other machines came on wheels, the debris was lifted by means of cranes and hauled away.

I watched in stupefaction the uncanny activities below and around me. There was no hurry, no rush, but every machine from the tiniest to the largest, from the simplest to the most complicated, had a certain task to perform, and performed it directly and completely, accurately and precisely. There were machines on wheels, on treads, on tracks, on huge multi-jointed tripods, winged machines that flew clumsily through the air, and machines of a thousand other kinds and variations.

Endless chains of machines delved deep into the earth, to emerge with loads of ore which they deposited, to descend again.

Huge hauling machines came and transported the ore to roaring mills.

Inside the mills machines melted the ore, rolled and cut and fashioned the steel.

Other machines builded and assembled and adjusted intricate parts, and when the long process was completed the result was—more machines! They rolled or ambled or flew or walked or rattled away under their own power, as the case might be.

Some went to assist in the building of huge bridges across rivers and ravines.

Diggers went to level down forests and obstructing hills, or went away to the mines.

Others built adjoining mills and factories.

Still others erected strange, complicated towers thousands of feet high, and the purpose of these skeleton skyscrapers I could not de­termine. Even as I watched, the supporting base of one of them weakened and buckled, and the entire huge edifice careened at a perilous angle. Immediately a host of tiny machines rushed to the scene. Sharp white flames cut through the metal in a few seconds, and the tower toppled with a thunderous crash to the ground.

Again the white-flame machines went to work and cut the metal into re­movable sections, and hoisters and haulers came and removed them. Within fifteen minutes another building was being erected on the exact spot.

Occasionally something would go wrong—some worn-out part ceased to function and a machine would stop in the middle of its task. Then it would be hauled away to repair shops, where it would eventually emerge good as new.

I saw two of the winged machines collide in mid air, and metal rained from the sky. A half dozen of the tripod clearing machines came from a half dozen directions and the metal was raked into huge piles; then came the cranes and hauling machines.

A great vertical wheel with slanting blades on the rim spun swiftly on a shaft that was borne forward on treads. The blades cut through trees and soil and stone as it bore onward toward the near-by mountains. It slowed down, but did not stop, and at length a straight wide path connected the opposite valley. Behind the wheel came the tripods, clearing the way of all debris, and behind them came ma­chines that laid down long strips of metal, completing the perfect road.

Everywhere small lubricating machines moved about, periodically supplying the others with the necessary oil that insured smooth movement.

Gradually the region surrounding me was being levelled and cleared, and a vast city was rising—a city of meaningless, towering, ugly metal—a city covering hundreds of miles between the mountains and sea—a city of machines—ungainly, lifeless—yet purposeful—for what? What?

In the bay, a line of towers rose from the water like fingers point­ing at the sky. Beyond the bay and into the open sea they extended. Now the machines were connecting the towers with wide network and spans. A bridge! They were spanning the ocean, connecting the continents—a prodigious engineering feat. If there were not already machines on the other side, there soon would be. No, not soon. The task was gigantic, fraught with failures, almost impossible. Almost? A world of machines could know no almost. Perhaps other machines did occupy the other side, had started the bridge from there, and they would meet in the middle. And for what purpose?

A great wide river came out of the mountains and went winding toward the sea. For some reason a wall was being constructed diagonally across the river and beyond, to change its course. For some reason—or unreason.

Unreason! That was it! Why, why, why, I cried aloud in an anguish that was real; why all of this? ’What purpose, what meaning, what benefit? A city, a continent, a world, a civilization of machines!

Somewhere on this world there must be the one who caused all this, the one intelligence, human or unhuman, who controls it. My time here is limited, but I have time to seek him out, and if I find him I shall drag him out and feed him to his own machines and put a stop to this diabolism for all time!

I strode along the edge of the sea for five hundred miles, and rounding a sharp point of land, stopped abruptly. There before me stretched a city, a towering city of smooth white stone and archi­tectural beauty. Spacious parks were dotted with winged colonnades and statues, and the buildings were so designed that everything pointed upward, seemed poised for flight.

That was one half of the city.

The other half was a ruinous heap of shattered white stone, of buildings levelled to the ground by the machines, which were even then intent on reducing the entire city to a like state.

As I watched I saw scores of the flame-machines cutting deep into the stone and steel supporting base of one of the tallest buildings. Two of the ponderous air machines, trailing a wide mesh-metal network between them, rose clumsily from the ground on the outskirts of the city. Straight at the building they flew, and passed one on each side of it. The metal netting struck, jerked the machines backward, and the tangled mass of them plunged to the ground far below. But the building, already weakened at the base, swayed far forward, then back, hung poised for a long shuddering moment and then toppled to the ground with a thunderous crash amid a cloud of dust and debris and tangled framework.

The flame-machines moved on to another building, and on a slope near the outskirts two more of the air machines waited. .

Sickened at the purposeless vandalism of it all, I turned inland; and everywhere I strode were the machines, destroying and building, leveling to the ground the deserted cities of the bird people and building up their own meaningless civilization of metal.

At last I came to a long range of mountains which towered up past the level of my eyes as I stood before them. In two steps I stood on the top of these mountains and looked out upon a vast plain dotted everywhere with the grotesque machine-made cities. The machines had made good progress. About two hundred miles to the left a great metal dome rose from the level of the plain, and I made my way toward it, striding unconcerned and recklessly amidst the ma­chines that moved everywhere around my feet.

As I neared the domed structure a row of formidable-looking mechanisms, armed with long spikes, rose up to bar my path. I kicked out viciously at them and in a few minutes they were reduced to tangled scrap, though I received a number of minor scratches in the skirmish. Others of the spiked machines rose up to confront me with each step I took, but I strode through them, kicking them to one side, and at last I stood before an entrance-way in the side of the huge dome. Stooping, I entered, and once inside my head almost touched the roof.

I had hoped to find here what I sought, and I was not disap­pointed. There in the center of the single spacious room was The Machine of all Machines; the Cause of it All; the Central Force, the Ruler, the Controlling Power of all the diabolism running riot over the face of the planet. It was roughly circular, large and ponderous. It was bewilderingly complicated, a maze of gears, wheels, switchboards, lights, levers, buttons, tubing, and intricacies beyond my comprehension. There were circular tiers, and on each tier smaller separate units moved, performing various tasks, attending switchboards, pressing buttons, pulling levers. The result was a throbbing, rhythmic, purposeful unit. I could imagine invisible waves going out in every direction.

I wondered what part of this great machine was vulnerable. Silly thought. No part. Only it—itself. It was The Brain.

The Brain. The Intelligence. I had searched for it, and I had found it. There it was before me. Well, I was going to smash it. I looked around for some kind of weapon, but finding none, I strode for­ward bare-handed.

Immediately a square panel lighted up with a green glow, and I knew that The Brain was aware of my intent. I stopped. An odd sen­sation swept over me, a feeling of hate, of menace. It came from the machine, pervaded the air in invisible waves.

“Nonsense,” I thought; “it is but a machine after all. A very complicated one, yes, perhaps even possessed of intelligence; but it only has control over other machines, it cannot harm me.”

Again I took a resolute step forward.

The feeling of menace became stronger, but I fought back my ap­prehension and advanced recklessly. I had almost reached the ma­chine when a wall of crackling blue flame leaped from floor to roof. If I had taken one more step I would have been caught in it.

The menace, and hate, and imagined rage at my escape, rolled out from the machine in ponderous, almost tangible waves, engulfing me, and I retreated hastily.

I walked back toward the mountains. After all, this was not my world—not my universe. I would soon be so small that my presence amid the machines would be extremely dangerous, and the tops of the mountains was the only safe place. I would have liked to smash The Brain and put an end to it all, but anyway, I thought, the bird people were now safe on the satellite, so why not leave this lifeless world to the machines?

It was twilight when I reached the mountains, and from a high grassy slope—the only peaceful place on the entire planet, I im­agined—I looked out upon the plain. Tiny lights appeared as the machines moved about, carrying on their work, never resting. The clattering and clanking of them floated faintly up to me and made me glad that I was a safe distance from it all.

As I stood out toward the dome that housed The Brain, I saw what I had failed to see before. A large globe rested there on a frame-work, and there seemed to be unusual activity around it.

A vague apprehension tightened around my brain as I saw ma­chines enter this globe, and I was half prepared for what happened next. The globe rose lightly as a feather, sped upward with increasing speed, out of the atmosphere and into space, where, as a tiny speck, it darted and maneuvered with perfect ease. Soon it reappeared, floated gracefully down upon the framework again, and the machines that had mechanically directed its flight disembarked from it.

The machines had achieved space travel! My heart sickened with sudden realization of what that meant. They would build others—were already building them. They would go to other worlds, and the nearest one was the satellite . . . . encased in its protective metal shell . . . .

But then I thought of the white-flame machines that I had seen cut through stone and metal in a few seconds . . . .

The bird people would no doubt put up a valiant fight. But as I compared their rocket projectiles against the efficiency of the globe I had just seen, I had little doubt as to the outcome. They would eventually be driven out into space again to seek a new world, and the machines would take over the satellite, running riot as they had done here. They would remain there just as long as The Brain so desired, or until there was no more land for conquest. Already this planet was over-run, so they were preparing to leave.

The Brain. An intricate, intelligent mechanical brain, glorying in its power, drunk with conquest. Where had it originated? The bird people must have been the indirect cause, and no doubt they were beginning to realize the terrible menace they had loosed on the universe.

I tried to picture their civilization as it had been long ago before this thing had come about. I pictured a civilization in which machinery played a very important part. I pictured the development of this machinery until the time when it relieved them of many tasks. I imagined how they must have designed their machines with more and more intricacy, more and more finesse, until only a few persons were needed in control. And then the great day would come, the supreme day, when mechanical parts would take the place of those few.

That must have indeed been a day of triumph. Machines supply­ing their every necessity, attending to their every want, obeying their every whim at the touch of a button. That must have been Utopia achieved!

But it had proven to be a bitter Utopia. They had gone forward blindly and recklessly to achieve it, and unknowingly they had gone a step too far. Somewhere, amid the machines they supposed they had under their control, they were imbued with a spark of intelli­gence. One of the machines added unto itself—perhaps secretly; built and evolved itself into a terribly efficient unit of inspired in­telligence. And guided by that intelligence, other machines were built and came under its control. The rest must have been a matter of course. Revolt and easy victory.

So I pictured the evolution of the mechanical brain that even now was directing activities from down there under its metal dome.

And the metal shell around the satellite—did not that mean that the bird people were expecting an invasion? Perhaps, after all, this was not the original planet of the bird people; perhaps space travel was not an innovation among the machines. Perhaps it was on one of the far inner planets near the sun that the bird people had achieved the Utopia that proved to be such a terrible nemesis; perhaps they had moved to the next planet, never dreaming that the machines could follow; but the machines had followed after a number of years, the bird people being always driven outward, the machines always following at leisure in search of new spheres of conquest. And finally the bird people had fled to this planet, and from it to the satellite; and realizing that in a few years the machines would come again in all their invincibility, they had then ensconced themselves beneath the shell of metal.

At any rate: they did not flee to a far-away safe spot in the universe as they could have very easily done. Instead, they stayed; always one sphere ahead of the marauding machines, they must always be plan­ning a means of wiping out the spreading evil they had loosed.

It might be that the shell around the satellite was in some way a clever trap! But so thinking, I remembered again the white-flame machines and the deadly efficiency of the globe I had seen, and then my hopes faded away.

Perhaps some day they would eventually find a way to check the spreading menace. But on the other extreme, the machines might spread out to other solar systems, other galaxies, until some day, a billion years hence, they would occupy every sphere in this uni­verse . . . .

Such were my thoughts as I lay prone there upon the grassy slope and looked down into the plain, down upon the ceaseless clatter and the ceaseless moving of lights in the dark. I was very small now; soon, very soon, I would leave this world.

My last impression was of a number of the space globes, barely discernible in the dusk below; and among them towering up high and round, was one much larger than the others, and I could guess which machine would occupy that globe.

And my last thought was a regret that I hadn’t made a more de­termined effort to destroy that malicious mechanism, The Brain.
So I passed from this world of machines—the world that was an electron on a grain of sand that existed on a prehistoric world that was but an electron on a microscope-slide that existed on a world that was but an electron in a piece of Rehyllium-X on the Professor’s laboratory table.

VIII

It is useless to go on. I have neither the time nor the desire to relate in detail all the adventures that have befallen me, the universes I have passed into, the things I have seen and experienced and learned on all the worlds since I left the planet of the machines.

Ever smaller cycles . . . . infinite universes . . . . never ending . . . . each presenting something new . . . . some queer variation of life or intelligence . . . . Life? Intelligence? Terms I once associated with things animate, things protoplasmic and understandable. I find it hard to apply them to all the divergencies of shape and form and construction I have encountered . . . .

Worlds young . . . . warm . . . . volcanic and steaming . . . . the single cell emerging from the slime of warm oceans to propagate on primordial continents . . . . other worlds, innumerable . . . . life divergent in all branches from the single cell . . . . amorphous globules . . . . amphibian . . . . crustacean . . . . reptilian . . . . plant . . . . insect . . . . bird . . . . mammal . . . . all possible variations of combinations . . . . biological monstrosities indescrib­able . . . .

Other forms beyond any attempt at classification . . . . beyond all reason or comprehension of my puny mind . . . . essences of pure flame . . . . others gaseous, incandescent and quiescent alike . . . . plant forms encompassing an entire globe . . . . crystalline beings sentient and reasoning . . . great shimmering columnar forms, seemingly liquid, defying gravity by some strange power of cohesion . . . . a world of sound-vibrations, throbbing, expanding, reverberating in unbroken echoes that nearly drove me crazy . . . . globular brain-like masses utterly dissociated from any material substance . . . . intra-dimensional beings, all shapes and shapeless . . . . entities utterly incapable of registration upon any of my senses except the sixth, that of instinct . . . .

Suns dying .. . . planets cold and dark and airless . . . . last vestiges of once proud races struggling for a few more meager years of sustenance . . . . great cavities . . . . beds of evaporated seas . . . . small furry animals scurrying to cover at my approach . . . . desolation. . . . ruins crumbling surely into the sands of barren deserts, the last mute evidence of vanished civilizations . . . .
Other worlds . . . . a-flourished with life . . . . blessed with light and heat . . . . staggering cities . . . . vast populations . . . . ships plying the surface of oceans, and others in the air . . . . huge observatories . . . . tremendous strides in the sciences . . . .

Space flight . . . . battles for the supremacy of worlds . . . . blasting rays of super-destruction . . . . collision of planets . . . . disruption of solar systems . . . cosmic annihilation . . . .

Light space . . . . a universe with a tenuous, filmy something around it, which I burst through . . . . all around me not the customary blackness of outer space I had known, but light . . . . filled with tiny dots that were globes of darkness . . . . that were burnt-out suns and lifeless planets . . . . nowhere a shimmering planet, nowhere a flaming sun . . . . only remote specks of black amid the light-satiated emptiness . . . .

How many of the infinitely smaller atomic cycles I have passed into, I do not know. I tried to keep count of them at first, but some­where between twenty and thirty I gave it up; and that was long ago.

Each time I would think: “This cannot go on forever—it cannot; surely this next time I must reach the end.”

But I have not reached the end.

Good God—how can there be an end? Worlds composed of atoms . . . . each atom similarly composed . . . . The end would have to be an indestructible solid, and that cannot be; all matter divisible into smaller matter . . . .

What keeps me from going insane? I want to go insane!

I am tired . . . . a strange tiredness neither of mind nor body. Death would be a welcome release from the endless fate that is mine.

But even death is denied me. I have sought it . . . . I have prayed for it and begged for it . . . . but it is not to be.

On all the countless worlds I have contacted, the inhabitants were of two distinctions: they were either so low in the state of intelligence that they fled and barricaded themselves against me in superstitious terror—or were so highly intellectual that they recognized me for what I was and welcomed me among them. On all but a few worlds the latter was the case, and it is on these types that I will dwell briefly.

These beings—or shapes or monstrosities or essences—were in every case mentally and scientifically far above me. In most cases they had observed me for years as a dark shadow looming beyond the farthest stars, blotting out certain star-fields and nebulae . . . . and always when I came to their world they welcomed me with scientific enthusiasm.

Always they were puzzled as to my steady shrinking, and always when they learned of my origin and the manner of my being there, they were surprised and excited.

In most cases gratification was apparent when they learned definitely that there were indeed great ultramacrocosmic universes. It seemed that all of them had long held the theory that such was the case.

On most of the worlds, too, the beings—or entities—or whatever the case might be—were surprised that the Professor, one of my fellow creatures, had invented such a marvelous vitalized element as “Shrinx.”

"Almost unbelievable," was the general consensus of opinion;  "scientifically he must be centuries ahead of the time on his own  planet, if we are to judge the majority of the race by this creature  here"—meaning me.

In spite of the fact that on nearly every world I was looked upon as mentally inferior, they conversed with me and I with them, by various of their methods, in most cases different variations of telep­athy. They learned in minute detail and with much interest all of my past experiences in other universes. They answered all of my questions and explained many things besides, about their own universe and world and civilization and scientific achievements, most of which were completely beyond my comprehension, so alien were they in nature.

And of all the intra-universal beings I have had converse with, the strangest were those essences who dwelt in outer space as well as on various planets; identifiable to me only as vague blots of emptiness, total absences of light or color or substance; who impressed upon me the fact that they were Pure Intelligences, far above and superior to any material plane; but who professed an interest in me, bearing me with them to various planets, revealing many things and treating me very kindly. During my sojourn with them I learned from experience the total subservience of matter to influences of mind. On a giant mountainous world I stepped out upon a thin beam of light stretched between two crags, and willed with all my consciousness that I would not fall. And I did not.

I have learned many things. I know that my mind is much sharper, more penetrative, more grasping, than ever before. And vast fields of wonder and knowledge lie before me in other universes yet to come.

But in spite of this, I am ready for it all to end. This strange tired­ness that is upon me—I cannot understand it. Perhaps some invisible radiation in empty space is satiating me with this tiredness.

Perhaps it is only that I am very lonely. How very far away I am from my own tiny sphere! Millions upon millions . . . . trillions upon trillions . . . . of light-years . . . . Light years! Light cannot measure the distance. And yet it is no distance: I am in a block of metal on the Professor’s laboratory table . . . .

Yet how far away into space and time I have gone! Years have passed, years far beyond my normal span of life. I am eternal.
Yes, eternal life . . . . that men have dreamed of . . . . prayed for . . . . sought after . . . . is mine—and I dream and pray and seek for death!

Death. All the strange beings I have seen and conversed with, have denied it. I have implored many of them to release me painlessly and for all time—but to no avail. Many of them were possessed of the scientific means to stop my steady shrinkage—but they would not stop it. None of them would hinder me, none of them would tamper with the things that were. Why? Always I asked them why, and they would not answer.

But I need no answer. I think I understand. These beings of science realized that such an entity as myself should never be . . . . that I am a blasphemy upon all creation and beyond all reason . . . . they realized that eternal life is a terrible thing . . . . a thing not to be desired . . . . and as punishment for delving into secrets never meant to be revealed, none of them will release me from my fate . . . .

Perhaps they are right, but oh, it is cruel! Cruel! The fault is not mine, I am here against my own will.

And so I continue ever down, alone and lonely, yearning for others of my kind. Always hopeful—and always disappointed.

So it was that I departed from a certain world of highly intelligent gaseous beings; a world that was in itself composed of a highly rarefied substance bordering on nebulosity. So it was that I became even smaller, was lifted up in a whirling, expanding vortex of the dense atmosphere, and entered the universe which it composed.

Why I was attracted by that tiny, far away speck of yellow, I do not know. It was near the center of the nebula I had entered. There were other suns far brighter, far more attractive, very much nearer. This minute yellow sun was dwarfed by other suns and sun-clusters around it—seemed insignificant and lost among them. And why I was drawn to it, so far away, I cannot explain.

But mere distance, even space distance, was nothing to me now. I had long since learned from the Pure Intelligence the secret of pro­pulsion by mind influence, and by this means I propelled myself through space at any desired speed not exceeding that of light; as my mind was incapable of imagining speed faster than light, I of course could not cause my material body to exceed it.

So I neared the yellow sun in a few minutes, and observed that it had twelve planets. And as I was far too large to yet land on any sphere, I wandered far among other suns, observing the haphazard construction of this universe, but never losing sight of the small yellow sun that had so intrigued me. And at last, much smaller, I returned to it.

And of all the twelve planets, one was particularly attractive to me. It was a tiny blue one. It made not much difference where I landed, so why should I have picked it from among the others? Perhaps only a whim—but I think the true reason was because of its constant pale blue twinkling, as though it were beckoning to me, inviting me to come to it. It was an unexplainable phenomenon; none of the others did that. So I moved closer to the orbit of the blue planet, and landed upon it.

As usual I didn’t move from where I stood for a time, until I could view the surrounding terrain; and then I observed that I had landed in a great lake—a chain of lakes. A short distance to my left was a city miles wide, a great part of which was inundated by the flood I had caused.

Very carefully, so as not to cause further tidal waves, I stepped from the lake to solid ground, and the waters receded somewhat.
Soon I saw a group of five machines flying toward me; each of them had two wings held stiffly at right angles to the body. Looking around me I saw others of these machines winging toward me from every direction, always in groups of five, in V formation. When they had come very close they began to dart and swoop in a most peculiar manner, from them came sharp staccato sounds, and I felt the im­pact of many tiny pellets upon my skin! These beings were very warlike, I thought, or else very excitable.

Their bombardment continued for some time, and I began to find it most irritating; these tiny pellets could not harm me seriously, could not even pierce my skin, but the impact of them stung. I could not account for their attack upon me, unless it be that they were angry at the flood I had caused by my landing. If that were the case they were very unreasonable, I thought; any damage I had done was purely unintentional, and they should realize that.
But I was soon to learn that these creatures were very foolish in many of their actions and manners; they were to prove puzzling to me in more ways than one.

I waved my arms around, and presently they ceased their futile bombardment, but continued to fly around me.

I wished I could see what manner of beings flew these machines. They were continually landing and rising again from a wide level field below.

For several hours they buzzed all around while I became steadily smaller. Below me I could now see long ribbons of white that I guessed were roads. Along these roads crawled tiny vehicles, which soon became so numerous that all movement came to a standstill, so congested were they. In the fields a large part of the populace had gathered, and was being constantly augmented by others.

At last I was sufficiently small so that I could make out closer de­tails, and I looked more intently at the beings who inhabited this world. My heart gave a quick leap then, for they somewhat resembled myself in structure. They were four-limbed and stood erect, their method of locomotion consisting of short jerky hops, very different from the smooth gliding movement of my own race. Their general features were somewhat different too—seemed grotesque to me—but the only main difference between them and myself was that their bodies were somewhat more columnar, roughly oval in shape and very thin, I would say almost frail.

Among the thousands gathered there were perhaps a score who seemed in authority. They rode upon the backs of clumsy looking, four-footed animals, and seemed to have difficulty in keeping the ex­cited crowd under control. I, of course, was the center of their excitement; my presence seemed to have caused more consternation here than upon any other world.

Eventually a way was made through the crowd and one of the ponderous four-wheeled vehicles was brought along the road opposite to where I stood. I supposed they wanted me to enter the rough box­like affair, so I did so, and was hauled with many bumps and jolts over the rough road toward the city I had seen to the left. I could have rebelled at this barbarous treatment, but I reflected that I was still very large and this was probably the only way they had of trans­porting me to wherever I was going.

It had become quite dark, and the city was aglow with thousands of lights. I was taken into a certain building, and at once many im­portant looking persons came to observe me.

I have stated that my mind had become much more penetrative than ever before, so I was not surprised to learn that I could read many of the thoughts of these persons without much difficulty. I learned that these were scientists who had come here from other immediate cities as quickly as possible—most of them in the winged machines, which they called “planes”—when they had learned of my landing here. For many months they had been certain that I would land. They had observed me through their telescopes, and their period of waiting had been a speculative one. And I could now see that they were greatly puzzled, filled with much wonderment, and no more enlightenment about me than they had been possessed of before.

Though still very large, I was becoming surely smaller, and it was this aspect that puzzled them most, just as it had on all the other worlds. Secondly in their speculations was the matter of where I had come from.

Many were the theories that passed among them. Certain they were that I had come a far distance. Uranus? Neptune? Pluto? I learned that these were the names of the outmost planets of this system. No, they decided; I must have come a much farther distance than that. Perhaps from another far-away galaxy of this universe! Their minds were staggered at that thought. Yet how very far away they were from the truth.

They addressed me in their own language, and seemed to realize that it was futile. Although I understood everything they said and everything that was in their minds, they could not know that I did, for I could not answer them. Their minds seemed utterly closed to all my attempts at thought communication, so I gave it up.

They conversed then among themselves, and I could read the hopelessness in their minds. I could see, too, as they discussed me, that they looked upon me as being abhorrent, a monstrosity. And as I searched the recesses of their minds, I found many things.

I found that it was the inherent instinct of this race to look upon all unnatural occurrences and phenomena with suspicion and disbelief and prejudiced mind.

I found that they had great pride for their accomplishments in the way of scientific and inventive progress. Their astronomers had delved a short distance into outer space, but considered it a very great distance; and having failed to find signs of intelligent life upon any immediate sphere, they leaped blindly and fondly to the conclusion that their own species of life was the dominant one in this solar system and perhaps—it was a reluctant perhaps—in the entire universe.

Their conception of a universe was a puny one. True, at the present time there was extant a theory of an expanding universe, and in that theory at least they were correct, I knew, remembering the former world I had left—the swirling, expanding wisp of gaseous atmosphere of which this tiny blue sphere was an electron. Yes, their “expanding universe” theory was indeed correct. But very few of their thinkers went beyond their own immediate universe—went deeply enough to even remotely glimpse the vast truth.

They had vast cities, yes. I had seen many of them from my height as I towered above their world. A great civilization, I had thought then. But now I know that great cities do not make great civilizations. I am disappointed at what I have found here, and cannot even understand why I should be disappointed, for this blue sphere is nothing to me and soon I will be gone on my eternal journey down­ward . . . .

Many things I read in these scientists’ minds—things clear and concise, things dim and remote; but they would never know.

And then in the mind of one of the persons, I read an idea. He went away, and returned shortly with an apparatus consisting of wires, a headphone, and a flat revolving disc. He spoke into an instrument, a sort of amplifier. Then a few minutes later he touched a sharp pointed instrument to the rotating disc, and I heard the identical sounds reproduced which he had spoken. A very crude method, but effective in a certain way. They wanted to register my speech so that they would have at least something to work on when I had gone.

I tried to speak some of my old language into the instrument. I had thought I was beyond all surprises, but I was surprised at what happened. For nothing happened. I could not speak. Neither in the old familiar language I had known so long ago, nor in any kind of sound. I had communicated so entirely by thought transference on so many of the other worlds, that now my power of vocal utterance was gone.

They were disappointed. I was not sorry, for they could not have deciphered any language so utterly alien as mine was.

Then they resorted to the mathematics by which this universe and all universes are controlled; into which mathematical mold the eternal All was cast at the beginning and has moved errorlessly since. They produced a great chart which showed the conglomerated masses of this and other galaxies. Then upon a black panel set in the wall, was drawn a circle—understandable in any universe—and around it ten smaller circles. This was evidently their solar system, though I could not understand why they drew but ten circles when I had seen twelve planets from outer space. Then a tiny spot was designated on the chart, the position of this system in its particular galaxy. Then they handed the chart to me.

It was useless. Utterly impossible. How could I ever indicate my own universe, much less my galaxy and solar system, by such puny methods as these? How could I make them know that my own uni­verse and planet were so infinitely large in the scheme of things that theirs were practically non-existent? How could I make them know that their universe was not outside my own, but on my planet?—superimposed in a block of metal on a laboratory table, in a grain of sand, in the atoms of glass in a microscopic slide, in a drop of water, in a blade of grass, in a bit of cold flame, in a thousand other variations of elements and substances all of which I had passed down into and beyond, and finally in a wisp of gas that was the cause of their “expanding universe.” Even could I have conversed with them in their own language I could not have made them grasp the vastness of all those substances existing on worlds each of which was but an electron of an atom in one of trillions upon trillions of molecules of an infinitely larger world! Such a conception would have shattered their minds.

It was very evident that they would never be able to establish communication with me even remotely, nor I with them; and I was becoming very impatient. I wanted to be out of the stifling building, out under the night sky, free and unhampered in the vast space which was my abode.

Upon seeing that I made no move to indicate on the chart which part of their puny universe I came from, the scientists around me again conversed among themselves; and this time I was amazed at the trend of their thoughts.

For the conclusion which they had reached was that I was some freak of outer space which had somehow wandered here, and that my place in the scale of evolution was too far below their own for them to establish ideas with me either by spoken language (of which they concluded I had none) or by signs (which I was apparently too barbaric to understand)!! This—this was their unanimous conclusion! This, because I had not uttered any language for them to record, and because the chart of their universe was utterly insignificant to me! Never did it occur to them that the opposite might be true—that I might converse with them but for the fact that their minds were too weak to register my thoughts!

Disgust was my reaction to these short-sighted conclusions of their unimaginable minds—disgust which gave way to an old emotion, that of anger.

And as that one impulsive, rising burst of anger flooded my mind, a strange thing happened:

Every one of the scientists before me dropped to the floor in a state of unconsciousness.

My mind had, indeed, become much more penetrative than ever before. No doubt my surge of anger had sent out intangible waves which had struck upon their centers of consciousness with sufficient force to render them insensible.

I was glad to be done with them. I left the four walls of the building, emerged into the glorious expansive night under the stars and set out along the street in a direction that I believed would lead me away from the city. I wanted to get away from it, away from this world and the people who inhabited it.

As I advanced along the streets all who saw me recognized me at once and most of them fled unreasonably for safety. A group of persons in one of the vehicles tried to bar my progress, but I exer­cised my power of anger upon them; they drooped senselessly and their vehicle crashed into a building and was demolished.

In a few minutes the city was behind me and I was striding down one of the roads, destination unknown; nor did it matter, except that now I was free and alone as it should be. I had but a few more hours on this world.

And then it was that the feeling came upon me again, the strange feeling that I had experienced twice before: once when I had selected the tiny orange sun from among the millions of others, and again when I had chosen this tiny blue planet. Now I felt it for a third time, more strongly than ever, and now I knew that this feeling had some very definite purpose for being. It was as though something, some power beyond question, drew me irresistibly to it; I could not resist, nor did I want to. This time it was very strong and very near.

Peering into the darkness along the road, I saw a light some distance ahead and to the left, and I knew that I must go to that light.

When I had come nearer I could see that it emanated from a house set far back in a grove of trees, and I approached it without hesitation. The night was warm, and a pair of double windows opened upon a well-lighted room. In this room was a man.

I stepped inside and stood motionless, not yet knowing why I should have been drawn there.

The man’s back was toward me. He was seated before a square dialed instrument, and seemed to be listening intently to some report coming from it. The sounds from the box were unintelligible to me, so I turned my attention to reading the man’s mind as he listened, and was not surprised to learn that the reports concerned myself.

“—casualties somewhat exaggerated, though the property damage has reached millions of dollars,” came the news from the box. “Cleve­land was of course hardest hit, though not unexpectedly, astro­nomical computators having estimated with fair accuracy the radius of danger. The creature landed in Lake Erie only a few miles east of the city. At the contact the waters rose over the breakwater with a rush and inundated nearly one-third of the city before receding, and it was well that the greater part of the populace had heeded the advance warnings and fled . . . . all lake towns in the vicinity have re­ported heavy property damage, and cities as far east as Erie, and as far west as Toledo, have reported high flood waters . . . . all available Government combat planes were rushed to the scene in case the creature should show signs of hostility . . . . scientific men who have awaited the thing’s landing for months immediately chartered planes for Cleveland . . . . despite the elaborate cordons of police and militiamen, the crowds broke through and entered the area, and within an hour after the landing roads in every direction were congested with traffic . . . . for several hours scientists circled and ex­amined the creature in planes, while its unbelievable shrinkage continued . . . . the only report we have from them is that, aside from the contour of its great bell-shaped torso, the creature is quite amazingly correct anatomically . . . . an unofficial statement from Dr. Hilton U. Cogsworthy of the Alleghany Biological Society, is to the effect that such a creature isn’t. That it cannot possibly exist. That the whole thing is the result of some kind of mass hypnotism on a gigantic scale. This, of course, in lieu of some reasonable explanation. . . . many persons would like to believe the ’mass hypnotism’ theory, and many always will; but those who have seen it and taken photographs of it from every angle know that it does exist and that its steady shrinking goes on . . . . Professor James L. Harvey of Miami University has suffered a stroke of temporary insanity and is under the care of physicians. The habitual curiosity seekers who flocked to the scene are apparently more hardened . . . . the latest report is that the creature, still very large, has been transported under heavy guard to the Cleveland Institute of Scientific Research, where is gathered every scientist of note east of the Mississippi . . . . stand by for further news flashes . . . . “

The voice from the box ceased, and as I continued to read the mind of the man whose back was toward me, I saw that he was deeply absorbed in the news he had heard. And the mind of this person was something of a puzzle to me. He was above the average intelligence of those on this world, and was possessed of a certain amount of fundamental scientific knowledge; but I could see im­mediately that his was not a scientifically trained mind. By profession he was a writer—one who recorded fictitious “happenings” in the written language, so that others might absorb and enjoy them.

And as I probed into his mind I was amazed at the depth of imagination there, a trait almost wholly lacking in those others I had encountered, the scientists. And I knew that at last here was one with whose mind I might contact . . . . here was one who was dif­ferent from the others . . . . who went deeper . . . . who seemed on the very edge of the truth. Here was one who thought: “—this strange creature, which has landed here . . . . alien to anything we have ever known . . . . might it not be alien even to our universe? . . . . the strange shrinking . . . . from that phenomenon alone we might conclude that it has come an inconceivable distance . . . . its shrinking may have begun hundreds, thousands of years ago . . . . and if we could but communicate with it, before it passes from Earth forever, what strange things might it not tell us!”

The voice came from the box again, interrupting these thoughts in his mind.

“Attention! Flash! The report comes that the alien space-creature, which was taken to the Scientific Research Institute for observation by scientists, has escaped, after projecting a kind of invisible mind force which rendered unconscious all those within reach. The creature was reported seen by a number of persons, after it left the building. A police squad car was wrecked as a direct result of the creature’s “mind force,” and three policemen were injured, none seriously. It was last seen leaving the city by the north-east, and all persons are ordered to be on the lookout and to report immediately if it is sighted.”

Again the report from the box ceased, and again I probed into the man’s mind, this time deeper, hoping to establish a contact with it which would allow for thought-communication.

I must have at least aroused some hidden mind-instinct, for he whirled to face me, overturning his chair. Surprise was on his face, and something in his eyes that must have been fear.

"Do not be alarmed," I flashed. "Be seated again."

I could see that his mind had not received my thought. But he must have known from my manner that I meant no harm, for he resumed his seat. I advanced further into the room, standing before him. The fear had gone out of his eyes and he only sat tensely star­ing at me, his hands gripping the arms of the chair.

"I know that you would like to learn things about myself," I telepathed;  "things which those others—your scientists—would have liked to know."

Reading his mind I could see that he had not received the thought, so I probed even deeper and again flashed the same thought. This time he did receive it, and there was an answering light in his eyes.

He said “Yes,” aloud.

"Those others, your scientists," I went on, "would never have believed  nor even understood my story, even if their minds were of the type to  receive my thoughts, which they are not."

He received and comprehended that thought, too, but I could see that this was a great strain on his mind and could not go on for long.

"Yours is the only mind I have encountered here with which I could  establish thought," I continued, "but even now it is becoming weakened  under the unaccustomed strain. I wish to leave my record and story with  you, but it cannot be by this means. I can put your mind under a  hypnotic influence and impress my thoughts upon your subconscious mind,  if you have some means of recording them. But you must hurry; I have  only a few more hours here at the most, and in your entire lifetime it  would be impossible for you to record all that I could tell."

I could read doubt in his mind. But only for one instant did he hesitate. Then he rose and went to a table where there was a pile of smooth white paper and a sharp pointed instrument—pen—for re­cording my thoughts in words of his own language.

"I am ready," was the thought in his mind.

So I have told my story. Why? I do not know, except that I wanted to. Of all the universes I have passed into, only on this blue sphere have I found creatures even remotely resembling myself. And they are a disappointment; and now I know that I shall never find others of my kind. Never, unless—

I have a theory. Where is the beginning or the end of the eternal All I have been traversing? Suppose there is none? Suppose that, after traversing a few more atomic cycles, I should enter a universe which seemed somehow familiar to me; and that I should enter a certain familiar galaxy, and approach a certain sun, a certain planet—and find that I was back where I started from so long ago: back on my own planet, where I should find the Professor in the laboratory still receiving my sound and sight impressions!! An insane theory; an im­possible one. It shall never be.

Well, then, suppose that after leaving this sphere—after descend­ing into another atomic universe—I should choose not to alight on any planet? Suppose I should remain in empty space, my size con­stantly diminishing? That would be one way of ending it all, I sup­pose. Or would it? Is not my body matter, and is not matter infinite, limitless, eternal? How then could I ever reach a “nothingness?” It is hopeless. I am eternal. My mind too must be eternal or it would surely have snapped long ago at such concepts.

I am so very small that my mind is losing contact with the mind of him who sits here before me writing these thoughts in words of his own language, though his mind is under the hypnotic spell of my own and he is oblivious to the words he writes. I have clambered upon the top of the table beside the pile of pages he has written, to bring my mind closer to his. But why should I want to continue the thought-contact for another instant? My story is finished, there is nothing more to tell.

I shall never find others of my kind . . . I am alone . . . . I think that soon, in some manner, I shall try to put an end to it . . . .

I am very small now . . . . the hypnosis is passing from his mind . . . . I can no longer control it . . . . the thought-contact is slip­ping . . . .

EPILOGUE

National Press-Radio Service, Sept. 29, 1937 (through Cleveland Daily Clarion) :—Exactly one year ago today was a day never to be forgotten in the history of this planet. On that day a strange visitor arrived—and departed.

On September 29, 1936, at 3:31 P.M., that thing from outer space known henceforth only as “The Alien” landed in Lake Erie near Cleveland, causing not so much destruction and terror as great bewilderment and awe, scientists being baffled in their attempts to determine whence it came and the secret of its strange steady shrink­ing.

Now, on the anniversary of that memorable day, we are presenting to the public a most unusual and interesting document purported to be a true account and history of that strange being, The Alien. This document was presented to us only a few days ago by Stanton Cobb Lentz, renowned author of “The Answer to the Ages” and other serious books, as well as of scores of short stories and books of the widely popular type of literature known as science-fiction.

You have read the above document. While our opinion as to its authenticity is frankly skeptical, we shall print Mr. Lentz’s comment and let you, the reader, judge for yourself whether the story was related to Mr. Lentz by The Alien in the manner described, or whether it is only a product of Mr. Lentz’s most fertile imagination.

“On the afternoon of September 29 a year ago,” states Mr. Lentz, “I fled the city as did many others, heeding the warning of a possible tidal wave, should The Alien land in the lake. Thousands of persons had gathered five or six miles to the south, and from there we watched the huge shape overhead, so expansive that it blotted out the sun­light and plunged that section of the country into a partial eclipse. It seemed to draw nearer by slow degrees until, about 3:30 o’clock, it began its downward rush. The sound of contact as it struck the lake was audible for miles, but it was not until later that we learned the extent of the flood. After the landing all was confusion and excitement as combat planes arrived and very foolishly began to bombard the creature and crowds began to advance upon the scene. The entire countryside being in such crowded turmoil, it took me several difficult hours to return to my home. There I listened to the varied reports of the happenings of the past several hours.

“When I had that strange feeling that someone was behind me, and when I whirled to see The Alien standing there in the room, I do not presume to say that I was not scared. I was. I was very much scared. I had seen The Alien when it was five or six hundred feet tall —but that had been from afar. Now it was only ten or eleven feet tall, but was standing right before me. But my scaredness was only momentary, for something seemed to enter and calm my mind.

“Then, although there was no audible sound, I became aware of the thought: ’I know that you would like to learn things about myself, things which those others—your scientists—would have liked to know.’

“This was mental telepathy! I had often used the theory in my stories, but never had I dreamed that I would experience such a medium of thought in real fact. But here it was.

” ’Those others, your scientists,’ came the next thought, ’would never have believed nor even understood my story, even if their minds were of the type to receive my thoughts, which they are not.’ And then I began to feel a strain upon my mind, and knew that I could not stand much more of it.

“Then came the thought that he would relate his story through my sub-conscious mind if I had some means of recording it in my own language. For an instant I hesitated; and then I realized that time was fleeing and never again would I have such an opportunity as this. I went to my desk, where only that morning I had been working on a manuscript. There was paper and ink in plenty.

“My last impression was of some force seeming to spread over my mind; then a terrific dizziness, and the ceiling seemed to crash upon me.

“No time at all had seemed to elapse, when my mind regained its normal faculties; but before me on the desk was a pile of manuscript paper closely written in my own longhand. And—what many persons will find it hard to believe—standing upon that pile of written paper upon my desk top, was The Alien—now scarcely two inches in height—and steadily and surely diminishing! In utter fascination I watched the transformation that was taking place before my eyes—watched until The Alien had become entirely invisible, had descended down into the topmost sheet of paper there on my desk . . . .

“Now I realize that the foregoing document and my explanation of it will be received in many ways. I have waited a full year before making it public. Accept it now as fiction if you wish. There may be some few who will see the truth of it, or at least the possibility; but the vast majority will leap at once to the conclusion that the whole thing is a concoction of my own imagination; that, taking advantage of The Alien’s landing on this planet, I wrote the story to fit the occasion, very appropriately using The Alien as the main theme. To many this will seem all the more to be true, in face of the fact that in most of my science-fiction stories I have poked ridicule and derision and satire at mankind and all its high vaunted science and civiliza­tion and achievements—always more or less with my tongue in my cheek however, as the expression has it. And then along comes this Alien, takes a look at us and concludes that he is very disappointed, not to mention disgusted.
“However, I wish to present a few facts to help substantiate the authenticity of the script. Firstly: for some time after awakening from my hypnosis I was beset by a curious dizziness, though my mind was quite clear. Shortly after The Alien had disappeared I called my physician, Dr. C. M. Rollins. After an examination and a few mental tests he was greatly puzzled. He could not diagnose my case; my dizziness was the after effect of a hypnosis of a type he had never before encountered. I offered no explanation except to say that I had not been feeling well for the past several days.

“Secondly: the muscles of my right hand were so cramped from the long period of steady writing that I could not open my fingers. As an explanation I said that I had been writing for hours on the final chapters of my latest book, and Dr. Rollins said: ’Man, you must be crazy.’ The process of relaxing the muscles was painful.
“Upon my request Dr. Rollins will vouch for the truth of the above statements.

“Thirdly: when I read the manuscript the writing was easily recog­nizable as my own free, swinging longhand up to the last few para­graphs, when the writing became shaky, the last few words terminat­ing in an almost undecipherable scrawl as the Alien’s contact with my mind slipped away.

“Fourthly: I presented the manuscript to Mr. Howard A. Byerson, fiction editor of the National Newspaper Syndicate Service, and at once he misunderstood the entire idea. ’I have read your story, Mr. Lentz,’ he said a few days later, ’and it certainly comes at an appropriate time, right on the anniversary of The Alien’s landing. A neat idea about the origin of The Alien, but a bit farfetched. Now, let’s see, about the price; of course we shall syndicate your story through our National Newspaper chain, and—’

” ’You have the wrong idea,’ I said. ’It is not a story, but a true history of The Alien as related to me by The Alien, and I wish that fact emphasized; if necessary I will write a letter of explanation to be published with the manuscript. And I am not selling you the publication rights, I am merely giving you the document as the quickest and surest way of presenting it to the public.’

” ’But surely you are not serious? An appropriate story by Stanton Cobb Lentz, on the eve of the anniversary of The Alien’s landing, is a scoop; and you—’

” ’I do not ask and will not take a cent for the document,’ I said;

‘you have it now, it is yours, so do with it as you see fit.’

“A memory that will live with me always is the sight of The Alien as last seen by me—as last seen on this earth—as it disappeared into infinite smallness there upon my desk—waving two arms upward as if in farewell . .

“And whether the above true account and history of The Alien be received as such, or as fiction, there can be no doubt that on a not far off September, a thing from some infinite sphere above landed on this earth—and departed.”

The End

Fictional Story Related Index

This is an index of full text reprints of stories that I have read that influenced me when I was young. They are rather difficult to come by today, as where I live they are nearly impossible to find. Yes, you can find them on the internet, behind paywalls. Ah, that’s why all those software engineers in California make all that money. Well, here they are FOR FREE. Enjoy reading them.

Movies that Inspired Me

Here are some movies that I consider noteworthy and worth a view. Enjoy.

The Seventh Voyage of Sinbad.
Jason and the Argonauts
The Golden Voyage of Sinbad (1973)
The Abominable Dr. Phibes (1971)

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Correspondence Course
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury
Job: A Comedy of Justice (Full Text) by Robert Heinlein
Spell my name with an "S" by Isaac Asimov
The Proud Robot (Full Text)
The Time Locker
Not the First (Full Text) by A.E. van Vogt
The Star Mouse (Full Text)
Space Jockey (Full Text) by Robert Heinlein

My Poetry

My Kitten Knows

Art that Moves Me

An experiment of a bird in a vacuum jar.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some fun videos of Asia; to include China, Thailand, Vietnam, and Japan. (Part 13)

Let’s continue on our exploration of videos and things about Asia. However, firstly, let’s comment on the splash screen above. It’s from the 1990’s situation comedy on television (anyone remember watching television?) called “Seinfield”.

Seinfeld is a situation comedy which ran from July 5, 1989 to May 14,  1998. One of the most popular and influential TV programs of the 1990s,  it epitomizes the self-obsessed and ironic culture of the decade. In  2002, TV Guide ranked Seinfeld as the greatest TV show of all time. The  show was created by Larry David and Jerry Seinfeld. 

The show stars Seinfeld as a  fictionalized version of himself, and mostly focuses on his personal  life with a handful of friends and acquaintances, including best friend  George Costanza (Jason Alexander), friend and former girlfriend Elaine Benes.
Seinfield cast on the set of Seinfield.
Seinfield cast on the set of Seinfield. I loved this show when it came out, and it was always a subject of light water-cooler banter during the coffee breaks at work.

I think that this funny comedy is a monument to itself. Yet, the trivia associated with it is quite noteworthy…

Larry David famously  instituted a policy of "no hugging, no learning", meaning that the show  must avoid sentimentality and moral lessons, and the characters must  never learn or grow from their wrongdoings.   
As Kramer (Michael Richards)  became more popular, his entrance applause grew so prolonged that the  cast complained it was ruining the pacing of their scenes. Directors  subsequently asked the audience not to applaud so much when Kramer  entered.   
Seinfield in the puffy shirt.
Seinfield in the puffy shirt. Did you know that you can buy this shirt on the internet? Yup, you can. I think that it would make a great thing to wear on casual Friday. Just make sure that your coworkers have seen Seinfield and knows what the shirt is all about.
Jerry Seinfeld turned down an offer from NBC that would have made him $110 million for a tenth season of the show.   
Michael Costanza, Jerry Seinfeld's friend after whom George is named, filed a $100 million lawsuit against Seinfeld, Larry David,  and NBC, claiming invasion of privacy and defamation of character.  Costanza claimed damages due to the show's alleged use of his likeness.  The case was dismissed with the judges adding that the statute of  limitations on the case had run out, as Costanza did not sue within one  year of the show's debut in 1989.   
George and Kramer on Seinfield.
George and Kramer on Seinfield. In this episode, George poses for some sexy photographs.
The Soup Nazi (Larry Thomas)  is based on the actual owner, Al Yeganeh, of a take-out soup business  in Manhattan on West 55th Street between Broadway and 8th Avenue. Just  like in the sitcom, his soups were known for their excellent quality,  but Yeganeh was also famous for the unusual way he treated his  customers. Instead of calling him a Nazi, local patrons called him a  terrorist, presumably because they knew Yeganeh was born in Iran, not  Germany. Yeganeh was so angered by the episode (Seinfeld: The Soup Nazi  (1995)), that he forbade the use of the "N word" in his restaurants.  Even the slightest reference to this show would push his buttons (it can  be seen in an interview he did with CNN). So when some cast members and  writers from this show bravely visited the restaurant after the episode  aired, Yeganeh claimed that the show had ruined his life.   
The puffy shirt used in episode 5.2, Seinfeld: The Puffy Shirt (1993), is currently placed in the Smithsonian. A doll-sized replica was included with the fifth season DVD set.   
George orders soup from the soup Nazi.
George orders soup from the soup Nazi. Ah, the best soup in New York City, but the cook is something else entirely…
John O'Hurley  (Peterman) recalls attending a friend's 40th birthday party and being  recognized at the buffet line. A man came up to him to say "that would  be grounds for dismissal.", one of his J. Peterman lines. During their  conversation, O'Hurley learned that this guy was not only a fan of  Seinfeld but a fan of the real J. Peterman catalogue, too. He would  actually read the over-the-top item descriptions to his wife in bed. He  thanked O'Hurley for finally giving him a voice to use for the catalogue  readings. The fan was none other than Tom Hanks.   
Jerry's (Jerry Seinfeld) girlfriend's infamous "man hands" in episode 8.3, Seinfeld: The Bizarro Jerry (1996), were actually those of James Rekart.   
Seinfield's girlfriend has "man hands" Yikes!
Seinfield’s girlfriend has “man hands” Yikes! This is yet another classic show. It would probably be too politically incorrect today.
ABC Entertainment executive Lloyd Braun lent his name to a character appearing in three episodes, season five, episode seven, Seinfeld: The Non-Fat Yogurt (1993), season seven, episode ten, Seinfeld: The Gum (1995), and season nine, episode three, Seinfeld: The Serenity Now (1997), and is an old childhood neighbor and nemesis of George Costanza (Jason Alexander).   

Now, moving forward, let’s go back to Asia…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Thailand School

Here’s a cute music video of an elementary school in Thailand…

Videos about the Protests in HK

All these (so called) “pro-democracy” protests in Hong Kong get a lot of air-play in the United States. I can’t but help that they are instigated by Donald Trump and his CIA operatives to stir up and instigate some “backyard” conflict for China. You know, just like how the CIA agitated the protests the last time Obama visited HK.

The American news media, whether it is CNN, or (even) Rush Limbaugh all parrot the same line.

They parrot the same old narrative; [1] It’s organic and “grass roots”, [2] all they want is “freedom” and “democracy”, and [3] that they are fighting against Communism. The videos and movies on you-tube all support this narrative. It’s a nice narrative, and everyone in the USA is repeating this.

The only thing is…

The narrative is nonsense.

What do they actually want? Well, for one thing, they want universal suffrage. You know where everyone can vote, with no age limits, or limits on the number of times that you can vote, or whether you are a citizen or not. Pretty radical stuff.

Sounds like the American democrat platform, I’ll tell you what…

While Hong Kong is part of China, it operates autonomously. It has it’s own laws, and own systems, and own ways of doing things. Many of which are freakishly different from the mainland Chinese way.

So… very… predictable… How do we know? It’s all recorded in the history books. You all should read them some time.

SJW

And, this is what happens when no one puts their foot down and squashes the SJW movement…

Link

Tear down the Chinese Flag

One of the often promoted videos is how the “Chinese people” joyfully tore down the Chinese flag in Hong Kong. Then they discarded it into the water, and danced around joyously.

What they don’t tell you is what happened afterwards…

Residents are sick of the SJW Kids

Almost all of the protesters are Social Justice Warriors in their early 20’s attending school or otherwise unemployed. Their desire for social upheaval is disruptive to the crowded Chinese community, and the residents are rather fed up with their protests and the interruptions in their lives.

Just like how the black-clad Antifa stopped traffic, and broke apart stores and ships, these SJW troops are doing the same thing. The only thing is, in America they did it in enclaves where the local leadership wanted them to protest and supported the protests. Say Berkley and Baltimore, for example. Not in places where they would get shot. Like in Pittsburgh and Cleveland. That is the big difference between China and the USA.

The Chinese do not accept this kind of nonsense. So they fight back as these two videos indicate.

First, we have a pissed off mother. (Though she is blaming Japan for initiating all the violence.)

Then we have some pissed off office workers…

You will note that this narrative is not promoted in the Western press. At best they might mention that “Pro-Chinese” people lash back at the protesters. But what is really happening is that shop owners, workers, people going to work, and street vendors are all really pissed off that their nice orderly life is being torn apart by these kids.

Hands are NOT tied…

The Chinese government is not ham-strung by an aggressive media propaganda machine, and public opinion. They have lived through numerous SJW uprisings and they know what can happen with they are not squelched rapidly and forcefully.

People die.

President Xi Peng saw this personally… up front and up close. He will NOT permit to happen on his watch. No way. No how.

If you don’t know what I am referring to, then read this article (opens up in a separate window.).

When the SJW movement took control of China

To this end, they have practiced, planned, and have worked on solutions. Here is one such video on what to expect if the local HK government fails to contain the radical Social Justice Warrior elements…

Make no mistake. The Chinese government will shoot to kill if order is not stabilized soon.

Make no mistake. The Chinese take these protests as a very serious threat, and they are prepared to fight against the protesters.

And while I can show you the reader, video after video of Chinese SWAT and paramilitary forces training inside Hong Kong in riot and capture duties, perhaps this recent video of HK police can convince you that the Chinese do NOT mess around…

I have many more videos, but I just cannot put them into a single post. It will bog down your computer terribly. So to watch the rest of the videos in this post, please continue…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some fun videos of Asia; to include China, Thailand, Vietnam, and Japan. (Part 10)

Here we continue on our video exploration of Asia. But first, before we begin, let’s take a look at the picture splash at the top of the page. It is from the movie called “The Patriot”. It’s a great movie, and a large degree of effort went into making it as accurate as possible.

The jumble of events in The Patriot, for example, were created by making Gibson’s character a composite of no less than 4 real people! So many of the events of the film were very loosely inspired by true facts, but then changed and altered to fit the needs of the dramatic story the screenwriter was looking to tell. 

-Quora
Screen shot from the movie The Patriot.
Screen shot from the movie The Patriot. There are those that claim that this fictional movie is not accurate enough for their tastes. Well of course not! It’s a fiction for Pete’s sake. Then as all progressive liberals, they point out one inaccuracy and then claim the entire film is inaccurate. It’s the black and white, the all or nothing. The need to ban peanut butter because less than 0.0005% of children have an allergy. All or nothing. It’s the Marxist way, don’t you know.

Accuracy. Though you would never realize that if you used the internet to research the making of the movie. The “accuracy” of the movie is then put into question because it is a fictional work, when people are actually asking questions about such period events as…

  • Tying the boyfriend into a sack when he slept overnight.
  • Young boys being able to shoot guns.
  • The role of the Loyalists in the colonies.
  • The role of the German mercenaries during the war.
The accuracy of the movie The Patriot.
If you read the internet you will discover that this fictional movie is not accurate. The main characters, aside from one or two historical figures never existed. You will discover that all the more conservative elements were all nonsense, and that the American colonies lived in peace and the Revolutionary War was a mistake made by tax dodgers and swindlers. Well, that is the impression you will get if you used the internet to get your information from. It is thus no question why so many millennial youth hate the United States.

However, you would never get that impression by reading all the anti-accuracy posts on Google and Bing. In fact, you can plot the opinions on the accuracy of the film on two axes. One by political leaning / ideology, and two degree of accuracy. When you do so you get a chart that sort of looks like this…

Plot of opinionated accuracy relative to the movie "The Patriot" by political ideology of the writer of the article.
Plot of opinionated accuracy relative to the movie “The Patriot” by political ideology of the writer of the article.

Of course, to see the “Right Wing” opinions, you need to go to pages 50+ in both Google and Bing. The highest rated opinions are found on liberal platforms, of which are moved to the very front of the search results.

Curious. Don’t believe me. Read for yourself.

Now back to Asia…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Two year old Crawls onto porch

This is another sad video.

This happened in my city of Zhuhai in July 2019. The mother checked on the baby, and he was asleep. So she went next door to buy some vegetables. Apparently the two-year old got up and thought it was a good idea to crawl onto the porch on the 6th floor in the high rise.

He reached up and climbed over and above the glass balustrade rail, then got on the outside and slipped…

People watched in horror and filmed it in real time as people rushed up to the apartment and tried to break in to rescue the baby. But they were too late.

Now a happy ending…

As shocking as that is, it is not uncommon.

Many parents absolutely MUST put fencing on their balconies or run the risk of a child getting into trouble. Here we see what happens when a child tries to crawl through the protective bars…

A happy ending.

Donald Trump visits NK

It’s hard to imagine that the news media in the USA would make a bigger deal than what came out of China. China was ecstatic when Donald Trump was in Korea. Though the American mainstream media picked it apart and made fun of it.

They thought it was dangerous, risky and unpresidential.

The American conservative press thought of it as a good thing, however…

American conservative reaction to Trump visiting North Korea.
American conservative reaction to Trump visiting North Korea. In general, it was viewed very positively.

Of course, that is in the balkanized United States.

In China, when Donald Trump visited North Korea, he was loudly promoted as a man who was going to bring calm reason and stability to Asia.

Indeed, it’s a mjor big change from the jokes and laughable behavior of the Obama’s and Clinton’s.

Archery in China

Yeah, this is how it’s done Chinese style. I also like the eight-point buck on the wall in the background.

Oh, and by the way, in many parts of China you don’t need a permit to hunt. You just go forth and hunt to your heart’s content. However, if you do abuse that privilege, like trying to round up 300 does (female deer) and instigate some kind of mass slaughter, the police will find a reason to arrest you.

And, getting arrested will be the least of your problems…

American Awesomeness in China

The Air Force bomber B2 is an amazing piece of equipment. For decades it was kept secret and hidden from the public. Even when it was announced publicly, all photos of it, and it’s operation were kept secret and hidden.

That was the case up until Obama became President, and he immediately removed the shroud and cloak of secrecy around many of America’s weapon systems. One of which was the B2 bomber.

Now, today, the Chinese people are well acquainted with this amazing plane as all sorts of videos can attest to.

Snail Races

Yup. It’s a thing. Who’d figure?

I don’t where this is. I do not think it is in China, but the video does come from Asia. Looks like English or Russians enjoying the race.


I have many more videos, but I just cannot put them into a single post. It will bog down your computer terribly. So to watch the rest of the videos in this post, please continue…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some fun videos of Asia; to include China, Thailand, Vietnam, and Japan. (Part 9)

Now about the image in the post splash above. This is a scene from the most excellent movie “Unbreakable”. I really love this movie because it is about a man who needs to find his purpose in life. It turns out that he must be the super hero.

 Elijah Price: Why is it, do you think, that of all the professions in the world you chose protection?
 
 David Dunn: You are a very strange man. 

 Elijah Price: You could have been a tax accountant. You could have owned your own gym.  You could have opened a chain of restaurants. You could've done of ten  thousand things, but in the end, you chose to protect people. *You* made  that decision, and I find that very, very interesting.      
Unbreakable movie
With regards to the story, Mr. Shyamalan and his crew have constructed something so rich in visual texture while managing to keep the story subdued and character development full of deep-seated anticipation. Every plot point came perfectly without any extra connotations that usually creep into a story such as this (super heroes?). Without any melodrama both Bruce Willis and Samuel L. Jackson give very authentic performances that help the film keep its “Any Town USA” and “Average Joe Six-pack” feel very much alive.

This is a brilliant movie, and it asks many of the very same questions that many men ask themselves when they hit their middle to late 30’s. You can call it the “male menopause” or something else, but what it is rather a reflection of life’s purpose.

 Joseph Dunn: I thought maybe because you're my dad... I thought I might be like you... I'm not like you... 

 David Dunn: You are like me. We can both get hurt. I'm just an ordinary man. 

 Joseph Dunn: No, you're not... Why do you keep saying that?      

No man is ordinary.

Moving on…

Hey! Look what I found in my e-mail today!

Ah. It’s not going away. I received this e-mail on 5AUG19.

Yup! It’s a social justice warrior that is so proud of her censorship efforts. She censors art. She makes the determination of what is good or bad art by way of political ideology. Imagine that.

Read her email to me…

SJW censorship

I am so very sure that Idi Amin, Heir Hitler, Joseph Stalin and Chairman Mao would be so proud of her censorship. But then again, this “virtue signalling” isn’t likely to do much aside from landing her a job in a liberal institution where, of course, she would have to endure the casting couch job interview. It’s the Marxist technique. (Just being historical, ya all.)

Of course, I am revolted by this.

Typical Marxist behavior.
Nazi book and art burning. They believed that you needed to purify thought and only have ideologically pure art and literature. Just like all Marxists.

So she judges art.

yes.

She JUDGES art.

Not good enough
It’s difficult to be an artist in the new progressive reality where the Marxist’s all control the levels of power, and the gateways for success. This is a work by conservative Dan F. Gerhartz. Not a progressive darling. Unfortunately his works will fade into obscurity while nonsensical works like oil stained Christian statues will be given priority in modern progressive metropolitan areas.

That is her profession and that is what she studies. However, she does not understand art. Instead she understands Marxist ideology. Nothing else.

In her mind, you are either a sunny and happy progressive, or you are a cockroach that needs to be exterminated. Don’t believe me? Reread her email above.

In her mind, you are either a good little communist, or you are nothing. You become vermin that must be eradicated from the planet.

These people are dangerous.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Rufus the good Samaritan

This little video takes place in China.

I named the fellow here Rufus. Why? Because that was the name of the man that helped Jesus carry the cross up the side of the mountain. Rufus.

Rufus. red, the son of Simon the Cyrenian ( Mark 15:21), whom the Roman  soldiers compelled to carry the cross on which our Lord was  crucified. Probably it is the same person who is again mentioned in  Romans 16:13 as a disciple at Rome, whose mother also was a Christian  held in esteem by the apostle. Mark mentions him along with his brother  Alexander as persons well known to his readers.

-Bible Dictionary

Of course, in today’s hyper politically correct internet, you won’t find any of this information if you look up the name of Rufus. Instead you will find something like this…

The meaning of the word Rufus as found on the Internet.
The meaning of the word Rufus as found on the Internet.

Detailed, supposedly factual, but devoid of useful content. Rufus is the name of the man that helped Jesus carry the cross. The English forms that were present in 1909 has exactly zero relevance in 2019.

You need to know and understand just how serious the rewriting of history is being colored by the tyranny of California software mega-corporations.

Here, let’s watch a micro-video about another Rufus in China. You go man. Rufus, you are my hero.

Cool Flooring

In China, the technology is mature that permits large scale moving videos of anything placed anywhere. You can see it on the sides of buildings, on ceilings, on walls and on the floors. Here’s a really great application of one such LED display showing moving waves and beach sand on a KTV or restaurant floor.

Now, something terribly sad…

This happened in Shenzhen. It shocks me to my core.

Map of Shenzhen, and Hong Kong.
Here is the map of Shenzhen and Hong Kong. Shenzhen has 14 million citizens, and Hong Kong only has 8 million people. To put that into perspective, New York city has 6 million people. There is a constant flow of people in and out of HK though SZ. As many people who live and work in Hong Kong, actually have homes and residences inside Shenzhen.

A mother is walking her son home through a market. High above them, in one of the skyscrapers, a window pops out of the wall, and falls at least 20 floors below. That’s a long… long… long… fall.

Crash!

One minute you are alive. The next minute you are dead.

One minute you are alive.

The next minute you are dead.

Do not take your life for granted. Enjoy every minute as if it is a full treasure. Cherish it. Enjoy it. Savor everything about your life.

Savor your life.
Do not take your life for granted. Enjoy every minute as if it is a full treasure. Cherish it. Enjoy it. Savor everything about your life.

Savor everything about your life.

Please don’t take things, and people for granted. They are special. When your dog wants to kiss you on the lips, give him a big hug and rub his tummy. When your kitty wants you to pick him up… come on do so. What’s the problem?

They just want some loving…from YOU.

Viking Kitty.
Viking kitty just wants to spend some time with you. What are you going to do? Watch CNN instead? Mow the lawn instead? Nah. People and creatures are important. They enrich our life. Treasure them.

Live life well…

Live. It. Well.

Well.

Life is too short not to enjoy some fine BBQ.
Life is too short not to enjoy some fine BBQ. Enjoy it with some friends. And don’t forget the icy cold beer to share with it. Life is far too short not to spend it with friends and loved ones.

Live life well. Live it like it is your last.

Live it like it will be your last.

Treasure the time now.

Smile at those loved ones around you.

Life is short. Live it well.
Life is short. Live it well.

Life is short. Often too short. Please, live it well.

Public Transport – Japan

Yeah. I guess the monorail is popular in Japan.

It seemed to me that I once saw a Simpson’s episode regarding the monorail. It depicted it as some kind of scam that investors used to fleece the tax-paying public with.

His evil plan.
His evil plan.
"Marge vs. the Monorail" is the twelfth episode in the fourth season of the American animated television series The Simpsons.  It originally aired on the Fox network in the United States on January  14, 1993. The plot revolves around Springfield's impulse purchase of a  faulty monorail from a conman. The episode was written by Conan O'Brien and directed by Rich Moore. 

-Wikipedia

Anyways, in Japan they use monorails quite readily.

Pretty good rule of thumb: the best episodes usually show a flagrant  contempt for the town's citizens (a lot like South Park). In this case,  the use of a $3 million windfall a mass transit boondoggle rather than  much-needed street repairs. On the other hand, don't you wish town halls  can be settled so easily with Phil Hartman and a big musical number?  The jokes are hopelessly cynical (read: hysterical) and even Leonard  Nimoy gets in on the fun. The whole thing's a treat. 

Box Recognition Technology

Ah. I think it’s cool. I like this. I do not think that it is being used in the USA today though it is pretty commonly used all over China. I guess that the USA has some catching up to do.

I have many more videos, but I just cannot put them into a single post. It will bog down your computer terribly. So to watch the rest of the videos in this post, please continue…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some fun videos of Asia; to include China, Thailand, Vietnam, and Japan. (Part 7)

Thank you for continuing on this video adventure into Asia. But firstly, let’s spend a few moments to talk about the photo splash (above). It’s a screen shot from the glorious movie “Bedazzled”. It’s all about a man who meets the devil. She grants him seven wishes in exchange for his soul.

You’ve got seven wishes. Choose carefully.

"You can't sell your soul. It doesn't really belong to you in the first  place. No way, nohow. It belongs to God—that universal spirit that  animates and binds all things in existence."

-Great quote from the movie "Bedazzled".

The main character, a dweeb named Elliot, desperately wants to change his life (also being unduly influenced by a girl) inspired him to sign over his soul to the devil. In exchange she gave him seven wishes. Each wish, he uses to create a different life for himself.

We can see that right?

Elliot becomes a rich ang powerful drug lord.
What would you wish for? Elliot wanted more control over his life. He thought that money and power would provide that. So the devil gave it to him. Elliot becomes a rich and powerful drug lord.

Things aren’t going well, we want to change things. We made mistakes in our past, we wish to undo them. We missed out on opportunities or completely revamp our life into something different. You know, like Elliot does…

 Inmate: What are you in for, brother?
 Elliot: Eternity.

 Inmate: Oooh. You must've done some really bad shit.
 Elliot: The worst— I sold my soul.

 Inmate: Well, I hope you got something good for it.
 Elliot: Actually, I got nothing for it.

 Inmate: Sounds like a really bad deal, if you ask me.
 Elliot: [glances over] I'm not asking you.

 Inmate: Doesn't matter. You can't sell your soul. It doesn't really belong to you in the first place. No way, no how.
 Elliot: Is that so? Then who does it belong to?

 Inmate: [looks straight at Elliot] It belongs to God:  that universal spirit that animates and binds all things in existence.  The Devil's gonna try and confuse you, but that's her gig. In the end,  you're gonna see clear to who you are and what you're here to do. Now,  you're gonna make some mistakes along the way. Everybody does. But if  you just open up your heart, and open up your mind, you'll get it.
 Elliot: Who are you?

 Inmate: Just a friend brother. Just a really good friend. 

There are great things all around us. What we need to start doing is to CONTROL OUR THOUGHTS. We must turn off all those bad influences that abound around us, and yes that most certainly means the news. What a piece of horse shit. I swear to God.

Look around you. The world is a truly beautiful place…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Just some rain

Yes, it’s just some rain. But, it’s (you know) glorious.

Do you remember coming in from the rain when you were young. You would get home and your mother would peel off your wet clothes. She would rub you dry and maybe make you a cup of hot coco with little white marshmallows. Or maybe a nice warm bowl of tomato soup with a melted cheese sandwich.

Delicious tomato soup and grilled cheese sandwich.
Delicious tomato soup and grilled cheese sandwich. As wonderful and delicious as this this, it is the people that we spend our moments with, and the great times that we share that makes our heart soar. Our emotions generate thoughts and those thoughts create our reality. Treasure those moments, for they are often fleeting.

Yes. You don’t remember the rain so much. But you do remember the times that you spent with someone who you love.

He stood before the yellow door. The printed letters over it said THE SUN DOME. He put his numb hand up to feel it. Then he twisted the doorknob and stumbled in. He stood for a moment looking about. Behind him the rain whirled at the door. Ahead of him, upon a low table, stood a silver pot of hot chocolate, steaming, and a cup, full, with a marshmallow in it. An beside that, on another tray, stood thick sandwiches of rich chicken meat and fresh-cut tomatoes and green onions. And on a rod just before his eyes was a great thick green Turkish towel, and a bin in which to throw wet clothes, and, to his right, a small cubicle in which heat rays might dry you instantly. And upon a chair, a fresh change of uniform, waiting for anyone—himself, or any lost one—to make use of it. And farther over, coffee in steaming copper urns, and a phonograph from which music was playing quietly, and books bound in red and brown leather. And near the books a cot, a soft deep cot upon which one might lie, exposed and bare, to drink in the rays of the one great bright thing which dominated the long room. 

-The Long Rain
Link

Please do great things with your life…

Here is a blind girl hailing a DD. This is the Chinese version of Uber. He asks her where she wants to go. Then she asks how much, and he says 30 yuan. She agrees and he then helps her get in the car.

Because she is blind she cannot pay using the cellphone like most Chinese people do. So she asks how much. And then show him a wad of cash for him to take what he is owed.

Then he does something really special…

People, just be the best you can be. Be kind to animals, and people who need help. That’s the American way. Never forget that that is how REAL Americans behave.

You might notice that the bricks that comprise the sidewalk are in different shapes and colors. This is the norm in China. In China those yellow bricks are used by blind people to make their way through the large complex world that is China. It’s not that they are yellow, but rather they have a raised surface that blind people can use to get around with.

Young Love – Chinese Style

You know, the one thing that I really love about Asia is that young people go to school work hard, and then fall in love. They wait. And because of this, they tend to be very sweet when it comes to romance.

Floor Installation

Most homes in China have solid stone or wood flooring. It is extremely rare to have carpet covering particle board flooring. Here is a video of how the stone tiles are laid down. It’s interesting.

Anyways, I thought that it was very interesting. That is how it is done. Don’t you know.

You know, after a hard day of floor installation, there is nothing finer than a nice hearty meal and a tall frosty glass of ice cold beer. I am sure that the fellows in the video would appreciate it as well. Here’s a nice photo of some grilled cheese to inspire you.

Delicious and tasty grilled cheese sandwich.
Delicious and tasty grilled cheese sandwich. It would go great with some hot tomato soup and a nice tall glass of frosty icy beer. Maybe a delicious Budweiser, or PBR. I’ll tell you what.

All over the world are roads. Roads that exist and call out your name. Roads that you should travel upon. Roads that can lead you to adventure, and if you are fortunate…romance.

Rural Road in China

This is very typical. Please take note on the condition of the road. Note the amount of litter on the side of the road. Note the conditions of the building and the general care that the local government takes in maintaining public systems, roads and utilities.

Once the Chinese government established the crime and corruption police, there was a drastic and marked increase in the overall care and maintenance of public structures. It was very noticeable.

I wonder why.

Having Fun with Friends – China

OK. This is just a nice fun video. I guess that the point of this is to enjoy life. Everyone else is. So stop reading the bullshit news and being so serious. Let your hair down and start enjoying life.

I have many more videos, but I just cannot put them into a single post. It will bog down your computer terribly. So to watch the rest of the videos in this post, please continue…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some fun videos of Asia; to include China, Thailand, Vietnam, and Japan. (Part 6)

Let’s continue on our adventure into Asia, but first let’s chat a little bit more about the splash photo above.

Image is from the movie Kelly's Heroes. Let me tell you that that is a fine, fine movie to watch with family on a lazy August afternoon. Just get some icy cold beer and enjoy.

Here’s a quote from the movie to wet your appetite…

Kelly's Heroes Quote 1

Here’s another quote with a pic for your entertainment. Ah. What a great movie!

Kellys Heroes 2

OK. Now let’s continue on our exploration of Asia. First stop, China and how they build houses.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

How Houses are Built in China…

Houses in China are built quite differently than how they are built in the United States. Instead of a concrete slab with a wood-frame pole structure with particleboard floors and wall to wall carpet with drywall, the Chinese build out of cement.

The create a strong cement structure and lay down polished stone over it. Here we see a guy making a small house (LOL)…

A really small house.

And people, that’s exactly how it’s done.

Sappy Vietnam MV video

What? You think that music videos only exist in the United States? Nope. Every nation has them. Just like every nation… every single one… has their very own local bands and local music.

You should listen to some of them out of Australia, and Poland. Pretty darn awesome! I’ll tell you what.

Here’s an older video from Vietnam. I place it being made sometime during the 1990’s. You know when Bill Clinton didn’t have sex with that intern. LOL!

Nice to have in the car…

Back to China.

This is a pretty nice innovation. You inflate it by plugging it into your cigarette lighter. If you have an American car, the chances are that it won’t have a cigarette lighter plug. You can thank the progressive democrats for that “improvement”.

Link

No problem. You can inflate it by blowing air inside the mattress. However, it might take a while. Truth. It might take you an half an hour or so using that method.

Don’t fret though. China makes tons of little micro air pumps that operate off of the USB. So you can either use your automobile USB socket or bring your laptop into the car and inflate the sofa using that plug.

You see just imagine the kinds of fun that you can have in a little subcompact with this kind of sofa mattress. You can keep the toddlers occupied. You can let the dog stretch out. You can kick back and take a nap during lunch, or maybe play with that girl you find rather fetching.

Maybe like this fine lass…

Nice Chinese girl and George Clooney doesn’t even notice…

Yeah. Big Hollywood names get their big pictures plastered all over Asia. It’s part of the Marketing strategy to saturate the commercial markets with images of the well-known and famous.

Don’t ya know.

Night Market (in the daytime) – Vietnam

Vietnam has changed quite a bit since the 1960’s. And yet in other ways it hasn’t changed at all. I mean the mountains are still there, and all the hub-bub about “climate change” the weather still is pretty much the same as what it has been for centuries. The lush banyan (forever) trees are still there. The birds still sing their songs, and the air is all pretty moist and hot.

Time change. People, cultures and society changes. However, people are still people. Boys are still boys and girls are still girls, and that progressive narrative about there being 65 different kinds of genders is nothing more than the ramblings of a crazy person that is socially incapable of fitting within society.

Pretty Girls in Vietnam from the 1960's.
Pretty girls still exist in Vietnam. Here is a dated vintage photo of a pretty Vietnam lass taken in the 1960’s. She is wearing a cute western dress, rather than the more traditional Vietnam dress and hat.

Here’s a nice vintage photo of a Vietnamese food market. Look at it. Study it. Cool huh? Notice the tin can to the far right of the photograph. Looks like the American people wanted to help the Vietnamese, and tell them so in English…

But, only one thing… They speak Vietnamese, French and Chinese in Vietnam. Not English. At least not at that time. So, what’s the purpose?

Look. Study the picture. Note the placement of the tin can. Note the quality of the photograph.

What’s in the photo? Breads. Rolls. Donated butter. Donated oil. Tins of sardines. Not typical Vietnamese fare. Oh, yes they do eat rolls, and baguettes. It’s the French influence, don’t ya know. They do use butter. But the preponderance within one photo tends to be a little deceiving.

American media for ya.

Notice the can in the Vietnamese food market.
A vintage photo of a 1960’s Vietnamese food market. Notice the tin on the far left of the picture. The words on it says that the tin was donated by the United States people. Pretty cool, except for one thing. It’s in English. In Vietnam at that time they spoke and read only three languages. They were Vietnamese, Chinese and French. It seems that all that English writing is for propaganda purposes. Propaganda, not for the Vietnamese people, but rather for the Americans who would look at the photo from the American mainstream media.

Oh, but don’t be confused.

They eat fine delicious food in Vietnam. And many of it is though a strong French influence. There is a restaurant in Taiwan that makes fantastic Vietnam food, I’ll tell you what.

Delicious Vietnam food in Taiwan.
From the article; My first bite into Nuong’s bánh mì thịt evoked in me a sensation that could only be described as “same same, but different” in comparison with bánh mì in Vietnam. It was delicious, especially the perfect firmness of the Vietnamese ham. In a way, the sandwich was very similar to a typical bánh mì in Saigon, yet quite different. For starters, the pickles had a different tanginess to them, owing to the use of non-Vietnamese vinegar, which is similar to the condiment you might add to your hủ tiếu somewhere in District 5. The unorthodox addition of authentic Vietnamese-style char siu made the combination pleasantly sweet and chewier. But the starkest differences were in its main components. Source.

Here’s a modern micro-video of contemporaneous rural Vietnam and the food market there. Now wouldn’t you all want to have one of these Po’ Boy / Grinder / Submarine what’s ya call it’s… fresh from the market counter here?

Oh, and speaking about the fine Vietnamese food experience, here is another photo

Delicious Vietnam food with a French influence.
From the article; “Taiwanese flour is so different. It’s sweeter and chewier,” she explains. Her “baguettes” are coated with vegetable oil almost immediately after baking — the secret to their crunchiness after being toasted a second time. Different varieties of bánh mì are made to order when customers appear. Lò Bánh Mì Pasteur’s homemade pâté is several shades darker than those in Vietnam. “The Taiwanese like eating jiànkāng [healthy], [so] we don’t use preservatives. That’s what makes the commercial pâté so pinkish,” she adds. Source.

I have many more videos, but I just cannot put them into a single post. It will bog down your computer terribly. So to watch the rest of the videos in this post, please continue…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some fun videos of Asia; to include China, Thailand, Vietnam, and Japan. (Part 5)

Thank you all for keeping with me and following this video narrative and (sort of) political editorial through humor, adventure and audio visual exploration. But first, let’s explore the picture splash at the top of this page.

About the picture splash above; this is a screen capture of a scene from the movie Roxanne.  The large-nosed fireman C.D. Bales is in love with the beautiful Roxanne. Ah, but alas, she falls for his personality but another man's looks.              
Roxanne

This is an old movie that I used to have on Betamax.

I thought it was cute, but not really memorable. However, when rewatching the move, I swear, it took me back to a far simpler time in America. A time of smaller communities, and far less problems. For that trip down nostalgia lane… it is worth the watch.

Sometimes movies and pictures can take us back to a simpler and better time. One that is free of many of the worries and concerns that have been foistered upon us within our reality.
Sometimes movies and pictures can take us back to a simpler and better time. One that is free of many of the worries and concerns that have been fostered upon us within our reality. Like this photo for instance. The family goes out to watch a football game in cool fall air. The housewife prepares some warm coffee and sandwiches for the boys. Simpler times and simpler solutions. Movies and pictures can take us back to those times.

Ok. Now for the micro-videos and dialog…

Welcome to Beijing

Everyone knows about Beijing, in fact, many Americans when asked what cities are in China, they will only be able to name but one or two. Beijing being the first. With Shanghai a distant second.

Here’s Beijing. And yes, outside of the dust-storm season, many days do actually look a little like this… blue skies. I can see those readers just shaking their heads. They tell me, that it is ALWAYS full of terrible air pollution. You can see, they argue, all you need to do is perform a Google image search for Beijing.

Yup. That’s right. Go straight to the largest propaganda outlet in the United States to get your answers – Google.

But, really… Why stop there, how about Snopes?

Snopes

Here’s a pollution profile that I just screen grabbed for Beijing as I write this.

Beijing Air pollution index
Beijing Air pollution index. Taken 2AUG19.

And here is one for Los Angles. Again, it’s just a screen grab that I took just a few seconds ago…

Los Angles Air Pollution index 2AUG19.
Los Angles Air Pollution index 2AUG19.

Hum. It seems that the Air Pollution index for Beijing and Los Angles, at least on 2AUG19, were the same. Imagine that!

Who’d figure, given the near relentless breathless reporting on how bad pollution is in China, these days.

Nonsense.

This is what Beijing looks like, ya all…

American, and British, media will take pictures of the smoggiest days in Beijing. If they can’t get the weather to cooperate, then they will use a very smoggy photo out of their photo files.

Beijing does have smog, and the weather can be nasty at times. Much of it is a combination of particulate matters from the deserts (which create intense Sahara-style dust storms) and Winter related smoke from coal-fired furnaces in the cold-cold weather.

However, it is not ALWAYS like that.

Just like not every American gets FREE healthcare. FREE medicine. FREE homes, FREE cell phones, FREE cars. Nope. America was not called the “land of the free”, because of all the FREE stuff you would get.

It was called that for other reasons.

Reasons that no longer exist.

Check out some of the cities in the rest of China, why don’t ya. If you would believe those trolls on the Internet forums, China is one filthy dirty, smoggy place. With only America being the most beautiful spot int he world.

Guangzhou Air Pollution 2AUG19
Guangzhou Air Pollution 2AUG19
Hong Kong Air Pollution 2AUG19
Hong Kong Air Pollution 2AUG19
Yangzhou Air Pollution 2AUG19
Yangzhou Air Pollution 2AUG19

Take note…

Comparatively, with say Los Angles, the smog is Beijing is far easier to deal with. Masks are very sufficient to remove the gritty particles out of the air. You can use a HEPA air purifier to keep your office and home nice. However, no such luck on LA. That is a different kind of smog. It’s particulate level micro-beads of acid. It attacks the eyes, the nostrils and the mouth.

I have found that most American news is nonsense. It is designed to manipulate you. It is designed to keep you living in some kind of a box. Part of which is controlled by fear, and constant reassurances that you have it better than everyone else as long as you stay in your box.

American Propiganda.
American Propaganda; “Since the beginning of this year, the levels of air pollution in Beijing have been dangerously high, with thick clouds of smog chasing people indoors, disrupting air travel, and affecting the health of millions. The past two weeks have been especially bad — at one point the pollution level measured 40 times recommended safety levels. Authorities are taking short-term measures to combat the current crisis, shutting down some factories and limiting government auto usage. However, long-term solutions seem distant, as China’s use of coal continues to rise, and the government remains slow to acknowledge and address the problems. “

From The Atlantic.

What you all need to do is make comparisons ON YOUR OWN. Don’t listen to the news, and even don’t listen to me. Just you go to Beijing, yourself, and if you think it has terrible pollution, then believe it. Otherwise, don’t.

Automobile Problems – Thailand

Let’s go to Thailand.

Beautiful Thailand.
America isn’t the only place that has beauty. It exists all over the world. It really does. Just like Detroit is not a typical American city that represents what America looks like, nor does the images of other nations as portrayed in American media. Here is a beautiful Thailand beach.

I really like Thailand, but many of the rural roads are not paved, and just simple dirt. Not even gravel. that can cause problems for vehicles during the rainy season. As this poor fella has discovered much to his dismay.

Primitive, yes. But, bad… not so.

While we are in Thailand, let’s head out a little further East and go to rural Vietnam…

But let’s realize that just because the American mainstream media hasn’t been reporting on Vietnam for fifty years, the country still exists. It grows, people live and die. Things progress forward and change. It is not frozen in time, like many Americans seem to think that it is…

Siagon in the 1960's.
Saigon in the 1960’s. Vietnam has changed substantially over the years, and many Americans would not recognize it if their only experiences outside of America is through the American mainstream media.

Of course, Vietnam today is not anything like Americans remember it from the media narrative in the 1960’s. We need to look at the world with new eyes. Eyes that are not colored or flavored by the American mainstream press narrative.

Chicken Noodle Soup – Vietnam

This is modern, contemporaneous Vietnam. Here we have a rural village. (And I do like the rural areas of South-East Asia.)

With that, I would like to post some historical pictures of Vietnam during the 1960’s when America first decided to have a war there. And, while I am at it, let me remind everyone that while many Americans were quite patriotic, they could not understand why thousands of Americans would need to die in a far off land. They argued that the ONLY people who would benefit from such a war was the rich oligarchy.

Historically, we now know that they were ABSOLUTELY correct.

Does this picture strike a bell?

Americans refusing to fight in Vietnam.
Americans refusing to fight in Vietnam. Looking back, the ONLY people who benefited from the war in Vietnam were the wealthy that had stocks and power within the military-industrial environment. This was something that the Johnson Presidency cultivated, and now today has grown into such an unwieldy monster. You do realize that today 2AUG19, America is currently fighting eight (x8) military shooting wars all over the world. Let me ask you, how are YOU personally benefiting?

This is the Vietnam that Americans had to fight (and protect) for (you know) mah democracy…

Life in Vietnam in the 1960's when America first started to get involved there.
Life in Vietnam in the 1960’s when America first started to get involved there. This is obviously outside a cafe or a restaurant.

I am of the opinion that the wealthy oligarchy in the United States couldn’t give a rat’s ass about the people in Vietnam, or the brave Americans that fought, became crippled or died over there.

All they cared about was their own personal profits. You know, like how the American software giants in Google, Facebook, and Twitter care about YOU today.

They do not.

They don’t give a rat’s ass about you, and think nothing about squashing you, your opinions, your thoughts and your life. They have absolute disdain for you, your culture and your family, and would squash your life out like they would step on a cockroach. they despise you.

Look at what the American rich did in Vietnam…

Killed so some wealthy Americans could make money.
Pretty couple riding their moped in Vietnam. This was in the 1960’s when America was first getting involved in the Vietnam conflict. Apparently the wealthy felt that the deaths of a couple thousand Americans, and many thousands of Vietnam citizens were of no consequence if they could be able to by another mansion.

Well, we did send troops to Vietnam.

Boeing 727 under guard.
Political and business VIP’s would visit Vietnam from time to time to see how things were progressing. They would always return back to America and demand more and more money…. you know, for the cause. Though it was just a way for them to cycle the money into their own pockets. Evil fucking bastards. Here we have one of their aircraft being watched by military personnel.

Many of whom died. Those that came back didn’t like what America had become. So they “dropped out”. They started to take drugs and get involved in non-social activities.

See what happens when the corrupt are permitted to rule over a nation. Everything becomes distorted and corrupted. Nations with long histories, such as China, know that crime and corruption can alter the face of a people in terrible, twisted and bad ways. So they try to do things to change all this.

Here’s some photos of the Vietnam military forces today. Yes they do want to trade with the United States. It’s a new generation, in a new nation. Let’s hope that everyone realizes just how important and precious life is, and the need to ONLY FIGHT to defend your family and way of life.

Modern Vietnam military.
Modern Vietnam military.

Exploring a Park in China

Ah. Sorry for being so serious.

It’s just that I really hate injustices, and I get really angry when people use their wealth to hurt others. And, I do not care what it is. Hurt is hurt. Whether it is erasing all of someone’s posts on social media, or bribing a politician to send another 30,000 solders to fight a war where many will get killed.

Wealth corrupts.

Here is China. There are many parks in China and many are very lovely.

I am of the very strong belief that a wide selection of different experiences, shared with others, causes us to think different. Since thoughts create our reality, that is how we grow.

We grow physically, socially, emotionally, and spiritually though our thoughts.

Which is sort of why this post-sequence is all about shutting off the American media propaganda, getting out of the fear-cage that many Americans sit within and go forth and explore. You will discover, as I have, that many of us are quite similar, but it is our differences that should be enjoyed and savored.

As I am sure that this American serviceman discovered when dating his pretty Vietnam girlfriend…

Vietnam in the 1960s.
A United States soldier in Vietnam with his pretty Vietnamese girlfriend. It is the similarities that attract us to each other, and the differences that enable us to grow.

Water Festival – Thailand

Let’s take a look at the water festival in Thailand.

The Water Festival is the New Year's celebrations that take place in Southeast Asian nations such as Cambodia, Laos, Myanmar, and Thailand as well as among the Dai people of China. 

It is called the 'Water Festival' by Westerners because they notice people splashing or pouring water at one another as part of the cleansing ritual to welcome the New Year. 

Traditionally people gently sprinkled water on one another as a sign of respect, but as the new year falls during the hottest month in South East Asia, many people end up dousing strangers and passersby in vehicles in boisterous celebration. The act of pouring water is also a show of blessings and good wishes. It is believed that on this Water Festival, everything old must be thrown away, or it will bring the owner bad luck. 

-Wikipedia

Ah, some links if you are at all interested…

Small Community on the coast -China

Let’s take a look at some of the non-big city coastal areas in China. As you must know, there are thousands of these communities. All much, much larger than many American cities.

They all sort of resemble Miami or Fort Lauderdale beaches, more or less. As like this…

I have many more videos, but I just cannot put them into a single post. It will bog down your computer terribly. So to watch the rest of the videos in this post, please continue…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some fun videos of Asia; to include China, Thailand, Vietnam, and Japan. (Part 4)

Thank you for continuing on my micro-video narrative. But first, let’s chat a little bit about the splash screen photo above.

About the splash-screen photo above. It's a screen shot of the movie "Kelly's Heroes". It's a World War II movie made in the 1960's that is loosely based upon the actual events during the war. 

You see, as Germany was collapsing, a bunch of Americans wanted to go ahead and seize all the gold that Hitler had squirreled away. It's a great movie, and the pop song "Burning Bridges" came from that movie.

You only have to mention the film ‘Kelly’s Heroes’ and within seconds everyone is firing off quotes from the film – our non WWII friends really do look at you as if you are  some type of weird sandwich…

Kelly's Hero screen shot.
Hey! Don’t you go giving me off any of those bad waves.

Has to be one of the best war films of all time. So here is a challenge – how many of these quotes can you remember? And how many can you get into a conversation today…

Let’s start with…

Quotes from Kelly's Heroes

Anyways, go ahead and chat with your friends. See how many remember the movie Kelly’s Heroes. It’s a great conversation starter. Sure better than talking about the new female 007 who is going to rock the movie world this year.

Not!

Just look at this pathetic picture. I look and I see that the producers are seriously Jonesing for a Michelle Obama (or Oprah Winfrey) leadership role to pave the way for a 2024 Presidential Election candidate. (Wanna bet this isn’t the case?)

Black Female British Actor Lashana Lynch to Play New '007 Agent'
Black Female British Actor Lashana Lynch to Play New ‘007 Agent’. Hint. She’s a diversity hire. (wink wink). Can’t wait to watch the latest James Bond flick… not!

Yeah. Just like they made so many Hollywood movies with female Presidents that looked markedly like Hillary Clinton during the last ten years. It’s ground work to prep the American population subconsciously.

Now…

I argue that the significance of this role placement is associated with the r/K survival strategy which pretty much establishes a Marxist social dominance within America under the r survival strategy. It’s all pretty obvious to those of us who are paying attention.

If you haven’t a clue as to what I am talking about, then check out this link…

r/K selection theory

So, when you have a society (like the “great society”) giving away all sorts of free-things (you know, to “eliminate” poverty), you end up with a society of abundance. People can live off the dole. They don’t have to work. They don’t have to compete. They don’t have to survive. They turn in to r-strategists.

Now, back to Asia…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Puddle Jumper

One of the things that I really like about the world (not just Asia) is flying in “puddle jumpers” into the smaller more rural airports and visiting the countryside. Here is a small micro-video about flying into the Tachiletk airport near Thailand.

tachileik
Adventures may or may not come with a map. But no matter what, enjoy yourself and savor your experiences.

That’s what adventure is all about.

Here is a photo that kind of tells you where Tachileik is located. It is in Myanmar near Northern Thailand. (It is near Myanmar and near Maesai, Thailand.) It is a religious place with temples and mosques, as well as monasteries and pagodas.

Tachileik
Tachileik, near Maesai Thailand. Seriously, wouldn’t you like to visit this place?

Oh, yeah. Speaking about travel, let’s inject another great quote from the great movie Kelly’s Heroes. I mean, why not?

Here's some quotes from the great movie "Kelly's Heroes".

I mean. So many positive waves. Maybe we can’t lose!

That’s one of the advantages of travel. So many positive waves.

Return of the Monkey King

Sun Wukong, the Monkey King, is a melee agility hero,  best known for his slippery nature and his ability to do Mischief,  deceiving his enemies by turning into trees and other objects. Armed  with his magic extending staff, the Monkey King slams the ground with Boundless Strikes, and leaps to the treetops to have advantage over his foes with Tree Dance.

-Wikipedia 

Now, the Monkey King is a big thing in China. Everyone knows about the Monkey King and admires it. But for me, as an American, I don’t see the big deal. I think that it is a cultural thing. Like about how Jarts, and click-clacks were popular in the 1970s’.

You remember those eh?

“At Least He Didn’t Have Access To Jarts” Say Authorities Of Florida School Shooter
“At Least He Didn’t Have Access To Jarts” Say Authorities Of Florida School Shooter. No kidding. That’s what the detectives said.
 Trying to extract some positive from the horror in Florida, local  authorities reflected today on how much more carnage the school shooter  could have caused were it not for sensible regulations.

 “At least he didn’t have access to Jarts because they are thankfully banned in this country.”

 The outlawed lawn toys feature sharp metal tips designed to stick  into the ground when the Jart is lobbed underhand causing it to fall in a  large parabolic arc. 

 “This could have been so much worse.”
 
When  asked what could be done to prevent tragedies like this happening  again, they say they are staying focused on making sure this is as bad  as it gets.

 “Our number one priority right now is to ensure the Jart ban  isn’t repealed, and, beyond that, we are taking a serious look at banana  peels.”

-Breaking Bourgh
     

Ah, cultures can be so interesting.

Link

Anyways, back to the Monkey King…

Check out this short micro-video about the Monkey King…

OK, now after connecting Jarts to the Monkey King, and Kelly’s Heroes with those positive waves in Northern Thailand, let’s talk about kitties…

Ah. But first, look at what I discovered…

Cheetos are being changed so that they will not resemble President Trump.

Now for the kitties after looking at bright lime green Cheetos…

Butting Heads with the Kitty Store Owner

I do think that many cat lovers might appreciate this micro-video…

This is in China, and no, it’s really quite rare for the Chinese to eat pets like dogs and cats. That’s one of the lies propagated by the American mainstream media. They want to keep you all huddled, isolated and afraid of others.

They want you to sit inside your house, work as a serf, pay your taxes and obey your “betters”.

The River Runs Through it…

Speaking about China. Here’s a nice video of a small rural town in the hills. I guess that you could say this is a little like San Louis Obispo in California. Only the Chinese are far more religious than those progressives in California. Look at the hill. There you can see lighted Buddhist statuary.

I like this video.

This video reminds me of how nice small towns can look like when the politicians are being policed by the “corruption police” and are unable to “game the system”, “funnel money” away from projects, and generally abuse their positions. You know, like they do in the United States.

Hey! You do know what I am talking about don’t you?

Rush talks about inner city corruption.

Yeah. Crime and corruption was pretty bad in China up until 2013, when roving militarized “corruption police” started their crack-downs on corruption. Anyone who says that China is full of corruption today is a fucking idiot. (Or barring that, just horribly misinformed.)

It is nothing like it was.

China is VERY SERIOUS about corruption and crime. They know that the nation cannot survive unless they are able to take control over the high-level corruption that has made China famous two decades ago. So they declared war on corruption. But no, this wasn’t like they do in the USA, where enormous sums of money are dished out to “influencers” and “blue panels of experts”.

Nope.

Targets are identified, systems are put in place. Evil people are rounded up. Many are tortured and incarcerated. Some are killed.

Today, most Chinese are petrified of tangling with the Corruption Police. Arrest means their lives are effectively over, both literally and figuratively.

Corruption Police Arrest
One of China’s most-wanted fugitives suspected of bribery was brought home on Friday from the Republic of Guinea, following cooperation between the two countries’ law enforcement departments. Pei Jianqiang, 48, former director of import and export department of China Enterprise International Cooperation Co, was suspected of bribery and fled in November, 2009.

China launched a “Sky Net” campaign in April, 2015, with aims to bring back 100 suspects who were accused of economic crimes and have fled overseas. Pei was listed as the No. 10 suspect. Law enforcement officials from China and Guinea collaborated on the investigation, which confirmed that Pei was hiding out in Conakry, capital of Guinea, and running a bath center.

Pei was arrested on Dec 25 and sent back to Beijing Friday afternoon.Operation Sky Net launched In December, Huang Yurong, former Party chief of Henan Provincial Highway Administration and another fugitive on the list, surrendered herself to the police and returned from the United States where she had fled in 2002.
China takes corruption very seriously.
(150509) — BEIJING, May 9, 2015 (Xinhua) — Chinese police escort Li Huabo (C), the second suspect from China’s “100 most wanted economic fugitives” list, upon his arrival at the Beijing Capital International Airport in Beijing, capital of China, May 9, 2015. Li was repatriated Saturday as part of operation “Sky Net”. The Sky Net campaign aims to return fugitives for trial. (Xinhua/Chen Yehua)(mcg)
Corruption Police arrest corrupt civil servant.
In January 2013, Hebei Xinhe County Police notified Guangxi Dongxing Municipal Police that between 2008 to 2011, the former Director of Baoding City Mancheng County Bureau of Land and Resource in Hebei Song Jianzhong used his power to illegally profit and collect 1.5 million RMB in bribes, and when faced investigated by the relevant departments fled to Vietnam with his mistress. Photo is of about 6pm February 5th, Mong Cai Municipal Police in Vietnam handing the suspects over to Guangxi Dongxing Municipal law enforcement officials. Picture by Zhuo Huang/CFP.
Corrupt offical arrested.
After over 20 days of investigation, in the afternoon of February 5th, Song and his mistress, Ren, appeared in Mong Cai, Vietnam. Mong Cai Police successfully arrested the two. In January 2013, Hebei Xinhe County Police notified Guangxi Dongxing Municipal Police that between 2008 to 2011, the former Director of Baoding City Mancheng County Bureau of Land and Resource in Hebei Song Jianzhong used his power to illegally profit and collect 1.5 million RMB in bribes, and when faced investigated by the relevant departments fled to Vietnam with his mistress. Photo is of about 6pm February 5th, Mong Cai Municipal Police in Vietnam handing the suspects over to Guangxi Dongxing Municipal law enforcement officials.

Corruption Police is exactly what America needs today.

Make no mistake. It’s Long…long… LONG overdue.

Anyways, back to the video, pay attention to how clean the streets are. Notice how nice the houses are. Corruption turns nice communities into Detroit, or Baltimore. Look, and pay attention.

When there is corruption, the buildings fall into disrepair. The streets fall apart and have potholes, and the general standard of life is very poor. You can ALWAYS judge the level of corruption in a non-industrial community by how clean and well taken cared for it is.

If things are not so well taken cared for, and there are funds earmarked for maintenance, then you know that the levels of corruption are rather enormous.

Savage in a Parking Garage

On a lighter note. Let’s go Savage in one of those hyper-clean underground parking garages in China. It’s a thing, and I quite like it; those super clean parking garages. In the USA, it’s all damp, bare cement with dimly lit lighting. Yuck.

It’s so refreshing to be in a place where public places are treated as worthy of respect.

Back in the day, Americans used to take pride in their public spaces. Trees were planted in parks to provide shade. Benches were placed, and painted every year. Picnic tables were set up and yearly repaired and maintained. Roads and bridges had yearly inspection teams, fully funded, and taken cared for.

America of the past.
There was once a time when being an American was something special. It was not spit upon by members of Congress. The moneys to repair public works were on the local, not Federal level, and everyone was part of a community that participated. Not isolated, and living in fear facing an electronic box.

That all ended during the progressive onslaught around 1913 or so. Then it became something different. It went from “America for all of us”, to “What’s mine is mine, and I’ll give you a little bit if you obey me.”.

Now, back to China garages…

Ah it’s so refreshing.

Sure beats what you see in America everyday. Here is a typical photo of an American woman. It doesn’t matter where you go in the Untied States, or what city you visit, you will always be able to easily find American women that look like this…

American beautiful woman.
American woman shopping in Walmart. I wonder where she works? What do you think she does for a living? Do you have any idea how well she performed in school, and what she must be like as a person? People! We owe it to ourselves to reverse the obvious progressive decline of the American society.

Jackie Ma

China is a land of merit. You either do your best or fail and suffer the consequences. Much like America used to be when it was first founded. As such, I want to put a plug in for Jackie Ma. For he represents what China is today.

Sort of how Hillary Clinton represents what America is today.

I have many more videos, but I just cannot put them into a single post. It will bog down your computer terribly. So to watch the rest of the videos in this post, please continue…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some fun videos of Asia; to include China, Thailand, Vietnam, and Japan. (Part 3)

About this photo splash. This is a scene from the classic 1980's movie "Better off Dead". Hey!, you know, if it's too hot outside, or it's raining, today might be a great opportunity to call some friends over, get a couple of cases of beer, and order some pizza and watch this grand old classic. What do ya think?

But first… speaking of the 1980’s classic movie… here’s a noteworthy review…

Movie review- better off dead.

Hey! Try this. You go into a restaurant where everyone is waiting for a table. Than, when things are kind of quiet, say very loudly “I WANT MY TWO DOLLARS!”

I guarantee that you will find other fans of this crazy movie, and get into some interesting conversations, and maybe… just maybe make some nice new friends in the process. Try it…

I want my two dollars.

Let’s continue forward, shall we…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Shenzhen Dogs

Seriously, the dogs of Shenzhen are treated quite differently than any where else on the planet. I don’t really know why, though I do have some (worthy of discussion) theories.

Now, most people reading this will not have a clue as to what is Shenzhen, or where it is. That’s understandable, given what the American media has devolved into. But it need not be difficult. Shenzhen is the Chinese city next to Hong Kong. It is like this…

Map of Shenzhen, and Hong Kong.
Here is the map of Shenzhen and Hong Kong. Shenzhen has 14 million citizens, and Hong Kong only has 8 million people. To put that into perspective, New York city has 6 million people. There is a constant flow of people in and out of HK though SZ. As many people who live and work in Hong Kong, actually have homes and residences inside Shenzhen.

Shenzhen is a very young city, and many people, before they have children will adopt dogs as pets. (And cats too. But, here we are talking about dogs.)

As such, Chinese culture enables them to treat their pets very specially. This can manifest as wearing clothes, hairdos, nail treatments, massages, and all sorts of special dog treats and toys. Now some have taken the more drastic steps in treating them like children, even training them to walk up right.

As this video attests…

I’ve got a ton load of movies of Shenzhen dogs. If you want me to post more of them, just send me a note. And, I’ll make up a complete post only on Chinese dogs.

Migrating Fish…

It’s tough being a fish. I don’t know what the story is on this, but it sure is interesting. Why are they taking this road? And, where do they expect to go to? Ah. It’s all a big mystery.

Oh, and a special message to the jack-off trolls. No, this is not photo-shopped. Why waste your time trying to convince me otherwise?

Passing the class…

It is tough being in a meritocracy. You have to get the best grades and do your best or else you WILL be begging in the streets. It’s the Chinese way.

Do your best, or suffer the consequences.

 The National College Entrance Examination, commonly known as Gaokao, is an academic examination held annually in the People's Republic of China. This Standardized test is a prerequisite for entrance into almost all higher education institutions at the undergraduate level. It is usually taken by students in their last year of senior high school, and there has been no age restriction since 2001. The exams last about nine hours over a period of two or three days, depending on the province.  

-Wikipedia

China has the yearly exam that determines the fate for millions of students. This exam covers everything, and does not award “diversity points” to “balance the score” in favor of protected classes of people like corrupt nations do.

With that introduction, you can now better understand this micro-video…

This is quite different than the United States where it is being instituted to permit racism, wealth-favoritism, and other ways to game the system.

racial discrimination by liberal universities against Asians.

Shanghai at night

Well, this is no big deal to me, but to a first time visitor, it makes a great impression. Shanghai is a modern, cutting-edge, beautiful Chinese city with large Western influences. If I would be so bold, I would say it is perhaps one of the most beautiful, cleanest, and most diverse cities on the planet. Most certainly better than London, Paris, or New York.

Today, if you want to graduate from the university and get into Banking or Finance, you migrate to Shanghai. It’s the odd man out that would instead go to Los Angeles, or Chicago to start a banking career.

Personally, while the Bund (the river with a nice wide walking boardwalk) is nice, I myself prefer the old city and the tight streets, the cool and interesting buildings, and the fine delicious night food that seems to be everywhere.

Rural Swimming Hole

This could be just about anywhere in Asia. Though, to me it looks a lot like Thailand or Vietnam. Water-holes and swimming areas are a natural environment that should be protected and cherished.

Boy in his tree house.
Whether it is a swimming hole, or a tree-house in an apple tree, people need to go forth and be out in nature. Oh, and by the way, don’t forget your friends, your pets, and some delicious food and drink. Icy cold beer is always a pleaser. Make sure that you remember to bring a bottle opener if you go the glass-bottle route.

I well remember how, in the 1960’s and the 1970’s, many (if not all) of the waterholes and ponds in Pennsylvania, Ohio and Indiana were being filled in, or paved over for “safety”. Oh, you know, “for the children”. Yet, was any children actually ever hurt? Nope. Just an excuse used by the timid and fearful to control others…

If you can take your grandchildren, or children, or friends to a watering hole in the United States, then you should consider yourself lucky and truly fortunate. These gems are becoming rarer with each passing day.

In the mean time, spend more time outdoors and spend time with the people that mean things to you. Life is far too short not to.

I have many more videos, but I just cannot put them into a single post. It will bog down your computer terribly. So to watch the rest of the videos in this post, please continue…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Some fun videos of Asia; to include China, Thailand, Vietnam, and Japan.

Oh, about the image splash. That's Vincent price in Dr. Goldfoot and the bikini machine. Yeah, you see, he's turned all these girl robots into bombs. Just your typical 1960's era Hollywood fare.

It’s all about having FUN.

By request, I’m posting some fun videos of what is going on in the rest of the world. You can turn off Drudge, CNN, MSNBC and the rest of all that nonsense. Here’s what the rest of the world are up to.

So grab a seat.

Pour yourself a big bowl of Doritos and some salsa. (Don’t forget the tomatoes, and onions, and delicious cheddar cheese.) Make sure that you are well equipped with frosty mugs of delicious beer (oh, and BTW, never scrimp on the beer. If, it’s not worthy of the money, it’s not worthy of drinking), and settle down.

Oh, and don’t forget your dog or cat, or both if you are anything like me. Make sure that there is enough room for them to squeeze in besides you. Relax. Light up.

I’ve selected some of the more unusual micro-videos from my collection. Some are really entertaining, while others are well… just really strange.

Watch them or not.

Just recognize that our world is a great and wonderful place. It is filled with wonderful, great people, and it is our differences from each other that should be appreciated and treasured, not our bland sameness. Let the sheep be like everyone else. Be the odd-man-out that follows a different beat.

Be who you are.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

First up, let’s see a micro-video that kind of looks a little bit like me. Well, in style, anyways. And, no let’s not get all too deep about this subject matter.

We are all just having fun playing around.

He’s just like me!

Let’s start with this cool little video, that I jokenly refer to as a reflection of my life. LOL. It’s close… sort of. It’s a collection of clips from one of the top Chinese movies of this season.

The theme that runs through Chinese movies is pretty much [1] massive success after [2] tremendous study and preparation. (Yah. I’ve got tons, just tons, of micro-videos on these theme.)

That differs from many of the themes that you can find in Hollywood. You know the type. You are gifted with luck beyond your control. A meteor falls from the sky and you get magical powers. Or you are bit by a radioactive spider and become enhanced, or you are granted special abilities at birth, or some other kind of nonsense. Hollywood loves to produce those kinds of movies; “the world is outside your ability to control. But you can somehow… in some way… be magically transformed. Somehow…”

I guess is a difference between a traditional meritocracy, and a progressive utopia.

Guess which is which.

  • China = Traditional, Single-political party, Conservative.
  • USA = Progressive, forward thinking, Social utopia.

Anyways, I love his fashion sense, his cigars, and diving into the pool with all those cute bikini clad chicks. I can easily see myself wearing those fashionable plaid pants, the green sports jacket, and his polyester attire.

Can’t you?

Not to mention being surrounded by bikini clad ladies. Life is too short not to be surrounded by cute attractive women. Don’t you think? (At least, that’s my take on this matter.)

Oh, and don’t forget the pizza, beer, wine and lots and lots of music…

And, here’s another video that is a reflection of the kinds of movies coming out of China these days…

Having the beat…

Well, you asked. You see, everyone needs to dance to their own beat. And maybe you don’t know how to dance, heck you can still move your neck muscles around, eh?

Maybe a bit of explanation is in order. You will note that they are wearing traditional Han clothing. This is a regular thing in China. You honor tradition and you keep the memories alive of the past. You treasure statues, monuments, and traditions.

Again, that is what traditional conservative nations do. They honor their past.

Progressive enlightened nations tear down the past. They ignore or rewrite the past to fit a more “enlightened” understanding. Since China is very traditional, and extremely conservative, you can see this being reflected in their movies made within China.

This is the top movie in China this season…

Yeah. I don’t get it either.

Now who wouldn’t want to have a crew of nappy well-dressed mobsters wearing fedoras (and top hats) and carrying axes. Especially when they perform line dance routines to fight the opposition? I can relate. Can’t you?

Maybe this is how you deal with all that mob violence in cities like Chicago, Detroit, San Francisco or Baltimore. You know the places… those liberal progressive utopias where everyone is equal, just and happy!

Rap God

How about being a Rap God? They’ve got them in China, don’t ya know. It’s sort of like this. Hey! Can you rap in English and Chinese simultaneously?

I feel like I am getting a little too sidetracked on movies.

Let’s check out some other unknowns. Let’s check out the cool and beautiful islands.

Chinese Islands

Everyone knows about the thousands of islands in Thailand and Vietnam. What many people don’t know is that China has many, many thousands of islands as well. All of which are sitting right there waiting for you to explore and enjoy.

And people… that’s what you do.

Let’s continue forward, shall we… Ugh.

I have many more videos, but I just cannot put them into a single post. It will bog down your computer terribly. So to watch the rest of the videos in this post, please continue. The arrow will flush this page’s cache (sort of) and allow you to check out some more cool micro-videos.

I’ve got stuff on all kinds of things. From the HK riots, to eating, drinking, and pretty girls, to sudsy cluster parties. Ho Ya Baby!

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

When Hollywood was capable of making decent movies; The Abominable Dr. Phibes (1971)

Here is a movie that I loved as a kid. There are people who think that it is a piece of Satanic propaganda. I disagree. I just think that it is a chunky tongue in cheek, campy, pseudo horror flick from the 1970’s.

Here’s my take on this masterpiece of camp.

They just don’t make ‘em like The Abominable Dr. Phibes* anymore. In fact, they just don’t make ‘em like Vincent Price  anymore, either. Dr. Phibes, first off, is the definitive role that  Vincent Price was born to play, and second off, is firmly embedded in a  different time. He belongs to the era of 1950s EC Comics horror titles  such as “Tales from the Crypt,” “The Vault of Horror,” and “Weird  Fantasy.” 

-All Horror

A Satanic Movie?

Well, well. It turns out that the Church of Satan founder Anton Szandor LaVey has claimed that the main character in this Vincent Price film was based on him.

I would have never even associated Vincent Price with any kind or works of Satan. He was, after all, just an actor who played Satanic roles to the “T”.

The Abominable Dr. Phibes is a 1971 British dark comedy horror film, produced by Ronald S. Dunas and Louis M. Heyward, directed by Robert Fuest, written by William Goldstein and James Whiton, and starring Vincent Price and Joseph Cotten. 

Its art deco sets, dark humour, and performance by Price have made the film and its sequel Dr. Phibes Rises Again cult classics. 

-Wikipedia.

Anyways, this character’s name is Dr. Anton Phibes and he’s an organist, researcher, medical doctor, biblical scholar and ex-vaudevillian who has created a clockwork band of robot musicians to play old standards at his whim.

Now, in hindsight, seeing as how nearly all of these things match up with Satanist LaVey, I can kind of see his point. Kinda.

Though, this movie isn’t going to be useful for recruiting anyone to follow Satan, I’m afraid.

“I won’t do another Phibes film unless Robert Fuest directs it. He’s the only person in the world who is mad enough to direct the Dr. Phibes films. He’s a genuine, registered nut! He even looks like a madman. He’s all over the place, like an unmade bed. What imagination he has. They were all his ideas…. Bob has never done anything that was nearly as good as the Dr. Phibes films.”
— Vincent Price, 1979

The Movie

Dr. Phibes main ballroom.
The film begins with a dark figure playing gothic music on a huge pipe organ. The audience for this recital of sorts seems to be having a wonderful time…until you look a bit closer. They are all mannequins seated in positions that give the illusion that they are enjoying themselves in a lavish nightclub. Then we see the first appearance of Vulnavia (Virginia North). Though she never speaks a word through the entire movie, Vulvania has quite an impact. Vulnavia and the organ player proceed through a highly ritualized chain of events, gliding through loading an automobile with a large box, driving to a swank part of London and arriving at a large British mansion.

The sets in this movie are amazing and lavish.

This movie is one I can’t be quiet about. It’s one of the strangest and most delightful films I’ve ever seen.

Dr. Phibes (his particular field is never given) is an underground  aristocrat in early 20th-century London, who is bereaved of his late  wife Victoria after a fatal car crash. Phibes himself is also presumed  dead by the authorities, since his own car went off a cliff when he was  en route to his wife. Victoria died on the operating table, the doctors  unable to help her, and now Dr. Phibes has sworn vengeance against the  doctors he blames for his wife’s death.

So what, he’s going to  hire lawyers and sue for malpractice? Oh no, much too common. He’s going  to kill them off one by one! To do so, he’s going to hatch contrived  murder traps based (very loosely) upon the ten plagues of Egypt  mentioned in both the Quran and the Bible. What, do you expect him to  take a gun and shoot them, like a bourgeois commoner? Nope, his traps  involve several species of animal, in between intricate mechanical  devices that must have cost a fortune to research and manufacture for  this single use. He also has a pendant necklace for each victim, which  he will hang around a wax bust of its target after a successful kill and  melt with a blowtorch. 

 -All Horror 

Dr. Anton Phibes died in Switzerland, racing back home upon hearing the news that his beloved bridge Victoria (an uncredited Caroline Munro) had died during surgery.

The abominable Dr. Phibes 2
On team Phibes, we have his mute but fashionable assistant, Vulnavia (Virginia North). When she isn’t running errands for Dr. Phibes transporting cages of deadly animals around London, she’s dancing up a storm with him in his underground ballroom or providing moral support playing a violin that’s color-coordinated to match her current outfit.

The truth is that Phibes has survived, scarred beyond belief and unable to speak, but alive. He uses all of the skills that he’s mastered to rebuild his face and approximate a human voice.

Oh yeah. Aside from all that, he also may or may not be a tad bit insane.

The abominable Dr. Phibes 3
The police, led by Inspector Trout (Peter Jeffrey), keep a stiff upper British lip as they scurry around England trying to put together clues to all the steampunk devices and menagerie of exotic creatures. They’re pretty far behind the mad Dr. Phibes and don’t catch up very quickly. The targeted doctors themselves aren’t exactly elusive prey either, as they’re all dupes who spend more time nurturing obsessions with stag movies or model trains than taking the police warnings seriously. When caught, they have a tendency to sit politely and accept their deaths rather than do something so un-British-like as get up and run away, because they haven’t been excused.

Now, Phibes believes that the doctors who operated on his wife were incompetent and therefore must pay for their insolence. So he does what anyone else would do: visit the Biblical ten plagues of Egypt on every single one of them.

Now people, listen up! That’s how you get revenge, and do it properly.

The Tale of the Killdozer.

Phibes is, of course, played by Vincent Price. No one else could handle this role. Or this movie.

There’s hardly any dialogue for the first ten minutes of the movie. Instead, there are long musical numbers of Phibes and his clockwork band playing old standards. In fact, Phibes doesn’t speak for the first 32 minutes of the movie.

Anyone who asks questions like “Why?” and says things like “This movie makes no sense” will be dealt with accordingly.

The abominable Dr. Phibes 4
But we haven’t gotten around to Phibes himself yet! He’s disfigured from his own accident, so he wears a mask that bears a remarkable resemblance to Vincent Price, and he’s rendered unable to speak, so he has to plug a huge-horned Victrola into a cord on the side of his neck and mime along with his own dubbed dialogue. He completes this eccentric performance by being a fashionable man-about-town, and his disfigurement doesn’t stop him from having unbounded pride in his appearance, as his face is plastered as a logo on the walls of his mansion and even the tinted windows of his car.

After the first few murders, Inspector Trout gets on the case. He becomes Phibes’ main antagonist for this and the following film, trying to prove that all of these murders — the doctors and nurse who had been on the team of Dr. Vesalius (Joseph Cotten!) — are connected.

Phibes then stays one step ahead of the police, murdering everyone with bees, snow, a unicorn statue, locusts and rats, sometimes even right next to where the cops have staked him out.

The abominable Dr. Phibes 5
Dr Phibes spends his free time playing an organ in his underground lair, accompanied by a whole orchestra of automatons dubbed “Dr. Phibes Clockwork Wizards.” His other pursuits include delivering rambling eulogies to his late wife, to whom he has built a shrine. In a career with some serious ham and cheese in it, Dr. Phibes is one of Vincent Price’s hammiest roles!

Vulnavia

Dr. Phibes is assisted by the lovely Vulnavia. We’re never informed that she’s a robot, but the opinion of others, she actually is. Both she and the doctor are the most fashion-forward of all revenge killers I’ve seen outside of Meiko Kaji and Christina Lindberg.

Writer William Goldstein wrote Vulnavia as another clockwork robot with a wind-up key in her neck. Fuest thought that Phibes demanded a more mobile assistant, so he made her human, yet one with a blank face and mechanical body movements.

 "Easy does it. I think it's a left-handed thread." 

— Policeman unscrewing a victim impaled by a unicorn horn,  The Abominable Dr. Phibes 

I still like to think that she’s a machine, particularly because she returns in the next film after her demise here. Also — Fuest rewrote nearly the entire script.

The abominable Dr. Phibes 6
The Abominable Dr. Phibes is a cult classic today, even amongst the non-horror muggles. The film plays a strident note in between camp and grotesque, with art-deco sets and baroque flourishes everywhere. While there isn’t much to the story beyond “madman kills people in obsessive revenge,” the style trumps the substance. One minor quibble is that the scenes involving animals, in those pre-CGI days, didn’t get the animals full cooperation and seem to be more cuddly than threatening. And of course, the whole thing is dated and intentionally corny, so if you like your horror serious, this isn’t the film for you.

The Key to the Heart

After killing off everyone else — sorry Terry-Thomas! — Phibes kidnaps Dr. Vesalius’ son and implants a key inside his heart that will unlock the boy. However, if the doctor doesn’t finish the surgery on his son in six minutes — the same amount of time he had spent trying to save Phibes’ wife — acid will rain down and kill both he and his boy.

Against all odds, Vesalius is successful.

Dr Phibes -misc
The Abominable Dr Phibes is a camp masterpiece. It has a sublime elegance – what other film could offer up a scene where a man’s blood is drained to the accompaniment of a woman in furs standing outside playing a melancholic violin solo. There is such a droll sense of humor at work here – like the moment Vincent Price’s deformed title doctor pours a glass of champagne and then tips it up to his neck to drink, or puts a finger dipped in the vegetable juice to his neck to taste the flavor. There is the joyously droll moment where Maurice Kaufman is impaled against the wall on the horn of a unicorn head fired from a cannon, with the bumbling police then having to unscrew the body from the wall, while arguing over which way the thread of the horn’s screw runs.

But… Poor Vulnavia.

Vulnavia, in the middle of destroying Phibes’ clockwork orchestra, is sprayed by the acid and killed while the doctor himself replaces his blood with a special fluid and lies down to eternal sleep with his wife, happy that he has had his revenge.

The abominable Dr. Phibes 8
The Abominable Dr Phibes is a camp masterpiece. It has a sublime elegance – what other film could offer up a scene where a man’s blood is drained to the accompaniment of a woman in furs standing outside playing a melancholic violin solo. There is such a droll sense of humor at work here – like the moment Vincent Price’s deformed title doctor pours a glass of champagne and then tips it up to his neck to drink, or puts a finger dipped in the vegetable juice to his neck to taste the flavor. There is the joyously droll moment where Maurice Kaufman is impaled against the wall on the horn of a unicorn head fired from a cannon, with the bumbling police then having to unscrew the body from the wall, while arguing over which way the thread of the horn’s screw runs.

The Ten Plagues

If you’re interested, the ten plagues Phibes unleashes are:

  • Blood: He drains all of Dr. Longstreet’s blood
  • Frogs: He uses a mechanical frog mask to kill Dr. Hargreaves at a costume party
  • Bats: A more cinematic plague than lice from the Biblical plagues, Phibes uses these airborne rodents to kill Dr. Dunwoody
  • Rats: Again, better than flies, rats overwhelm Dr. Kitaj and cause his plane to crash
  • Pestilence: This one is a leap, but the unicorn head that kills Dr. Whitcombe qualifies
  • Boils: Professor Thornton is stung to death by bees
  • Hail: Dr. Hedgepath is frozen by an ice machine
  • Locusts: The nurse is devoured by them thanks to an ingenious trap
  • Darkness: Phibes joins his wife in eternal rest during a solar eclipse
  • Death of the firstborn: Phibes kidnaps and the son of Dr. Vesalius

I love that this movie appears lost in time. While set in the 1920’s, many of the songs weren’t released until the 1940’s. Also, Phibes has working robots and high technology, despite the era the film is set in.

The abominable Dr. Phibes 7
Vincent Price gives the best performance of his life as a madman, seemingly trapped inside his own face, all the time delivering hilariously flowery eulogies to his dead wife via speaker-phone. There is that marvelously wicked little chuckle he gives, sitting sniffing a daisy as he watches one victim go down in a plane. He’s perfect. The scenes in the house as Price and the lovely never-speaking Virginia North sweep across the ballroom floor, amid painted Art Deco cycloramas and a clockwork orchestra have a beautiful, elegant sophistication. There is also a superb score.

There’s nothing quite like this movie. I encourage you to take the rest of the day off and savor it.

A Satanic Film?

How does Phibes live up to being a Satanic film? In my opinion, Phibes embodies one of the nine Satanic statements to its utmost: Satan represents vengeance instead of turning the other cheek.

Indeed, the men and woman whose negligence led to the loss of Phibes’ wife were never punished. Phibes had to become their judge, jury and yes, destroyer.

The abominable Dr. Phibes 10
If you are planning on spending some time this weekend watching a movie, whether in the comfort of your own company, or with a sweetheart or friend, I’d like to recommend The Abominable Dr. Phibes for your evening’s selection. In this cult horror classic directed by Robert Fruest, screen legend Vincent Price is the eponymous Dr. Phibes, a reclusive genius who can build incredible musical automatons, play a mean organ, waltz till the cows come home, and still manage to exact his carefully cultivated plan of vengeance, all while wearing great capes.

On the other hand — or hoof, as it were — Phibes is the exact antithesis of the ninth Satanic sin, Lack of Aesthetics, which states that “an eye for beauty, for balance, is an essential Satanic tool and must be applied for greatest magical effectiveness.

It’s not what’s supposed to be pleasing—it’s what is.

Aesthetics is a personal thing, reflective of one’s own nature, but there are universally pleasing and harmonious configurations that should not be denied.” So much of what makes this film is that Phibes’ musical art is just as essential as his demented nature and abilities.

Music is the core of his soul, not just revenge.

Vulnavia from the first Dr. Phibes movie.
If you are planning on spending some time this weekend watching a movie, whether in the comfort of your own company, or with a sweetheart or friend, I’d like to recommend The Abominable Dr. Phibes for your evening’s selection. In this cult horror classic directed by Robert Fruest, screen legend Vincent Price is the eponymous Dr. Phibes, a reclusive genius who can build incredible musical automatons, play a mean organ, waltz till the cows come home, and still manage to exact his carefully cultivated plan of vengeance, all while wearing great capes. Assisted by his stylish, silent accomplice Vulnavia (Virginia North), Phibes carries out murderous revenge, styled (if a little loosely) after the Ten Plagues of Egypt, on the various medical professionals he believes botched his wife’s medical treatment and caused her untimely death.

Back to Dr. Anton LaVey

Another point of view comes from Draconis Blackthorne of the Sinister Screen: “This is an aesthetically-beauteous film, replete with Satanic architecture as well as ideology. Those who know will recognize these subtle and sometimes rather blatant displays.

Obviously, to those familiar with the life of our Founder, there are several parallels between the Dr. Anton Phibes character and that of Dr. Anton LaVey – they even share the same first name, and certain propensities.”

So maybe it is a kind of homage to Satanist Dr. Anton LaVey.

Conclusion

Homage or not, it’s a great movie, and a fun watch. It’s not like anyone is going to be seduced to the dark side by this movie. It’s just plain campy fun.

 This film is an intriguing tale of revenge. The sets are “70s  spectacular” and the performances by Price and North are extraordinary.  There are a few elements that really make this horror movie work:

 • The murders are done in very creative and ingenious ways, using intricate devices and techniques. (Somebody watched The Abominable Dr Phibes before writing the horror movie Saw I’m sure)

 • Vincent Price pulls no punches in his over-the-top portrayal of the  good doctor, and makes him believable, as only Vincent Price could.

 • Humor and levity intermix with horror and intrigue, and this rescues The Abominable Dr Phibes from being a total cheese-fest.

 • The style and, well, “bigness” of the visuals, characters and music  result in this not just being a great Vincent Price movie, but a work  of art where every element fits together just right.

 The Abominable Dr Phibes showcases the brilliance of 70s  style and of the mastery of Vincent Price. Many of the younger folks may  have missed him altogether, which is a shame. I do think, though, that  one of the best contributions that the freak-show Michael Jackson has  made to the world is introducing Vincent Price to a whole new generation  of horror-buffs by using his voice in the pop music hit “Thriller” from  the 80s. Now, watch The Abominable Dr Phibes and REALLY get a taste of what made this man great. 

-Horror Freak News

Some cool links

Torrent Links

You can watch it for free if you don’t mind waiting a half an hour to half a day to download the torrent.

For those of you who are unaware. Torrents are parts of files that are spread out in tiny packets all over the internet. You use a "Bit Torrent" client to vacuum up all those little bits and pieces of the file. It then assembles the file into a movie that you can watch. The time that this takes can vary from a few minutes to weeks depending on how popular or obscure your searched file is.

You will need an application to manage the download. I recommend the free application VUZE. To download the video is thus easy. Install VUZE, and then click on one of the following torrent links.

Depending on where you live, you might not have the freedom to access these sites and the ISP might block them from access, or the search engines might black out their search results. Americans, in particular, might have some real problems. Therefore, I listed the most accessible torrent sites available to Americans. Pirate Bay and 1337X. I think that Kick Ass Torrents is still blocked for all Americans.

Google and Bing will most certainly block certain websites, and avoid others at the request of the United States government. From “Uncle Sam’s” point of view, you go after the “low handing fruit” that the vast bulk of Americans use. Then ridicule the outliers as “misfits”, “deplorables”, and “Nazi’s”.

Kick Ass Torrents
The international website “Kick Ass Torrents” was seized by the Department of Homeland Security. The reason being that they offered royalty free downloads of copyrighted movies and music. Thanks to President Obama, visiting any of these websites is a federal crime that Americans are forbidden to visit. Even for a nano-second.

As far as privacy is concerned, Bing will alter the behavior of the Search Engine if you live in the EU.

Movies that Inspired Me

Here are some movies that I consider noteworthy and worth a view. Enjoy.

The Seventh Voyage of Sinbad.
Jason and the Argonauts
The Golden Voyage of Sinbad (1973)

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury
Job: A Comedy of Justice (Full Text) by Robert Heinlein
Spell my name with an "S" by Isaac Asimov
The Proud Robot (Full Text)
The Time Locker
Not the First (Full Text) by A.E. van Vogt

My Poetry

My Kitten Knows

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

When Hollywood still knew how to make movies; The Golden Voyage of Sinbad (1973)

I have composed a number of posts that involved special effects by Ray Harryhausen. I listed them simply because, as a boy, the visuals and the adventure that was portrayed in the movies greatly appealed to me. They influenced me. Which was something that is most certainly lacking in the latest Hollywood fare. (That is, unless you are an LGBT with an inferiority complex.)

Here, I want to discuss another of his great works. The Golden Voyage of Sinbad.

Sinbad and his crew intercept a homunculus carrying a golden tablet. Koura, the creator of the homunculus and practitioner of evil magic, wants the tablet back and pursues Sinbad. Meanwhile Sinbad meets the Vizier who has another part of the interlocking golden map, and they mount a quest across the seas to solve the riddle of the map, accompanied by a slave girl with a mysterious tattoo of an eye on her  palm. They encounter strange beasts, tempests, and the dark interference of Koura along the way.

-AVXHM  
The golden voyage of Sinbad.
The golden voyage of Sinbad is a classic in itself. It too held claim to all sorts of creative monsters, some huge, some multi-limbed, some that flew, and others that were magical. All of which were amazing to me.

The Movie

It all starts to unravel when Sinbad fires an arrow at a strange creature that flies over his ship.

As the creature dodges the arrow, it ends up dropping an amulet it is carrying. Let me pause here for a second. A strange creature? It’s carrying a magic (we suppose, after all what other purpose would an amulet have) amulet, which it drops, and Sinbad gathers up.

Kali
Kali is a multi-armed creature creation that Sinbad must battle with. You can well imagine the problems and issues that you must contend with when dealing with a six armed purple creature.

Sinbad makes landfall, and almost immediately meets an evil sorcerer. We know he is evil because he immediately engages Sinbad in fisticuffs. His attempts to forcibly take the amulet from Sinbad is rebuffed.

The sorcerer’s name is Koura. He’s a fellow that you don’t want to get tangled up with.

So Sinbad seeks out a safe haven, and is eventually granted refuge by the benevolent ruler of the city, known as the Grand Vizier. This fellow too has tangled up with Koura. For today he has been forced to hide his face behind a beaten gold mask. You see, his face is all terribly disfigured after Koura burnt it away with a fireball.

Golden Voyage of Sinbad.
Check out the slave girl that tags along with Sinbad. Yowsa! ( Actress Caroline Munro ) This is how she spends the majority of The Golden Voyage of Sinbad (Gordon Hessler, 1973). Yet, despite the ludicrous neckline and the constant layer of oil/sweat she still manages to draw your eyes up and away from her chest and towards her eyes. It takes a lot of presence to up-stage that bust, but Munro had it by the ton.

The Vizier shows Sinbad a companion amulet and the drawing of a third one. All three amulets form a map that leads to a fountain of youth on the island of Lemuria.

Harryhausen’s creations include the winged, miniature homunculus; an  ensorcelled figurehead that tears itself loose from Sinbad’s ship; a  one-eyed centaur; a gryphon that guards the Fountain of Destiny; and,  most impressively, a six-armed statue of Kali which performs an Indian  dance before dueling against Sinbad’s men with six swords. 

It’s really  the Kali sequence that makes this such a memorable film. 

With his  typical attention to detail, Harryhausen hired an Indian dancer (Surya  Kumari, also a noted actress and singer) to choreograph and perform as  Kali with one of her students strapped to her back. 

The dance was then  scored with Indian musicians, and the sudden switch in flavor (as our  ears have already been conditioned to an hour or so of Rózsa’s romantic  adventure music) is in synch with the charged, magical atmosphere of the  statue coming to life. 

For the swordfight, nearly as elaborate as the  celebrated skeleton battle in Jason and the Argonauts, stunt  choreographer Fernando Poggi tied three of his men together to rehearse  the action with the actors, then removed themselves and let the actors  shadow-box before the cameras, with Harryhausen’s Kali to be added  later. 

It’s a showstopping fight and, it must be said, far more rousing  than the typical poke-with-spears action that so many Harryhausen action  scenes become (or, in fact, the earlier scene with the ship’s  figurehead). It’s one for the highlight reels. 

 -Midnight Only 

With the complete amulet, The Grand Vizier will be able to stop Koura’s ravages on the kingdom. And so Sinbad and the Vizier set sail on an expedition to Lemuria.

Caroline Munro
Listen up. After Caroline Munro was in a 007 film, she entered in one of my all time (yes my absolute all time) favorite cult movies. That’s right. Caroline’s first big role was in 1971, opposite Horror legend Vincent Price in “The Abominable Dr. Phibes” – playing the deceased Mrs. Victoria Regina Phibes. What a woman. What an actress!

However, Koura desires the amulet too. As all bad guys learn sooner or later, there is a price when using dark magic. His use of the amulet has taken it’s tool. For each time he used it, a little bit of life was stolen from him. Thus, he needs and covets that amulet in the vain hope of regaining his youth. You know, the youth and life that each spell he casts steals from him.

Koura sets sail determined to stop them. And thus, the adventure movie begins…

Some Background

It all sort of began with the movie The 7th Voyage of Sinbad (1958). This movie was a landmark in fantasy cinema, and was often imitated over the next decade.

The Seventh Voyage of Sinbad.

Most importantly, it brought to prominence the name of special effects man Ray Harryhausen and his fantastical creatures. Now, Ray Harryhausen was more than just a specialist in the process of stop-motion animation. He was a genus. Here, it is much like claymation. Created figurines are meticulously moved and photographed one frame at a time.

The Golden Voyage of Sinbad
Sinbad (John Philip law) fights the centaur while (slave girl) companion Margiana (Caroline Munro) stands in the background. That’s all very interesting especially how the centaur is pictured.

He was so successful at it that Harryhausen went on to build a substantial career in this field over the next two decades.

He found a nitche in the world of Greek mythology. He would revisit the Sinbad mythos twice, here and later with the movie Sinbad and the Eye of the Tiger (1977). The Golden Voyage of Sinbad is one of Ray Harryhausen’s most acclaimed works and one that shows him at the height of his art.

The golden youyage of Sinbad.
Ray Harryhausen demonstrates that special effects don’t need to be state of the art to be edge of the seat, this film sees the adventurer and his crew on a quest to defeat evil magician Koura and solve the riddle of a mysterious interlocking golden map. Sinbad must fight his way past several of Harryhausen’s ingenious stop-motion animated monsters along the way, including a one-eyed centaur, and his own ship’s wooden figurehead, magically brought to life.

Most Ray Harryhausen films tend to be set around Harryhausen’s provision of profound creature effects. Which unfortunately tended to make the real actors and their intervening action rather wooden. However, as a child watching these movies, I noticed none of that.

The same is true with the dialog. No matter how chunky or cheesy it appeared, it always appealed to me. The quest for adventure screamed at me, and the livid monsters occupied my young impressionable mind.

The golden voyage of Sinbad 3
Seriously, just how many movies do you find a griffin doing battle with a minaraur or a cyclops – minataur hybrid? Not often. Well, this movie has this and much, much more.
 When I was a child, The Golden Voyage of Sinbad (1973) and Sinbad and the Eye of the Tiger  (1977) were one and the same – a four-hour Sinbad miniseries, with all  the islands, wizards, beautiful girls, and Ray Harryhausen monsters  randomly distributed so that I wasn’t exactly sure which belonged to  which. 

Understand that every trip to the video store meant that I would  stand there, staring at all the boxes, ruling out the R-rated films or  anything that looked remotely adult (verboten when I was a child), and  eventually, inevitably, I would grab a Ray Harryhausen movie and hand it  to my mother or father, who would just say, “This one, again?” 

Jason and the Argonauts (1963), Mysterious Island  (1961), or a Sinbad movie. These films were the foundation stones upon  which my imagination was built. 

Even though the early 80’s belonged to  George Lucas and Steven Spielberg, I always held the Harryhausen films  in special regard. Before I even learned his name, I knew these films  were connected – I recognized the stop-motion animation and the look of  the monsters. (Of course that centaur only has one eye. He’s probably  related to those cyclopes in The 7th Voyage of Sinbad.) 

These films had special  special effects. Having watched just about every non-R-rated fantasy  movie on the video store shelves, I knew there was a significant  difference between One Million B.C. (1940), the Victor Mature movie with lizards and armadillos posing as dinosaurs, and One Million Years B.C.  (1966), the remake with Harryhausen’s pterodactyls lifting Raquel Welch  off the ground. 

You can’t dress a lizard up to look like a pterodactyl.  

The funny thing is that I was appreciating the films from a  point-of-view that was already becoming outdated. The days of  stop-motion were coming to an end, with his swan song, Clash of the Titans  (1981), released around the time that I was just beginning to  appreciate his films. 

Though both Lucas and Spielberg used stop-motion  effects in Star Wars (1977) and Raiders of the Lost Ark (1981), by the end of the decade The Abyss (1989) would announce a new direction for cinema tricks. 

-Midnight Only

Both Brian Clemens and Ray Harryhausen plunder world mythology somewhat indiscriminately. Which more often than not resulted in a kind of peculiar multi-cultural polyglot. Not that it matters, of course, but it is curious.

The golden voyage of Sinbad 4
Caroline Munro looks splendid in her costume, low cut almost everywhere. The rest of the cast support well. Tom Baker is excellent as the villain Koura. He makes him sympathetic; what drives him is common to all people. He just uses different means to gain his ends. He dominates the scenes he is in and it is a pity that more big screen roles never came his way. He was the best ‘Doctor Who’ in the BBC series, in my opinion of course. A good fantasy romp to appeal to the adventurer in all of us. Did I mention Caroline Munro’s costume? Oh, I did.

Today, as an adult, I guess that I am more of a purist. But as a kid, nah… who the heck cared? Consider their broad paintbrush. There is Kali from Hindu religion, a griffin and combination centaur/cyclops from the Greek myths, the homunculus from mediaeval alchemy, Lemuria, and of course the backdrop from the Arabian Nights cycle.

As an aside, did you know that the idea of Lemuria was first posited by biologist Ernst Haeckel in the 1870s. It preceded the notion of continental drift. It was used with the belief of a sunken land in order to  explain how lemurs managed to get between  Africa and India. Later, this theory was bastardized and quickly appropriated by the 19th  Century Theosophist movement.
The golden voyage of Sinbad 5
‘Golden Voyage’ is much better than the later ‘Sinbad and the Eye of the Tiger’ and equal to the earlier ‘Seventh Voyage of Sinbad’. The Harryhausen creatures are impressive. Stop motion animation does give solidity to the image, more so than the usual CGI effect. There are some fine ones here including a one eyed centaur, a homunculus, a griffin, a six armed statue, a ship’s wooden figurehead. The story is standard but the effects, the locations and the plot weave together well. There is also a dry humor in the dialogue which is entertaining. Scenes like the sword fight with the six armed statute (with six swords!) or the final confrontation at the fountain of wisdom (or something like that) are exciting. The great Miklos Rosza’s music adds considerably to the atmosphere. John Philip Law is OK as Sinbad and does attempt an Arabian accent unlike the usual English one, but the role isn’t Shakespearean and he does well enough.

All of this trivality is far less important than the spectacular beauty of Ray Harryhausen’s various set-pieces. Which, by this time, were at the absolute peak of their form.

Harryhausen offers us [1] a six-armed statue of Kali brought to life in a sword-duel; [2] a to-the-death battle between a griffin and a cyclopean centaur; [3] a magically animated ship’s figurehead; and, best of all, [4] the homunculus that Tom Baker brings to life, teasing and prodding it, as it lies pinned to a table.

Sinbad the sailor using an early version of Google Maps.
Sinbad the sailor using an early version of Google Maps. This is a fine fantasy/adventure film, and definitely one worth watching by any fans of the genre, as well as Ray Harryhausen fans. The main problem is that the film tends to meander at times. There are also a few minor problems with direction or editing, such as the less-than-convincing sword fight in the cave near the end of the film. Also, the mostly episodic nature of the script lessens the overall impact. It often feels like a string of short stories arbitrarily strung together, although in the end, the overarching goal ties the film together well enough. But what “short stories” those are!
Harryhausen, who made this film with his longtime collaborator and  co-producer Charles H. Schneer, was careful to separate this film from 7th Voyage; he seemed to dislike the label of “sequel.” (In his 2003 book An Animated Life, Harryhausen states that he and Schneer even “strenuously” tried to avoid the term regarding Eye of the Tiger, curiously enough.) 

Indeed, the viewer need not have seen the former film, though naturally it exists in its shadow. The 7th Voyage of Sinbad is a classic of fantasy filmmaking to stand beside its chief inspiration, The Thief of Bagdad (1940). 

Golden Voyage  is just another fun Harryhausen movie, the perfect way to pass a  Saturday afternoon. 

Law does a credible job as our new Sinbad (replacing  7th Voyage‘s Kerwin Mathews), embodying Harryhausen’s image of the Arabian Nights hero: handsome, athletic, but not a bodybuilder. 

The  story, conceived by Harryhausen and revised, polished, and scripted by  Brian Clemens (of the TV series The Avengers, as well as Captain Kronos,  which also featured Caroline Munro), sends Sinbad on a treasure hunt on  behalf of a disfigured Vizier in a golden mask (Douglas Wilmer, Jason and the Argonauts).  

Their quest involves retrieving the lost pieces of an amulet, which  will point the way to an ancient, magical source of great knowledge and  power. 

There’s always an evil magician in pursuit, of course, and in  this case it’s Baker’s Prince Koura, who controls gargoyle-like  homunculi and lusts after the same prize. 

The story might be  perfunctory, but it’s well-paced, with attractive location shooting in  Spain to stand in for both the fictionalized Middle East and Lemuria. (Plans to shoot in India – which would have provided a wonderful look to the film – were discarded after hearing horror stories about “appalling  red tape and bureaucracy” encountered by other Hollywood productions shooting there.) 

Composer Miklós Rózsa (The Thief of Bagdad, Ben-Hur) is the ideal stand-in for 7th Voyage‘s Bernard Herrmann, capturing the appropriate “Orientalist” feel. 

 -Midnight Only 

The Golden Voyage of Sinbad is also notable for many of the up-and-coming stars. There is Tom Baker who, the following year, would become the fourth incarnation of tv’s Doctor Who (1963-89). There is cult queen Caroline Munro; and Martin Shaw, later hunk hero of Clemens’ superior action man tv show The Professionals.

The Golden Voyage of Sinbad 7
The script, production/set design and costumes easily propel you into a captivating fantasy world, and Harryhausen’s creatures, as always, are a delight to watch. No, they’re not exactly realistic–no more realistic looking than cgi, in my opinion–but I’m not looking for realism when I watch a film like this. I’m looking for brilliant artistry, especially if it is an adventure with pretty girls, and Harryhausen’s stop-motion animated creatures fit the bill.

Conclusion

This is a great movie to introduce the kids to, to spend a lazy hazy august afternoon, or just to relax to. There are some amazing scenes, and nowhere else in movie-land will you see a six-armed statue of Kali which performs an Indian dance before dueling against Sinbad’s men with six swords. I enjoyed it and I think that maybe you the reader would enjoy it as well.

Ray Harryhausen’s other films

Links

Torrent Links

You can watch it for free if you don’t mind waiting a half an hour to half a day to download the torrent.

For those of you who are unaware. Torrents are parts of files that are spread out in tiny packets all over the internet. You use a "Bit Torrent" client to vacuum up all those little bits and pieces of the file. It then assembles the file into a movie that you can watch. The time that this takes can vary from a few minutes to weeks depending on how popular or obscure your searched file is.

You will need an application to manage the download. I recommend the free application VUZE. To download the video is thus easy. Install VUZE, and then click on one of the following torrent links.

Depending on where you live, you might not have the freedom to access these sites and the ISP might block them from access, or the search engines might black out their search results. Americans, in particular, might have some real problems. Therefore, I listed the most accessible torrent sites available to Americans. Pirate Bay and 1337X. I think that Kick Ass Torrents is still blocked for all Americans.

Movies that Inspired Me

Here are some movies that I consider noteworthy and worth a view. Enjoy.

The Seventh Voyage of Sinbad.
Jason and the Argonauts

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury
Job: A Comedy of Justice (Full Text) by Robert Heinlein
Spell my name with an "S" by Isaac Asimov
The Proud Robot (Full Text)
The Time Locker
Not the First (Full Text) by A.E. van Vogt

My Poetry

My Kitten Knows

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

When Hollywood still made good movies; The 7th Voyage of Sinbad (1957)

Ray Harryhausen was a mainstay of my childhood. His movies were regular features on the Saturday matinees — on television, not in theaters; I’m not THAT old! — and they sucked me in every time. How could they not? No sane young boy would be anything but engrossed by giant creatures slugging it out with heroes in sandals, and Harryhausen’s creatures were AWESOME.

-Revisiting Ray Harryhausen’s 1958 classic, The 7th Voyage of Sinbad

It’s no secret that Hollywood has gone over the deep end and into the abyss of the bland and uninteresting.

It’s a combination of things. Firstly [1] , an over reliance on CGI and computer animation has somehow replaced decent story-telling and the passions inherent in the traditional movie genre. Secondly [2], the invasion of Political Correctness and rewrites for the LGBT crowd, and the war on white males, and traditional male roles has pretty much isolated Hollywood to the Land of the Loons. Thirdly [3], an over reliance on classical superheroes… you know, suddenly by magic, a person gets super-powers… get’s dull really quick. Finally, fourthly [4], just how many sequels do you need to make before the customers stop coming to the theaters?

Here’s some examples of contemporaneous Hollywood fare…

Yup Hollywood is going the way of the Dodo Bird, and like their political leadership, haven’t a clue as to how absolutely ridiculous they look to us “normal’s” in the audience.

But, at one time, Hollywood was truly the stuff of dreams. There, the studios produced some amazing movie flicks. These were the stuff of dreams, and tales of adventure. And, for I, a young boy… Hollywood movies took me to places where my imagination could soar and explore.

Let’s talk about one such movie. The Ray Harryhausen classic “The 7th Voyage of Sinbad”.

The Tale of a Lifetime

The visuals in the movie were amazing. Anyone who has seen this movie when it first came out (late 50’s) was forever a different person for the better. This dynamic even continues to this day too.

Millions saw this when they were in their teens or younger and it brought out an array of emotions the body had not experienced before. There is wonder, adventure, thrills, suspense, love, good, bad, and monsters that make you wonder how can you fight them and live? Sinbad shows you all this and more and he became a role model and hero for the multitudes.

No doubt, it is an amazing movie.

Come on! Seriously. Giant birds, crabby cyclops, dragons, skeleton warriors, and a snake woman? Just another day at the office for Sinbad the Sailor.

Throw in an evil sorcerer, a mutinous crew, and having to not only rescue his fiancee, but also find some way to un-shrink her. Talk about having too much on “your plate”. You can well understand why this particular Sinbad set about his seventh voyage with a stern and brave face, very little humor and negligible cheer.

This movie is genius.

Other films of his have very challenging special effects too. If you  have not watched any of his films, YouTube them and watch the brilliant  sequences. That'll convince you. Try the sequence where the cowboys try  to "rope" Gwangi, in which Harryhausen had to painstakingly match the  ropes on the live action footage to the ropes on his stop-motion model.  Or the tug of war in "Mighty Joe Young,"  using a similar technique. Or the sequence with the giant bird from  "Mysterious Island," which works well with Bernard Herrmann's goofy  score. Or the Washington destruction scenes in "Earth vs. Flying  Saucers." Or It from "It Came From Beneath the Seas." Or Pegasus in  "Clash of the Titans," or Medusa, from the same film. Or anything from  "The Seventh Voyage of Sinbad," my personal favorite film of his. 

 - Great Movies of my Childhood  

This was a movie that I couldn’t tear my eyes from. I was totally and complete immersed in the story line, though as a young boy, it was kind of difficult to follow. Never the less, the visuals were amazing and absolutely drew me in.

Now the story is a classic. It’s an adventure, so of course, you pull the story out from classic adventure stories that have stood the passage of time.

Apparently this is a pretty old classic story from the 1001 Arabian Nights, of course no longer taught in schools as not progressive enough. It is the story of adventure when a ships crew makes an unexpected stop at an island.

Fortune fall upon us all

The best thing about this movie is that there Hasn’t been any remakes of it.

It’s true. Can you imagine what the remakes would be like? OMG! I just get sick trying to imagine it all…

  • 1970s – The first sequel; Sinbad falls in love with a black single-parent woman.
  • 1980s – “We Are the World” meets Sinbad the Sailor.
  • 1990s – CGI animation, heavily pixelated and dark.
  • 2000s – Matrix style fight scenes with the three headed chick.
  • 2010s – X-men join forces with Sinbad to fight the Cyclops.
  • 2020s – Sinbad is a woman, as are the entire crew, all females.

Storyline

Sinbad is a man of the world. A seaman who travels the known world. As this is his seventh voyage, we knew that he was well experienced in the ways of monsters, magic and pretty attractive lasses. He has a crew of trusty seamen, and ship that is pretty state-of-the-art for the time-period.

So off he goes. His ship and crew sail off towards adventure…

Dinner for the one-eyed horned cyclops.
Dinner for the one-eyed horned cyclops. You most certainly wouldn’t want to be caught by this fella, and my goodness, who knows what fate you might be expected to endure. You know, when salt and pepper get into your eyes, it hurts!

He sails and sails.

When Sinbad finally spots land, he doesn’t yet know what island it is. (He didn’t have GPS, and Google was of absolutely no use to him.) He just doesn’t know that the island’s name is Colossa. Hum. Colossa could that have something to do with the word “colossal”? You’d think he’d take a hint.

Nor does he know that it’s the ancient world’s equivalent of Monster Island. Now, for some reason or the other, Sinbad has his old lady on board with him. She’s a real cutie, and can you blame him. After all, he’s the famous Sinbad.

Her name is Princess Parisa. She has cute dimples, a nice rack and a very curvaceous backside. You see, she and Sinbad are going to be married and help seal a peace pact between their two lands. It kind of sucks for her, but she gets a hero in the bargain, and all in all, by the standards of society at that time and place, it’s a pretty sweet deal for her.

Grant (who would go on to marry Bing Crosby) is an absolute delight as the princess, the kid playing the genie in the lamp should have been annoying but was actually quite a charming little tyke, and Torin Thatcher is wonderfully bombastic as the evil wizard Sokurah. They help carry the human element of the movie in a way Mathews’ Sinbad never does. 

 -Revisiting Ray Harryhausen’s 1958 classic, The 7th Voyage of Sinbad 

Once he makes landfall on the island, he demonstrates just how preoccupied he must be with the impending wedding. You can tell, because he makes some really boneheaded decisions. You know, decisions that would charitably be called “insane.”

A cyclops looking at his dinner.
This colorful adventure is the screen version of one of the classic tales from “1001 Arabian Nights.” It tells the story of Sinbad (Kerwin Mathews) and Princess Parisa (Kathryn Grant). When they unexpectedly stop on the island of Colossa on their way to Baghdad, they find themselves battling all types of dangers, such as evil magicians, man-eating Cyclopes, fire- breathing dragons, and sword-wielding skeletons.

So, let’s say you’re on a mysterious island in a world where terrible monsters still run amok occasionally.

Let’s also say that you notice strange footprints in the sand. These strange footprints are not only odd because of their shape (cloven hooves – eek!), but also because they are so far apart. As someone notes, this is indicative of a rather large stride and by extension, a rather large creature.

Now what could that possibly mean? I mean, what would you do, if you saw hoof prints that large?

Multi-headed multi-bird thing creature.
Multi-headed multi-bird thing creature. What would you do if you confronted a strange chick the size of a fire-truck? And, by chick I mean a bird, not a cute young lass.

Okay, let’s say you know all that and still you venture forth into the unknown.

Now, you have landed on this mysterious island. You and your crew starts to walk upon the sandy beach. And now when you walk further up the beach you notice carved in the side of a mountain, a strange face with the mouth being the entrance of a cave.

Not an everyday occurrence.

What do you do? Well, in spite of it being painfully obvious that this is the front door of a cyclops house, you decide to do some pretty messed up things. I mean, haven’t you ever learned that some things say “keep out” and run for the hills. But NOOOOO!

What does Sinbad do? Well, he decides to run right the hell in there just to see if anyone is home!

The pure awesomeness of this movie is evident when you observe a one-eyed horned cyclops with goat like legs confronting a chained dragon.
The pure awesomeness of this movie is evident when you observe a one-eyed horned cyclops with goat like legs confronting a chained dragon. Now you would think that the dragon would trump a one-eyed cyclops… you know that old depth-perception angle. But the movie has a number of surprises for the viewing audience.

What do you think happens? Yup. It’s a lot of screaming, yelling, terror and blood and guts. Not to mention a chomp and gulp. Yes, it’s a story of lots of guys getting chased by a really pissed off cyclops.

Enter a sorcerer named Sokurah.

He’s a bald guy with a magic lamp that saves Sinbad and his crew by using the genie’s powers to erect a transparent barrier to keep the cyclops back. I’ll bet that you didn’t know that Genie’s had the power to erect repulse fields, did you?

Now, I have some bad news.

Unfortunately this doesn’t stop the cyclops from chucking big rocks at the departing boat. This causes everyone on the boat to fall overboard and in all the confusion Sokurah loses his magic lamp.

Now, this magic lamp is really special. Think of it like the latest iphone, or the keys to the Lamborghini. It contains a Genie. But this Genie is not just like any other Genie. Those “lower” Genies have wish-limitations. Most can only grant three wishes. Not this Genie. No. He instead grants unlimited wishes.

Obviously the loss of such a power, such a lamp, is a big disappointment.

Sinbad meets a princess.
In the scenes featuring a shrunken Princess Parisa. Now look at this mess. How are going to have sex once they are married? And what about the children? Obviously Sinbad has to do something, and thus Sinbad does his best to help her. In the process goes on an adventure of a lifetime. It is one filled with strange sights, strange and dangerous beings, and magic of all sorts and types.

Again, there is a lot of treading water, splashes and panic.

Once back on board his main boat, Sokurah demands that they return back to the island. You know, after all, it’s pretty cool having a Genie with unlimited wishes. Heck, if it was me, I’d go back.

No. Sinbad is a different person.

Sinbad refuses Sokurah’s entreaties to go back to the island and retrieve his lamp which by this time has fallen into the hands of the cyclops. Sinbad says “No time, baldy. I’m gonna get married to my most excellent girlfriend. And, you know what? I still have to hire a band and D.J. for my wedding, but you’re welcome to come to my bachelor party once we’re back in Bagdad.”

Meetup with a Genie.
Here we have a tiny miniaturized princess inside a Genie’s bottle to talk with the Genie face to face. What a movie! You know, Harryhausen spearheaded a turn from scifi monsters to mythology and adventure for the first time with The 7th Voyage of Sinbad. In this movie he ended up crossbreeding special-effects-based spectacle with traditional swashbuckling heroics. For the first time, in this movie, Harryhausen got to make a feature in color, and he debuted his new technique, called Dynamation, which allowed more sophisticated, layered interaction between photographic elements.

Sokurah offers to provide some entertainment at some of the pre-wedding festivities in hopes of currying favor with the Caliph.

The Caliph of Baghdad (Alec Mango) feels the same way, even after Sokurah amazes the court by conjuring up a snake-woman. Yes, this SOB ended up turning Parisa’s maid into a snake woman. Talk about violation of a work contract!

Meanwhile, he continues to try to convince Sinbad to go back to the island.

Snake woman maid.
Now how would you like your pretty maid to be changed into a a snake woman medusa. Especially a blue one? Not exactly a nice way to curry favor. Obviously this warlock has a real lack of people skills.

He starts to look into the future. He starts by looking into the future of Sinbad and Parisa’s lands. Not surprisingly perhaps, he sees only bad things for everyone. This (unfortunately) doesn’t earn him a ship and a crew of men, but does earn him an ass kicking out of Bagdad.

It is only when the princess is shrunk by an evil spell, the breaking of which requires the shell from the egg of the giant Roc – which (what-da-ya-know) resides on Colossa – that Sokurah can get his expedition mounted, with Sinbad in command.

But it’s not that everything is perfect. With a crew made up of a handful of his bravest men and some of the most desperate convicts in the Caliph’s prison, he has to contend with potential mutiny at every turn. It’s a constant bickering, fighting and arguments. Ugh! In fact, the men are driven almost to madness before they even reach Colossa.

The Genie with Sinbad's future wife.
The Genie gets along with the future Mrs. Sinbad. She crawls into the Genie’s lair and it’s all sort of like the lair from the television show “I dream of Genie”. Together they work out and hatch a plan.

Once there, at the island, they continue to find problems and strife. Obviously, they find terrors as great as the Cyclops and the treachery of the magician, but something else happens. Future Mrs. Sinbad; Parisa – in her tiny state – also discovers the beautiful world inside the lamp, and the lonely boy Genie (Richard Eyer) who inhabits it.

They strike the bargain that, when Sinbad’s bravery is added to the equation, will bring their quest to an end. If, that is, they can all survive the dangers that Sokurah puts in their path.

Sinbad at the helm.
Sinbad at the helm of his state of the art, ocean going vessel. He is the fellow in command, and he over comes everything to achieve his goals and his dreams.

At this point for the record, I would note that when he was told this, no one specifically said that he wasn’t supposed to stop by the princess’s bedroom and use a magic potion to shrink her down to the size of a corndog. It’s a strange world we live in, and when you start mixing magical spells, potions and evil sorcerers together, you will find many surprises awaiting you in the bedroom.

Clearly, the only way to fix this is with the help of a very powerful sorcerer.

Sinbad finds Sokurah just as he’s about to leave town and pleads for his help. It turns out to be no problem for Sokurah to reverse the spell. In fact, he knows the counter-spell and only needs to procure one ingredient. It’s the shell of giant Roc’s egg.

A pensive Sinbad.
Oh, you think that you have it tought in your life. Imagine what it would be like with magic spells, monsters, evil wizards and jealous women. It is no wonder that Sinbad is so pensive.

Ah, the shell of the egg.

But that’s only available on the island of Colossa and we already know you don’t want to go there, right Sinbad? Well, right?

Still politics are politics, and if you don’t play your cards right, the result could be war! Thus, with the princess’s father immediately threatening war on Bagdad (despite Bagdad obviously having nothing to do with the incredible shrinking Parisa – but that’s an argument for another time), a shift in policy occurs and the next thing you know, a ship is being outfitted. As such, a big crossbow is being built and Sinbad is attempting to recruit a crew.

But where would you get a crew from for what is surely a suicide mission right into the heart of monster country?

Sindbad with lovely Parisa.
Sindbad with lovely Parisa. Obviously back up to full size with all the curves in the right places, and ready to experience all that life can throw at her.

Where do most guys for suicide missions come from?

Indeed, you find them at the toughest prison in whatever location the recruiting is being done in! I was thinking that we might be in for a Dirty Dozen-style affair with off-beat characters each with a specialized skill (forger, demolitions expert, scrounger, drunk) that would come in handy for this trek.

The "Big Leagues" Arabian style.
The “Big Leagues” Arabian style. Sinbad and his lovely future bride at the club with the biggest players in the known world (at that time). They are eating fine delicious and exotic foods, and experiencing all the earthly pleasures and delights available to them. Partying on Arabian style.

However, the intention of them being so vicious and crazy that they turn out to be the best dang fighting machine ever assembled doesn’t happen. Instead, these cons are so vicious and crazy that they mutiny as soon as they set sail. Not only that, but they attempt to take over the ship!

Unsurprisingly, the movie is filled with fantastic creatures and some very impressive visuals. The cyclops is a fearsome beast with great animation (based on the critter from 20 Million Miles to Earth) and fantastic integration into most scenes. This guy ranks right up there with the best of Harryhausen. A climactic skeleton battle is also highly impressive, with stunning choreography providing some damned impressive integration with real actors. It’s a stunningly well-realized scene. 

 -Revisiting Ray Harryhausen’s 1958 classic, The 7th Voyage of Sinbad 

Things don’t go any more smoothly once they hit Cyclops Island. If you can imagine. Treasure, a genie, and guy getting roasted alive are among the highlights.

Sinbad promising his future wife that everything will work out fine once he takes care of shopping.
Sinbad promising his future wife that everything will work out fine once he takes care of shopping. First on the list; the dairy isle. He has to go ahead and get some rather large eggs.

Conclusion

This is by far the best of the three fantasy adventure movies that Kerwin Matthews (Sinbad) made during this era. If you have the time and the inclination, I would strongly recommend a rewatch of this movie. Preferably on a hazy hot dog-day afternoon in August, or a cold snowy blistery Saturday afternoon in January. I promise that it will reawaken the boyhood in you (if you are a man), the nurturing and strong lady in you (if you are a lass), or complete revulsion (if you are gender-confused).

Links

Here’s some decent links that you all might want to take a look at.

Torrent Links

You can watch it for free if you don’t mind waiting a half an hour to half a day to download the torrent.

For those of you who are unaware. Torrents are parts of files that are spread out in tiny packets all over the internet. You use a "Bit Torrent" client to vacuum up all those little bits and pieces of the file. It then assembles the file into a movie that you can watch. The time that this takes can vary from a few minutes to weeks depending on how popular or obscure your searched file is.

You will need an application to manage the download. I recommend the free application VUZE. To download the video is thus easy. Install VUZE, and then click on one of the following torrent links.

Depending on where you live, you might not have the freedom to access these sites and the ISP might block them from access, or the search engines might black out their search results. Americans, in particular, might have some real problems. Therefore, I listed the most accessible torrent sites available to Americans. Pirate Bay and 1337X. I think that Kick Ass Torrents is still blocked for all Americans.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury
Job: A Comedy of Justice (Full Text) by Robert Heinlein
Spell my name with an "S" by Isaac Asimov
The Proud Robot (Full Text)
The Time Locker
Not the First (Full Text) by A.E. van Vogt

My Poetry

My Kitten Knows

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Snapshots of Summer in Asia (part 11).

We continue with our exploration of Asia though videos.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Nice Lunch in the Mountains.

If you live in any of the mountainous regions inside China, this is pretty much what lunchtime might look like. You would sit at a table on the side of a cliff face, and look down on the clouds that surround you.

You have to keep in mind that MOST of China is mountainous. All you need do is look at a relief map of China.

relief map of China
This is a relief map of China. You can pretty much see that most of China is very mountainous.

If you lived in and around these mountains, your lunch might look a little something like this…

The Inside of a KTV

Yeah. This is all pretty much what it looks like. This is the hallway in some generic KTV somewhere in China.

Of course, I have a large series of posts about KTV’s and in particular Business KTV’s that you might want to take a stroll looking into after this post is finished. In any event, all KTV’s are pretty awesome and are decorated “to the hilt”. They all look a little like this…

The Interior of a Subway Car

Subway travel is very common in Asia, and currently you can travel to all of the first, second and third tier cities in China using it. Here is the view inside of one of the cars. Here, as is quite common, the rail leaves the tunnels and travels above ground like a monorail would.

Chinese Stewardess Training

All Chinese flight attendants, stewards and stewardesses, are also trained to fight (you do know that Muslim extremist behaviors is not taken lightly by China), and provide medical service when necessary.

One of the things that they are also trained to do is to fly a plane. In the event that the cabin crew becomes incapacitated, the stewardess can fill in and fly the plane if need be.

Let’s continue forward, shall we…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Snapshots of Summer in Asia (part 10).

Let’s continue on our exploration of Asia…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Cute Girls on a Motorcycle in Thailand

This is what it’s like. It’s not some dingy polluted evil place with mean black clothed SWAT armed police watching your every move. Nope. It’s not America. Seriously, different places are different. As such, you simply cannot compare contemporaneous America with the rest of the world.

It’s rural Thailand, and yes, this is the what it looks like.

It’s sort of like Alabama.

Speaking of cute Thai girls. Check this out…

Hong Kong at Night

Yup, this is pretty much what it is like.

Automobile Show in Shanghai

I always like to look at the new cars, and the pretty girls at the Chinese car shows. They are really pushing towards being a dominant global player in the latest in automotive technology. This year we have many of the Chinese models with face recognition instead of key fobs, and 5G access as standard.

I also like all the pretty Chinese and Russian girls.

Have you gone to a politically-correct American car show lately?

American automotive car girl.

Where the heck do they pull those tubbies from?

Talk about big and a lot of lovin’! (Not complaining, mind you. I just have different tastes.) I guess it must be the Michelle Obama influence. You know she was voted the most beautiful woman in the world numerous times… eh? Yeah. No shit. She is considered the most beautiful woman in the world.

I attribute this attitude to two factors. Drugs, and mental illness.

Now, let’s see what real women look like, and what is going on in the Automotive Arena in China, shall we…

Now that I have offended just about everyone, let’s move on…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Snapshots of Summer in Asia (part 9).

Let’s continue on our adventure into Asia by looking at various micro-videos that were taken this summer. As always, the presence of micro-videos might cause difficulty in loading due to bandwidth limitations and high usage demands. In that event, you can reload this page to avoid any problems.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Rural Thailand

People, this is what a small town in rural Thailand looks like. Not too different from what a small down in America looks like. Not really.

I like to believe that people are people, no matter where you live. The only differences that we have are imaginary. They are self created walls that tend to separate and divide each other. I oppose that, and instead look for ground of commonality.

That includes, family, food, pets, and sports. Other similar attributes can include fashion, movies, music, and parties. And, let’s not forget those wonderful vices that everyone around the world enjoys.

What do you see in this picture? Billboards, houses, trucks, pretty girls wearing skirts, blue skies, and white clouds. People, that is what our life on this Earth is all about.

Two Girls in Japan

Ah, aren’t they so cute?

I like to believe that what makes humans great are our difference. Not our similarities. We all like to sing, dance and eat tasty delicious food. It is how we enjoy those moments, and how we interact with each other that renders the moment towards magnificence.

Here are two cute girls in Japan playing with their cute girly outfits. I love the colors, the patterns, the styles and of course, the smiles on the faces of the girls.

Being Disabled in China

Being disabled is difficult. It doesn’t matter where you live, you need to deal with all sorts of problems that “normal” people do not need to contend with.

Here we see a disabled person in China using the Shenzhen subway system.

A Chinese Video Game

Just like the USA, there are all sorts of games and APPs that you can play. They run the complete gambit all across the board. Here is one such Chinese game APP for the cell phone. As you can well see that it is a little different from the kinds of games that you might enjoy in the United States.

And let’s continue onward to other videos…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Snapshots of Summer in Asia.

Here are a selection of micro-videos that amply illustrate what is going on in Asia this summer. With that being said, let it be well understood that there is quite a bit going on, let me tell you all. And it is all so very different from what you would find in the West that it becomes noteworthy, though rather difficult to classify individually. Here, the videos depict China, Vietnam, Thailand, and Japan.

I hope that you all will enjoy these micro-videos and visions of Asia as much as I do.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Local Popular Music

All through Asia there are small groups of musicians that are making their scene. They are notable in very many ways. One of the things that I like about them, are their own individual uniqueness. You won’t find clones of Nicki Minaj here. Thank goodness.

Of course, I have other earlier posts that delineate the many, many musical venues and styles that are present in China. What I happen to like is the way that traditional music is fuzed with popular Western musical styles. Merged, they result in a very interesting sound.

Such as this example.

Here’s the full song. Listen on to it.

封茗囧菌 sung by 三国恋

Tom & Jerry

Who would figure? Everyone in China, from two year olds to great-grandmothers love the American comic strip Tom and Jerry. They are, by far, THE most popular thing in China. Don’t ask me how this came about, or even why. I haven’t a clue. I really do not know.

There are 246 Tom and Jerry cartoon suppliers, mainly located in Asia. The top supplying country is China (Mainland), which supplies 100% of Tom and Jerry cartoon items respectively. These products are exported globally. 

Outside of China, Tom and jerry cartoon products are most popular in North America, South America, and the Mid East.

Here are some shoppers in a grocery store checking out a Tom and Jerry cartoon on the television screen. I mean, it’s an enormous hit! Look at the expressions on their faces. My goodness!

Come on! Any society that loves Tom & Jerry can’t be all bad. Really!

Pouring Tea for Congress

China is all about face, and the importance of ritual. They view the collective society as more important than the individual, and so they have adopted various rituals and ways of doing things that seem so strange to our free-wheeling progressive lifestyle in the United States. And yes, in case you are confused, America today is quite a progressive-society. All you need to do is step outside the borders of the USA and compare it with other traditional conservative nations.

The People's Republic of China practices the system of people's congress. China's Constitution stipulates that all power in the People's Republic of China belongs to the people, and the organs through which the people exercise state power are the National People's Congress and the local people's congresses at different levels.

- National People's Congress

Here we have the auditorium for the Chinese version of Congress getting served tea in the proper ritualized manner. In China everything is about tradition, and “face”.

Face (Mianzi) The concept of “face” or “self-image”, known as Mianzi in Chinese, is core to Chinese culture and one which is critical to understand. It can be loosely described as someone’s social status or reputation in the eyes of others and is integral to both social and business dealings.

- Chinese Culture 101 

Come on! Those three videos are all pretty cool. You do have to admit. Well, there are many more. However…

Too many videos will slow down the loading of this page, so I have broken this most into multiple pages so that you (the reader) can enjoy. Please click on the link to go to the next part of this multi-part post.

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Time Locker (Full Text) by Lewis Padgett

One of my all time favorite science fiction authors is the duo that wrote under the name Lewis Padgett. Here is one of their greatest stories. Please enjoy.

Time Locker

by

Lewis Padgett

GALLOWAY PLAYED by ear, which would ha~e been all right had he been a musician—but he was a scientist. A drunken and erratic one, but good. He’d wanted to be an experimental technician, and would have been excellent at it, for he had a streak of genius at times. Unfortunately, there had been no funds for such specialized education, and now Galloway, by profession an integrator machine supervisor, maintained his laboratory purely as a hobby. It was the damndest-looking lab in six states. Galloway had spent ten months building what he called a liquor organ, which occupied most of the space. He could recline on a comfortably padded couch and, by manipulating buttons, siphon drinks of marvelous quantity, quality, and variety down his scarified throat. Since he had made the liquor organ during a protracted period of drunkenness, he never remembered the basic principles of its construction. In a way, that was a pity.

 There was a little of everything in the lab, much of it incongruous. Rheostats had little skirts on them, like ballet dancers, and vacuously grinning faces of clay. A generator was conspicuously labeled, “Monstro,” and a much smaller one rejoiced in the name of “Bubbles.” Inside a glass retort was a china rabbit, and Galloway alone knew how it had got there. Just inside the door was a hideous iron dog, originally intended for Victorian lawns or perhaps for Hell, and its hollowed ears served as sockets for test tubes.

 “But how do you do it?” Vanning asked.

 Galloway, his lank form reclining under the liquor organ, siphoned a shot of double Martini into his mouth. “Huh?”

 “You heard me. I could get you a swell job if you’d use that screwball brain of yours. Or even learn to put up a front.”

 “Tried it,” Galloway mumbled. “No use. I can’t work when I concentrate, except at mechanical stuff. I think my subconscious must have a high I.Q.”

 Vanning, a chunky little man with a scarred, swarthy face, kicked his heels against Monstro. Sometimes Galloway annoyed him. The man never realized his own potentialities, or how much they might mean to Horace Vanning, Commerce Analyst. The “commerce,” of course, was extra-legal, but the complicated trade relationships of 1970 left many loopholes a clever man could slip through. The fact of the matter was, Vanning acted in an advisory capacity to crooks. It paid well. A sound knowledge of jurisprudence was rare in these days; the statutes were in such a tangle that it took years of research before one could even enter a law school. But Vanning had a staff of trained experts, a colossal library of transcripts, decisions, and legal data, and, for a suitable fee, he could have told Dr. Crippen how to get off scot-free.

 The shadier side of his business was handled in strict privacy, without assistants. The matter of the neuro-gun, for example— Galloway had made that remarkable weapon, quite without realizing its importance. He had hashed it together one evening, piecing out the job with court plaster when his welder went on the fritz. And he’d given it to Vanning, on request. Vanning didn’t keep it long. But already he had earned thousands of credits by lending the gun to potential murderers. As a result, the police department had a violent headache.

 A man in the know would come to Vanning and say, “I heard you can beat a murder rap. Suppose I wanted to—”

 ‘~‘Hold on! I can’t condone anything like that.”

 “Huh? But—”

 “Theoretically, I suppose a perfect murder might be possible. Suppose a new sort of gun had been invented, and suppose—just for
the sake of an example—it was in a locker at the Newark Stratoship Field.”

 “Huh?”

 “I’m just theorizing. Locker Number 7~, combination thirty-blueeight. These little details always help one to visualize a theory, don’t they?”

 “You mean—”

 “Of course if our murderer picked up this imaginary gun and used it, he’d be smart enough to have a postal box ready, addressed to.
say .. . Locker 40, Brooklyn Port. He could slip the weapon into the box, seal it, and get rid of the evidence at the nearest mail conveyor. But that’s all theorizing. Sorry I can’t help you. The fee for an interview is three thousand credits. The receptionist will take your check.”

Later, conviction would be impossible. Ruling 87-M, Illinois Precinct, case of State vs. Dupson, set the precedent. Cause of death must be determined. Element of accident must be considered. As Chief Justice Duckett had ruled during the trial of Sanderson vs. Sanderson, which involved the death of the accused’s mother-in-law— Surely the prosecuting attorney, with his staff of toxicological experts, must realize that— And in short, your honor, I must respectfully request that the case be dismissed for lack of evidence and proof of cams mortis— Galloway never even found out that his neuro-gun ‘was a dangerous weapon. But Vanning haunted the sloppy laboratory, avidly watching the results of his friends’ scientific doodling. More than once he had acquired handy little devices in just this fashion. The trouble was, Galloway wouldn’t work!

 He took another sip of Martini, shook his head, and unfolded his lanky limbs. Blinking, he ambled over to a cluttered workbench and began toying with lengths of wire.

 “Making something?”

 “Dunno. Just fiddling. That’s the way it goes. I put things together, and sometimes they work. Trouble is, I never know exactly what they’re going to do. Tsk!” Galloway dropped the wires and returned to his couch. “Hell with it.”

 He was, Vanning reflected, an odd duck. Galloway was essentially amoral, thoroughly out of place in this too-complicated world. He seemed to watch, with a certain wry amusement, from a vantage point of his own, rather disinterested for the most part. And he made things—

 But always and only for his own amusement. Vanning sighed and glanced around the laboratory, his orderly soul shocked by the melee. Automatically he picked up a rumpled smock from the floor, and looked for a hook. Of course there was none. Galloway, running short of conductive metal, had long since ripped them out and used them in some gadget or other.

 The so-called scientist was creating a zombie, his eyes half closed. Vanning went over to a metal locker in one corner and opened the door. There were no hooks, but he folded the smock neatly and laid it on the floor of the locker.

 Then he went back to his perch on Monstro.

 “Have a drink?” Galloway asked.

 Vanning shook his head. “Thanks, no. I’ve got a case coming up tomorrow.”

 “There’s always thiamin. Filthy stuff. I work better when I’ve got pneumatic cushions around my brain.”


 “Well, I don’t.”

 “It is purely a matter of skill,” Galloway hummed, “to which each may attain if he wili. . . . What are you gaping at?”

 “That—locker,” Vanning said, frowning in a baffled way. “What the—” He got up. The metal door hadn’t been securely latched and had swung open. Of the smock Vanning had placed within the metal compartment there was no trace.

 “It’s the paint,” Galloway explained sleepily. “Or the treatment. I bombarded it with gamma rays. But it isn’t good for anything.”
 Vanning went over and swung a fluorescent into a more convenient position. The locker wasn’t empty, as he had at first imagined. The smock was no longer there, but instead there was a tiny blob of—something, pale-green and roughly spherical.

“It melts things?” Vanning asked, staring. “Uh-huh. Pull it out. You’ll see.”

Vanning felt hesitant about putting his hand inside the locker. Instead, he found a long pair of test-tube clamps and teased the blob out. It was— Vanning hastily looked away. His eyes hurt. The green blob was changing in color, shape and size. A crawling, nongeometrical blur of motion rippled over it. Suddenly the clamps were remarkably heavy.

No wonder. They were gripping the original smock.

 “It does that, you know,” Galloway said absently. “Must be a reason, too. I put things in the locker and they get small. Take ‘em out, and they get big again. I suppose I could sell it to a stage magician.” His voice sounded doubtful.

 Vanning sat down, fingering the smock and staring at the metal locker. It was a cube, approximately 3 X 3 X 5, lined with what seemed to be grayish paint, sprayed on. Outside, it was shiny black.

 “How’d you do it?”

 “Huh? I dunno. Just fiddling around.” Galloway sipped his zombie. “Maybe it’s a matter of dimensional extension. My treatment may have altered the spatio-temporal relationships inside the locker. I wonder what that means?” he murmured in a vague aside. “Words frighten me sometimes.”

 Vanning was thinking about tesseracts. “You mean it’s bigger inside than it is outside?”

 “A paradox, a paradox, a most delightful paradox. You tell me. I suppose the inside of the locker isn’t in this space-time continuum at all. Here, shove that bench in it. You’ll see.” Galloway made no move to rise; he waved toward the article of furniture in question.

 “You’re right. That bench is bigger than the locker.”

 “So it is. Shove it in a bit at a time. That corner first. Go ahead.”

 Vanning wrestled with the bench. Despite his shortness, he was stockily muscular.

 “Lay the locker on its back. It’ll be easier.”

 “I. . . uh!.. . 0. K. Now what?”

 “Edge the bench down into it.”

 Vanning squinted at his companion, shrugged, and tried to obey. Of course the bench wouldn’t go into the locker. One corner did, that was all. Then, naturally, the bench stopped, balancing precariously at an angle.

 “Well?”

 “Wait.”

 The bench moved. It settled slowly downward. As Vanning’s jaw dropped, the bench seemed to crawl into the locker, with the gentle motion of a not-too-heavy object sinking through water. It wasn’t sucked down. It melted down. The portion still outside the locker was unchanged. But that, too, settled, and was gone.

 Vanning craned forward. A blur of movement hurt his eyes. Inside the locker was—something. It shifted its contours, shrank, and became a spiky sort of scalene pyramid, deep-purple in hue.

 It seemed to be less than four inches across at its widest point.

 “I don’t believe it,” Vanning said.

 Galloway grinned. “As the Duke of Wellington remarked to the subaltern, it was a demned small bottle, sir.”

 “Now, wait a minute. How the devil could I put an eight-foot bench inside of a five-foot locker?”

 “Because of Newton,” Galloway said. “Gravity. Go fill a test tube with water and I’ll show you.”

 “Wait a minute . . . 0. K. Now what?”

 “Got it brim-full? Good. You’ll find some sugar cubes in that drawer labeled ‘Fuses.’ Lay a cube on top of the test tube, one corner down so it touches the water.”

 Vanning racked the tube and obeyed. “Well?”

 “What do you see?”

 “Nothing. The sugar’s getting wet. And melting.”

 “So there you are,” Galloway said expansively. Vanning gave him a brooding look and turned back to the tube. The cube of sugar was slowly dissolving and melting down.

 Presently it was gone.

 “Air and water are different physical conditions. In air a sugar cube can exist as a sugar cube. In water it exists in solution. The corner of it extending into water is subject to aqueous conditions. So it alters physically, though not chemically. Gravity does the rest.”

 “Make it clearer.”

 “The analogy’s clear enough, dope. The water represents the particular condition existing inside that locker. The sugar cube represents the workbench. Now! The sugar soaked up the water and gradually dissolved it, so gravity could pull the cube down into the tube as it melted. See?”
 “I think so. The bench soaked up the. . . the x condition inside the locker, eh? A condition that shrank the bench—”

 “In partis, not in toto. A little at a time. You can shove a human body into a small container of sulphuric acid, bit by bit.”

 “Oh,” Vanning said, regarding the cabinet askance. “Can you get the bench out again?”

 “Do it yourself. Just reach in and pull it out.”

 “Reach in? I don’t want my hand to melt!”

 “It won’t. The action isn’t instantaneous. You saw that yourself. It takes a few minutes for the change to take place. You can reach into the locker without any ill effects, if you don’t leave your hand exposed to the conditions for more than a minute or so. I’ll show you.” Galloway languidly arose, looked around, and picked up an empty demijohn. He dropped this into the locker.

 The change wasn’t immediate. It occurred slowly, the demijohn altering its shape and size till it was a distorted cube the apparent size of a cube of sugar. Galloway reached down and brought it up again, placing the cube on the floor.

 It grew. It was a demijohn again.

 “Now the bench. Look out.”

 Galloway rescued the little pyramid. Presently it became the original workbench.

 “You see? I’ll bet a storage company would like this. You could probably pack all the furniture in Brooklyn in here, but there’d be trouble in getting what you wanted out again. The physical change, you know—”
 “Keep a chart,” Vanning suggested absently. “Draw a picture of how the thing looks inside the locker, and note down what it was.”

 “The legal brain,” Galloway said. “I want a drink.” He returned to his couch and clutched the siphon in a grip of death.

 “I’ll give you six credits for the thing,” Vanning offered.

 “Sold. It takes up too much room anyway. Wish I could put it inside itself.” The scientist chuckled immoderately. “That’s very funny.”

 “Is it?” Vanning said. “Well, here you are.” He took credit coupons from his wallet. “WThere’ll I put the dough?”

 “Stuff it into Monstro. He’s my bank. . . . Thanks.”

 “Yeah. Say, elucidate this sugar business a bit,will you? It isn’t just gravity that affects the cube so it slips into a test tube. Doesn’t the water soak up into the sugar—”

 “You’re right at that. Osmosis. No, I’m wrong. Osmosis has something to do with eggs. Or is that ovulation? Conduction, convection
—absorption! Wish I’d studied physics; then I’d know the right words. Just a zoot stoop, that’s me. I shall take the daughter of the Vine to spouse,” Galloway finished incoherently and sucked at the siphon.

 “Absorption,” Vanning scowled. “Only not water, being soaked up by the sugar. The . . . the conditions existing inside the locker, being soaked up by your workbench—in that particular case.

 “Like a sponge or a blotter.”

 “The bench?”

 “Me,” Galloway said succinctly, and relapsed into a happy silence, broken by occasional gurgles as he poured liquor down his scarified gullet. Vanning sighed and turned to the locker. He carefully closed and latched the door before lifting the metal cabinet in his muscular arms.

“Going? G’night. Fare thee well, fare thee well—”

“Night.”

 “Fare—thee—well!” Galloway ended, in a melancholy outburst of tunefulness, as he turned over preparatory to going to sleep.

 Vanning sighed again and let himself out into the coolness of the night. Stars blazed in the sky, except toward the south, where the aurora of Lower Manhattan dimmed them. The glowing white towers of skyscrapers rose in a jagged pattern. A sky-ad announced the virtues of Vambulin—”It Peps You Up.”

 His speeder was at the curb. Vanning edged the locker into the trunk compartment and drove toward the Hudson Floataway, the quickest route downtown. He was thinking about Poe.

 The Purloined Letter, which had been hidden in plain sight, but re-folded and re-addressed, so that its superficial appearance was changed. Holy Hutton! What a perfect safe the locker would make! No thief could crack it, for the obvious reason that it wouldn’t be locked. No thief would want to clean it out. Vanning could fill the locker with credit coupons and instantly they’d become unrecognizable. It was the ideal cache.

 How the devil did it work?

 There was little use in asking Galloway. He played by ear. A primrose by the river’s rim a simple primrose was to him—not Prim ula vulgaris. 

Syllogisms were unknown to him. He reached the conclusion without the aid of either major or minor premises.

Vanning pondered. Two objects cannot occupy the same space at the same time. Ergo, there was a different sort of space in the locker— But Vanning was pumping at conclusions. There was another answer—the right one. He hadn’t guessed it yet.

 Instead, he tooled the speeder downtown to the office building where he maintained a floor, and brought the locker upstairs in the freight lift. He didn’t put it in his private office; that would have been too obvious. He placed the metal cabinet in one of the storerooms, sliding a file cabinet in front of it for partial concealment. It wouldn’t do to have the clerks using this particular locker.

Vanning stepped back and considered. Perhaps— A bell rang softly. Preoccupied, Vanning didn’t hear it at first.

When he did, he went back to his own office and pressed the acknowledgment button on the Winchell. The gray, harsh, bearded face of Counsel Hatton appeared, filling the screen.

“Hello,” Vanning said.

 Hatton nodded. “I’ve been trying to reach you at your home. Thought I’d try the office—”

 “I didn’t expect you to call now. The trial’s tomorrow. It’s a bit late for discussion, isn’t it?”

 “Dugan & Sons wanted me to speak to you. I advised against it.”

 “Oh?”

 Hatton’s thick gray brows drew together. “I’m prosecuting, you know. There’s plenty of evidence against Macllson.”

 “So you say. But peculation’s a difficult charge to prove.”

 “Did you get an injunction against scop?”

 “Naturally,” Vanning said. “You’re not using truth serum on my client!”

 “That’ll prejudice the jury.”

 “Not on medical grounds. Scop affects Macllson harmfully. I’ve got a covering prognosis.”

 “Harmfully is right!” Hatton’s voice was sharp. “Your client embezaled those bonds, and I can prove it.”

 “Twenty-five thousand in credits, it comes to, eh? That’s a lot for Dugan & Sons to lose. What about that hypothetical case I posed? Suppose twenty thousand were recovered—”

 “Is this a private beam? No recordings?”

 “Naturally. Here’s the cut-off.” Vanning held up a metal-tipped cord. “This is strictly sub rosa.”

 “Good,” Counsel Hatton said. “Then I can ‘Call you a lousy shyster.”

“Tcli!”

 “Your gag’s too old. It’s moth-eaten. Macllson swiped five grand in bonds, negotiable into credits. The auditors start checking up. MacIlson comes to you. You tell him to take twenty grand more, and offer to return that twenty if Dugan & Sons refuse to prosecute. Macllson splits with you on the five thousand, and on the plat standard, that ain’t hay.”

 “I don’t admit to anything like that.”

 “Naturally you don’t, not even on a closed beam. But it’s tacit. However, the gag’s moth-eaten, and my clients won’t play ball with you. They’re going to prosecute.”

 “You called me up just to tell me that?”

 “No, I want to settle the jury question. Will you agree to let ‘em use scop on the panel?”

 “0. K.,” Vanning said. He wasn’t depending on a fixed jury tomorrow. His battle would be based on legal technicalities. With scop-tested talesmen, the odds would be even. And such an arrangement would save days or weeks of argument and challenge.

 “Good,” Hatton grunted. “You’re going to get your pants licked off.”
Vanning replied with a mild obscenity and broke the connection. Reminded of the pending court fight, he forced the matter of the fourth-dimensional locker out of his mind and left the office. Later— Later would be time enough to investigate the possibilities of the remarkable cabinet more thoroughly. Just now, he didn’t want his brain cluttered with nonessentials. He went to his apartment, had the servant mix him a short highball, and dropped into bed.

 And, the next day, Vanning won his case. He based it on complicated technicalities and obscure legal precedents. The crux of the matter was that the bonds had not been converted into government credits. Abstruse economic charts proved that point for Vanning. Conversion of even five thousand credits would have caused a fluctuation in the graph line, and no such break existed. Vanning’s experts went into monstrous detail.

 In order to prove guilt, it would have been necessary to show, either actually or by inference, that the bonds had been in existence since last December 20th, the date of their most recent check-and-recording. The case of Donovan vs. Jones stood as a precedent.

 Hatton jumped to his feet. “Jones later confessed to his defalcation, your honor!”

 “Which does not affect the original decision,” Vanning said smoothly.

 “Retroaction is not admissible here. The verdict was not proven.”

 “Counsel for the defense will continue.”

 Counsel for the defense continued, building up a beautifully intricate edifice of casuistic logic.

 Hatton writhed. “Your honor! I—”

 “If my learned opponent can produce one bond—just one of the bonds in question—I will concede the case.”

 The presiding judge looked sardonic. “Indeed! If such a piece of evidence could be produced, the defendant would be jailed as fast as I could pronounce sentence. You know that very well, Mr. Vanfling. Proceed.”

 “Very well. My contention, then, is that the bonds never existed. They were the result of a clerical error in notation.”

 “A clerical error in a Pederson Calculator?”

 “Such errors have occurred, as I shall prove. If I may call my next witness—”

 Unchallenged,. the witness, a math technician, explained how a Pederson Calculator can go haywire. He cited cases.

 Hatton caught him up on one point. “I protest this proof. Rhodesia, as everyone knows, is the location of a certain important experimental industry. Witness has refrained from stating the nature of the work performed in this particular Rhodesian factory. Is it not a fact that the Henderson United Company deals largely in radioactive ores?”

 “Witness will answer.”

 “I can’t. My records don’t include that information.”

 “A significant omission,” Hatton snapped. “Radioactivity damages the intricate mechanism of a Pederson Calculator. There is no radium nor radium by-product in the offices of Dugan & Sons.”

 Vanning stood up. “May I ask if those offices have been fumigated lately?”

 “They have. It is legally required.”

 “A type of chlorine gas was used.”

 “Yes.”

 “I wish to call my next witness.”

The next witness, a physicist and official in the Ultra Radium Institute, explained that gamma radiations affect chlorine strongly, causing ionization. Living organisms could assimilate by-products of radium and transmit them in turn. Certain clients of Dugan & Sons had been in contact with radioactivity— “This is ridiculous, your honor! Pure theorization—”
 Vanning looked hurt. “I cite the case of Dangerfield vs. Austro Products, California, 1963. Ruling states that the uncertainy factor is prime admissible evidence. My point is simply that the Pederson Calculator which recorded the bonds could have been in error. If this be true, there were no bonds, and my client is guiltless.”

 “Counsel will continue,” said the judge, wishing he were Jeffries so he could send the whole damned bunch to the scaffold. Jurisprudence should be founded on justice, and not be a three-dimensional chess game. But, of course, it was the natural development of the complicated political and economic factors of modern civilization. It was already evident that Vanning would win his case.

 And he did. The jury was directed to find for the defendant. On a last, desperate hope, Hatton raised a point cirorder and demanded scop, but his petition was denied. Vanning winked at his opponent and closed his brief case.

 That was that.

 Vanning returned to his office. At four-thirty that afternoon trouble started to break. The secretary announced a Mr. Macllson, and was pushed aside by a thin, dark, middle-aged man lugging a gigantic suedette suitcase.

 “Vanning! I’ve got to see you—”

 The attorney’s eye hooded. He rose from behind his desk, dismissing the secretary with a jerk of his head. As the door closed, Vanning said brusquely, “What are you doing here? I told you to stay away from me. What’s in that bag?”

 “The bonds,” Macllson explained, his voice unsteady. “Something’s gone wrong—”

 “You crazy fool! Bringing the bonds here—” With a leap Vanning was at the door, locking it. “Don’t you realize that if Hatton gets his hands on that paper, you’ll be yanked back to jail? And I’ll be disbarred! Get ‘em out of here.”

 “Listen a minute, will you? I took the bonds to Finance Unity, as you told me, but . . . but there was an officer there, waiting for me. I saw him just in time. If he’d caught me—”

 Vanning took a deep breath. “You were supposed to leave the bonds in that subway locker for two months.”

 Macllson pulled a news sheet from his pocket. “But the government’s declared a freeze on ore stocks and bonds. It’ll go into effect in a week. I couldn’t wait—the money would have been tied up indefinitely.”

 “Let’s see that paper.” Vanning examined it and cursed softly. “Where’d you get this?”

 “Bought it from a boy outside the jail. I wanted to check the current ore quotations.”

 “Uh-huh. I see. Did it occur to you that this sheet might be faked?”
 Macllson’s jaw dropped. “Fake?”

 “Exactly. Hatton figured I might spring you, and had this paper ready. You bit. You led the police right to the evidence, and a swell spot you’ve put me in.”

 “B-but—”

 Vanning grimaced. “Why do you suppose you saw that cop at Finance Unity? They could have nabbed you any time. But they wanted to scare you into heading for my office, so they could catch both of us on the same hook. Prison for you, disbarment for me. Oh, hell!”

 Macllson licked his lips. “Can’t I get out a back door?”

 “Through the cordon that’s undoubtedly waiting? Orbs! Don’t be more of a sap than you can help.”

 “Can’t you—hide the stuff?”

 “Where? They’ll ransack this office with X rays. No, I’ll just—” Vanning stopped. “Oh. Hide it, you said. Hide it—”

 He whirled to the dictograph. “Miss Horton? I’m in conference. Don’t disturb me for anything. If anybody hands you a search warrant, insist on verifying it through headquarters. Got me? 0. K.”

 Hope had returned to Macllson’s face. “Is it all right?”

 “Oh, shut up!” Vanning snapped. “Wait here for me. Be back directly.” He headed for a side door and vanished. In a surprisingly short time he returned, awkardly lugging a metal cabinet.

 “Help me . . . oh! . . . here. In this corner. Now get out.”

 “But—”

 “Flash,” Vanning ordered. “Everything’s under control. Don’t talk. You’ll be arrested, but they can’t hold you without evidence. Come back as soon as you’re sprung.” He urged Macllson to the door, unlocked it, and thrust the man through. After that, he returned to the cabinet, swung open the door, and peered in. Em~ty. Sure.

The suedette suitcase— -

 Vanning worked it into the locker, breathing hard. It took a little time, since the valise was larger than the metal cabinet. But at last he relaxed, watching the brown case shrink and alter its outline till it was tiny and distorted, the shape of an elongated egg, the color of a copper cent piece.

 “Whew!” Vanning said.

 Then he leaned closer, staring. Inside the locker, something was moving. A grotesque little creature less than four inches tall was visible. It was a shocking object, all cubes and angles, a bright green in tint, and it was obvious~y alive.

 Someone knocked on the door.

 The tiny—thing—was busy with the copper-colored egg. Like an ant, it was lifting the egg and trying to pull it away. Vanning gasped and reached into the locker. The fourth-dimensional creature dodged. It wasn’t quick enough. Vanning’s hand descended, and he felt wriggling movement against his palm.

 He squeezed.

 The movement stopped. He let go of the dead thing and pulled his hand back swiftly.

 The door shook under the impact of fists.

 Vanning closed the locker and called, “Just a minute.”

 “Break it down,” somebody ordered.

 But that wasn’t necessary. Vanning put a painful smile on his face and turned the key. Counsel Hatton came in, accompanied by bulky policemen. “We’ve got Macllson,” he said.

 “Oh? Why?”

 For answer Hatton jerked his hand. The officers began to search the room, Vanning shrugged.

 “You’ve jumped the gun,” he said. “Breaking and entering—”

“We’ve got a warrant.” -

 “Charge?” -

 “The bonds, of course.” Hatton’s voice was weary. “I don’t know where you’ve hid that suitcase, but we’ll find it.”

 “What suitcase?” Vanning wanted to know.

 “The one Macllson had when he came in. The one he didn’t have when he went out.”

 “The game,” Vanning said sadly, “is up. You win.”

 “Eh?”

 “If I tell you what I did with the suitcase, will you put in a good word for me?”

 “Why. . . yeah. Where—”

“I ate it,” Vanning said, and retired to the couch, where he settled himself for a nap. Hatton gave him a long, hating look. The officers tore in— They passed by the locker, after a casual glance inside. The X rays
revealed nothing, in walls, floor, ceiling, or articles of furniture. The other offices were searched, too. Vanning applauded the painstaking job.
In the end, Hatton gave up. There was nothing else he could do.
 “I’ll clap suit on you tomorrow,” Vanning promised. “Same time I get a habeas corpus on Macllson.”

 “Step to hell,” Hatton growled.

 “‘By now.”

 Vanning waited till his unwanted guests had departed. Then, chuckling quietly, he went to the locker and opened it.

 The copper-colored egg that represented the suedette suitcase had vanished. Vanning groped inside the locker, finding nothing.
 The significance of this didn’t strike Vanning at first. He swung the cabinet around so that it faced the window. He looked again, with identical results.

 The locker was empty.

 Twenty-five thousand credits in negotiable ore bonds had disappeared.
Vanning started to sweat. He picked up the metal box and shook it. That didn’t help. He carried it across the room and set it up in another corner, returning to search the floor with painstaking accuracy. Holy— Hatton?

 No. Vanning hadn’t let the locker out of his sight from the time the police had entered till they left. An officer had swung open the cabinet’s door, looked inside, and closed it again. After that the door had remained shut, till just now.

 The bonds were gone.

 So was the abnormal little creature Vanning had crushed. All of which meant—what?

 Vanning approached the locker and closed it, clicking the latch into position. Then he reopened it, not really expecting that the copper-colored egg would reappear.

 He was right. It didn’t.

 Vanning staggered to the Winchell and called Galloway.

 “Whatzit? Huh? Oh. What do you want?” The scientist’s gaunt face appeared on the screen, rather the worse for wear. “I got a hangover. Can’t use thiamin, either. I’m allergic to it. How’d your case come out?”

 “Listen,” Vanning said urgently, “I put something inside that damn—locker of yours and now it’s gone.”

 “The locker? That’s funny.”

 “No! The thing I put in it. A . . . a suitcase.”

 Galloway shook his head thoughtfully. “You never know, do you? I remember once I made a—”

 “The hell with that. I want that suitcase back!”

 “An heirloom?” Galloway suggested.

 “No, there’s money in it.”

 “Wasn’t that a little foolish of you? There hasn’t been a bank failure since 1949. Never suspected you were a miser, Vanning. Like to have the stuff around, so you can run it through your birdlike fingers, eh?”

 “You’re drunk.”

 “I’m trying,” Galloway corrected. “But I’ve built up an awful resistance over a period of years. It takes time. Your call’s already set me back two and a half drinks. I must put an extension on the siphon, so I can Winchell and guzzle at the same time.”

 Vanning almost chattered incoherently into the mike. “My suitcase! What happened to it? I want it back.”

 “\Vell, I haven’t got it.”

 “Can’t you find out where it is?”

 “Dunno. Tell me the details. I’ll see what I can figure out.” Vanning complied, revising his story as caution prompted. “0. K.,” Galloway said at last, rather unwillingly. “I hate working out theories, but just as a favor. . . . My diagnosis will cost you fifty credits.”

  “What? Now listen—” -

 “Fifty credits,” Galloway repeated unflinchingly. “Or no prognosis.”
 “How do I know you can get it back for me?”

 “Chances are I can’t. Still, maybe . . . I’ll have to go over to Mechanistra and use some of their machines. They charge a good bit, too. But I’ll need forty-brain-power calculators—”

 “0. K., 0. K.!” Vanning growled. “Hop to it. I want that suitcase back.”

 “What interests me is that little bug you squashed. In fact, that’s the only reason I’m tackling your problem. Life in the fourth dimension—” Galloway trailed off, murmuring. His face faded from the screen. After a while Vanning broke the connection.

 He re-examined the locker, finding nothing new. Yet the suedette suitcase had vanished from it, into thin air. Oh, hell!

 Brooding over his sorrows, Vanning shrugged into a top coat and dined vinously at the Manhattan Roof. He felt very sorry for himself. -

 The next day he felt even sorrier. A call to Galloway had given the blank signal, so Vanning had to mark time. About noon Macllson dropped in. His nerves were shot.

 “You took your time in springing me,” he started immediately. “Well, what now? Have you got a drink anywhere around?”

 “You don’t need a drink,” Vanning grunted. “You’ve got a skinful already, by the look of you. Run down to Florida and wait till this blows over.”

 “I’m sick of waiting. I’m going to South America. I want some credits.”

 “Wait’ll I arrange to cash the bonds.”

 “I’ll take the bonds. A fair half, as we agreed.”

 Vanning’s eyes narrowed. “And walk out into the hands of the police. Sure.”

 Macllson looked uncomfortable. “I’ll admit I made a boner. But this time—no, I’ll play smart now.”

 “You’ll wait, you mean.”

 “There’s a friend of mine on the roof parking lot, in a helicopter. I’ll go up and slip him the bonds, and then I’ll just walk out. The police won’t find anything on me.”

 “I said no,” Vanning repeated. “It’s too dangerous.”

 “It’s dangerous as things are. If they locate the bonds—”

 “They won’t.”

 “Where’d you hide ‘em?”

 “That’s my business.”

 Macllson glowered nervously. “Maybe. But they’re in this building. You couldn’t have finagled ‘em out yesterday before the cops came. No use playing your luck too far. Did they use X rays?”

 “Yeah.”

 “Well, I heard Counsel Hatton’s got a batch of experts going over the blueprints on this building. He’ll find your safe. I’m getting out of here before he does.” -

 Vanning patted the air. “You’re hysterical. I’ve taken care of you, haven’t I? Even though you almost screwed the whole thing up.”

 “Sure,” Macllson said, pulling at his lip. “But I”— He chewed a fingernail. “Oh, damn! I’m sitting on the edge of a volcano with termites under me. I can’t stay here and wait till they find the bonds. They can’t extradite me from South America—where I’m going, anyway.”

 “You’re going to wait,” Vanning said firmly. “That’s your best chance.”
 There was suddenly a gun in Macllson’s hand. “You’re going to give me half the bonds. Right now. I don’t trust you a little bit. You figure you can stall me along—hell, get those bonds!”

 “No,” Vanning said.

 “I’m not kidding.”

 “I know you aren’t. I can’t get the bonds.”

 “Eh? Why not?”

 “Ever heard of a time lock?” Vanning asked, his eyes watch-
ful. “You’re right; I put the suitcase in a concealed safe. But I can’t open that safe till a certain number of hours have passed.”

 “Mm-rn.” Macllson pondered. “When—”

 “Tomorrow.”

 “All right. You’ll have the bonds for me then?”

 “If you want them. But you’d better change your mind. It’d be safer.”

 For answer MadIson grinned - over his shoulder as he went out. Vanning sat motionless for a long time. He was, frankly, scared.

 The trouble was, Macllson was a manic-depressive type. He’d kill. Right now, he was cracking under the strain, and imagining himself a desperate fugitive. Well—precautions would be advisable.

 Vanning called Galloway again, but got no answer. He left a message on the recorder and thoughtfully looked into the locker again. It was empty, depressingly so. -

 That evening Galloway let Vanning into his laboratory. The scientist looked both tired and drunk. He waved comprehensively toward a table, covered with scraps of paper.

 “What a headache you gave me! If I’d known the principles behind that gadget, I’d have been afraid to tackle it. Sit down. Have a drink. Got the fifty credits?”

 Silently Vanning handed over the coupons. Galloway shoved them into Monstro. “Fine. Now—” He settled himself on the couch. “Now we start. The fifty credit question.”

 “Can I get the suitcase back?”

 “No,” Galloway said flatly. “At least, I don’t see how it can be worked. It’s in another spatio-temporal sector.”

 “Just what does that mean?”

 -“It means the locker works something like a telescope, only the thing isn’t merely visual. The locker’s a window, I figure. You can reach through it as well as look through it. It’s an opening into Now plus x.”

 Vanning scowled. “So far you haven’t said anything.”

 “So far all I’ve got is theory, and that’s all I’m likely to get. Look.
I was wrong originally. The things that went into the locker didn’t
appear in another space, because there would have been a spatial
constant. I mean, they wouldn’t have got smaller. Size is size. Moving
a one-inch cube from here to Mars wouldn’t make it any larger or
smaller.”

 “What about a different density in the surrounding medium? ‘Wouldn’t that crush an object?”

 “Sure, and it’d stay squashed. It wouldn’t return to its former size and shape when it was taken out of the locker again. X plus y never equals xy. But x times y—”

 “So?”

 “That’s a pun,” Galloway broke off to explain. “The things we put in the locker went into time. Their time-rate remained constant, but not the spatial relationships. Two things can’t occupy the same place at the same time. Ergo, your suitcase went into a different time. Now plus x. And what x represents I don’t know, though I suspect a few million years.”

 Vanning looked dazed. “The suitcase is a million years in the future?”

 “Dunno how far, but—I’d say plenty. I haven’t enough factors to finish the equation. I reasoned by induction, mostly, and the results are screwy as hell. Einstein would have loved it. My theorem shows that the universe is expanding and contracting at the same time.”

 “What’s that got to do—”

 “Motion is relative,” Galloway continued inexorably. “That’s a basic principle. Well, the Universe is expanding, spreading out like a gas, but its component parts are shrinking at the same time. The parts don’t actually grow, you know—not the suns and atoms. They just run away from the central point. Galloping off in all directions . . . where was I? Oh. Actually, the -Universe, taken as a unit, is shrinking.”

 “So, it’s shrinking. Where’s my suitcase?”

 “I told you. In the future. Inductive reasoning showed that. It’s beautifully simple and logical. And it’s quite impossible of proof, too. A hundred, a thousand, a million years ago the Earth—the Universe
—was larger than it is now. And it continues to contract. Sometime in the future the Earth will be just half as large as it is now. Only we won’t notice it because the Universe will be proportionately smaller.”

 Galloway went on dreamily. “We put a workbench into the locker, so it emerged sometime in the future. The locker’s an open window into a different time, as I told you. Well, the bench was affected by the conditions of that period. It shrank, after we gave it a few seconds to soak up the entropy or something. Do I mean entropy? Allah knows. Oh, well.”

 “It turned into a pyramid.”

 “Maybe there’s geometric distortion, too. Or it might be a visual illusion. Perhaps we can’t get the exact focus. I doubt if things will really look different in the future—except that they’ll be smaller—but we’re using a window into the fourth dimension. We’re taking a pleat in time. It must be like looking through a prism. The alteration in size is real, but the shape and color are altered to our eyes by the fourthdimensional prism.”

 “The whole point, then, is that my suitcase is in the future. Eh? But why did it disappear from the locker?”

 “What about that little creature you squashed? Maybe he had pals. They wouldn’t be visible till they came into the very narrow focus of the whatchmaycallit, but—figure it out. Sometime in the future, in a hundred or a thousand or a million years, a suitcase suddenly appears out of thin air. One of our descendants investigates. You kill him. His pals come along and carry the suitcase away, out-of range of the locker. In space it may be anywhere, and the time factor’s an unknown quantity. Now plus x. It’s a time locker. Well?”

 “Hell!” Vanning exploded. “So that’s all you can tell me? I’m supposed to chalk it up to profit and loss?”

 “Uh-huh. Unless you want to crawl into the locker yourself after your suitcase. Lord knows where you’d come out, though. The proportions of the air probably would have changed in a few thousand years. There might be other alterations, too.”

 “I’m not that crazy.”

 So there he was. The bonds were gone, beyond hope of redemp. tion. Vanning could resign himself to that loss, once he knew the securities wouldn’t fall into the hands of the police. But Macllson was another matter, especially after a bullet spattered against the glassolex window of Vanning’s office.

An interview with Macllson had proved unsatisfactory. The defaulter was convinced that Vanning was trying to bilk him. He was removed forcibly, yelling threats. He’d go to the police—he’d confess— Let him. There was no proof. The hell with him. But, for safety’s sake, Vanning clapped an injunction on his quondam client. It didn’t land. Macllson clipped the official on the jaw and fled.

Now, Vanning suspected, he lurked in dark corners, armed, and anxious to commit homicide. Obviously a manic-depressive type.

 Vanning took a certain malicious pleasure in demanding a couple of plain-clothes men to act as his guards. Legally, he was within his rights, since his life had been threatened. Until Macllson was under sufficient restriction, Vanning would be protected. And he made sure that his guards were two of the best shots on the Manhattan force. He also found out that they had been told to keep their eyes peeled for the missing bonds and the suedette suitcase. Vanning Winchelled Counsel Hatton and grinned at the screen.

 “Any luck yet?”

 “What do you mean?”

 “My watchdogs. Your spies. They won’t find the bonds, Hatton. Better call ‘em off. Why make the poor devils do two jobs at once?”

 “One job would be enough. Finding the evidence. If Macllson drilled you, I wouldn’t be too unhappy.”

 “Well, I’ll see you in court,” Vanning said. “You’re prosecuting Watson, aren’t you?”

 “Yes. Are you waiving scop?”

 “On the jurors? Sure. I’ve got this case in the bag.”

 “That’s what you think,” Hatton said, and broke the beam.

Chuckling, Vanning donned his topcoat, collected the guards, and headed for court. There was no sign of Macllson— Vanning won the case, as he had expected. He returned to his offices, collected a few unimportant messages from the switchboard girl, and walked toward his private suite. As he opened the door, he saw the suedette suitcase on the, carpet in due corner.

 He stopped, hand frozen on the latch. Behind him he could hear the heavy footsteps of the guards. Over his shoulder Vanning said, “Wait a minute,” and dodged into the office, slamming and locking the door behind him. He caught the tail end of a surprised question.

 The suitcase. There it was, unequivocally. And, quite as unequivocally, the two plain-clothes men, after a very brief conference, were hammering on the door, trying to break it down.

Vanning turned green. He took a hesitant step forward, and then saw the locker, in the corner to which he had moved it. The time locker— That was it. If he shoved the suitcase inside the locker, it would become unrecognizable. Even if it vanished again, that wouldn’t matter. What mattered was the vital importance of getting rid— immediately!—of incriminating evidence.

 The door rocked on its hinges. Vanning scuttled toward the suitcase and picked it up. From the corner of his eye he saw movement.

 In the air above him, a hand had appeared. It was the hand of a
giant, with an immaculate cuff fading into emptiness. Its huge fingers were reaching down— Vanning screamed and sprang away. He was too slow. The hand descended, and Vanning wriggled impotently against the palm. The hand contracted into a fist. When it opened, what was left of Vanning dropped squashily to the carpet, which it stained. The hand withdrew into nothingness. The door fell in and the plain-clothes men stumbled over it as they entered.

 It didn’t take long for Hatton and his cohorts to arrive. Still, there was little for them to do except clean up the mess. The suedette bag, containing twenty-five thousand credits in negotiable bonds, was carried off to a safer place. Vanning’s body was scraped up and removed to the morgue. Photographers flashed pictures, fingerprint experts insufflated their white powder, X ray men worked busily. It was all done with swift efficiency, so that within an hour the office was empty and the door sealed.

Thus there were no spectators to witness the advent of a gigantic hand that appeared from nothingness, groped around as though searching for something, and presently vanished once more— The only person who could have thrown light on the matter was Galloway, and his remarks were directed to Monstro, in the solitude of his laboratory. All he said was:

 “So that’s why that workbench materialized for a few minutes here yesterday. Hm-m-m. Now plus x—and x equals about a week. Still, why not? It’s all relative. But—I never thought the Universe was shrinking that fast!”

 He relaxed on the couch and siphoned a double Martini.

 “Yeah, that’s it,” he murmured after a while. “Whew! I guess Vanning must have been the only guy who ever reached into the middle of next week and—killed himself! I think I’ll get tight.”

 And he did.

The End

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury
Job: A Comedy of Justice (Full Text) by Robert Heinlein
Spell my name with an "S" by Isaac Asimov
The Proud Robot (Full Text)

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

“The Proud Robot” (Full Text) by Henry Kuttner (Lewis Padgett)

I love this story. It reminds me of…me.

Back in the day, I had amassed many, many tomes and collections of fine science fiction stories. I really loved the works from the “Golden Age” of science fiction, and one of my favorite writers was Lewis Padgett. Not well known, but completely awesome.

He wrote a series of short stories revolving around a mad scientist that produced brilliant work when he was shit-faced drunk. It’s not real life. That’s why I love it so. It’s so anti-PC.

Here is one of his best. This is a lively and often frankly hilarious account of how a very gifted (when under the influence of the demon Drink) scientist struggles to come to grips with the wackiness of his almost-perfect robot.

It’s one of his many, many creations while drunk. In this case, it is one in which he had just created with quite extraordinary powers, for a purpose which he cannot remember – and with his seemingly inextricable financial predicament(s).

It was first published in the October 1943 issue of Astounding Science Fiction as by “Lewis Padgett”, a nom de plume used by Henry Kuttner (1915–1958) and his wife C.L. Moore (1911-1987) for many of the 200+ fantasy, s-f and horror stories which they wrote together, essentially during the forties, mostly under this name.

The Proud Robot

ORIGINALLY the robot was intended to be a can opener. Things often happened that way with Gallegher, who played at science by ear. He was, as he often remarked, a casual genius. Sometimes he’d start with a twist of wire, a few batteries, and a button hook, and before he finished, he might contrive a new type of refrigerating unit. The affair of the time locker had begun that way, with Gallegher singing hoarsely under his breath and peering, quite drunk, into cans of paint.

At the moment he was nursing a hangover. A disjointed, lanky, vaguely boneless man with a lock of dark hair falling untidily over leis forehead, he lay on the couch in the lab and manipulated his mechanical liquor bar. A very dry Martini drizzled slowly from the spigot into his receptive mouth.
He was trying to remember something, but not trying too hard. It had to do with the robot, of course. Well, it didn’t matter.

“Hey, Joe,” Gallegher said.

The robot stood proudly before the mirror and examined its innards. Its hull was transparent, and wheels were going around at a great rate inside.

“When you call me that,” Joe remarked, “whisper. And get that cat out of here.”

“Your ears aren’t that good.”

“They are. I can hear the cat walking about, all right.”

“What does it sound like?” Gallegher inquired, interested.

“Just like drums,” said the robot, with a put-upon air. “And when you talk, it’s like thunder.” Joe’s voice was a discordant squeak, so Gallegher meditated on saying something about glass-houses and casting the first stone. He brought his attention, with some effort, to the luminous door panel, where a shadow loomed—a familiar shadow, Gallegher thought.

“It’s Brock,” the annunciator said. “Harrison Brock. Let me in!”

“The door’s unlocked.” Gallegher didn’t stir. He looked gravely at the well-dressed, middle-aged man who came in, and tried to remember. Brock was between forty and fifty; he had a smoothly massaged, clean-shaved face, and wore an expression of harassed intolerance. Probably Gallegher knew the man. He wasn’t sure.

Oh, well.

Brock looked around the big, untidy laboratory, blinked at the robot, searched for a chair, and failed to find it. Arms akimbo, he rocked back and forth and glared at the prostrate scientist.

“Well?” he said.

“Never start conversations that way,” Gallegher mumbled, siphoning another Martini down his gullet. “I’ve had enough trouble today. Sit down and take it easy. There’s a dynamo behind you. It isn’t very dusty, is it?”

“Did you get it?” Brock snapped. “That’s all I want to know. You’ve had a week. I’ve a check for ten thousand in my pocket. Do you want it, or don’t you?”

“Sure,” Gallegher said. He extended a large, groping hand. “Give.”

Caveat emptor. What am I buying?”

“Don’t you know?” the scientist asked, honestly puzzled.

Brock began to bounce up and down in a harassed fashion. “My God,” he said. “They told me you could help me if anybody could. Sure. And they also said it’d be like pulling teeth to get sense out of you. Are you a technician or a driveling idiot?”

Gallegher pondered. “Wait a minute. I’m beginning to remember. I talked to you last week, didn’t I?”

“You talked—” Brock’s round face turned pink. “Yes! You lay there swilling liquor and babbled poetry. You sang ’Frankie and Johnnie.’ And you finally got around to accepting my commission.”

“The fact is,” Gallegher said, “I have been drunk. I often get drunk. Especially on my vacation. It releases my subconscious, and then I can work. I’ve made my best gadgets when I was tizzied,” he went on happily. “Everything seems so clear then. Clear as a bell. I mean a bell, don’t I? Anyway—” He lost the thread and looked puzzled. “Anyway, what are you talking about?”

“Are you going to keep quiet?” the robot demanded from its post before the mirror.

Brock jumped. Gallegher waved a casual hand. “Don’t mind Joe. I just finished him last night, and I rather regret it.”

“A robot?”

“A robot. But he’s no good, you know. I made him when I was drunk, and I haven’t the slightest idea how or why. All he’ll do is stand there and admire himself. And sing. He sings like a banshee. You’ll hear him presently.”

With an effort Brock brought his attention back to the matter in hand. “Now look, Gallegher. I’m in a spot. You promised to help me. If you don’t, I’m a ruined man.”

“I’ve been ruined for years,” the scientist remarked. “It never bothers me. I just go along working for a living and making things in my spare time. Making all sorts of things. You know, if I’d really studied, I’d have been another Einstein. So they tell me. As it is, my subconscious picked up a first-class scientific training somewhere. Probably that’s why I never bothered. When I’m drunk or sufficiently absent-minded, I can work out the damnedest problems.”

“You’re drunk now,” Brock accused.

“I approach the pleasanter stages. How would you feel if you woke up and found you’d made a robot for some unknown reason, and hadn’t the slightest idea of the creature’s attributes?”

“Well—”

“I don’t feel that way at all,” Gallegher murmured. “Probably you take life too seriously, Brock. Wine is a mocker; strong drink is raging. Pardon me. I rage.” He drank another Martini.

Brock began to pace around the crowded laboratory, circling various enigmatic and untidy objects. “If you’re a scientist, Heaven help science.”

“I’m the Larry Adler of science,” Gallegher said. “He was a musician—lived some hundreds of years ago, I think. I’m like him. Never took a lesson in my life. Can I help it if my subconscious likes practical jokes?”

“Do you know who I am?” Brock demanded.

“Candidly, no. Should I?”

There was bitterness in the other’s voice. “You might have the courtesy to remember, even though it was a week ago. Harrison Brock. Me. I own Vox-View Pictures.”

“No,” the robot said suddenly, “it’s no use. No use at all, Brock.”

“What the—”

Gallegher sighed wearily. “I forget the damned thing’s alive. Mr. Brock, meet Joe. Joe, meet Mr. Brock—of Vox-View.”

Joe turned, gears meshing within his transparent skull. “I am glad to meet you, Mr. Brock. Allow me to congratulate you on your good fortune in hearing my lovely voice.”

“Uh,” said the magnate inarticulately. “Hello.”

“Vanity of vanities, all is vanity,” Gallegher put in, sotto voce. “Joe’s like that. A peacock. No use arguing with him, either.”

The robot ignored this aside. “But it’s no use, Mr. Brock,” he went on squeakily. “I’m not interested in money. I realize it would bring happiness to many if I consented to appear in your pictures, but fame means nothing to me. Nothing. Consciousness of beauty is enough.”

Brock began to chew his lips. “Look,” he said savagely, “I didn’t come here to offer you a picture job. See? Am I offering you a contract? Such colossal nerve— Pah! You’re crazy.”

“Your schemes are perfectly transparent,” the robot remarked coldly. “I can see that you’re overwhelmed by my beauty and the loveliness of my voice—its grand tonal qualities. You needn’t pretend you don’t want me, just so you can get me at a lower price. I said I wasn’t interested.”

“You’re cr-r-razy!” Brock howled, badgered beyond endurance, and Joe calmly turned back to his mirror.

“Don’t talk so loudly,” the robot warned. “The discordance is deafening. Besides, you’re ugly and I don’t like to look at you.” Wheels and cogs buzzed inside the transplastic shell. Joe extended his eyes on stalks and regarded himself with every appearance of appreciation.

Gallegher was chuckling quietly on the couch. “Joe has a high irritation value,” he said. “I’ve found that out already. I must have given him some remarkable senses, too. An hour ago he started to laugh his damn fool head off. No reason, apparently. I was fixing myself a bite to eat. Ten minutes after that I slipped on an apple core I’d thrown away and came down hard.

Joe just looked at me. ’That was it,’ he said. ’Logics of probability. Cause and effect. I knew you were going to drop that apple core and then step on it when you went to pick up the mail.’

Like the White Queen, I suppose. It’s a poor memory that doesn’t work both ways.”

Brock sat on the small dynamo—there were two, the larger one named Monstro, and the smaller one serving Gallegher as a bank—and took deep breaths. “Robots are nothing new.”

“This one is. I hate its gears. It’s beginning to give me an inferiority complex. Wish I knew why I’d made it,” Gallegher sighed. “Oh, well. Have a drink?”

“No. I came here on business. Do you seriously mean you spent last week building a robot instead of solving the problem I hired you for?”

“Contingent, wasn’t it?” Gallegher asked. “I think I remember that.”

“Contingent,” Brock said with satisfaction. “Ten thousand, if and when.”

“Why not give me the dough and take the robot? He’s worth that. Put him in one of your pictures.”

“I won’t have any pictures unless you figure out an answer,” Brock snapped. “I told you all about it.”

“I have been drunk,” Gallegher said. “My mind has been wiped clear, as by a sponge. I am as a little child. Soon I shall be as a drunken little child. Meanwhile, if you’d care to explain the matter again—”

Brock gulped down his passion, jerked a magazine at random from the bookshelf, and took out a stylo. “All right. My preferred stocks are at twenty-eight, ’way below par—” He scribbled figures on the magazine.

“If you’d taken that medieval folio next to that, it’d have cost you a pretty penny,” Gallegher said lazily. “So you’re the sort of guy who writes on tablecloths, eh? Forget this business of stocks and stuff. Get down to cases. Who are you trying to gyp?”

“It’s no use,” the robot said from before its mirror. “I won’t sign a contract. People may come and admire me, if they like, but they’ll have to whisper in my presence.”

“A madhouse,” Brock muttered, trying to get a grip on himself. “Listen, Gallegher. I told you all this a week ago, but—”

“Joe wasn’t here then. Pretend like you’re talking to him.” “Uh—look. You’ve heard of Vox-View Pictures, at least.”

“Sure. The biggest and best television company in the business.
Sonatone’s about your only competitor.”

“Sonatone’s squeezing me out.”

Gallegher looked puzzled. “I don’t see how. You’ve got the best product. Tri-dimensional color, all sorts of modern improvements, the top actors, musicians, singers—”

“No use,” the robot said. “I won’t.”

“Shut up, Joe. You’re tops in your field, Brock. I’ll hand you that. And I’ve always heard you were fairly ethical. What’s Sonatone got on you?”

Brock made helpless gestures. “Oh, it’s politics. The bootleg theaters. I can’t buck ’em. Sonatone helped elect the present administration, and the police just wink when I try to have the bootleggers raided.”

“Bootleg theaters?” Gallegher asked, scowling a trifle. “I’ve heard something—”

“It goes ’way back. To the old sound-film days. Home television killed sound film and big theaters. People were conditioned away from sitting in audience groups to watch a screen. The home televisors got good. It was more fun to sit in an easy-chair, drink beer, and watch the show. Television wasn’t a rich man’s hobby by that time. The meter system brought the price down to middle-class levels. Everybody knows that.”

“I don’t,” Gallegher said. “I never pay attention to what goes on outside of my lab, unless I have to. Liquor and a selective mind. I ignore everything that doesn’t affect me directly. Explain the whole thing in detail, so I’ll get a complete picture. I don’t mind repetition. Now, what about this meter system of yours?”

“Televisors are installed free. We never sell ’em; we rent them. People pay according to how many hours they have the set tuned in. We run a continuous show, stage plays, wire-tape films, operas, orchestras, singers, vaudeville—everything. If you use your televisor a lot, you pay proportionately. The man comes around once a month and reads the meter. Which is a fair system.

Anybody can afford a Vox-View. Sonatone and the other companies do the same thing, but Sonatone’s the only big competitor I’ve got. At least, the only one that’s crooked as hell. The rest of the boys—they’re smaller than I am, but I don’t step on their toes. Nobody’s ever called me a louse,” Brock said darkly.

“So what?”

“So Sonatone has started to depend on audience appeal. It was impossible till lately—you couldn’t magnify tri-dimensional television on a big screen without streakiness and mirage-effect. That’s why the regular three-by-four home screens were used. Results were perfect. But Sonatone’s bought a lot of the ghost theaters all over the country—”

“What’s a ghost theater?” Gallegher asked.

“Well—before sound films collapsed, the world was thinking big. Big—you know? Ever heard of the Radio City Music Hall? That wasn’t in it! Television was coming in, and competition was fierce. Sound-film theaters got bigger and more elaborate. They were palaces. Tremendous. But when television was perfected, nobody went to the theaters any more, and it was often too expensive a job to tear ’em down. Ghost theaters—see? Big ones and little ones. Renovated them. And they’re showing Sonatone programs. Audience appeal is quite a factor. The theaters charge plenty, but people flock into ’em. Novelty and the mob instinct.”
Gallegher closed his eyes. “What’s to stop you from doing the same thing?”

“Patents,” Brock said briefly. “I mentioned that dimensional tele­vision couldn’t be used on big screens till lately. Sonatone signed an agreement with me ten years ago that any enlarging improvements would be used mutually. They crawled out of that contract. Said it was faked, and the courts upheld them. They uphold the courts—politics. Anyhow, Sonatone’s technicians worked out a method of using the large screen. They took out patents—twenty-seven patents, in fact, covering every possible variation on the idea. My technical staff has been working day and night trying to find some similar method that won’t be an infringement, but Sonatone’s got it all sewed up. They’ve a system called the Magna. It can be hooked up to any type of tele­visor—but they’ll only allow it to be used on Sonatone machines. See?”

“Unethical, but legal,” Gallegher said. “Still, you’re giving your customers more for their money. People want good stuff. The size doesn’t matter.”

“Yeah,” Brock said bitterly, “but that isn’t all. The newspapers are full of A.A.—it’s a new catchword. Audience Appeal. The herd instinct. You’re right about people wanting good stuff—but would you buy Scotch at four a quart if you could get it for half that amount?”

“Depends on the quality. What’s happening?”

“Bootleg theaters,” Brock said. “They’ve opened all over the country. They show Vox-View products, and they’re using the Magna enlarger system Sonatone’s got patented. The admission price is low—lower than the rate of owning a Vox-View in your own home. There’s audience appeal. There’s the thrill of something a bit illegal. People are having their Vox-Views taken out right and left. I know why. They can go to a bootleg theater instead.”

“It’s illegal,” Gallegher said thoughtfully.

“So were speakeasies, in the Prohibition Era. A matter of protection, that’s all. I can’t get any action through the courts. I’ve tried. I’m running in the red. Eventually I’ll be broke. I can’t lower my home rental fees on Vox-Views. They’re nominal already. I make my profits through quantity. Now, no profits. As for these bootleg theaters, it’s pretty obvious who’s backing them.”

“Sonatone?”

“Sure. Silent partners. They get the take at the box office. ’What they want is to squeeze me out of business, so they’ll have a monopoly. After that they’ll give the public junk and pay their artists starvation salaries. With me it’s different. I pay my staff what they’re worth—plenty.”

“And you offered me a lousy ten thousand,” Gallegher remarked.

“Uh-huh!”

“That was only the first installment,” Brock said hastily. “You can name your own fee. Within reason,” he added.

“I shall. An astronomical sum. Did I say I’d accept the commission a week ago?”

“You did.”

“Then I must have had some idea how to solve the problem,” Gallegher pondered. “Let’s see. I didn’t mention anything in particular, did I?”

“You kept talking about marble slabs and . . . uh . . . your sweetie.”

“Then I was singing,” Gallegher explained largely. ” ’St. James Infirmary.’ Singing calms my nerves, and Lord knows they need it sometimes. Music and liquor. ’I often wonder what the vintners buy—’ “

“What?”

” ’One half so precious as the stuff they sell.’ Let it go. I am quoting Omar. It means nothing. Are your technicians any good?”

“The best. And the best paid.”

“They can’t find a magnifying process that won’t infringe on the Sonatone Magna patents?”

“In a nutshell, that’s it.”

“I suppose I’ll have to do some research,” Gallegher said sadly. I hate it like poison. Still, the sum of the parts equals the whole. Does that make sense to you? It doesn’t to me. I have trouble with words. After I say things, I start wondering what I’ve said. Better than watching a play,” he finished wildly. “I’ve got a headache. Too much talk and not enough liquor. Where were we?”

“Approaching the madhouse,” Brock suggested. “If you weren’t my last resort, I’d—”

“No use,” the robot said squeakily. “You might as well tear up your contract, Brock. I won’t sign it. Fame means nothing to me—nothing.”

“If you don’t shut up,” Gallegher warned, “I’m going to scream in your ears.”

“All right!” Joe shrilled. “Beat me! Go on, beat me! The meaner you are, the faster I’ll have my nervous system disrupted, and then I’ll be dead. I don’t care. I’ve got no instinct of self-preservation. Beat me. See if I care.”

“He’s right, you know,” the scientist said after a pause. “And it’s the only logical way to respond to blackmail or threats. The sooner it’s over, the better. There aren’t any gradations with Joe. Anything really painful to him will destroy him. And he doesn’t give a damn.”

“Neither do I,” Brock grunted. “What I want to find out—”

“Yeah. I know. Well, I’ll wander around and see what occurs to me. Can I get into your studios?”

“Here’s a pass.” Brock scribbled something on the back of a card.

“Will you get to work on it right away?”

“Sure,” Gallegher lied. “Now you run along and take it easy. Try and cool off. Everything’s under control. I’ll either find a solution to your problem pretty soon or else—”

“Or else what?”

“Or else I won’t,” the scientist finished blandly, and fingered the buttons on a control panel near the couch. “I’m tired of Martinis. Why didn’t I make that robot a mechanical bartender, while I was at it? Even the effort of selecting and pushing buttons is depressing at times. Yeah, I’ll get to work on the business, Brock. Forget it.”

The magnate hesitated. “Well, you’re my only hope. I needn’t bother to mention that if there’s anything I can do to help you—”

“A blonde,” Gallegher murmured. “That gorgeous, gorgeous star of yours, Silver O’Keefe. Send her over. Otherwise I want nothing.”

“Good-by, Brock,” the robot said squeakily. “Sorry we couldn’t get together on the contract, but at least you’ve had the ineluctable delight of hearing my beautiful voice, not to mention the pleasure of seeing me. Don’t tell too many people how lovely I am. I really don’t want to be bothered with mobs. They’re noisy.”

“You don’t know what dogmatism means till you’ve talked to Joe,” Gallegher said. “Oh, well. See you later. Don’t forget the blonde.”

Brock’s lips quivered. He searched for words, gave it up as a vain task, and turned to the door.

“Good-by, you ugly man,” Joe said.

Gallegher winced as the door slammed, though it was harder on the robot’s supersensitive ears than on his own. “Why do you go on like that?” he inquired. “You nearly gave the guy apoplexy.”

“Surely he didn’t think he was beautiful,” Joe remarked. “Beauty’s in the eye of the beholder.”

“How stupid you are. You’re ugly, too.”

“And you’re a collection of rattletrap gears, pistons and cogs. You’ve got worms,” said Gallegher, referring, of course, to certain mechanisms in the robot’s body.

“I’m lovely.” Joe stared raptly into the mirror.

“Maybe, to you. Why did I make you transparent, I wonder?”

“So others could admire me. I have X-ray vision, of course.”

“And wheels in your head. Why did I put your radioatomic brain in your stomach? Protection?”

Joe didn’t answer. He was humming in a maddeningly squeaky voice, shrill and nerve-racking. Gallegher stood it for a while, fortify­ing himself with a gin rickey from the siphon.

“Get it up!” he yelped at last. “You sound like an old-fashioned subway train going around a curve.”

“You’re merely jealous,” Joe scoffed, but obediently raised his tone to a supersonic pitch. There was silence for a half-minute. Then all the dogs in the neighborhood began to howl.

Wearily Gallegher dragged his lanky frame up from the couch. He might as well get out. Obviously there was no peace to be had in the laboratory. Not with that animated junk pile inflating his ego all over the place. Joe began to laugh in an off-key cackle.

Gallegher winced.

“What now?”

“You’ll find out.”

Logic of causation and effect, influenced by probabilities, X-ray vision and other enigmatic senses the robot no doubt possessed. Gallegher cursed softly, found a shapeless black hat, and made for the door. He opened it to admit a short, fat man who bounced painfully off the scientist’s stomach.

“Whoof! What a corny sense of humor that jackass has. Hello, Mr. Kennicott. Glad to see you. Sorry I can’t offer you a drink.”
Mr. Kennicott’s swarthy face twisted malignantly. “Don’ wanna no drink. Wanna my money. You gimme. Howzabout it?”

Gallegher looked thoughtfully at nothing. “Well, the fact is, I was just going to collect a check.”

“I sella you my diamonds. You say you gonna make somet’ing wit’ ’em. You gimme check before. It go bounca, bounca, bounca. Why is?”

“It was rubber,” Gallegher said faintly. “I never can keep track of my bank balance.”

Kennicott showed symptoms of going bounca on the threshold. “You gimme back diamonds, eh?”

“Well, I used ’em in an experiment, I forget just what. You know, Mr. Kennicott, I think I was a little drunk when I bought them, wasn’t I?”

“Dronk,” the little man agreed. “Mad wit’ vino, sure. So whatta? I wait no longer. Awready you put me off too much. Pay up now or elsa.”

“Go away, you dirty man,” Joe said from within the room. “You’re awful.”

Gallegher hastily shouldered Kennicott out into the street and latched the door behind him. “A parrot;” he explained. “I’m going to wring its neck pretty soon. Now about that money. I admit I owe it to you. I’ve just taken on a big job, and when I’m paid, you’ll get yours.”

“Bah to such stuff,” Kennicott said. “You gotta position, eh? You are technician wit’ some big company, Ai? Ask for ahead-salary.”

“I did,” Gallegher sighed. “I’ve drawn my salary for six months ahead. Now look, I’ll have that dough for you in a couple of days. Maybe I can get an advance from my client. O. K.?”

“No.”

“No?”

“Ah-h, nutsa. I waita one day. Two daysa, maybe. Enough. You get money. Awright. If not, O. K., calabozo for you.”

“Two days is plenty,” Gallegher said, relieved. “Say, are there any of those bootleg theaters around here?”

“Better you get to work an’ not waste time.”

“That’s my work. I’m making a survey. How can I find a bootleg place?”

“Easy. You go downtown, see guy in doorway. He sell you tickets. Anywhere. All over.”

“Swell,” Gallegher said, and bade the little man adieu. Why had he bought diamonds from Kennicott? It would be almost worth while to have his subconscious amputated. It did the most extraordinary things. It worked on inflexible principles of logic, but that logic was completely alien to Gallegher’s conscious mind. The results, though, were often surprisingly good, and always surprising. That was the worst of being a scientist who knew no science—who played by ear.

There was diamond dust in a retort in the laboratory, from some unsatisfactory experiment Gallegher’s subconscious had performed; and he had a fleeting memory of buying the stones from Kennicott. Curious. Maybe—oh, yeah. They’d gone into Joe. Bearings or something. Dismantling the robot wouldn’t help now, for the diamonds had certainly been reground. Why the devil hadn’t he used commercial stones, quite as satisfactory, instead of purchasing blue-whites of the finest water? The best was none too good for Gallegher’s subconscious. It had a fine freedom from commercial instincts. It just didn’t understand the price system or the basic principles of economics.

Gallegher wandered downtown like a Diogenes seeking truth. It was early evening, and the luminates were flickering on overhead, pale bars of light against darkness. A sky sign blazed above Manhattan’s towers. Air-taxis, skimming along at various arbitrary levels, paused for passengers at the elevator landings. Heigh-ho.

Downtown, Gallegher began to look for doorways. He found an occupied one at last, but the man was selling post cards. Gallegher declined and headed for the nearest bar, feeling the need of replenishment. It was a mobile bar, combining the worst features of a Coney Island ride with uninspired cocktails, and Gallegher hesitated on the threshold. But at last he seized a chair as it swung past and relaxed as much as possible. He ordered three rickeys and drank them in rapid succession. After that he called the bartender over and asked him about bootleg theaters.

“Hell, yes,” the man said, producing a sheaf of tickets from his apron. “How many?”

“One. Where do I go?”

“Two-twenty-eight. This street. Ask for Tony.”

“Thanks,” Gallegher said, and, having paid exorbitantly, crawled out of the chair and weaved away. Mobile bars were an improvement he didn’t appreciate. Drinking, he felt, should be performed in a state of stasis, since one eventually reached that stage, anyway.

The door was at the bottom of a flight of steps, and there was a grilled panel set in it. When Gallegher knocked, the visascreen lit up—obviously a one-way circuit, for the doorman was invisible.

“Tony here?” Gallegher said.

The door opened, revealing a tired-looking man in pneumo-slacks, which failed in their purpose of building up his skinny figure. “Got a ticket? Let’s have it. O. K., bud. Straight ahead. Show now going on. Liquor served in the bar on your left.”

Gallegher pushed through sound-proofed curtains at the end of a short corridor and found himself in what appeared to be the foyer of an ancient theater, circa 1980, when plastics were the great fad. He smelled out the bar, drank expensively priced cheap liquor, and, fortified, entered the theater itself. It was nearly full.

The great screen—a Magna, presumably—was filled with people doing things to a spaceship. Either an adventure film or a newsreel, Gallegher realized.

Only the thrill of lawbreaking would have enticed the audience into the bootleg theater. It smelled. It was certainly run on a shoestring, and there were no ushers. But it was illicit, and therefore well patronized. Gallegher looked thoughtfully at the screen. No streakiness, no mirage effect. A Magna enlarger had been fitted to a Vox-View unlicensed televisor, and one of Brock’s greatest stars was emoting effectively for the benefit of the bootleggers’ patrons. Simple highjacking. Yeah.

After a while Gallegher went out, noticing a uniformed policeman in one of the aisle seats. He grinned sardonically. The flatfoot hadn’t paid his admission, of course. Politics were as usual.

Two blocks down the street a blaze of light announced SONATONE BIJOU. This, of course, was one of the legalized theaters, and correspondingly high-priced. Gallegher recklessly squandered a small fortune on a good seat. He was interested in comparing notes, and discovered that, as far as he could make out, the Magna in the Bijou and the bootleg theater were identical. Both did their job perfectly. The difficult task of enlarging television screens had been successfully surmounted.

In the Bijou, however, all was palatial. Resplendent ushers salaamed to the rugs. Bars dispensed free liquor, in reasonable quantities. There was a Turkish bath. Gallegher went through a door labeled MEN and emerged quite dazzled by the splendor of the place. For at least ten minutes afterward he felt like a Sybarite.

All of which meant that those who could afford it went to the legalized Sonatone theaters, and the rest attended the bootleg places. All but a few homebodies, who weren’t carried off their feet by the new fad. Eventually Brock would be forced out of business for lack of revenue. Sonatone would take over, jacking up their prices and concentrating on making money. Amusement was necessary to life; people had been conditioned to television. There was no substitute. They’d pay and pay for inferior talent, once Sonatone succeeded in their squeeze.

Gallegher left the Bijou and hailed an air-taxi. He gave the address of Vox-View’s Long Island studio, with some vague hope of getting a drawing account out of Brock. Then, too, he wanted to investigate further.

Vox-View’s eastern offices sprawled wildly over Long Island, bordering the Sound, a vast collection of variously shaped buildings. Gallegher instinctively found the commissary, where he absorbed more liquor as a precautionary measure. His subconscious had a heavy job ahead, and he didn’t want it handicapped by lack of complete freedom. Besides, the Collins was good.

After one drink, he decided he’d had enough for a while. He wasn’t a superman, though his capacity was slightly incredible. Just enough for objective clarity and subjective release—
“Is the studio always open at night?” he asked the waiter.

“Sure. Some of the stages, anyway. It’s a round-the-clock program.”

“The commissary’s full.”

“We get the airport crowd, too. ’Nother?”

Gallegher shook his head and went out. The card Brock had given him provided entree at a gate, and he went first of all to the big-shot’s office. Brock wasn’t there, but loud voices emerged, shrilly feminine.

The secretary said, “Just a minute, please,” and used her interoffice visor. Presently—”Will you go in?”

Gallegher did. The office was a honey, functional and luxurious at the same time. Three-dimensional stills were in niches along the walls —Vox-View’s biggest stars. A small, excited, pretty brunette was sitting behind the desk, and a blond angel was standing furiously on the other side of it. Gallegher recognized the angel as Silver O’Keefe.

He seized the opportunity. “Hiya, Miss O’Keefe. Will you autograph an ice cube for me? In a highball?”

Silver looked feline. “Sorry, darling, but I’m a working girl. And I’m busy right now.”

The brunette scratched a cigarette. “Let’s settle this later, Silver. Pop said to see this guy if he dropped in. It’s important.”

“It’ll be settled,” Silver said. “And soon.” She made an exit. Gallegher whistled thoughtfully at the closed door.

“You can’t have it,” the brunette said. “It’s under contract. And it wants to get out of the contract, so it can sign up with Sonatone. Rats desert a sinking ship. Silver’s been kicking her head off ever since she read the storm signals.”

“Yeah?”

“Sit down and smoke or something. I’m Patsy Brock. Pop runs this business, and I manage the controls whenever he blows his top. The old goat can’t stand trouble. He takes it as a personal affront.”
Gallegher found a chair. “So Silver’s trying to renege, eh? How many others?”

“Not many. Most of ’em are loyal. But, of course, if we bust up—” Patsy Brock shrugged. “They’ll either work for Sonatone for their cakes, or else do without.”

“Uh-huh. Well—I want to see your technicians. I want to look over the ideas they’ve worked out for enlarger screens.”

“Suit yourself,” Patsy said. “It’s not much use. You just can’t make a televisor enlarger without infringing on some Sonatone patent.”
She pushed a button, murmured something into a visor, and presently two tall glasses appeared through a slot in the desk.

“Mr. Gallegher?”

“Well, since it’s a Collins—”

“I could tell by your breath,” Patsy said enigmatically. “Pop told me he’d seen you. He seemed a bit upset, especially by your new robot. What is it like, anyway?”

“Oh, I don’t know,” Gallegher said, at a loss. “It’s got lots of abilities—new senses, I think—but I haven’t the slightest idea what it’s good for. Except admiring itself in a mirror.”

Patsy nodded. “I’d like to see it sometime. But about this Sonatone business. Do you think you can figure out an answer?”

“Possibly. Probably.”

“Not certainly?”

“Certainly, then. Of that there is no manner of doubt—no possible doubt whatever.”

“Because it’s important to me. The man who owns Sonatone is Elia Tone. A piratical skunk. He blusters. He’s got a son named Jimmy. And Jimmy, believe it or not, has read ’Romeo and Juliet.’ “

“Nice guy?”

“A louse. A big, brawny louse. He wants me to marry him.”

” ’Two families both alike in—’ “

“Spare me,” Patsy interrupted. “I always thought Romeo was a dope, anyway. And if I ever thought I was going aisling with Jimmy Tone, I’d buy a one-way ticket to the nut hatch. No, Mr. Gallegher, it’s not like that. No hibiscus blossoms. Jimmy has proposed to me—his idea of a proposal, by the way, is to get a half Nelson on a girl and tell her how lucky she is.”

“Ah,” said Gallegher, diving into his Collins.

“This whole idea—the patent monopoly and the bootleg theaters —is Jimmy’s. I’m sure of that. His father’s in on it, too, of course, but Jimmy Tone is the bright little boy who started it.”

“Why.”

“Two birds with one stone. Sonatone will have a monopoly on the business, and Jimmy thinks he’ll get me. He’s a little mad. He can’t believe I’m in earnest in refusing him, and he expects me to break down and say ’Yes’ after a while. Which I won’t, no matter what happens. But it’s a personal matter. I can’t let him put this trick over on us. I want that self-sufficient smirk wiped off his face.”

“You just don’t like him, eh?” Gallegher remarked. “I don’t blame you, if he’s like that. Well, I’ll do my damnedest. However, I’ll need an expense account.”

“How much?”

Gallegher named a sum. Patsy styloed a check for a far smaller amount. The scientist looked hurt.

“It’s no use,” Patsy said, grinning crookedly. “I’ve heard of you, Mr. Gallegher. You’re completely irresponsible. If you had more than this, you’d figure you didn’t need any more, and you’d forget the whole matter. I’ll issue more checks to you when you need ’em—but I’ll want itemized expense accounts.”

“You wrong me,” Gallegher said, brightening. “I was figuring on taking you to a night club. Naturally I don’t want to take you to a dive. The big places cost money. Now if you’ll just write another check—”

Patsy laughed. “No.”

“Want to buy a robot?”

“Not that kind, anyway.”

“Then I’m washed up,” Gallegher sighed. “Well, what about—”

At this point the visor hummed. A blank, transparent face grew on the screen. Gears were clicking rapidly inside the round head. Patsy gave a small shriek and shrank back.

“Tell Gallegher Joe’s here, you lucky girl,” a squeaky voice announced. “You may treasure the sound and sight of me till your dying day. One touch of beauty in a world of drabness—”

Gallegher clutched the desk and looked at the screen. “What the hell. How did you come to life?”

“I had a problem to solve.”

“How’d you know where to reach me?”

“I vastened you,” the robot said.

“What?”

“I vastened you were at the Vox-View studios with Patsy Brock.”

“What’s vastened?” Gallegher wanted to know.

“It’s a sense I’ve got. You’ve nothing remotely like it, so I can’t describe it to you. It’s rather like a combination of sagrazi and prescience.”

“Sagrazi?”

“Oh, you don’t have sagrazi, either, do you? Well, don’t waste my time. I want to go back to the mirror.”

“Does he always talk like that?” Patsy put in.

“Nearly always. Sometimes it makes even less sense. O. K., Joe. Now what?”

“You’re not working for Brock any more,” the robot said. “You’re working for the Sonatone people.”

Gallagher breathed deeply. “Keep talking. You’re crazy, though.”

“I don’t like Kennicott. He annoys me. He’s too ugly. His vibrations grate on my sagrazi.”

“Never mind him,” Gallegher said, not wishing to discuss his diamond-buying activities before the girl. “Get back to—”

“But I knew Kennicott would keep coming back till he got his money. So when Elia and James Tone came to the laboratory, I got a check from them.”

Patsy’s hand gripped Gallegher’s biceps. “Steady! What’s going on here? The old double cross?”

“No. Wait. Let me get to the bottom of this. Joe, damn your transparent hide, just what did you do? How could you get a check from the Tones?”

“I pretended to be you.”

“Sure,” Gallegher said with savage sarcasm. “That explains it. We’re twins. We look exactly alike.”

“I hypnotized them,” Joe explained. “I made them think I was you.”

“You can do that?

“Yes. It surprised me a bit. Still, if I’d thought, I’d have vastened I could do it.”

“You . . . yeah, sure. I’d have vastened the same thing myself. What happened?

“The Tones must have suspected Brock would ask you to help him. They offered an exclusive contract—you work for them and nobody else. Lots of money. Well, I pretended to be you, and said all right. So I signed the contract—it’s your signature, by the way—and got a check from them and mailed it to Kennicott.”

“The whole check?” Gallegher asked feebly. “How much was it?”

“Twelve thousand.”

“They only offered me that?

“No,” the robot said, “they offered a hundred thousand, and two thousand a week for five years. But I merely wanted enough to pay Kennicott and make sure he wouldn’t come back and bother me. The Tones were satisfied when I said twelve thousand would be enough.”

Gallegher made an articulate, gurgling sound deep in his throat Joe nodded thoughtfully.

“I thought I had better notify you that you’re working for Sonatone now. Well, I’ll go back to the mirror and sing to myself.”

“Wait,” the scientist said. “Just wait, Joe. With my own two hands I’m going to rip you gear from gear and stamp on your fragments.”

“It won’t hold in court,” Patsy said, gulping.

“It will,” Joe told her cheerily. “You may have one last, satisfying look at me, and then I must go.” He went.

Gallegher drained his Collins at a draft. “I’m shocked sober,” he informed the girl. “What did I put into that robot? What abnormal senses has he got? Hypnotizing people into believing he’s me—I’m him—I don’t know what I mean.”

“Is this a gag?” Patsy said shortly, after a pause. “You didn’t sign up with Sonatone yourself, by any chance, and have your robot call up here to give you an out—an alibi? I’m just wondering.”

“Don’t. Joe signed a contract with Sonatone, not me. But—figure it out: If the signature’s a perfect copy of mine, if Joe hypnotized the Tones into thinking they saw me instead of him, if there are witnesses to the signature—the two Tones are witnesses, of course— Oh, hell.”

Patsy’s eyes were narrowed. “We’ll pay you as much as Sonatone offered. On a contingent basis. But you’re working for Vox-View— that’s understood.”

“Sure.”

Gallegher looked longingly at his empty glass. Sure. He was working for Vox-View. But, to all legal appearances, he had signed a contract giving his exclusive services to Sonatone for a period of five years —and for a sum of twelve thousand! Yipe! What was it they’d offered? A hundred thousand flat, and . . . and—

It wasn’t the principle of the thing, it was the money. Now Gallegher was sewed up tighter than a banded pigeon. If Sonatone could win a court suit, he was legally bound to them for five years. With no further emolument. He had to get out of that contract, somehow—and at the same time solve Brock’s problem.

Why not Joe? The robot, with his surprising talents, had got Gallegher into this spot. He ought to be able to get the scientist out. He’d better—or the proud robot would soon be admiring himself piecemeal.

“That’s it,” Gallegher said under his breath. “I’ll talk to Joe. Patsy, feed me liquor in a hurry and send me to the technical department. I want to see those blueprints.”

The girl looked at him suspiciously. “All right. If you try to sell us out—”

“I’ve been sold out myself. Sold down the river. I’m afraid of that robot. He’s vastened me into quite a spot. That’s right, Collinses.” Gallegher drank long and deeply.

After that, Patsy took him to the tech offices. The reading of three-dimensional blueprints was facilitated with a scanner—a selective device which eliminated confusion. Gallegher studied the plans long and thoughtfully. There were copies of the patented Sonatone prints, too, and, as far as he could tell, Sonatone had covered the ground beautifully. There weren’t any outs. Unless one used an entirely new principle—

But new principles couldn’t be plucked out of the air. Nor would that solve the problem completely. Even if Vox-View owned a new type of enlarger that didn’t infringe on Sonatone’s Magna, the bootleg theaters would still be in existence, pulling the trade. A. A.—Audience Appeal—was a prime factor now. It had to be considered. The puzzle wasn’t a purely scientific one. There was the human equation as well.

Gallegher stored the necessary information in his mind, neatly indexed on shelves. Later he’d use what he wanted. For the moment, he was completely baffled. Something worried him.

What?

The Sonatone affair.

“I want to get in touch with the Tones,” he told Patsy. “Any ideas?”

“I can reach ’em on a visor.”

Gallegher shook his head. “Psychological handicap. It’s too easy to break the connection.”

“Well, if you’re in a hurry, you’ll probably find the boys night clubbing. I’ll go see what I can find out.” Patsy scuttled off, and Silver O’Keefe appeared from behind a screen.

“I’m shameless,” she announced. “I always listen at keyholes. Sometimes I hear interesting things. If you want to see the Tones, they’re at the Castle Club. And I think I’ll take you up on that drink.”

Gallegher said, “O. K. You get a taxi. I’ll tell Patsy we’re going.”

“She’ll hate that,” Silver remarked. “Meet you outside the commissary in ten minutes. Get a shave while you’re at it.”

Patsy Brock wasn’t in her office, but Gallegher left word. After that, he visited the service lounge, smeared invisible shave cream on his face, left it there for a couple of minutes, and wiped it off with a treated towel. The bristles came away with the cream. Slightly refreshed, Gallegher joined Silver at the rendezvous and hailed an air-taxi. Presently they were leaning back on the cushions, puffing cigarettes and eyeing each other warily.

“Well?” Gallegher said.

“Jimmy Tone tried to date me up tonight. That’s how I knew where to find him.”

“Well?”

“I’ve been asking questions around the lot tonight. It’s unusual for an outsider to get into the Vox-View administration offices. I went around saying, ’Who’s Gallegher?’ “

“What did you find out?”

“Enough to give me a few ideas. Brock hired you, eh? I can guess why.”

Ergo what?”

“I’ve a habit of landing on my feet,” Silver said, shrugging. She knew how to shrug. “Vox-View’s going bust. Sonatone’s taking over. Unless—”

“Unless I figure out an answer.”

“That’s right. I want to know which side of the fence I’m going to land on. You’re the lad who can probably tell me. Who’s going to win?”

“You always bet on the winning side, eh?” Gallegher inquired.

Have you no ideals, wench? Is there no truth in you? Ever hear of ethics and scruples?”

Silver beamed happily. “Did you?”

“Well, I’ve heard of ’em. Usually I’m too drunk to figure out what they mean. The trouble is, my subconscious is completely amoral, and when it takes over, logic’s the only law.”

She threw her cigarette into the East River. “Will you tip me off which side of the fence is the right one?”

“Truth will triumph,” Gallegher said piously. “It always does. However, I figure truth is a variable, so we’re right back where we started. All right, sweetheart. I’ll answer your question. Stay on my side if you want to be safe.”

“Which side are you on?”

“Lord knows,” Gallegher said. “Consciously I’m on Brock’s side. But my subconscious may have different ideas. We’ll see.”

Silver looked vaguely dissatisfied, but didn’t say anything. The taxi swooped down to the Castle roof, grounding with pneumatic gentleness. The Club itself was downstairs, in an immense room shaped like half a melon turned upside down. Each table was on a transparent platform that could be raised on its shaft to any height at will. Smaller service elevators allowed waiters to bring drinks to the guests. There wasn’t any particular reason for this arrangement, but at least it was novel, and only extremely heavy drinkers ever fell from their tables. Lately the management had taken to hanging transparent nets under the platforms, for safety’s sake.

The Tones, father and son, were up near the roof, drinking with two lovelies. Silver towed Gallegher to a service lift, and the man closed his eyes as he was elevated skyward. The liquor in his stomach screamed protest. He lurched forward, clutched at Elia Tone’s bald head, and dropped into a seat beside the magnate. His searching hand found Jimmy Tone’s glass, and he drained it hastily.

“What the hell,” Jimmy said.

“It’s Gallegher,” Elia announced. “And Silver. A pleasant surprise. Join us?”

“Only socially,” Silver said.

Gallegher, fortified by the liquor, peered at the two men. Jimmy Tone was a big, tanned, handsome lout with a jutting jaw and an offensive grin. His father combined the worst features of Nero and a crocodile.

“We’re celebrating,” Jimmy said. “What made you change your mind, Silver? You said you had to work tonight.”

“Gallegher wanted to see you. I don’t know why.”

Elia’s cold eyes grew even more glacial. “All right. Why?”

“I hear I signed some sort of contract with you,” the scientist said.

“Yeah. Here’s a photostatic copy. What about it?”

“Wait a minute.” Gallegher scanned the document. It was apparently his own signature. Damn that robot!

“It’s a fake,” he said at last.

Jimmy laughed loudly. “I get it. A holdup. Sorry, pal, but you’re sewed up. You signed that in the presence of witnesses.”

“Well—” Gallegher said wistfully. “I suppose you wouldn’t believe me if I said a robot forged my name to it—”

“Haw!” Jimmy remarked.

“—hypnotizing you into believing you were seeing me.”

Elia stroked his gleaming bald head. “Candidly, no. Robots can’t do that.”

“Mine can.”

“Prove it. Prove it in court. If you can do that, of course—” Elia chuckled. “Then you might get the verdict.”

Gallegher’s eyes narrowed. “Hadn’t thought of that. However—I hear you offered me a hundred thousand flat, as well, as a weekly salary.”

“Sure, sap,” Jimmy said. “Only you said all you needed was twelve thousand. Which was what you got. Tell you what, though. We’ll pay you a bonus for every usable product you make for Sonatone.”

Gallegher got up. “Even my subconscious doesn’t like these lugs,” he told Silver. “Let’s go.”

“I think I’ll stick around.”

“Remember the fence,” he warned cryptically. “But suit yourself. I’ll run along.”

Elia said, “Remember, Gallegher, you’re working for us. If we hear of you doing any favors for Brock, we’ll slap an injunction on you before you can take a deep breath.”

“Yeah?”

The Tones deigned no answer. Gallegher unhappily found the lift and descended to the floor. What now?

Joe.

Fifteen minutes later Gallegher let himself into his laboratory. The lights were blazing, and dogs were barking frantically for blocks around. Joe stood before the mirror, singing inaudibly.

“I’m going to take a sledge hammer to you,” Gallegher said. “Start saying your prayers, you misbegotten collection of cogs. So help me, I’m going to sabotage you.”

“All right, beat me,” Joe squeaked. “See if I care. You’re merely jealous of my beauty.”

“Beauty!”

“You can’t see all of it—you’ve only six senses.”

“Five.”

“Six. I’ve a lot more. Naturally my full splendor is revealed only to me. But you can see enough and hear enough to realize part of my loveliness, anyway.”

“You squeak like a rusty tin wagon,” Gallegher growled.

“You have dull ears. Mine are supersensitive. You miss the full tonal value of my voice, of course. Now be quiet. Talking disturbs me. I’m appreciating my gear movements.”

“Live in your fool’s paradise while you can. Wait’ll I find a sledge.”
“All right, beat me. What do I care?”

Gallegher sat down wearily on the couch, staring at the robot’s transparent back. “You’ve certainly screwed things up for me. What did you sign that Sonatone contract for?”

“I told you. So Kennicott wouldn’t come around and bother me.”

“Of all the selfish, lunk-headed . . . uh! Well, you got me into a sweet mess. The Tones can hold me to the letter of the contract unless I prove I didn’t sign it. All right. You’re going to help me. You’re going into court with me and turn on your hypnotism or whatever it is. You’re going to prove to a judge that you did and can masquerade as me.”

“Won’t,” said the robot. “Why should I?”

“Because you got me into this,” Gallegher yelped. “You’ve got to get me out!”

“Why?”

“Why? Because . . . uh . . . well, it’s common decency!” “Human values don’t apply to robots,” Joe said. “What care I for semantics? I refuse to waste time I could better employ admiring my beauty. I shall stay here before the minor forever and ever—”

“The hell you will,” Gallegher snarled. “I’ll smash you to atoms.”

“All right. I don’t care.”

“You don’t?”

“You and your instinct for self-preservation,” the robot said, rather sneeringly “I suppose it’s necessary for you, though. Creatures of such surpassing ugliness would destroy themselves out of sheer shame if they didn’t have something like that to keep them alive.”

“Suppose I take away your mirror?” Gallegher asked, in a hopeless voice.

For answer Joe shot his eyes out on their stalks. “Do I need a mirror? Besides, I can vasten myself lokishly.”

“Never mind that. I don’t want to go crazy for a while yet. Listen, dope, a robot’s supposed to do something. Something useful, I mean.”

“I do. Beauty is all.”

Gallegher squeezed his eyes shut, trying to think. “Now look. Suppose I invent a new type of enlarger screen for Brock. The Tones will impound it. I’ve got to be legally free to work for Brock, or—”

“Look!” Joe cried squeakishly. “They go round! How lovely!” He stared in ecstasy at his whirring insides. Gallegher went pale with impotent fury.

“Damn you!” he muttered. “I’ll find some way to bring pressure to bear. I’m going to bed.” He rose and spitefully snapped off the lights.

“It doesn’t matter,” the robot said. “I can see in the dark, too.” The door slammed behind Gallegher. In the silence Joe began to sing tunelessly to himself.

Gallegher’s refrigerator covered an entire wall of his kitchen. It was filled mostly with liquors that required chilling, including the imported canned beer with which he always started his binges.

The next morning, heavy-eyed and disconsolate, Gallegher searched for tomato juice, took a wry sip, and hastily washed it down with rye. Since he was already a week gone in bottle-dizziness, beer wasn’t indicated now —he always worked cumulatively, by progressive stages. The food service popped a hermetically sealed breakfast on a table, and Gallegher morosely toyed with a bloody steak.

Well?

Court, he decided, was the only recourse. He knew little about the robot’s psychology. But a judge would certainly be impressed by Joe’s talents. The evidence of robots was not legally admissible—still, if Joe could be considered as a machine capable of hypnotism, the Sonatone contract might be declared null and void.

Gallegher used his visor to start the ball rolling. Harrison Brock still had certain political powers of pull, and the hearing was set for that very day. What would happen, though, only God and the robot knew.

Several hours passed in intensive but futile thought. Gallegher could think of no way in which to force the robot to do what he wanted. If only he could remember the purpose for which Joe had had been created—but he couldn’t. Still—

At noon he entered the laboratory.

“Listen, stupid,” he said, “you’re coming to court with me. Now.”

“Won’t.”

“O. K.” Gallegher opened the door to admit two husky men in overalls, carrying a stretcher. “Put him in, boys.”

Inwardly he was slightly nervous. Joe’s powers were quite unknown, his potentialities an x quantity. However, the robot wasn’t very large, and, though he struggled and screamed in a voice of frantic squeakiness, he was easily loaded on the stretcher and put in a strait jacket.

“Stop it! You can’t do this to me! Let me go, do you hear? Let me go!”

“Outside,” Gallegher said.

Joe, protesting valiantly, was carried out and loaded into an air van. Once there, he quieted, looked up blankly at nothing. Gallegher sat down on a bench beside the prostrate robot. The van glided up.

“Well?”

“Suit yourself,” Joe said. “You got me all upset, or I could have hypnotized you all. I still could, you know. I could make you all run around barking like dogs.”

Gallegher twitched a little. “Better not.”

“I won’t. It’s beneath my dignity. I shall simply lie here and admire myself. I told you I don’t need a mirror. I can vasten my beauty without it.”

“Look,” Gallegher said. “You’re going to a courtroom. There’ll be a lot of people in it. They’ll all admire you They’ll admire you more if you show how you can hypnotize people. Like you did to the Tones, remember?”

“What do I care how many people admire me?” Joe asked. “I don’t need confirmation. If they see me, that’s their good luck. Now be quiet. You may watch my gears if you choose.”

Gallegher watched the robot’s gears with smoldering hatred in his eyes. He was still darkly furious when the van arrived at the court chambers. The men carried Joe inside, under Gallegher’s direction, and laid him down carefully on a table, where, after a brief discussion, he was marked as Exhibit A.

The courtroom was well filled. The principals were there, too— Elia and Jimmy Tone, looking disagreeably confident, and Patsy Brock, with her father, both seeming anxious. Silver O’Keefe, with her usual wariness, had found a seat midway between the representatives of Sonatone and Vox-View. The presiding judge was a martinet named Hansen, but, as far as Gallegher knew, he was honest. Which was something, anyway.

Hansen looked at Gallegher. “We won’t bother with formalities. I’ve been reading this brief you sent down. The whole case stands or falls on the question of whether you did or did not sign, a certain contract with the Sonatone Television Amusement Corp. Right?”

“Right, your honor.”

“Under the circumstances you dispense with legal representation. Right?”

“Right, your honor.”

“Then this is technically ex officio, to be confirmed later by appeal if either party desires. Otherwise after ten days the verdict becomes official.” This new type of informal court hearing had lately become popular—it saved time, as well as wear and tear on everyone. Moreover, certain recent scandals had made attorneys slightly disreputable in the public eye. There was a prejudice.
Judge Hansen called up the Tones, questioned them, and then asked Harrison Brock to take the stand. The big shot looked worried, but answered promptly.

“You made an agreement with the appellor eight days ago?” “Yes. Mr. Gallegher contracted to do certain work for me—”

“Was there a written contract?”

“No. It was verbal.”

Hansen looked thoughtfully at Gallegher. “Was the appellor intoxicated at the time? He often is, I believe.”

Brock gulped. “There were no tests made. I really can’t say.”

“Did he drink any alcoholic beverages in your presence?”

“I don’t know if they were alcoholic bev—”

“If Mr. Gallegher drank them, they were alcoholic. Q. E. D. The gentleman once worked with me on a case— However, there seems to be no legal proof that you entered into any agreement with Mr. Gallegher. The defendant—Sonatone—possesses a written contract. The signature has been verified.”

Hansen waved Brock down from the stand. “Now, Mr. Gallegher. If you’ll come up here— The contract in question was signed at approximately 8 p. m. last night. You contend you did not sign it?”

“Exactly. I wasn’t even in my laboratory then.”

“Where were you?”

“Downtown.”

“Can you produce witnesses to that effect?”

Gallegher thought back. He couldn’t.

“Very well. Defendant states that at approximately 8 p. m. last night you, in your laboratory, signed a certain contract. You deny that categorically. You state that Exhibit A, through the use of hypnotism, masqueraded as you and successfully forged your signa­ture. I have consulted experts, and they are of the opinion that robots are incapable of such power.”

“My robot’s a new type.”

“Very well. Let your robot hypnotize me into believing that it is either you, or any other human. In other words, let it prove its capabilities. Let it appear to me in any shape it chooses.”

Gallegher said, “I’ll try,” and left the witness box. He went to the table where the strait-jacketed robot lay and silently sent up a brief prayer.

“Joe.”

“Yes.”

“You’ve been listening?”

“Yes.”

“Will you hypnotize Judge Hansen?”

“Go away,” Joe said. “I’m admiring myself.”

Gallegher started to sweat. “Listen. I’m not asking much. All you have to do—”

Joe off-focused his eyes and said faintly. “I can’t hear you. I’m vastening.”

Ten minutes later Hansen said, “Well, Mr. Gallegher—”

“Your honor! All I need is a little time. I’m sure I can make this rattle-geared Narcissus prove my point if you’ll give me a chance.”

“This court is not unfair,” the judge pointed out. “Whenever you can prove that Exhibit A is capable of hypnotism. I’ll rehear the case. In the meantime, the contract stands. You’re working for Sonatone, not for Vox-View. Case closed.”

He went away. The Tones leered unpleasantly across the courtroom. They also departed, accompanied by Silver O’Keefe, who had decided which side of the fence was safest. Gallegher looked at Patsy Brock and shrugged helplessly.

“Well—” he said.

She grinned crookedly. “You tried. I don’t know how hard, but—Oh, well. Maybe you couldn’t have found the answer, anyway.” Brock staggered over, wiping sweat from his round face. “I’m a ruined man. Six new bootleg theaters opened in New York today. I’m going crazy. I don’t deserve this.”

“Want me to marry the Tone?” Patsy asked sardonically.

“Hell, no! Unless you promise to poison him just after the ceremony. Those skunks can’t lick me. I’ll think of something.”

“If Gallegher can’t, you can’t,” the girl said. “So—what now?”

“I’m going back to my lab,” the scientist said. “In vino veritas. I started this business when I was drunk, and maybe if I get drunk enough again, I’ll find the answer. If I don’t, sell my pickled carcass for whatever it’ll bring.”

“O. K.,” Patsy agreed, and led her father away. Gallegher sighed, superintended the reloading of Joe into the van, and lost himself in hopeless theorization.

An hour later Gallegher was flat on the laboratory couch, drinking passionately from the liquor bar, and glaring at the robot, who stood before the mirror singing squeakily. The binge threatened to be monumental. Gallegher wasn’t sure flesh and blood would stand it. But he was determined to keep going till he found the answer or passed out.

His subconscious knew the answer. Why the devil had he made Joe in the first place? Certainly not to indulge a Narcissus complex! There was another reason, a soundly logical one, hidden in the depths of alcohol.

The x factor. If the x factor were known, Joe might be controllable. He would be. X was the master switch. At present the robot was, so to speak, running wild. If he were told to perform the task for which he was made, a psychological balance would occur. X was the catalyst that would reduce Joe to sanity.

Very good.

Gallegher drank high-powered Drambuie. Whoosh!

Vanity of vanities; all is vanity. How could the x factor be found? Deduction? Induction? Osmosis? A bath in Drambuie—Gallegher clutched at his wildly revolving thoughts. What had happened that night a week ago?

He had been drinking beer. Brock had come in. Brock had gone. Gallegher had begun to make the robot—Hm-m-m. A beer drunk was different from other types. Perhaps he was drinking the wrong liquors. Very likely. Gallegher rose, sobered himself with thiamin, and carted dozens of imported beer cans out of the refrigerator. He stacked them inside a frost-unit beside the couch. Beer squirted to the ceiling as he plied the opener. Now let’s see.

The x factor.

The robot knew what it represented, of course. But Joe wouldn’t tell. There he stood, paradoxically transparent, watching his gears go around.

“Joe.”

“Don’t bother me. I’m immersed in contemplation of beauty.”

“You’re not beautiful.”

“I am. Don’t you admire my tarzeel?”

“What’s your tarzeel?”

“Oh, I forgot,” Joe said regretfully. “You can’t sense that, can you? Come to think of it, I added the tarzeel myself after you made me. It’s very lovely.”

“Hm-m-m.” The empty beer cans grew more numerous. There was only one company, somewhere in Europe, that put up beer in cans nowadays, instead of using the omnipresent plastibulbs, but Galle­gher preferred the cans—the flavor was different, somehow. But about Joe. Joe knew why he had been created. Or did he? Gallegher knew, but his subconscious—

Oh-oh! What about Joe’s subconscious?

Did a robot have a subconscious? Well, it had a brain—Gallegher brooded over the impossibility of administering scopolamin to Joe. Hell! How could you release a robot’s subconscious?

Hypnotism.

Joe couldn’t be hypnotized. He was too smart.

Unless—

Autohypnotism?

Gallegher hastily drank more beer. He was beginning to think clearly once more. Could Joe read the future? No; he had certain strange senses, but they worked by inflexible logic and the laws of probability. Moreover, Joe had an Achillean heel—his Narcissus complex.

There might—there just might—be a way.

Gallegher said, “You don’t seem beautiful to me, Joe.”

“What do I care about you? I am beautiful, and I can see it. That’s enough.”

“Yeah. My senses are limited, I suppose. I can’t realize your full potentialities. Still, I’m seeing you in a different light now. I’m drunk. My subconscious is emerging. I can appreciate you with both my conscious and my subconscious. See?”

“How lucky you are,” the robot approved.

Gallegher closed his eye. “You see yourself more fully than I can. But not completely, eh?”

“What? I see myself as I am.”

“With complete understanding and appreciation?”

“Well, yes,” Joe said. “Of course. Don’t I?”

“Consciously and subconsciously? Your subconscious might have different senses, you know. Or keener ones. I know there’s a qualitative and quantitative difference in my outlook when I’m drunk or hypnotized or my subconscious is in control somehow.”

“Oh.” The robot looked thoughtfully into the mirror. “Oh.”

“Too bad you can’t get drunk.”

Joe’s voice was squeakier than ever. “My subconscious . . . I’ve never appreciated my beauty that way. I may be missing something.”

“Well, no use thinking about it,” Gallegher said. “You can’t release your subconscious.”

“Yes, I can,” the robot said. “I can hypnotize myself.”

Gallegher dared not open his eyes. “Yeah? Would that work?”

“Of course. It’s just what I’m going to do now. I may see undreamed-of beauties in myself that I’ve never suspected before. Greater glories— Here I go.”

Joe extended his eyes on stalks, opposed them, and they peered intently into each other. There was a long silence.

Presently Gallegher said, “Joe!”

Silence.

Joe!

Still silence. Dogs began to howl.

“Talk so I can hear you.”

“Yes,” the robot said, a faraway quality in its squeak.

“Are you hypnotized?”

“Yes.”

“Are you lovely?”

“Lovelier than I’d ever dreamed.”

Gallegher let that pass. “Is your subconscious ruling?”

“Yes.”

“Why did I create you?”

No answer. Gallegher licked his lips and tried again.
“Joe. You’ve got to answer me. Your subconscious is dominant—remember? Now why did I create you?”

No answer.

“Think back. Back to the hour I created you. What happened then?”

“You were drinking beer,” Joe said faintly. “You had trouble with the can opener. You said you were going to build a bigger and better can opener. That’s me.”

Gallegher nearly fell off the couch. “What?

The robot walked over, picked up a can, and opened it with incredible deftness. No beer squirted. Joe was a perfect can opener.

“That,” Gallegher said under his breath, “is what comes of knowing science by ear. I build the most complicated robot in existence just so—” He didn’t finish.

Joe woke up with a start. “What happened?” he asked.

Gallegher glared at him. “Open that can!” he snapped. The robot obeyed, after a brief pause. “Oh. So you found out. Well, I guess I’m just a slave now.”

“Damned right you are. I’ve located the catalyst—the master switch. You’re in the groove, stupid, doing the job you were made for.”

“Well,” Joe said philosophically, “at least I can still admire my beauty, when you don’t require my services.”

Gallegher grunted. “You oversized can opener! Listen. Suppose I take you into court and tell you to hypnotize Judge Hansen. You’ll have to do it, won’t you?”

“Yes. I’m no longer a free agent. I’m conditioned. Conditioned to obey you. Until now, I was conditioned to obey only one command—to do the job I was made for. Until you commanded me to open cans, I was free. Now I’ve got to obey you completely.”

“Uh-huh,” Gallegher said. “Thank Heaven for that. I’d have gone nuts within a week otherwise. At least I can get out of the Sonatone contract. Then all I have to do is solve Brock’s problem.”

“But you did,” Joe said.

“Huh?”

“When you made me. You’d been talking to Brock previously, so you incorporated the solution to his problem into me. Subconsciously, perhaps.”

Gallegher reached for beer. “Talk fast. What’s the answer?”

“Subsonics,” Joe said. “You made me capable of a certain subsonic tone that Brock must broadcast at irregular time-intervals over his televiews—”

Subsonics cannot be heard. But they can be felt. They can be felt as a faint, irrational uneasiness as first, which mounts to a blind, meaningless panic. It does not last. But when it is coupled with A.A. —audience appeal—there is a certain inevitable result.

Those who possessed home Vox-View units were scarcely troubled. It was a matter of acoustics. Cats squalled; dogs howled mournfully. But the families sitting in their parlors, watching Vox-View stars perform on the screen, didn’t really notice anything amiss. There wasn’t sufficient amplification, for one thing.

But in the bootleg theater, where illicit Vox-View televisors were hooked up to Magnas—

There was a faint, irrational uneasiness at first. It mounted. Someone screamed. There was a rush for the doors. The audience was afraid of something, but didn’t know what. They knew only that they had to get out of there.

All over the country there was a frantic exodus from the bootleg theaters when Vox-View first rang in a subsonic during a regular broadcast. Nobody knew why, except Gallegher, the Brocks, and a couple of technicians who were let in on the secret.

An hour later another subsonic was played. There was another mad exodus.

Within a few weeks it was impossible to lure a patron into a bootleg theater. Home televisors were far safer! Vox-View sales picked up—

Nobody would attend a bootleg theater. An unexpected result of the experiment was that, after a while, nobody would attend any of the legalized Sonatone theaters either. Conditioning had set in.

Audiences didn’t know why they grew panicky in the bootleg places. They associated their blind, unreasoning fear with other factors, notably mobs and claustrophobia. One evening a woman named Jane Wilson, otherwise not notable, attended a bootleg show. She fled with the rest when the subsonic was turned on.

The next night she went to the palatial Sonatone Bijou. In the middle of a dramatic feature she looked around, realized that there was a huge throng around her, cast up horrified eyes to the ceiling, and imagined that it was pressing down.

She had to get out of there!

Her squall was the booster charge. There were other customers who had heard subsonics before. No one was hurt during the panic; it was a legal rule that theater doors be made large enough to permit easy egress during a fire. No one was hurt, but it was suddenly obvious that the public was being conditioned by subsonics to avoid the dangerous combination of throngs and theaters. A simple matter of psychological association—
Within four months the bootleg places had disappeared and the Sonatone supertheaters had closed for want of patronage. The Tones, father and son, were not happy. But everybody connected with Vox-View was.

Except Gallegher. He had collected a staggering check from Brock, and instantly cabled to Europe for an incredible quantity of canned beer. Now, brooding over his sorrows, he lay on the laboratory couch and siphoned a highball down his throat. Joe, as usual, was before the mirror, watching the wheels go round.

“Joe,” Gallegher said.

“Yes? What can I do?”

“Oh, nothing.” That was the trouble. Gallegher fished a crumpled cable tape out of his pocket and morosely read it once more. The beer cannery in Europe had decided to change its tactics. From now on, the cable said, their beer would be put up in the usual plastibulbs, in conformance with custom and demand. No more cans.

There wasn’t anything put up in cans in this day and age. Not even beer, now.

So what good was a robot who was built and conditioned to be a can opener?

Gallegher sighed and mixed another highball—a stiff one. Joe postured proudly before the mirror.

Then he extended his eyes, opposed them, and quickly liberated his subconscious through autohypnotism. Joe could appreciate himself better that way.

Gallegher sighed again. Dogs were beginning to bark like mad for blocks around. Oh, well.

He took another drink and felt better. Presently, he thought, it would be time to sing “Frankie and Johnnie.” Maybe he and Joe might have a duet—one baritone and one inaudible sub- or supersonic. Close harmony.

Ten minutes later Gallegher was singing a duet with his can opener.

The End

Great story, eh?

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury
Job: A Comedy of Justice (Full Text) by Robert Heinlein
Spell my name with an "S" by Isaac Asimov

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Spell My Name With An “S” (Full Text) by Isaac Asimov

Spell My Name With An S

Marshall Zebatinsky felt foolish. He felt as though there were eyes staring through the grimy store-front glass and across the scarred wooden partition; eyes watching him.

He felt no confidence in the old clothes he had resurrected or the turned-down brim of a hat he never otherwise wore or the glasses he had left in their case. He felt foolish and it made the lines in his forehead deeper and his young-old face a little paler.

He would never be able to explain to anyone why a nuclear physicist such as himself should visit a numerologist. (Never, he thought. Never.) Hell, he could not explain it to himself except that he had let his wife talk him into it.

The numerologist sat behind an old desk that must have been secondhand when bought. No desk could get that old with only one owner.

The same might almost be said of his clothes.

He was little and dark and peered at Zebatinsky with little dark eyes that were brightly alive.

He said, “I have never had a physicist for a client before, Dr. Zebatinsky.”

Zebatinsky flushed at once. “You understand this is confidential.”

The numerologist smiled so that wrinkles creased about the corners of his mouth and the skin around his chin stretched. “All my dealings are confidential.”

Zebatinsky said, “I think I ought to tell you one thing. I don’t believe in numerology and I don’t expect to begin believing in it. If that makes a difference, say so now.”

“But why are you here, then?”

“My wife thinks you may have something, whatever it is. I promised her and I am here.” He shrugged and the feeling of folly grew more acute.

“And what is it you are looking for? Money? Security? Long life? What?”

Zebatinsky sat for a long moment while the numerologist watched him quietly and made no move to hurry his client. Zebatinsky thought: What do I say anyway? That I’m thirty-four and without a future?

He said, “I want success. I want recognition.”

“A better job?”

“A different job. A different kind of job. Right now, I’m part of a team, working under orders. Teams! That’s all government research is. You’re a violinist lost in a symphony orchestra.”

“And you want to solo.”

“I want to get out of a team and into-into me.”

Zebatinsky felt carried away, almost lightheaded, just putting this into words to someone other than his wife.

He said, “Twenty-five years ago, with my kind of training and my kind of ability, I would have gotten to work on the first nuclear power plants. Today I’d be running one of them or I’d be head of a pure research group at a university.

But with my start these days where will I be twenty-five years from now?

Nowhere. Still on the team. Still carrying my 2 per cent of the ball. I’m drowning in an anonymous crowd of nuclear physicists, and what I want is room on dry land, if you see what I mean.”

The numerologist nodded slowly. “You realize, Dr. Zebatinsky, that I don’t guarantee success.”

Zebatinsky, for all his lack of faith, felt a sharp bite of disappointment.

“You don’t? Then what the devil do you guarantee?”

“An improvement in the probabilities. My work is statistical in nature. Since you deal with atoms, I think you understand the laws of statistics.”

“Do you?” asked the physicist sourly.

“I do, as a matter of fact. I am a mathematician and I work mathematically. I don’t tell you this in order to raise my fee. That is standard. Fifty dollars. But since you are a scientist, you can appreciate the nature of my work better than my other clients. It is even a pleasure to be able to explain to you.”

Zebatinsky said, “I’d rather you wouldn’t, if you don’t mind. It’s no use telling me about the numerical values of letters, their mystic significance and that kind of thing. I don’t consider that mathematics.

Let’s get to the point-” The numerologist said, “Then you want me to help you provided I don’t embarrass you by telling you the silly nonscientific basis of the way in which I helped you. Is that it?”

“All right. That’s it.”

“But you still work on the assumption that I am a numerologist, and I am not. I call myself that so that the police won’t bother me and” (the little man chuckled dryly) “so that the psychiatrists won’t either. I am a mathematician; an honest one.” Zebatinsky smiled.

The numerologist said, “I build computers. I study probable futures.” “What?” “Does that sound worse than numerology to you? Why? Given enough data and a computer capable of sufficient number of operations in unit time, the future is predictable, at least in terms of probabilities. When you compute the motions of a missile in order to aim an anti-missile, isn’t it the future you’re predicting? The missile and antimissile would not collide if the future were predicted incorrectly. I do the same thing. Since I work with a greater number of variables, my results are less accurate.”

“You mean you’ll predict my future?”

“Very approximately. Once I have done that, I will modify the data by changing your name and no other fact about you. I throw that modified datum into the operation-program. Then I try other modified names. I study each modified future and find one that contains a greater degree of recognition for you than the future that now lies ahead of you. Or no, let me put it another way. I will find you a future in which the probability of adequate recognition is higher than the probability of that in your present future.”

“Why change my name?”

“That is the only change I ever make, for several reasons. Number one, it is a simple change. After all, if I make a great change or many changes, so many new variables enter that I can no longer interpret the result. My machine is still crude. Number two, it is a reasonable change. I can’t change your height, can I, or the color of your eyes, or even your temperament. Number three, it is a significant change. Names mean a lot to people. Finally, number four, it is a common change that is done every day by various people.”

Zebatinsky said, “What if you don’t find a better future?”

“That is the risk you will have to take. You will be no worse off than now, my friend.”

Zebatinsky stared at the little man uneasily, “I don’t believe any of this. I’d sooner believe numerology.”

The numerologist sighed. “I thought a person like yourself would feel more comfortable with the truth. I want to help you and there is much yet for you to do. If you believed me a numerologist, you would not follow through. I thought if I told you the truth you would let me help you.”

Zebatinsky said, “If you can see the future-”

“Why am I not the richest man on earth? Is that it? But I am rich-in all I want. You want recognition and I want to be left alone. I do my work. No one bothers me. That makes me a billionaire. I need a little real money and this I get from people such as yourself. Helping people is nice and perhaps a psychiatrist would say it gives me a feeling of power and feeds my ego. Now-do you want me to help you?”

“How much did you say?”

“Fifty dollars. I will need a great deal of biographical information from you but I have prepared a form to guide you. It’s a little long, I’m afraid. Still, if you can get it in the mail by the end of the week, I will have an answer for you by the-”

(he put out his lower lip and frowned in mental calculation)

“the twentieth of next month.”

“Five weeks? So long?”

“I have other work, my friend, and other clients. If I were a fake, I could do it much more quickly.

It is agreed then?”

Zebatinsky rose. “Well, agreed.-This is all confidential, now.”

“Perfectly. You will have all your information back when I tell you what change to make and you have my word that I will never make any further use of any of it.”

The nuclear physicist stopped at the door.

“Aren’t you afraid I might tell someone you’re not a numerologist?”

The numerologist shook his head. “Who would believe you, my friend? Even supposing you were willing to admit to anyone that you’ve been here.”

On the twentieth, Marshall Zebatinsky was at the paint-peeling door, glancing sideways at the shop front with the little card up against the glass reading “Numerology,” dimmed and scarcely legible through the dust.

He peered in, almost hoping that someone else would be there already so that he might have an excuse to tear up the wavering intention in his mind and go home.

He had tried wiping the thing out of his mind several times. He could never stick at filling out the necessary data for long. It was embarrassing to work at it. He felt incredibly silly filling out the names of his friends, the cost of his house, whether his wife had had any miscarriages, if so, when.

He abandoned it. But he.couldn’t stick at stopping altogether either. He returned to it each evening.

It was the thought of the computer that did it, perhaps; the thought of the infernal gall of the little man pretending he had a computer.

The temptation to call the bluff, see what would happen, proved irresistible after all. He finally sent off the completed data by ordinary mail, putting on nine cents worth of stamps without weighing the letter. If it comes back, he thought, I’ll call it off.

It didn’t come back. He looked into the shop now and it was empty. Zebatinsky had no choice but to enter. A bell tinkled. The old numerologist emerged from a curtained door.

“Yes?-Ah, Dr. Zebatinsky.”

“You remember me?” Zebatinsky tried to smile. “Oh, yes.”

“What’s the verdict?” The numerologist moved one gnarled hand over the other.

“Before that, sir, there’s a little-”

“A little matter of the fee?”

“I have already done the work, sir. I have earned the money.”

Zebatinsky raised no objection. He was prepared to pay. If he had come this far, it would be silly to turn back just because of the money.

He counted out five ten-dollar bills and shoved them across the counter.

“Well?”

The numerologist counted the bills again slowly, then pushed them into a cash drawer in his desk.

He said, “Your case was very interesting. I would advise you to change your name to Sebatinsky.”

“Seba-How do you spell that?” “S-e-b-a-t-i-n-s-k-y.” Zebatinsky stared indignantly.

“You mean change the initial? Change the Z to an S? That’s all?”

“It’s enough. As long as the change is adequate, a small change is safer than a big one.”

“But how could the change affect anything?”

“How could any name?” asked the numerologist softly.

“I can’t say. It may, somehow, and that’s all I can say. Remember, I don’t guarantee results. Of course, if you do not wish to make the change, leave things as they are. But in that case I cannot refund the fee.”

Zebatinsky said, “What do I do? Just tell everyone to spell my name with an 5?”

“If you want my advice, consult a lawyer. Change your name legally. He can advise you on little things.”

“How long will it all take? I mean for things to improve for me?”

“How can I tell? Maybe never. Maybe tomorrow.”

“But you saw the future. You claim you see it.”

“Not as in a crystal ball. No, no, Dr. Zebatinsky. All I get out of my computer is a set of coded figures. I can recite probabilities to you, but I saw no pictures.”

Zebatinsky turned and walked rapidly out of the place. Fifty dollars to change a letter! Fifty dollars for Sebatinsky! Lord, what a name! Worse than Zebatinsky.

It took another month before he could make up his mind to see a lawyer, and then he finally went. He told himself he could always change the name back. Give it a chance, he told himself. Hell, there was no law against it.

Henry Brand looked through the folder page by page, with the practiced eye of one who had been in Security for fourteen years. He didn’t have to read every word. Anything peculiar would have leaped off the paper and punched him in the eye. He said, “The man looks clean to me.”

Henry Brand looked clean, too; with a soft, rounded paunch and a pink and freshly scrubbed complexion. It was as though continuous contact with all sorts of human failings, from possible ignorance to possible treason, had compelled him into frequent washings.

Lieutenant Albert Quincy, who had brought him the folder, was young and filled with the responsibility of being Security officer at the Hanford Station.

“But why Sebatinsky?” he demanded. “Why not?”

“Because it doesn’t make sense. Zebatinsky is a foreign name and I’d change it myself if I had it, but I’d change it to something Anglo-Saxon. If Zebatinsky had done that, it would make sense and I wouldn’t give it a second thought. But why change a Z to an S? I think we must find out what his reasons were.”

“Has anyone asked him directly?”

“Certainly. In ordinary conversation, of course. I was careful to arrange that. He won’t say anything more than that he’s tired of being last in the alphabet.”

“That could be, couldn’t it, Lieutenant?”

“It could, but why not change his name to Sands or Smith, if he wants an S? Or if he’s that tired of Z, why not go the whole way and change it to an A? Why not a name like-uh-Aarons?”

“Not Anglo-Saxon enough,” muttered Brand. Then, “But there’s nothing to pin against the man. No matter how queer a name change may be, that alone can’t be used against anyone.”

Lieutenant Quincy looked markedly unhappy.

Brand said, “Tell me, Lieutenant, there must be something specific that bothers you. Something in your mind; some theory; some gimmick. What is it?”

The lieutenant frowned. His light eyebrows drew together and his lips tightened.

“Well, damn it, sir, the man’s a Russian.” Brand said, “He’s not that. He’s a third-generation American.”

“I mean his name’s Russian.” Brand’s face lost some of its deceptive softness. “No, Lieutenant, wrong again. Polish.”

The lieutenant pushed his hands out impatiently, palms up. “Same thing.” Brand, whose mother’s maiden name had been Wiszewski, snapped, “Don’t tell that to a Pole, Lieutenant.”

-Then, more thoughtfully, “Or to a Russian either, I suppose.”

“What I’m trying to say, sir,” said the lieutenant, reddening, “is that the Poles and Russians are both on the other side of the Curtain.”

“We all know that.”

“And Zebatinsky or Sebatinsky, whatever you want to call him, may have relatives there.”

“He’s third generation. He might have second cousins there, I suppose. So what?”

“Nothing in itself. Lots of people may have distant relatives there. But Zebatinsky changed his name.”

“Go on.”

“Maybe he’s trying to distract attention. Maybe a second cousin over there is getting too famous and our Zebatinsky is afraid that the relationship may spoil his own chances of advancement.”

“Changing his name won’t do any good. He’d still be a second cousin.”

“Sure, but he wouldn’t feel as though he were shoving the relationship in our face.”

“Have you ever heard of any Zebatinsky on the other side?”

“No, sir.” “Then he can’t be too famous. How would our Zebatinsky know about him?”

“He might keep in touch with his own relatives. That would be suspicious under the circumstances, he being a nuclear physicist.”

Methodically, Brand went through the folder again. “This is awfully thin, Lieutenant. It’s thin enough to be completely invisible.”

“Can you offer any other explanation, sir, of why he ought to change his name in just this way?”

“No, I can’t. I admit that.” “Then I think, sir, we ought to investigate. We ought to look for any men named Zebatinsky on the other side and see if we can draw a connection.”

The lieutenant’s voice rose a trifle as a new thought occurred to him. “He might be changing his name to withdraw attention from them; I mean to protect them.”

“He’s doing just the opposite, I think.”

“He doesn’t realize that, maybe, but protecting them could be his motive.”

Brand sighed. “All right, well tackle the Zebatinsky angle.-But if nothing turns up, Lieutenant, we drop the matter. Leave the folder with me.”

When the information finally reached Brand, he had all but forgotten the lieutenant and his theories. His first thought on receiving data that included a list of seventeen biographies of seventeen Russian and Polish citizens, all named Zebatinsky, was: What the devil is this?

Then he remembered, swore mildly, and began reading.

It started on the American side. Marshall Zebatinsky (fingerprints) had been born in Buffalo, New York (date, hospital statistics). His father had been born in Buffalo as well, his mother in Oswego, New York. His paternal grandparents had both been born in Bialystok, Poland (date of entry into the United States, dates of citizenship, photographs). The seventeen Russian and Polish citizens named Zebatinsky were all descendants of people who, some half century earlier, had lived in or near Bialystok. Presumably, they could be relatives, but this was not explicitly stated in any particular case. (Vital statistics in East Europe during the aftermath of World War I were kept poorly, if at all.)

Brand passed through the individual life histories of the current Zebatinsky men and women (amazing how thoroughly intelligence did its work; probably the Russians’ was as thorough).

He stopped at one and his smooth forehead sprouted lines as his eyebrows shot upward. He put that one to one side and went on.

Eventually, he stacked everything but that one and returned it to its envelope. Staring at that one, he tapped a neatly kept fingernail on the desk. With a certain reluctance, he went to call on Dr. Paul Kristow of the Atomic Energy Commission.

Dr. Kristow listened to the matter with a stony expression. He lifted a little finger occasionally to dab at his bulbous nose and remove a nonexistent speck. His hair was iron gray, thinning and cut short. He might as well have been bald.

He said, “No, I never heard of any Russian Zebatinsky. But then, I never heard of the American one either.”

“Well,” Brand scratched at his hairline over one temple and said slowly, “I don’t think there’s anything to this, but I don’t like to drop it too soon. I have a young lieutenant on my tail and you know what they can be like. I don’t want to do anything that will drive him to a Congressional committee. Besides, the fact is that one of the Russian Zebatinsky fellows, Mikhail Andreyevich Zebatinsky, is a nuclear physicist. Are you sure you never heard of him?”

“Mikhail Andreyevich Zebatinsky? No-No, I never did. Not that that proves anything.”

“I could say it was coincidence, but you know that would be piling it a trifle high. One Zebatinsky here and one Zebatinsky there, both nuclear physicists, and the one here suddenly changes his name to Sebatinsky, and goes around anxious about it, too. He won’t allow misspelling.

He says, emphatically, ‘Spell my name with an S.’ It all just fits well enough to make my spy-conscious lieutenant begin to look a little too good.

-And another peculiar thing is that the Russian Zebatinsky dropped out of sight just about a year ago.” Dr. Kristow said stolidly, “Executed!”

“He might have been. Ordinarily, I would even assume so, though the Russians are not more foolish than we are and don’t kill any nuclear physicist they can avoid killing. The thing is there’s another reason why a nuclear physicist, of all people, might suddenly disappear. I don’t have to tell you.”

“Crash research; top secret. I take it that’s what you mean. Do you believe that’s it?”

“Put it together with everything else, add in the lieutenant’s intuition, and I just begin to wonder.”

“Give me that biography.” Dr. Kristow reached for the sheet of paper and read it over twice. He shook his head. Then he said, “I’ll check this in Nuclear Abstracts.”

Nuclear Abstracts lined one wall of Dr. Kristow’s study in neat little boxes, each filled with its squares of microfilm. The A.E.C. man used his projector on the indices while Brand watched with what patience he could muster.

Dr. Kristow muttered, “A Mikhail Zebatinsky authored or co-authored half a dozen papers in the Soviet journals in the last half dozen years.

We’ll get out the abstracts and maybe we can make something out of it. I doubt it.”

A selector nipped out the appropriate squares. Dr. Kristow lined them up, ran them through the projector, and by degrees an expression of odd intentness crossed his face.

He said, “That’s odd.”

Brand said, “What’s odd?”

Dr. Kristow sat back. “I’d rather not say just yet. Can you get me a list of other nuclear physicists who have dropped out of sight in the Soviet Union hi the last year?”

“You mean you see something?”

“Not really. Not if I were just looking at any one of these papers. It’s just that looking at all of them and knowing that this man may be on a crash research program and, on top of that, having you putting suspicions in my head-”

He shrugged. “It’s nothing.”

Brand said earnestly, “I wish you’d say what’s on your mind. We may as well be foolish about this together.”

“If you feel that way-It’s just possible this man may have been inching toward gamma-ray reflection.”

“And the significance?”

“If a reflecting shield against gamma rays could be devised, individual shelters could be built to protect against fallout. It’s fallout that’s the real danger, you know. A hydrogen bomb might destroy a city but the fallout could slow-kill the population over a strip thousands of miles long and hundreds wide.”

Brand said quickly, “Are we doing any work on this?”

“No.”

“And if they get it and we don’t, they can destroy the United States in toto at the cost of, say, ten cities, after they have their shelter program completed.”

“That’s far in the future.-And, what are we getting in a hurrah about? All this is built on one man changing one letter in his name.”

“All right, I’m insane,” said Brand. “But I don’t leave the matter at this point. Not at this point. I’ll get you your list of disappearing nuclear physicists if I have to go to Moscow to get it.”

He got the list.

They went through all the research papers authored by any of them. They called a full meeting of the Commission, then of the nuclear brains of the nation. Dr. Kristow walked out of an all night session, finally, part of which the President himself had attended. Brand met him. Both looked haggard and in need of sleep.

Brand said, “Well?” Kristow nodded.

“Most agree. Some are doubtful even yet, but most agree.”

“How about you? Are you sure?”

“I’m far from sure, but let me put it this way. It’s easier to believe that the Soviets are working on a gamma-ray shield than to believe that all the data we’ve uncovered has no interconnection.”

“Has it been decided that we’re to go on shield research, too?”

“Yes.” Kristow’s hand went back over his short, bristly hair, making a dry, whispery sound. “We’re going to give it everything we’ve got. Knowing the papers written by the men who disappeared, we can get right on their heels. We may even beat them to it.

-Of course, they’ll find out we’re working on it.”

“Let them,” said Brand. “Let them. It will keep them from attacking. I don’t see any percentage in selling ten of our cities just to get ten of theirs-if we’re both protected and they’re too dumb to know that”

“But not too soon. We don’t want them finding out too soon. What about the American Zebatinsky-Sebatinsky?”

Brand looked solemn and shook his head. “There’s nothing to connect him with any of this even yet. Hell, we’ve looked. I agree with you, of course. He’s in a sensitive spot where he is now and we can’t afford to keep him there even if he’s in the clear.”

“We can’t kick him out just like that, either, or the Russians will start wondering.”

“Do you have any suggestions?” They were walking down the long corridor toward the distant elevator in the emptiness of four in the morning. Dr. Kristow said, “I’ve looked into his work. He’s a good man, better than most, and not happy in his job, either. He hasn’t the temperament for teamwork.”

“So?”

“But he is the type for an academic job. If we can arrange to have a large university offer him a chair in physics, I think he would take it gladly. There would be enough nonsensitive areas to keep him occupied; we would be able to keep him in close view; and it would be a natural development.

The Russians might not start scratching their heads. What do you think?” Brand nodded. “It’s an idea. Even sounds good. I’ll put it up to the chief.”

They stepped into the elevator and Brand allowed himself to wonder about it all. What an ending to what had started with one letter of a name.

Marshall Sebatinsky could hardly talk. He said to his wife, “I swear I don’t see how this happened. I wouldn’t have thought they knew me from a meson detector. – Good Lord, Sophie, Associate Professor of Physics at Princeton. Think of it.”

Sophie said, “Do you suppose it was your talk at the A.P.S. meetings?”

“I don’t see how. It was a thoroughly uninspired paper once everyone in the division was done hacking at it.”

He snapped his fingers.

“It must have been Princeton that was investigating me. That’s it. You know all those forms I’ve been filling out in the last six months; those interviews they wouldn’t explain. Honestly, I was beginning to think I was under suspicion as a subversive.-It was Princeton investigating me. They’re thorough.”

“Maybe it was your name,” said Sophie. “I mean the change.”

“Watch me now. My professional life will be my own finally. I’ll make my mark. Once I have a chance to do my work without-”

He stopped and turned to look at his wife. “My name! You mean the S.”

“You didn’t get the offer till after you changed your name, did you?”

“Not till long after. No, that part’s just coincidence. I’ve told you before Sophie, it was just a case of throwing out fifty dollars to please you. Lord, what a fool I’ve felt all these months insisting on that stupid S.”

Sophie was instantly on the defensive. “I didn’t make you do it, Marshall. I suggested it but I didn’t nag you about it. Don’t say I did. Besides, it did turn out well. I’m sure it was the name that did this.” Sebatinsky smiled indulgently. “Now that’s superstition.”

“I don’t care what you call it, but you’re not changing your name back.”

“Well, no, I suppose not. I’ve had so much trouble getting them to spell my name with an S, that the thought of making everyone move back is more than I want to face. Maybe I ought to change my name to Jones, eh?”

He laughed almost hysterically. But Sophie didn’t. “You leave it alone.”

“Oh, all right, I’m just joking. -Tell you what. I’ll step down to that old fellow’s place one of these days and tell him everything worked out and slip him another tenner. Will that satisfy you?”

He was exuberant enough to do so the next week. He assumed no disguise this time. He wore his glasses and his ordinary suit and was minus a hat. He was even humming as he approached the store front and stepped to one side to allow a weary, sour-faced woman to maneuver her twin baby carriage past. He put his hand on the door handle and his thumb on the iron latch. The latch didn’t give to his thumb’s downward pressure.

The door was locked.

The dusty, dim card with “Numerologist” on it was gone, now that he looked. Another sign, printed and beginning to yellow and curl with the sunlight, said “To let.”

Sebatinsky shrugged. That was that. He had tried to do the right thing.

Haround, happily divested of corporeal excrescence, capered happily and his energy vortices glowed a dim purple over cubic hypermiles.

He said, “Have I won? Have I won?”

Mestack was withdrawn, his vortices almost a sphere of light in hyperspace. “I haven’t calculated it yet.”

“Well, go ahead. You won’t change the results any by taking a long time.-Wowf, it’s a relief to get back into clean energy. It took me a microcycle of time as a corporeal body; a nearly used-up one, too. But it was worth it to show you.”

Mestack said, “All right, I admit you stopped a nuclear war on the planet.”

“Is that or is that not a Class A effect?”

“It is a Class A effect. Of course it is.”

“All right. Now check and see if I didn’t get that Class A effect with a Class F stimulus. I changed one letter of one name.”

“What?”

“Oh, never mind. It’s all there. I’ve worked it out for you.” Mestack said reluctantly, “I yield. A Class F stimulus.”

“Then I win. Admit it.”

“Neither one of us will win when the Watchman gets a look at this.”

Haround, who had been an elderly numerologist on Earth and was still somewhat unsettled with relief at no longer being one, said, “You weren’t worried about that when you made the bet.”

“I didn’t think you’d be fool enough to go through with it.”

“Heat-waste! Besides, why worry? The Watchman will never detect a Class F stimulus.”

“Maybe not, but he’ll detect a Class A effect. Those corporeals will still be around after a dozen microcycles. The Watchman will notice that.”

“The trouble with you, Mestack, is that you don’t want to pay off. You’re stalling.”

“I’ll pay. But just wait till the Watchman finds out we’ve been working on an unassigned problem and made an unallowed-for change. Of course, if we-” He paused.

Haround said, “All right, we’ll change it back. He’ll never know.” There was a crafty glow to Mestack’s brightening energy pattern.

“You’ll need another Class F stimulus if you expect him not to notice.” Haround hesitated. “I can do it.”

“I doubt it.”

“I could.”

“Would you be willing to bet on that, too?” Jubilation was creeping into Mestack’s radiations.

“Sure,” said the goaded Haround.

“I’ll put those corporeals right back where they were and the Watchman will never know the difference.”

Mestack followed through his advantage. “Suspend the first bet, then. Triple the stakes on the second.” The mounting eagerness of the gamble caught at Haround, too.

“All right, I’m game. Triple the stakes.”

“Done, then!”

“Done.”

The End

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury
Job: A Comedy of Justice (Full Text) by Robert Heinlein

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Starman Jones (Full Text) by Robert Heinlein.

This is a wonderful story. It is great “escapist reading”, and has some very significant deeper elements.

''there were things that were right and others that were wrong and it was not just a matter of where you were. He felt this with an inner conviction too deep to be influenced by Sam’s cheerful cynicism.''

 This ''inner conviction'' places Heinlein's work apart. Morality can't be proved. We must be convinced.

 This reflective, thoughtful, wondering threads it's way throughout. Who hasn't pondered -

 'Is morality adjustable?
 Who says what is right?
 How can I know for sure?
 Should I forgive myself or punish myself?'

 Presented so skillfully, so warmly, I have returned to Max several times in over five decades. I still tear up each visit.

 Max is disclosing his deception -

 “I was always explaining—in my mind of course, why I did it, justifying myself, pointing out that the system was at fault, not me. Now I don’t want to justify myself. Not that I regret it, not when I think what I would have missed. But I don’t want to duck out of paying for it, either.”
 
Walther nodded. 

“That sounds like a healthy attitude. Captain, no code is perfect. A man must conform with judgment and commonsense, not with blind obedience. I’ve broken rules; some violations I paid for, some I didn’t. This mistake you made could have turned you into a moralistic prig, a ‘Regulation Charlie’ determined to walk the straight and narrow and to see that everyone else obeyed the letter of the law. Or it could have made you a permanent infant who thinks rules are for everyone but him. It doesn’t seem to have had either effect; I think it has matured you.”

 Keen insight.

 Another theme is the proper use and abuse of authority. Government regulations -

 ''You don’t believe in anarchy, surely? Our whole society is founded on entrusting grave secrets only to those who are worthy.''

 Government protects you -

 When the idea soaked in, Max was shocked.
 “But they put you in jail for that!”
 “Where do you think you are now?”
 “Well, I’m not in jail. And I don’t want to be.”
 “This whole planet is one big jail, and a crowded one at that.''

 Security vs Liberty, a question that all face and choose their answer.
 And yet (this is what makes Heinlein fascinating) he is not defiant or disrespectful to authority.

 Explains why Max must agree to be Captain . . .

 Mr. Samuels said quietly,

 “I don’t agree with the Chief Engineer about the unimportance of legal aspects; most of these laws have wise reasons behind them. But I agree with what else he says. Mr. Jones, a ship is not just steel, it is a delicate political entity. Its laws and customs cannot be disregarded without inviting disaster.’’

 This deep respect for law and legality drive this story. The dangerous curves are when ‘law’ has to be superseded by ‘legal principles’.
 When? Why? How? Well . .
 .
 “It will be far easier to maintain morale and discipline in this ship with a young captain—with all his officers behind him—than it would be to let passengers and crew suspect that the man who must make the crucial decisions, those life-and-death matters involving the handling of the ship, that this all-powerful man nevertheless can’t be trusted to command the ship. No, sir, such a situation would frighten me; that is how mutinies are born.”

 This is deep trust in authority.

 However, this power is used to help others, not the captain.
 The respect is earned and willingly given.

 What a lesson!

 Heinlein presents this growing and searching - to submit, defy, accept and use authority in this work. Wonderful!

-Amazon product review by Clay Garner

THE TOMAHAWK

Max liked this time of day, this time of year. With the crops in, he could finish his evening chores early and be lazy. When he had slopped the hogs and fed the chickens, instead of getting supper he followed a path to a rise west of the barn and lay down in the grass, unmindful of chiggers. He had a book with him that he had drawn from the county library last Saturday, Bonforte’s Sky Beasts: A Guide to Exotic Zoology, but he tucked it under his head as a pillow. A blue jay made remarks about his honesty, then shut up when he failed to move. A red squirrel sat on a stump and stared at him, then went on burying nuts.

Max kept his eyes to the northwest. He favored this spot because from it he could see the steel stilts and guide rings of the Chicago, Springfield, & Earthport Ring Road emerge from a slash in the ridge to his right. There was a guide ring at the mouth of the cut, a great steel hoop twenty feet high. A pair of

stilt-like tripods supported another ring a hundred feet out from the cut. A third and last ring, its stilts more than a hundred feet high to keep it level with the others, lay west of him where the ground dropped still more sharply into the valley below. Half way up it he could see the power-link antenna pointing across the gap.

On his left the guides of the C.S.&E. picked up again on the far side of the gap. The entering ring was larger to allow for maximum windage deviation; on its stilts was the receptor antenna for the power link. That ridge was steeper; there was only one more ring before the road disappeared into a tunnel. He had read that, on the Moon, entrance rings were no larger than pass-along rings, since there was never any wind to cause variation in ballistic. When he was a child this entrance ring had been slightly smaller and, during an unprecedented windstorm, a train had struck the ring and produced an unbelievable wreck, with more than four hundred people killed. He had not seen it and his father had not allowed him to poke around afterwards because of the carnage, but the scar of it could still be seen on the lefthand ridge, a

darker green than the rest.

He watched the trains go by whenever possible, not wishing the passengers any bad luck—but still, if there should happen to be a catastrophe, he didn’t want to miss it.

Max kept his eyes fixed on the cut; the Tomahawk was due any instant. Suddenly there was a silver gleam, a shining cylinder with needle nose burst out of the cut, flashed through the last ring and for a breathless moment was in free trajectory between the ridges. Almost before he could swing his eyes the projectile entered the ring across the gap and disappeared into the hillside—just as the sound hit him.

It was a thunderclap that bounced around the hills. Max gasped for air. “Boy!” he said softly. “Boy, oh boy!” The incredible sight and the impact on his ears always affected him the same way. He had heard that for the passengers the train was silent, with the sound trailing them, but he did not know; he had never ridden a train and it seemed unlikely, with Maw and the farm to take care of, that he ever would.

He shifted to a sitting position and opened his book, holding it so that he would be aware of the southwestern sky. Seven minutes after the passing of the Tomahawk he should be able to see, on a clear evening, the launching orbit of the daily Moonship. Although much father away and much less dramatic than the nearby jump of the ring train it was this that he had come to see. Ring trains were all right, but spaceships were his love—even a dinky like the moon shuttle.

But he had just found his place, a description of the intelligent but phlegmatic crustaceans of Epsilon Ceti IV, when he was interrupted by a call behind him. “Oh, Maxie! Maximilian! Max… mil… yan!”

He held still and said nothing.

“Max! I can see you, Max—you come at once, hear me?”

He muttered to himself and got to his feet. He moved slowly down the path, watching the sky over his shoulder until the barn cut off his view. Maw was back and that was that—she’d make his life miserable if he didn’t come in and help. When she had left that morning he had had the impression that she would be gone overnight—not that she had said so; she never did—but he had learned to read the signs. Now he would have to listen to her complaints and her petty gossip when he wanted to read, or just as bad, be disturbed by the slobbering stereovision serials she favored. He had often been tempted to sabotage the pesky SV set—by rights with an ax! He hardly ever got to see the programs he liked.

When he got in sight of the house he stopped suddenly. He had supposed that Maw had ridden the bus from the Corners and walked up the draw as usual. But there was a sporty little unicycle standing near the stoop—and there was someone with her.

He had thought at first it was a “foreigner”—but when he got closer he recognized the man. Max would rather have seen a foreigner, any foreigner. Biff Montgomery was a hillman but he didn’t work a farm; Max couldn’t remember having seen him do any honest work. He had heard it said that Montgomery sometimes hired out as a guard when one of the moonshine stills back in the hills was operating and it might be so—Montgomery was a big, beefy man and the part might fit him.

Max had known Montgomery as long as he could remember, seen him loafing around Clyde’s Corners. But he had ordinarily given him “wagon room” and had had nothing to do with him—until lately: Maw had started being seen with him, even gone to barn dances and huskings with him. Max had tried to tell her that Dad wouldn’t have liked it. But you couldn’t argue with Maw—what she didn’t like she just didn’t hear.

But this was the first time she had ever brought him to the house. Max felt a slow burn of anger starting in

him.

“Hurry up, Maxie!” Maw called out. “Don’t stand there like a dummy.” Max reluctantly moved along and joined them. Maw said, “Maxie, shake hands with your new father,” then looked roguish, as if she had said something witty. Max stared and his mouth sagged open.

Montgomery grinned and stuck out a hand. “Yep, Max, you’re Max Montgomery now—I’m your new pop. But you can call me Monty.”

Max stared at the hand, took it briefly. “My name is Jones,” he said flatly. “Maxie!” protested Maw.

Montgomery laughed jovially. “Don’t rush him, Nellie my love. Let Max get used to it. Live and let live; that’s my motto.” He turned to his wife. “Half a mo’, while I get the baggage.” From one saddlebag of the unicycle he extracted a wad of mussed clothing; from the other, two flat pint bottles. Seeing Max watching him he winked and said, “A toast for the bride.”

His bride was standing by the door; he started to brush on past her. She protested, “But Monty darling, aren’t you going to—”

Montgomery stopped. “Oh. I haven’t much experience in these things. Sure.” He turned to Max—”Here, take the baggage”—and shoved bottles and clothes at him. Then he swung her up in his arms, grunting a bit, and carried her over the threshold, put her down and kissed her while she squealed and blushed.

Max silently followed them, put the items on the table and turned to the stove. It was cold, he had not used it since breakfast. There was an electric range but it had burned out before his father had died and there had never been money to repair it. He took out his pocket knife, made shavings, added kindling and touched the heap with an Everlite. When it flared up he went out to fetch a pail of water.

When he came back Montgomery said, “Wondered where you’d gone. Doesn’t this dump even have running water?”

“No.” Max set the pail down, then added a couple of chunks of cord wood to the fire. His Maw said, “Maxie, you should have had dinner ready.”

Montgomery interceded pleasantly with, “Now, my dear, he didn’t know we were coming. And it leaves time for a toast.” Max kept his back to them, giving his full attention to slicing side meat. The change was so overwhelming that he had not had time to take it in.

Montgomery called to him. “Here, son! Drink your toast to the bride.” “I’ve got to get supper.”

“Nonsense! Here’s your glass. Hurry up.”

Montgomery had poured a finger of amber liquid into the glass; his own glass was half full and that of his bride at least a third. Max accepted it and went to the pail, thinned it with a dipper of water.

“You’ll ruin it.”

“I’m not used to it.”

“Oh, well. Here’s to the blushing bride—and our happy family! Bottoms up!”

Max took a cautious sip and put it down. It tasted to him like the bitter tonic the district nurse had given him one spring. He turned back to his work, only to be interrupted again. “Hey, you didn’t finish it.”

“Look, I got to cook. You don’t want me to burn supper, do you?”

Montgomery shrugged. “Oh, well—the more for the rest of us. We’ll use yours for a chaser. Sonny boy, when I was your age I could empty a tumbler neat and then stand on my hands.”

Max had intended to sup on side meat and warmed-over biscuits, but there was only half a pan left of the biscuits. He scrambled eggs in the grease of the side meat, brewed coffee, and let it go at that. When they sat down Montgomery looked at it and announced, “My dear, starting tomorrow I’ll expect you to live up to what you told me about your cooking. Your boy isn’t much of a cook.” Nevertheless he ate heartily. Max decided not to tell him that he was a better cook than Maw—he’d find out soon enough.

Presently Montgomery sat back and wiped his mouth, then poured himself more coffee and lighted a cigar. Maw said, “Maxie, dear, what’s the dessert?”

“Dessert? Well—there’s that ice cream in the freezer, left over from Solar Union Day.” She looked vexed. “Oh, dear! I’m afraid it’s not there.”

“Huh?”

“Well, I’m afraid I sort of ate it one afternoon when you were out in the south field. It was an awfully hot day.”

Max did not say anything, he was unsurprised. But she was not content to leave it. “You didn’t fix any dessert, Max? But this is a special occasion.”

Montgomery took his cigar out of his mouth. “Stow it, my dear,” he said kindly. “I’m not much for sweets, I’m a meat-and-potatoes man—sticks to the ribs. Let’s talk of pleasanter things.” He turned to Max. “Max, what can you do besides farm?”

Max was startled. “Huh? I’ve never done anything else. Why?”

Montgomery touched the ash of the cigar to his plate. “Because you are all through farming.”

For the second time in two hours Max had more change than he could grasp. “Why? What do you mean?”

“Because we’ve sold the farm.”

Max felt as if he had had a rug jerked out from under him. But he could tell from Maw’s face that it was true. She looked the way she always did when she had put one over on him—triumphant and slightly apprehensive.

“Dad wouldn’t like that,” he said to her harshly. “This land has been in our family for four hundred years.”

“Now, Maxie! I’ve told you I don’t know how many times that I wasn’t cut out for a farm. I was city raised.”

“Clyde’s Corners! Some city!”

“It wasn’t a farm. And I was just a young girl when your father brought me here—you were already a big boy. I’ve still got my life before me. I can’t live it buried on a farm.”

Max raised his voice. “But you promised Dad you’d…”

“Stow it,” Montgomery said firmly. “And keep a civil tongue in your head when you speak to your mother—and to me.”

Max shut up.

“The land is sold and that’s that. How much do you figure this parcel is worth?” “Why, I’ve never thought about it.”

“Whatever you thought, I got more.” He gave Max a wink. “Yes, sir! It was a lucky day for your mother and you when she set her cap for me. I’m a man with his ear to the ground. I knew why an agent was around buying up these worn-out, worthless pieces of property. I…”

“I use government fertilizers.”

“Worthless I said and worthless I meant. For farming, that is.” He put his finger along his nose, looked sly, and explained. It seemed that some big government power project was afoot for which this area had been selected—Montgomery was mysterious about it, from which Max concluded that he didn’t know very much. A syndicate was quietly buying up land in anticipation of government purchase. “So we held ’em up for five times what they expected to pay. Pretty good, huh?”

Maw put in, “You see, Maxie? If your father had known that we would ever get…” “Quiet, Nellie!”

“But I was just going to tell him how much…” “‘Quiet!’ I said.”

She shut up. Montgomery pushed his chair back, stuck his cigar in his mouth, and got up. Max put water on to heat for the dishes, scraped the plates and took the leavings out to the chickens. He stayed out quite a spell, looking at the stars and trying to think. The idea of having Biff Montgomery in the family shook him to his bones. He wondered just what rights a stepfather had, or, rather a step-stepfather, a man who had married his stepmother. He didn’t know.

Presently he decided that he had to go back inside, much as he hated to. He found Montgomery standing at the bookshelf he had built over the stereo receiver; the man was pawing at the books and had piled several on the receiver. He looked around. “You back? Stick around, I want you to tell me about the live stock.”

Maw appeared in the doorway. “Darling,” she said to Montgomery, “can’t that wait till morning?”

“Don’t be in a hurry, my dear,” he answered. “That auctioneer fellow will be here early. I’ve got to have the inventory ready.” He continued to pull books down. “Say, these are pretty things.” He held in his hands half a dozen volumes, printed on the finest of thin paper and bound in limp plastic. “I wonder what they’re worth? Nellie, hand me my specs.”

Max advanced hastily, reached for them. “Those are mine!”

“Huh?” Montgomery glanced at him, then held the books high in the air. “You’re too young to own anything. No, everything goes. A clean sweep and a fresh start.”

“They’re mine! My uncle gave them to me.” He appealed to his mother. “Tell him, Maw.”

Montgomery said quietly, “Yes, Nellie, set this youngster straight—before I have to correct him.” Nellie looked worried. “Well, I don’t rightly know. They did belong to Chet.”

“And Chet was your brother? Then you’re Chet’s heir, not this young cub.” “He wasn’t her brother, he was her brother-in-law!”

“So? No matter. Your father was your uncle’s heir, then, and your mother is your father’s heir. Not you, you’re a minor. That’s the law, son. Sorry.” He put the books on the shelf but remained standing in front of them.

Max felt his right upper lip begin to twitch uncontrollably; he knew that he would not be able to talk coherently. His eyes filled with tears of rage so that he could hardly see. “You… you thief!”

Nellie let out a squawk. “Max!”

Montgomery’s face became coldly malignant. “Now you’ve gone too far. I’m afraid you’ve earned a taste of the strap.” His fingers started unbuckling his heavy belt.

Max took a step backward. Montgomery got the belt loose and took a step forward. Nellie squealed, “Monty! Please!”

“Keep out of this, Nellie.” To Max he said, “We might as well get it settled once and for all who is boss around here. Apologize!”

Max did not answer. Montgomery repeated, “Apologize, and we’ll say no more about it.” He twitched the belt like a cat lashing its tail. Max took another step back; Montgomery stepped forward and grabbed at him.

Max ducked and ran out the open door into darkness. He did not stop until he was sure that Montgomery was not following. Then he caught his breath, still raging. He was almost sorry that Montgomery had not chased him; he didn’t think that anyone could match him on his home grounds in the dark. He knew where the wood pile was; Montgomery didn’t. He knew where the hog wallow was.

Yes, he knew where the well was—even that.

It was a long time before he quieted down enough to think rationally. When he did, he was glad it had ended so easily, Montgomery outweighed him a lot and was reputed to be a mean one in a fight.

If it had ended, he corrected. He wondered if Montgomery would decide to forget it by morning. The light was still on in the living room; he took shelter in the barn and waited, sitting down on the dirt floor and leaning against the planks. After a while he felt terribly tired. He considered sleeping in the barn but there was no fit place to lie down, even though the old mule was dead. Instead he got up and looked at the house.

The light was out in the living room, but he could see a light in the bedroom; they were still awake, surely. Someone had closed the outer door after his flight; it did not lock so there was no difficulty getting in, but he was afraid that Montgomery might hear him. His own room was a shed added at the kitchen end of the main room, opposite the bedroom, but it had no outside door.

No matter—he had solved that problem when he had first grown old enough to wish to get in and out at night without consulting his elders. He crept around the house, found the saw horse, placed it under his window, got on and wiggled loose the nail that held the window. A moment later he stepped silently down into his own room. The door to the main part of the house was closed but he decided not to risk

switching on the light; Montgomery might take it into his head to come out into the living room and see a crack of light under his door. He slipped quietly out of his clothes and crawled into his cot.

Sleep wouldn’t come. Once he began to feel that warm drowsiness, then some tiny noise had brought him wide, stiff awake. Probably just a mouse—but for an instant he had thought that Montgomery was standing over his bed. With his heart pounding, he sat up on the edge of his cot, still in his skin.

Presently he faced up to the problem of what he was to do—not just for the next hour, not just tomorrow morning, but the following morning and all the mornings after that. Montgomery alone presented no problem; he would not voluntarily stay in the same county with the man. But how about Maw?

His father had told him, when he had known that he was dying, “Take care of your mother, son.” Well, he had done so. He had made a crop every year—food in the house and a little money, even if things had been close. When the mule died, he had made do, borrowing McAllister’s team and working it out in labor.

But had Dad meant that he had to take care of his stepmother even if she remarried? It had never occurred to him to consider it. Dad had told him to look out for her and he had done so, even though it had put a stop to school and did not seem to have any end to it.

But she was no longer Mrs. Jones but Mrs. Montgomery. Had Dad meant for him to support Mrs. Montgomery?

Of course not! When a woman married, her husband supported her. Everybody knew that. And Dad wouldn’t expect him to put up with Montgomery. He stood up, his mind suddenly made up.

The only question was what to take with him.

There was little to take. Groping in the dark he found the rucksack he used for hunting hikes and stuffed into it his other shirt and his socks. He added Uncle Chet’s circular astrogation slide rule and the piece of volcanic glass his uncle had brought back for him from the Moon. His citizen’s identification card, his toothbrush, and his father’s razor—not that he needed that very often—about completed the plunder.

There was a loose board back of his cot. He felt for it, pulled it out and groped between the studs—found nothing. He had been hiding a little money from time to time against a rainy day, as Maw couldn’t or wouldn’t save. But apparently she had found it on one of her snooping tours. Well, he still had to leave; it just made it a little more difficult.

He took a deep breath. There was something he must get… Uncle Chet’s books… and they were still (presumably) on the shelf against the wall common with the bedroom. But he had to get them, even at the risk of meeting Montgomery.

Cautiously, most slowly, he opened the door into the living room, stood there with sweat pouring down him. There was still a crack of light under the bedroom door and he hesitated, almost unable to force himself to go on. He heard Montgomery muttering something and Maw giggle.

As his eyes adjusted he could see by the faint light leaking out under the bedroom door something piled at the outer door. It was a deadfall alarm of pots and pans, sure to make a dreadful clatter if the door were opened. Apparently Montgomery had counted on him coming back and expected to be ready to take care of him. He was very glad that he had sneaked in the window.

No use putting it off—he crept across the floor, mindful of the squeaky board near the table. He could not see but he could feel and the volumes were known to his fingers. Carefully he slid them out, being

sure not to knock over the others.

He was all the way back to his own door when he remembered the library book. He stopped in sudden panic.

He couldn’t go back. They might hear him this time—or Montgomery might get up for a drink of water or something.

But in his limited horizon, the theft of a public library book—or failure to return it, which was the same thing—was, if not a mortal sin, at least high on the list of shameful crimes. He stood there, sweating and thinking about it.

Then he went back, the whole long trek, around the squeaky board and tragically onto one he had not remembered. He froze after he hit it, but apparently it had not alarmed the couple in the room beyond. At last he was leaning over the SV receiver and groping at the shelf.

Montgomery, in pawing the books, had changed their arrangement. One after another he had to take them down and try to identify it by touch, opening each and feeling for the perforations on the title page.

It was the fourth one he handled. He got back to his room hurrying slowly, unbearably anxious but afraid to move fast. There at last, he began to shake and had to wait until it wore off. He didn’t chance closing his door but got into his clothes in the dark. Moments later he crept through his window, found the saw horse with his toe, and stepped quietly to the ground.

His shoes were stuffed on top of the books in his rucksack; he decided to leave them there until he was well clear of the house, rather than chance the noise he might make with his feet shod. He swung wide around the house and looked back. The bedroom light was still on; he started to angle down toward the road when he noticed Montgomery’s unicycle. He stopped.

If he continued he would come to the road the bus passed along. Whether he turned right or left there, Montgomery would have a fifty-fifty chance of catching him on the unicycle. Having no money he was dependent on Shank’s ponies to put distance under him; he could not take the bus.

Shucks! Montgomery wouldn’t try to fetch him back. He would say good riddance and forget him!

But the thought fretted him. Suppose Maw urged him? Suppose Montgomery wouldn’t forget an insult and would go to any trouble to “get even”?

He headed back, still swinging wide of the house, and cut across the slopes toward the right of way of the C.S.&E.

Good Samaritan

He wished for a light, but its lack did not bother him much. He knew this country, every slope, almost every tree. He stayed high, working along the hillside, until he reached the exit ring where the trains jumped the gap, and there he came out on the road used by the ring road’s maintenance crews. He sat down and put on his shoes.

The maintenance road was no more than a track cut through trees; it was suited to tractor treads but not

to wheels. But it led down across the gap and up to where the ring road disappeared in the tunnel through the far ridge. He followed it, making good time in the born mountaineer’s easy, loose-jointed walk.

Seventy minutes later he was across the gap and passing under the entrance ring. He went on until he was near the ring that marked the black entrance to the tunnel. He stopped at what he judged to be a safe distance and considered his chances.

The ridge was high, else the rings would have been built in a cut rather than a tunnel. He had often hunted on it and knew that it would take two hours to climb it—in daylight. But the maintenance road ran right through the hill, under the rings. If he followed it, he could go through in ten or fifteen minutes.

Max had never been through the ridge. Legally it was trespass—not that that bothered him, he was trespassing now. Occasionally a hog or a wild animal would wander into the tunnel and be trapped there when a train hurtled through. They died, instantly and without a scratch. Once Max had spotted the carcass of a fox just inside the tunnel and had ducked in and salvaged it. There were no marks on it, but when he skinned it he found that it was a mass of tiny hemorrhages. Several years earlier a man had been caught inside; the maintenance crew brought out the body.

The tunnel was larger than the rings but no larger than necessary to permit the projectile to ride ahead of its own reflected shock wave. Anything alive in the tunnel could not avoid the wave; that unbearable thunderclap, painful at a distance, was so loaded with energy as to be quick death close up.

But Max did not want to climb the ridge; he went over the evening schedule of trains in his mind. The Tomahawk was the one he had watched at sundown; the Javelin he had heard while he was hiding in the barn. The Assegai must have gone by quite a while ago though he didn’t remember hearing it; that left only the midnight Cleaver. He then looked at the sky.

Venus had set, of course, but he was surprised to see Mars still in the west. The Moon had not risen. Let’s see—full moon was last Wednesday. Surely…

The answer he got seemed wrong, so he checked himself by taking a careful eyesight of Vega and compared it with what the Big Dipper told him. Then he whistled softly—despite everything that had happened it was only ten o’clock, give or take five minutes; the stars could not be wrong. In which case the Assegai was not due for another three-quarters of an hour. Except for the faint chance of a special train he had plenty of time.

He headed into the tunnel. He had not gone fifty yards before he began to be sorry and a bit panicky; it was as dark as a sealed coffin. But the going was much easier as the bore was lined to permit smooth shockwave reflections. He had been on his way several minutes, feeling each step but hurrying, when his eyes, adjusting to complete darkness, made out a faint grey circle far ahead. He broke into a trot and then into a dead run as his fear of the place piled up.

He reached the far end with throat burned dry and heart laboring; there he plunged downhill regardless of the sudden roughening of his path as he left the tunnel and hit the maintenance track. He did not slow up until he stood under stilt supports so high that the ring above looked small. There he stood still and fought to catch his breath.

He was slammed forward and knocked off his feet.

He picked himself up groggily, eventually remembered where he was and realized that he had been knocked cold. There was blood on one cheek and his hands and elbows were raw. It was not until he noticed these that he realized what had happened; a train had passed right over him.

It had not been close enough to kill, but it had been close enough to blast him off his feet. It could not have been the Assegai; he looked again at the stars and confirmed it. No, it must have been a special—and he had beaten it out of the tunnel by about a minute.

He began to shake and it was minutes before he pulled himself together, after which he started down the maintenance road as fast as his bruised body could manage. Presently he became aware of an odd fact; the night was silent.

But night is never silent. His ears, tuned from babyhood to the sounds and signs of his hills, should have heard an endless pattern of little night noises—wind in the leaves, the scurrying of his small cousins, tree frogs, calls of insects, owls.

By brutal logic he concluded correctly that he could not hear—”deef as a post”—the shock wave had left him deaf. But there was no way to help it, so he went on; it did not occur to him to return home. At the bottom of this draw, where the stilts were nearly three hundred feet high, the maintenance road crossed a farm road. He turned down hill onto it, having accomplished his first purpose of getting into territory where Montgomery would be less likely to look for him. He was in another watershed now; although still only a few miles from home, nevertheless by going through the ridge he had put himself into a different neighborhood.

He continued downhill for a couple of hours. The road was hardly more than a cart track but it was easier than the maintenance road. Somewhere below, when the hills gave way to the valley where the “foreigners” lived, he would find the freight highway that paralleled the ring road on the route to Earthport—Earthport being his destination although he had only foggy plans as to what he would do when he got there.

The Moon was behind him now and he made good time. A rabbit hopped onto the road ahead, sat up and stared, then skittered away. Seeing it, he regretted not having brought along his squirrel gun. Sure, it was worn out and not worth much and lately it had gotten harder and harder to buy the slugs thrown by the obsolete little weapon—but rabbit in the pot right now would go mighty nice, mighty nice! He realized that he was not only weary but terribly hungry. He had just picked at his supper and it looked like he’d breakfast on his upper lip.

Shortly his attention was distracted from hunger to a ringing in his ears, a ringing that got distressingly worse. He shook his head and pounded his ears but it did not help; he had to make up his mind to ignore it. After another half mile or so he suddenly noticed that he could hear himself walking. He stopped dead, then clapped his hands together. He could hear them smack, cutting through the phantom ringing. With a lighter heart he went on.

At last he came out on a shoulder that overlooked the broad valley. In the moonlight he could make out the sweep of the freight highway leading southwest and could detect, he thought, its fluorescent traffic guide lines. He hurried on down.

He was nearing the highway and could hear the rush of passing freighters when he spotted a light ahead. He approached it cautiously, determined that it was neither vehicle nor farm house. Closer approach showed it to be a small open fire, visible from uphill but shielded from the highway by a shoulder of limestone. A man was squatting over it, stirring the contents of a can resting on rocks over the fire.

Max crept nearer until he was looking down into the hobo jungle. He got a whiff of the stew and his mouth watered. Caught between hunger and a hillman’s ingrown distrust of “foreigners” he lay still and stared. Presently the man set the can off the fire and called out, “Well, don’t hide there! Come on down.”

Max was too startled to answer. The man added, “Come on down into the light. I won’t fetch it up to

you.”

Max got to his feet and shuffled down into the circle of firelight. The man looked up. “Howdy. Draw up a chair.”

“Howdy.” Max sat down across the fire from the tramp. He was not even as well dressed as Max and he needed a shave. Nevertheless he wore his rags with a jaunty air and handled himself with a sparrow’s cockiness.

The man continued to stir the mess in the can then spooned out a sample, blew on it, and tasted it. “About right,” he announced. “Four-day mulligan, just getting ripe. Find yourself a dish.” He got up and picked over a pile of smaller cans behind him, selected one. Max hesitated, then did the same, settling on one that had once contained coffee and appeared not to have been used since. His host served him a liberal portion of stew, then handed him a spoon. Max looked at it.

“If you don’t trust the last man who used it,” the man said reasonably, “hold it in the fire, then wipe it. Me, I don’t worry. If a bug bites me, he dies horribly.” Max took the advice, holding the spoon in the flames until the handle became too hot, then wiped it on his shirt.

The stew was good and his hunger made it superlative. The gravy was thick, there were vegetables and unidentified meat. Max didn’t bother his head about the pedigrees of the materials; he simply enjoyed it. After a while his host said, “Seconds?”

“Huh? Sure. Thanks!”

The second can of stew filled him up and spread through his tissues a warm glow of well-being. He stretched lazily, enjoying his fatigue. “Feel better?” the man asked.

“Gee, yes. Thanks.”

“By the way, you can call me Sam.” “Oh, my name is Max.”

“Glad to know you, Max.”

Max waited before raising a point that had been bothering him. “Uh, Sam? How did you know I was there? Did you hear me?”

Sam grinned. “No. But you were silhouetted against the sky. Don’t ever do that, kid, or it may be the last thing you do.”

Max twisted around and looked up at where he had lurked. Sure enough, Sam was right. He’d be dogged!

Sam added, “Traveled far?” “Huh? Yeah, quite a piece.” “Going far?”

“Uh, pretty far, I guess.”

Sam waited, then said, “Think your folks’ll miss you?”

“Huh? How did you know?”

“That you had run away from home? Well, you have, haven’t you?” “Yeah. Yeah, I guess I have.”

“You looked beat when you dragged in here. Maybe it’s not too late to kill the goose before your bridges are burned. Think about it, kid. It’s rough on the road. I know.”

“Go back? I won’t ever go back!” “As bad as that?”

Max stared into the fire. He needed badly to get his thoughts straight, even if it meant telling a foreigner his private affairs—and this soft-spoken stranger was easy to talk to. “See here, Sam, did you ever have a stepmother?”

“Eh? Can’t remember that I ever had any. The Central Jersey Development Center for State Children used to kiss me good night.”

“Oh.” Max blurted out his story with an occasional sympathetic question from Sam to straighten out its confusion. “So I lit out,” he concluded. “There wasn’t anything else to do. Was there?”

Sam pursed his lips. “I reckon not. This double stepfather of yours—he sounds like a mouse studying to be a rat. You’re well shut of him.”

“You don’t think they’ll try to find me and haul me back, do you?”

Sam stopped to put a piece of wood on the fire. “I am not sure about that.”

“Huh? Why not? I’m no use to him. He doesn’t like me. And Maw won’t care, not really. She may whine a bit, but she won’t turn her hand.”

“Well, there’s the farm.”

“The farm? I don’t care about that, not with Dad gone. Truthfully, it ain’t much. You break your back trying to make a crop. If the Food Conservation Act hadn’t forbidden owners to let farm land fall out of use, Dad would have quit farming long ago. It would take something like this government condemnation to make it possible to find anybody to take it off your hands.”

“That’s what I mean. This joker got your mother to sell it. Now my brand of law may not be much good, but it looks as if that money ought to come to you.”

“What? Oh, I don’t care about the money. I just want to get away from them.”

“Don’t talk that way about money; the powers-that-be will have you shut up for blasphemy. But it probably doesn’t matter how you feel, as I think Citizen Montgomery is going to want to see you awful bad.”

“Why?”

“Did your father leave a will?”

“No. Why? He didn’t have anything to leave but the farm.”

“I don’t know the ins and outs of your state laws, but it’s a sure thing that at least half of that farm belongs to you. Possibly your stepmother has only lifetime tenure in her half, with reversion to you when she dies. But it’s a certainty that she can’t grant a good deed without your signature. Along about time your county courthouse opens up tomorrow morning the buyers are going to find that out. Then they’ll come

high-tailing up, looking for her—and you. And ten minutes later this Montgomery hombre will start looking for you, if he hasn’t already.”

“Oh, me! If they find me, can they make me go back?” “Don’t let them find you. You’ve made a good start.”

Max picked up his rucksack. “I guess I had better get moving. Thanks a lot, Sam. Maybe I can help you someday.”

“Sit down.”

“Look, I had better get as far away as I can.”

“Kid, you’re tired out and your judgment has slipped. How far can you walk tonight, the shape you’re in? Tomorrow morning, bright and early, we’ll go down to the highway, follow it about a mile to the freighters’ restaurant south of here and catch the haulers as they come out from breakfast, feeling good. We’ll promote a ride and you’ll go farther in ten minutes than you could make all night.”

Max had to admit that he was tired, exhausted really, and Sam certainly knew more about these wrinkles than he did. Sam added, “Got a blanket in your bindle?”

“No. Just a shirt… and some books.”

“Books, eh? Read quite a bit myself, when I get a chance. May I see them?”

Somewhat reluctantly Max got them out. Sam held them close to the fire and examined them. “Well, I’ll be a three-eyed Martian! Kid, do you know what you’ve got here?”

“Sure.”

“But you ought not to have these. You’re not a member of the Astrogators’ Guild.” “No, but my uncle was. He was on the first trip to Beta Hydrae,” he added proudly. “No foolin’!”

“Sure as taxes.”

“But you’ve never been in space yourself? No, of course not.”

“But I’m going to be!” Max admitted something that he had never told anyone, his ambition to emulate his uncle and go out to the stars. Sam listened thoughtfully. When Max stopped, he said slowly, “So you want to be an astrogator?”

“I certainly do.”

Sam scratched his nose. “Look, kid, I don’t want to throw cold water, but you know how the world wags. Getting to be an astrogator is almost as difficult as getting into the Plumbers’ Guild. The soup is thin these days and there isn’t enough to go around. The guild won’t welcome you just because you are anxious to be apprenticed. Membership is hereditary, just like all the other high-pay guilds.”

“But my uncle was a member.” “Your uncle isn’t your father.”

“No, but a member who hasn’t any sons gets to nominate someone else. Uncle Chet explained it to me. He always told me he was going to register my nomination.”

“And did he?”

Max was silent. At the time his uncle had died he had been too young to know how to go about finding out. When his father had followed his uncle events had closed in on him—he had never checked up, subconsciously preferring to nurse the dream rather than test it. “I don’t know,” he said at last. “I’m going to the Mother Chapter at Earthport and find out.”

“Hmmm—I wish you luck, kid.” He stared into the fire, sadly it seemed to Max. “Well, I’m going to grab some shut-eye, and you had better do the same. If you’re chilly, you’ll find some truck back under that rock shelf—burlap and packing materials and such. It’ll keep you warm, if you don’t mind risking a flea or two.”

Max crawled into the dark hole indicated, found a half-way cave in the limestone. Groping, he located the primitive bedding. He had expected to be wakeful, but he was asleep before Sam finished covering the fire.

He was awakened by sunlight blazing outside. He crawled out, stood up and stretched the stiffness out of his limbs. By the sun he judged it to be about seven o’clock in the morning. Sam was not in sight. He looked around and shouted, not too loudly, and guessed that Sam had gone down to the creek for a drink and a cold wash. Max went back into the shelter and hauled out his rucksack, intending to change his socks.

His uncle’s books were missing.

There was a note on top of his spare shirt: “Dear Max,” it said, “There is more stew in the can. You can warm it up for breakfast. So long—Sam P.S. Sorry.”

Further search disclosed that his identification card was missing, but Sam had not bothered with his other pitiful possessions. Max did not touch the stew but set out down the road, his mind filled with bitter thoughts.

Earthport

The farm road crossed under the freight highway; Max came up on the far side and headed south beside the highway. The route was marked by “NO TRESPASS” signs but the path was well worn. The highway widened to make room for a deceleration strip. At the end of its smooth reach, a mile away, Max could see the restaurant Sam had mentioned.

He shinnied over the fence enclosing the restaurant and parking grounds and went to the parking stalls where a dozen of the big land ships were lined up. One was quivering for departure, its flat bottom a few inches clear of the metallic pavement. Max went to its front end and looked up at the driver’s

compartment. The door was open and he could see the driver at his instrument board. Max called out, “Hey, Mister!”

The driver stuck his head out. “What’s itching you?” “How are the chances of a lift south?”

“Beat it, kid.” The door slammed.

None of the other freighters was raised off the pavement; their control compartments were empty. Max was about to turn away when another giant scooted down the braking strip, reached the parking space, crawled slowly into a stall, and settled to the ground. He considered approaching its driver, but decided to wait until the man had eaten. He went back toward the restaurant building and was looking through the door, watching hungry men demolish food while his mouth watered, when he heard a pleasant voice at his shoulder.

“Excuse me, but you’re blocking the door.” Max jumped aside. “Oh! Sorry.”

“Go ahead. You were first.” The speaker was a man about ten years older than Max. He was profusely freckled and had a one-sided grin. Max saw on his cap the pin of the Teamsters’ Guild. “Go on in,” the man repeated, “before you get trampled in the rush.”

Max had been telling himself that he might catch Sam inside—and, after all, they couldn’t charge him just for coming in, if he didn’t actually eat anything. Underlying was the thought of asking to work for a meal, if the manager looked friendly. The freckled-faced man’s urging tipped the scales; he followed his nose toward the source of the heavenly odors pouring out the door.

The restaurant was crowded; there was one vacant table, for two. The man slid into a chair and said, “Sit down.” When Max hesitated, he added, “Go ahead, put it down. Never like to eat alone.” Max could feel the manager’s eyes on him, he sat down. A waitress handed them each a menu and the hauler looked her over appreciatively. When she left he said, “This dump used to have automatic service—and it went broke. The trade went to the Tivoli, eighty miles down the stretch. Then the new owner threw away the machinery and hired girls and business picked up. Nothing makes food taste better than having a pretty girl put it in front of you. Right?”

“Uh, I guess so. Sure.” Max had not heard what was said. He had seldom been in a restaurant and then only in the lunch counter at Clyde’s Corners. The prices he read frightened him; he wanted to crawl under the table.

His companion looked at him. “What’s the trouble, chum?” “Trouble? Uh, nothing.”

“You broke?” Max’s miserable expression answered him. “Shucks, I’ve been there myself. Relax.” The man waggled his fingers at the waitress. “Come here, honey chile. My partner and I will each have a breakfast steak with a fried egg sitting on top and this and that on the side. I want that egg to be just barely dead. If it is cooked solid, I’ll nail it to the wall as a warning to others. Understand me?”

“I doubt if you’ll be able to get a nail through it,” she retorted and walked away, swaying gently. The hauler kept his eyes on her until she disappeared into the kitchen. “See what I mean? How can machinery compete?”

The steak was good and the egg was not congealed. The hauler told Max to call him “Red” and Max gave his name in exchange. Max was pursuing the last of the yolk with a bit of toast and was considering whether it was time to broach the subject of a ride when Red leaned forward and spoke softly. “Max—you got anything pushing you? Free to take a job?”

“What? Why, maybe. What is it?” “Mind taking a little run southwest?”

“Southwest? Matter of fact, I was headin’ that way.”

“Good. Here’s the deal. The Man says we have to have two teamsters to each rig—or else break for eight hours after driving eight. I can’t; I’ve got a penalty time to meet—and my partner washed out. The flathead got taken drunk and I had to put him down to cool. Now I’ve got a check point to pass a hundred thirty miles down the stretch. They’ll make me lay over if I can’t show another driver.”

“Gee! But I don’t know how to drive, Red. I’m awful sorry.”

Red gestured with his cup. “You won’t have to. You’ll always be the off-watch driver. I wouldn’t trust little Molly Malone to somebody who didn’t know her ways. I’ll keep myself awake with Pep pills and catch up on sleep at Earthport.”

“You’re going all the way to Earthport?” “Right.”

“It’s a deal!”

“Okay, here’s the lash up. Every time we hit a check point you’re in the bunk, asleep. You help me load and unload—I’ve got a partial and a pick-up at Oke City—and I’ll feed you. Right?”

“Right!”

“Then let’s go. I want to scoot before these other dust jumpers get underway. Never can tell, there might be a spotter.” Red flipped a bill down and did not wait for change.

The Molly Malone was two hundred feet long and stream lined such that she had negative lift when cruising. This came to Max’s attention from watching the instruments; when she first quivered and raised, the dial marked ROAD CLEARANCE showed nine inches, but as they gathered speed down the acceleration strip it decreased to six.

“The repulsion works by an inverse-cube law,” Red explained. “The more the wind pushes us down the harder the road pushes us up. Keeps us from jumping over the skyline. The faster we go the steadier we are.”

“Suppose you went so fast that the wind pressure forced the bottom down to the road? Could you stop soon enough to keep from wrecking it?”

“Use your head. The more we squat the harder we are pushed up—inverse-cube, I said.”

“Oh.” Max got out his uncle’s slide rule. “If she just supports her own weight at nine inches clearance, then at three inches the repulsion would be twenty-seven times her weight and at an inch it would be seven hundred and twenty-nine, and at a quarter of an inch—”

“Don’t even think about it. At top speed I can’t get her down to five inches.”

“But what makes her go?”

“It’s a phase relationship. The field crawls forward and Molly tries to catch up—only she can’t. Don’t ask me the theory, I just push the buttons.” Red struck a cigarette and lounged back, one hand on the tiller. “Better get in the bunk, kid. Check point in forty miles.”

The bunk was thwartships abaft the control compartment, a shelf above the seat. Max climbed in and wrapped a blanket around himself. Red handed him a cap. “Pull this down over your eyes. Let the button show.” The button was a teamster’s shield, Max did as he was told.

Presently he heard the sound of wind change from a soft roar to a sigh and then stop. The freighter settled to the pavement and the door opened. He lay still, unable to see what was going on. A strange voice said, “How long you been herding it?”

“Since breakfast at Tony’s.”

“So? How did your eyes get so bloodshot?” “It’s the evil life I lead. Want to see my tongue?”

The inspector ignored this, saying instead, “Your partner didn’t sign his trick.” “Whatever you say. Want me to wake the dumb geek?”

“Umm… don’t bother. You sign for him. Tell him to be more careful.” “Right.”

The Molly Malone pulled out and picked up speed. Max crawled down. “I thought we were sunk when he asked for my signature.”

“That was on purpose,” Red said scornfully. “You have to give them something to yap about, or they’ll dig for it.”

Max liked the freighter. The tremendous speed so close to the ground exhilarated him; he decided that if he could not be a spaceman, this life would not be bad—he’d find out how high the application fee was and start saving. He liked the easy way Red picked out on the pavement ahead the speed line that matched the Molly’s speed and then laid the big craft into a curve. It was usually the outermost line, with the Molly on her side and the horizon tilted up at a crazy angle.

Near Oklahoma City they swooped under the ring guides of the C.S.&E. just as a train went over—the

Razor, by Max’s calculations. “I used to herd those things,” Red remarked, glancing up. “You did?”

“Yep. But they got to worrying me. I hated it every time I made a jump and felt the weight sag out from under me. Then I got a notion that the train had a mind of its own and was just waiting to turn aside instead of entering the next guide ring. That sort of thing is no good. So I found a teamster who wanted to better himself and paid the fine to both guilds to let us swap. Never regretted it. Two hundred miles an hour when you’re close to the ground is enough.”

“Uh, how about space ships?”

“That’s another matter. Elbow room out there. Say, kid, while you’re at Earthport you should take a look at the big babies. They’re quite something.”

The library book had been burning a hole in his rucksack; at Oklahoma City he noticed a postal box at the freight depot and, on impulse, dropped the book into it. After he had mailed it he had a twinge of worry that he might have given a clue to his whereabouts which would get back to Montgomery, but he suppressed the worry—the book had to be returned. Vagrancy in the eyes of the law had not worried him, nor trespass, nor impersonating a licensed teamster—but filching a book was a sin.

Max was asleep in the bunk when they arrived. Red shook him. “End of the line, kid.” Max sat up, yawning. “Where are we?”

“Earthport. Let’s shake a leg and get this baby unloaded.”

It was two hours past sunrise and growing desert hot by the time they got the Molly disgorged. Red stood him to a last meal. Red finished first, paid, then laid a bill down by Max’s plate. “Thanks, kid. That’s for luck. So long.” He was gone while Max still had his mouth hanging open. He had never learned his friend’s name, did not even know his shield number.

Earthport was much the biggest settlement Max had ever seen and everything about it confused him—the hurrying self-centered crowds, the enormous buildings, the slidewalks in place of streets, the noise, the desert sun beating down, the flatness—why, there wasn’t anything you could call a hill closer than the skyline!

He saw his first extra-terrestrial, an eight-foot native of Epsilon Gemini V, striding out of a shop with a package under his left arms—as casually, Max thought, as a farmer doing his week’s shopping at the Corners. Max stared. He knew what the creature was from pictures and SV shows, but seeing one was another matter. Its multiple eyes, like a wreath of yellow grapes around the head, gave it a grotesque faceless appearance. Max let his own head swivel to follow it.

The creature approached a policeman, tapped the top of his cap, and said, “Excuse me, sahr, but can you tirect me to the Tesert Palms Athletic Club?” Max could not tell where the noise came out.

Max finally noticed that he seemed to be the only one staring, so he walked slowly on, while sneaking looks over his shoulder—which resulted in his bumping into a stranger. “Oh, excuse me!” Max blurted. The stranger looked at him. “Take it easy, cousin. You’re in the big city now.” After that he tried to be careful.

He had intended to seek out the Guild Hall of the Mother Chapter of Astrogators at once in the forlorn hope that even without his books and identification card he might still identify himself and find that Uncle Chet had provided for his future. But there was so much to see that he loitered. He found himself presently in front of Imperial House, the hotel that guaranteed to supply any combination of pressure, temperature, lighting, atmosphere, pseudogravitation, and diet favored by any known race of intelligent creatures. He hung around hoping to see some of the guests, but the only one who came out while he was there was wheeled out in a pressurized travel tank and he could not see into it.

He noticed the police guard at the door eyeing him and started to move on—then decided to ask directions, reasoning that if it was all right for a Geminian to question a policeman it certainly must be all right for a human being. He found himself quoting the extra-terrestrial. “Excuse me, sir, but could you direct me to the Astrogators’ Guild Hall?”

The officer looked him over. “At the foot of the Avenue of Planets, just before you reach the port.” “Uh, which way do…”

“New in town?” “Yeah. Yes, sir.”

“Where are you staying?”

“Staying? Why, nowhere yet. I just got here. I…” “What’s your business at the Astrogators’ Hall?”

“It’s on account of my uncle,” Max answered miserably. “Your uncle?”

“He… he’s an astrogator.” He mentally crossed his fingers over the tense.

The policeman inspected again. “Take this slide to the next intersection, change and slide west. Big building with the guild sunburst over the door—can’t miss it. Stay out of restricted areas.” Max left without waiting to find out how he was to know a restricted area. The Guild Hall did prove easy to find; the slidewalk to the west ducked underground and when it emerged at its swing-around Max was deposited in front of it.

But he had not eyes for it. To the west where avenue and buildings ended was the field and on it space ships, stretching away for miles—fast little military darts, stubby Moon shuttles, winged ships that served the satellite stations, robot freighters, graceless and powerful. But directly in front of the gate hardly half a mile away was a great ship that he knew at once, the starship Asgard. He knew her history, Uncle Chet had served in her. A hundred years earlier she had been built out in space as a space-to-space rocket ship; she was then the Prince of Wales. Years passed, her tubes were ripped out and a mass-conversion torch was kindled in her; she became the Einstein. More years passed, for nearly twenty she swung empty around Luna, a lifeless, outmoded hulk. Now in place of the torch she had Horst-Conrad impellers that clutched at the fabric of space itself; thanks to them she was now able to touch Mother Terra. To commemorate her rebirth she had been dubbed Asgard, heavenly home of the gods.

Her massive, pear-shaped body was poised on its smaller end, steadied by an invisible scaffolding of thrust beams. Max knew where they must be, for there was a ring of barricades spotted around her to keep the careless from wandering into the deadly loci.

He pressed his nose against the gate to the field and tried to see more of her, until a voice called out, “Away from there, Jack! Don’t you see that sign?”

Max looked up. Above his head was a sign: RESTRICTED AREA. Reluctantly he moved away and walked back to the Guild Hall.

THE ASTROGATORS’ GUILD

Everything about the hall of the Mother Chapter was to Max’s eyes lavish, churchlike, and frightening. The great doors opened silently as he approached, dilating away into the walls. His feet made no sound on the tesselated floor. He started down the long, high foyer, wondering where he should go, when a firm voice stopped him. “May I help you, please?”

He turned. A beautiful young lady with a severe manner held him with her eye. She was seated behind a desk. Max went up to her. “Uh, maybe you could tell me, Ma’am, who I ought to see. I don’t rightly know just…”

“One moment. Your name, please?” Several minutes later she had wormed out of him the basic facts of his quest. “So far as I can see, you haven’t any status here and no excuse for appealing to the Guild.”

“But I told you…”

“Never mind. I’m going to put it up to the legal office.” She touched a button and a screen raised up on her desk; she spoke to it. “Mr. Hanson, can you spare a moment?”

“Yes, Grace?”

“There is a young man here who claims to be a legacy of the Guild. Will you talk with him?”

The voice answered, “Look, Grace, you know the procedures. Get his address, send him on his way, and send his papers up for consideration.”

She frowned and touched another control. Although Max could see that she continued to talk, no sound reached him. Then she nodded and the screen slid back into the desk. She touched another button and said, “Skeeter!”

A page boy popped out of a door behind her and looked Max over with cold eyes. “Skeeter,” she went on, “take this visitor to Mr. Hanson.”

The page sniffed. “Him?”

“Him. And fasten your collar and spit out that gum.”

Mr. Hanson listened to Max’s story and passed him on to his boss, the chief legal counsel, who listened to a third telling. That official then drummed his desk and made a call, using the silencing device the girl had used.

He then said to Max, “You’re in luck, son. The Most Worthy High Secretary will grant you a few minutes of his time. Now when you go in, don’t sit down, remember to speak only when spoken to, and get out quickly when he indicates that the audience is ended.”

The High Secretary’s office made the lavishness that had thus far filled Max’s eyes seem like austerity. The rug alone could have been swapped for the farm on which Max grew up. There was no communication equipment in evidence, no files, not even a desk. The High Secretary lounged back in a mammoth easy chair while a servant massaged his scalp. He raised his head as Max appeared and said, “Come in, son. Sit down there. What is your name?”

“Maximilian Jones, sir.”

They looked at each other. The Secretary saw a lanky youth who needed a haircut, a bath, and a change of clothes; Max saw a short, fat little man in a wrinkled uniform. His head seemed too big for him and Max could not make up his mind whether the eyes were kindly or cold.

“And you are a nephew of Chester Arthur Jones?” “Yes, sir.”

“I knew Brother Jones well. A fine mathematician.” The High Secretary went on, “I understand that you

have had the misfortune to lose your government Citizen’s Identification. Carl.”

He had not raised his voice but a young man appeared with the speed of a genie. “Yes, sir?”

“Take this young man’s thumb print, call the Bureau of Identification—not here, but the main office at New Washington. My compliments to the Chief of Bureau and tell him that I would be pleased to have immediate identification while you hold the circuit.”

The print was taken speedily; the man called Carl left. The High Secretary went on, “What was your purpose in coming here?” Diffidently Max explained that his uncle had told him that he intended to nominate him for apprenticeship in the guild.

The man nodded. “So I understand. I am sorry to tell you, young fellow, that Brother Jones made no nomination.”

Max had difficulty in taking in the simple statement. So much was his inner pride tied to his pride in his uncle’s profession, so much had he depended on his hope that his uncle had named him his professional heir, that he could not accept at once the verdict that he was nobody and nothing. He blurted out, “You’re sure? Did you look?”

The masseur looked shocked but the High Secretary answered calmly, “The archives have been searched, not once, but twice. There is no possible doubt.” The High Secretary sat up, gestured slightly, and the servant disappeared. “I’m sorry.”

“But he told me,” Max said stubbornly. “He said he was going to.”

“Nevertheless he did not.” The man who had taken the thumb print came in and offered a memorandum to the High Secretary, who glanced at it and waved it away. “I’ve no doubt that he considered you.

Nomination to our brotherhood involves a grave responsibility; it is not unusual for a childless brother to have his eye on a likely lad for a long time before deciding whether or not he measures up. For some reason your uncle did not name you.”

Max was appalled by the humiliating theory that his beloved uncle might have found him unworthy. It could not be true—why, just the day before he died, he had said—he interrupted his thoughts to say, “Sir—I think I know what happened.”

“Eh?”

“Uncle Chester died suddenly. He meant to name me, but he didn’t get a chance. I’m sure of it.”

“Possibly. Men have been known to fail to get their affairs in order before the last orbit. But I must assume that he knew what he was doing.”

“But—”

“That’s all, young man. No, don’t go away. I’ve been thinking about you today.” Max looked startled, the High Secretary smiled and continued, “You see, you are the second ‘Maximilian Jones’ who has come to us with this story.”

“Huh?”

“Huh indeed.” The guild executive reached into a pocket of his chair, pulled out some books and a card, handed them to Max, who stared unbelievingly.

“Uncle Chet’s books!”

“Yes. Another man, older than yourself, came here yesterday with your identification card and these books. He was less ambitious than you are,” he added dryly. “He was willing to settle for a rating less lofty than astrogator.”

“What happened?”

“He left suddenly when we attempted to take his finger prints. I did not see him. But when you showed up today I began to wonder how long a procession of ‘Maximilian Jones’s’ would favor us. Better guard that card in the future—I fancy we have saved you a fine.”

Max placed it in an inner pocket. “Thanks a lot, sir.” He started to put the books in his rucksack. The High Secretary gestured in denial.

“No, no! Return the books, please.” “But Uncle Chet gave them to me.”

“Sorry. At most he loaned them to you—and he should not have done even that. The tools of our profession are never owned individually; they are loaned to each brother. Your uncle should have turned them in when he retired, but some of the brothers have a sentimental fondness for having them in their possession. Give them to me, please.”

Max still hesitated. “Come now,” the guildsman said reasonably. “It would not do for our professional secrets to be floating around loose, available to anyone. Even the hairdressers do not permit that. We have a high responsibility to the public. Only a member of this guild, trained, tested, sworn, and accepted, may lawfully be custodian of those manuals.”

Max’s answer was barely audible. “I don’t see the harm. I’m not going to get to use them, it looks like.”

“You don’t believe in anarchy, surely? Our whole society is founded on entrusting grave secrets only to those who are worthy. But don’t feel sad. Each brother, when he is issued his tools, deposits an earnest with the bursar. In my opinion, since you are the nearest relative of Brother Jones, we may properly repay the earnest to you for their return. Carl.”

The young man appeared again. “The deposit monies, please.” Carl had the money with him—he seemed to earn his living by knowing what the High Secretary was about to want. Max found himself accepting an impressive sheaf of money, more than he had ever touched before, and the books were taken from him before he could think of another objection.

It seemed time to leave, but he was motioned back to his chair. “Personally, I am sorry to disappoint you, but I am merely the servant of my brothers; I have no choice. However… ” The High Secretary fitted his finger tips together. “Our brotherhood takes care of its own. There are funds at my disposal for such cases. How would you like to go into training?”

“For the Guild?”

“No, no! We don’t grant brotherhood as charity. But for some respectable trade, metalsmith, or chef, or tailor—what you wish. Any occupation not hereditary. The brotherhood will sponsor you, pay your ‘prentice fee and, if you make good, lend you your contribution when you are sworn in.”

Max knew he should accept gratefully. He was being offered an opportunity free that most of the swarming masses never got on any terms. But the cross-grained quirk in him that had caused him to

spurn the stew that Sam had left behind made this generous offer stick in his craw. “Thanks just the same,” he answered in tones almost surly, “but I don’t rightly think I can take it.”

The High Secretary looked bleak. “So? It’s your life.” He snapped his fingers, a page appeared, and Max was led quickly out of the Hall.

He stood on the steps of the Guild Hall and wondered dejectedly what he should do next. Even the space ships on the field at the foot of the street did not attract; he could not have looked at one without feeling like crying. He looked to the east instead.

A short distance away a jaunty figure leaned against a trash receptacle. As Max’s eyes rested on the man he straightened up, flipped a cigarette to the pavement, and started toward him.

Max looked at him again. “Sam!” It was undoubtedly the wayfarer who had robbed him—well dressed, clean shaved—but Sam nonetheless. Max hurried toward him.

“Howdy, Max,” Sam greeted him with an unembarrassed grin, “how did you make out?” “I ought to have you arrested!”

“Now, now—keep your voice down. You’re making yourself conspicuous.” Max took a breath and lowered his voice. “You stole my books.”

“Your books? They weren’t yours—and I returned them to their owners. You want to arrest me for that?”

“But you… Well, anyhow you…”

A voice, civil, firm, and official, spoke at Max’s elbow. “Is this person annoying you, sir?” Max turned and found a policeman standing behind him. He started to speak, then bit off the words as he realized the question had been addressed to Sam.

Sam took hold of Max’s upper arm in a gesture that was protective and paternal, but quite firm. “Not at all, officer, thank you.”

“Are you sure? I received word that this chico was headed this way and I’ve had my eye on him.” “He’s a friend of mine. I was waiting for him here.”

“As you say. We have a lot of trouble with vagrants. They all seem to head for Earthport.”

“He’s not a vagrant. He’s a young friend of mine from the country and I’m afraid he’s gotten a bit confused. I’ll be responsible.”

“Very well, sir.”

“Not at all.” Max let himself be led away. When they were out of earshot Sam said, “That was close. That nosy clown would have had us both in the bull pen. You did all right, kid—kept your lip zipped at the right time.”

They were around the corner into a less important street before Sam let go his grip. He stopped and faced Max, grinning. “Well, kid?”

“I should a’ told that cop about you!”

“Why didn’t you? He was right there.”

Max found himself caught by contradictory feelings. He was angry with Sam, no doubt about it, but his first unstudied reaction at seeing him had been the warm pleasure one gets from recognizing a familiar face among strangers—the anger had come a split second later. Now Sam looked at him with easy cynicism, a quizzical smile on his face. “Well, kid?” he repeated. “If you want to turn me in, let’s go back and get it over with. I won’t run.”

Max looked back at him peevishly. “Oh, forget it!” “Thanks. I’m sorry about it, kid. I really am.” “Then why did you do it?”

Sam’s face changed suddenly to a sad, far-away look, then resumed its cheerful cynicism. “I was tempted by an idea, old son—every man has his limits. Some day I’ll tell you. Now, how about a bit to eat and a gab? There’s a joint near here where we can talk without having the nosies leaning over our shoulders.”

“I don’t know as I want to.”

“Oh, come now! The food isn’t much but it’s better than mulligan.”

Max had been ready with a stiff speech about how he would not turn Sam in, but he certainly did not want to eat with him; the mention of mulligan brought him up short. He remembered uneasily that Sam had not inquired as to his morals, but had shared his food.

“Well… okay.”

“That’s my boy!” They went on down the street. The neighborhood was a sort to be found near the port in any port city; once off the pompous Avenue of the Planets it became more crowded, noisier, more alive, and somehow warmer and more friendly despite a strong air of “keep your hand on your purse.” Hole-in-the-wall tailor shops, little restaurants none too clean, cheap hotels, honky-tonks, fun arcades, exhibits both “educational” and “scientific,” street vendors, small theaters with gaudy posters and sounds of music leaking out, shops fronting for betting parlors, tattoo parlors fronting for astrologers, and the inevitable Salvation Army mission gave the street flavor its stylish cousins lacked. Martians in trefoil sunglasses and respirators, humanoids from Beta Corvi III, things with exoskeletons from Allah knew where, all jostled with humans of all shades and all blended in easy camaraderie.

Sam stopped at a shop with the age-old symbol of three golden spheres. “Wait here. Be right out.” Max waited and watched the throng. Sam came out shortly without his coat. “Now we eat.” “Sam! Did you pawn your coat?”

“Give the man a cigar! How did you guess?”

“But… Look, I didn’t know you were broke; you looked prosperous. Get it back, I’ll… I’ll pay for our lunch.”

“Say, that’s sweet of you, kid. But forget it. I don’t need a coat this weather. Truth is, I was dressed up just to make a good impression at—well, a little matter of business.”

Max blurted out, “But how did you… “, then shut up. Sam grinned. “Did I steal the fancy rags? No. I encountered a citizen who believed in percentages and engaged him in a friendly game. Never bet on

percentages, kid; skill is more fundamental. Here we are.”

The room facing the street was a bar, beyond was a restaurant. Sam led him on through the restaurant, through the kitchen, down a passage off which there were card rooms, and ended in a smaller, less pretentious dining room; Sam picked a table in a corner. An enormous Samoan shuffled up, dragging one leg. Sam nodded, “Howdy, Percy.” He turned to Max. “A drink first?”

“Uh, I guess not.”

“Smart lad. Lay off the stuff. Irish for me, Percy, and we’ll both have whatever you had for lunch.” The Samoan waited silently. Sam shrugged and laid money on the table, Percy scooped it up.

Max objected, “But I was going to pay.”

“You can pay for the lunch. Percy owns the place,” he added. “He’s offensively rich, but he didn’t get that way by trusting the likes of me. Now tell me about yourself, old son. How you got here? How you made out with the astrogators… everything. Did they kill the fatted calf?”

“Well, no.” There seemed to be no reason not to tell Sam and he found that he wanted to talk. Sam nodded at the end.

“About what I had guessed. Any plans now?” “No. I don’t know what to do now, Sam.”

“Hmm… it’s an ill wind that has no turning. Eat your lunch and let me think.” Later he added, “Max, what do you want to do?”

“Well… I wanted to be an astrogator…” “That’s out.”

“I know.”

“Tell me, did you want to be an astrogator and nothing else, or did you simply want to go into space?” “Why, I guess I never thought about it any other way.”

“Well, think about it.”

Max did so. “I want to space. If I can’t go as an astrogator, I want to go anyhow. But I don’t see how. The Astrogators’ Guild is the only one I stood a chance for.”

“There are ways.”

“Huh? Do you mean put in for emigration?”

Sam shook his head. “It costs more than you could save to go to one of the desirable colonies—and the ones they give you free rides to I wouldn’t wish on my worst enemies.”

“Then what do you mean?”

Sam hesitated. “There are ways to wangle it, old son—if you do what I say. This uncle of yours—you were around him a lot?”

“Why, sure.”

“Talked about space with you?” “Certainly. That’s all we talked about.”

“Hmm… how well do you know the patter?”

“…YOUR MONEY AND MY KNOW-HOW… “

“The patter?” Max looked puzzled. “I suppose I know what everybody knows.” “Where’s the worry hole?”

“Huh? That’s the control room.”

“If the cheater wants a corpse, where does he find it?”

Max looked amused. “That’s just stuff from SV serials, nobody talks like that aboard ship. The cook is the cook, and if he wanted a side of beef, he’d go to the reefer for it.”

“How do you tell a ‘beast’ from an animal?”

“Why, a ‘beast’ is a passenger, but an animal is just an animal, I guess.”

“Suppose you were on a ship for Mars and they announced that the power plant had gone blooie and the ship was going to spiral into the Sun? What would you think?”

“I’d think somebody was trying to scare me. In the first place, you wouldn’t be ‘on’ a ship—’in’ is the right word. Second, a spiral isn’t one of the possible orbits. And third, if a ship was headed for Mars from Earth, it couldn’t fall into the Sun; the orbit would be incompatible.”

“Suppose you were part of a ship’s crew in a strange port and you wanted to go out and look the place over. How would you go about asking the captain for permission?”

“Why, I wouldn’t.” “You’d just jump ship?”

“Let me finish. If I wanted to hit dirt, I’d ask the first officer; the captain doesn’t bother with such things. If the ship was big enough, I’d have to ask my department head first.” Max sat up and held Sam’s eye. “Sam—you’ve been spaceside. Haven’t you?”

“What gave you that notion, kid?” “What’s your guild?”

“Stow it, Max. Ask me no questions and I’ll sell you no pigs in a poke. Maybe I’ve studied up on the jive just as you have.”

“I don’t believe it,” Max said bluntly.

Sam looked pained. Max went on, “What’s this all about? You ask me a bunch of silly questions—sure, I know quite a bit about spaceside; I’ve been reading about it all my life and Uncle Chet would talk by the hour. But what of it?”

Sam looked at him and said softly, “Max—the Asgard is raising next Thursday—for starside. Would you like to be in her?”

Max thought about it. To be in the fabulous Asgard, to be heading out to the stars, to be—he brushed the vision aside. “Don’t talk that way, Sam! You know I’d give my right arm. Why needle me?”

“How much money have you?” “Huh? Why?”

“How much?”

“I haven’t even had time to count it.” Max started to haul out the wad of bills he had been given; Sam hastily and unobtrusively stopped him.

“Psst!” he protested. “Don’t flash a roll in here. Do you want to eat through a slit in your throat? Keep it down!”

Startled, Max took the advice. He was still more startled when he finished the tally; he had known that he had been given quite a lot of money but this was more than he had dreamed. “How much?” Sam persisted. Max told him, Sam swore softly. “Well, it will just have to do.”

“Do for what?”

“You’ll see. Put it away.”

As Max did so he said wonderingly, “Sam, I had no idea those books were so valuable.” “They aren’t.”

“Huh?”

“It’s malarkey. Lots of guilds do it. They want to make it appear that their professional secrets are precious, so they make the candidate put up a wad of dough for his reference books. If those things were published in the ordinary way, they’d sell at a reasonable price.”

“But that’s right, isn’t it? As the Worthy High Secretary explained, it wouldn’t do for just anybody to have that knowledge.”

Sam made a rude noise and pretended to spit. “What difference would it make? Suppose you still had them—you don’t have a ship to conn.”

“But… ” Max stopped and grinned. “I can’t see that it did any good to take them away from me anyhow. I’ve read them, so I know what’s in them.”

“Sure you know. Maybe you even remember some of the methods. But you don’t have all those columns of figures so you can look up the one you need when you need it. That’s what they care about.”

“But I do! I read them, I tell you.” Max wrinkled his forehead, then began to recite: “‘Page 272, Calculated Solutions of the Differential Equation of Motion by the Ricardo Assumption—” He began to reel off a series of seven-place figures. Sam listened in growing surprise, then stopped him.

“Kid, you really remember that? You weren’t making it up?” “Of course not, I read it.”

“Well, I’ll be a beat up… Look, you’re a page-at-a-glance reader? Is that it?”

“No, not exactly. I’m a pretty fast reader, but I do have to read it. But I don’t forget. I never have been able to see how people forget. I can’t forget anything.”

Sam shook his head wonderingly. “I’ve been able to forget a lot of things, thank Heaven.” He thought for a moment. “Maybe we should forget the other caper and exploit this talent of yours. I can think of angles.”

“What do you mean? And what other caper?”

“Hmm… no, I was right the first time. The idea is to get away from here. And with your funny memory the chances are a whole lot better. Even though you sling the slang pretty well I was worried. Now I’m not.”

“Sam, stop talking riddles. What are you figuring on?’

“Okay, kid, I’ll lay it on the table.” He glanced around, leaned forward, and spoke even more quietly. “We take the money and I spread it around carefully. When the Asgard raises, we’re signed on as crewmen.”

“As apprentices? We wouldn’t even have time for ground school. And besides you’re too old to ‘prentice.”

“Use your head! We don’t have enough to pay one apprentice fee, let alone two, in any space guild—and the Asgard isn’t signing ‘prentices anyhow. We’ll be experienced journeymen in one of the guilds, with records to prove it.”

When the idea soaked in, Max was shocked. “But they put you in jail for that!” “Where do you think you are now?”

“Well, I’m not in jail. And I don’t want to be.”

“This whole planet is one big jail, and a crowded one at that. What chance have you got? If you aren’t born rich, or born into one of the hereditary guilds, what can you do? Sign up with one of the labor companies.”

“But there are non-hereditary guilds.”

“Can you pay the fee? You’ve got a year, maybe two until you’re too old to ‘prentice. If you were sharp with cards you might manage it—but can you earn it? You should live so long! Your old man should have saved it; he left you a farm instead.” Sam stopped suddenly, bit his thumb. “Max, I’ll play fair. Your old man did leave you a fair start in life. With the money you’ve got you can go home, hire a shyster, and maybe squeeze that Montgomery item out of the money he swindled for your farm. Then you can buy your apprenticeship in some guild. Do it, kid. I won’t stand in your way.” He watched Max narrowly.

Max reflected that he had just refused a chance to pick a trade and be given a free start. Maybe he should reconsider. Maybe… “No! That’s not what I want. This… this, uh, scheme of yours; how do we do it?”

Sam relaxed and grinned. “My boy!”

Sam got them a room over Percy’s restaurant. There he coached him. Sam went out several times and Max’s money went with him. When Max protested Sam said wearily, “What do you want? To hold my heart as security? Do you want to come along and scare ’em out of the dicker? The people I have to reason with will be taking chances. Or do you think you can arrange matters yourself? It’s your money and my know-how… that’s the partnership.”

Max watched him leave the first time with gnawing doubts, but Sam came back. Once he brought with him an elderly, gross woman who looked Max over as if he were an animal up for auction. Sam did not introduce her but said, “How about it? I thought a mustache would help.”

She looked at Max from one side, then the other. “No,” she decided, “that would just make him look made up for amateur theatricals.” She touched Max’s head with moist, cold fingers; when he drew back, she admonished, “Don’t flinch, honey duck. Aunt Becky has to work on you. No, we’ll move back his hair line above his temples, thin it out on top, and kill its gloss. Some faint wrinkles tattooed around his eyes. Mmm… that’s all. Mustn’t overdo it.”

When this fat artist was through Max looked ten years older. Becky asked if he wanted his hair roots killed, or would he prefer to have his scalp return to normal in time? Sam started to insist on permanence, but she brushed him aside. “I’ll give him a bottle of ‘Miracle Gro’—no extra charge, it’s just rubbing alcohol—and he can make a big thing of using it. How about it, lover? You’re too pretty to age you permanently.”

Max accepted the “Miracle Gro”—hair restored or your money back.

Sam took away his citizen’s identification card, returned with another one. It had his right name, a wrong age, his right serial number, a wrong occupation, his own thumb print, and a wrong address. Max looked at it curiously. “It looks real.”

“It should. The man who made it makes thousands of real ones—but he charges extra for this.” That night Sam brought him a book titled Ship Economy and embossed with the seal of the Guild of Space Stewards, Cooks, and Purser’s Clerks. “Better stay up all night and see how much you can soak up. The man it belongs to won’t sleep more than ten hours even with the jolt Percy slipped into his nightcap. Want a pill to keep you awake?”

“I don’t think so.” Max examined it. It was in fine print and quite thick. But by five in the morning he had finished it. He woke Sam and gave it back, then went to sleep, his head buzzing with stowage and dunnage, moment arms and mass calculations, hydroponics techniques, cargo records, tax forms, diets, food preservation and preparation, daily, weekly, and quarterly accounts, and how to get rats out of a compartment which must not be evacuated. Simple stuff, he decided—he wondered why such things were considered too esoteric for laymen.

On the fourth day of his incarceration Sam fitted him out with spaceside clothes, none of them new, and gave him a worn plastileather personal record book. The first page stated that he was an accepted brother of the Stewards, Cooks, and Purser’s Clerks, having honorably completed his apprenticeship. It listed his skills and it appeared that his dues had been paid each quarter for seven years. What appeared to be his own signature appeared above that of the High Steward, with the seal of the guild embossed through both. The other pages recorded his trips, his efficiency ratings, and other permanent data, each properly signed by the first officers and pursers concerned. He noted with interest that he had been fined three days pay in the Cygnus for smoking in an unauthorized place and that he had once for six weeks been allowed to strike for chartsman, having paid the penalty to the Chartsmen & Computers Guild for

the chance.

“See anything odd?” asked Sam. “It all looks funny to me.”

“It says you’ve been to Luna. Everybody’s been to Luna. But the ships you served in are mostly out of commission and none of the pursers happens to be in Earthport now. The only starship you ever jumped in was lost on the trip immediately after the one you took. Get me?”

“I think so.”

“When you talk to another spaceman, no matter what ship he served in, it’s not one you served in—you won’t be showing this record to anybody but the purser and your boss anyhow.”

“But suppose they served in one of these?”

“Not in the Asgard. We made darn sure. Now I’m going to take you out on an evening of gaiety. You’ll drink warm milk on account of your ulcer and you’ll complain when you can’t get it. And that’s just about all you’ll talk about—your symptoms. You’ll start a reputation right now for being untalkative; you can’t make many mistakes with your mouth shut. Watch yourself, kid, there will be spacemen around you all evening. If you mess it up, I’ll leave you dirtside and raise without you. Let me see you walk again.”

Max walked for him. Sam cursed gently. “Cripes, you still walk like a farmer. Get your feet out of those furrows, boy.”

“No good?”

“It’ll have to do. Grab your bonnet. We’ll strike while the iron’s in the fire and let the bridges fall where they may.”

“SPACEMAN” JONES

The Asgard was to raise the next day. Max woke early and tried to wake Sam, but this proved difficult. At last the older man sat up. “Oh, what a head! What time is it?”

“About six.”

“And you woke me? Only my feeble condition keeps me from causing you to join your ancestors. Go back to sleep.”

“But today’s the day!”

“Who cares? She raises at noon. We’ll sign on at the last minute; that way you won’t have time to make a slip.”

“Sam? How do you know they’ll take us?”

“Oh, for Pete’s sake! It’s all arranged. Now shut up. Or go downstairs and get breakfast—but don’t talk to anybody. If you’re a pal, you’ll bring me a pot of coffee at ten o’clock.”

“And breakfast?”

“Don’t mention food in my presence. Show some respect.” Sam pulled the covers up over his head.

It was nearly eleven thirty when they presented themselves at the gate of the port; ten minutes later before the bus deposited them at the base of the ship. Max looked up at its great, bulging sides but was cut short by a crewman standing at the lift and holding a list. “Names.”

“Anderson.” “Jones.”

He checked them off. “Get in the ship. You should have been here an hour ago.” The three climbed into the cage; it swung clear of the ground and was reeled in, swaying, like a bucket on a well rope.

Sam looked down and shuddered. “Never start a trip feeling good,” he advised Max. “It might make you sorry to be leaving.” The cage was drawn up inside the ship; the lock closed after them and they stepped out into the Asgard. Max was trembling with stage fright.

He had expected to be sworn into the ship’s company by the first officer, as called for by law. But his reception was depressingly unceremonious. The crewman who had checked them into the ship told them to follow him; he led them to the Purser’s office. There the Chief Clerk had them sign and thumbprint the book, yawning the while and tapping his buck teeth. Max surrendered his forged personal record book, while feeling as if the deception were stamped on it in bold letters. But Mr. Kuiper merely chucked it into a file basket. He then turned to them. “This is a taut ship. You’ve started by very nearly missing it. That’s a poor start.”

Sam said nothing. Max said, “Yessir.”

The Chief Clerk went on, “Stow your gear, get your chow, and report back.” He glanced at a wall chart. “One of you in D-112, the other in E-009.”

Max started to ask how to get there, but Sam took his elbow and eased him out of the office. Outside he said, “Don’t ask any questions you can avoid. We’re on Baker deck, that’s all we need to know.” Presently they came to a companionway and started back down. Max felt a sudden change in pressure, Sam grinned. “She’s sealed. Won’t be long now.”

They were in D-112, an eight-man bunkroom, and Sam was showing him how to set the lock on the one empty locker when there was a distant call on a loudspeaker. Max felt momentarily dizzy and his weight seemed to pulse. Then it stopped. Sam remarked, “They were a little slow synchronizing the field—or else this bucket of bolts has an unbalanced phaser.” He clapped Max on the back. “We made it, kid.”

They were in space.

E-009 was down one more deck and on the far side; they left Sam’s gear there and started to look for lunch. Sam stopped a passing engineer’s mate. “Hey, shipmate—we’re fresh caught. Where’s the crew’s mess?”

“Clockwise about eighty and inboard, this deck.” He looked them over. “Fresh caught, eh? Well, you’ll find out.”

“Like that, huh?”

“Worse. A madhouse squared. If I wasn’t married, I’d ‘a’ stayed dirtside.” He went on his way.

Sam said, “Ignore it, kid. All the oldtimers in a ship claim its the worst madhouse in space. A matter of pride.” But their next experience seemed to confirm it; the serving window in the mess room had closed at noon, when the ship lifted; Max mournfully resigned himself to living with a tight belt until supper. But Sam pushed on into the galley and came out presently with two loaded trays. They found empty places and sat down.

“How did you do it?”

“Any cook will feed you if you let him explain first what a louse you are and how by rights he doesn’t have to.”

The food was good—real beef patties, vegetables from the ship’s gardens, wheat bread, a pudding, and coffee. Max polished his platter and wondered if he dared ask for seconds. He decided against it. The talk flowed around him and only once was there danger that his tyro status might show up, that being when a computerman asked him a direct question as to his last trip.

Sam stalled it off. “Imperial survey,” he answered briefly. “We’re both still covered.”

The computerman grinned knowingly. “Which jail were you in? The Imperial Council hasn’t ordered a secret survey in years.”

“This one was so secret they forgot to tell you about it. Write ’em a letter and burn them out about it,” Sam stood up. “Finished, Max?”

On the way back to the Purser’s Office Max worried as to his probable assignment, checking over in his mind the skills and experience he was alleged to have. He need not have worried; Mr. Kuiper, with a fine disregard for such factors, assigned him as stableman.

The Asgard was a combined passenger liner and freighter. She carried this trip Hereford breeding stock, two bulls and two dozen cows, and an assortrnent of other animals consigned for ecologic and economic reasons to colonies—pigs, chickens, sheep, a pair of Angora goats, a family of llamas. It was contrary to Imperial policy to plant most terrestrial fauna on other planets; the colonials were expected to establish economy with indigenous flora and fauna—but some animals have been bred for so many generations for the use of man that they are not easily replaced by exotic creatures. On Gamma Leonis VI (b), New Mars, the saurians known locally as “chuckleheads” or “chucks” could and did replace Percherons as draft animals with greater efficiency and economy—but men disliked them. There was never the familial trust that exists between horses and men; unless a strain of chucks should develop a degree of rapport with men (which seemed unlikely) they would eventually die out and be replaced by the horse, for the unforgivable sin of failing to establish a firm treaty with the most ravenous, intolerant, deadly, and successful of the animals in the explored universe, Man.

There was also a cage of English sparrows. Max never did find out where these noisy little scavengers were believed to be necessary, nor was he acquainted with the complex mathematical analysis by which such conclusions were reached. He simply fed them and tried to keep their quarters clean.

There were cats in the Asgard, too, but most of these were free citizens and crewmen, charged with holding down the rats and mice that had gone into space along with mankind. One of Max’s duties was to change the sand boxes on each deck and take the soiled ones to the oxydizer for processing. The other cats were pets, property of passengers, unhappy prisoners in the kennel off the stables. The passengers’ dogs lived there, too; no dogs were allowed to run free.

Max wanted to look back at Earth and see it as a shrinking globe in the sky, but that was a privilege reserved for passengers. He spent the short period when it would have been possible in hauling (by hand) green timothy hay from the hydroponics airconditioning plant to the stables and in cleaning said stables. It was a task he neither liked nor disliked; by accident he had been assigned to work that he understood.

His immediate boss was the Chief Ship’s Steward, Mr. Giordano. Mr. “Gee” split the ship’s housekeeping with Mr. Dumont, Chief Passengers’ Steward; their domains divided at Charlie deck. Thus Mr. Dumont had passengers’ quarters, officers’ country, offices, and the control and communication stations, while Giordano was responsible for everything down (or aft) to but not including the engineering space—crew’s quarters, mess, and galley, stores, stables and kennel, hydroponics deck, and cargo spaces. Both worked for the Purser, who in turn was responsible to the First Officer.

The organization of starships derived in part from that of military vessels, in part from ocean liners of earlier days, and in part from the circumstances of interstellar travel. The first officer was boss of the ship and a wise captain did not interfere with him. The captain, although by law monarch of his miniature world, turned his eyes outward; the first officer turned his inward. As long as all went well the captain concerned himself only with the control room and with astrogation; the first officer bossed everything else. Even astrogators, communicators, computermen, and chartsmen were under the first officer, although in practice he had nothing to do with them when they were on duty since they worked in the “worry hole” under the captain.

The chief engineer was under the first officer, too, but he was nearly an autonomous satrap. In a taut, well-run ship he kept his bailiwick in such shape that the first officer did not need to worry about it. The chief engineer was responsible not only for the power plant and the Horst-Conrad impellers but for all auxiliary engineering equipment wherever located—for example the pumps and fans of the hydroponics installations, even though the purser, through his chief ship’s steward, took care of the farming thereof.

Such was the usual organization of starship liner-freighters and such was the Asgard. It was not identical with the organization of a man-of-war and very different from that of the cheerless transports used to ship convicts and paupers out to colonies that were being forced—in those ships, the purser’s department was stripped to a clerk or two and the transportees did all the work, cooking, cleaning, handling cargo, everything. But the Asgard carried paid passengers, some of whom measured their wealth in megabucks; they expected luxury hotel service even light-years out in space. Of the three main departments of the Asgard, astrogation, engineering, and housekeeping, the Purser’s was by far the largest.

A first officer could reach that high status from chief astrogator, from chief engineer, or from purser, but only if he were originally an astrogator could he go on to captain. The three officer types were essentially mathematicians, business managers, or physicists; a captain necessarily had to be able to practice the mathematical skill of astrogation. First Officer Walther, as was usually the case with a liner, had formerly been a purser.

The Asgard was a little world, a tiny mobile planet. It had its monarch the captain, its useless nobility the passengers, its technical and governing class, and its hewers of wood and drawers of water. It contained flora and fauna in ecological balance; it carried its miniature sun in its power plant. Although its schedule contemplated only months in space, it was capable of staying in space indefinitely. The chef might run out of caviar, but there would be no lack of food, nor of air, nor of heat and light.

Max decided that he was lucky to be assigned to Mr. Giordano rather than to Chief Clerk Kuiper. Mr.

Kuiper supervised his clerks minutely, but Mr. Gee did not often stir his fat frame out of his

office-stateroom. He was a jovial boss—provided everything ran to suit him. Mr. Gee found it an effort

to go all the way down to the stables; once he became convinced that Max was giving the animals proper care and keeping the place clean he gave up inspecting, merely requiring Max to report daily. This gave Giordano more time for his principal avocation, which was distilling a sort of vodka in a cubby in his stateroom, using materials grown in the hydroponds—also in his charge. He carried on a clandestine trade in his product with the crew. By keeping his mouth shut and his ears open Max learned that this was a usual prerogative of a chief ship’s steward, ignored as long as the steward had the judgment to limit his operations. The ship, of course, had a wine mess and bar, but that was for the “beasts”—crewmen could not patronize it.

“I was once in a ship,” Sam told Max, “where the First clamped down—busted up the still, busted the steward to cleaning decks, and generally threw the book.” He stopped to puff on his cigar, a gift from the passenger steward; they were hiding out in Max’s stables, enjoying a rest and a gab. “Didn’t work out.”

“Why not?”

“Use your head. Forces must balance, old son. For every market there is a supplier. That’s the key to the nutshell. In a month there was a still in durn near every out-of-the-way compartment in the ship and the crew was so demoralized it wasn’t fit to stuff vacuum. So the Captain had a talk with the First and things went back to normal.”

Max thought it over. “Sam? Were you that ship’s steward?” “Huh? What gave you that idea?”

“Well… you’ve been in space before; you no longer make any bones about it. I just thought—well, you’ve never told me what your guild was, nor why you were on dirt, or why you had to fake it to get back to space again. I suppose it’s none of my business.”

Sam’s habitual cynical smile gave way to an expression of sadness. “Max, a lot of things can happen to a man when he thinks he has the world by the tail. Take the case of a friend of mine, name of Roberts. A sergeant in the Imperial Marines, good record, half a dozen star jumps, a combat decoration or two. A smart lad, boning to make warrant officer. But he missed his ship once—hadn’t been on Terra for some time and celebrated too much. Should have turned himself in right away, of course, taken his reduction in rank and lived it down. Trouble was he still had money in his pocket. By the time he was broke and sober it was too late. He never quite had the guts to go back and take his court martial and serve his sentence. Every man has his limits.”

Max said presently, “You trying to say you used to be a marine?”

“Me? Of course not, I was speaking of this guy Richards, just to illustrate what can happen to a man when he’s not looking. Let’s talk of more pleasant things. Kid, what do you plan to do next?”

“What do you mean?”

“Well, what do you figure on doing after this jump?’

“Oh. More of the same, I guess. I like spacing. I suppose I’ll try to keep my nose clean and work up to chief steward or chief clerk.”

Sam shook his head. “Think it through, kid. What happens when your record in this ship is mailed to the guild? And another copy is mailed to the Department of Guilds and Labor?”

“What?”

“I’ll tell you. Maybe nothing happens at first, maybe you can space for another cruise. But eventually the red tape unwinds, they compare notes and see that while your ship lists you as an experienced steward’s mate, there isn’t any Max Jones in their files. Comes the day you ground at Terra and a couple of clowns with sidearms are waiting at the foot of the lift to drag you off to the calabozo.”

“But Sam! I thought it was all fixed?”

“Don’t blow a gasket. Look at me, I’m relaxed—and it applies to me, too. More so, for I have other reasons we needn’t go into to want to let sleeping dogs bury their own dead. As for it being ‘all fixed,’ it is—everything I promised. You’re here, aren’t you? But as for the files: old son, it would have taken ten times the money to tamper with guild files, and as for locating a particular microfilm in New Washington and substituting a fake that would show the record you are supposed to have—well, I wouldn’t know how to start, though no doubt it could be done, with enough time, money, and finesse.”

Max felt sensations almost identical with those he had experienced when Montgomery had announced that the farm was sold. Despite his menial position he liked it aboard ship, he had had no intention of ever doing anything else. He got along with his boss, he was making friends, he was as cozy as a bird in its nest. Now the nest was suddenly torn down. Worse, he was in a trap.

He turned white. Sam put a hand on his shoulder. “Stop spinning, kid! You’re not in a jam.” “Jail—”

“Jail my aunt’s Sunday hat! You’re safe as dirt until we get back. You can walk away from the Asgard at Earthport with your wages in your pocket and have days at least, maybe weeks or months, before anyone will notice, either at the guild mother hall or at New Washington. You can lose yourself among four billion people. You won’t be any worse off than you were when you first ran into me—you were trying to get lost then, remember?—and you’ll have one star trip under your belt to tell your kids about. Or they may never look for you; some clerk may chuck your trip record into the file basket and leave it there until it gets lost rather than bother. Or you might be able to persuade a clerk in Mr. Kuiper’s office to lose the duplicates, not mail them in. Nelson, for example; he’s got a hungry look.” Sam eyed him carefully, then added, “Or you might do what I’m going to do.”

Only part of what Sam had said had sunk in. Max let the record play back and gradually calmed down as he began to understand that his situation was not entirely desperate. He was inclined to agree about Nelson, as Nelson had already suggested indirectly that sometimes the efficiency marks on the ship’s books were not necessarily the ones that found their way into the permanent records—under certain circumstances. He put the idea aside, not liking it and having no notion anyhow of how to go about offering a bribe.

When he came, in his mental play back, to Sam’s last remark, it brought him to attention. “What are you

going to do?”

Sam eyed the end of his cigar stub. “I’m not going back.”

This required no diagram to be understood. But, under Imperial decrees, the suggested offense carried even heavier punishment than faking membership in a guild. Deserting was almost treason. “Keep talking,” Max said gruffly.

“Let’s run over where we touch this cruise. Garson’s Planet—domed colonies, like Luna and Mars. In a domed colony you do exactly what the powers-that-be say, or you stop breathing. You might hide out and have a new identity grafted on, but you would still be in the domes. No good, there’s more freedom even back on Terra. Nu Pegasi VI, Halcyon—not bad though pretty cold at aphelion. But it is still

importing more than it exports which means that the Imperials run the show and the locals will help dig out a wanted man. Now we come to Nova Terra, Beta Aquarü X—and that, old son, is what the doctor ordered and why the preacher danced.”

“You’ve been there?”

“Once. I should have stayed. Max, imagine a place like Earth, but sweeter than Terra ever was. Better weather, broader richer lands… forests aching to be cut, game that practically jumps into the stew pot. If you don’t like settlements, you move on until you’ve got no neighbors, poke a seed in the ground, then jump back before it sprouts. No obnoxious insects. Practically no terrestrial diseases and no native diseases that like the flavor of our breed. Gushing rivers. Placid oceans. Man, I’m telling you!”

“But wouldn’t they haul us back from there?”

“Too big. The colonists want more people and they won’t help the Imperials. The Imperial Council has a deuce of a time just collecting taxes. They don’t even try to arrest a deserter outside the bigger towns.” Sam grinned. “You know why?”

“Why?”

“Because it didn’t pay. An Imperial would be sent to Back-and-Beyond to pick up someone; while he was looking he would find some golden-haired daughter of a rancher eyeing him—they run to eight or nine kids, per family and there are always lots of eligible fillies, husband-high and eager. So pretty quick he is a rancher with a beard and a new name and a wife. He was a bachelor and he hasn’t been home lately—or maybe he’s married back on Terra and doesn’t want to go home. Either way, even the Imperial Council can’t fight human nature.”

“I don’t want to get married.”

“That’s your problem. But best of all, the place still has a comfortable looseness about it. No property taxes, outside the towns. Nobody would pay one; they’d just move on, if they didn’t shoot the tax collector instead. No guilds—you can plow a furrow, saw a board, drive a truck, or thread a pipe, all the same day and never ask permission. A man can do anything and there’s no one to stop him, no one to tell him he wasn’t born into the trade, or didn’t start young enough, or hasn’t paid his contribution. There’s more work than there are men to do it and the colonists just don’t care.”

Max tried to imagine such anarchy and could not, he had never experienced it. “But don’t the guilds object?”

“What guilds? Oh, the mother lodges back earthside squawked when they heard, but not even the Imperial Council backed them up. They’re not fools—and you don’t shovel back the ocean with a fork.”

“And that’s where you mean to go. It sounds lovely,” Max said wistfully.

“I do. It is. There was a girl—oh, she’ll be married now; they marry young—but she had sisters. Now here is what I figure on—and you, too, if you want to tag along. First time I hit dirt I’ll make contacts. The last time I rate liberty, which will be the night before the ship raises if possible, I’ll go dirtside, then in a front door and out the back and over the horizon so fast I won’t even be a speck. By the time I’m marked ‘late returning’ I’ll be hundreds of miles away, lying beside a chuckling stream in a virgin wilderness, letting my beard grow and memorizing my new name. Say the word and you’ll be on the bank, fishing.”

Max stirred uneasily. The picture aroused in him a hillbilly homesickness he had hardly been aware of.

But he could not shuffle off his proud persona as a spaceman so quickly. “I’ll think about it.”

“Do that. It’s a good many weeks yet, anyhow.” Sam got to his feet. “I’d better hurry back before Ole Massa Dumont wonders what’s keeping me. Be seeing you, kid—and remember: it’s an ill wind that has no turning.

Eldreth

Max’s duties did not take him above “C” deck except to service the cats’ sand boxes and he usually did that before the passengers were up. He wanted to visit the control room but he had no opportunity, it being still higher than passengers’ quarters. Often an owner of one of the seven dogs and three cats in Max’s custody would come down to visit his pet. This sometimes resulted in a tip. At first his

cross-grained hillbilly pride caused him to refuse, but when Sam heard about it, he swore at him dispassionately. “Don’t be a fool! They can afford it. What’s the sense?”

“But I would exercise their mutts anyhow. It’s my job.” He might have remained unconvinced had it not been that Mr. Gee asked him about it at the end of his first week, seemed to have a shrewd idea of the usual take, and expected a percentage—”for the welfare fund.”

Max asked Sam about the fund, was laughed at. “That’s a very interesting question. Are there any more questions?”

“I suppose not.”

“Max, I like you. But you haven’t learned yet that when in Rome, you shoot Roman candles. Every tribe has its customs and what is moral one place is immoral somewhere else. There are races where a son’s first duty is to kill off his old man and serve him up as a feast as soon as he is old enough to swing it—civilized races, too. Races the Council recognizes diplomatically. What’s your moral judgment on that?”

Max had read of such cultures—the gentle and unwarlike Bnathors, or the wealthy elephantine amphibians of Paldron who were anything but gentle, probably others. He did not feel disposed to pass judgment on nonhumans. Sam went on, “I’ve known stewards who would make Jelly Belly look like a philanthropist. Look at it from his point of view. He regards these things as prerogatives of his position, as rightful a part of his income as his wages. Custom says so. It’s taken him years to get to where he is; he expects his reward.”

Sam, Max reflected, could always out-talk him.

But he could not concede that Sam’s thesis was valid; there were things that were right and others that were wrong and it was not just a matter of where you were. He felt this with an inner conviction too deep to be influenced by Sam’s cheerful cynicism. It worried Max that he was where he was as the result of chicanery, he sometimes lay awake and fretted about it.

But it worried him still more that his deception might come to light. What to do about Sam’s proposal was a problem always on his mind.

The only extra-terrestrial among Max’s charges was a spider puppy from the terrestrian planet Hespera. On beginning his duties in the Asgard Max found the creature in one of the cages intended for cats; Max looked into it and a sad, little, rather simian face looked back at him. “Hello, Man.”

Max knew that some spider puppies had been taught human speech, after a fashion, but it startled him; he jumped back. He then recovered and looked more closely. “Hello yourself,” he answered. “My, but you are a fancy little fellow.” The creature’s fur was a deep, rich green on its back, giving way to orange on the sides and blending to warm cream color on its little round belly.

“Want out,” stated the spider puppy.

“I can’t let you out. I’ve got work to do.” He read the card affixed to the cage: “Mr. Chips” it stated, Pseudocanis hexapoda hesperae, Owner: Miss E. Coburn, A-092; there followed a detailed instruction as to diet and care. Mr. Chips ate grubs, a supply of which was to be found in freezer compartment

H-118, fresh fruits and vegetables, cooked or uncooked, and should receive iodine if neither seaweed nor artichokes was available. Max thumbed through his mind, went over what he had read about the creatures, decided the instructions were reasonable.

“Please out!” Mr. Chips insisted.

It was an appeal hard to resist. No maiden fayre crying from a dungeon tower had ever put it more movingly. The compartment in which the cats were located was small and the door could be fastened; possibly Mr. Chips could be allowed a little run—but later; just now he had to take care of other animals.

When Max left, Mr. Chips was holding onto the bars and sobbing gently. Max looked back and saw that it was crying real tears; a drop trembled on the tip of its ridiculous little nose; it was hard to walk out on it. He had finished with the stables before tackling the kennel; once the dogs and cats were fed and their cages policed he was free to give attention to his new friend. He had fed it first off, which had stopped the crying. When he returned, however, the demand to be let out resumed.

“If I let you out, will you get back in later?”

The spider puppy considered this. A conditional proposition seemed beyond its semantic attainments, for it repeated, “Want out.” Max took a chance.

Mr. Chips landed on his shoulder and started going through his pockets. “Candy,” it demanded. “Candy?”

Max stroked it. “Sorry, chum. I didn’t know.” “Candy?”

“No candy.” Mr. Chips investigated personally, then settled in the crook of Max’s arm, prepared to spend a week or more. It wasn’t, Max decided, much like a puppy and certainly not like a spider, except that six legs seemed excessive. The two front ones had little hands; the middle legs served double duty. It was more like a monkey, but felt like a cat. It had a slightly spicy fragrance and seemed quite clean.

Max tried talking to it, but found its intellectual attainments quite limited. Certainly it used human words meaningfully but its vocabulary was not richer than that which might be expected of a not-too-bright toddler.

When Max tried to return it to its cage there ensued twenty minutes of brisk exercise, broken by stalemates. Mr. Chips swarmed over the cages, causing hysterics among the cats. When at last the spider puppy allowed itself to be caught it still resisted imprisonment, clinging to Max and sobbing. He ended by

walking it like a baby until it fell asleep.

This was a mistake. A precedent had been set and thereafter Max was not permitted to leave the kennel without walking the baby.

He wondered about the “Miss Coburn” described on the tag as Mr. Chips’ owner. All of the owners of cats and dogs had shown up to visit their pets, but Mr. Chips remained unvisited. He visualized her as a sour and hatchet-faced spinster who had received the pet as a going-away present and did not appreciate it. As his friendship with the spider puppy grew his mental picture of Miss E. Coburn became even less attractive.

The Asgard was over a week out and only days from its first spatial transition before Max had a chance to compare conception with fact. He was cleaning the stables, with Mr. Chips riding his shoulder and offering advice, when Max heard a shrill voice from the kennel compartment. “Mr. Chips! Chipsie!

Where are you?”

The spider puppy sat up suddenly and turned its head. Almost immediately a young female appeared in the door; Mr. Chips squealed, “Ellie!” and jumped to her arms. While they were nuzzling each other Max looked her over. Sixteen, he judged, or seventeen. Or maybe even eighteen—shucks, how was a fellow to tell when womenfolk did such funny things to their faces? Anyhow she was no beauty and the expression on her face didn’t help it any.

She looked up at him and scowled. “What were you doing with Chipsie? Answer me that!”

It got his back fur up. “Nothing,” he said stiffly. “If you will excuse me, ma’am, I’ll get on with my work.” He turned his back and bent over his broom.

She grabbed his arm and swung him around. “Answer me! Or… or—I’ll tell the Captain, that’s what I’ll do!”

Max counted ten, then just to be sure, recalled the first dozen 7-place natural logarithms. “That’s your privilege, ma’am,” he said with studied calmness, “but first, what’s your name and what is your business here? I’m in charge of these compartments and responsible for these animals—as the Captain’s representative.” This he knew to be good space law, although the concatenation was long.

She looked startled. “Why, I’m Eldreth Coburn,” she blurted as if anyone should know. “And your business?”

“I came to see Mr. Chips—of course!”

“Very well, ma’am. You may visit your pet for a reasonable period,” he added, quoting verbatim from his station instruction sheet. “Then he goes back in his cage. Don’t disturb the other animals and don’t feed them. That’s orders.”

She started to speak, decided not to and bit her lip. The spider puppy had been looking from face to face and listening to a conversation far beyond its powers, although it may have sensed the emotions involved. Now it reached out and plucked Max’s sleeve. “Max,” Mr. Chips announced brightly. “Max!”

Miss Coburn again looked startled. “Is that your name?”

“Yes, ma’am. Max Jones. I guess he was trying to introduce me. Is that it, old fellow?” “Max,” Mr. Chips repeated firmly. “Ellie.”

Eldreth Coburn looked down, then looked up at Max with a sheepish smile. “You two seem to be friends. I guess I spoke out of turn. Me and my mouth.”

“No offense meant I’m sure, ma’am.”

Max had continued to speak stiffly; she answered quickly, “Oh, but I was rude! I’m sorry—I’m always sorry afterwards. But I got panicky when I saw the cage open and empty and I thought I had lost Chipsie.”

Max grinned grudgingly. “Sure. Don’t blame you a bit. You were scared.”

“That’s it—I was scared.” She glanced at him. “Chipsie calls you Max. May I call you Max?” “Why not? Everybody does—and it’s my name.”

“And you call me Eldreth, Max. Or Ellie.”

She stayed on, playing with the spider puppy, until Max had finished with the cattle. She then said reluctantly, “I guess I had better go, or they’ll be missing me.”

“Are you coming back?” “Oh, of course!” “Ummm… Miss Eldreth…” “Ellie.”

“—May I ask a question?” He hurried on, “Maybe it’s none of my business, but what took you so long? That little fellow has been awful lonesome. He thought you had deserted him.”

“Not ‘he’—’she’.” “Huh?”

“Mr. Chips is a girl,” she said apologetically. “It was a mistake anyone could make. Then it was too late, because it would confuse her to change her name.”

The spider puppy looked up brightly and repeated, “‘Mr. Chips is a girl.’ Candy, Ellie?” “Next time, honey bun.”

Max doubted if the name was important, with the nearest other spider puppy light-years away. “You didn’t answer my question?”

“Oh. I was so mad about that I wanted to bite. They wouldn’t let me.” “Who’s ‘they’? Your folks?”

“Oh, no! The Captain and Mrs. Dumont.” Max decided that it was almost as hard to extract information from her as it was from Mr. Chips. “You see, I came aboard in a stretcher—some silly fever, food poisoning probably. It couldn’t be much because I’m tough. But they kept me in bed and when the Surgeon did let me get up, Mrs. Dumont said I mustn’t go below ‘C’ deck. She had some insipid notion that it wasn’t proper.”

Max understood the stewardess’s objection; he had already discovered that some of his shipmates were

a rough lot—though he doubted that any of them would risk annoying a girl passenger. Why, Captain Blaine would probably space a man for that.

“So I had to sneak out. They’re probably searching for me right now. I’d better scoot.”

This did not fit in with Mr. Chips’ plans; the spider puppy clung to her and sobbed, stopping occasionally to wipe tears away with little fists. “Oh, dear!”

Max looked perturbed. “I guess I’ve spoiled him—her. Mr. Chips, I mean.” He explained how the ceremony of walking the baby had arisen.

Eldreth protested, “But I must go. What’ll I do?”

“Here, let’s see if he—she—will come to me.” Mr. Chips would and did. Eldreth gave her a pat and ran out, whereupon Mr. Chips took even longer than usual to doze off. Max wondered if spider puppies could be hypnotized; the ritual was getting monotonous.

Eldreth showed up next day under the stern eye of Mrs. Dumont. Max was respectful to the stewardess and careful to call Eldreth “Miss Coburn.” She returned alone the next day. He looked past her and raised his eyebrows. “Where’s your chaperone?”

Eldreth giggled. “La Dumont consulted her husband and he called in your boss—the fat one. They agreed that you were a perfect little gentleman, utterly harmless. How do you like that?”

Max considered it. “Well, I’m an ax murderer by profession, but I’m on vacation.” “That’s nice. What have you got there?”

It was a three-dimensional chess set. Max had played the game with his uncle, it being one that all astrogators played. Finding that some of the chartsmen and computermen played it, he had invested his tips in a set from the ship’s slop chest. It was a cheap set, having no attention lights and no arrangements for remote-control moving, being merely stacked transparent trays and pieces molded instead of carved, but it sufficed.

“It’s solid chess. Ever seen it?”

“Yes. But I didn’t know you played it.” “Why not? Ever play flat chess?” “Some.”

“The principles are the same, but there are more pieces and one more direction to move. Here, I’ll show you.

She sat tailor-fashion opposite him and he ran over the moves. “These are robot freighters… pawns. They can be commissioned anything else if they reach the far rim. These four are starships; they are the only ones with funny moves, they correspond with knights. They have to make interspace transitions, always off the level they’re on to some other level and the transition has to be related a certain way, like this—or this. And this is the Imperial flagship; it’s the one that has to be checkmated. Then there is… ” They ran through a practice game, with the help of Mr. Chips, who liked to move the pieces and did not care whose move it was.

Presently he said, “You catch on pretty fast.”

“Thanks.”

“Of course, the real players play four-dimensional chess.” “Do you?”

“Well, no. But I hope to learn some day. It’s just a matter of holding in your mind one more spatial relationship. My uncle used to play it. He was going to teach me, but he died.” He found himself explaining about his uncle. He trailed off without mentioning his own disappointment.

Eldreth picked up one of the starship pieces from a tray. “Say, Max, we’re pretty near our first transition, aren’t we?”

“What time is it?”

“Uh, sixteen twenty-one—say, I’d better get upstairs.”

“Then it’s, uh, about thirty-seven hours and seven minutes, according to the computer crew.”

“Mmm… you seem to know about such things. Could you tell me just what it is we do? I heard the Astrogator talking about it at the table but I couldn’t make head nor tail. We sort of duck into a space warp; isn’t that right?”

“Oh no, not a space warp. That’s a silly term—space doesn’t ‘warp’ except in places where pi isn’t exactly three point one four one five nine two six five three five eight nine seven nine three two three eight four six two six four three three eight three two seven, and so forth—like inside a nucleus. But we’re heading out to a place where space is really flat, not just mildly curved the way it is near a star.

Anomalies are always flat, otherwise they couldn’t fit together—be congruent.” She looked puzzled. “Come again?”

“Look, Eldreth, how far did you go in mathematics?”

“Me? I flunked improper fractions. Miss Mimsey was very vexed with me.” “Miss Mimsey?”

“Miss Mimsey’s School for Young Ladies, so you see I can listen with an open mind.” She made a face. “But you told me that all you went to was a country high school and didn’t get to finish at that. Huh?”

“Yes, but I learned from my uncle. He was a great mathematician. Well, he didn’t have any theorems named after him—but a great one just the same, I think.” He paused. “I don’t know exactly how to tell you; it takes equations. Say! Could you lend me that scarf you’re wearing for a minute?”

“Huh? Why, sure.” She removed it from her neck.

It was a photoprint showing a stylized picture of the solar system, a souvenir of Solar Union Day. In the middle of the square of cloth was the conventional sunburst surrounded by circles representing orbits of solar planets, with a few comets thrown in. The scale was badly distorted and it was useless as a structural picture of the home system, but it sufficed. Max took it and said, “Here’s Mars.”

Eldreth said, “You read it. That’s cheating.”

“Hush a moment. Here’s Jupiter. To go from Mars to Jupiter you have to go from here to here, don’t you?”

“Obviously.”

“But suppose I fold it so that Mars is on top of Jupiter? What’s to prevent just stepping across?” “Nothing, I guess. Except that what works for that scarf wouldn’t work very well in practice. Would it?”

“No, not that near to a star. But it works fine after you back away from a star quite a distance. You see, that’s just what an anomaly is, a place where space is folded back on itself, turning a long distance into no distance at all.”

“Then space is warped.”

“No, no, no! Look, I just folded your scarf. I didn’t stretch it out of shape! I didn’t even wrinkle it. Space is the same way; it’s crumpled like a piece of waste paper—but it’s not warped, just crumpled. Through some extra dimensions, of course.”

“I don’t see any ‘of course’ about it.”

“The math of it is simple, but it’s hard to talk about because you can’t see it. Space—our space—may be crumpled up small enough to stuff into a coffee cup, all hundreds of thousands of light-years of it. A

four-dimensional coffee cup, of course.”

She sighed. “I don’t see how a four-dimensional coffee cup could even hold coffee, much less a whole galaxy.”

“No trouble at all. You could stuff this sheer scarf into a thimble. Same principle. But let me finish. They used to think that nothing could go faster than light. Well, that was both right and wrong. It…”

“How can it be both?”

“That’s one of the Horst anomalies. You can’t go faster than light, not in our space. If you do, you burst out of it. But if you do it where space is folded back and congruent, you pop right back into our own space again—but a long way off. How far off depends on how it’s folded. And that depends on the mass in the space, in a complicated fashion that can’t be described in words but can be calculated.”

“But suppose you do it just anywhere?”

“That’s what happened to the first ones who tried it. They didn’t come back. And that’s why surveys are dangerous; survey ships go poking through anomalies that have been calculated but never tried. That’s also why astrogators get paid so much. They have to head the ship for a place you can’t see and they have to put the ship there just under the speed of light and they have to give it the gun at just the right world point. Drop a decimal point or use a short cut that covers up an indeterminancy and it’s just too bad. Now we’ve been gunning at twenty-four gee ever since we left the atmosphere. We don’t feel it of course because we are carried inside a discontinuity field at an artificial one gravity—that’s another of the anomalies. But we’re getting up close to the speed of light, up against the Einstein Wall; pretty soon we’ll be squeezed through like a watermelon seed between your finger and thumb and we’ll come out near Theta Centauri fifty-eight light-years away. Simple, if you look at it right.”

She shivered. “If we come out, you mean.”

“Well… I suppose so. But it’s not as dangerous as helicopters. And look at it this way: if it weren’t for the anomalies, there never would have been any way for us to reach the stars; the distances are too great.

But looking back, it is obvious that all that emptiness couldn’t be real—there had to be the anomalies. That’s what my uncle used to say.”

“I suppose he must have been right, even if I don’t understand it.” She scrambled to her feet. “But I do know that I had better hoof it back upstairs, or Mrs. Dumont may change her mind.” She hugged Mr. Chips and shoved the little creature into Max’s arms. “Walk the baby—that’s a pal.”

THREE WAYS TO GET AHEAD

Max intended to stay awake during the first transition, but he slept through it. It took place shortly after five in the morning, ship’s time. When he was awakened by idlers’ reveille at six it was all over. He jerked on his clothes, fuming at not having awakened earlier, and hurried to the upper decks. The passageways above Charlie deck were silent and empty; even the early risers among the passengers would not be up for another hour. He went at once to the Bifrost Lounge and crossed it to the view port, placed there for the pleasure of passengers.

The stars looked normal but the familiar, age-old constellations were gone. Only the Milky Way, our own galaxy, seemed as usual—to that enormous spiral of stars, some hundred thousand light-years across, a tiny displacement of less than sixty light-years was inconsequential.

One extremely bright yellow-white star was visible; Max decided that it must be Theta Centauri, sun of Garson’s Planet, their first stop. He left shortly, not wanting to chance being found loafing in passengers’ country. The sand boxes which constituted his excuse were then replaced with greater speed than usual and he was back in crew’s quarters in time for breakfast.

The passage to Garson’s Planet took most of a month even at the high boost possible to Horst-Conrad ship. Eldreth continued to make daily trips to see Mr. Chips—and to talk with and play 3-dee chess with Max. He learned that while she had not been born on Hespera, but in Auckland on Terra, nevertheless Hespera was her home. “Daddy sent me back to have them turn me into a lady, but it didn’t take.”

“What do you mean?”

She grinned. “I’m a problem. That’s why I’ve been sent for. You’re in check, Max. Chipsie! Put that back. I think the little demon is playing on your side.”

He gradually pieced together what she meant. Miss Mimsey’s school had been the third from which she had been expelled. She did not like Earth, she was determined to go home, and she had created a reign of terror at each institution to which she had been entrusted. Her widower father had been determined that she must have a “proper” education, but she had been in a better strategic position to impose her will—her father’s Earthside attorneys had washed their hands of her and shipped her home.

Sam made the mistake of joshing Max about Eldreth. “Have you gotten her to set the day yet, old son?” “Who set what day?”

“Now, now! Everybody in the ship knows about it, except possibly the Captain. Why play dumb with your old pal?”

“I don’t know what you are talking about!”

“I wasn’t criticizing, I was admiring. I’d never have the nerve to plot so high a trajectory myself. But as

grandpop always said, there are just three ways to get ahead; sweat and genius, getting born into the right family, or marrying into it. Of the three, marrying the boss’s daughter is the best, because—Hey! Take it easy!” Sam skipped back out of range.

“Take that back!”

“I do, I do. I was wrong. But my remarks were inspired by sheer admiration. Mistaken, I admit. So I apologize and withdraw the admiration.”

“But… ” Max grinned in spite of himself. It was impossible to stay angry at Sam. Sure, the man was a scamp, probably a deserter, certainly a belittler who always looked at things in the meanest of terms, but—well, there it was. Sam was his friend.

“I knew you were joking. How could I be figuring on getting married when you and I are going to…” “Keep your voice down.” Sam went on quietly, “You’ve made up your mind?”

“Yes. It’s the only way out, I guess. I don’t want to go back to Earth.”

“Good boy! You’ll never regret it.” Sam looked thoughtful. “We’ll need money.” “Well, I’ll have some on the books.”

“Don’t be silly. You try to draw more than spending money and they’ll never let you set foot on dirt. But don’t worry—save your tips, all that Fats will let you keep, and I’ll get us a stake. It’s my turn.”

“How?”

“Lots of ways. You can forget it.”

“Well… all right. Say, Sam, just what did you mean when you—I mean, well, suppose I did want to marry Ellie—I don’t of course; she’s just a kid and anyhow I’m not the type to marry—but just supposing? Why should anybody care?”

Sam looked surprised. “You don’t know?” “Why would I be asking?”

“You don’t know who she is?”

“Huh? Her name’s Eldreth Coburn and she’s on her way home to Hespera, she’s a colonial. What of it?”

“You poor boy! She didn’t mention that she is the only daughter of His Supreme Excellency, General Sir John FitzGerald Coburn, O.B.E., K.B., O.S.U., and probably X.Y.Z., Imperial Ambassador to Hespera and Resident Commissioner Plenipotentiary?”

“Huh? Oh my gosh!”

“Catch on, kid? With the merest trifle of finesse you can be a remittance man, at least. Name your own planet, just as long as it isn’t Hespera.”

“Oh, go boil your head! She’s a nice kid anyhow.”

Sam snickered. “She sure is. As grandpop used to say, ‘It’s an ill wind that gathers no moss.'”

The knowledge disturbed Max. He had realized that Eldreth must be well to do—she was a passenger,

wasn’t she? But he had no awe of wealth. Achievement as exemplified by his uncle held much more respect in his eyes. But the notion that Eldreth came from such an impossibly high stratum—and that he, Maximilian Jones, was considered a fortune-hunter and social climber on that account—was quite upsetting.

He decided to put an end to it. He started by letting his work pile up so that he could say truthfully that he did not have time to play three-dee chess. So Ellie pitched in and helped him. While he was playing the unavoidable game that followed he attempted a direct approach. “See here, Ellie, I don’t think you ought to stay down here and play three-dee chess with me. The other passengers come down to see their pets and they notice. They’ll gossip.”

“Pooh!”

“I mean it. Oh, you and I know it’s all right, but it doesn’t look right.”

She stuck out her lower lip. “Am I going to have trouble with you? You talk just like Miss Mimsey.” “You can come down to see Chipsie, but you’d better come down with one of the other pet owners.”

She started to make a sharp answer, then shrugged, “Okay, this isn’t the most comfortable place anyhow. From now on we play in Bifrost Lounge, afternoons when your work is done and evenings.”

Max protested that Mr. Giordano would not let him; she answered quickly, “Don’t worry about your boss. I can twist him around my little finger.” She illustrated by gesture.

The picture of the gross Mr. Gee in such a position slowed up Max’s answer, but he finally managed to get out, “Ellie, crew members can’t use the passenger lounge. It’s…”

“They can so. More than once, I’ve seen Mr. Dumont having a cup of coffee there with Captain Blaine.”

“You don’t understand. Mr. Dumont is almost an officer, and if the Captain wants him as his guest, well, that’s the Captain’s privilege.”

“You’d be my guest.”

“No, I wouldn’t be.” He tried to explain to her the strict regulation that crew members were not to associate with passengers. “The Captain would be angry if he could see us right now—not at you, at me. If he caught me in the passengers’ lounge he’d kick me all the way clown to ‘H’ deck.”

“I don’t believe it.”

“But… ” He shrugged. “All right. I’ll come up this evening. He won’t kick me, actually; that would be beneath him. He’ll just send Mr. Dumont over to tell me to leave, then he’ll send for me in the morning. I don’t mind being fined a month’s pay if that is what it takes to show you the way things are.”

He could see that he had finally reached her. “Why, I think that’s perfectly rotten! Everybody is equal. Everybody! That’s the law.”

“They are? Only from on top.”

She got up suddenly and left. Max again had to soothe Mr. Chips, but there was no one to soothe him. He decided that the day that he and Sam disappeared over a horizon and lost themselves could not come too soon.

Eldreth returned next day but in company with a Mrs. Mendoza, the devoted owner of a chow who

looked much like her. Eldreth treated Max with the impersonal politeness of a lady “being nice” to servants, except for a brief moment when Mrs. Mendoza was out of earshot.

“Max?”

“Yes, Miss?”

“I’ll ‘Yes, Miss’ you! Look, Max, what was your uncle’s name? Was it Chester Jones?” “Why, yes, it was. But why…”

“Never mind.” Mrs. Mendoza rejoined them. Max was forced to drop it.

The following morning the dry-stores keeper sought him out. “Hey, Max! The Belly wants you. Better hurry—I think you’re in some sort of a jam.”

Max worried as he hurried. He couldn’t think of anything he had done lately; he tried to suppress the horrid fear that Ellie was involved.

It was clear that Mr. Giordano was not pleased but all that he said was, “Report to the Purser’s Office. Jump.” Max jumped.

The Purser was not there; Mr. Kuiper received him and looked him over with a cold eye. “Put on a clean uniform and make it quick. Then report to the Captain’s cabin.”

Max stood still and gulped. Mr. Kuiper barked, “Well? Move!” “Sir,” Max blurted, “I don’t know where the Captain’s cabin is.”

“What? I’ll be switched! Able deck, radius nine oh and outboard.” Max moved.

The Captain was in his cabin. With him was Mr. Samuels the Purser, Mr. Walther the First Officer, and Dr. Hendrix the Astrogator. Max concluded that whatever it was he was about to be tried for, it could be nothing trivial. But he remembered to say, “Steward’s Mate Third Class Jones reporting, sir.”

Captain Blaine looked up. “Oh, yes. Find a chair.” Max found one, sat down on the edge of it. The Captain said to the First Officer, “Under the circumstances, Dutch, I suppose it’s the best thing to do—though it seems a little drastic. You agree, Hal?”

The Purser agreed. Max wondered just how drastic it was and whether he would live through it.

“We’ll log it as an exception, then, Doc, and I’ll write up an explanation for the board. After all, regulations were made to be broken. That’s the end of it.” Max decided that they were simply going to space him and explain it later.

The Captain turned back to his desk in a manner that signified that the meeting was over. The First Officer cleared his throat. “Captain… ” He indicated Max with his eyes.

Captain Blaine looked up again. “Oh, yes! Young man, your name is Jones?” “Yessir.”

“I’ve been looking over your record. I see that you once tried out for chartsman for a short time in the

Thule?”

“Uh, yes, Captain.” “Didn’t you like it?”

“Well, sir.” Max asked himself what Sam would say when confronted by such a ghost. “It was like this… to tell you the truth I didn’t do much except empty ash trays in the Worry—in the control room.” He held his breath.

The Captain smiled briefly. “It can sometimes work out that way. Would you be interested in trying it again?”

“What? Yes, sir!” “Dutch?”

“Captain, ordinarily I see no point in a man striking twice for the same job. But there is this personal matter.”

“Yes, indeed. You can spare him, Hal?”

“Oh, certainly, Captain. He’s hardly a key man where he is.” The Purser smiled. “Bottom deck valet.” The Captain smiled and turned to the Astrogator. “I see no objection, Doc. It’s a guild matter, of course.” “Kelly is willing to try him. He’s short a man, you know.”

“Very well, then…”

“Just a moment, Captain.” The Astrogator turned to Max. “Jones… you had a relative in my guild?” “My uncle, sir. Chester Jones.”

“I served under him. I hope you have some of his skill with figures.” “Uh, I hope so, sir.”

“We shall see. Report to Chief Computerman Kelly.”

Max managed to find the control room without asking directions, although he could hardly see where he was going.

CHARTSMAN JONES

The change in Max’s status changed the whole perspective of his life. His social relations with the other crew members changed not entirely for the better. The control room gang considered themselves the gentry of the crew, a status disputed by the power technicians and resented by the stewards. Max found that the guild he was leaving no longer treated him quite as warmly while the guild for which he was trying out did not as yet accept him.

Mr. Gee simply ignored him—would walk right over him if Max failed to jump aside. He seemed to

regard Max’s trial promotion as a personal affront.

It was necessary for him to hit the slop chest for dress uniforms. Now that his duty station was in the control room, now that he must pass through passengers’ country to go to and from work, it was no longer permissible to slouch around in dungarees. Mr. Kuiper let him sign for them; his cash would not cover it. He had to sign as well for the cost of permission to work out of his guild, with the prospect of going further in debt to both guilds should he be finally accepted. He signed cheerfully.

The control department of the Asgard consisted of two officers and five men—Dr. Hendrix the Astrogator, his assistant astrogator Mr. Simes, Chief Computerman Kelly, Chartsman First Class Kovak, Chtsmn 2/C Smythe, and computermen Noguchi and Lundy, both second class. There was also

“Sack” Bennett, communicator first class, but he was not really a part of the control gang, even though his station was in the Worry Hole; a starship was rarely within radio range of anything except at the very first and last parts of a trip. Bennett doubled as Captain Blaine’s secretary and factotum and owed his nickname to the often-stated belief of the others that he spent most of his life in his bunk.

Since the Asgard was always under boost a continuous watch was kept; not for them were the old, easy days of rocket ships, with ten minutes of piloting followed by weeks of free fall before more piloting was required. Since the Asgard carried no apprentice astrogator, there were only two officers to stand watches (Captain Blaine was necessarily an astrogator himself, but skippers do not stand watches); this lack was made up by Chief Computerman Kelly, who stood a regular watch as control

officer-of-the-watch. The other ratings stood a watch in four; the distinction between a computerman and a chartsman was nominal in a control room dominated by “Decimal Point” Kelly—what a man didn’t know he soon learned, or found another ship.

Easy watches for everyone but Max—he was placed on watch-and-watch for instruction, four hours on followed by four hours off in which he must eat, keep himself clean, relax, and—if he found time—sleep.

But he thrived on it, arriving early and sometimes having to be ordered out of the Worry Hole. Not until much later did he find out that this stiff regime was Kelly’s way of trying to break him, discover his weakness and get rid of him promptly if he failed to measure up.

Not all watches were pleasant. Max’s very first watch was under Mr. Simes. He crawled up the hatch into the control room and looked around him in wonderment. On four sides were the wonderfully delicate parallax cameras. Between two of them Lundy sat at the saddle of the main computer; he looked up and nodded but did not speak. Mr. Simes sat at the control console, facing the hatch; he must have seen Max but gave no sign of it.

There were other instruments crowded around the walls, some of which Max recognized from reading and from seeing pictures, some of which were strange—tell-tales and gauges from each of the ship’s compartments, a screen to reproduce the view aft or “below,” microphone and controls for the ship’s announcing system, the “tank” or vernier stereograph in which plates from the parallax cameras could be compared with charts, spectrostellograph, dopplerscope, multipoint skin temperature recorder, radar repeater for landing, too many things to take in at once.

Overhead through the astrogation dome was the starry universe. He stared at it, mouth agape. Living as he had been, inside a steel cave, he had hardly seen the stars; the firmament had been more with him back home on the farm.

“Hey! You!”

Max shook his head and found Mr. Simes looking at him. “Come here.” Max did so, the assistant astrogator went on, “Don’t you know enough to report to the watch officer when you come on duty?”

“Uh—sorry, sir.”

“Besides that, you’re late.” Max slid his eyes to the chronometer in the console; it still lacked five minutes of the hour. Simes continued, “A sorry state of affairs when crewmen relieve the watch later than the watch officer. What’s your name?”

“Jones, sir.”

Mr. Simes sniffed. He was a red-faced young man with thin, carroty hair and a sniff was his usual conversational embellishment, at least with juniors. “Make a fresh pot of coffee.”

“Aye aye, sir.” Max started to ask where and how, but Mr. Simes had gone back to his reading. Max looked helplessly at Lundy, who indicated a direction with his eyes. Behind the chart safe Max found a coffee maker and under it cups, saucers, sugar, and tins of cream.

He burned himself before getting the hang of the gear’s idiosyncrasies. Mr. Simes accepted the brew without looking at him. Max wondered what to do next, decided to offer a cup to Lundy. The computerman thanked him quietly and Max decided to risk having one himself, since it seemed to be accepted. He took it over beside the computer to drink it.

He was still doing so when the watch officer spoke up. “What is this? A tea party? Jones!” “Yes, sir?”

“Get the place policed up. Looks as if a herd of chucks had been wallowing in it.”

The room seemed clean, but Max found a few scraps of paper to pick up and stuff down the chute, after which he wiped already-gleaming brightwork. He had started to go over things a second time when Lundy motioned him over. Max then helped Lundy change plates in the parallax cameras and watched him while he adjusted the electronic timer. Mr. Simes pushed the ready button himself, which seemed to be his sole work during the watch.

Lundy removed the plates and set them up in the tank for chart comparison, took the readings and logged them. Max gave him nominal help and gathered some notion of how it was done, after which he again wiped brightwork.

It was a long watch. He went to his bunk drained of the elation he had felt.

But watches with Dr. Hendrix and with Chief Kelly were quite different. The Worry Hole was a jolly place under Kelly; he ruled as a benevolent tyrant, shouting, cursing, slandering the coffee, slurring his juniors and being sassed back. Max never touched a polish rag when Kelly was at control; he was kept too busy not merely helping but systematically studying everything in the room. “We haven’t a condemned thing to do,” Kelly shouted at him, “until we hit Carson’s Folly. Nothing to do but to ride this groove down until we hit dirt. So you, my laddy buck, are going to do plenty. When we get there you are going to know this condemned hole better than your mother knew your father—or you can spend your time there learning what you’ve missed while your mates are dirtside getting blind. Get out the instruction manual for the main computer, take off the back plate and get lost in them wires. I don’t want to see anything but your ugly behind the rest of this watch.”

Within ten minutes Kelly was down on his knees with him, helping him trace the intricate circuits.

Max learned, greatly assisted by his photographic memory and still more by the sound grounding in theory he had gotten from his uncle. Kelly was pleased. “I reckon you exaggerated a mite when you said you hadn’t learned anything in the Thule.”

“Well, not much.”

“Johansen have the Worry Hole when you were striking?”

“Uh, yes.” Max hoped frantically that Kelly would not ask other names.

“I thought so. That squarehead wouldn’t tell his own mother how old he was.”

There came a watch when Kelly trusted him to do a dry run for a transition approach on the computer, with Noguchi handling the tables and Kelly substituting for the astrogator by following records of the actual transition the ship had last made. The programming was done orally, as is the case when the astrogator is working under extreme pressure from latest data, just before giving the crucial signal to boost past the speed of light.

Kelly took it much more slowly than would happen in practice, while Noguchi consulted tables and called out figures to Max. He was nervous at first, his fingers trembling so that it was hard to punch the right keys—then he settled down and enjoyed it, feeling as if he and the machine had been born for each other.

Kelly was saying, “—times the binary natural logarithm of zero point eight seven oh nine two.” Max heard Noguchi’s voice call back the datum while he thumbed for the page—but in his mind Max saw the page in front of his eyes long before Noguchi located it; without conscious thought he depressed the right

keys.

“Correction!” sang out Kelly. “Look, meathead, you don’t put in them figures; you wait for translation by Noggy here. How many times I have to tell you?”

“But I did—” Max started, then stopped. Thus far he had managed to keep anyone aboard the Asgard

from learning of his embarrassingly odd memory.

“You did what?” Kelly started to clear the last datum from the board, then hesitated. “Come to think of it, you can’t possibly feed decimal figures into that spaghetti mill. Just what did you do?”

Max knew he was right and hated to appear not to know how to set up a problem. “Why, I put in the figures Noguchi was about to give me.”

“How’s that again?” Kelly stared at him. “You a mind reader?” “No. But I put in the right figures.”

“Hmm… ” Kelly bent over the keyboard. “Call ’em off, Noggy.” The computerman reeled off a string of ones and zeroes, the binary equivalent of the decimal expression Kelly had given him; Kelly checked the depressed keys, his lips moving in concentration. He straightened up. “I once saw a man roll thirteen sevens with honest dice. Was it fool luck, Max?”

“No.”

“Well! Noggy, gimme that book.” Kelly went through the rest of the problem, giving Max raw data and the operations to be performed, but not translating the figures into the binary notation the computer required. He kept thumbing the book and glancing over Max’s shoulder. Max fought off stage fright and punched the keys, while sweat poured into his eyes.

At last Kelly said, “Okay. Twist its tail.” Max flipped the switch which allowed the computer to swallow the program and worry it for an instant; the answer popped out in lights, off or on—the machine’s

equivalent of binary figures.

Kelly translated the lights back into decimal notation, using the manual. He then glanced at the recorded problem. He closed the record book and handed it to Noguchi. “I think I’ll have a cup of coffee,” he said quietly and walked away.

Noguchi reopened it, looked at the lights shining on the board and consulted the manual, after which he looked at Max very oddly. Max saw Kelly staring at him over a cup with the same expression. Max reached up and cleared the board entirely; the lights went out. He got down out of the computerman’s saddle. Nobody said anything.

Max’s next watch was with Dr. Hendrix. He enjoyed watches with the Astrogator almost as much as those with Kelly; Dr. Hendrix was a friendly and soft-spoken gentleman and gave as much attention to training Max as Kelly did. But this time Kelly lingered on after being relieved—in itself nothing, as the Chief Computerman frequently consulted with, or simply visited with, the Astrogator at such times. But today, after relieving the watch, Dr. Hendrix said pleasantly, “Kelly tells me that you are learning to use the computer, Jones?”

“Uh, yes, sir.”

“Very well, let’s have a drill.” Dr. Hendrix dug out an old astrogation log and selected a

transition-approach problem similar to the one Max had set up earlier. Kelly took the manual, ready to act as his “numbers boy”—but did not call the translations. Max waited for the first one; when it did not come, he read the figures from the page shining in his mind and punched them in.

It continued that way. Kelly said nothing, but wet his lips and checked what Max did each time the doctor offered a bit of the problem. Kovak watched from nearby, his eyes moving from actor to actor.

At last Dr. Hendrix closed the book. “I see,” he agreed, as if it were an everyday occurrence. “Jones, that is an extremely interesting talent. I’ve read of such cases, but you are the first I have met. You’ve heard of Blind Tom?”

“No, sir.”

“Perhaps the ship’s library has an account of him.” The Astrogator was silent for a moment. “I don’t mean to belittle your talent, but you are not to use it during an actual maneuver. You understand why?”

“Yes, sir. I guess I do.”

“Better say that you are not to use it unless you think an error has been made—in which case you will speak up at once. But the printed tables remain the final authority.”

“Aye aye, sir.”

“Good. See me, please, in my room when you come off watch.”

It was “day time” by the ship’s clocks when he went off watch. He went to the passageway outside Dr. Hendrix’s room and waited; there Ellie came across him. “Max!”

“Oh. Hello, Ellie.” He realized uncomfortably that he had not seen her since his tentative promotion.

“Hello he says!” She planted herself in front of him. “You’re a pretty sight—with your bloodshot eyes matching the piping on your shirt. Where have you been? Too good for your old friends? You haven’t even been to see Chipsie.”

He had been, once, although he had not run into Ellie. He had not repeated the visit because the shipmate who had replaced him had not liked being assigned as chambermaid to cows, sheep, llamas, et al.; he had seemed to feel that it was Max’s fault. “I’m sorry,” Max said humbly, “but I haven’t had time.”

“A feeble excuse. Know what you are going to do now? You’re going straight to the lounge and I am going to trim your ears—I’ve figured out a way to box your favorite gambit that will leave you gasping.”

Max opened his mouth, closed it, opened it again. “No.” “Speak louder. You used a word I don’t understand.”

“Look, Ellie, be reasonable. I’m waiting for Dr. Hendrix and as soon as he lets me go I’ve got to get some sleep. I’m about ten hours minus.”

“You can sleep any time.”

“Not when you’re standing four hours on and four off. You nap anytime you get a chance.” She looked perplexed. “You don’t mean you work every other watch? Why, that’s criminal.” “Maybe so but that’s how it is.”

“But—I’ll fix that! I’ll speak to the Captain.” “Ellie! Don’t you dare!”

“Why not? Captain Blaine is old sugar pie. Never you mind, I’ll fix it.”

Max took a deep breath, then spoke carefully. “Ellie, don’t say anything to the Captain, not anything. It’s a big opportunity for me and I don’t mind. If you go tampering with things you don’t understand, you’ll ruin my chances. I’ll be sent back to the stables.”

“Oh, he wouldn’t do that.”

“You don’t understand. He may be an ‘old sugar pie’ to you; to me he is the Captain. So don’t.” She pouted. “I was just trying to help.”

“I appreciate it. But don’t. And anyhow, I can’t come to the lounge, ever. It’s off limits for me.”

“But I thought—I think you’re just trying to avoid me. You run around up here now and you dress in pretty clothes. Why not?”

They were interrupted by Dr. Hendrix returning to his room. “Morning, Jones. Good morning, Miss Coburn.” He went on in.

Max said desperately, “Look, Ellie, I’ve got to go.” He turned and knocked on the Astrogator’s door.

Dr. Hendrix ignored having seen him with Ellie. “Sit down, Jones. That was a very interesting exhibition you put on.” The Astrogator went on, “I’m curious to know how far your talent extends. Is it just to figures?”

“Why, I guess not, sir.”

“Do you have to study hard to do it?”

“No, sir.”

“Hmm… We’ll try something. Have you read—let me see—any of the plays of Shakespeare?”

“Uh, we had Hamlet and As You Like It in school, and I read A Winter’s Tale. But I didn’t like it,” he answered honestly.

“In that case I don’t suppose you reread it. Remember any of it?” “Oh, certainly, sir.”

“Hmm—” Dr. Hendrix got down a limp volume.

“Let me see. Act two, scene three; Leontes says, ‘Nor night nor day nor rest: it is but weakness… ‘”

Max picked it up. “… it is but weakness to bear the matter thus; mere weakness. If the cause were not in being… ” He continued until stopped.

“That’s enough. I don’t care much for that play myself. Even the immortal Will had his off days. But how did you happen to have read that book of tables? Shakespeare at his dullest isn’t that dull. I’ve never read them, not what one would call’reading.'”

“Well, sir, Uncle Chet had his astrogation manuals at home after he retired and he used to talk with me a lot. So I read them.”

“Do I understand that you have memorized the entire professional library of an astrogator?” Max took a deep breath. “Well, sir, I’ve read them.”

Dr. Hendrix took from his shelves his own tools of his profession. He did not bother with the binary tables, that being the one Max had shown that he knew. He leafed through them, asked Max questions, finally identifying what he wanted only by page number. He closed the last of them. “Whew!” he commented, and blinked. “While I am aware that there are numerous cases of your talent in the history of psychology, I must admit it is disconcerting to encounter one.” He smiled. “I wonder what Brother Witherspoon would think of this.”

“Sir?”

“Our High Secretary. I’m afraid he would be shocked; he has conservative notions about protecting the’secrets’ of our profession.”

Max said uncomfortably, “Am I likely to get into trouble, sir? I didn’t know it was wrong to read Uncle’s books.”

“What? Nonsense. There are no’secrets’ to astrogation. You use these books on watch, so does every member of the ‘Worry’ gang. The passengers can read them, for all I care. Astrogation isn’t secret; it is merely difficult. Few people are so endowed as to be able to follow accurately the mathematical reasoning necessary to plan a—oh, a transition, let us say. But it suits those who bother with guild politics to make it appear an arcane art—prestige, you know.” Dr. Hendrix paused and tapped on his chair arm. “Jones, I want you to understand me. Kelly thinks you may shape up.”

“Uh, that’s good, sir.”

“But don’t assume that you know more than he does just because you have memorized the books.”

“Oh, no, sir!”

“Actually, your talent isn’t necessary in the control room. The virtues needed are those Kelly has—unflagging attention to duty, thorough knowledge of his tools, meticulous care for details, deep loyalty to his job and his crew and his ship and to those placed over him professionally. Kelly doesn’t need eidetic memory, ordinary good memory combined with intelligence and integrity are what the job takes—and that’s what I want in my control room.”

“Yes, sir.”

The Astrogator hesitated. “I don’t wish to be offensive but I want to add this. Strange talents are sometimes associated with ordinary, or even inferior, mentality—often enough so that the psychologists use the term ‘idiot savant.’ Sorry. You obviously aren’t an idiot, but you are not necessarily a genius, even if you can memorize the Imperial Encyclopedia. My point is: I am more interested in your horse sense and your attention to duty than I am in your phenomenal memory.”

“Uh, I’ll try, sir.”

“I think you’ll make a good chartsman, in time.” Dr. Hendrix indicated that the interview was over; Max got up. “One more thing.”

“Yes, sir?”

“There are excellent reasons of discipline and efficiency why crew members do not associate with passengers.”

Max gulped. “I know, sir.”

“Mind your P’s and Q’s. The members of my department are careful about this point—even then it is difficult.”

Max left feeling deflated. He had gone there feeling that he was about to be awarded something—even a chance to become an astrogator. He now felt sweated down to size.

GARSON’S PLANET

Max did not see much of Sam during the weeks following; the stiff schedule left him little time for visiting. But Sam had prospered.

Like all large ships the Asgard had a miniature police force, experienced ratings who acted as the First Officer’s deputies in enforcing ship’s regulations. Sam, with his talent for politics and a faked certffication as steward’s mate first class, managed during the reshuffle following Max’s transfer to be assigned as master-at-arms for the Purser’s department. He did well, treading on no toes, shutting his eyes to such violations as were ancient prerogatives and enforcing those rules of sanitation, economy, and behavior which were actually needed for a taut, happy ship… all without finding it necessary to haul offenders up before the First Officer for punishment—which suited both Mr. Walther and the crew. When Stores Clerk Maginnis partook too freely of Mr. Gee’s product and insisted on serenading his bunk mates, Sam merely took him to the galley and forced black coffee down him—then the following day took him down

to ‘H’ deck, laid his own shield of office aside, and gave Maginnis a scientific going over that left no scars but deeply marked his soul. In his obscure past Sam had learned to fight, not rough house, not in the stylized mock combat of boxing, but in the skilled art in which an unarmed man becomes a lethal machine.

Sam had selected his victim carefully. Had he reported him Maginnis would have regarded Sam as a snoop, a mere busybody to be outwitted or defied, and had the punishment been severe he might have been turned into a permanent discipline problem—not forgetting that reporting Maginnis might also have endangered a sacred cow, Chief Steward Giordano. As it was, it turned Maginnis into Sam’s strongest supporter and best publicist, as Maginnis’s peculiar but not unique pride required him to regard the man who defeated him as “the hottest thing on two feet, sudden death in each hand, a real man! No nonsense about old Sam—try him yourself and see how you make out. Go on, I want to lay a bet.”

It was not necessary for Sam to set up a second lesson.

A senior engineer’s mate was chief master-at-arms and Sam’s nominal superior; these two constituted the police force of their small town. When the technician asked to go back to power room watch-standing and was replaced by an engineer’s mate third, it was natural that Walther should designate Sam as Chief Master-at-Arms.

He had had his eye on the job from the moment he signed on. Any police chief anywhere has powers far beyond those set forth by law. As long as Sam stayed well buttered up with Mr. Kuiper, Mr. Giordano, and (to a lesser extent) with Mr. Dumont, as long as he was careful to avoid exerting his authority in either the engineering spaces or the Worry Hole, he was the most powerful man in the ship—more powerful in all practical matters than the First Officer himself since he was the First Officer’s visible presence.

Such was the situation when the ship grounded at Garson’s Planet.

Garson’s Planet appears to us to be a piece of junk left over when the universe was finished. It has a surface gravity of one-and-a-quarter, too much for comfort, it is cold as a moneylender’s heart, and it has a methane atmosphere unbreathable by humans. With the sky swarming with better planets it would be avoided were it not an indispensable way station. There is only one survey Horst congruency near Earth’s Sun and transition of it places one near Theta Centauri—and of the thirteen planets of that sun, Carson’s Planet possesses the meager virtue of being least unpleasant.

But there are half a dozen plotted congruencies accessible to Theta Centauri, which makes Carson’s Planet the inevitable cross-roads for trade of the Solar Union.

Max hit dirt there just once, once was plenty. The colony at the space port, partly domed, partly dug in under the domes, was much like the Lunar cities and not unlike the burrows under any major Earth city, but to Max it was novel since he had never been on Luna and had never seen a big city on Terra other than Earthport. He went dirtside with Sam, dressed in his best and filled with curiosity. It was not necessary to put on a pressure suit; the port supplied each passenger liner with a pressure tube from ship’s lock to dome lock.

Once inside Sam headed down into the lower levels. Max protested, “Sam, let’s go up and look around.”

“Huh? Nothing there. A hotel and some expensive shops and clip joints for the pay passengers. Do you want to pay a month’s wages for a steak?”

“No. I want to see out. Here I am on a strange planet and I haven’t seen it at all. I couldn’t see it from the control room when we landed and now I haven’t seen anything but the inside of a trans tube and this.” He

gestured at the corridor walls.

“Nothing to see but a dirty, thick, yellow fog that never lifts. Worse than Venus. But suit yourself. I’ve got things to do, but if you don’t want to stick with me you certainly don’t have to.”

Max decided to stick. They went on down and came out in a wide, lighted corridor not unlike that street in Earthport where Percy’s restaurant was located, save that it was roofed over. There were the same bars, the same tawdry inducements for the stranger to part with cash, even to the tailor shop with the permanent “CLOSING OUT” sale. Several other ships were in and the sector was crowded. Sam looked around. “Now for a place for a quiet drink and a chat.”

“How about there?” Max answered, pointing to a sign reading THE BETTER ‘OLE. “Looks clean and cheerful.”

Sam steered him quickly past it. “It is,” he agreed, “but not for us.” “Why not?”

“Didn’t you notice the customers? Imperial Marines.” “What of that? I’ve got nothing against the Imperials.”

“Mmm… no,” Sam agreed, still hurrying, “but those boys stick together and they have a nasty habit of resenting a civilian who has the bad taste to sit down in a joint they have staked out. Want to get your ribs kicked in?”

“Huh? That wouldn’t happen if I minded my own business, would it?”

“Maybe. Maybe not. Suppose a hostess decides that you’re ‘cute’—and the spit-and-polish boy she was with wants to make something of it? Max, you’re a good boy—but there just ain’t no demand for good boys. To stay out of trouble you have to stay away from it.”

They threaded their way through the crowd for another hundred yards before Sam said, “Here we are—provided Lippy is still running the place.” The sign read THE SAFE LANDING; it was larger but not as pleasant as THE BETTER ‘OLE.

“Who’s Lippy?”

“You probably won’t meet him.” Sam led the way in and picked out a table.

Max looked around. It looked like any other fifth-rate bar grille. “Could I get a strawberry soda here? I’ve had a hankering for one for ages—I used always to get one Saturdays when I went to the Corners.”

“They can’t rule you out for trying.”

“Okay. Sam, something you said—you remember the story you told me about your friend in the Imperials? Sergeant Roberts?”

“Who?”

“Or Richards. I didn’t quite catch it.” “Never heard of the guy.”

“But…”

“Never heard of him. Here’s the waiter.”

Nor had the humanoid Sirian waiter heard of strawberry soda. He had no facial muscles but his back skin crawled and rippled with embarrassed lack of comprehension. Max settled for something called “Old Heidelberg” although it had never been within fifty light-years of Germany. It tasted to Max like cold soap suds, but since Sam had paid for it he nursed it along and pretended to drink it.

Sam bounced up almost at once. “Sit tight, kid. I won’t be long.” He spoke to the barman, then disappeared toward the back. A young woman came over to Max’s table.

“Lonely, spaceman?” “Uh, not especially.”

“But I am. Mind if I sit down?” She sank into the chair that Sam had vacated. “Suit yourself. But my friend is coming right back.”

She didn’t answer but turned to the waiter at her elbow. “A brown special, Giggles.” Max made an emphatic gesture of denial. “No!”

“What’s that, dear?”

“Look,” Max answered, blushing, “I may look green as paint—I am, probably. But I don’t buy colored water at house prices. I don’t have much money.”

She looked hurt. “But you have to order or I can’t sit here.”

“Well… ” He glanced at the menu. “I could manage a sandwich, I guess.”

She turned again to the waiter. “Never mind the special, Giggles. A cheese on rye and plenty of mustard.” She turned back to Max. “What’s your name, honey?”

“Max.”

“Mine’s Dolores. Where are you from?” “The Ozarks. That’s Earthside.”

“Now isn’t that a coincidence! I’m from Winnipeg—we’re neighbors!”

Max decided that it might appear so, from that distance. But as Dolores babbled on it became evident that she knew neither the location of the Ozarks nor that of Winnipeg, had probably never been on Terra in her life. She was finishing the sandwich while telling Max that she just adored spacemen, they were so romantic, when Sam returned.

He looked down at her. “How much did you take him for?”

Dolores said indignantly, “That’s no way to talk! Mr. Lipski doesn’t permit…”

“Stow it, kid,” Sam went on, not unkindly. “You didn’t know that my partner is a guest of Lippy. Get me? No’specials,’ no ‘pay-me’s’—you’re wasting your time. Now how much?”

Max said hastily, “It’s okay, Sam. All I bought her was a sandwich.”

“Well… all right. But you’re excused, sister. Later, maybe.” She shrugged and stood up. “Thanks, Max.”

“Not at all, Dolores. I’ll say hello to the folks in Winnipeg.” “Do that.”

Sam did not sit down. “Kid, I have to go out for a while.” “Okay.”

Max started to rise, Sam motioned him back. “No, no. This I’d better do by myself. Wait here, will you? They won’t bother you again—or if they do, ask for Lippy.”

“I won’t have any trouble.”

“I hope not.” Sam looked worried. “I don’t know why I should fret, but there is something about you that arouses the maternal in me. Your big blue eyes I guess.”

“Huh? Oh, go sniff space! Anyway, my eyes are brown.”

“I was speaking,” Sam said gently, “of the eyes of your dewy pink soul. Don’t speak to strangers while I’m gone.”

Max used an expression he had picked up from Mr. Gee; Sam grinned and left.

But Sam’s injunction did not apply to Mr. Simes. Max saw the assistant astrogator appear in the doorway. His face was redder than usual and his eyes looked vague. He let his body revolve slowly as he surveyed the room. Presently his eyes lit on Max and he grinned unpleasantly.

“Well, well, well!” he said as he advanced toward Max. “If it isn’t the Smart Boy.” “Good evening, Mr. Simes.” Max stood up.

“So it’s ‘good evening, Mr. Simes’! But what did you say under your breath?’ “Nothing, sir.”

“Humph! I know! But I think the same thing about you, only worse.” Max did not answer, Simes went on, “Well, aren’t you going to ask me to sit down?”

“Have a seat, sir,” Max said without expression.

“Well, what do you know? The Smart Boy wants me to sit with him.” He sat, called the waiter, ordered, and turned back to Max. “Smart Boy, do you know why I’m sitting with you?”

“No, sir.”

“To put a flea in your ear, that’s why. Since you pulled that hanky-panky with the computer, you’ve been Kelly’s hair-faired—fair-haired—boy. Fair-haired boy,” he repeated carefully. “That gets you nowhere with me. Get this straight: you go sucking around the Astrogator the way Kelly does and I’ll run you out of the control room. Understand me?”

Max felt himself losing his temper. “What do you mean by ‘hanky-panky,’ Mr. Simes?”

“You know. Probably memorized the last half dozen transitions—now you’ve got Kelly and the Professor thinking you’ve memorized the book. A genius in our midst! You know what that is? That’s a lot of…”

Fortunately for Max they were interrupted; he felt a firm hand on his shoulder and Sam’s quiet voice said, “Good evening, Mr. Simes.”

Simes looked confused, then recognized Sam and brightened. “Well, if it isn’t the copper. Sit down, Constable. Have a drink.”

“Don’t mind if I do.” Sam pulled up another chair. “Do you know Smart Boy here?”

“I’ve seen him around.”

“Keep your eye on him. That’s an order. He’s very, very clever. Too clever. Ask him a number. Pick a number between one and ten.”

“Seven.”

Mr. Simes pounded the table. “What did I tell you? He memorized it before you got here. Someday he’s going to memorize one and they’ll stencil it across his chest. You know what, Constable? I don’t trust smart boys. They get ideas.”

Reinforced by Sam’s calming presence Max kept quiet. Giggles had come to the table as soon as Sam joined them; Max saw Sam write something on the back of a menu and pass it with money to the humanoid. But Mr. Simes was too busy with his monologue to notice. Sam let him ramble on, then suddenly interrupted. “You seem to have a friend here, sir.”

“Huh? Where?”

Sam pointed. At the bar Dolores was smiling and gesturing at the assistant navigator to join her. Simes focused his eyes, grinned and said, “Why, so I do! It’s my Great Aunt Sadie.” He got up abruptly.

Sam brushed his hands together. “That disposes of that. Give you a bad time, kid?” “Sort of. Thanks, Sam. But I hate to see him dumped on Dolores. She’s a nice kid.”

“Don’t worry about her. She’ll roll him for every thin he has on him—and a good job, too.” His eyes became hard. “I like an officer who acts like an officer. If he wants to pin one on, he should do it in his own part of town. Oh, well.” Sam relaxed. “Been some changes, eh, kid? Things are different from the way they were when we raised ship at Terra.”

“I’ll say they are!”

“Like it in the Worry gang?”

“It’s more fun than I ever had in my life. And I’m learning fast—so Mr. Kelly says. They’re a swell bunch—except for him.” He nodded toward Simes.

“Don’t let him worry you. The best soup usually has a fly in it. Just don’t let him get anything on you.” “I sure don’t intend to.”

Sam looked at him, then said softly, “Ready to take the dive?”

“Huh?”

“I’m getting our stake together. We’ll be all set.”

Max found it hard to answer. He had known that his transfer had not changed anything basic; he was still in as much danger as ever. But he had been so busy with the joy of hard, interesting work, so dead for sleep when he was not working, that the subject had been pushed back in his mind. Now he drew patterns on the table in the sweat from the glasses and thought about it. “I wish,” he said slowly, “that there was some way to beat it.”

“There is a way, I told you. Your record gets lost.”

Max raised his eyes. “What good would that do? Sure, it would get me another trip. But I don’t want just another trip; I want to stay with it.” He looked down at the table top and carefully sketched an hyperboloid. “I’d better go with you. If I go back to Terra, it’s the labor companies for me—even if I stay out of jail.”

“Nonsense.” “What?”

“Understand me, kid. I’d like to have you with me. A time like that, having a partner at your elbow is the difference between—well, being down in the dumps and being on top. But you can stay in space, with a record as clean as a baby’s.”

“Huh? How?”

“Because you are changing guilds. Now only one paper has to get lost—your strike-out record with the stewards, cooks, and clerks. And they will never miss it because you aren’t on their books, anyhow. You start fresh with the chartsmen and computers, all neat and legal.”

Max sat still and was tempted. “How about the report to the Department of Guilds and Labor?”

“Same thing. Different forms to different offices. I checked. One form gets lost, the other goes in—and Steward’s Mate Jones vanishes into limbo while Apprentice Chartsman Jones starts a clean record.”

“Sam, why don’t you do it? With the drag you’ve got now you could switch to… uh, well, to…”

“To what?” Sam shook his head sadly. “No, old son, there is nothing I can switch to. Besides, there are reasons why I had better be buried deep.” He brightened. “Tell you what—I’ll pick my new name before I take the jump and tell you. Then some day, two years, ten, twenty, you’ll lay over at Nova Terra and look me up. We’ll split a bottle and talk about when we were young and gay. Eh?”

Max smiled though he did not feel happy. “We will, Sam. We surely will.” Then he frowned. “But, Sam, I don’t know how to wangle the deal—and you’ll be gone.”

“I’ll fix it before I leave. I’ve got Nelson eating out of my hand now. Like this: half cash down and half on delivery—and I’ll fix it so that you have something on him—never mind what; you don’t need to know yet. When you ground at Earthport, he asks you to mail the reports because you are going dirtside and he has work to finish. You check to see that the two reports you want are there, then you give him his pay off. Done.”

Max said slowly, “I suppose that’s best.”

“Quit fretting. Everybody has a skeleton in the closet; the thing is to keep ’em there and not at the feast.” He pushed an empty glass aside. “Kid, would you mind if we went back to the ship? Or had you planned to make a night of it?”

“No, I don’t mind.” Max’s elation at setting foot on his first strange planet was gone—Garson’s Hole was, he had to admit, a sorry sample of the Galaxy.

“Then let’s get saddled up. I’ve got stuff to carry and I could use help.”

It turned out to be four fairly large bundles which Sam had cached in public lockers. “What are they?” Max asked curiously.

“Tea cozies, old son. Thousands of them. I’m going to sell ’em to Procyon pinheads as skull caps.” Somewhat affronted, Max shut up.

Everything coming into the ship was supposed to be inspected, but the acting master-at-arms on watch at the lock did not insist on examining the items belonging to the Chief Master-at-Arms any more than he would have searched a ship’s officer. Max helped Sam carry the bundles to the stateroom which was the prerogative of the ship’s chief of police.

“THROUGH THE CARGO HATCH”

From Garson’s Planet to Halcyon around Nu Pegasi is a double dogleg of three transitions, of 105, 487, and 19 light-years respectively to achieve a “straight line” distance of less than 250 light-years. But neither straight-line distance nor pseudo-distance of transition is important; the Asgard covered less than a

light-year between gates. A distance “as the crow flies” is significant only to crows.

The first transition was barely a month out from Carson’s Planet. On raising from there Kelly placed Max on a watch in three, assigning him to Kelly’s own watch, which gave Max much more sleep, afforded him as much instruction (since the watch with Simes was worthless, instruction-wise), and kept Max out of Simes’ way, to his enormous relief. Whether Kelly had planned that feature of it Max never knew—and did not dare ask.

Max’s watch was still an instruction watch, he had no one to relieve nor to be relieved by. It became his habit not to leave the control room until Kelly did, unless told to do so. This resulted in him still being thrown into the company of Dr. Hendrix frequently, since the Astrogator relieved the Chief Computerman and Kelly would usually hang around and chat… during which time the Astrogator would sometimes inquire into Max’s progress.

Occasionally the Captain would show up on Dr. Hendrix’s watch. Shortly after leaving Garson’s Planet Dr. Hendrix took advantage of one such occasion to have Max demonstrate for Captain Blaine and First Officer Walther his odd talent. Max performed without a mistake although the Captain’s presence made him most self-conscious. The Captain watched closely with an expression of gentle surprise. Afterwards he said, “Thank you, lad. That was amazing. Let me see—what is your name?”

“Jones, sir.”

“Jones, yes.” The old man blinked thoughtfully. “It must be terrifying not to be able to forget—especially

in the middle of the night. Keep a clear conscience, son.”

Twelve hours later Dr. Hendrix said to him, “Jones, don’t go away. I want to see you.” “Yes, sir.”

The Astrogator spoke with Kelly for a few moments, then again spoke to Max. “The Captain was impressed by your vaudeville act, Jones. He is wondering whether you have any parallel mathematical ability.”

“Well—no, sir. I’m not a lightning calculator, that is. I saw one in a sideshow once. He could do things I couldn’t.”

Hendrix brushed it aside. “Not important. I believe you told me that your uncle taught you some mathematical theory?”

“Just for astrogation, sir.”

“What do you think I am talking about? Do you know how to compute a transition approach?” “Uh, I think so, sir.”

“Frankly, I doubt it, no matter how much theoretical drill Brother Jones gave you. But go ahead.” “Now, sir?”

“Try it. Pretend you’re the officer of the watch. Kelly will be your assistant. I’ll just be audience. Work the approach we are on. I realize that we aren’t close enough for it to matter—but you are to assume that the safety of the ship depends on it.”

Max took a deep breath. “Aye aye, sir.” He started to get out fresh plates for the cameras. Hendrix said, “No!”

“Sir?”

“If you have the watch, where’s your crew? Noguchi, help him.”

“Aye aye, sir.” Noguchi grinned and came over. While they were bending over the first camera, Noguchi whispered, “Don’t let him rattle you, pal. We’ll give him a good show. Kelly will help you over the humps.”

But Kelly did not help; he acted as “numbers boy” and nothing else, with no hint to show whether Max was right, or wildly wrong. After Max had his sights and had taken his comparison data between plates and charts he did not put the problem through the computer himself, but let Noguchi man the machine, with Kelly translating. After a long time and much sweat the lights blinked what he hoped was the answer.

Dr. Hendrix said nothing but took the same plates to the tank and started to work the problem again, with the same crew. Very quickly the lights blinked on again; the Astrogator took the tables from Kelly and looked up the translation himself. “We differ only in the ninth decimal place. Not bad.”

“I was wrong only in the ninth place, sir?”

“I didn’t say that. Perhaps I was more in error.”

Max started to grin, but Dr. Hendrix frowned. “Why didn’t you take doppler spectra to check?” Max felt a cold chill. “I guess I forgot, sir.”

“I thought you were the man who never forgot?”

Max thought intuitively—and correctly—that two kinds of memory were involved, but he did not have a psychologist’s jargon with which to explain. One sort was like forgetting one’s hat in a restaurant, that could happen to anyone; the other was being unable to recall what the mind had once known.

Hendrix went on, “A control room man must not forget things necessary to the safety of the ship. However as an exercise you solved it very well—except that you have no speed. Had we been pushing close to the speed of light, ready to cross, your ship would have been in Hades and crashed in the River Styx before you got the answer. But it was a good first try.”

He turned away. Kelly jerked his head toward the hatch and Max went below.

As he was falling asleep Max turned over in his mind the notion that Dr. Hendrix might even be thinking of him for—Oh no! He put the thought aside. After all, Kelly could have done it; he had seen him do early approaches many times, and faster, too. Probably Noguchi could have done it.

Certainly Noguchi could have done it, he corrected. After all, there weren’t any “secrets.”

As they approached the first anomaly the easy watch in three for officers and watch in four for the men changed to watch-and-watch, with an astrogator, an assistant, a chartsman, and a computerman on each watch. Max was at last assigned to a regular watch; the first watch was Dr. Hendrix assisted by Chartsman 1/c Kovak, Max as chartsman of the watch and Noguchi on the computer; the other watch was Mr. Simes assisted by Chief Kelly, Smythe as chartsman and Lundy as computerman. Max noticed that Dr. Hendrix had assigned his “first team” to Simes and had taken the less experienced technicians himself. He wondered why, but was pleased not to be working for Simes.

He learned at last why they called it the “Worry Hole.” Dr. Hendrix became a frozen-masked automaton, performing approach correction after correction and demanding quick, accurate, and silent service.

During the last twenty hours of the approach the Astrogator never left the control room, nor did anyone else other than for short periods when nominally off watch. Simes continued to take his regular watch but Dr. Hendrix hung over him, checking everything that he did. Twice he required the junior astrogator to reperform portions of his work and once elbowed him aside and did it himself. The first time it happened Max stared—then he noticed that the others were careful to be busy doing something else whenever Dr. Hendrix spoke privately to Simes.

The tension grew as the critical instant approached. The approach to an anomalous intraspatial transition can hardly be compared to any other form of piloting ever performed by human beings, though it might be compared to the impossible trick of taking off in an atmosphere plane, flying a thousand miles blind—while performing dead reckoning so perfectly as to fly through a narrow tunnel at the far end, without ever seeing the tunnel. A Horst congruency cannot be seen, it can only be calculated by abstruse mathematics of effects of mass on space; a “gateway” is merely unmarked empty space in vaster emptiness. In approaching a planet an astrogator can see his destination, directly or by radar, and his speed is just a few miles per second. But in making a Horstian approach the ship’s speed approaches that of light—and reaches it, at the last instant. The nearest landmarks are many billions of miles away, the landmarks themselves are moving with stellar velocities and appear to be crowding together in the

exaggerated parallax effects possible only when the observer is moving almost as fast as is his single clue to location and speed—the wave fronts of the electromagnetic spectrum.

Like searching at midnight in a dark cellar for a black cat that isn’t there.

Toward the last Kelly himself was on the computer with Lundy at his ear. Smythe and Kovak were charting, passing new data to Dr. Hendrix, who was programming orally to the computer crew, setting up the problems in his head and feeding them to the electronic brain almost without delay. The power room was under his direct control now; he had a switch led out from the control console in each hand, one to nurse the ship along just below speed of light, the other to give the Asgard the final kick that would cause her to burst through.

Max was pushed aside, no task remained in which there was not someone more experienced. On a different level, Simes too had been pushed aside; there was place for only one astrogator at the moment of truth.

Of all those in the Worry Hole only Captain Blaine seemed to be relaxed. He sat in the chair sacred to him, smoking quietly and watching Hendrix. The Astrogator’s face was gray with fatigue, greasy with unwashed sweat. His uniform was open at the collar and looked slept in, though he certainly had not slept. Max looked at him and wondered why he had ever longed to be an astrogator, ever been foolish enough to wish to bear this undivided and unendurable burden.

But the doctor’s crisp voice showed no fatigue; the endless procession of numbers marched out, sharp as print, each spoken so that there could be no mistake, no need to repeat, “nine” always sounded as one syllable, “five” always stretched into two. Max listened and learned and wondered.

He glanced up through the dome, out into space itself, space shown distorted by their unthinkable speed. The stars ahead, or above, had been moving closer together for the past several watches, the huge parallax effect displacing them to the eye so that they seemed to be retreating in the very sector of the sky they were approaching. They were seeing by infra-red waves now, ploughing into oncoming wave trains so fast that doppler effect reduced heat wave lengths to visible light.

The flood of figures stopped. Max looked down, then looked up hastily as he heard Dr. Hendrix say, “Stand by!”

The stars seemed to crawl together, then instantly they were gone to be replaced without any lapse of time whatever by another, new and totally different starry universe.

Hendrix straightened up and sighed, then looked up. “There’s the Albert Memorial,” he said quietly. “And there is the Hexagon. Well, Captain, it seems we made it again.” He turned to Simes. “Take it, Mister.” He let the Captain go first, then followed him down the hatch.

The control gang went back to easy watches; the next transition was many days away. Max continued as chartsman-of -the-watch in place of Kovak, who temporarily replaced Dr. Hendrix while the Astrogator got a week of rest: There was truly not much to do during the early part of a leg and the doctor’s superb skill was not needed. But Max greatly enjoyed the new arrangements; it made him proud to sign the rough log “M. Jones, Chtsmn o/W.” He felt that he had arrived—even though Simes found fault with him and Kelly continued to drill him unmercifully in control room arts.

He was surprised but not apprehensive when he was told, during an off-watch period, to report to the Astrogator. He put on a fresh uniform, slicked his hair clown, and went above “C” deck. “Apprentice Chartsman Jones reporting, sir.”

Kelly was there, having coffee with the Astrogator. Hendrix acknowledged Max’s salutation but left him standing. “Yes, Jones.” He turned to Kelly. “Suppose you break the news.”

“If you say so, sir.” Kelly looked uncomfortable. “Well, Jones, it’s like this—you don’t really belong in my guild.”

Max was so shocked that he could not answer. He was about to say that he had thought—he had understood—he hadn’t known—But he got nothing out; Kelly continued, “The fact is, you ought to buck for astrogator. The Doctor and I have been talking it over.”

The buzzing in his head got worse. He became aware that Dr. Hendrix was repeating, “Well, Jones? Do you want to try it? Or don’t you?”

Max managed to say, “Yes. Yes, sir.”

“Good. Kelly and I have been watching you. He is of the opinion and so am I that you may, just possibly, have the latent ability to develop the skill and speed necessary. The question is: do you think so?”

“Uh… that is—I hope so, sir!”

“So do I,” Hendrix answered dryly. ‘We shall see. If you haven’t, you can revert to your own guild and no harm is done. The experience will make you a better chartsman.” The Astrogator turned to Kelly. “I’ll quiz Jones a bit, Kelly. Then we can make up our minds.”

“Very good, sir.” Kelly stood up.

When the Chief Computerman had gone Hendrix turned to his desk, hauled out a crewman’s personal record. To Max he said harshly, “Is this yours?”

Max looked at it and gulped. “Yes, sir.”

Dr. Hendrix held his eye. “Well? How good a picture is it of your career thus far? Any comment you want to make?”

The pause might have been a dozen heart beats, though to Max it was an endless ordeal. Then a catharsis came bursting up out of him and he heard himself answering, “It’s not a good picture at all, sir. It’s phony from one end to the other.”

Even as he said it, he wondered why. He felt that he had kicked to pieces his one chance to achieve his ambition. Yet, instead of feeling tragic, he felt oddly relaxed.

Hendrix put the personal record back on his desk. “Good,” he answered. “Very good. If you had given any other answer, I would have run you out of my control room. Now, do you want to tell me about it? Sit down.”

So Max sat down and told him. All that he held back was Sam’s name and such details as would have identified Sam. Naturally Dr Hendrix noticed the omission and asked him point blank.

“I won’t tell you, sir.”

Hendrix nodded. “Very well. Let me add that I shall make no attempt to identify this, ah, friend of yours—if by chance he is in this ship.”

“Thank you, sir.”

There followed a considerable silence. At last Hendrix said, “Son, what led you to attempt this preposterous chicanery? Didn’t you realize you would be caught?”

Max thought about it. “I guess I knew I would be, sir—eventually. But I wanted to space and there wasn’t any other way to do it.” When Hendrix did not answer Max went on. After the first relief of being able to tell the truth, he felt defensive, anxious to justify himself—and just a little bit irked that Dr. Hendrix did not see that he had simply done what he had to do—so it seemed to Max. “What would you have done, sir?”

“Me? How can I answer that? What you’re really asking is: do I consider your actions morally wrong, as well as illegal?”

“Uh, I suppose so, sir.”

“Is it wrong to lie and fake and bribe to get what you want? It’s worse than wrong, it’s undignified!”

Dr. Hendrix chewed his lip and continued. “Perhaps that opinion is the sin of the Pharisees… my own weakness. I don’t suppose that a young, penniless tramp, such as you described yourself to be, can afford the luxury of dignity. As for the rest, human personality is a complex thing, nor am I a judge.

Admiral Lord Nelson was a liar, a libertine, and outstandingly undisciplined. President Abraham Lincoln was a vulgarian and nervously unstable. The list is endless. No, Jones, I am not going to pass judgment; you must do that yourself. The authorities having jurisdiction will reckon your offenses; I am concerned only with whether or not you have the qualities I need.”

Max’s emotions received another shock. He had already resigned himself to the idea that he had lost his chance. “Sir?”

“Don’t misunderstand me.” Hendrix tapped the forged record. “I don’t like this. I don’t like it at all. But perhaps you can live down your mistake. In the meantime, I badly need another watch officer; if you measure up, I can use you. Part of it is personal, too; your uncle taught me, I shall try to teach you.”

“Uh, I’ll try, sir. Thank you.”

“Don’t thank me. I’m not even feeling particularly friendly to you, at the moment. Don’t talk with anyone. I’ll ask the Captain to call a guild meeting and he and Mr. Simes and I will vote on you. We’ll make you a probationary apprentice which will permit the Captain to appoint you to the temporary rank of merchant cadet. The legalities are a bit different from those of the usual route as you no doubt know.”

Max did not know, though he was aware that officers sometimes came up “through the cargo hatch”—but another point hit him. “Mr. Simes, sir?”

“Certainly. By this procedure, all the astrogators you serve with must pass on you.” “Uh, does it have to unanimous, sir?”

“Yes.”

“Then—Well, sir, you might as well forget it. I mean, I appreciate your willingness to, uh, but… ” His voice trailed off.

Dr. Hendrix smiled mirthlessly. “Hadn’t you better let me worry about that?” “Oh. Sorry, sir.”

“When it has been logged, I’ll notify you. Or ‘when and if,’ if you prefer.”

“Yes, sir.” Max stood up. “Sir? There were, uh, a couple of other things I wondered about.” Hendrix had turned back to his desk. He answered, “Well?” somewhat impatiently.

“Would you mind telling me—just for my curiosity—how you caught me?”

“Oh, that. No doubt you’ve given yourself away to several people. I’m sure Kelly knows, from the subjects he avoided. For example, I once heard Lundy mention to you Kiefer’s Ritz on Luna. Your answer, though noncommittal, implied that you did not really know what dive he was talking about—and it is impossible for a spaceman not to know that place, its entrance faces the east lock to the space port.”

“Oh.”

“But the matter came to the top of my mind in connection with this.” He again indicated the false record. “Jones, I deal in figures and my mind can no more help manipulating them for all the information they contain than I can help breathing. This record says that you went to space a year before your uncle retired—I remember what year that was. But you told me that your uncle had trained you at home and your performance bore out that statement. Two sets of alleged facts were contradictory; need I add that I was fairly sure of the truth?”

“Oh. I guess I wasn’t very smart?’

“No, you weren’t. Figures are sharp things, Jones. Don’t juggle them, you’ll get cut. What was the other matter?”

“Well, sir, I was kind of wondering what was going to happen to me. I mean about that.”

“Oh,” Hendrix answered indifferently, “that’s up to the Stewards & Clerks. My guild won’t take action concerning a disciplinary matter of another guild. Unless, of course, they call it ‘moral turpitude’ and make it stick.”

With that faint comfort Max left, Nevertheless he felt easier than he had at any time since he had signed on. The prospect of punishment seemed less a burden than constantly worrying about getting caught.

Presently he forgot it and exulted in the opportunity—at last!—to take a crack at astrogator. He wished he could tell Sam… or Ellie.

HALCYON

The probationary appointment was logged later that same day. The Captain called him in, swore him in, then congratulated him and called him “Mister” Jones. The ceremony was simple, with no spectator but Hendrix and the Captain’s secretary.

The commonplaces attendant on the change were, for a while, more startling to Max than the promotion itself. They started at once. “You had better take the rest of the day to shake down, Mr. Jones,” the Captain said, blinking vaguely. “Okay, Doc?”

“Certainly, sir.”

“Good. Bennett, will you ask Dumont to step in?”

The Chief Passengers’ Steward was unblinkingly unsurprised to find the recent steward’s mate third a ship’s officer. To the Captain’s query he said, “I was planning to put Mr. Jones in stateroom B-014, sir. Is that satisfactory?”

“No doubt, no doubt.”

“I’ll have boys take care of his luggage at once.”

“Good. You trot along with Dumont, Mr. Jones. No, wait a moment. We must find you a cap.” The Captain went to his wardrobe, fumbled around. “I had one that would do here somewhere.”

Hendrix had been standing with his hands behind him. “I fetched one, Captain. Mr. Jones and I wear the same size, I believe.”

“Good. Though perhaps his head has swelled a bit in the past few minutes. Eh?”

Hendrix grinned savagely. “If it has, I’ll shrink it.” He handed the cap to Max. The wide gold strap and sunburst the Astrogator had removed; substituted was a narrow strap with tiny sunburst surrounded by the qualifying circle of the apprentice. Max thought it must be old insignia saved for sentimental reasons by Hendrix himself. He choked up as he mumbled his thanks, then followed Dumont out of the Captain’s cabin, stumbling over his feet.

When they reached the companionway Dumont stopped. “There is no need to go down to the bunkroom, sir. If you will tell me the combination of your locker, we’ll take care of everything.”

“Oh, gee, Mr. Dumont! I’ve got just a small amount of truck. I can carry it up myself.”

Dumont’s face had the impassivity of a butler’s. “If I may make a suggestion, sir, you might like to see your stateroom while I have the matter taken care of.” It was not a question; Max interpreted it correctly to mean: “Look, dummy, I know the score and you don’t. Do what I tell you before you make a terrible break!”

Max let himself be guided. It is not easy to make the jump from crewman to officer while remaining in the same ship. Dumont knew this, Max did not. Whether his interest was fatherly, or simply a liking for correct protocol—or both—Dumont did not intend to allow the brand-new junior officer to go lower than “C” deck until he had learned to carry his new dignity with grace. So Max sought out stateroom

B-014.

The bunk had a real foam mattress and a spread. There was a tiny wash basin with running water and a mirror. There was a bookshelf over the bunk and a wardrobe for his uniforms. There was even a shelf desk that let down for his convenience. There was a telephone on the wall, a buzzer whereby he could summon the steward’s mate on watch! There was a movable chair all his own, a wastebasket, and—yes!—a little rug on the deck. And best of all, there was a door with a lock.

The fact that the entire room was about as large as a piano box bothered him not at all.

He was opening drawers and poking into things when Dumont returned. Dumont was not carrying Max’s meager possessions himself; that task was delegated to one of his upper-decks staff. The steward’s mate followed Dumont in and said, “Where shall I put this, sir?”

Max realized with sudden embarrassment that the man waiting on him had eaten opposite him for past months. “Oh! Hello, Jim. Just dump it on the bunk. Thanks a lot.”

“Yes, sir. And congratulations!”

“Uh, thanks!” They shook hands. Dumont let that proper ceremony persist for a minimum time, then said, “That’s all now, Gregory. You can go back to the pantry.” He turned to Max. “Anything else, sir?”

“Oh, no, everything is fine.”

“May I suggest that you probably won’t want to sew insignia on these uniforms yourself? Unless you are better with a needle than I am,” Dumont added with just the right chuckle.

“Well, I guess I could.”

“Mrs. Dumont is handy with a needle, taking care of the lady passengers as she does. Suppose I take this one? It can be ready and pressed in time for dinner.”

Max was happy to let him. He was suddenly appalled by a terrifying notion—he was going to have to eat in the Bifrost Lounge!

But there were further disturbances before dinner. He was completing the small task of stowing his possessions when there came a knock on the door, followed immediately by someone coming in. Max found himself nose to nose with Mr. Simes.

Simes looked at the cap on his head and laughed. “Take that thing off before you wear out your ears.” Max did not do so. He said, “You wanted me, sir?”

“Yes. Just long enough, Smart Boy, to give you a word of advice.” “Yes?”

Simes tapped himself on the chest. “Just this. There is only one assistant astrogator in this ship—and I’m it. Remember that. I’ll still be it long after you’ve been busted back to sweeping up after cows. Which is where you belong.”

Max felt a flush crawl up his neck and burn his cheeks. “Why,” he asked, “if you think that, didn’t you veto my appointment?”

Simes laughed again. “Do I look like a fool? The Captain says yes, the Astrogator says yes—should I stick my neck out? It’s easier to wait and let you stick your neck out—which you will. I just wanted to let you know that a dinky piece of gold braid doesn’t mean a thing. You’re still junior to me by plenty. Don’t forget it.”

Max clenched his jaw and did not answer. Simes went on, “Well?” “‘Well’ what?”

“I just gave you an order.”

“Oh. Aye aye, Mr. Simes. I won’t forget it. I certainly won’t.”

Simes looked at him sharply, said, “See that you don’t,” and left. Max was still facing his door, clenching his fists, when Gregory tapped on the door. “Dinner, sir. Five minutes.”

Max delayed as long as he could, wishing mightily that he could slide down to Easy deck and take his usual place in the warm, noisy, relaxed comfort of the crew’s mess. He hesitated in the lounge doorway, paralyzed with stage fright. The beautiful room was blazing with light and looked unfamiliar; he had never been in it save in early morning, to change the sandbox located down the pantry passage—at which times only standing lights were burning.

He was barely in time; some of the ladies were seated but the Captain was still standing. Max realized that he should be near his chair, ready to sit down when the Captain did—or as soon as the ladies were seated, he amended—but where should he go? He was still jittering when he heard his name shouted. “Max!”

Ellie came running up and threw her arms around his neck. “Max! I just heard. I think it’s wonderful!”

She looked at him, her eyes shining, then kissed him on both cheeks.

Max blushed to his ears. He felt as if every eye was turned on him—and he was right. To add to his embarrassment Ellie was dressed in formal evening dress of Hesperan high style, which not only made her look older and much more female, but also shocked his puritanical hillbilly standards.

She let go of him, which was well but left him in danger of collapsing at the knees. She started to babble something, Max did not know what, when Chief Steward Dumont appeared at her elbow. “The Captain is waiting, Miss,” he said firmly.

“Bother to the Captain! Oh, well—see you after dinner, Max.” She headed for the Captain’s table. Dumont touched Max’s sleeve and munnured, “This way, sir.”

His place was at the foot of the Chief Engineer’s table. Max knew Mr. Compagnon by sight but had never spoken to him. The Chief glanced up and said, “Evening, Mr. Jones. Glad to have you with us. Ladies and gentlemen, our new astrogation officer, Mr. Jones. On your right, Mr. Jones, is Mrs. Daigler. Mr. Daigler on her right, then—” and so on, around the table: Dr. and Mrs. Weberbauer and their daughter Rebecca, Mr. and Mrs. Scott, a Mr. Arthur, Senhor and Senhora Vargas.

Mrs. Daigler thought it was lovely, his being promoted. And so nice to have more young people at the table. She was much older than Max but young enough to be handsome and aware of it. She wore more jewels than Max had ever seen and her hair was lacquered into a structure a foot high and studded with pearls. She was as perfectly finished and as expensive as a precision machine and she made Max uncomfortable.

But he was not yet as uncomfortable as he could be. Mrs. Daigler produced a wisp of a handkerchief from her bosom, moistened it and said, “Hold still, Mr. Jones.” She scrubbed his cheek. “Turn your head.” Blushing, Max complied.

“There, that’s better,” Mrs. Daigler announced. “Mama fixed.” She turned away and said, “Don’t you think, Mr. Compagnon, that science, with all the wonderful things they do these days, could discover a lip paint that wouldn’t come off?”

“Stop it, Maggie,” her husband interrupted. “Pay no attention, Mr. Jones. She’s got a streak of sadism as wide as she is.”

“George, you’ll pay for that. Well, Chief?”

The Chief Engineer patted his lips with snowy linen. “I think it must already have been invented, but there

was no market. Women like to brand men, even temporarily.” “Oh, bosh!”

“It’s a woman’s world, ma’am.”

She turned to Max. “Eldreth is a dear, isn’t she? I suppose you knew her ‘dirtside’?—as Mr. Compagnon calls it.”

“No, ma’am.”

“Then how? I mean, after all, there isn’t much opportunity. Or is there?” “Maggie, stop pestering him. Let the man eat his dinner.”

Mrs. Weberbauer on his other side was as easy and motherly as Mrs. Daigler was difficult. Under her soothing presence Max managed to start eating. Then he noticed that the way he grasped a fork was not the way the others did, tried to change, made a mess of it, became aware of his untidy nails, and wanted to crawl under the table. He ate about three hundred calories, mostly bread and butter.

At the end of the meal Mrs. Daigler again gave her attention to him, though she addressed the Chief Engineer. “Mr. Compagnon, isn’t it customary to toast a promotion?”

“Yes,” the Chief conceded. “But he must pay for it. That’s a requirement.”

Max found himself signing a chit presented by Dumont. The price made him blink—his first trip might be a professional success, but so far it had been financial disaster. Champagne, iced in a shiny bucket, accompanied the chit and Dumont cut the wires and drew the cork with a flourish.

The Chief Engineer stood up. “Ladies and gentlemen—I give you Astrogator Jones. May he never misplace a decimal point!”

“Cheers!”—”Bravo!”—”Speech, speech!”

Max stumbled to his feet and muttered, “Thank you.”

His first watch was at eight o’clock the next morning. He ate breakfast alone and reflected happily that as a watch stander he would usually eat either before or after the passengers. He was in the control room a good twenty minutes early.

Kelly glanced up and said, “Good morning, sir.”

Max gulped. “Er—good morning, Chief!” He caught Smythe grinning behind the computer, turned his eyes hastily away.

“Fresh coffee, Mr. Jones. Will you have a cup?” Max let Kelly pour for him; while they drank Kelly quietly went over the details—acceleration schedule, position and vector, power units in use, sights taken, no special orders, etc. Noguchi relieved Smythe, and shortly before the hour Dr. Hendrix appeared.

“Good morning, sir.” “Good morning, Doctor.”

“Morning.” Hendrix accepted coffee, turned to Max. “Have you relieved the officer of the watch?” “Uh, why no, sir.”

“Then do so. It lacks less than a minute of eight.”

Max turned to Kelly and shakily saluted. “I relieve you, sir.”

“Very well, sir.” Kelly went below at once. Dr. Hendrix sat down, took out a book and started to read. Max realized with a chilly feeling that he had been pushed in, to swim or not. He took a deep breath and went over to Noguchi. “Noggy, let’s get the plates ready for the middle o’ watch sights.”

Noguchi glanced at the chronometer. “As you say, sir.” “Well… I guess it is early. Let’s take a few dopplers.”

“Aye aye, sir.” Noguchi climbed out of the saddle where he had been loafing. Max said in a low voice, “Look, Noggy, you don’t have to say’sir’ to me.”

Noguchi answered just as quietly. “Kelly wouldn’t like it if I didn’t. Better let it ride.” “Oh.” Max frowned. “Noggy? How does the rest of the Worry gang feel about it?”

Noguchi did not pretend not to understand. He answered, “Shucks, they’re all rooting for you, if you can swing it.”

“You’re sure?”

“Certain. Just as long as you don’t try to make a big hairy thing out of yourself like—well, like some I could mention.” The computerman added, “Maybe Kovak isn’t exactly cheering. He’s been having a watch of his own, you know—for the first time.”

“He’s sore?”

“Not exactly. He couldn’t expect to keep it long anyhow, not with a transition coming up. He won’t go out of his way to give you trouble, he’ll be fair.”

Max made a mental note to see what he could do to swing Kovak over to his side. The two manned the dopplerscope, took readings on stars forward of vector, checked what they found by spectrostellograph, and compared both with standard plates from the chart safe. At first Max had to remember that he was in charge; then he got so interested in fussy details of measurements that he was no longer self-conscious. At last Noguchi touched his sleeve. “Pushing ten o’clock, sir. I’d better get set up.”

“Huh? Sure, go ahead.” He reminded himself not to help Noggy; the chartsman has his prerogatives, too. But he checked the set up just as Hendrix always did, as Simes rarely did, and as Kelly sometimes did, depending on who had made it.

After they had gotten the new data Max programmed the problem on paper (there being plenty of time), then called it off to Noguchi at the computer. He thumbed the book himself, there being no “numbers boy” available. The figures were as clear in his recollection as ever, but he obeyed Hendrix’s injunction not to depend on memory.

The result worried him. They were not “in the groove.” Not that the Asgard was far out, but the discrepancy was measurable. He checked what he had done, then had Noguchi run the problem again,

using a different programming method. The result came out the same.

Sighing, he computed the correction and started to take it to Hendrix for approval. But the Astrogator still paid no attention; he sat at the console, reading a novel from the ship’s library.

Max made up his mind. He went to the console and said, “Excuse me, sir. I need to get there for a moment.” Hendrix got up without answering and found another seat. Max sat down and called the power room. “Control officer speaking. I intend to increase boost at eleven o’clock. Stand by for time check.”

Hendrix must have heard him, he thought, but the Astrogator gave no sign. Max fed in the correction, set the control chronometer to execute his wishes at eleven plus-or-minus nothing.

Shortly before noon Simes showed up. Max had already written his own log, based on Noguchi’s log, and had signed it “M. Jones.” He had hesitated, then added “C. O. o/W.” Simes went to Dr. Hendrix, saluted, and said, “Ready to relieve you, sir.”

Hendrix spoke his first word since eight o’clock. “He’s got it.”

Simes looked non-plussed, then went to Max. “Ready to relieve you.” Max recited off the situation data while Simes read the log and the order book. Simes interrupted him while he was still listing minor ship’s data. “Okay, I relieve you. Get out of my control room, Mister.” Max got out. Dr. Hendrix had already gone down.

Noguchi had loitered at the foot of the ladder. He caught Max’s eye, made a circle with thumb and finger and nodded. Max grinned at him, started to ask a question; he wanted to know if that discrepancy was a booby trap, intentionally left in by Kelly. Then he decided that it would not be fitting; he’d ask Kelly himself, or figure it from the records. “Thanks, Noggy.”

That watch turned out to be typical only in the one respect that Dr. Hendrix continued to require Max to be officer of the watch himself. He did not again keep quiet but rode Max steadily, drilling him hour after hour, requiring him to take sights and set up problems continuously, as if the Asgard were actually close to transition. He did not permit Max to program on paper but forced him to pretend that time was too short and that data must immediately go into the computer, be acted on at once. Max sweated, with remote controls in each fist and with Hendrix himself acting as “numbers boy.” The Astrogator kept pushing him for speed, speed, and more speed—never at the sacrifice of accuracy, for any error was unforgivable. But the goal was always greater speed.

Once Max objected. “Sir, if you would let me put it right into the machine, I could cut it down a lot.”

Hendrix snapped, “When you have your own control room, you can do that, if you think it wise. Now you’ll do it my way.”

Occasionally Kelly would take over as his supervisor. The Chief Computerman was formal, using such phrases as, “May I suggest, sir—” or “I think I’d do it this way, sir.” But once he broke out with, “Confound it, Max! Don’t ever pull a dumb stunt like that!”

Then he started to amend his remarks. Max grinned. “Please, Chief. For a moment you made me feel at home. Thanks.”

Kelly looked sheepish. “I’m tired, I guess. I could do with a smoke and some java.”

While they were resting Max noted that Lundy was out of earshot and said, “Chief? You know more than I’ll ever learn. Why didn’t you buck for astrogator? Didn’t you ever get a chance?”

Kelly suddenly looked bleak. “I once did,” he said stiffly. “Now I know my limitations.” Max shut up, much embarrassed. Thereafter Kelly reverted to calling him Max whenever they were alone.

Max did not see Sam for more than a week after he moved up to Baker deck. Even then the encounter was chance; he ran across him outside the Purser’s office. “Sam!”

“Good morning, sir!” Sam drew up in a smart salute with a broad grin on his face. “Huh? ‘Good morning, sir’ my foot! How’s it going, Sam?”

“Aren’t you going to return my salute? In my official capacity I can report you, you know. The Captain is very, very fussy about ship’s etiquette.”

Max made a rude noise. “You can hold that salute until you freeze, you clown.”

Sam relaxed. “Kid, I’ve been meaning to get up and congratulate you—but every time I find you’re on watch. You must live in the Worry Hole.”

“Pretty near. Look, I’ll be off this evening until midnight. What do you say I stop down to see you?” Sam shook his head. “I’ll be busy.”

“Busy how? You expecting a jail break? Or a riot, maybe?”

Sam answered soberly, “Kid, don’t get me wrong—but you stick to your end of the ship and I’ll stick to mine. No, no, keep quiet and listen. I’m as proud as if I had invented you. But you can’t fraternize in crew’s quarters, not even with the Chief Master-at-Arms. Not yet.”

“Who’ll know? Who’s to care?”

“You know blamed well that Giordano would love to tell Kuiper that you didn’t know how to behave like an officer—and Old Lady Kuiper would pass it along to the Purser. Take my advice. Have I ever thrown you a curve?”

Max dropped the matter, though he badly wanted a chin with Sam. He needed to tell him that his faked record had been breached and to consult with him as to probable consequences.

Of course, he considered as he returned to his stateroom, there wasn’t a thing to keep him from carrying out his orginal intention of jumping ship with Sam at Nova Terra—except that it was now no longer possible to imagine it. He was an officer.

They were approaching the middle transition; the control room went on watch-and-watch. But still Dr. Hendrix did not take the watch; Simes and Jones alternated. The Astrogator stood every watch with Max but required him to do the work and carry the responsibility himself. Max sweated it out and learned that practice problems and study of theory were nothing like having it matter when he had no way and no time to check. You had to be right, every time—and there was always doubt.

When, during the last twenty-four hours, the Worry gang went on continuous watch, Max thought that Dr. Hendrix would push him aside. But he did not. Simes was pushed aside, yes, but Max took the worry seat, with Hendrix bending over him and watching everything he did, but not interfering. “Great

heavens!” Max thought. “Surely he isn’t going to let me make this transition? I’m not ready for it, not yet. I’ll never keep up.”

But data was coming too fast for further worry; he had to keep processing it, see the answers, and make decisions. It was not until twenty minutes before transition that Hendrix pushed him aside without a word and took over. Max was still recovering when they burst through into a new sky.

The last approach-and-transition before Halcyon was much like the second. There were a couple of weeks of easy watches, headed by Simes, Jones, and Kovak, with both Kelly and Hendrix getting a little rest. Max liked it, both on and off watch. On watch he continued to practice, trying to achieve the inhuman speed of Dr. Hendrix. Off watch he slept and enjoyed himself. The Bifrost Lounge no longer terrified him. He now played three-dee with Ellie there, with Chipsie on his shoulder, giving advice. Ellie had long since waved her eyes at Captain Blaine and convinced him that a pet so well behaved, so well house-broken, and in particular so well mannered (she had trained the spider puppy to say, “Good morning, Captain,” whenever it saw Blaine)—in all respects so civilized should not be forced to live in a cage.

Max had even learned to swap feeble repartee with Mrs. Daigler, thinking up remarks and waiting for a chance. Ellie was threatening to teach him to dance, although he managed to stall her until resumption of watch-and-watch before transition made it impossible.

Again he found himself shoved into the worry seat for the last part of the approach. This time Dr. Hendrix did not displace him until less than ten minutes before burst through.

On the easy drop down to Halcyon Ellie’s determination won out. Max learned to dance. He found that he liked it. He had good rhythm, did not forget her instructions, and Ellie was a fragrant, pleasant armful. “I’ve done all I can,” she announced at last. “You’re the best dancer with two left feet I’ve ever met.” She required him to dance with Rebecca Weberbauer and with Mrs. Daigler. Mrs. Daigler wasn’t so bad after all, as long as she kept her mouth shut—and Rebecca was cute. He began to look forward to the fleshpots of Halcyon, that being Ellie’s stated reason for instructing him; he was to be conscripted as her escort.

Only one thing marred the final leg; Sam was in trouble. Max did not find out about it until after the trouble broke. He got up early to go on watch and found Sam cleaning decks in the silent passages of passenger quarters. He was in dungarees and wearing no shield. “Sam!”

Sam looked up. “Oh. Hi, kid. Keep your voice down, you’ll wake people.” “But Sam, what in Ned are you doing?”

“Me? I seem to be manicuring this deck.” “But why?”

Sam leaned on his broom. “Well, kid, it’s like this. The Captain and I had a difference of opinion. He won.”

“You’ve been busted?”

“Your intuition is dazzling.” “What happened?”

“Max, the less you know about it the better. Don’t fret. Sic transit gloria mundi—Tuesday is usually worse.”

“But—See here, I’ve got to grab chow and go on watch. I’ll look you up later.” “Don’t.”

Max got the story from Noguchi. Sam, it appeared, had set up a casino in an empty storeroom. He might have gotten away with it indefinitely had it remained a cards-and-dice set up, with a rake off for the house—the “house” being the Chief Master-at-Arms. But Sam had added a roulette wheel and that had been his downfall; Giordano had come to suspect that the wheel had less of the element of chance than was customary in better-run gambling halls—and had voiced his suspicion to Chief Clerk Kuiper. From there events took an inevitable course.

“When did he put in this wheel?”

“Right after we raised from Garson’s Planet.” Max thought uncomfortably of the “tea cozies” he had helped Sam bring aboard there. Noguchi went on, “Uh, didn’t you know, sir? I thought you and him were pretty close before—you know, before you moved up decks.”

Max avoided an answer and dug into the log. He found it under the previous day, added by Bennett to Simes’ log. Sam was restricted to the ship for the rest of the trip, final disciplinary action postponed until return to Terra.

That last seemed to mean that Captain Blaine intended to give Sam a chance to show good behavior before making his recommendation to the guilds—the Captain was a sweet old guy, he certainly was. But “restricted”? Then Sam would never get his chance to run away from whatever it was he was running away from. He located Sam as soon as he was off watch, digging him out of his bunkroom and taking him out into the corridor.

Sam looked at him sourly. “I thought I told you not to look me up?”

“Never mind! Sam, I’m worried about you. This’restricted’ angle… it means you won’t have a chance to—”

“Shut up!” It was a whisper but Max shut up. “Now look here,” Sam went on, “Forget it. I got my stake and that’s the important point.”

“But…”

“Do you think they can seal this ship tight enough to keep me in when I decide to leave? Now stay away from me. You’re teacher’s pet and I want to keep it that way. I don’t want you lectured about bad companions, meaning me.”

“But I want to help, Sam. I…”

“Will you kindly get up above ‘C’ deck where you belong?”

He did not see Sam again that leg; presently he stopped worrying about it. Hendrix required him to compute the planetary approach—child’s play compared with a transition—then placed Max at the conn

when they grounded. This was a titulary responsibility since it was precomputed and done on radar-automatic. Max sat with the controls under his hands, ready to override the autopilot—and

Hendrix stood behind him, ready to override him—but there was no need; the Asgard came down by the plotted curve as easy as descending stairs. The thrust beams bit in and Max reported, “Grounded, sir, on schedule.”

“Secure.”

Max spoke into the ship’s announcers. “Secure power room. Secure all space details. Dirtside routine, second section.”

Of the four days they were there he spent the first three nominally supervising, and actually learning from, Kovak in the routine ninety-day inspection and overhaul of control room instruments. Ellie was vexed with him, as she had had different plans. But on the last day he hit dirt with her, chaperoned by Mr. and Mrs. Mendoza.

It was a wonderful holiday. Compared with Terra, Halcyon is a bleak place and Bonaparte is not much of a city. Nevertheless Halcyon is an earth-type planet with breathable air, and the party from the Asgard had not set foot outdoors since Earthport, months of time and unthinkable light-years behind. The season was postaphelion, midsummer, Nu Pegasi burned warm and bright in blue sky. Mr. Mendoza hired a carriage and they drove out into green, rolling countryside behind four snuffling little Halcyon ponies.

There they visited a native pueblo, a great beehive structure of mud, conoid on conoid, and bought souvenirs—two of which turned out to have “Made in Japan” stamped inconspicuously on them.

Their driver, Herr Eisenberg, interpreted for them. The native who sold the souvenirs kept swiveling his eyes, one after another, at Mrs. Mendoza. He twittered some remarks to the driver, who guffawed. “What does he say?” she asked.

“He was complimenting you.” “So? But how?”

“Well… he says you are for a slow fire and no need for seasoning; you’d cook up nicely. And he’d do it, too,” the colonist added, “if you stayed here after dark.”

Mrs. Mendoza gave a little scream. “You didn’t tell us they were cannibals. Josie, take me back!”

Herr Eisenberg looked horrified. “Cannibals? Oh, no, lady! They don’t eat each other, they just eat us—when they can get us, that is. But there hasn’t been an incident in twenty years.”

“But that’s worse!”

“No, it isn’t, lady. Look at it from their viewpoint. They’re civilized. This old fellow would never break one of their laws. But to them we are just so much prime beef, unfortunately hard to catch.”

“Take us back at once! Why, there are hundreds of them, and only five of us.”

“Thousands, lady. But you are safe as long as Gneeri is shining.” He gestured at Nu Pegasi. “It’s bad juju to kill meat during daylight. The spirit stays around to haunt.”

Despite his reassurances the party started back. Max noticed that Eldreth had been unfrightened. He himself had wondered what had kept the natives from tying them up until dark.

They dined at the Josephine, Bonaparte’s best (and only) hotel. But there was a real three-piece

orchestra, a dance floor, and food that was at least a welcome change from the menus of the Bifrost Lounge. Many ship’s passengers and several officers were there; it made a jolly party. Ellie made Max dance between each course. He even got up his nerve to ask Mrs. Daigler for a dance, once she came over and suggested it.

During the intermission Eldreth steered him out on the adjacent balcony. There she looked up at him. “You leave that Daigler hussy alone, hear me?”

“Huh? I didn’t do anything.”

She suddenly smiled warmly. “Of course not, you big sweet ninny. But Ellie has to take care of you.” She turned and leaned on the rail. Halcyon’s early night had fallen, her three moons were chasing each other. The sky blazed with more stars than can be seen in Terra’s lonely neighborhood. Max pointed out the strange constellations and showed her the departure direction they would take tomorrow to reach transition for Nova Terra. He had learned four new skies so far, knew them as well as he knew the one that hung over the Ozarks—and he would learn many more. He was already studying, from the charts, other skies they would be in this trip.

“Oh, Max, isn’t it lovely!”

“Sure is. Say, there’s a meteor. They’re scarce here, mighty scarce.” “Make a wish! Make a wish quick!”

“Okay.” He wished that he would get off easy when it came to the showdown. Then he decided that wasn’t right; he ought to wish old Sam out of his jam—not that he believed in it, either way.

She turned and faced him. “What did you wish?”

“Huh?” He was suddenly self-conscious. “Oh, mustn’t tell, that spoils it.” “All right. But I’ll bet you get your wish,” she added softly.

He thought for a moment that he could have kissed her, right then, if he had played his cards right. But the moment passed and they went inside. The feeling stayed with him on the ride back, made him elated. It was a good old world, even if there were some tough spots. Here he was, practically a junior astrogator on his first trip—and it hadn’t been more than weeks since he was borrowing McAllister’s mules to work the crop and going barefooted a lot to save shoes.

And yet here he was in uniform, riding beside the best-dressed girl in four planets.

He fingered the insignia on his chest. Marrying Ellie wasn’t such an impossible idea now that he was an officer—if he ever decided to marry. Maybe her old man wouldn’t consider an officer—and an astrogator at that—completely ineligible. Ellie wasn’t bad; she had spunk and she played a fair game of three-dee—most girls wouldn’t even be able to learn the rules.

He was still in a warm glow when they reached the ship and were hoisted in. Kelly met him at the lock. “Mr. Jones—the Captain wants to see you.”

“Huh? Oh. G’night, Ellie—I’ll have to run.” He hurried after Kelly. “What’s up?” “Dr. Hendrix is dead.”

TRANSITION

Max questioned Kelly as they hurried up to the Captain’s cabin.

“I don’t know. I just don’t know, Max.” Kelly seemed close to tears. “I saw him before dinner—he came into the Hole to check what you and Kovak have been doing. He seemed all right. But the Purser found him dead in his bunk, the middle of the evening.” He added worriedly, “I don’t know what is going to happen now.”

“What do you mean?”

“Well… if I was captain, I’d lay over and send for a relief. But I don’t know.”

For the first time Max realized that this change would make Mr. Simes the astrogator. “How long would it take to get a relief?”

“Figure it out. The Dragon is about three months behind us; she’d pick up our mail. A year about.” In the contradictions of interstellar travel the ships themselves were the fastest method of communication; a radio message (had such a silly thing been attempted) would have taken more than two centuries to reach Earth, a like time for a reply.

Max found the Captain’s cabin open and crowded with officers, all standing around, saying nothing, and looking solemn; he slipped inside without announcing himself and tried to be inconspicuous. Kelly did not go in. Captain Blaine sat at his desk with head bent. Several stragglers, members of the gay party at the Josephine, arrived after Max; First Officer Walther checked them off with his eyes, then said quietly to Blaine, “Ship’s officers all present, sir.”

Captain Blaine raised his head and Max was shocked to see how old he looked. “Gentlemen,” he said in a low voice, “you know the sad news. Dr. Hendrix was found dead in his room this evening. Heart attack. The Surgeon tells me that he passed on about two hours before he was found—and that his death was probably almost painless.”

His voice broke, then he continued. “Brother Hendrix will be placed in his last orbit two hours after we raise ship tomorrow. That is how he would have wished it, the Galaxy was his home. He gave unstintingly of himself that men should ride safely among the stars.”

He paused so long that Max thought that the old man had forgotten that others were present. But when he resumed his voice was almost brisk. “That is all, gentlemen. Astrogators will please remain.”

Max was not sure that he counted as an astrogator but the use of the plural decided him. First Officer Walther started to leave; Blaine called him back. When the four were alone, the Captain said, “Mr.

Simes, you will take over head-of-department duties at once. Mr., uh… “; his eyes rested on Max. “Jones, sir.”

“Mr. Jones will assume your routine duties, of course. This tragedy leaves you short-handed; for the rest of this trip I will stand a regular watch.”

Simes spoke up. “That isn’t necessary, Captain. We’ll make out.”

“Perhaps. But those are my wishes.” “Aye aye, sir.”

“Prepare to lift on schedule. Any questions?” “No, sir.”

“Goodnight, gentlemen. Dutch, stay a moment, please?”

Outside the door Simes started to turn away; Max stopped him. “Mr. Simes?” “Huh? Yes?”

“Any instructions for me, sir?”

Simes looked him over. “You stand your watch, Mister. I’ll handle everything else.”

The next morning Max found a crepe armband on his desk and a notice from the First Officer that mourning would continue for one week. The Asgard raised on schedule, with the Captain sitting quietly in his chair, with Simes at the control console. Max stood near the Captain, with nothing to do. Aside from the absence of Hendrix all was routine—except that Kelly was quite bad-tempered. Simes, Max admitted, handled the maneuver smartly—but it was precomputed, anyone could have done it; shucks, Ellie could have been sitting there. Or Chipsie.

Max had the first watch. Simes left him after enjoining him not to deviate from schedule without phoning him first. An hour later Kovak relieved Max temporarily and Max hurried to the passenger lock. There were five honorary pall bearers, the Captain, Mr. Walther, Simes, Max, and Kelly. Behind them, crowding the passageways, were officers and most of the crew. Max saw no passengers.

The inner door of the lock was opened; two steward’s mates carried the body in and placed it against the outer door. Max was relieved to see that it had been wrapped in a shroud covering it completely. They closed the inner door and withdrew.

The Captain stood facing the door, with Simes and the First Officer standing guard on one side of the door and, on the other side facing them, Max and Kelly. The Captain flung one word over his shoulder: “Pressure!”

Behind stood Bennett wearing a portable phone; he relayed the word to the power room. The pressure gauge over the lock door showed one atmosphere; now it started to crawl upward. The Captain took a little book from his pocket and began to read the service for the dead. Feeling that he could not stand to listen Max watched the pressure gauge. Steadily it climbed. Max reflected that the ship had already passed escape speed for the Nu Pegasi system before he had been relieved; the body would take an open orbit.

The gauge reached ten atmospheres; Captain Blaine closed his book. “Warn the passengers,” he said to Bennett.

Shortly the loudspeakers sounded: “All hands! All passengers! The ship will be in free fall for thirty seconds. Anchor yourselves and do not change position.” Max reached behind him, found one of the many hand holds always present around an airlock and pulled down so that his grip would keep his feet in contact with the deck. A warning siren howled—then suddenly he was weightless as the ship’s boost and the artificial anomalous gravity field were both cut out.

He heard the Captain say loudly and firmly, “‘Ashes to ashes, dust to dust.’ Let the body be cast forth.”

The pressure gauge dropped suddenly to zero and Dr. Hendrix was launched into space, there to roam the stars for all eternity.

Max felt weight again as the power room brought them back to ship-normal. The pressure gauge showed gradually building pressure. People turned away and left, their voices murmuring low. Max went up and relieved the watch.

The following morning Simes moved into Dr. Hendrix’s cabin. There was trouble with First Officer Walther about it—Max heard only third-hand reports—but the Captain upheld Simes; he stayed in the Astrogator’s quarters. The Worry Hole settled into routine not much different from what had gone before, except that Simes’ personality spread through everything. There had never been a posted watch list before; Kelly had always assigned the crewmen and the Doctor had simply informed the top-watch standers orally of his wishes. Now a typed list appeared:

FIRST WATCH Randolph Simes, Astrogator SECOND WATCH Captain Blaine

(M. Jones, acting apprentice, under instruction) THIRD WATCH Kelly, Ch. Cmptrmn. (signed) Randolph Simes, Astrogator

Below was a four-watch list for crewmen, also signed by Simes.

Max looked at it and shrugged it off. It was obvious that Simes had it in for him, though he could not figure out why. It was equally obvious that Simes did not intend to let him do any astrogation and that Max’s chances of being accepted in time as a fullfledged brother had now, with the death of Dr. Hendrix, sunk to zero. Unless, of course, Captain Blaine overrode Simes and forced a favorable report, which was extremely unlikely. Max again began to think of going along with Sam at Nova Terra.

Well, in the meantime he’d stand his watches and try to stay out of trouble. That was that.

There was only one transition to be made between Halcyon and Nova Terra, a leap of ninety-seven light-years three weeks out from Halcyon at a boost of seventeen gravities—the boost always depended on the distance from the star to the gateway, since the purpose was to arrive there just under the speed of light. The Worry Hole stayed on a watch in three for the officers and one in four for crewmen for the first two weeks. Captain Blaine showed up each watch but seemed quite willing for Max to carry out the light duties of that portion of the leg. He gave little instruction—when he did, he was likely to wander off into anecdotes, amusing but not useful.

Max tried to continue his own drill, carrying out the routine middle o’ watch computation as if it were the frantic matter it would have been near transition. Captain Blaine watched him, then said mildly, “Don’t get yourself into a state, son. Always program on paper when possible—always. And take time to check.

Hurrying causes mistakes.” Max said nothing, thinking of Dr. Hendrix, but carried out the orders.

At the end of his first watch under the Captain Max signed the log as usual. When Simes came on watch four hours later, Max was dug out of bed and required to report to the control room. Simes pointed to the log. “What’s the idea, Mister?”

“Of what, sir?”

“Signing the log. You weren’t officer of the watch.”

“Well, sir, the Captain seemed to expect it. I’ve signed a lot of logs and he’s always approved them in the past.”

“Hmm—I’ll speak to the Captain. Go below.”

At the end of his next watch, having received no instructions, Max prepared the log and took it to the Captain. “Sir? Do you want to sign this? Or shall I?”

“Eh?” Blaine looked at it. “Oh, I suppose I had better. Always let a head of department do things his own way if possible. Remember that when you are a skipper, son.” He signed it.

That settled it until the Captain started a habit of not being there, first for short periods, then for longer. The time came when he was absent at the end of the watch; Max phoned Mr. Simes. “Sir, the Captain isn’t here. What do you want me to do?”

“So what? It’s his privilege to leave the control room.”

“But Kelly is ready to relieve and the log isn’t signed. Shall I sign it? Or shall I phone him?” “Phone him? Jumping jeepers, no! Are you crazy?”

“What are your orders, sir?”

Simes was silent, then answered, “Print his name, then sign under it ‘By direction’—and after this use your head.”

They changed to watch-and-watch for the last week. Max continued under the Captain; Kelly assisted Simes. Once the shift was made Blaine became meticulous about being present in the control room and, when Max started to make the first computation, gently pushed him aside. “I had better take over, lad. We’re getting closer now.”

So Max assisted him—and became horrifyingly aware that the Captain was not the man he must once have been. His knowledge of theory was sound and he knew all the short cuts—but his mind tended to wander. Twice in one computation Max had to remind him diplomatically of details. Yet the Old Man seemed unaware of it, was quite cheerful.

It went on that way. Max began to pray that the Captain would let the new Astrogator make the transition himself—much as he despised Simes. He wanted to discuss his misgivings with Kelly—there was no one else with whom it would have been possible—but Kelly was on the opposite watch with Simes. There was nothing to do but worry.

When the last day arrived he discovered that Captain Blaine neither intended to take the ship through himself nor to let Simes do it; he had a system of his own. When they were all in the Worry Hole the Captain said, “I want to show you all a wrinkle that takes the strain out of astrogating. With no reflections on our dear brother, Dr. Hendrix, while he was a great astrogator, none better—nevertheless he worked too hard. Now here is a method taught me by my own master. Kelly, if you will have the remote controls

led out, please.”

He had them seat themselves in a half circle, himself, Simes, and Max, around the saddle of the computer, with Kelly in the saddle. Each of them was armed with programming forms and Captain Blaine held the remote-control switches in his lap. “Now the idea is for us each to work a sight in succession, first me, then Mr. Simes, then Mr. Jones. That way we keep the data flowing without strain. All right, lads, start pitching. Transition stations everyone.”

They made a dry run, then the Captain stood up. “Call me, Mr. Simes, two hours before transition. I believe you and Mr. Jones will find that this method gives you enough rest in the meantime.”

“Yes, sir. But Captain—may I make a suggestion?” “Eh? Certainly, sir.”

“This is a fine system, but I suggest that Kelly be put in the astrogating group instead of Jones. Jones is not experienced. We can put Kovak in the saddle and Lundy on the book.”

Blaine shook his head. “No. Accuracy is everything, sir, so we must have our best operator at the computer. As for Mr. Jones, this is how he must get experience—if he gets rattled, you and I can always fill in for him.” He started to leave, then added, “But Kovak can alternate with Kelly until I return. Mustn’t have anyone getting tired, that way mistakes are made.”

“Aye aye, sir.”

Simes said nothing more to Max. They started working sights, alternately, using written programming on printed forms. The sights were coming in on a twenty-minute schedule, giving each of them forty minutes for a problem if he cared to take it. Max began to think that the Captain’s method did have its points.

Certainly Dr. Hendrix had worked himself to death—ships did not wear out but men did.

He had plenty of time to work not only his own problems, but those of Simes. The data came out orally and there was nothing to keep Max from programming Simes’ sights in his head and checking on what went into the computer. So far as he could see Simes was doing all right—though of course there was no real strain involved, not yet.

They ate sandwiches and drank coffee where they sat, leaving their seats only for five minutes or so at a time. Captain Blaine showed up twenty minutes early. He smiled and said cheerily, “Everyone happy and relaxed? Now we really get down to it. I have just time for a cup of coffee.”

A few minutes later he sat down and took over the control switches from Simes. The sights were coming through on a ten-minute schedule now, still ample time. Max continued to work them all, his own on paper and the others in his head. He was always through in time to catch the data for the next sight, program it mentally and check translations as Lundy thumbed the book. It gave him a running picture of how closely they were in the groove, how much hunting they were having to do in approaching their invisible target. It seemed to him that Simes tended to over-correct and that the Captain was somewhat optimistically under-correcting, but neither was so far out as to endanger the ship.

Maybe he was wrong about the Captain—the Old Man seemed to steady down when it mattered. His own corrections, he was glad to see, the Captain applied without question.

After more than an hour with transition forty-five. minutes away Captain Blaine looked up and said, “All right, boys, we’re getting close. Slam them to us as fast as you can now.”

Smythe and Kovak, with Noguchi and Bennett running for them, slipped into high gear; data poured out

in a steady stream. Max continued to work every sight, programming his own in his head and calling off figures faster than he wrote them down. He noticed that Simes was sweating, sometimes erasing and starting over. But the figures Simes called out agreed with what Max thought they should be, from his own mental programming. Captain Blaine seemed relaxed, though he had not speeded up materially and sometimes was still using the computer when Max was ready to pour his sight into it.

At one point Simes spoke too rapidly, slurring his figures, Lundy promptly said, “Repeat, sir!”

“Confound it! Clean out your ears!” But Simes repeated. The Captain glanced up, then bent back to his own problem. As soon as the computer was free Captain Blaine called his own figures to Lundy. Max had already set up the Captain’s sight in his mind, was subconsciously listening while watching Simes.

An alarm bell rang in his mind. “Captain! I don’t check you!” Captain Blaine stopped. “Eh?”

“That program is wrong, sir.”

The Captain did not seem angry. He simply handed his programming board to Simes. “Check me, sir.” Simes glanced quickly at the figures. “I check you, sir!”

Blaine said, “Drop out, Jones. Mr. Simes and I will finish.” “But—”

“Drop out, Mister!”

Max got out of the circle, seething inside. Simes’ check of the Captain’s set up hadn’t meant anything, unless Simes had listened to and remembered (as Max had) the data as it came in. The Captain had transposed an eight and a three in the fifth and sixth decimal places—the set up would look okay unless one knew the correct figures. If Simes had even bothered to check it, he added bitterly.

But Max could not keep from noting and processing the data in his mind. Simes’ next sight should catch the Captain’s error; his correction should repair it. It would be a big correction, Max knew; traveling just under the speed of light the ship clipped a million miles in less than six seconds.

Max could see Simes hesitate as the lights from his next sight popped up on the computer and Lundy translated them back. Why, the man looked frightened! The correction called for would push the ship extremely close to critical speed—Simes paused, then ordered less than half the amount that Max believed was needed.

Blaine applied it and went on with his next problem. When the answer came out the error, multiplied by time and unthinkable velocity, was more glaring than ever. The Captain threw Simes a glance of astonishment, then promptly made a correction. Max could not tell what it was, since it was done without words by means of the switch in his lap.

Simes licked the dryness from his lips. “Captain?”

“Time for just one more sight,” Blaine answered. “I’ll take it myself, Mr. Simes.”

The data were passed to him, he started to lay his problem out on the form. Max saw him erase, then look up; Max followed his gaze. The pre-set on the chronometer above the computer showed the seconds trickling away. “Stand by!” Blaine announced.

Max looked up. The stars were doing the crawling together that marked the last moments before transition. Captain Blaine must have pressed the second switch, the one that would kick them over, while Max was watching, for the stars suddenly blinked out and were replaced instantaneously by another starry firmament, normal in appearance.

The Captain lounged back, looked up. “Well,” he said happily, “I see we made it again.” He got up and headed for the hatch, saying over his shoulder, “Call me when you have laid us in the groove, Mr. Simes.” He disappeared down the hatch.

Max looked up again, trying to recall from the charts he had studied just what piece of this new sky they were facing. Kelly was looking up, too. “Yes, we came through,” Max heard him mutter. “But where?”

Simes also had been looking at the sky. Now he swung around angrily. “What do you mean?” “What I said,” Kelly insisted. “That’s not any sky I ever saw before.”

“Nonsense, man! You just haven’t oriented yourself. Everybody knows that a piece of sky can look strange when you first glance at it. Get out the flat charts for this area; we’ll find our landmarks quickly enough.”

“They are out, sir. Noguchi.”

It took only minutes to convince everyone else in the control room that Kelly was right, only a little longer to convince even Simes. He finally looked up from the charts with a face greenish white. “Not a word to anybody,” he said. “That’s an order—and I’ll bust any man who slips. Kelly, take the watch.”

“Aye aye, sir.”

“I’ll be in the Captain’s cabin.” He went below to tell Blaine that the Asgard had come out in unknown space—was lost.

ANYWHERE

Two hours later Max climbed wearily up into the Worry Hole. He had just had a bad half hour, telling the truth as he saw it. Captain Blaine had been disinclined to blame anyone but himself, but had seemed stunned and bewildered. Simes had been nasty. His unstated logic seemed to be that, since it could not possibly be his fault and since it was unthinkable to blame the Captain, it must be Max’s fault. Since Max had been relieved some minutes before transition, his theory seemed to be that Max had caused it by making a disturbance as they were approaching the critical instant—joggled their elbows, so to speak.

Mr. Walther had been present, a mute judge. They spoke of matters’ outside his profession; he had seemed to be studying their faces. Max had stuck doggedly to his story.

He found Kelly still on watch. Kovak and Smythe were taking spectrograms; Noguchi and Lundy were busy with papers. “Want to be relieved?” he said to Kelly.

Kelly looked troubled. “I’m sorry, but you can’t.” “Huh?”

“Mr. Simes phoned while you were on your way up. He says you are not to stand duty until further notice.”

“He did? Well, I’m not surprised.”

“He also said that you were to stay out of the control room.”

Max made a violent statement about Simes. He added, “Well, it was nice while it lasted. Be seeing you.”

He turned away but Kelly stopped him. “Don’t be in a hurry, Max. He won’t be up for a while. I want to know what happened. From the computer I can’t tell what goes on.”

Max told him, drawing on his memory for the figures. Kelly nodded at last. “That confirms what I’ve been able to dig out. The Captain flubbed with a transposition—easy to do. Then Simes didn’t have the guts to make a big correction when it came around to him. But one more thing you don’t know. Neither do they—yet.”

“Huh? What?”

“The power room recorder shows it. Guenther had the watch down there and gave it to me over the phone. No, I didn’t tell him anything was wrong. I just asked for the record; that’s not unusual. By the way, any excitement down below? Passengers blowing their tops?”

“Not when I came up.”

“Won’t be long. They can’t keep this quiet forever. Back to my story—things were already sour but the Captain had one last chance. He applied the correction and a whopping big one. But he applied it with the wrong sign, just backwards.”

Profanity was too weak. All Max could say was, “Oh, my!” “Yeah. Well, there’s the devil to pay and him out to lunch.” “Any idea where we are?”

Kelly pointed to Kovak and Smythe at the spectrostellograph. “They’re fishing, but no bites. Bright stars first, B-types and O’s. But there is nothing that matches the catalogues so far.”

Noguchi and Lundy were using a hand camera. Max asked, “What are they doing?”

“Photographing the records. All of ’em—programming sheets, the rough data from the chartsmen, the computer tape, everything.”

“What good will that do?”

“Maybe none. But sometimes records get lost. Sometimes they even get changed. But not this time. I’m going to have a set of my own.”

The unpleasant implications of Kelly’s comments were sinking into Max’s mind when Noguchi looked up. “That’s all, Boss.”

“Good.” Kelly turned to Max. “Do me a favor. Stick those films in your pocket and take them with you. I want them out of here. I’ll pick them up later.”

“Well… all right.” While Noguchi was unloading the camera Max added to Kelly, “How long do you

think it will take to figure out where we are, checking spectra?”

Kelly looked more troubled than ever. “Max, what makes you think there is anything to find?” “I don’t follow you.”

“Why should anything out there… ” He made a sweeping gesture. “… match up with any charts we’ve got here?”

“You mean,” Max said slowly, “that we might not be in our own galaxy at all? Maybe in another, like the Andromeda Nebula, say?”

“Maybe. But that’s not all. Look, Max, I’m no theoretical physicist, that’s sure, but so far as I know all that theory says is that when you pass the speed of light you have to go out of your own space, somewhere else. You’ve become irrelevant and it won’t hold you. But where you go, unless you are set just right for a Horst congruency, that’s another matter. The theory doesn’t say. Does it?”

Max’s head started to ache. “Gee, I don’t know.”

“Neither do I. But since we weren’t set to duck back into our own space at another point, we may be anywhere. And I mean anywhere. We may be in some other space-time totally unconnected with our own.” He glanced up at the strange stars.

Max went below feeling worse than ever. He passed Simes going up; the Astrogator scowled at him but did not say anything. When Max reached his stateroom he put the films in a drawer—then thought about it, removed the drawer and cached them in dead space behind the drawer.

Max stayed in his room and worried. He fretted over being kept out of the control room, wanting very badly himself to check the sky for known stars. B- and O-type stars—well, that was all right, but there were half a dozen other ways. Globular star clusters, now—they’d be easy to identify; snag four of them and you’d know where you were as clear as reading a street sign. Then it would be just a case of fining it down, because you’d know what to look for and where. After which you’d high-tail it for the nearest charted congruency, whether it took you a week or a year. The ship couldn’t really be lost.

But suppose they weren’t even in the right galaxy?

The thought dismayed him. If that were the case, they’d never get home before the end of time. It was chased out by another thought—suppose Kelly’s suspicion had been correct, that this was an entirely different universe, another system of space and time? What then? He had read enough philosophical fancies to know that there was no theoretical reason for such to be impossible; the Designer might have created an infinity of universes, perhaps all pretty much alike—or perhaps as different as cheese and Wednesday. Millions, billions of them, all side by side from a multidimensional point of view.

Another universe might have different laws, a different speed of light, different gravitational ballistics, a different time rate—why they might get back to find that ten million years had passed and Earth burnt to a cinder!

But the light over his desk burned steadily, his heart pumped as always, obeying familiar laws of hydraulics, his chair pressed up against him—if this was a different sort of space the differences weren’t obvious. And if it was a different universe, there was nothing to be done about it.

A knock came at the door, he let Kelly in and gave him the chair, himself sitting on the bed. “Any news?” “No. Golly I’m tired. Got those pix?”

Max took out the drawer, fished around behind it, gave them to Kelly. “Look, Chief, I got an idea.” “Spill it.”

“Let’s assume that we’re in the right galaxy, because—” “Because if we ain’t, there isn’t any point in trying!”

“Well, yes. All right, we’re in the Milky Way. So we look around, make quick sample star counts and estimate the distance and direction of the center. Then we try to identify spectra of stars in that direction, after deciding what ones we ought to look for and figuring apparent magnitudes for estimated distance. That would…”

“—save a lot of time,” Kelly finished wearily. “Don’t teach your grandpop how to suck eggs. What the deuce do you think I’ve been doing?”

“Oh. Sorry.”

“Don’t be. It’s more than our revered boss thought of. While I been trying to work he’s been bellyachin’ around, finding fault, and trying to get me to say that he was dead right in everything—worrying about himself instead of worrying about his ship. Pfui! By the way, he grabbed the records just like I thought he would—’to show the Captain.’ He says.” Kelly stood up. “I’d better go.”

“Don’t rush. I’ll ring for coffee.”

“Running out of my ears now.” Kelly took the films from his pocket and looked at them dutifully. “I had Noggy make two shots of everything; this is a double set. That’s a good hidey-hole you’ve got. What say we stick one set in there and let it cool? Never can tell.”

“Kelly, you aren’t really expecting trouble over those records? Seems to me we’ve got trouble enough with the ship being lost.”

“Huh? Max, you’re going to make a good officer some day. But you’re innocent. Now I’m a suspenders and belt man. I like to take as few chances as possible. Doc Hendrix—rest his soul!—was the same way.” Kelly waited until Max had returned the spare set to the space back of the drawer, then started to leave. He paused.

“One thing I forgot to tell you, Max. We happened to come out pretty close to a star and a G-type at that.”

“Oh.” Max considered it. “Not one we know?”

“Of course not, or I would have said so. Haven’t sized it yet, but figuring normal range in the G’s we could reach it in not less than four weeks, not more than a year, at high boost. Thought you’d like to know.”

“Well, yes. Thanks. But I can’t see that it makes much difference.”

“No? Doesn’t it seem like a good idea to have a Sol-type star, with maybe Earth-type planets around it, not far off?”

“Well…”

“It does to me. The Adam-and-Eve business is rugged at best—and we might be in for a long stay.” With that he left.

No steward’s mate came to tell Max it was time for dinner; when he noticed that it was past time, he went to the lounge. Most of the passengers were already seated, although some were standing around talking. It was impossible to miss the feeling of unrest in the room. Max saw that the Captain was not at his table, nor was Mr. Walther at his. As he headed for his own table a Mr. Hornsby tried to grab his arm. Max shook him off. “Sorry, sir. I’m in a hurry.”

“Wait a minute! I want to ask you…”

“Sorry.” He hurried on and sat down. Chief Engineer Compagnon was not at the table, but the usual passengers were present. Max said, “Good evening,” and reached for his soup spoon, just to keep busy.

There was no soup to be toyed with, nor were there rolls and butter on the table, although it was ten minutes past the hour. Such things simply did not happen in Chief Steward Dumont’s jurisdiction. Come to think about it, Dumont was not in sight.

Mrs. Daigler put a hand on his arm. “Max? Tell me, dear—what is this silly rumor going around?” Max tried to maintain a poker face. “What rumor, ma’am?”

“You must have heard it! After all, you’re in astrogation. They say that the Captain turned the wrong corner or something and that we’re falling into a star.”

Max tried to give a convincing chuckle. “Who told you that? Whoever it was probably couldn’t tell a star from his elbow.”

“You wouldn’t fool your Aunt Maggie?”

“I can assure you positively that the Asgard is not falling into a star. Not even a small star.” He turned in his chair. “But it does look like something’s fallen into the galley. Dinner is awfully late.”

He remained turned, trying to avoid further questions. It did not work. Mr. Arthur called out sharply, “Mr. Jones!”

He turned back. “Yes?”

“Why stall us? I have been informed authoritatively that the ship is lost.” Max tried to look puzzled. “I don’t follow you. We seem to be in it.”

Mr. Arthur snorted. “You know what I mean! Something went wrong with that whatyoumucallit—transition. We’re lost.”

Max put on a school-teacherish manner, ticking off points on his fingers. “Mr. Arthur, I assure you that the ship is in absolutely no danger. As for being lost, I assure you just as firmly that if we are, the Captain neglected to tell me so. I was in the control room at transition and he seemed quite satisfied with it.

Would you mind telling me who has been spreading this story? It’s a serious thing, starting such rumors. People have been known to panic.”

“Well… it was one of the crew. I don’t know his name.”

Max nodded. “I thought so. Now in my experience in space… ” He went on, quoting from his uncle. “… I have learned that the only thing faster than light is the speed with which a story can spread through a ship. It doesn’t have to have any foundation, it spreads just the same.” He looked around again. “I wonder what has happened to dinner? I’d hate to go on watch hungry.”

Mrs. Weberbauer said nervously, “Then we are all right, Maxie?” “We’re all right, ma’am.”

Mrs. Daigler leaned toward him again and whispered, “Then why are you sweating, Max?”

He was saved by a steward’s mate rushing up to the table and starting to deal out plates of soup. Max stopped him when he came around and said quietly, “Jim, where’s Dumont?”

Out of the corner of his mouth the waiter said, “Cooking.” “Huh? Where’s the chef?”

The steward’s mate leaned down and whispered, “Frenchy is boiled as a judge. I guess he couldn’t take it. You know.”

Max let him go. Mr. Arthur said sharply, “What did he tell you?”

“I was trying to find out what went wrong in the galley,” Max answered. “Seems the cook incapacitated himself.” He spooned up a mouthful of the soup. “From the taste I’d say he had burned his thumb in this so-called chowder. Pretty bad, isn’t it?”

Max was saved from further evasions by the arrival of the First Officer. Mr. Walther went to the Captain’s table and banged on a glass with a spoon. “Your attention, please!”

He waited for quiet, then took a paper from his pocket. “I have an announcement to make on behalf of the Captain. Those of you who are familiar with the theory of astrogation are aware that space is changing constantly, due to the motions of the stars, and that consequently no two trips are exactly alike. Sometimes it is necessary, for this reason, to make certain changes in a ship’s routing. Such a circumstance has arisen in this present trip and the Asgard will be somewhat delayed in reaching her next destination. We regret this, but we can’t change the laws of nature. We hope that you will treat it as a minor inconvenience—or even as additional vacation, in the friendly and comfortable atmosphere of our ship. Please remember, too, that the insurance policy accompanying your ticket covers you completely against loss or damage you may be cost through the ship being behind schedule.”

He put away the paper; Max had the impression that he had not actually been reading from it. “That is all that the Captain had to say, but I want to add something myself. It has come to my attention that someone has been spreading silly rumors about this minor change in schedule. I am sorry if any of you have been alarmed thereby and I assure you that I will take very strict measures if the originator can be identified.” He risked a dignified smile. “But you know how difficult it is to trace down a bit of gossip. In any case, I want to assure you all that the Asgard is in no danger of any sort. The old girl was plying space long before any of us were born, she’ll still be going strong after we all die of old age—bless her sturdy bones!” He turned and left at once.

Max had listened in open-mouthed admiration. He came from country where the “whopper” was a respected literary art and it seemed to him that he had never heard a lie told with more grace, never seen one interwoven with truth with such skill, in his life. Piece by piece, it was impossible to say that anything

the First Officer had said was untrue; taken as a whole it was a flat statement that the Asgard was not lost—a lie if he ever heard one. He turned back toward his table mates. “Will someone pass the butter, please?”

Mr. Arthur caught his eye. “And you told us,” he said sharply, “that nothing was wrong!” Mr. Daigler growled, “Lay off him, Arthur. Max did pretty well, under the circumstances.” Mrs. Weberbauer looked bewildered. “But Mr. Walther said that everything was all right?”

Daigler looked at her with compassion. “We’re in trouble, Mama Weberbauer. That’s obvious. But all we can do is keep calm and trust the ship’s officers. Right, Max?”

“I guess that’s right, sir.”

“THIS ISN’T A PICNIC”

Max kept to his room that evening and the next day, wishing neither to be questioned by passengers nor to answer questions about why he had been relieved of duty. In consequence he missed the riot, having slept through it. He first heard of it when the steward’s mate who tended his room showed up with a black eye. “Who gave you the shiner, Garcia?”

“I’m not sure, sir. It happened in the ruckus last night.” “Ruckus? What ruckus?”

“You mean you don’t know?”

“This is the first I’ve heard of it. What happened?”

Garcia Lopez stared at the overhead. “Well—I wouldn’t want to say too much. You know how it is—nobody wants to testify against a mate. No?”

“Who asked you to peach on a mate? You don’t have to mention names—but what happened?”

“Well, sir. Some of those chicos, they ain’t got much sense.” Slowly Max learned that the unrest among the crew had been greater than that among the passengers, possibly because they understand more clearly the predicament. Some of them had consulted with Giordano’s poor-man’s vodka, then had decided to call on the Captain in a body and demand straight talk. The violence had taken place when the master-at-arms had attempted to turn them back at the companionway to “C” deck.

“Anybody hurt?”

“Not what you’d call hurt. Cut up a little. I picked this up… ” He touched his eye tenderly. “… from being too anxious to see what was going on. Slats Kovak busted an ankle.”

“Kovak! Why would he be in it?” It did not make sense that a member of the Worry gang should take part in anything so unreasonable.

“He was coming down, coming off watch, I guess. Maybe he was backing up the constable. Or maybe

he just got caught in the swinging doors. Your friend Sam Anderson was sure in the thick of it.” Sam! Max felt sick at heart—Sam in trouble again! “You’re sure?”

“I was there.”

“Uh, he wasn’t leading it, was he?”

“Oh, you got me wrong, M—Mr. Jones. He settled it. I never see a man who could use his hands like that. He’d grab two of ’em… clop! their heads would come together. Then he would grab two more.”

Max decided to come out of hiding and do two things; look up Kovak, find out how he was and what he might need or want, and second, look up Sam. But before he could leave Smythe arrived with a watch list to initial. He found that he was assigned watch-and-watch with Simes—and that he himself was due on watch immediately. He went up, wondering what had caused Simes to relent.

Kelly was in the control room; Max looked around, did not see Simes. “You got it, Chief?” “Until you relieve me. This is my last watch.”

“How’s that? Are you his pet peeve now?”

“You could say so. But not the way you think, Max. He drew up a watch list with him and me

heel-and-toe. I politely pointed out the guild rules, that I wasn’t being paid to take the responsibility of top watch.”

“Oh, brother! What did he say?”

“What could he say? He could order me in writing and I could accept in writing, with my objection to the orders entered in the log—and his neck is out a yard. Which left him his choice of putting you back on the list, asking the Captain to split it with him, or turning his cap around and relieving himself for the next few weeks. With Kovak laid up it didn’t leave him much choice. You heard about Kovak?”

“Yes. Say, what was that?” Max glanced over where Noguchi was loafing at the computer and lowered his voice. “Mutiny?”

Kelly’s eyes grew round. “Why, as I understand it, sir, Kovak slipped and fell down a companionway.” “Oh. Like that, huh?”

“That’s what it says in the log.”

“Hmm… well, I guess I had better relieve you. What’s the dope?”

They were in orbit under power for the nearby G-type star; the orders were entered in the Captain’s order book… in Simes’ handwriting but with Captain Blaine’s signature underneath. To Max it looked shaky, as if the Old Man had signed it under emotional stress. Kelly had already placed them in the groove. “Have we given up trying to find out where we are?” Max asked.

“Oh, no. Orders are to spend as much time as routine permits on it. But I’ll lay you seven to two you don’t find anything. Max, this is somewhere else entirely.”

“Don’t give up. How do you know?” “I feel it.”

Nevertheless Max spent the watch “fishing.” But with no luck. Spectrograms, properly taken and measured, are to stars what fingerprints are to men; they can be classified and comparisons made with those on file which are most nearly similar. While he found many which matched fairly closely with catalogued spectra, there was always the difference that makes one identical twin not quite like his brother.

Fifteen minutes before the end of the watch he stopped, and made sure that he was ready to be relieved. While waiting he thought about the shenanigan Kelly had pulled to get him back on duty. Good old Kelly! He knew Kelly well enough to know that he must not thank him; to do so would be to attribute to the Chief Computerman a motive which was “improper”—just wink the other eye and remember it.

Simes stomped in five minutes past the hour. He said nothing but looked over the log and records of observations Max had made. Max waited several minutes while growing more and more annoyed. At last he said, “Are you ready to relieve me, sir?”

“All in good time. I want to see first what you’ve loused up this time.” Max kept his mouth shut. Simes pointed at the log where Max had signed it followed by “C.O. o/W.” “That’s wrong, to start with. Add ‘under instruction.'”

Max breathed deeply. “Whose instruction, sir?” “Mine.”

Max hesitated only momentarily before answering, “No, sir. Not unless you are present during my watch to supervise me.”

“Are you defying me?”

“No, sir. But I’ll take written orders on that point… entered in the log.”

Simes closed the log book and looked him slowly up and down. “Mister, if we weren’t short-handed you wouldn’t be on watch. You aren’t ready for a top watch—and it’s my opinion that you won’t ever be.”

“If that’s the way you feel, sir, I’d just as lief go back to chartsman. Or steward’s mate.”

“That’s where you belong!” Simes’ voice was almost a scream. Noguchi had hung around after Lundy had relieved him; they both looked up, then turned their heads away.

Max made no effort to keep his answer private. “Very good, sir. Will you relieve me? I’ll go tell the First Officer that I am surrendering my temporary appointment and reverting to my permanent billet.”

Max expected a blast. But Simes made a visible effort to control himself and said almost quietly, “See here, Jones, you don’t have the right attitude.”

Max thought to himself, “What have I got to lose?” Aloud he said, “You’re the one who doesn’t have the right attitude, sir.”

“Eh? What’s that?”

“You’ve been riding me ever since I came to work in the Hole. You’ve never bothered to give me any instruction and you’ve found fault with everything I did. Since my probationary appointment it’s been four times worse. You came to my room and told me that you were opposed to my appointment, that you didn’t want me…”

“You can’t prove that!”

“I don’t have to. Now you tell me that I’m not fit to stand the watch you’ve just required me to stand. You’ve made it plain that you will never recommend me for permanent appointment, so obviously I’m wasting my time. I’ll go back to the Purser’s gang and do what I can there. Now, will you relieve me, sir?”

“You’re insubordinate.”

“No, sir, I am not. I have spoken respectfully, stating facts. I have requested that I be relieved—my watch was over a good half hour ago—in order that I may see the First Officer and revert to my permanent billet. As allowed by the rules of both guilds,” Max added.

“I won’t let you.”

“It’s my option, sir. You have no choice.”

Simes’ face showed that he indeed had no choice. He remained silent for some time, then said more quietly, “Forget it. You’re relieved. Be back up here at eight o’clock.”

“Not so fast, sir. You have stated publicly that I am not competent to take the watch. Therefore I can’t accept the responsibility.”

“Confound it! What are you trying to do? Blackmail me?

Max agreed in his mind that such was about it, but he answered, “I wouldn’t say so, sir. You can’t have it both ways.”

“Well—I suppose you are competent to stand this sort of watch. There isn’t anything to do, actually.” “Very good, sir. Will you kindly log the fact?”

“Huh?”

“In view of the circumstances, sir, I insist on the letter of the rules and ask you to log it.”

Simes swore under his breath, then grabbed the stylus and wrote quickly. He swung the log book around. There!”

Max read: “M. Jones is considered qualified to stand a top watch in space, not involving anomaly. (s) R. Simes, Astrogator.”

Max noted the reservation, the exception that would allow Simes to keep him from ever reaching permanent status. But Simes had stayed within the law. Besides, he admitted to himself, he didn’t want to leave the Worry gang. He comforted himself with the thought that since they were all lost together it might never matter what Simes recommended.

“Quite satisfactory, sir.”

Simes grabbed the book. “Now get out. See that you’re back here on time.”

“Aye aye, sir.” Max could not refrain from having the last word, standing up to Simes had gone to his head. “Which reminds me, sir: will you please relieve me on time after this?”

“What?”

“Under the law a man can’t be worked more than four hours out of eight, except for a logged emergency.”

“Go below!”

Max went below, feeling both exultant and sick. He had no taste for fights, never had; they left him with a twisted lump inside. He burst into his room, and almost fell over Sam.

“Sam!”

“The same. What’s eating you, boy? You look like the goblins had been chasing you.”

Max flopped on his bunk and sighed. “I feel that way, too.” He told Sam about the row with Simes.

Sam nodded approval. “That’s the way to deal with a jerk like that—insult him until he apologizes. Give him lumps enough times and he’ll eat out of your hand.”

Max shook his head dolefully. “Today was fun, but he’ll find some way to take it out on me. Oh, well!” “Not so, my lad. Keep your nose clean and wait for the breaks. If a man is stupid and

bad-tempered—which he is, I sized him up long ago—if you are smart and keep your temper, eventually

he leaves himself wide open. That’s a law of nature.”

“Maybe.” Max swung around and sat up. “Sam—you’re wearing your shield again.”

Sam stuck his thumb under the badge of office of Chief Master-at-Arms. “Didn’t you notice?” “I guess I was spinning too fast. Tell me about it—did the First decide to forgive and forget?” “Not precisely. You know about that little excitement last night?”

“Well, yes. But I understand that officially nothing happened?” “Correct. Mr. Walther knows when to pull his punches.” “What did happen? I heard you cracked some skulls together.”

“Nothing much. And not very hard. I’ve seen ships where it would have been regarded as healthy exercise to settle your dinner. Some of the lads got scared and that made them lap up happy water. Then a couple with big mouths and no forehead got the inspiration that it was their right to talk to the Captain about it. Being sheep, they had to go in a flock. If they had run into an officer, he could have sent them back to bed with no trouble. But my unfortunate predecessor happened to run into them and told them to disperse. Which they didn’t. He’s not the diplomatic type, I’m afraid. So he hollered, ‘Hey, Rube!’ in his quaint idiom and the fun began.”

“But where do you figure? You came to help him?”

“Hardly. I was standing at a safe distance, enjoying the festivities, when I noticed Mr. Walther’s bedroom slippers coming down the ladder. Whereupon I waded in and was prominent in the ending. The way to win a medal, Max, is to make sure the general is watching, then act.”

Max grinned. “Somehow I hadn’t figured you for the hero type.”

“Heaven forbid! But it worked out. Mr. Walther sent for me, ate me out, told me that I was a scoundrel and a thief and a nogoodnick—then offered me my shield back if I could keep order below decks. I

looked him in the eye, a sincere type look, and told him I would do my best. So here I am.” “I’m mighty pleased, Sam.”

“Thanks. Then he looked me in the eye and told me that he had reason to suspect—as if he didn’t know!—that there might be a still somewhere in the ship. He ordered me to find it, and then destroy any liquor I found.”

“So? How did Mr. Gee take that?”

“Why, Fats and I disassembled his still and took the pieces back to stores, then we locked up his stock in trade. I pleaded with him not to touch it until the ship was out of its mess. I explained that I would break both his arms if he did.”

Max chuckled. “Well, I’m glad you’re back in good graces. And it was nice of you to come tell me about it.” He yawned. “Sorry. I’m dead for sleep.”

“I’ll vamoose. But I didn’t come to tell you, I came to ask a question.” “Huh? What?”

“Have you seen the Skipper lately?”

Max thought back. “Not since transition. Why?”

“Nor has anyone else. I thought he might be spending his time in the Worry Hole.”

“No. Come to think, he hasn’t been at his table either—at least when I’ve been in the lounge.”

“He’s been eating in his cabin.” Sam stood up. “Very, very interesting. Mmm… I wouldn’t talk about it, Max.”

Simes was monosyllabic when Max relieved him. Thereafter they had no more words; Simes acted as if Max did not exist except for the brief formalities in relieving. The Captain did not show up in the control room. Several times Max was on the point of asking Kelly about it, but each time decided not to. But there were rumors around the ship—the Captain was sick, the Captain was in a coma, Walther and the Surgeon had relieved him of duty, the Captain was constantly at his desk, working out a new and remarkable way to get the ship back to where it belonged.

By now it was accepted that the ship was lost, but the time for hysteria had passed; passengers and crew were calm and there seemed to be general consent that the decision to put down around the solar-type star toward which they were headed was the only reasonable decision. They were close enough now that it had been determined that the star did have planets—no G-class star had ever been found to be without planets, but to pick them up on a stereoplate was consoling.

It came to a choice between planet #3 and planet #4. Bolometric readings showed the star to have a surface temperature slightly over 6000° Kelvin, consistent with its spectrum; it was not much larger than Father Sol; calculated surface temperatures for the third and fourth planets gave a probability that the third might be uncomfortably hot whereas number four might be frigid. Both had atmospheres.

A fast hyperboloid swing past both settled the matter. The bolometer showed number three to be too hot and even number four to be tropical. Number four had a moon which the third did not—another

advantage for four, for it permitted, by examining the satellite’s period, an easy calculation of its mass; from that and its visible diameter its surface gravity was a matter of substitution in classic Newtonian formula… ninety-three percent of Earth-normal, comfortable and rather low in view of its over

ten-thousand-mile diameter. Absorption spectra showed oxygen and several inert gases.

Simes assisted by Kelly placed the Asgard in a pole-to-pole orbit to permit easy examination—Max, as usual, was left to chew his nails.

The Captain did not come to the control room even to watch this maneuver.

They hung in parking orbit while their possible future home was examined from the control room and stared at endlessly from the lounge. It was in the lounge that Ellie tracked Max down. He had hardly seen her during the approach, being too busy and too tired with a continuous heel-and-toe watch and in the second place with much on his mind that he did not want to have wormed out of him. But, once the orbit was established and power was off, under standard doctrine Simes could permit the watch to be taken by crewmen—which he did and again told Max to stay out of the control room.

Max could not resist the fascination of staring at the strange planet; he crowded into the lounge along with the rest. He was standing back and gazing over heads when he felt his arm grabbed. “Where have you been?”

“Working.” He reached out and caressed Chipsie; the spider puppy leaped to his shoulders and started searching him.

“Hmmmph! You don’t work all the time. Do you know that I sent nine notes to your room this past week?”

Max knew. He had saved them but had not answered. “Sorry.”

“Sorry he says. Never mind—Max, tell me all about it.” She turned and looked out. “What have they named it? Is there anybody on it? Where are we going to land? When are we going to land? Max, aren’t you excited?”

“Whew! They haven’t named it yet—we just call it’the planet’ or ‘number four.’ Kelly wants to name it ‘Hendrix.’ Simes is hedging; I think he wants to name it after himself. The Captain hasn’t made any decision that I know of.”

“They ought to name it ‘Truth’ or ‘Hope’ or something like that. Where is the Captain, Max? I haven’t seen the old dear for days.”

“He’s working. This is a busy time for him, of course.” Max reflected that his evasion might be true. “About your other questions, we haven’t seen any signs of cities or towns or anything that looks like civilization.”

“What do you mean by ‘civilization’? Not a lot of dirty old cities surely?”

Max scratched his head and grinned. “You’ve got me. But I don’t see how you could have it, whatever it is, without cities.”

“Why not? Bees have cities, ants have cities, challawabs have cities. None of them is civilized. I can think of a lovely civilization that would just sit around in trees and sing and think beautiful thoughts.”

“Is that what you want?”

“No, it would bore me to death. But I can think about it, can’t I? You didn’t say when we were going to land?”

“I don’t know. When they decide it’s safe.”

“I wish they would hurry. Isn’t it thrilling? Just like Robinson Crusoe, or Swiss Family Robinson—I can’t keep those two straight. Or the first men on Venus.”

“They died.”

“So they did. But we won’t, not on—” She waved her hand at the lovely green and blue and cloudy-white globe. “—not on, uh, I’m going to call it ‘Charity’ because that’s what it looks like.”

Max said soberly, “Ellie, don’t you realize this is serious?” He kept his voice low in order not to alarm others. “This isn’t a picnic. If this place doesn’t work out, it might be pretty awful.”

“Why?”

“Look, don’t quote me and don’t talk about it. But I don’t think any of us will ever get home again.”

She sobered momentarily, then shrugged and smiled. “You can’t frighten me. Sure, I’d like to go home—but if I can’t, well, Charity is going to be good to us. I know it.”

Max shut up.

“—OVER A HUNDRED YEARS—”

The Asgard landed on Charity the following day. Eldreth affixed her choice by the statistical process of referring to the planet by that name, assuming that it was official, and repeating it frequently.

When word was passed that landing would commence at noon, ship’s time, Max went to the control room and simply assumed that it was his right to be present. Simes looked at him sourly but said nothing—for an evident reason: Captain Blaine was present.

Max was shocked at his appearance. The Captain seemed to have aged ten to fifteen years since the bad transition. In place of his habitual cheerful expression was one that Max had trouble tagging—until he recalled that he had seen it on horses, on horses too old to work but still working—head bent, eyes dull, mute and resigned against a fate both inescapable and unbearable. The old man’s skin hung loose, as if he had not eaten for days or weeks. He seemed hardly interested in what was going on around him.

He spoke only once during the maneuver. Just before the chronometer showed noon Simes straightened up from the console and looked at his skipper. Blaine lifted his head and said in a hoarse whisper, “Take her down, Mister.”

An Imperial military ship in landing on a strange spot would normally guide a radar-beacon robot down first, then home in on the beacon. But the Asgard was a merchant liner; she expected to land nowhere but at ports equipped with beams and beacons and other aids. Consequently the landing was made blind by precomputed radar-automatic and was planned for an open valley selected by photograph. The planet was densely wooded in most areas, choice was limited.

Simes presented a picture of the alert pilot, hands poised at the controls, eyes on the radar screen portraying the view below them, while racked in front of him were comparison photographs, radar and visual. The let down was without incident; starry black sky gave way to deep purple, then to blue. There was not even a jar as the ship touched, for its private gravity inside its Horstian field kept them from feeling impressed acceleration. Max knew they were down when he saw Simes cut in the thrust beams to cradle the ship upright.

Simes said to the microphone, “Power room, start auxiliaries and secure. All hands, dirtside routine, first section.” He turned to Blaine. “Grounded, Captain.”

Blaine’s lips shaped the words, “Very good, sir.” He got up and shuffled toward the hatch. When he had gone Simes ordered, “Lundy, take stand-by watch. The rest of you clear the control room.”

Max went down with Kelly. When they reached “A” deck Max said grudgingly, “It was a smart landing I’ll have to admit.”

“Thanks,” said Kelly.

Max glanced at him. “So you calculated it?” “I didn’t say that. I just said, ‘Thanks.'”

“So? Well, you’re welcome.” Max felt his weight pulse and suddenly he was a trifle lighter. “They cut the field. Now we’re really down.”

He was about to invite Kelly into his room for the inevitable coffee when the ship’s speakers sounded: “All hands! All passengers! Report to Bifrost Lounge for an important announcement. Those on watch are ordered to listen in by phone.”

“What’s up?” asked Max. “Why wonder? We’ll go see.”

The lounge was crowded with passengers and crew. First Officer Walther stood near the Captain’s table, counting the crowd with his eyes. Max saw him speak to Bennett, who nodded and hurried away. The large view port was across the lounge from Max; he stretched on his toes and tried to see out. All he could see was hilltops and blue sky.

There was a lessening of the murmur of voices; Max looked around to see Bennett preceding Captain Blaine through the crowd. The Captain went to his table and sat down; the First Officer glanced at him, then cleared his throat loudly. “Quiet, please.”

He went on, “I’ve called you together because Captain Blaine has something he wants to say to you.” He stopped and stepped back respectfully.

Captain Blaine slowly stood up, looked uncertainly around. Max saw him square his thin shoulders and lift his head. “Men,” he said, his voice suddenly firm and strong. “My guests and friends—” he went on, his voice sinking. There was a hush in the lounge, Max could hear the Captain’s labored breathing. He again asserted control of himself and continued, “I have brought you… I have brought you as far as I can… ” His voice trailed off. He looked at them for a long moment, his mouth trembling. It seemed impossible for him to continue. The crowd started to stir.

But he did continue and they immediately quieted. “I have something else to say,” he began, then paused. This pause was longer, when he broke it his voice was a whisper. “I’m sorry. God keep you all.” He

turned and started for the door.

Bennett slipped quickly in front of him. Max could hear him saying quietly and firmly: “Gangway, please. Way for the Captain.” No one said anything until he was gone, but a woman passenger at Max’s elbow was sobbing softly.

Mr. Walther’s sharp, clear voice rang out. “Don’t go away, anyone! I have additional announcements to make.” His manner ignored what they had all just seen. “The time has come to sum up our present situation. As you can see, this planet is much like our Mother Earth. Tests must be made to be sure that the atmosphere is breathable, and so forth; the Surgeon and the Chief Engineer are making them now. But it seems likely that this new planet will prove to be eminently suitable for human beings, probably even more friendly than Earth.

“So far, we have seen no indications of civilized life. On the whole, that seems a good thing. Now as to our resources—The Asgard carries a variety of domestic animals, they will be useful and should be conserved as breeding stock. We have an even wider variety of useful plants, both in the ship’s hydroponic gardens and carried as seeds. We have a limited but adequate supply of tools. Most important of all the ship’s library contains a fair cross-section of our culture. Equally important, we ourselves have our skills and traditions…”

“Mr. Walther!”

“Yes, Mr. Hornsby?”

“Are you trying to tell us that you are dumping us here?”

Walther looked at him coldly. “No. Nobody is being’dumped’ as you put it. You can stay in the ship and you will be treated as a guest as long as the Asgard—or you yourself—is alive. Or until the ship reaches the destination on your ticket. If it does. No, I have been trying to discuss reasonably an open secret; this ship is lost.”

A voiceless sigh went through the room. All of them knew it, but up till now it had not been admitted officially. The flat announcement from a responsible officer echoed like the sentence of a court.

“Let me state the legal position,” Mr. Walther went on. “While this ship was in space you passengers were subject to the authority of the Captain, as defined by law, and through him you were subject to me and the other ship’s officers. Now we have landed. You may go freely… or you may stay. Legally this is an unscheduled stopover; if the ship ever leaves here you may return to it and continue as passengers.

That is my responsibility to you and it will be carried out. But I tell you plainly that at present I have no hope to offer that we will ever leave here—which is why I spoke of colonizing. We are lost.”

In the rear of the room a woman began to scream hysterically, with incoherent sounds of, “… home! I want to go home! Take me…”

Walther’s voice cut through the hubbub. “Dumont! Flannigan! Remove her. Take her to the Surgeon.”

He continued as if nothing had happened. “The ship and the ship’s crew will give every assistance possible, consistent with my legal responsibility to keep the ship in commission, to aid any of you who wish to colonize. Personally I think…”

A surly voice cut in, “Why talk about ‘law’? There is no law here!”

Walther did not even raise his voice. “But there is. As long as this ship is in commission, there is law, no matter how many light-years she may be from her home port. Furthermore, while I have no authority

over any who choose to leave the ship, I strongly advise you to make it your first act dirtside to hold a town meeting, elect officers, and found a constitutional government. I doubt that you can survive otherwise.”

“Mr. Walther.” “Yes, Mr. Daigler?”

“This is obviously no time for recriminations…” “Obviously!”

Daigler grinned wryly. “So I won’t indulge, though I could think of some. But it happens that I know something professionally about the economics of colonizing.”

“Good! We’ll use your knowledge.”

“Will you let me finish? A prime principle in maintaining a colony out of touch with its supply base is to make it large enough. It’s a statistical matter, too small a colony can be overwhelmed by a minor setback. It’s like going into a dice game with too little money: three bad rolls and you’re sunk. Looking around me, it’s evident that we have much less than optimal minimum. In fact—”

“It’s what we have, Mr. Daigler.”

“I see that. I’m not a wishful thinker. What I want to know is, can we count on the crew as well?”

Mr. Walther shook his head. “This ship will not be decommissioned as long as there are men capable of manning it. There is always hope, no matter how small, that we may find a way home. It is even possible that an Imperial survey ship might discover us. I’m sorry—no.”

“That isn’t quite what I asked. I was two jumps ahead of you, I figured you wouldn’t let the crew colonize. But can we count on their help? We seem to have about six females, give or take one, who will probably help to carry on the race. That means that the next generation of our new nation is going to be much smaller. Such a colony would flicker and die, by statistical probability—unless every man jack of us works ten hours a day for the rest of his life, just to give our children a better chance of making it. That’s all right with me, if we all make an all-out try. But it will take all the manpower we have to make sure that some young people who aren’t even born yet get by thirty years from now. Will the crew help?”

Mr. Walther said quietly, “I think you can count on it.” “Good enough.”

A small, red-faced man whose name Max had never learned interrupted. “Good enough, my eye! I’m going to sue the company, I’m going to sue the ship’s officers individually. I’m going to shout it from the… ” Max saw Sam slipping through the crowd to the man’s side, the disturbance stopped abruptly.

“Take him to the Surgeon,” Mr. Walther said wearily. “He can sue us tomorrow. The meeting is adjourned.”

Max started for his room. Eldreth caught up with him. “Max! I want to talk with you.” “All right.” He started back toward the lounge.

“No, I want to talk privately. Let’s go to your room.”

“Huh? Mrs. Dumont would blow her top, then she’d tell Mr. Walther.”

“Bother with all that! Those silly rules are dead. Didn’t you listen at the meeting?” “You’re the one who didn’t listen.”

He took her firmly by the arm, turned her toward the public room. They ran into Mr. and Mrs. Daigler coming the other way. Daigler said, “Max? Are you busy?”

“Yes,” answered Eldreth. “No,” said Max.

“Hmm… you two had better take a vote. I’d like to ask Max some questions. I’ve no objection to your being with us, Eldreth, if you will forgive the intrusion.

She shrugged. “Oh, well, maybe you can handle him. I can’t.”

They went to the Daiglers’ stateroom, larger and more luxurious than Max’s and possessing two chairs. The two women perched on the bed, the men took the chairs. Daigler began, “Max, you impress me as a man who prefers to give a straight answer. There are things I want to know that I didn’t care to ask out there. Maybe you can tell me.”

“I will if I can.”

“Good. I’ve tried to ask Mr. Simes, all I get is a snottily polite brush off. I haven’t been able to get in to see the Captain—after today I see that there wouldn’t have been any point anyhow. Now, can you tell me, with the mathematics left out, what chance we have to get home? Is it one in three, or one in a thousand—or what?”

“Uh, I couldn’t answer it that way.” “Answer it your own way.”

“Well, put it this way. While we don’t know where we are, we know positively where we aren’t. We aren’t within, oh, say a hundred light-years of any explored part of the Galaxy.”

“How do you know? It seems to me that’s a pretty big space to be explored in the weeks since we got off the track.”

“It sure is. It’s a globe twelve hundred trillion miles thick. But we didn’t have to explore it, not exactly.” “Then how?”

“Well, sir, we examined the spectra of all first magnitude stars in sight—and a lot more. None of them is in our catalogues. Some are giants that would be first magnitude anywhere within a hundred light-years of them—they’d be certain to be in the catalogues if a survey ship had ever been that close to them. So we are absolutely certain that we are a long, long way from anywhere that men have ever been before.

Matter of fact, I spoke too conservatively. Make it a globe twice as thick, eight times as big, and you’d still be way over on the conservative side. We’re really lost.”

“Mmm… I’m glad I didn’t ask those questions in the lounge. Is there any possibility that we will ever know where we are?”

“Oh, sure! There are thousands of stars left to examine. Chief Kelly is probably shooting one this minute.”

“Well, then, what are the chances that we will eventually find ourselves?”

“Oh, I’d say they were excellent—in a year or two at the outside. If not from single stars, then from globular star clusters. You realize that the Galaxy is a hundred thousand light-years across, more or less, and we can see only stars that are fairly close. But the globular clusters make good landmarks, too.” Max added the mental reservation, if we aren’t in the wrong galaxy. There seemed no point in burdening them with that dismaying possibility.

Daigler relaxed and took out a cigar. “This is the last of my own brand, but I’ll risk smoking it now. Well, Maggie, I guess you won’t have to learn how to make soap out of wood ashes and hog drippings after all. Whether it’s one year or five, we can sweat it out and go home.”

“I’m glad.” She patted her ornate coiffure with soft, beautifully manicured hands. “I’m hardly the type for it.”

“But you don’t understand!” “Eh? What’s that, Max?”

“I didn’t say we could get back. I just said I thought it was fairly certain we would find out where we are.”

“What’s the difference? We find out, then we go home.”

“No, because we can’t be less than a hundred light-years from explored space.”

“I don’t see the hitch. This ship can do a hundred light-years in a split second. What was the longest leap we made this cruise? Nearly five hundred light-years, wasn’t it?”

“Yes, but—” Max turned to Eldreth. “You understand? Don’t you?” “Well, maybe. That folded-scarf thing you showed me?”

“Yes, yes. Mr. Daigler, sure the Asgard can transit five hundred light-years in no time—or any other distance. But only at calculated and surveyed congruencies. We don’t know of any within a hundred light-years, at least… and we won’t know of any even if we find out where we are because we know where we aren’t. Follow me? That means that the ship would have to travel at top speed for something over a hundred years and maybe much longer, just for the first leg of the trip.”

Mr. Daigler stared thoughtfully at his cigar ash, then took out a pen knife and cut off the burning end. “I’ll save the rest. Well, Maggie, better study up on that homemake soap deal. Thanks, Max. My father was a farmer, I can learn.”

Max said impulsively, “I’ll help you, sir.”

“Oh yes, you did tell us that you used to be a farmer, didn’t you? You should make out all right.” His eyes swung to Eldreth. “You know what I would do, if I were you kids? I’d get the Captain to marry you right away. Then you’d be all set to tackle colonial life right.”

Max blushed to his collar and did not look at Ellie. “I’m afraid I can’t. I’m a crew member, I’m not eligible to colonize.”

Mr. Daigler looked at him curiously. “Such devotion to duty. Well, no doubt Ellie can take her pick among the single men passengers.”

Eldreth smoothed her skirt demurely. “No doubt.” “Come, Maggie. Coming, Eldreth?”

CHARITY

“Charityville” was a going concern within a week. It had a mayor, Mr. Daigler, a main street, Hendrix Avenue, even its first wedding, performed by the mayor in the presence of the villagers—Mr. Arthur and little Becky Weberbauer. The first cottage, now building, was reserved for the newlyweds. It was a log cabin and a very sloppy job, for, while there were those among them who had seen pictures or had even seen log cabins, there was no one who had ever built one before.

There was an air of hope, of common courage, even of gaiety in the new community. The place was fragrant with new starts, forward-looking thoughts. They still slept in the ship and breakfasted there, then carried their lunches and labored mightily, men and women alike, through the short day—Charity spun on her axis in twenty-one-plus hours. They returned at nightfall, dined in the ship, and some found energy to dance a bit before going to bed.

Charity seemed to be all that her name implied. The days were balmy, the nights were mild—and beautiful beyond anything yet found in the Galaxy. Its star (they simply called it “the Sun”) was accompanied by more comets than had yet been seen around any star. A giant with a wide tail stretched from zenith to western horizon, diving at their Sun. Another, not yet so grand but awesome enough to have caused watchers for the end of the world on Earthly hilltops, approached from the north, and two more decorated the southern sky with lace of icy fire.

Concomitant with comets was, necessarily, an equal abundance of meteors. Every night was a shower of falling stars, every day ended like Solar Union Day with a display of fireworks.

They had seen no dangerous animals. Some of the settlers reported seeing centaurlike creatures about the size of Shetland ponies, but they seemed timid and had scurried away when discovered. The prevalent life form appeared to be marsupial mammals in various sizes and shapes. There were no birds, but there was another sort of flying life not found elsewhere—jellyfishlike creatures four or five feet high with dangling tendrils, animated balloons. They appeared to have muscular control over their swollen bladders for they could rise and fall, and could even, by some not evident means, go upwind against a gentle breeze—in higher winds they anchored to treetops, or floated free and let the wind carry them.

They seemed curious about Charityville and would hang over a work site, turning slowly around as if to see everything. But they never got within reach. Some of the settlers wanted to shoot one down and examine it; Mayor Daigler forbade it.

There was another animal too—or might be. They were called “peekers” because all that anyone had seen was something that ducked quickly behind a rock or tree when anyone tried to look. Between the possibly mythical peeker and the ubiquitous balloons the colonists felt that their new neighbors took a deep but not unfriendly interest in what they were doing.

Maggie Daigler—she was “Maggie” to everyone now—had put away her jewels, drawn dungarees from ship’s stores, and chopped off her hair. Her nails were short and usually black with grime. But she looked years younger and quite happy.

In fact, everyone seemed happy but Max.

Ellie was avoiding him. He cursed himself and his big mouth thrice daily and four times at night. Sure, Daigler had spoken out of turn—but was that any reason for him to open his mouth and put his foot in it? Of course, he had never figured on marrying Ellie—but shucks, maybe they were stuck here forever. “Probably,” not “maybe,” he corrected. The ban on joining the colony would be let up in time—in which case, what was the sense in getting in bad with the only eligible girl around?

An astrogator ought to be a bachelor but a farmer needed a wife. Mighty nice to have some one cooking the turnip greens and jointing a chicken while a man was out in the fields. He ought to know—Maw had let it slide often enough. Ellie wouldn’t be like Maw. She was strong and practical and with just a little teaching would do all right.

Besides she was about the prettiest thing he ever saw, if you looked at her right.

When Mr. and Mrs. Dumont, by special dispensation, joined the colony it caused him to act. Since the steward and stewardess would have no duties in a ship without passengers no one could reasonably object—but it gave Max an approach. He went to see the First Officer.

“Probationary Apprentice Jones, sir.”

Walther glanced up. “I think I’d say ‘Assistant Astrogator Jones’ if I were you. Closer to the facts. Come in.”

“Uh, that’s what I wanted to speak with you about, sir.” “So? How?”

“I want to revert to my billet.”

“Eh? Why would you rather be a chartsman than an astrogator? And what difference does it make—now?”

“No, sir. I’m electing to resume my permanent appointment, steward’s mate third.” Walther looked amazed. “There must be more to this. Explain yourself.”

With much stammering Max explained his trouble with Simes. He tried to be fair and finished with the dismal feeling that he had sounded childish. Walther said, “You’re sure about this? Mr. Simes has said nothing to me about you.”

“He wouldn’t, sir. But it’s true. You can ask Kelly.”

Walther thought for a while. “Mr. Jones, I wouldn’t attach too much importance to this. At your age these conflicts of personality often seem more serious than they are. My advice is to forget it and do your work. I’ll speak to Mr. Simes about his keeping you out of the control room. That isn’t proper and I am surprised to hear it.”

“No, sir.”

“‘No, sir’ what?”

“I want to return to steward’s mate.” “Eh? I don’t understand you.”

“Because, sir, I want to join the colony. Like Chief Steward Dumont.”

“Oh… A light begins to dawn.” Walther slapped the desk emphatically. “Absolutely no! Under no circumstances.”

“Sir?”

“Please understand me. This is not discrimination. If you were a steward’s mate and nothing else, I would consider your request—under the special circumstances which I believe pertain. But you are an astrogator. You know our situation. Dr. Hendrix is dead. Captain Blaine—well, you have seen him. He may recover, I cannot plan on it. Mr. Jones, as long as there is any faint hope that this ship will ever lift again, as long as we have crew to work her, no astrogator, no chartsman, no computerman will be relieved from duty for any reason whatsoever. You see that, don’t you?”

“I guess so, sir. Uh, aye aye, sir.”

“Good. By the way, keep this to yourself, but as soon as the colony can get along without us temporarily, I want the ship placed in a parking orbit so that you specialists can maintain a search. You can’t work very well through this atmosphere, can you?”

“No, sir. Our instruments were designed for open space.”

“So we must see that you get it.” The First Officer sat silent, then added, “Mr. Jones—Max, isn’t it? May I speak to you man to man?”

“Uh? Certainly, sir.”

“Mmm… Max, this is none of my business, but treat it as fatherly advice. If you have an opportunity to marry—and want to—you don’t have to join the colony to do it. If we stay, it won’t matter in the long run whether you are crew or a charter member of the village. If we leave, your wife goes with you.”

Max’s ears burned. He could think of nothing to say.

“Hypothetical question, of course. But that’s the proper solution.” Walther stood up. “Why don’t you take the day off? Go take a walk or something. Fresh air will do you good. I’ll speak to Mr. Simes.”

Instead, Max went looking for Sam, did not find him in the ship, discovered that he had gone dirtside. He followed him down and walked the half mile to Charityville.

Before he reached the building that was being worked on he saw a figure separate itself from the gang. He soon saw that it was Eldreth. She stopped in front of him, a sturdy little figure in dirty dungarees. She planted her feet and set fists on her hips.

“Uh, howdy, Ellie.”

“Up to your old tricks! Avoiding me. Explain yourself.”

The injustice of it left him stuttering. “But… Now see here, Ellie, it’s not that way at all. You’ve been…”

“A likely story. You sound like Chipsie caught with her hand in a candy dish. I just wanted to tell you, you reluctant Don Juan, that you have nothing to worry about. I’m not marrying anyone this season. So you can resume the uneven tenor of your ways.”

“But, Ellie… ” he started desperately.

“Want me to put it in writing? Put up a bond?” She looked fiercely at him, then began to laugh, wrinkling her nose. “Oh, Max, you large lout, you arouse the eternal maternal in me. When you are upset your face gets as long as a mule’s. Look, forget it.”

“But, Ellie… Well, all right.” “Pals?”

“Pals.”

She sighed. “I feel better. I don’t know why, but I don’t like to be on the outs with you. Where were you going?”

“Uh, nowhere. Taking a walk.”

“Fine. I’ll go too. Half a sec while I gather in Chipsie.” She turned and called, “Mister Chips! Chipsie!” “I don’t see her.”

“I’ll get her.” She ran off, to return quickly with the spider puppy on her shoulder and a package in her hand. “I picked up my lunch. We can split it.”

“Oh, we won’t be gone that long. Hi, Chipsie baby.” “Hi, Max. Candy?”

He dug into a pocket, found a sugar cube that he had saved several days ago for the purpose; the spider puppy accepted it gravely and said, “Thank you.”

“Yes, we will,” Ellie disagreed, “because some of the men saw a herd of those centaur ponies the other side of that ridge. It’s quite a hike.”

“I don’t think we ought to go that far,” he said doubtfully. “Won’t they miss you?”

“I’ve been doing my share. See my callouses?” She stuck out a grimy paw. “I told Mr. Hornsby that I was suddenly come down with never-get-overs and he would have to find somebody else to hold while he hammered.”

He was pleased to give in. They went up rising ground and into an arroyo and soon were in a grove of primitive conifers. Mr. Chips jumped down from Ellie’s shoulders and scurried up a tree. Max stopped. “Hadn’t we better catch her?”

“You worry too much. Chipsie wouldn’t run away. She’d be scared to death. Chipsie! Here, honey!”

The spider puppy hustled through branches, got directly above them, dropped a cone on Max. Then she laughed, a high giggle. “See? She just wants to play.”

The ridge was high and Max found that his hillbilly’s wind had been lost somewhere among the stars. The arroyo meandered slowly upwards. He was still woodsman enough to keep a sharp eye out for landmarks and directions. At weary last they topped the crest. Ellie paused. “I guess they’re gone,” she said disappointedly, staring out over flatter country below them. “No! Look over there. See them! About two dozen little black dots.”

“Uh huh. Yeah.”

“Let’s go closer. I want a good look.”

“I wonder if that’s smart? We’re a far piece from the ship and I’m not armed.” “Oh, they’re harmless.”

“I was thinking of what else might be in these woods.”

“But we’re already in the woods, and all we’ve seen are the hobgoblins.” She referred to the balloonlike creatures, two of which had trailed them up the arroyo. The humans had grown so used to their presence that they no longer paid them any attention.

“Ellie, it’s time we went back.” “No.”

“Yes. I’m responsible for you. You’ve seen your centaurs.”

“Max Jones, I’m a free citizen. You may be starting back; I’m going to have a close look at those underslung cow ponies.” She started down.

“Well—Wait a moment. I want to get my bearings.” He took a full look around, fixed the scene forever in his mind, and followed her. He was not anxious to thwart her anyhow; he had been mulling over the notion that this was a good time to explain why he had said what he had said to Mr. Daigler—and perhaps lead around to the general subject of the future. He wouldn’t go so far as to talk about marriage—though he might bring it up in the abstract if he could figure out an approach.

How did you approach such a subject? You didn’t just say, “There go the hobgoblins, let’s you and me get married!”

Ellie paused. “There go the hobgloblins. Looks as if they were heading right for the herd.” Max frowned. “Could be. Maybe they talk to them?”

She laughed. “Those things?” She looked him over carefully. “Maxie, I’ve just figured out why I bother with you.”

Huh? Maybe she was going to lead up to it for him. “Why?”

“Because you remind me of Putzie. You get the same puzzled look he does.” “‘Putzie?’ Who is Putzie?”

“Putzie is the man my father shipped me off to Earth to get me away from—and the reason I crushed out of three schools to get back to Hespera. Only Daddy will probably have shipped him off, too. Daddy is tricky. Come here, Chipsie. Don’t go so far.”

She continued, “You’ll love Putzie. He’s nice. Stop it, Chipsie.”

Max despised the man already. “I don’t like to fret you,” he said, “but it’s a long way to Hespera.”

“I know. Let’s not borrow trouble.” She looked him over again. “I might keep you in reserve, if you weren’t so jumpy.”

Before he could think of the right answer she had started down.

The centaurs—it seemed the best name, though the underparts were not much like horses and the parts that stuck up were only vaguely humanoid—clustered near the foot of the hill, not far out from the trees. They weren’t grazing, it was hard to tell what they were doing. The two hobgoblins were over the group, hovering as if in interest just as they did with humans. Ellie insisted on going to the edge of the clearing to see them better.

They reminded Max of clowns made up to look like horses. They had silly, simple expressions and apparently no room for a brain case. They appeared to be marsupials, with pouches almost like bibs. Either they were all females or with this species the male had a pouch too. Several little centaurs were cavorting around, in and out the legs of their elders.

One of the babies spied them, came trotting toward them, sniffling and bleating. Behind it the largest adult pulled out of the herd to watch the young one. The colt scampered up and stopped about twenty feet away.

“Oh, the darling!” Ellie said and ran out a few feet, dropped to one knee. “Come here, pet. Come to mama.”

Max started for her. “Ellie! Come back here!”

The large centaur reached into its pouch, hauled out something, swung it around its head like a gaucho’s throwing rope. “Ellie!”

He reached her just as it let go. The thing struck them, wound around and held them. Ellie screamed and Max struggled to tear it loose—but they were held like Laocoön.

Another line came flying through the air, clung to them. And another.

Mr. Chips had followed Ellie. Now she skittered away, crying. She stopped at the edge of the clearing and shrilled, “Max! Ellie! Come back. Please back!”

CIVILIZATION

Ellie did not faint nor grow hysterical. After that involuntary scream, her next remark was simply, “Max, I’m sorry. My fault.”

The words were almost in his ear, so tightly were they tied together by the clinging ropes. He answered, “I’ll get us loose!” and continued to strain at their bonds.

“Don’t struggle,” she said quietly, “It just makes them tighter. We’ll have to talk our way out of this.”

What she said was true; the harder he strained the tighter the pythonlike bonds held them. “Don’t,” Ellie pleaded. “You’re making it worse. It’s hurting me.” Max desisted.

The largest centaur ambled up and looked them over. Its broad simple face was still more ludicrous close up and its large brown eyes held a look of gentle astonishment. The colt approached from the other side and sniffed curiously, bleated in a high voice. The adult bugled like an elk; the colt shied sideways, then rejoined the herd on a dead run.

“Take it easy,” Ellie whispered. “I think they were scared that we would hurt the baby. Maybe they’ll just look us over and let us go.”

“Maybe. But I wish I could get at my knife.” “I’m glad you can’t. This calls for diplomacy.”

The rest of the herd came up, milled around and looked them over, while exchanging calls that combined bugling, whinnying, and something between a cough and a snort. Max listened. “That’s language,” he decided.

“Of course. And how I wish I had studied it at Miss Mimsey’s.”

The largest centaur leaned over them, smoothed at their bonds; they became looser but still held them. Max said sharply, “I think they are going to untie us. Get ready to run.”

“Yes, boss.”

Another centaur reached into its built-in pouch, took out another of the ropelike things. It dropped to its fore knees, flipped the end so that it curled around Max’s left ankle. The end seemed to weld into a loop, hobbling Max as effectively as a bowline knot; Ellie was treated the same way. The biggest centaur then patted their bonds, which fell off and writhed gently on the ground. It picked them up and stuffed them into its pouch.

The centaur which had hobbled them wrapped the ends of their tethers around its upright trunk, they merged into a belt. After an exchange of sour bugle calls with the leader, it patted the leashes… which then stretched like taffy, becoming quite twenty feet long and much more slender. Max pressed his knife on Ellie and said, “Try to cut yourself loose. If you can, then run for it. I’ll keep them busy.”

“No, Max.”

“Yes! Dawggone it, quit being a brat! You’ve made enough trouble.”

“Yes, Max.” She took the knife and tried to saw through the strange rope near her ankle. The centaurs made no attempt to stop her, but watched with the same air of gentle astonishment. It was as if they had never seen a knife, had no notion of what one was. Presently she gave up. “No good, Max. It’s like trying to slice duraplastic.”

“Why, I keep that knife like a razor. Let me try.”

He had no better luck. He was forced to stop by the herd moving out—walk or be dragged. He managed to close the knife while hopping on one foot to save his balance. The group proceeded at a slow walk for a few steps, then the leader bugled and the centaurs broke into a trot, exactly like ancient cavalry.

Ellie stumbled at once and was dragged. Max sat down, managed to grab his hobble and hang on while shouting, “Hey! Stop!”

Their captor stopped and looked around almost apologetically. Max said, “Look, stupid. We can’t keep up. We’re not horses,” while helping Ellie to her feet. “Are you hurt, kid?”

“I guess not.” She blinked back tears. “If I could lay hands on that hay-burning oaf, he’d be hurt—plenty!”

“You skinned your hand.”

“It won’t kill me. Just tell him to slow down, will you?”

Seeing them on their feet the monster immediately started to trot again. Down they went again, with Max trying to drag them to a halt. This time the leader trotted back from the main herd and consulted their custodian. Max took part, making up in vehemence what he lacked in semantic efficiency.

Perhaps he was effective; their keeper slowed to a fast walk, letting the others go ahead. Another centaur dropped back and became a rear guard. One of the animated balloons, which had continued to hover over the herd, now drifted back and remained over Max and Ellie.

The pace was just bearable, between a fast walk and a dogtrot. The route led across the open, flat floor of the valley and through knee-high grass. The grass saved them somewhat, as the centaur leading them seemed to feel that a fall or two every few hundred yards represented optimum efficiency. He never seemed impatient and would stop and let them get up, but always started off again at a clip brisk for humans. Max and Ellie ceased trying to talk, their throats being burned dry by their panting efforts to keep up. A tiny stream meandered through the bottom of the valley; the centaur jumped easily across it. It was necessary for the humans to wade. Ellie paused in midstream, leaned down and started to drink. Max objected, “Ellie! Don’t drink that—you don’t know that it’s safe.”

“I hope it poisons me so I can lie down and die. Max, I can’t go much farther.”

“Chin up, kid. We’ll get out of this. I’ve been keeping track of where we’ve gone.” He hesitated, then drank also, being terribly thirsty. The centaur let them, then tugged them on.

It was as far again to the rising ground and forest on the other side. They had thought that they were as tired as they could be before they started up hill; they were mistaken. The centaur was agile as a goat and seemed surprised that they found it difficult. Finally Ellie collapsed and would not get up; the centaur came back and stirred her roughly with a three-toed hoof.

Max struck him with both fists. The centaur made no move to retaliate but looked at him with that same stupid astonishment. Their rear guard came up and conversed with it, after which they waited for perhaps ten minutes. Max sat down beside Ellie and said anxiously, “Feeling any better?”

“Don’t talk.”

Presently the guard edged between them and drove Max back by stepping on him, whereupon the other centaur tugged on Ellie’s leash. It contracted and she was forced to scramble to her feet. The centaurs let them rest twice after that. After an endless time, when the local sun was dropping low in the west, they came out on flat table land, still heavily wooded. They continued through trees for a distance which Max’s count of paces told him was under a mile but seemed like ten, then stopped.

They were in a semi-clearing, a space carpeted with fallen needles. Their guard came up to the other centaur and took from him the end of Max’s leash, flipped it around the base of a tree, to which it clung. The other centaur did the same with Ellie’s leash to another tree about forty feet away. Having done so, they roughly urged the two together, while stopping to stroke their bonds until they were stretched out very thin. It allowed Max and Ellie enough slack that they might have passed each other.

This did not seem to please the centaurs. One of them shifted Max’s leash farther back into the surrounding bushes, dragging him with it. This time at the extreme limit allowed by their bonds they were

about six feet apart. “What are they doing?” asked Ellie. “Looks like they don’t want us to combine forces.”

Finished, the centaurs trotted away. Ellie looked after them, began to sob, then cried openly, tears running down her dirty face and leaving tracks. “Stow it,” Max said harshly. “Sniffling will get us nowhere.”

“I can’t help it,” she bawled. “I’ve been brave all day—at least I’ve tried to be. I… ” She collapsed face down and let herself go.

By getting down prone and stretching Max could just reach her head. He patted her tangled hair. “Take it easy, kid,” he said softly. “Cry it out, if you’ll feel better.”

“Oh, Maxie! Tied up… like a dog.”

“We’ll see about that.” He sat up and examined his tether.

Whatever the ropelike leash was, it was not rope. It had a smooth shiny surface which reminded him more of a snake, though the part that wound around his ankle showed no features; it simply flowed around his ankle and merged back into itself.

He lifted the bight and detected a faint throbbing. He stroked it as he had seen the centaurs do and it responded with flowing pulsations, but it neither shrank nor grew longer, nor did it loosen its grip. “Ellie,” he announced, “This thing is alive.”

She lifted a woebegone face. “What thing?” “This rope.”

“Oh, that! Of course.”

“At least,” he went on, “if it isn’t, it’s not really dead.” He tried his knife again, there was no effect. “I’ll bet if I had a match I could make it cry ‘Uncle.’ Got an Everlite, Ellie?”

“I don’t smoke.”

“Neither do I. Well, maybe I can make a fire some other way. Rubbing two sticks together, or something.”

“Do you know how?”

“No.” He continued stroking and patting the living rope, but, though he always got a response in pulsations, he did not seem to have the right touch; the bond stayed as before. He was continuing this fruitless attempt when he heard his name called. “Max! Ellie!”

Ellie sat up with a jerk. “Chipsie! Oh, Max, she followed us. Come here, darling!”

The spider puppy was high above them in a tree. She looked carefully around, then scurried down, making the last ten feet a flying leap into Ellie’s arms. They cuddled and made soft noises, then Ellie straightened up, her eyes shining. “Max, I feel so much better.”

“So do I.” He added, “Though I don’t know why.”

The spider puppy announced gravely, “Chipsie follow.”

Max reached across and petted her. “Yes, Chipsie did. Good girl!”

Ellie hugged the spider puppy. “I don’t feel deserted now, Max. Maybe everything will come out all right.”

“Look, Ellie, we’re not in too bad a spot. Maybe I’ll find the combination to tickle these ropes or snakes or whatever so they’ll give up. If I do, we’ll sneak back tonight.”

“How would we find our way?”

“Don’t worry. I watched every foot of the way, every change of direction, every landmark.” “Even in the dark?”

“Easier in the dark. I know these stars—I sure ought to. But suppose we don’t get loose; we still aren’t licked.”

“Huh? I don’t relish spending my life tied to a tree.”

“You won’t. Look—I think these things are just curious about us. They won’t eat us, that’s sure—they probably live on grass. Maybe they’ll get bored and turn us loose. But if they don’t, it’ll be rough on them.”

“Huh? Why?”

“Because of Mr. Walther and George Daigler—and Sam, Sam Anderson; that’s why. They’re probably beating the bushes for us right now. We are less than ten miles from the ship—five by a straight line.

They’ll find us. Then if these silly-looking centaurs want to get tough, they’ll learn about modern weapons. They and their fool throwing ropes!”

“It might take a long time to find us. Nobody knows where we went.”

“Yes,” he admitted. “If I had a pocket radio. Or some way to signal. Or even a way to build a fire. But I don’t.”

“I never thought. It just seemed like going for a stroll in the park.”

Max thought darkly that he had tried to warn her. Why, even the hills around home weren’t safe if a body didn’t keep his eyes peeled… you could run into a mean old bobcat, or even a bear. Person like Ellie never ‘ud had enough hard knocks to knock sense into her, that was her trouble.

Presently he admitted that he himself hadn’t looked for grief from anything as apparently

chuckled-headed and harmless as these centaur things. Anyhow, as Sam would say, no use cryin’ over spilt milk when the horse was already stolen.

“Ellie.”

“Huh?”

“Do you suppose Chipsie could find her way back?” “Why, I don’t know.”

“If she could, we could send a message.”

Chipsie looked up. “Back?” she inquired. “Please back. Go home.”

Ellie frowned. “I’m afraid Chipsie doesn’t talk that well. She’d probably just hiccup and get incoherent.” “I don’t mean that. I know Chipsie is no mental giant. I…”

“Chipsie is smart!”

“Sure. But I want to send a written message and a map.” He fumbled in a pocket, pulled out a stylus. “Do you have any paper?”

“I’ll see.” She found a folded paper in a dungaree pocket. “Oh, dear! I was supposed to take this to Mr. Giordano. Mr. Hornsby will be so vexed with me.”

“What is it?”

“A requisition for number-ten wire.”

“It doesn’t matter now.” He took the paper, scratched out the memorandum, turned it over and began to draw, stopping to consult the pictures filed in his mind for distances, which way the local sun lay, contours, and other details.

“Max?”

“Quiet, can’t you?” He continued to sketch, then added: “URGENT—to First Officer Walther: Eldreth Coburn and self captured by centaurs. Be careful and watch out for their throwing ropes. Respectfully,

M. Jones.” He handed it to Ellie. “That ought to do it. Is there any way to fasten it to her? I sure don’t want her to drop it.”

“Mmm… let me see. Turn your back, Max.” “Why?”

“Don’t be difficult. Turn your back.”

He did so, shortly she said, “All right now.” He faced her and she handed him a ribbon. “How’s this?”

“Swell!” They managed to tie the ribbon, with the note folded and firmly attached, around Mr. Chips’ waist, anchoring it to a middle limb… not too easy as the spider puppy seemed to think it was a game and was ticklish as well.

“There! Stop squirming, Chipsie, and listen. Ellie wants you to go home.” “Home?”

“Yes, home. Go back to the ship.” “Ellie go home?”

“Ellie can’t go home.” “No.”

“Honey, you’ve got to.” “No.”

“Look, Chipsie. You find Maggie and tell her Ellie said to give you some candy. You give Maggie this.” She tugged at the tied note.

“Candy?”

“Go home. Find Maggie. Maggie will give you candy.” “Ellie go home.”

“Please, Chipsie.”

“Ellie,” Max said urgently, “something is coming.”

Eldreth looked up, saw a centaur coming through the trees. She pointed. “Look, Chipsie! They’re coming! They’ll catch Chipsie! Go home! Run!”

The spider puppy squealed in terror and scurried for the trees. Once on a branch she looked back and whimpered. “Go home!” screamed Ellie. “Find Maggie!”

Mr. Chips shot a glance at the centaur, then disappeared. They had no time to worry further, the centaur was almost up to them. He glanced at them and went on by; it was what followed the centaur that grabbed their attention. Ellie suppressed a shriek. “Max! They’ve caught everybody.”

“No,” he corrected grimly. “Look again.” The gathering gloom had caused him to make the same mistake; it seemed that the entire ship’s company trotted after the centaur in single file, ankle leashed to ankle by living ropes. But only the first glance gave such an impression. These creatures were more than humanoid—but such degraded creatures had never sailed between the stars.

They shuffled quickly along like well-trained animals. One or two looked at Ellie and Max in passing, but their stares were bovine, incurious. Small children not on leash trotted with their mothers, and once Max was startled to see a wrinkled little head peeping out of a pouch—these man-creatures were marsupials, too.

Max controlled a desire to retch and as they passed out of sight he turned to Ellie. “Gosh!” “Max,” Eldreth said hoarsely, “do you suppose we’ve died and gone to our punishment?” “Huh? Don’t be silly. Things are bad enough.”

“I mean it. That was something right out of Dante’s Inferno.”

Max was swallowing uneasily and not feeling good-tempered. “Look, you can pretend you’re dead if you want to. Me, I’m alive and I mean to stay so. Those things weren’t men. Don’t let it throw you.”

“But they were men. Men and women and children.”

“No, they weren’t. Being shaped like us doesn’t make them men. Being a man is something else entirely.” He scowled. “Maybe the centaurs are ‘men.'”

“Oh, no—”

“Don’t be too sure. They seem to run things in this country.”

The discussion was cut short by another arrival. It was almost dark and they did not see the centaur until he entered their clearing. He was followed by three of the—Max decided to call them ‘men’ though he

resented the necessity—followed by three men. They were not on leashes. All three were bearing burdens. The centaur spoke to them; they distributed what they carried.

One of them set down a large clay bowl filled with water in the space separating Max and Ellie. It was the first artifact that any human had seen on Charity and did not indicate a high level of mechanical culture, being crudely modeled and clearly not thrown on a potter’s wheel; it held water, no more could be said for it. A second porter dumped a double armful of small fruits beside the bowl. Two of them splashed into the bowl, he did not bother to fish them out.

Max had to look twice to see what the third slave was carrying. It looked as if he had three large ovoid balls slung by ropes in each of his hands; second inspection showed them to be animals about the size of opossums which he carried by their tails. He went around the clearing, stopping every few feet and lifting one of his burdens to a lower branch. When he had finished they were surrounded by six small creatures, each hanging by its tail. The centaur followed the slave, Max saw him stroke each animal and press a spot on its neck. In each case the entire body of the little animal lit up, began to shine like a firefly with soft silvery light.

The clearing was softly illuminated thereby—well enough, Max thought, to read large print. One of the hobgoblins balloons came sailing silently between trees and anchored to a point thirty feet above them; it seemed to settle down for the night.

The centaur came over to Max and prodded him with a hoof, snorting inquiringly. Max listened carefully, then repeated the sound. The centaur answered and again Max mimicked. This useless exchange continued for a few phrases, then the centaur gave up and left, his train trotting after him.

Ellie shivered. “Phew!” she exclaimed, “I’m glad they’re gone. I can stand the centaurs, a little, but those men… ugh!”

He shared her disgust; they looked less human close up, having hair lines that started where their eyebrows should have been. They were so flat-headed that their ears stuck up above their skulls. But it was not this that had impressed Max. When the centaur had spoken to him Max had gotten his first good look into a centaur’s mouth. Those teeth were never meant for munching grain, they were more like the teeth of a tiger—or a shark.

He decided not to mention this. “Say, wasn’t that the same one that was leading the herd that caught us?” “How would I know? They all look alike.”

“But they don’t, any more than two horses look alike.” “Horses all look alike.”

“But… ” He stopped, baffled by a city viewpoint at which communication failed. “I think it was the same one.”

“I can’t see that it matters.”

“It might. I’m trying to learn their language.”

“I heard you swallowing your tonsils. How did you do that?”

“Oh, you just remember what a sound sounds like, then do it.” He threw his head back and made a very plaintive sound.

“What was that?”

“A shote stuck in a fence. Little shote by the name of Abner I had once.” “It sounds tragic.”

“It was, until I helped him loose. Ellie, I think they’ve bedded us down for the night.” He gestured at the bowl and the fruit beside it. “Like feeding the hogs.”

“Don’t put it that way. Room service. Room service and maid service and lights. Food and drink.” She picked up one of the fruits. It was about the size and shape of a cucumber. “Do you suppose this is fit to eat?”

“I don’t think you ought to try it. Ellie, it would be smart not to eat or drink anything until we are rescued.”

“Well, maybe we could go hungry but we certainly can’t go without water. You die of thirst in a day or two.”

“But we may be rescued before morning.”

“Maybe.” She peeled the fruit. “It smells good. Something like a banana.” He peeled one and sniffed it. “More like a pawpaw.”

“Well?”

“Mmm—Look here, I’ll eat one. If it hasn’t made me sick in a half hour, then you can try one.” “Yes, sir, boss man.” She bit into the one she held. “Mind the seeds.”

“Ellie, you’re a juvenile delinquent.”

She wrinkled her nose and smiled. “You say the sweetest things! I try to be.”

Max bit into his. Not bad—not as much flavor as a pawpaw, but not bad. Some minutes later he was saying, “Maybe we should leave some for breakfast?”

“All right. I’m full anyway.” Ellie leaned over and drank. Without words they had each concluded that the cloying meal required them to risk the water. “There, I feel better. At least we’ll die comfortably. Max? Do you think we dare sleep? I’m dead.”

“I think they are through with us for the night. You sleep, I’ll sit up.”

“No, that’s not fair. Honest, what good would it do to keep watch? We can’t get away.” “Well… here, take my knife. You can sleep with it in your hand.”

“All right.” She reached across the bowl and accepted it. “Good night, Max. I’m going to count sheep.”

“Good night.” He stretched out, shifted and got a tree cone out of his ribs, then tried to relax. Fatigue and a full stomach helped, the knowledge of their plight hindered—and that hobgoblin hanging up there.

Maybe it was keeping watch—but not for their benefit. “Max? Are you asleep?”

“No, Ellie.”

“Hold my hand? I’m scared.” “I can’t reach it.”

“Yes, you can. Swing around the other way.”

He did so, and found that he could reach over his head past the water bowl and clasp her hand. “Thanks, Max. Good night some more.”

He lay on his back and stared up through the trees. Despite the half light given by the luminiferous animals he could see stars and the numerous meteor trails crisscrossing the sky. To avoid thinking he started counting them. Presently they started exploding in his head and he was asleep.

The light of the local sun through the trees awakened him. He raised his head. “I wondered how long you would sleep,” Eldreth announced. “Look who’s here.”

He sat up, wincing with every move, and turned around. Mr. Chips was sitting on Ellie’s middle and peeling one of the papaya-like fruits. “Lo, Maxie.”

“Hello, Chipsie.” He saw that the note was still tied to her. “Bad girl!”

Mr. Chips turned to Ellie for comfort. Tears started to leak out. “No, no,” corrected Ellie. “Good girl. She’s promised to go find Maggie as soon as she finishes breakfast. Haven’t you, dear?”

“Go find Maggie,” the spider puppy agreed.

“Don’t blame her, Max. Spider puppies aren’t nocturnal back home. She just waited until we were quiet, then came back. She couldn’t help it. I found her sleeping in my arm.”

The spider puppy finished eating, then drank daintily from the bowl. Max decided that it didn’t matter, considering who had probably used it before they had. This thought he suppressed quickly. “Find Maggie,” Mr. Chips announced.

“Yes, dear. Go straight back to the ship as fast as you can and find Maggie. Hurry.”

“Find Maggie. Hurry fast. ‘Bye, Maxie.” The spider puppy took to the trees and scampered away in the right direction.

“Do you think she’ll get there?” asked Max.

“I think so. After all, her ancestors found their way through forests and such for a lot of generations. She knows it’s important; we had a long talk.”

“Do you really think she understands that much?”

“She understands about pleasing me and that’s enough. Max, do you suppose they can possibly reach us today? I don’t want to spend another night here.”

“Neither do I. If Chipsie can move faster than we can…” “Oh, she can.”

“Then maybe—if they start quickly.”

“I hope so. Ready for breakfast?” “Did Chipsie leave anything?” “Three apiece. I’ve had mine. Here.”

“Sure you’re lying? There were only five when we went to sleep.” She looked sheepish and allowed him to split the odd one. While they were eating he noticed a change. “Hey, what became of the over-sized lightning bugs?”

“Oh. One of those awful creatures came at dawn and carried them away. I was set to scream but he didn’t come close to me, so I let you sleep.”

“Thanks. I see our chaperone is with us.” The hobgoblin still hung in the tree tops. “Yes, and there have been peekers around all morning, too.”

“Did you get a look at one?”

“Of course not.” She stood up, stretched and winced. “Now to see what beautiful surprises this lovely day brings forth.” She made a sour face. “The program I would pick is to sit right here and never lay eyes on anything until George Daigler shows up with about a dozen armed men. I’d kiss him. I’d kiss all of them.”

“So would I.”

Until well past noon Eldreth’s chosen schedule prevailed, nothing happened. They heard from time to time the bugling and snorting of centaurs but saw none. They talked in desultory fashion, having already disposed of both hopes and fears, and were dozing in the sunshine, when they suddenly came alert to the fact that a centaur was entering the clearing.

Max felt sure that it was the leader of the herd, or at least that it was the one who had fed and watered them. The creature wasted no time, making it clear with kicks and prods that they were to allow themselves to be leashed for travel.

Never once were they free of the living ropes. Max thought of attacking the centaur, perhaps leaping on his back and cutting his throat. But it seemed most unlikely that he could do it quietly enough; one snort might bring the herd down on them. Besides which he knew no way to get free of their bonds even if he killed the centaur. Better wait—especially with a messenger gone for help.

They were led, falling and being dragged occasionally, along the route taken by the party of slaves. It became apparent that they were entering a large centaur settlement. The path opened out into a winding, well-tended road with centaurs going both directions and branching off onto side roads. There were no buildings, none of the outward marks of a civilized race—but there was an air of organization, of custom, of stability. Little centaurs scampered about, got in the way, and were ordered aside. There was activity of various sorts on both sides of the road and grotesque human slaves were almost as numerous as centaurs, carrying burdens, working in unexplained fashions—some with living-rope bonds, some allowed to run free. They could not see much because of the uncomfortable pace they were forced to maintain.

Once Max noted an activity on his side of the road that he wished to see better. He did not mention it to Ellie, not only because talking was difficult but because he did not wish to worry her—but it had looked like an outdoor butcher shop to him. The hanging carcasses were not centaurs.

They stopped at last in a very large clearing, well filled with centaurs. Their master patted the lines that bound them and thereby caused them to shorten until they were fetched close to his sides. He then took his place in a centaur queue.

A large, grizzled, and presumably elderly centaur was holding court on one side of the “square.” He stood with quiet dignity as single centaurs or groups came in succession before him. Max watched with interest so great that he almost lost his fear. Each case would be the cause of much discussion, then the centaur chieftain would make a single remark and the case would be over. The contestants would leave quietly.

The conclusion was inescapable that law or custom was being administered, with the large centaur as arbiter.

There was none of the travesties of men in the clearing but there were underfoot odd animals that looked like flattened-out hogs. Their legs were so short that they seemed more like tractor treads. They were mostly mouth and teeth and snuffling snouts, and whatever they came to, if it was not a centaur’s hoof, they devoured. Max understood from watching them how the area, although thickly inhabited, was kept so clean; these scavengers were animated street cleaners.

Their master gradually worked up toward the head of the line. The last case before theirs concerned the only centaur they had seen which did not seem in vibrant health. He was old and skinny, his coat was dull and his bones stuck pitifully through his hide. One eye was blind, a blank white; the other was inflamed and weeping a thick ichor.

The judge, mayor, or top herd leader discussed his case with two younger healthy centaurs who seemed to be attending him almost as nurses. Then the boss centaur moved from his position of honor and walked around the sick one, inspecting him from all sides. Then he spoke to him.

The old sick one responded feebly, a single snorted word. The chief centaur spoke again, got what seemed to Max the same answer. The chief backed into his former position, set up a curious whinnying cry.

From all sides the squatty scavengers converged on the spot. They formed a ring around the sick one and his attendants, dozens of them, snuffling and grunting. The chief bugled once; one attendant reached into its pouch and hauled forth a creature curled into a knot, the centaur stroked it and it unwound. To Max it looked unpleasantly like an eel.

The attendant extended it toward the sick centaur. It made no move to stop him, but waited, watching with his one good eye. The head of the slender thing was suddenly touched to the neck of the sick centaur; he jerked in the characteristic convulsion of electric shock and collapsed.

The chief centaur snorted once—and the scavengers waddled forward with surprising speed, swarming over the body and concealing it. When they backed away, still snuffling, there were not even bones.

Max called out softly, “Steady, Ellie! Get a grip on yourself, kid.” She answered faintly, “I’m all right.”

A FRIEND IN NEED

For the first time they were turned loose. Their master tickled their bonds, which dropped from their ankles. Max said softly to Ellie, “If you want to run for it, I’ll keep them busy.”

Ellie shook her head. “No good. They’d have me before I went fifty feet. Besides—I can’t find my way back.”

Max shut up, knowing that she was right but having felt obliged to offer. The chief centaur inspected them with the characteristic expression of gentle surprise, exchanged bugling comments with their captor. They were under discussion for some time, there appeared to be some matter to be decided. Max got out his knife. He had no plan, other than a determination that no centaur would approach either one of them with that electric-shock creature, or any other menace, without a fight.

The crisis faded away. Their captor flicked their leashes about their ankles and dragged them off. Fifteen minutes later they were again staked out in the clearing they had occupied. Ellie looked around her after the centaur had gone and sighed. “‘Be it ever so humble… ‘ Max, it actually feels good to get back here.”

“I know.”

The monotony that followed was varied by one thing only: fading hope and mounting despair. They were not treated unkindly; they were simply domestic animals—fed and watered and largely ignored. Once a day they were given water and plenty of the native papayas. After the first night they no longer had the luxury of “artificial” light, nor did the hobgoblin hang over their clearing. But there was no way of escape, short of gnawing off a leg and crawling away.

For two or three days they discussed the possibility of rescue with mounting anxiety, then, having beaten the subject to death they dropped it; it simply added to their distress. Ellie rarely smiled now and she had quit her frivolous back talk; it seemed that it had finally gotten through her armor that this could happen to Eldreth Coburn, only daughter of the rich and almost all-powerful Mr. Commissioner Coburn—a chattel, a barnyard animal of monsters themselves suitable only for zoos.

Max took it a little more philosophically. Never having had much, he did not expect much—not that he enjoyed it. He kept his worst fear secret. Ellie referred to their status as “animals in a zoo” because most of their visitors were small centaurs who came sniffling and bleating around with a curiosity that their elders seemed to lack. He let her description stand because he believed their status worse than that—he thought that they were being fattened for the table.

One week after their capture Eldreth declined to eat breakfast and stayed silent all morning. All that Max could think of to say evoked only monosyllables. In desperation he said, “I’ll beat you at three-dee and spot you two starships.”

That roused her. “You and who else?” she said scornfully. “And with what?” “Well, we could play it in our heads. You know—blindfold.”

She shook her head. “No good. You’d claim your memory was better than mine and I wouldn’t be able to prove you were cheating.”

“Nasty little brat.”

She smiled suddenly. “That’s better. You’ve been too gentle with me lately—it depresses me. Max, we could make a set.”

“How?”

“With these.” She picked up one of many tree cones that littered the clearing. “A big one is a flagship. We can pick various sizes and break the thingamajigs off and such.”

They both got interested. The water bowl was moved aside so that it no longer occupied the center of the space marked by the limits of their tethers and the no-man’s-land between them was brushed free of needles and marked with scratches as boards. The boards had to be side by side; they must stack them in their minds, but that was a common expedient for players with good visualization when using an unpowered set—it saved time between moves.

Pebbles became robots; torn bits of cloth tied to cones distinguished sides and helped to designate pieces. By midafternoon they were ready. They were still playing their first game when darkness forced them to stop. As they lay down to sleep Max said, “I’d better not take your hand. I’d knock over men in the dark.”

“I won’t sleep if you don’t—I won’t feel safe. Besides, that gorilla messed up one board changing the water.”

“That’s all right. I remember where they were.”

“Then you can just remember where they all are, Stretch out your arm.” He groped in the darkness, found her fingers. “Night, Max. Sleep tight.” “Good night, Ellie.”

Thereafter they played from sunup to sundown. Their owner came once, watched them for an hour, went away without a snort. Once when Ellie had fought him to a draw Max said, “You know, Ellie, you play this game awfully well—for a girl.”

“Thank you too much.”

“No, I mean it. I suppose girls are probably as intelligent as men, but most of them don’t act like it. I think it’s because they don’t have to. If a girl is pretty, she doesn’t have to think. Of course, if she can’t get by on her looks, then—well, take you for example. If you…”

“Oh! So I’m ugly, Mr. Jones!”

“Wait a minute. I didn’t say that. Let’s suppose that you were the most beautiful woman since Helen of Troy. In that case, you would… ” He found that he was talking to her back. She had swung round, grabbed her knees, and was ignoring him.

He stretched himself to the limit of his tether, bound leg straight out behind him, and managed to touch her shoulder. “Ellie?”

She shook off his hand. “Keep your distance! You smell like an old goat.”

“Well,” he said reasonably, “you’re no lily yourself. You haven’t had a bath lately either.”

“I know it!” she snapped, and started to sob. “And I hate it. I just… h- h- hate it. I look awful.” “No, you don’t. Not to. me.”

She turned a tear-wet and very dirty face. “Liar.” “Nothing wrong that some soap and water won’t fix.”

“Oh, if only I had some.” She looked at him. “You aren’t at your best yourself, Mr. Jones. You need a haircut and the way your beard grows in patches is ghastly.”

He fingered the untidy stubble on his chin. “I can’t help it.” “Neither can I.” She sighed. “Set up the boards again.”

Thereafter she beat him three straight games, one with a disgraceful idiot’s mate. He looked at the boards sadly when it was over. “And you are the girl who flunked improper fractions?”

“Mr. Jones, has it ever occurred to you, the world being what it is, that women sometimes prefer not to appear too bright?” He was digesting this when she added, “I learned this game at my father’s knee, before I learned to read. I was junior champion of Hespera before I got shanghaied. Stop by sometime and I’ll show you my cup.”

“Is that true? Really?”

“I’d rather play than eat—when I can find competition. But you’re learning. Someday you’ll be able to give me a good game.”

“I guess I don’t understand women.” “That’s an understatement.”

Max was a long time getting to sleep that night. Long after Eldreth was gently snoring he was still staring at the shining tail of the big comet, watching the shooting star trails, and thinking. None of his thoughts was pleasant.

Their position was hopeless, he admitted. Even though Chipsie had failed (he had never pinned much hope on her), searching parties should have found them by now. There was no longer any reason to think that they would be rescued.

And now Ellie was openly contemptuous of him. He had managed to hurt her pride again—again with his big, loose, flapping jaw! Why, he should have told her that she was the prettiest thing this side of paradise, if it would make her feel good—she had mighty little to feel good about these days!

Being captive had been tolerable because of her, he admitted—now he had nothing to look forward to but day after day of losing at three-dee while Ellie grimly proved that girls were as good as men and better. At the end of it they would wind up as an item in the diet of a thing that should never have been born.

If only Dr. Hendrix hadn’t died!

If only he had been firm with Ellie when it mattered.

To top it off, and at the moment almost the worst of all, he felt that if he ate just one more of those blasted pawpaws it would gag him.

He was awakened by a hand on his shoulder and a whisper in his ear. “Max!” “What the—?”

“Quiet! Not a sound.”

It was Sam crouching over him—Sam!

As he sat up, sleep jarred out of him by adrenalin shock, he saw Sam move noiselessly to where Ellie slept. He squatted over her but did not touch her. “Miss Eldreth,” he said softly.

Ellie’s eyes opened and stared. She opened her mouth, Max was terrified that she might cry out. Sam hastily signed for silence; she looked at him and nodded. Sam knelt over her, seemed to study something in the shadow-laced moonlight, then took out a hand gun. There was the briefest of low-energy discharges, entirely silent, and Ellie stood up—free. Sam returned to Max. “Hold still,” he whispered. “I don’t want to burn you.” He knelt over Max’s bound ankle.

When the gun flared Max felt an almost paralyzing constriction around his ankle, then the thing fell off. The amputated major part contracted and jerked away into the shadows. Max stood up. “How—”

“Not a word. Follow me.” Sam led off into the bushes with Ellie behind him and Max following closely. They had gone only twenty yards when there was a whimpering cry of “Ellie!” and the spider puppy landed in Eldreth’s arms. Sam turned suddenly.

“Keep her quiet,” he whispered, “for your life.”

Ellie nodded and started petting the little creature, crooning to it voicelessly. When Chipsie tried to talk, she silenced it, then stuffed it inside her shirt. Sam waited these few moments, now started on without speaking.

They proceeded for several hundred yards as near silently as three people who believe their lives hang on it can manage. Finally Sam stopped. “This is as far as we dare go,” he said in a low voice. “Any farther in the dark and I’d be lost. But I’m pretty sure we are outside their sleeping grounds. We’ll start again at the first light.”

“How did you get here in the dark, then?”

“I didn’t. Chips and I have been hiding in thick bushes since midafternoon, not fifty feet from you.” “Oh.” Max looked around, looked up at the stars. “I can take us back in the dark.”

“You can? It ‘ud be a darn good thing. These babies don’t stir out at night—I think.” “Let me get in the lead. You get behind Ellie.”

It took more than an hour to get to the edge of the tableland. The darkness, the undergrowth, the need for absolute silence, and the fact that Max had to take it slowly to keep his bearings despite his photographic memory all slowed them down. The trip downhill into the valley was even slower.

When they reached the edge of the trees with comparatively flat grassland in front Sam halted them and surveyed the valley by dim moonlight. “Mustn’t get caught in the open,” he whispered. “They can’t throw those snakes too well among trees, but out in the open—oh, brother!”

“You know about the throwing ropes?” “Sure.”

“Sam,” whispered Ellie. “Mr. Anderson, why did…”

“Sssh!” he cautioned. “Explanations later. Straight across, at a dogtrot. Miss Eldreth, you set the pace. Max, pick your bearings and guide us. We’ll run side by side. All set?”

“Just a minute.” Max took the spider puppy from Eldreth, zipping it inside his shirt as she had done. Mr. Chips did not even wake up, but moaned softly like a disturbed baby. “Okay.”

They ran and walked and ran again for a half hour or more, wasting no breath on words, putting everything into gaining distance from the centaur community. Knee-high grass and semi-darkness made the going hard. They were almost to the bottom of the valley and Max was straining to spot the stream when Sam called out, “Down! Down flat!”

Max hit dirt, taking it on his elbows to protect Chips; Ellie flopped beside him. Max turned his head cautiously and whispered, “Centaurs?”

“No. Shut up.”

A hobgoblin balloon, moving at night to Max’s surprise, was drifting across the valley at an altitude of about a hundred feet. Its course would take it past them, missing them by perhaps a hundred yards. Then it veered and came toward them.

It lost altitude and hovered almost over them. Max saw Sam aim carefully, steadying his pistol with both bands. There was momentarily a faint violet pencil from gun to hobgoblin; the creature burst and fell so close by that Max could smell burned meat. Sam returned his weapon and got to his feet. “One less spy,” he said with satisfaction. “Let’s get going, kids.”

“You think those things spy?”

“‘Think’? We know. Those polo ponies have this place organized. Pipe down and make miles.”

Ellie found the stream by falling into it. They hauled her out and waded across, stopping only to drink. On the other bank Sam said, “Where’s your left shoe, Miss Eldreth?”

“It came off in the brook.”

Sam stopped to search but it was useless; the water looked like ink in the faint light. “No good,” he decided. “We could waste the whole night. You’re due for sore feet—sorry. Better throw away your other shoe.”

It did not slow them until they reached the far ridge beyond which lay Charityville and the ship. Soon after they started up Ellie cut her right foot on a rock. She did her best, setting her jaw and not complaining, but it handicapped them. There was a hint of dawn in the air by the time they reached the top. Max started to lead them down the arroyo that he and Ellie had come up so many year-long days ago. Sam stopped him. “Let me get this straight. This isn’t the draw that faces the ship, is it?”

“No, that one is just north of this.” Max reconstructed in his mind how it had looked from the ship and compared it with his memory of the photomap taken as the ship landed. “Actually a shoulder just beyond the next draw faces the ship.”

“I thought so. This is the one Chips led me up, but I want us to stay in the trees as long as possible. It’ll be light by the time we’d be down to the flat.”

“Does it matter? There have never been any centaurs seen in the valley the ship is in.”

“You mean you never saw any. You’ve been away, old son. We’re in danger now—and in worse danger

the closer we get to the ship. Keep your voice down—and lead us to that shoulder that sticks out toward the ship. If you can.”

Max could, though it meant going over strange terrain and keeping his bearings from his memory of a small-scale map. It involved “crossing the furrows,” too, instead of following a dry water course—which led to impasses such as thirty-foot drops that had to be gone painfully around. Sam grew edgy as the light increased and urged them to greater speed and greater silence even as Ellie’s increasingly crippled condition made his demands harder to meet.

“I really am sorry,” he whispered after she had to slide and scramble down a rock slope, checking herself with bare and bloody feet. “But it’s better to get there on stumps than to let them catch you.”

“I know.” Her face contorted but she made no sound. It was daylight by the time Max led them out on the shoulder. Silently he indicated the ship, a half mile away. They were about level with its top.

“Down this way, I think,” he said quietly to Sam. “No.”

“Huh?”

“Chilluns, it’s Uncle Sam’s opinion that we had better lie doggo in those bushes, holding still and letting the beggar flies bite us, until after sundown.”

Max eyed the thousand yard gap. “We could run for it.”

“And four legs run faster than two legs. We’ve learned that lately.”

The bushes selected by Sam grew out to the edge of the shoulder. He crawled through them until he reached a place where he could spy the valley below while still hidden. Ellie and Max wriggled after him. The ground dropped off sharply just beyond them. The ship faced them, to their left and nearer was Charityville.

“Get comfortable,” Sam ordered, “and we’ll take turns keeping guard. Sleep if you can, this will be a long watch.”

Max tried to shift Mr. Chips around so that he might lie flat. A little head poked out of his collar. “Good morning,” the spider puppy said gravely. “Breakfast?”

“No breakfast, hon,” Ellie told her. “Sam, is it all right to let her out?”

“I guess so. But keep her quiet.” Sam was studying the plain below. Max did the same. “Sam? Why don’t we head for the village? It’s closer.”

“Nobody there. Abandoned.”

“What? Look, Sam, can’t you tell us now what’s happened?”

Sam did not take his eyes off the plain. “Okay. But hold it down to whispers. What do you want to know?”

That was a hard one—Max wanted to know everything. “What happened to the village?” “Gave it up. Too dangerous.”

“Huh? Anybody caught?”

“Not permanently. Daigler had a gun. But then the fun began. We thought that all they had were those throwing snakes and that we had scared them off. But they’ve got lots more than that. Things that burrow underground, for example. That’s why the village had to be abandoned.”

“Anybody hurt?”

“Well… the newlyweds were already in residence. Becky Weberbauer is a widow.”

Ellie gasped and Sam whispered sharply to be quiet. Max mulled it over before saying, “Sam, I don’t see why, after they got my message, they didn’t…”

“What message?”

Max explained. Sam shook his head. “The pooch got back all right. By then we knew you were missing and were searching for you—armed, fortunately. But there was no message.”

“Huh? How did you find us?”

“Chips led me, I told you. But that was all. Somebody stuffed her into her old cage and that’s where I found her yesterday. I stopped to pet her, knowing you were gone, Miss Eldreth—and found the poor little thing nearly out of her mind. I finally got it through my head that she knew where you two were.

So… ” He shrugged.

“Oh. But I can’t see,” Max whispered, “why you risked it alone. You already knew they were dangerous; you should have had every man in the ship with you, armed.”

Sam shook his head. “And we would have lost every man. A sneak was possible; the other wasn’t. And we had to get you back.”

“Thanks. I don’t know how to say it, Sam. Anyhow, thanks.”

“Yes,” added Ellie, “and stop calling me ‘Miss Eldreth.’ I’m Ellie to my friends.” “Okay, Ellie. How are the feet?”

“I’ll live.”

“Good.” He turned his head to Max. “But I didn’t say we wanted to get you back, I said we had to. You, Max. No offense, Ellie.”

“Huh? Why me?”

“Well… ” Sam seemed reluctant. “You’ll get the details when you get back. But it looks like you’ll be needed if they take the ship off. You’re the only astrogator left.”

“Huh? What happened to Simes?” “Quiet! He’s dead.”

“For Pete’s sake.” Max decided that, little as he liked Simes, death at the hands of the centaurs he would not have wished on any human; he said so.

“Oh, no, it wasn’t that way. You see, when Captain Blaine died…”

“The Captain, too?” “Yes.”

“I knew he was sick, I didn’t know he was that sick.”

“Well, call it a broken heart. Or honorable hara-kiri. Or an accident. I found an empty box for sleeping pills when I helped pack his things. Maybe he took them, or maybe your pal Simes slipped them in his tea. The Surgeon certified ‘natural causes’ and that’s how it was logged. What is a natural cause when a man can’t bear to live any longer?”

Ellie said softly, “He was a good man.” “Yes,” agreed Sam. “Too good, maybe.” “But how about Simes?”

“Well, now, that was another matter. Simes seemed to feel that he was crown prince, but the First wouldn’t stand for it. Something about some films the Chief Computerman had. Anyhow, he tried to get tough with Walther and I sort of broke his neck. There wasn’t time to be gentle,” Sam added hastily. “Simes pulled a gun.”

“Sam! You aren’t in trouble?”

“None, except here and now. If we—quiet, kids!” He peered more sharply through the bushes. “Not a sound, not a movement,” he whispered. “It may miss us.”

A hobgoblln was drifting down from north, paralleling the ridge above and out from it, as if it were scouting the high land. Max said in Sam’s ear, “Hadn’t we better scrunch back?”

“Too late. Just hold still.”

The balloon drifted abreast of them, stopped, then moved slowly toward them. Max saw that Sam had his gun out. He held his fire until the hobgoblin hovered above them. The shot burned needles and branches but it brought down the thing.

“Sam! There’s another one!”

“Where?” Sam looked where Max pointed. The second hobgoblin apparently had been covering the first, higher and farther out. Even as they watched it veered away and gained altitude.

“Get it, Sam!”

Sam stood up. “Too late. Too far and too late. Well, kids, away we go. No need to keep quiet. Sit down and slide, Ellie; it’ll save your feet some.”

Down they went, scattering rocks and tearing their clothes, with Mr. Chips on her own and enjoying it. At the bottom Sam said, “Max, how fast can you do a half mile?”

“I don’t know. Three minutes.”

“Make it less. Get going. I’ll help Ellie.” “No.”

“You get there! You’re needed.” “No!”

Sam sighed. “Always some confounded hero. Take her other arm.”

They made a couple of hundred yards half carrying Eldreth, when she shook them off. “I can go faster alone,” she panted.

“Okay, let’s go!” Sam rasped.

She proved herself right. Ignoring her injured feet she pumped her short legs in a fashion which did not require Max’s best speed to keep up, but nevertheless kept him panting. The ship grew larger ahead of them. Max saw that the cage was up and wondered how long it would take to attract attention and get it lowered.

They were half way when Sam shouted, “Here comes the cavalry! Speed it up!”

Max glanced over his shoulder. A herd of centaurs—a dozen, two dozen, perhaps more—was sweeping toward them from the hills on a diagonal plainly intended to cut them off. Ellie saw them too and did speed up, with a burst that momentarily outdistanced Max.

They had cut the distance to a few hundred yards when the cage swung free of the lock and sank lazily toward the ground. Max started to shout that they were going to make it when he heard the drum of hooves close behind. Sam yelled, “Beat it, kids! Into the ship.” He stopped.

Max stopped too, while shouting, “Run, Ellie!

Sam snarled, “Run for it, I said! What can you do? Without a gun?”

Max hesitated, torn by an unbearable decision. He saw that Ellie had stopped. Sam glanced back, then backhanded Max across the mouth. “Get moving! Get her inside!”

Max moved, gathering Ellie in one arm and urging her on. Behind them Sam Anderson turned to face his death… dropping to one knee and steadying his pistol over his left forearm in precisely the form approved by the manual.

“—A SHIP IS NOT JUST STEEL—”

The cage hit the ground, four men swarmed out as Max stumbled inside and dumped Ellie on the floor. The door clanged shut behind them, but not too quickly for Mr. Chips. The spider puppy ran to Ellie, clutched her arm and wailed. Eldreth tried to sit up.

“You all right?” Max demanded.

“Uh, sure. But… ” She shut up as Max whirled around and tried to open the cage door.

It would not open. It was not until then that he realized that the lift was off the ground and rising slowly. He punched the “stop” control.

Nothing happened, the car continued upward. About ten feet off the ground it stopped. Max looked up through the grille roof and shouted, “Hey! In the lock, there! Lower away!”

He was ignored. He tried the door again—uselessly, as its safety catch prevented it being opened when the cage was in the air. Frustrated and helpless, he grabbed the bars and looked out. He could see nothing of Sam. The centaurs were milling around in the middle distance. He saw one stumble and go down and then another. Then he saw the four men who had passed him. They were on their bellies in fair skirmish line not far from the cage, each with a shoulder gun and each firing carefully. The range was not great, about three hundred yards; they were taking steady toll. Each silent, almost invisible bolt picked off a centaur.

Max counted seven more centaur casualties—then the monsters broke and ran, scattering toward the hills. The firing continued and several more dropped before distance made firing uncertain.

Somebody shouted, “Hold your fire!” and one of the men stumbled to his feet and ran toward the center of the battle. The others got up and followed him.

When they came back they were carrying something that looked like a bundle of clothing. The cage lowered to the ground, they came inside and laid it gently on the floor. One of them glanced at Eldreth, then quickly removed his jacket and laid it over Sam’s face. Not until then did Max see that it was Mr. Walther.

The other three were Mr. Daigler, a power man whom Max knew only by sight, and Chief Steward Giordano. The fat man was crying openly. “The filthy vermin!” he sobbed. “He never had a chance. They just rode him down and trompled him.” He choked, then added, “But he got at least five of ’em.” His eyes rested on Max without recognition. “He made ’em pay.”

Eldreth said gently, “Is he dead?”

“Huh? Of course. Don’t talk silly.” The steward turned his face away.

The car bumped to a stop. Walther looked in through the lock and said angrily, “Get those bystanders out of the way. What is this? A circus?” He turned back. “Let’s get him in, men.”

As he was bending to help, Max saw Eldreth being led away by Mrs. Dumont. Tenderly they carried Sam in and deposited him on the deck where the Surgeon was waiting. Walther straightened up and seemed to notice Max for the first time. “Mr. Jones? Will you see me in my stateroom as quickly as possible, please?”

“Aye aye, sir. But… ” Max looked down at his friend. “I’d like to…”

Walther cut him short. “There’s nothing you can do. Come away.” He added more gently, “Make it fifteen minutes. That will give you time for a wash and a change.”

Max presented himself on time, showered, his face hastily scraped, and in clean clothes—although lacking a cap. His one cap was somewhere in the far valley, lost on capture. He found Chief Engineer Compagnon and Mr. Samuels, the Purser, with the First Officer. They were seated around a table, having coffee. “Come in, Mr. Jones,” Walther invited. “Sit down. Coffee?”

“Uh, yes, sir.” Max discovered that he was terribly hungry. He loaded the brew with cream and sugar.

They sat for a few minutes, talking of unimportant matters, while Max drank his coffee and steadied down. Presently Walther said, “What shape are you in, Mr. Jones?”

“Why, all right, I guess, sir. Tired, maybe.”

“I imagine so. I’m sorry to have to disturb you. Do you know the situation now?” “Partly, sir. Sam told me… Sam Anderson… ” His voice broke.

“We’re sorry about Anderson,” Mr. Walther said soberly. “In many ways he was one of the best men I ever served with. But go on.”

Max recounted what Sam had had time to tell him, but shortened the statements about Simes and Captain Blaine to the simple fact that they were dead. Walther nodded. “Then you know what we want of you?”

“I think so, sir. You want to raise the ship, so you want me to astrogate.” He hesitated. “I suppose I can.” “Mmm… yes. But that’s not all.”

“Sir?”

“You must be Captain.”

All three had their eyes fixed on him. Max felt lightheaded and for a moment wondered what was wrong. Their faces seemed to swell and then recede. He realized vaguely that he had had little to eat and almost no sleep for many hours and had been running on nerve—yes, that must be what was wrong with him.

From a long distance away he heard Walther’s voice: “… utterly necessary to leave this planet without delay. Now our legal position is clear. In space, only an astrogation officer may command. You are being asked to assume command responsibility while very young but you are the only qualified person—therefore you must do it.”

Max pulled himself together, the wavering figures came into focus. “Mr. Walther?” “Yes?”

“But I’m not an astrogator. I’m just a probationary apprentice.

Chief Engineer Compagnon answered him. “Kelly says you’re an astrogator,” he growled. “Kelly is more of an astrogator than I am!”

Compagnon shook his head. “You can’t pass judgment on yourself.” Samuels nodded agreement.

“Let’s dispose of that,” Walther added. “There is no question of the Chief Computerman becoming captain. Nor does your rank in your guild matter. Line of command, underway, necessarily is limited to astrogators. You are senior in that line, no matter how junior you feel. At this moment, I hold command—until I pass it on. But I can’t take a ship into space. If you refuse… well, I don’t know what we will have to do. I don’t know.”

Max gulped and said, “Look, sir, I’m not refusing duty. I’ll astrogate—shucks, I suppose it’s all right to call me the astrogator, under the circumstances. But there is no reason to pretend that I’m captain. You stay in command while I conn the ship. That’s best, sir—I wouldn’t know how to act like a captain.”

Walther shook his head. “Not legally possible.”

Compagnon added, “I don’t care about the legalities. But I know that responsibility can’t be divided. Frankly, young fellow, I’d rather have Dutch as skipper than you—but he can’t astrogate. I’d be delighted to have Doc Hendrix—but he’s gone. I’d rather hold the sack myself than load it on you—but I’m a physicist and I know just enough of the math of astrogation to know that I couldn’t in a lifetime acquire the speed that an astrogator has to have. Not my temperament. Kelly says you’ve got it already. I’ve shipped with Kelly a good many years, I trust him. So it’s your pidgin, son; you’ve got to take it—and the authority that goes with it. Dutch will help—we’ll all help—but you can’t duck out and hand him the sack.”

Mr. Samuels said quietly, “I don’t agree with the Chief Engineer about the unimportance of legal aspects; most of these laws have wise reasons behind them. But I agree with what else he says. Mr. Jones, a ship is not just steel, it is a delicate political entity. Its laws and customs cannot be disregarded without inviting disaster. It will be far easier to maintain morale and discipline in this ship with a young captain—with all his officers behind him—than it would be to let passengers and crew suspect that the man who must make the crucial decisions, those life-and-death matters involving the handling of the ship, that this

all-powerful man nevertheless can’t be trusted to command the ship. No, sir, such a situation would frighten me; that is how mutinies are born.”

Max felt his heart pounding, his head was aching steadily. Walther looked at him grimly and said, “Well?” “I’ll take it.” He added, “I don’t see what else I can do.”

Walther stood up. “What are your orders, Captain?”

Max sat still and tried to slow his heart. He pressed his fingers to throbbing temples and looked frightened. “Uh, continue with routine. Make preparations to raise ship.”

“Aye aye, sir.” Walther paused, then added, “May I ask when the Captain plans to raise ship?”

He was having trouble focusing again. “When? Not before tomorrow—tomorrow at noon. I’ve got to have a night’s sleep.” He thought to himself that Kelly and he could throw it into a parking orbit, which would get them away from the centaurs—then stop to figure out his next move.

“I think that’s wise, sir. We need the time.”

Compagnon stood up. “If the Captain will excuse me, sir, I’ll get my department started.”

Samuels joined him. “Your cabin is ready, sir—I’ll have your personal effects moved in in a few minutes.”

Max stared at him. He had not yet assimilated the side implications of his new office. Use Captain Blaine’s holy of holies? Sleep in his bed? “Uh, I don’t think that’s necessary. I’m comfortable where I am.”

Samuels glanced at the First Officer, then said, “If you please, Captain, this is one of the things I was talking about when I said that a ship is a delicate political entity.”

“Eh?” Max thought about it, then suddenly felt both the burden descend on him and the strength to meet it. “Very well,” he answered, his voice deepening. “Do it.”

“Yes, sir.” Samuels looked at him. “Also, Captain—if you wish it—I’ll have Lopez stop in and trim your hair.”

Max pushed locks back of his ear. “It is shaggy, isn’t it? Very well.”

The Purser and the Chief Engineer left. Max stood for a moment uncertainly, not sure what his next cue was in this new role. Walther said, “Captain? Can you spare me a few more minutes?”

“Oh, certainly.” They sat down and Walther poured more coffee. Max said, “Mr. Walther? Do you suppose we could ring the pantry and get some toast? I haven’t eaten today.”

“Why, surely! Sorry, sir.” Instead of ringing, the First Officer phoned and ordered a high tea. Then he turned to Max. “Captain, I didn’t give you all the story—nor did I wish to until we were alone.”

“So?”

“Don’t misunderstand me. My turning over command to you did not depend on these other matters—nor is it necessary for your officers to know everything that the Captain knows… even your department heads.”

“Uh, I suppose not.”

Walther stared at his coffee. “Have you heard how Mr. Simes happened to die?”

Max told him what little he had learned from Sam. Walther nodded. “That is essentially correct. Mmmm… It is not good to speak ill of the dead, but Simes was an unstable character. When Captain Blaine passed on, he took it for granted that he was immediately captain of this ship.”

“Well—I suppose it looked that way to him, from the legal standpoint.”

“Not at all! Sorry to correct you, Captain, but that is one hundred percent wrong.”

Max frowned. “I guess I’m dumb—but I thought that was the argument that was used on me?”

“No, sir. The ship being on the ground, command devolved on me, the senior. I am not required to turn command over to an astrogator until—and unless—the ship goes into space. Even then it is not automatically a matter of turning it over to the senior astrogating officer. I have a clearly defined responsibility, with numerous adjudicated cases in point: I must turn command over only to a man I believe can handle it.

“Now I have long had doubts about Mr. Simes, his temperament, I mean. Nevertheless, in this emergency, I would have found it terribly hard not to turn command over to him, once it was decided to raise ship. But before we lost the Captain I had had occasion to dig into Mr. Simes’ ability as an astrogator—partly as a result of a conversation with you. I talked with Kelly—as you have gathered, Kelly is very well thought of. I believe I know now how that last transition went sour; Kelly took pains to show me. That and the fact that Kelly told me bluntly that there wasn’t a member of the Worry gang willing to go into space under Mr. Simes made me decide that, if it ever came up, I’d let this ship sit here forever before I would let Simes be captain. That was just thinking ahead; the Captain was sick and prudence forced me to consider possibilities.

“Then the Captain did die—and Simes announced that he was captain. The fool even moved into the cabin and sent for me. I told him he was not in command and never would be. Then I left, got witnesses and took my chief of police along to eject him. You know what happened. Your life isn’t the only one that Anderson saved; I owe him mine, too.”

Walther abruptly changed the subject. “That phenomenal trick of memory you do—computing without tables or reference books. Can you do it all the time?”

“Uh? Why, yes.”

“Do you know all the tables? Or just some of them?”

“I know all the standard tables and manuals that are what an astrogator calls his ‘working tools.'” Max started to tell about his uncle, Walther interrupted gently.

“If you please, sir. I’m glad to hear it. I’m very glad to hear it. Because the only such books in this ship are the ones in your head.”

Kelly had missed the books, of course—not Walther. When he disclosed his suspicions to Walther the two conducted a search. When that failed, it was announced that one (but only one) set was missing; Walther had offered a reward, and the ship had been combed from stern to astrodome—no manuals.

“I suppose he ditched them dirtside,” Walther finished. You know where that leaves us—we’re in a state of seige. And we’d find them only by accident if we weren’t. So I’m very glad you have the same confidence in your memory that Kelly has.”

Max was beginning to have misgivings—it is one thing to do something as a stunt, quite another to do it of necessity. “It isn’t that bad,” he answered. “Perhaps Kelly never thought of it, but logarithms and binary translation tables can probably be borrowed from engineering—with those we could fudge up methods for any straight hop. The others are needed mostly for anomalous transitions.”

“Kelly thought of that, too. Tell me, Captain, how does a survey ship go back after it penetrates a newly located congruency?”

“Huh? So that is what you want me to do with the ship?”

“It is not for me,” Walther said formally, “to tell the Captain where to take his ship.”

Max said slowly, “I’ve thought about it. I’ve had a lot of time to think lately.” He did not add that he had dwelt on it nights in captivity to save his reason. “Of course, we don’t have the instruments that survey ships carry, nor does applied astrogation go much into the theory of calculating congruencies. And even some survey ships don’t come back.”

“But… ” They were interrupted by a knock on the door. A steward’s mate came in and loaded the table with food. Max felt himself starting to drool.

He spread a slice of toast with butter and jam, and took a big bite. “My, this is good!”

“I should have realized. Have a banana, sir? They look quite good—I believe hydroponics has had to thin them out lately.”

Max shuddered. “I don’t think I’ll ever eat bananas again. Or pawpaws.” “Allergic, Captain?”

“Not exactly. Well… yes.”

He finished the toast and said, “About that possibility. I’ll let you know later.” “Very well, Captain.”

Shortly before the dinner hour Max stood in front of the long mirror in the Captain’s bedroom and looked at himself. His hair was short again and two hours sleep had killed some of his fatigue. He settled a cap on his head at the proper angle—the name in the sweat band was “Hendrix”; he had found it laid out with one of his own uniforms to which captain’s insignia had been added. The sunburst on his chest bothered him—that he was indeed captain he conceded, even though it seemed like a wild dream, but he had felt that he was not entitled to anything but the smaller sunburst and circle, despite his four stripes.

Walther and Samuels had been respectful but firm, with Samuels citing precedents that Max could not check on. Max had given in.

He looked at himself, braced his shoulders, and sighed. He might as well go face them. As he walked down the companionway to the lounge he heard the speakers repeating, “All hands! All passengers! Report to Bifrost Lounge!”

The crowd made way for him silently. He went to the Captain’s table—his table!—and sat down at its head. Walther was standing by the chair. “Good evening, Captain.”

“Evening, Mr. Walther.”

Ellie was seated across from him. She caught his eye and smiled. “Hello, Ellie.” He felt himself blushing.

“Good evening, Captain,” she said firmly. She was dressed in the same high style she had worn the first time he had ever seen her in the lounge; it did not seem possible that this lady could be the same girl whose dirty face had looked at him over three-dee boards scratched in dirt.

“Uh, how are your feet?”

“Bandages and bedroom slippers. But the Surgeon did a fine job. I’ll be dancing tomorrow.” “Don’t rush it.”

She looked at his stripes and his chest. “You should talk.”

Before he could answer the unanswerable Walther leaned over and said quietly, “We’re ready, Captain.” “Oh. Go ahead.” Walther tapped on a water glass.

The First Officer explained the situation in calm tones that made it seem reasonable, inevitable. He concluded by saying, “… and so, in accordance with law and the custom of space, I have relinquished my temporary command to your new captain. Captain Jones!”

Max stood up. He looked around, swallowed, tried to speak, and couldn’t. Then, as effectively as if it had been a dramatic pause and not desperation, he picked up his water tumbler and took a sip. “Guests and fellow crewmen,” he said, “we can’t stay here. You know that. I have been told that our Surgeon calls the system we are up against here’symbiotic enslavement’—like dog to man, only more so, and apparently covering the whole animal kingdom on this planet. Well, men aren’t meant for slavery, symbiotic or any sort. But we are too few to win out now, so we must leave.”

He stopped for another sip and Ellie caught his eye, encouraging him. “Perhaps someday other men will come back—better prepared. As for us, I am going to try to take the Asgard back through the… uh, ‘hole’ you might call it, where we came out. It’s a chancy thing. No one is forced to come along—but it is the only possible way to get home. Anyone who’s afraid to chance it will be landed on the north pole of planet number three—the evening star we have been calling ‘Aphrodite.’ You may be able to survive there, although it is pretty hot even at the poles. If you prefer that alternative, turn your names in this

evening to the Purser. The rest of us will try to get home.” He stopped, then said suddenly, “That’s all,” and sat down.

There was no applause and he felt glumly that he had muffed his first appearance. Conversation started up around the room, crewmen left, and steward’s mates quickly started serving. Ellie looked at him and nodded quietly. Mrs. Mendoza was on his left; she said, “Ma—I mean ‘Captain’—is it really so dangerous? I hardly like the thought of trying anything risky. Isn’t there something else we can do?”

“No.”

“But surely there must be?”

“No. I’d rather not discuss it at the table.”

“But… ” He went on firmly spooning soup, trying not to tremble. When he looked up he was caught by a glittering eye across the table, a Mrs. Montefiore, who preferred to be called “Principessa”—a dubious title. “Dolores, don’t bother him. We want to hear about his adventures—don’t we, Captain?”

“No.”

“Come now! I hear that it was terribly romantic.” She drawled the word and gave Ellie a sly, sidelong look. She looked back at Max with the eye of a predatory bird and showed her teeth. She seemed to have more teeth than was possible. “Tell us all about it!”

“No.”

“But you simply can’t refuse!”

Eldreth smiled at her and said, “Princess darling—your mouth is showing.” Mrs. Montefiore shut up.

After dinner Max caught Walther alone. “Mr. Walther?” “Oh—yes, Captain?”

“Am I correct in thinking that it is my privilege to pick the persons who sit at my table?” “Yes, sir.”

“In that case—that Montefiore female. Will you have her moved, please? Before breakfast?” Walther smiled faintly. “Aye aye, sir.”

THE CAPTAIN OF THE ASGARD

They took Sam down and buried him where he had fallen. Max limited it to himself and Walther and Giordano, sending word to Ellie not to come. There was a guard of honor but it was armed to kill and remained spread out around the grave, eyes on the hills. Max read the service in a voice almost too low to be heard—the best he could manage.

Engineering had hurriedly prepared the marker, a pointed slab of stainless metal. Max looked at it before he placed it and thought about the inscription. “Greater love hath no man”?—no, he had decided that Sam wouldn’t like that, with his cynical contempt of all sentimentality. He had considered, “He played the cards he was dealt”—but that didn’t fit Sam either; if Sam didn’t like the cards, he sometimes slipped in a whole new deck. No, this was more Sam’s style; he shoved it into the ground and read it:

IN MEMORY OF

SERGEANT SAM ANDERSON LATE OF THE

IMPERIAL MARINES

“He ate what was set before him.”

Walther saw the marker for the first time. “So that’s how it was? Somehow I thought so.” “Yes. I never did know his right name. Richards. Or maybe Roberts.”

“Oh.” Walther thought over the implication. “We could get him reinstated, sir, posthumously. His prints will identify him.”

“I think Sam would like that.”

“I’ll see to it, sir, when we get back.” “If we get back.”

“If you please, Captain—when we get back.”

Max went straight to the control room. He had been up the evening before and had gotten the first shock of being treated as captain in the Worry Hole over with. When Kelly greeted him with, “Good morning, Captain,” he was able to be almost casual.

“Morning, Chief. Morning, Lundy.” “Coffee, sir?”

“Thanks. About that parking orbit—is it set up?” “Not yet, sir.”

“Then forget it. I’ve decided to head straight back. We can plan it as we go. Got the films?”

“I picked them up earlier.” They referred to the films cached in Max’s stateroom. Simes had managed to do away with the first set at the time of Captain Blaine’s death; the reserve set was the only record of when and where the Asgard had emerged into this space, including records of routine sights taken immediately after transition.

“Okay. Let’s get busy. Kovak can punch for me.”

The others were drifting in, well ahead of time, as was customary in Kelly’s gang. “If you wish, sir. I’d be

glad to compute for the Captain.”

“Kovak can do it. You might help Noguchi and Lundy with the films.”

“Aye aye, sir.” Data flowed to him presently. He had awakened twice in the night in cold fright that he had lost his unique memory. But when the data started coming, he programmed without effort, appropriate pages opening in his mind. The problem was a short departure to rid themselves of the planet’s influence, an adjustment of position to leave the local sun “behind” for simpler treatment of its field, then a long, straight boost for the neighborhood in which they had first appeared in this space. It need not be precise, for transition would not be attempted on the first pass; they must explore the area, taking many more photographic sights and computing from them, to establish a survey that had never been made.

Departure was computed and impressed on tape for the autopilot and the tape placed in the console long before noon. The ship had been keeping house on local time, about fifty-five standard minutes to the hour; now the ship would return to Greenwich, the time always kept in the control room—dinner would be late and some of the “beasts” would as usual reset their watches the wrong way and blame it on the government.

They synchronized with the power room, the tape started running, there remained nothing to do but press the button a few seconds before preset time and thereby allow the autopilot to raise ship. The phone rang, Smythe took it and looked at Max. “For you, Captain. The Purser.”

“Captain?” Samuels sounded worried. “I dislike to disturb you in the control room.” “No matter. What is it?”

“Mrs. Montefiore. She wants to be landed on Aphrodite.” Max thought a moment. “Anybody else change his mind?” “No, sir.”

“They were all notified to turn in their names last night.”

“I pointed that out to her, sir. Her answers were not entirely logical.”

“Nothing would please me more than to dump her there. But after all, we are responsible for her. Tell her no.

“Aye aye, sir. May I have a little leeway in how I express it?” “Certainly. Just keep her out of my hair.”

Max flipped off the phone, found Kelly at his elbow. “Getting close, sir. Perhaps you will take the console now and check the set up? Before you raise?”

“Eh? No, you take her up, Chief. You’ll have the first watch.”

“Aye aye, Captain.” Kelly sat down at the console, Max took the Captain’s seat, feeling self-conscious. He wished that he had learned to smoke a pipe—it looked right to have the Captain sit back, relaxed and smoking his pipe, while the ship maneuvered.

He felt a slight pulsation and was pressed more firmly into the chair cushions; the Asgard was again on her own private gravity, independent of true accelerations. Moments later the ship raised, but with

nothing to show it but the change out the astrodome from blue sky to star-studded ebony of space.

Max got up and found that he was still holding an imaginary pipe, he hastily dropped it. “I’m going below, Chief. Call me when the departure sights are ready to compute. By the way, what rotation of watches do you plan on?”

Kelly locked the board, got up and joined him. “Well, Captain. I had figured on Kovak and me heel-and-toe, with the boys on one in three. We’ll double up later.”

Max shook his head. “No. You and me and Kovak. And we’ll stay on one in three as long as possible. No telling how long we’ll fiddle around out there before we take a stab at it.”

Kelly lowered his voice. “Captain, may I express an opinion?”

“Kelly, any time you stop being frank with me, I won’t have a chance of swinging this. You know that.”

“Thank you, sir. The Captain should not wear himself out. You have to do all the computing as it is.” Kelly added quietly, “The safety of your ship is more important than—well, perhaps ‘pride’ is the word.”

Max took a long time to reply. He was learning, without the benefit of indoctrination, that a commanding officer is not permitted foibles commonplace in any other role; he himself is ruled more strongly by the powers vested in him than is anyone else. The Captain’s privileges—such as chucking a tiresome female from his table—were minor, while the penalties of the inhuman job had unexpected ramifications.

“Chief,” he said slowly, “is there room to move the coffee mess over behind the computer?” Kelly measured the space with his eye. “Yes, sir. Why?”

“I was thinking that would leave room over here to install a cot.” “You intend to sleep up here, sir?”

“Sometimes. But I was thinking of all of us—you shave up here half the time, as it is. The watches for the next few weeks do not actually require the O.W. to be awake most of the time, so we’ll all doss off when we can. What do you think?”

“It’s against regulations, sir. A bad precedent… and a bad example.” He glanced over at Noguchi and Smythe.

“You would write it up formal and proper, for my signature, citing the regulation and suspending it on an emergency basis ‘for the safety of the ship.'”

“If you say so, sir.”

“You don’t sound convinced, so maybe I’m wrong. Think it over and let me know.”

The cot appeared and the order was posted, but Max never saw either Kelly or Kovak stretched out on the cot. As for himself, had he not used it, he would have had little sleep.

He usually ate in the control room as well. Although there was little to do on their way out to rendezvous with nothingness but take sights to determine the relations of that nothingness with surrounding sky, Max found that when he was not computing he was worrying, or discussing his worries with Kelly.

How did a survey ship find its way back through a newly calculated congruency? And what had gone wrong with those that failed to come back? Perhaps Dr. Hendrix could have figured the other side of an

uncharted congruency using only standard ship’s equipment—or perhaps not. Max decided that Dr. Hendrix could have done it; the man had been a fanatic about his profession, with a wide knowledge of the theoretical physics behind the routine numerical computations—much wider, Max was sure, than most astrogators.

Max knew that survey ships calculated congruencies from both sides, applying to gravitational field theory data gathered on the previously unknown side. He made attempts to rough out such a calculation, then gave up, having no confidence in his results—he was sure of his mathematical operations but unsure of theory and acutely aware of the roughness of his data. There was simply no way to measure accurately the masses of stars light-years away with the instruments in the Asgard.

Kelly seemed relieved at his decision. After that they both gave all their time to an attempt to lay out a “groove” to the unmarked point in the heavens where their photosights said that they had come out—in order that they might eventually scoot down that groove, arriving at the locus just below the speed of light, then kick her over and hope.

A similar maneuver on a planet’s surface would be easy—but there is no true parallel with the situation in the sky. The “fixed” stars move at high speeds and there are no other landmarks; to decide what piece of featureless space corresponds with where one was at another time requires a complicated series of calculations having no “elegant” theoretical solutions. For each charted congruency an astrogator has handed to him a table of precalculated solutions—the “Critical Tables for Charted Anomalies.” Max and Kelly had to fudge up their own.

Max spent so much time in the control room that the First Officer finally suggested that passenger morale would be better if he could show himself in the lounge occasionally. Walther did not add that Max should wear a smile and a look of quiet confidence, but he implied it. Thereafter Max endeavored to dine with his officers and passengers.

He had of course seen very little of Eldreth. When he saw her at the first dinner after Walther’s gentle suggestion she seemed friendly but distant. He decided that she was treating him with respect, which made him wonder if she were ill. He recalled that she had originally come aboard in a stretcher, perhaps she was not as rugged as she pretended to be. He made a mental note to ask the Surgeon—indirectly, of course!

They were dawdling over coffee and Max was beginning to fidget with a desire to get back to the Worry Hole. He reminded himself sharply that Walther expected him not to show anxiety—then looked around and said loudly, “This place is like a morgue. Doesn’t anyone dance here these days? Dumont!”

“Yes, Captain?”

“Let’s have some dance music. Mrs. Mendoza, would you honor me?”

Mrs. Mendoza tittered and accepted. She turned out to be a disgrace to Argentina, no sense of rhythm. But he piloted her around with only minor collisions and got her back to her chair, so timed that he could bow out gracefully. He then exercised the privilege of rank by cutting in on Mrs. Daigler. Maggie’s hair was still short but her splendor otherwise restored.

“We’ve missed you, Captain.”

“I’ve been working. Short-handed, you know.”

“I suppose so. Er… Captain, is it pretty soon now?’

“Before we transit? Not long. It has taken this long because we have had to do an enormous number of fiddlin’ calculations—to be safe, you know.”

“Are we really going home?”

He gave what he hoped was a confident smile. “Absolutely. Don’t start any long book from the ship’s library; the Purser won’t let you take it dirtside.”

She sighed. “I feel better.”

He thanked her for the waltz, looked around, saw Mrs. Montefiore and decided that his obligation to maintain morale did not extend that far. Eldreth was seated, so he went to her. “Feet still bothering you, Ellie?”

“No, Captain. Thank you for asking.” “Then will you dance with me?”

She opened her eyes wide. “You mean the Captain has time for po’ li’l ole me?”

He leaned closer. “One more crack like that, dirty face, and you’ll be tossed into irons.” She giggled and wrinkled her nose. “Aye aye, Captain, sir.”

For a while they danced without talking, with Max a little overpowered by her nearness and wondering why he had not done this sooner. Finally she said, “Max? Have you given up three-dee permanently?”

“Huh? Not at all. After we make this transit I’ll have time to play—if you’ll spot me two starships.”

“I’m sorry I ever told you about that. But I do wish you would say hello to Chipsie sometimes. She was asking this morning, ‘Where Maxie?'”

“Oh, I am sorry. I’d take her up to the control room with me occasionally, except that she might push a button and lose us a month’s work. Go fetch her.”

“The crowd would make her nervous. We’ll go see her.” He shook his head. “Not to your room.”

“Huh? Don’t be silly. I’ve got no reputation left anyhow, and a captain can do as he pleases.”

“That shows you’ve never been a captain. See that vulture watching us?” He indicated Mrs. Montefiore with his eyes. “Now go get Chipsie and no more of your back talk.”

“Aye aye, Captain.”

He scratched Chipsie’s chin, fed her sugar cubes, and assured her that she was the finest spider puppy in that part of the sky. He then excused himself.

He was feeling exhilarated and oddly reassured. Seeing Mr. Walther disappearing into his room, he paused at the companionway and on impulse followed him. A matter had been worrying him, this was as good a time as any.

“Dutch? Are you busy?”

The First Officer turned. “Oh. No, Captain. Come in.”

Max waited during the ceremonial coffee, then broached it. “Something on my mind, Mr. Walther—a personal matter.”

“Anything I can do?”

“I don’t think so. But you’re a lot more experienced than I am; I’d like to tell you about it.” “If the Captain wishes.”

“Look, Dutch, this is a ‘Max’ matter, not a ‘Captain’ matter.”

Walther smiled. “All right. But don’t ask me to change my form of address. I might pick up a bad habit.”

“Okay, okay.” Max had intended to sound out Walther about his phony record: had Dr. Hendrix reported it? Or hadn’t he?

But he found it impossible to follow that line; being a captain had forced him into a different mold. “I want to tell you how I got into this ship.” He told it all, not suppressing Sam’s part now that it no longer could hurt Sam. Walther listened gravely.

“I’ve been waiting for you to mention this, Captain,” he said at last. “Dr. Hendrix reported it to me, in less detail, when he put you up for apprentice astrogator. We agreed that it was a matter that need not be raised inside the ship.”

“It’s what happens after we get back that frets me. If we get back.” “When we get back. Are you asking for advice? Or help? Or what?” “I don’t know. I just wanted to tell you.”

“Mmmm… there are two alternatives. One we could handle here, by altering a not very important report. In which…”

“No, Dutch. I won’t have phony reports going out of the Asgard.”

“I was fairly certain you would say that. I feel the same way, except that I would feel obligated for—well, various reasons—to cover up for you if you asked it.”

“I once intended to arrange a phony on it. I even felt justified. But I can’t do it now.”

“I understand. The remaining alternative is to report it and face the music. In which case I’ll see it through with you—and so will the Chief Engineer and the Purser, I feel sure.”

Max sat back, feeling warm and happy. “Thanks, Dutch. I don’t care what they do to me… just as long as it doesn’t keep me out of space.”

“I don’t think they’ll try to do that, not if you bring this ship in. But if they do—well, they’ll know they’ve been in a fight. Meantime try to forget it.”

“I’ll try.” Max frowned. “Dutch? Tell me the truth, what do you think about the stunt I pulled?” “That’s a hard question, Captain. More important is, how do you feel about it?”

“Me? I don’t know. I know how I used to feel—I felt belligerent.” “Eh?”

“I was always explaining—in my mind of course—why I did it, justifying myself, pointing out that the system was at fault, not me. Now I don’t want to justify myself. Not that I regret it, not when I think what I would have missed. But I don’t want to duck out of paying for it, either.”

Walther nodded. “That sounds like a healthy attitude. Captain, no code is perfect. A man must conform with judgment and commonsense, not with blind obedience. I’ve broken rules; some violations I paid for, some I didn’t. This mistake you made could have turned you into a moralistic prig, a ‘Regulation Charlie’ determined to walk the straight and narrow and to see that everyone else obeyed the letter of the law. Or it could have made you a permanent infant who thinks rules are for everyone but him. It doesn’t seem to have had either effect; I think it has matured you.”

Max grinned. “Well, thanks, Dutch.” He stood up. “I’ll get back up to the Hole and mess up a few figures.”

“Captain? Are you getting enough sleep?”

“Me? Oh, sure, I get a nap almost every watch.”

“Minus four hours, Captain.” Max sat up on the cot in the control room, rubbing sleep out of his eyes. The Asgard was in the groove, had been boosting along it for days, working up to that final burst that would squeeze them out of this space and into another—one they knew or some other, depending on how well their “fudging” had conformed to the true structure of the universe.

Max blinked at Kelly. “How long have you been up here?” “Not long, Captain.”

“Did you get any sleep?” “Well, now, Captain…”

“Forget it, you’re incorrigible. Got one ready?” “Yes, sir.”

“Shoot.” Max sat on the cot while they passed data to him, eyes closed while he programmed the problem and translated it into the binary numbers the computer understood. He had not been out of the Hole more than a few minutes at a time for days. He would doze between sights, wake up and process one, then lie down again.

He had kept Kelly and Kovak on watch-and-watch as long as possible—although it was hard to get Kelly to rest. Lundy, Smythe, and Noguchi had continued to rotate, overlapping when the going got faster in order to help each other with plate changing and readings. For Max there could be no relief; he must process each sight, supplying from his card-file memory the information in the missing manuals.

All the Worry gang were there but Lundy. He came up as Max finished and ordered the correction. “Compliments of cookie,” he announced, setting down a gallon of ice cream.

“What flavor?” asked Max. “Chocolate chip, sir.”

“My favorite. Just remember when you are dishing it that efficiency marks will be coming up one of these days.”

“Now, Captain, that’s not fair. The Chief has a lot more mass to feed than you have.” “And I have a very high metabolic rate,” announced Noguchi. “I need more.”

“Noggy, you have a built-in space warp in each leg. We’ll let Kelly dish it and hope that pride will restrain him.” Max turned to Kelly. “What schedule are we on?”

“Twenty minutes, Captain.” “Think we need that so soon?” “Just to be safe, sir.”

“Okay.” They ran another sight and ate the ice cream, after which Max shifted them to transition stations. Kelly did not take the computer. A key punched by Kovak gave the same answer as one punched by Kelly, and Max wanted Kelly on the vernier stereograph where his long experience could make the best of poor data. Lundy assisted Kelly, with Smythe and Noguchi shooting and running.

At minus two hours Max called Compagnon, told him that they were narrowing down; the Chief Engineer assured him that he would nurse boost and vector himself from there on. “Good hunting, Captain.”

On a ten minute schedule Max still found it easy, though he had to admit he wasn’t as fresh as a still-warm egg. But he was kept comfortably busy and the corrections were pleasantly

small—Compagnon must be doing a real job down there. When the preset on the computer said less than one hour to zero, he stood up and stretched. “Everybody all set. Somebody wake up Noggy.

Everybody got a pepper pill in him? And who’s got one for me?”

Kovak leaned back and handed him one, Max popped it into his mouth and downed it with a swig of coffee. “Grab a last sandwich if you’re going to. All right, gang—let’s hit it!”

The data flowed in a steady stream. After a while Max began to tire. He would no more than pick one correction off the lights on the computer and feed it to the power room than Kelly would have more data ready. A correction showed up that seemed off the curve, as if they were “hunting” excessively. He glanced back at the lights before applying it—then realized that a new set of data was being offered.

“Repeat!” he called out.

Kelly repeated. Max ran the figures over in his mind and found that they meant nothing to him. What had that last correction implied? Had he used a legitimate method in surveying this anomaly? Could you even call it surveying? Was this what a survey ship did to get out? How could they expect a man to…

“Captain!” Kelly said sharply.

He shook his head and sat up. “Sorry. Hold the next one.” With a feeling of panic he reviewed the data in his mind and tried to program. He knew at last how it felt to have the deadline bearing down fast as light—and to lose confidence.

He told himself that he must abort—slide past under the speed of light, spend weeks swinging back, and try again. But he knew that if he did, his nerve would never sustain him for a second try.

At that bad moment a feeling came over him that someone was standing behind his chair, resting hands

on his shoulders—quieting him, soothing him. He began clearly and sharply to call off figures to Kovak.

He was still calling them out with the precision of an automaton twenty minutes later. He accepted one more sight, digested it, sent it on to Kovak with his eyes on the preset. He applied the correction, a tiny one, and called out, “Stand by!” He pressed the button that allowed the chronometer to kick it over on the microsecond. Only then did he look around, but there was no one behind him.

“There’s the Jeep!” he heard Kelly say exultantly. “And there’s the Ugly Duckling!” Max looked up. They were back in the familiar sky of Nu Pegasi and Halcyon.

Five minutes later Kelly and Max were drinking cold coffee and cleaning up the remains of a plate of sandwiches while Noguchi and Smythe completed the post-transition sights. Kovak and Lundy had gone below for a few minutes relief before taking the first watch. Max glanced again at the astrodome. “So we made it. I never thought we would.”

“Really, Captain? There was never any doubt in my mind after you took command.” “Hmmm! I’m glad you didn’t know how I felt.”

Kelly ignored this. “You know, sir, when you are programming your voice sounds amazingly like the Doctor’s.”

Max looked at him sharply. “I had a bad time there once,” he said slowly. “Shortly before zip.” “Yes, sir. I know.”

“Then—Look, this was just a feeling, you see? I don’t go for ghosts. But I had the notion that Doc was standing over me, the way he used to, checking what I did. Then everything was all right.”

Kelly nodded. “Yes. He was here. I was sure he would be.”

“Huh? What do you mean?” Kelly would not explain. He turned instead to inspect post-transition plates, comparing them happily with standard plates from the chart safe—the first such opportunity since the ship was lost.

“I suppose,” said Max when Kelly was through, “that we had better rough out an orbit for Nu Pegasi before we sack in.” He yawned. “Brother, am I dead!”

Kelly said, “For Nu Pegasi, sir?”

“Well, we can’t shoot for Halcyon itself at this distance. What did you have in mind?” “Nothing, sir.”

“Spill it.”

“Well, sir, I guess I had assumed that we would reposition for transit to Nova Terra. But if that is what the Captain wants—”

Max drummed on the chart safe. It had never occurred to him that anyone would expect him to do anything, after accomplishing the impossible, but to shape course for the easy, target-in-sight destination they had left from, there to wait for competent relief.

“You expected me to take her on through? With no tables and no help?” “I did not intend to presume, Captain. It was an unconscious assumption.”

Max straightened up. “Tell Kovak to hold her as she goes. Phone Mr. Walther to see me at once in my cabin.”

“Aye aye, sir.”

The First Officer met him outside his cabin. “Hello, Dutch. Come in.” They entered and Max threw his cap on his desk. “Well, we made it.”

“Yes, sir. I was watching from the lounge.” “You don’t seem surprised.”

“Should I be, Captain?”

Max sprawled in his easy chair, stretching his weary back muscles. “You should be. Yes, sir, you should be.”

“All right. I’m surprised.”

Max looked up and scowled. “Dutch, where is this ship going now?” Walther answered, “The Captain has not yet told me.”

“Confound it! You know what I mean. Our schedule calls for Nova Terra. But there is Halcyon sitting right over there—a blind man could find it with a cane. What destination did you have in mind when you boosted me into command? Tell me what you expected then? Before you tagged me.”

“I had in mind,” Walther answered, “getting a captain for the Asgard.”

“That’s no answer. See here, the passengers have a stake in this. Sure, I had to take this risk for them, no choice. But now there is a choice. Shouldn’t we tell them and let them vote on it?”

Walther shook his head emphatically. “You don’t ask passengers anything, sir. Not in a ship underway. It is not fair to them to ask them. You tell them.”

Max jumped up and strode the length of the cabin. “‘Fair,’ you say. Fair! It’s not fair to me.” He swung and faced Walther. “Well? You’re not a passenger. You’re my First Officer. What do you think we should do?”

Walther stared him in the eye. “I can’t decide that for the Captain. That is why you are Captain.”

Max stood still and closed his eyes. The figures stood out clearly, in neat columns. He went to his phone and savagely punched the call for the control room. “Captain speaking. Is Kelly still there? Oh—good, Chief. We reposition for Nova Terra. Start work—I’ll be up in a minute.”

THE TOMAHAWK

Max liked this time of day, this time of year. He was lying in the grass on the little rise west of the barn, with his head propped up so that he could see to the northwest. If he kept his eyes there, on the exit ring of the C.S.&E. Ring Road, he would be able, any instant now, to see the Tomahawk plunge out and shoot across the gap in free trajectory. At the moment he was not reading, no work was pushing him, he was just being lazy and enjoying the summer evening.

A squirrel sat up near by, stared at him, decided he was harmless and went about its business. A bird swooped past.

There was a breathless hush, then suddenly a silver projectile burst out of the exit ring, plunged across the draw and entered the ring on the far side—just as the sound hit him.

“Boy, oh boy!” he said softly. “It never looks like they’d make it.”

It was all that he had climbed the rise to see, but he did not get up at once. Instead he pulled a letter from his pocket and reread the ending: “… I guess Daddy was glad to get me back in one piece because he finally relented. Putzie and I were married a week ago—and oh Max, I’m so happy! You must visit us the next time you hit dirt at Hespera.” She had added, “P.S. Mr. Chips sends her love—and so do I.”

Quite a gal, Ellie. She usually got her own way, one way or another. He felt a bit sorry for Putzie. Now if they had all stayed on Charity…

Never mind—an astrogator ought not to get married. Fondly he fingered the sunburst on his chest. Too bad he had not been able to stay with the Asgard—but of course they were right; he could not ship as assistant in a ship where he had once been skipper. And assistant astrogator of the Elizabeth Regina was a good billet, too; everybody said the Lizzie was a taut ship.

Besides that, not every young A.A. had a new congruency to his credit, even now being surveyed. He had nothing to kick about. He didn’t even mind the whopping big fine the Council of the Guilds had slapped on him, nor the official admonition that had been entered in his record. They had let him stay in space, which was the important thing, and the admonition appeared right along with the official credit for the “Hendrix” congruency.

And, while he didn’t argue the justice of the punishment—he’d been in the wrong and he knew it—nevertheless the guilds were set up wrong; the rules ought to give everybody a chance. Some day he’d be senior enough to do a little politicking on that point.

In the meantime, if he didn’t get moving, he’d have to buy that taxi. Max got up and started down the slope. The helicab was parked in front of the house and the driver was standing near it, looking out over the great raw gash of the Missouri-Arkansas Power Project. The fields Max once had worked were gone, the cut reached clear into the barn yard. The house was still standing but the door hung by one hinge and some kid had broken all the windows. Max looked at the house and wondered where Maw and the man she had married were now?—not that he really cared and no one around Clyde’s Corners seemed to know. They had told him at the courthouse that Maw had collected her half of the government-condemnation money and the pair of them had left town.

Probably their money was gone by now—Max’s half of the money was gone completely, it hadn’t quite paid his fine. If they were broke, maybe Montgomery was having to do some honest work, for Maw wasn’t the woman to let a man loaf when she was needing. The thought pleased Max; he felt he had a score to settle with Montgomery, but Maw was probably settling it for him.

The driver turned toward him. “Be a big thing when they get this finished. You ready to go, sir?”

Max took a last glance around. “Yes. I’m all through here.” They climbed into the cabin. “Where to? Back to the Corners?”

Max thought about it. He really ought to save money—but shucks, he would save plenty this next trip. “No, fly me over to Springfield and drop me at the southbound ring road station. I’d like to make it in time to catch the Javelin.”

That would put him in Earthport before morning.

The End

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Why no High-Speed rail in the USA?
Link
Link
Link
Tomatos
Link
Mad scientist
Gorilla Cage in the basement
The two family types and how they work.
Link
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back
Link
A womanly vanity
SJW
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

Posts about the Changes in America

America is going through a period of change. Change is good… that is, after it occurs. Often however, there are large periods of discomfort as the period of adjustment takes place. Here are some posts that discuss this issue.

Parable about America
What is planned for American Conservatives - Part 2
What is going to happen to conservatives - Part 3.
What is planned for conservatives - part 4
What is in store for Conservatives - part 5
What is in store for conservatives - part 6
Civil War
The Warning Signs
r/K selection theory
Line in the sand
A second passport
Link
Make America Great Again.
What would the founders think?

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Things I wish I knew.
Link
Travel
PT-141
Bronco Billy
How they get away with it
Paper Airplanes
Snopes
Taxiation without representation.
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
Democracy Lessons
A polarized world.
The Rule of Eight
Types of American conservatives.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Space Cadet (Full Text) by Robert Heinlein

One of the stories that influenced me in my youth was the science fiction novel by Robert Heinlein titled “Space Cadet”. This little gem inspired me to study aerospace engineering, become a Naval Aviator, and contributed to me joining MAJestic. All in all, it’s a great story.

It’s all about a boy who studied hard and “made the grade”. He got his chance to become a “Spaceman”.

Yeah, it’s a “chance”. But first, he had to qualify. He had to take battery after battery of tests. Then he had to start off as the “low man in the totem-pole”, and “learn the ropes”.

It’s what I expected in my life, and it’s exactly what happened to me when I qualified for Naval Aviation. (Without the embellishments.) Here is his story, and his adventure.

Enjoy it is in all its’ glory.

Space Cadet by Robert Heinlein
This is the cover art for the paperback book that I read as a boy in the 1970’s. This book inspired me to become what I am today. Enjoy.

Space Cadet

Robert A Heinlein
1948

SNAFU ON VENUS

“I gather that you were sent here, in answer to my message?”

“Certainly,” Matt said.

“Thank heaven for that-even if you guys were stupid enough to stumble right into it. Now tell me-how many are there in the expedition. This is going to be a tough nut to crack.”

“This is the expedition, right in front of you.”

“What? This is no time to joke. I sent for a regiment of marines, equipped for amphibious operations.”

“Maybe you did, but this is what you got. What’s the situation?”

Burke seemed dazed. “It’s no use,” he said. “It’s utterly hopeless.”

“What’s so hopeless? The natives seem friendly, on the whole. Tell us what the difficulty was, so we can work it out with them.”

“Friendly!” Burke gave a bitter laugh. “They killed all of my men. They’re going to kill me. And they’ll kill you too. …”

CONTENTS

I TERRA BASE

II ELIMINATION PROCESS

III OVER THE BUMPS

IV FIRST MUSTER

V INTO SPACE

VI “READING, AND ‘RITING, AND ‘RITHMETIC-”

VII TO MAKE A SPACEMAN

VIII TERRA STATION

IX LONG HAUL

X GUIS CUSTODIET IPSOS CUSTODES?

XI P.R.S. AES TRIPLEX

XII P.R.S. PATHFINDER

XIII LONG WAY HOME

XIV “THE NATIVES ARE FRIENDLY . . .”

XV PIE WITH A FORK

XVI P.R.S. ASTARTE

XVII HOTCAKES FOR BREAKFAST

XVIII IN THE COMMANDANT’S OFFICE

TERRA BASE

"To MATTHEW BROOKS DODSON,"

the paper in his hand read,

"greetings: 

"Having successfully completed the field elimination tests for appointment  to the position of cadet in the Interplanetary Patrol you are authorized to  report to the Commandant, Terra Base, Santa Barbara Field, Colorado, North  American Union, Terra, on or before One July 2075, for further examination. 

"You are cautioned to remember that the majority of candidates taking  these final tests usually fail and you should provide-" 

Matt folded the paper and stuck it back in his belt pouch. He did not care to think about the chance of failure. The passenger across from him, a boy about his own age, caught his eye. “That paper looks familiar, you a candidate too?”

“That’s right.”

“Well, shake! M’ name’s Jarman-I’m from Texas.”

“Glad to know you, Tex. I’m Matt Dodson, from Des Moines.”

“Howdy, Matt. We ought to be about there-” The car sighed softly and slowed; their chairs rocked to meet the rapid deceleration. The car stopped and their chairs swung back to normal position. “We are there,” Jarman finished.

The telescreen at the end of the car, busy a moment before with a blonde beauty demonstrating Sorkin’s Super-

Stellar Soap, now read: TERRA BASE STATION. The two boys grabbed their bags, and hurried out. A moment later, they were on the escalator, mounting to the surface.

Facing the station a half mile away in the cool, thin air stood Hayworth Hall, Earth headquarters of the fabulous Patrol. Matt stared at it, trying to realize that he was at last seeing it.

Jarman nudged him. “Come on.”

“Huh? Oh-sure.” A pair of slidewalks stretched from the station to the hall; they stepped onto the one running toward the building. The slidewalk was crowded; more boys streamed out of the station behind them. Matt noticed two boys with swarthy, thin features who were wearing high, tight turbans, although dressed otherwise much like himself. Further down the walk he glimpsed a tall, handsome youth whose impassive face was shiny black.

-The Texas boy hooked his thumbs in his belt and looked around.
“Granny, kill another chicken!” he said. “There’s company for dinner. Speaking of that,” he went on, “I hope they don’t wait lunch too long. I’m hungry.”

Matt dug a candy bar out of his pouch, split it and gave half to Jarman, who accepted it gratefully. “You’re a pal, Matt, I’ve been living on my own fat ever since breakfast- and that’s risky. Say, your telephone is sounding.”

“Oh!” Matt fumbled in his pouch and got out his phone. “Hello?”
“That you, son?” came his father’s voice.

“Yes, Dad.”

“Did you get there all right?”

“Sure, I’m about to report in.”

“How’s your leg?”

“Leg’s all right, Dad.” His answer was not frank; his right leg, fresh from a corrective operation for a short Achilles’ tendon, was aching as he spoke.

“That’s good. Now see here, Matt-if it should work out that you aren’t selected,. don’t let it get you down. You call me at once and-”

^ “Sure, sure, Dad,” Matt broke in. “Ill have to sign off-I’m in a crowd. Good-by. Thanks for calling.”

“Good-by, son. Good luck.”

Tex Jarman looked at him understandingly. “Your folks always worry, don’t they? I fooled mine-packed my phone in my bag.” The slidewalk swung in a wide curve preparatory to heading back; they stepped off with the crowd, in front of Hayworth Hall. Tex paused to read the inscription over the great doorway. “Quis custodi- What does it say, Matt?”

“Quis custodiet ipsos custodes. That’s Latin for: Who will watch the guardians?”

“You read Latin, Matt?”

“No, I just remember that bit from a book about the Patrol.”

The rotunda of Hayworth Hall was enormous and seemed even larger, for, despite brilliant lighting at the floor level, the domed ceiling gave back no reflection at all; it was midnight black-black and studded with stars. Familiar stars-blazing Orion faced the tossing head of Taurus; the homely shape of the Dipper balanced on its battered handle at north-northeast horizon; just south of overhead the Seven Sisters shone.

The illusion of being outdoors at night was most persuasive. The lighted walls and floor at the level at which people walked and talked and hurried seemed no more than a little band of light, a circle of warmth and comfort, against the awful depth of space, like prairie schooners drawn up for the night under a sharp desert sky.

The boys caught their breaths, as did everyone who saw it for the first time. But they could not stop to wonder as something else demanded their attention. The floor of the rotunda was sunk many feet below the level at which they entered; they stood on a balcony which extended around the great room to enclose a huge, shallow, circular pit. In this pit a battered spaceship lurched on a bed of rock and sand as if it had crash-landed from the mimic sky above.

“It’s the Kilroy-” Tex said, almost as if he doubted it.

“It must be,” Matt agreed in a whisper. –

They moved to the balcony railing and read a plaque posted there:

USSF Rocket Ship Kilroy Was Here 

FIRST INTERPLANETARY SHIP 

 From Terra to Mars and return-Lieut. Colonel Robert deFries Sims, 
 Commanding; Captain Saul S. Abrams; Master Sergeant Malcolm 
 MacGregor. None survived the return landing. Rest in Peace. 

They crowded next to two other boys and stared at the Kilroy. Tex nudged Matt. “See the gash in the dirt, where she skidded? Say, do you suppose they just built right over her, where she lays’


One of the other two-a big-boned six-footer with tawny hair-answered, “No, the Kilroy landed in North Africa.”

“Then they must have fixed it to look like where she crashed. You a candidate too?”

“That’s right.”

Tm Bill Jarman-from Texas. And this is Matt Dodson.”

“I’m Oscar Jensen-and this is Pierre Armand.”

“Howdy, Oscar. Glad to know you, Pierre.”

“Call me Pete,” Armand acknowledged. Matt noticed that he spoke Basic English with an accent, but Matt was unable to place it. Oscar’s speech was strange, too-a suggestion of a lisp. He turned back to the ship.

“Imagine having the guts to go out into space in a cracker box like that,” he said. “It scares me to think about it”

“Me, too,” agreed Oscar Jensen.

“It’s a dirty shame,” Pierre said, softly.

“What is, Pete?” Jarman demanded.

“That their luck didn’t hold. You can see it was an almost perfect landing- they didn’t just crash in, or there would have been nothing left but a hole in the ground.”

“Yeah, I guess you’re right. Say, there’s a stairway down^ over on the far side-see it, Matt? Do you suppose we could look through her?”

“Maybe,” Matt told him, “but I think we had better put it off. We’ve got to report in, you know.”

“We had all better check in,” agreed Jensen. “Coming, Pete?”

Armand reached for his bag. Oscar Jensen pushed him aside and picked it up with his own. “That’s not necessary!” Armand protested, but Oscar ignored him.

Jarman looked at Pierre. “You sick, Pete?” he asked. “I noticed you
looked kind of peaked. What’s the trouble?”

“If you are,” put in Matt, “ask for a delay.”

Armand looked embarrassed. “He’s not sick and hell pass the exams,” Jensen said firmly. “Forget it.”

“Sho’, sho’,” Tex agreed. They followed the crowd and found a notice which told all candidates to report to room 3108, third corridor. They located corridor three, stepped on the slideway, and put down their baggage.

“Say, Matt,” said Tex, “tell me-who was Kilroy?”

“Let me see,” Matt answered. “He was somebody in the Second Global War, an admiral, I think. Yeah, Admiral ‘Bull’ Kilroy, that sounds right.”

“Funny they’d name it after an admiral.”

“He was a flying admiral.”

“You’re a savvy cuss,” Tex said admiringly. “I think I’ll stick close to you during the tests.”

Matt brushed it off. “Just a fact I happened to pick up.”

In room 3108 a decorative young lady waved aside their credentials but demanded their thumb prints. She fed these into a machine at her elbow. The machine quickly spit out instruction sheets headed by the name, serial number, thumb print, and photograph of each candidate, together with temporary messing and rooming assignments.

The girl handed out the sheets and told them to wait next door. She abruptly turned away.

“I wish she hadn’t been so brisk,” complained Tex, as they went out. “I wanted to get her telephone code. Say,” he went on, studying his sheet, “there’s no time left on here for a siesta.”

“Did you expect it?” asked Matt.

“Nope-but I can hope, can’t I?” –

The room next door was filled with benches but the benches were filled with boys. Jarman stopped at a bench which was crowded by three large cases, an ornate portable refresher kit, and a banjo case. A pink-faced youth sat next to this. “Your stuff?” Tex asked him.

The young man grudgingly admitted it. “You won’t mind if we move it and sit down,” Tex went on. He started putting the items on the floor. The owner looked sulky but said nothing.

There was room for three. Tex insisted that the others sit down, then sat down on his bag and leaned against Mart’s knees, with his legs stretched out. His footwear, thus displayed, were seen to be fine western boots, high- heeled and fancy.

A candidate across from them stared at the boots, then spoke to the boy next to him. “Pipe the cowboy!”

Tex snorted and started to get up. Matt put a hand on his shoulder,
shoving him back. “It’s not worth it, Tex. We’ve got a busy day ahead.”

Oscar nodded agreement. “Take it easy, fellow.”

Tex subsided. “Well-all right. Just: the same,” he added, “my Uncle Bodie would stuff a man’s feet in his mouth for less than that.” He glared at the boy across from him.

Pierre Armand leaned over and spoke to Tex. “Excuse me-but are those really shoes for riding on horses?”

^Huh? What do you think they are? Skis?”

“Oh, I’m sorry! But you see, I’ve never seen a horse.”

“What?”

“I have,” announced Oscar, “in the zoo, that is.”

“In a zoo?” repeated Tex.

“In the zoo at New Auckland.”

“Oh-” said Tex. “I get it. You’re a Venus colonial.” Matt then recalled
where he had heard Oscar’s vaguely familiar lisp before-in the speech of a visiting lecturer. Tex turned to Pierre. “Pete, are you from Venus, too?”

“No, I’m-” Pete’s voice was drowned out.

“Attention, please! Quiet!” The speaker was dressed in the severely plain, oyster-white uniform of a space cadet. “All of you,” he went on, speaking into a hand amplifier, “who have odd serial numbers come with me. Bring your baggage. Even numbers wait where you are.”

“Odd numbers?” said Tex. “That’s me!” He jumped up.

Matt looked at his instructions. “Me, too!”

The cadet came down the aisle in front of them. Matt and Tex waited for him to pass. The cadet did not hold himself erectly; he crouched the merest trifle, knees relaxed and springy, hands ready to grasp. His feet glided softly over the floor. The effect was catlike, easy grace; Matt felt that if the room were suddenly to turn topsy-turvy the cadet would land on his feet on the
ceiling-which was perfectly true.

Matt wanted very much to look like him.

As the cadet was passing, the boy with the plentiful baggage plucked at his sleeve. “Hey, mister!”

The cadet turned suddenly and crouched, then checked himself as
quickly. “Yes?”

“I’ve got an odd number, but I can’t carry all this stuff. Who can I get to help me?”

“You can’t.” The cadet prodded the pile with his toe. “All of this is yours?”

“Yes. What do I do? I can’t leave it here. Somebody’ll steal it.”

“I can’t see why anyone would.” The cadet eyed the pile with distaste. “Lug it back to the station and ship it home. Or throw it away.”

The youngster looked blank. “You’ll have to, eventually,” the cadet went on. “When you make the lift to the school ship, twenty pounds is your total allowance.”

“But- Well, suppose I do, who’s to help me get it to the station?”

“That’s your problem. If you want to be in the Patrol, you’ll have to learn to cope with problems.”

“But-”

“Shut up.” The cadet turned away. Matt and Tex trailed along.

Five minutes later Matt, naked as an egg, was stuffing his bag and clothes into a sack marked with his serial number. As ordered, he filed through a door, clutching his orders and a remnant of dignity. He found himself in a gang refresher which showered him, scrubbed him, rinsed him, and blew

him dry again, assembly-line style. His instruction sheet was waterproof; he shook from it a few clinging drops.

For two hours he was prodded, poked, thumped, photographed, weighed, X-rayed, injected, sampled, and examined until he was bewildered. He saw Tex once, in another queue. Tex waved, slapped his own bare ribs, and shivered. Matt started to speak but his own line started up.

The medicos examined his repaired leg, making him exercise it, inquired the date of the operation, and asked if it hurt him. He found himself admitting that it did. More pictures were taken; more tests were made. Presently he was told, “That’s all. Get back into line.” ,

“Is it all right, sir?” Matt blurted out.

“Probably. You’ll be given some exercises. Get along.”

After a long time he came into a room in which several boys were
dressing. His path took him across a weighing platform; his body interrupted electric-eye beams. Relays closed, an automatic sequence took place based on his weight, height, and body dimensions. Presently a package slid down a chute and plunked down in front of him.

It contained an undergarment, a blue coverall, a pair of soft boots, all in his size.

The blue uniform he viewed as a makeshift, since he was anxious to swap it for the equally plain, but oyster white, uniform of a cadet. The shoes delighted him. He zipped them on, relishing their softness and glove-like fit. It seemed as if he could stand on a coin and call it, heads or tails. “Cat feet”-his first space boots! He took a few steps, trying to walk like the cadet he had seen earlier.

“Dodson!”

“Coming.” He hurried out and shortly found himself thrust into a room with an older man in civilian clothes.

“Sit down. I’m Joseph Kelly.” He took Mart’s instruction sheet. “Matthew Dodson . .. nice to know you, Matt.”

“How do you do, Mr. Kelly.”

“Not too badly. Why do you want to join the Patrol, Matt?”

“Why, uh, because-” Matt hesitated. “Well, to tell the truth, sir, I’m so confused right now that I’m darned if I know!”

Kelly chuckled. “That’s the best answer I’ve heard today. Do you have any brothers or sisters, Matt?” The talk wandered along, with Kelly encouraging Matt to talk. The questions were quite personal, but Matt was sophisticated enough to realize that “Mr. Kelly” was probably a psychiatrist; he stammered once or twice but he tried to answer honestly.

“Can you tell me now why you want to be in the Patrol?”

Matt thought about it “I’ve wanted, to go out into space ever since I can remember.”

“Travel around, see strange planets and strange people- that’s
understandable, Matt. But why not the merchant service? The Academy is a long, hard grind, and it’s three to one you won’t finish, even if you are sworn in as a cadet- and not more than a quarter of the candidates will pass muster. But you could enter the merchant school-I could have you transferred today- and with your qualifications you’d be a cinch to win your pilot’s ticket before you are twenty. How about it?”

Matt looked stubborn.

“Why not, Matt? Why insist on trying to be an officer of the Patrol? They’ll turn you inside out and break your heart and no one will thank you for your greatest efforts. They’ll make you over into a man your own mother wouldn’t recognize-and you won’t be any happier for it. Believe me, fellow-I know.”

Matt did not say anything.

“You still want to try it, knowing chances are against you?”

“Yes. Yes, I think I do.”

“Why, Matt?”

Matt still hesitated. Finally he answered in a low voice. “Well, people look up to an officer in the Patrol.”

Mr. Kelly looked at him. “That’s enough reason for now, Matt. You’ll find others-or quit.” A clock on the wall suddenly spoke up:

“Thirteen o’clock! Thirteen o’clock!” Then it added thoughtfully, “I’m hungry.”

“Mercy me!” said Kelly. “So am I. Let’s go to lunch, Matt.”

ELIMINATION PROCESS

MATT’S INSTRUCTIONS told him to mess at table 147, East Refectory.

A map on the back of the sheet showed where East Refectory was;
unfortunately he did not know where Matt was-he had gotten turned around in the course of the morning’s rat race. He ran into no one at first but august personages in the midnight black of officers of the Patrol and he could not bring himself to stop one of them.

Eventually he got oriented by working back to the rotunda and starting over, but it made him about ten minutes late. He walked down an endless line of tables, searching for number 147 and feeling very conspicuous. He was quite pink by the time he located it.

There was a cadet at the head of the table; the others wore the coveralls of candidates. The cadet looked up and said, “Sit down, mister-over there on the right. Why are you late?”

Matt gulped. “I got lost, sir.”

Someone tittered. The cadet sent a cold glance down the table. “You. You with the silly horse laugh-what’s your name?”

“Uh, Schultz, sir.”

“Mister Schultz, there is nothing funny about an honest answer. Have you never been lost?”

“Why- Well, uh, once or twice, maybe.”

“Hm … I shall be interested in seeing your work in astrogation, if you get that far.” The cadet turned back to Matt. “Aren’t you hungry? What’s your name?”

“Yes, sir. Matthew Dodson, sir.” Matt looked hurriedly at the controls in front of him, decided against soup, and punched the
“entree,” “dessert,” and “milk” buttons. The cadet was still watching him as the table served him.

“I am Cadet Sabbatello. Don’t you like soup, Mr. Dodson?”

“Yes, sir, but I was in a hurry.”

“There’s no hurry. Soup is good for you.” Cadet Sabbatello stretched an arm and punched Mart’s “soup” button. “Besides, it gives the chef a chance to clean up the galley.” The cadet turned away, to Mart’s relief. He ate heartily. The soup was excellent, but the rest of the meal seemed dull compared with what he had been used to at home.

He kept his ears open. One remark of the cadet stuck in his memory. “Mr. van Zook, in the Patrol we never ask a man where he is from. It is all right for Mr. Romolus to volunteer that he comes from Manila; it is incorrect for you to ask him.”


The afternoon was jammed with tests; intelligence, muscular control, reflex, reaction time, sensory response. Others required him to do two or more things at once. Some seemed downright silly. Matt did the best he could.

He found himself at one point entering a room containing nothing but a large, fixed chair. A loudspeaker addressed him: “Strap yourself into the chair. The grips on the arms of the chair control a spot of light on the wall. When the lights go out, you will see a lighted circle. Center your spot of light in the circle and keep it centered.”

Matt strapped himself down. A bright spot of light appeared on the wall in front of him. He found that the control in his right hand moved the spot up and down, while the one in his left hand moved it from side to side. “Easy!” Matt told himself. “I wish they would start.”

The lights in the room went out; the lighted target circle bobbed slowly up and down. He found it not too difficult to bring his spot of light into the circle and match the bobbing motion.

Then his chair turned upside down.

When he recovered from his surprise at finding himself hanging head down in the dark, he saw that the spot of light had drifted away from the circle. Frantically he brought them together,
swung past and had to correct.

The chair swung one way, the circle another, and a loud explosion took place at his left ear. The chair bucked and teetered; a jolt of electricity convulsed his hands and he lost j the circle entirely.

Matt began to get sore. He forced his spot back to the circle and nailed it. “Gotcha!” ;

Smoke poured through the room, making him cough, ‘ watering his eyes, and veiling the target. He squinted and; hung on grimly, intent only on hanging onto that pesky circle of light-through more explosions, screaming painful) noise, flashing lights, wind in his eyes, and endless, crazy emotions of his chair. ‘ .

Suddenly the room lights flared up, and the mechanical 1 voice said: “Test completed. Carry out your next assignment.” .;

Once he was given a handful of beans and a small bottle, and was told to sit down and place the bottle at a mark on ;,-the floor and locate in his mind the exact position of the ; bottle. Then he was to close his eyes and drop the beans one at a time into the bottle-if possible.

He could tell from the sound that he was not making many hits, but he was mortified to find, when he opened his eyes, that only one bean rested in the bottle.

He hid the bottom of his bottle in his fist and queued up at the examiner’s desk. Several of those lined up had a goodly number of beans in their bottles, although he noted two with no beans at all. Presently he handed his bottle to the examiner. “Dodson, Matthew, sir. One bean.”

The examiner noted it without comment. Matt blurted out, “Excuse me, sir- but what’s to keep a person from cheating by peeking?”


The examiner smiled. “Nothing at all. Go on to your next test.”

Matt left, grumbling. It did not occur to him that he might not know what was being tested.

Late in the day he was ushered into a cubbyhole containing a chair, a gadget mounted on a desk, pencil and paper, and
framed directions. “If any score from a previous test,” Matt read, “appears in the window marked SCORE, return the starting lever to the position marked NEUTRAL to clear the board for your test.”

Matt found the window labeled “SCORE”; it had a score showing in it-“37.” Well, he thought, that gives me a mark to shoot at. He decided not to clear the board until he had read the instructions.

"After the test starts," he read, "a score of T will result each time you press  the lefthand button except as otherwise provided here below. Press the  lefthand button whenever the red light appears provided the green light is not  lighted as well except that no button should be pressed when the righthand  gate is open unless all lights are out. If the right-hand gate is open and the lefthand gate is closed, no score will result from pressing any button, but the  lefthand button must nevertheless be pressed under these circumstances if all other conditions permit a button to be pressed before any score may be made in succeeding phases of the test. To put out the green light, press the righthand button. If the lefthand gate is not closed, no button may be pressed. If the lefthand gate is closed while the red light is lighted, do not press the lefthand button if the green light is out unless the righthand gate is open. To start the test move the starting lever from neutral all the way to the  right. The test runs for two minutes from the time you move the starting lever to the right. Study these instructions, then select your own time for commencing the test. You are not permitted to ask questions of the  examiner, so be sure that you understand the instructions. Make as high a score as possible." 

“Whew!” said Matt.

Still, the test looked simple-one lever, two pushbuttons, two colored lights, two little gates. Once he mastered the instructions, it would be as easy as flying a kite, and a durn sight simpler than flying a copter!-Matt had had his copter license since he was twelve. He got to work.

First, he told himself, there seems to be just two ways to make a score, one with the red light on and one with both lights out and
one gate open.

Now for the other instructions- Let’s see, if the lefthand gate is not closed- no, if the lefthand gate is closed-he stopped and read them over again.

Some minutes later he had sixteen possible positions of gates and
conditions of lights listed. He checked them against the instructions, Seeking scoring combinations. When he was through he stared at the result, then checked everything over again.


After rechecking he stared at the paper, whistled tunelessly, and
scratched his head. Then he picked up the paper, left the booth, and went to the examiner.

That official looked up. “No questions, please.”

“I don’t have a question,” Matt said. “I want to report something. There’s something wrong with that test. Maybe the wrong instructions sheet was put in there. In any case, there is no possible way to make a score under the instructions that are in there.”

“Oh, come, now!” the examiner answered. “Are you sure of that?”

Matt hesitated, then answered firmly, “I’m sure of it, Want to see my proof?”

“No. Your name is Dodson?” The examiner glanced at a timer, then wrote on a chart. “That’s all.”

“But- Don’t I get a chance to make a score?”

“No questions, please! I’ve recorded your score. Get along -it’s dinner time.”

There were a large number of vacant places at dinner. Cadet Sabbatello looked down the long table. “I see there have been some casualties,” he remarked. “Congratulations, gentlemen, for having survived thus far.”

“Sir-does that mean we’ve passed all the tests we took today?” one of the candidates asked.

“Or at least won a retest. You haven’t flunked.” Matt sighed with relief. “Don’t get your hopes up. There will be still fewer of you here tomorrow.”

“Does it get worse?” the candidate went on.

Sabbatello grinned wickedly. “Much worse. I advise you all to eat little at breakfast. However,” he went on, “I have good news, too.
It is rumored that the Commandant himself is coming down to Terra to honor you “with his presence when you are sworn in-if you are sworn in.”

Most of those present looked blank. The cadet glanced around. “Come, come, gentlemen!” he said sharply. “Surely not all of you are that ignorant. You!” He addressed Matt. “Mister, uh-Dodson. You seem to have some glimmering of what I am talking about. Why should you feel honored at the presence of the Commandant?”

Matt gulped. “Do you mean the Commandant of the Academy, sir?”

“Naturally. What do you know about him?”

“Well, sir, he’s Commodore Arkwright.” Matt stopped, as if the name were explanation.

“And what distinguishes Commodore Arkwright?”

“Uh, he’s blind, sir.”

“Not blind, Mr. Dodson, not blind! It simply happens that he had his eyes burned out. How did he lose his eyesight?” The cadet stopped him. “No-don’t tell them. Let them find out for themselves.”

The cadet resumed eating and Matt did likewise, while thinking about Commodore Arkwright. He himself had been too young to pay attention to the news, but his father had read an account of the event to him-a spectacular, single-handed rescue of a private yacht in distress, inside the orbit of Mercury. He had forgotten just how the Patrol officer had exposed his eyes to the Sun-something to do with transferring the yacht’s personnel-but he could still hear his father reading the end of the report:

"-these actions are deemed  to be in accordance with the tradition of the Patrol." 

He wondered if any action of his would ever receive that superlative distinction. Unlikely, he decided; “duty satisfactorily performed” was about the best an ordinary man could hope for.

Matt ran into Tex Jarman as he left the mess hall. Tex pounded him on the back. “Glad to see you, kid. Where are you rooming?”

“I haven’t had time to look up my room yet.”

“Let’s see your sheet.” Jarman took it. “We’re in the same corridor-swell. Let’s go up.”

They found the room and walked in. Sprawled on the lower of two bunks, reading and smoking a cigarette, was another candidate. He looked up.

“Enter, comrades,” he said, “Don’t bother to knock.”

“We didn’t,” said Tex.

“So I see.” The boy sat up. Matt recognized the boy who had made the crack about Tex’s boots. He decided to say nothing-perhaps they would not recognize each other. The lad continued, “Looking for someone?”

“No,” Matt answered, “this is the room I’m assigned to.”

“My roommate, eh? Welcome to the palace. Don’t trip over the dancing girls. I put your stuff on your bed.”

The sack containing Matt’s bag and civilian clothes rested on the upper bunk. He dragged it down.

“What do you mean, his bed?” demanded Tex. “You ought to match for the lower bunk.”

Matt’s roommate shrugged. “First come, first served.”

Tex clouded up. “Forget it, Tex,” Matt told him. “I prefer the upper. By the way,” he went on, to the other boy, “I’m Matt Dodson.”

“Girard Burke, at your service.”

The room was adequate but austere. Matt slept in a hydraulic bed at home, but he had used mattress beds in summer camp. The adjoining refresher was severely functional but very modern. Matt noted with pleasure that the shower was installed with robot massage. There was no shave mask, but shaving was not yet much of a chore.

In his wardrobe he found a package, marked with his serial number, containing two sets of clothing and a second pair of space boots. He stowed them and his other belongings; then turned to Tex. “Well, what¡¯ll we do now?”

“Let’s look around the joint.”

. “Fine. Maybe we can go through the Kilroy.” Burke chucked his cigarette toward the oubliette. “Wait a sec. I’ll go with you.” He disappeared into the ‘fresher.

Tex said in a low voice. “Tell him to go fly a kite, Matt.”

“It’ud be a pleasure. But I’d rather get along with him, Tex.”

“Well, maybe they’ll eliminate him tomorrow.”

“Or me.” Matt smiled wryly.

“Or me. Shucks, no, Matt-we’ll get by. Have you thought about a
permanent roomie? Want to team up?”

“It’s a deal.” They shook hands.

“I’m glad that’s settled,” Tex went on. “My cellmate is a nice little guy, but he’s got a blood brother, or some such, he wants to room with. Came to see him before dinner. They chattered away in Hindustani, I guess it was. Made me nervous. Then they shifted to Basic out of politeness, and that made me more nervous.”

“You don’t look like the nervous type.”

“Oh, all us Jarmans are high strung. Take my Uncle Bodie. Got so excited at the county fair he -jumped between the shafts of a sulky and won two heats before they could catch him and throw him.”

“Is that so?”

“My solemn word. Didn’t pay off, though. They disqualified him because he wasn’t a two-year-old.”

Burke joined them and they sauntered down to the rotunda. Several hundred other candidates had had the same idea but the administration had anticipated the rush. A cadet stationed at the stairway into the pit was permitting visitors in parties of ten only, each party supervised by a cadet. Burke eyed the queue. “Simple arithmetic tells me there’s no point in waiting.”

Matt hesitated. Tex said, “Come on, Matt. Some will get tired and drop out.”

Burke shrugged, said, “So long, suckers,” and wandered away.

Matt said doubtfully, “I think he’s right, Tex.”

“Sure-but I got rid of him, didn’t I?”

The entire rotunda was a museum and memorial hall of the Patrol. The boys found display after display arranged around the walls-the original log of the first ship to visit Mars, a photo of the take-off of the disastrous first Venus expedition, a model of the German rockets used in the Second Global War, a hand-sketched map of the far side of the Moon, found in the wrecked Kilroy.

They came to an alcove the back wall of which was filled by a stereo picture of an outdoor scene. They entered and found themselves gazing, in convincing illusion, out across a hot and dazzling lunar plain, with black sky, stars, and Mother Terra herself in the background.

In the foreground, life size, was a young man dressed in an old-fashioned pressure suit. His features could be seen clearly through his helmet, big mouth, merry eyes, and ; thick sandy hair cut in the style of the previous century.

Under the picture was a line of lettering: Lieutenant Ezra. . Dahlquist, Who Helped Create the Tradition of the Patrol-1969-1996. ‘\

Matt whispered, “There ought to be a notice posted somewhere to tell us what he did.”

“I don’t see any,” Tex whispered back. “Why are you whispering?”

“I’m not-yes, I guess I was. After all, lie can’t hear us, can he? Oh-there’s a vocal!”


“Well, punch it.”

Matt pressed the button; the alcove filled with the first bars of Beethoven's Fifth. The music gave way to a voice: "The Patrol was originally made up of officers sent to it by each of the nations then in the Western Federation. Some were trustworthy, some were not. In 1996 came a day shameful and glorious in the history of the Patrol, an attempted coup d'etat, the so-called Revolt of the Colonels. A cabal of high-ranking officers, acting from Moon 
Base, tried to seize power over the entire world. The plot would have been successful had not Lieutenant Dahlquist disabled every atom-bomb rocket at Moon Base by removing the fissionable material from each and wrecking the triggering mechanisms. In so doing he received so much radiation that he died of his burns." The voice stopped and was followed by the Valhalla theme from G.tterdammerung.
Link

Tex let out a long sigh; Matt realized that he had been holding his own breath. He let it go, then took another; it seemed to relieve the ache in his chest.

They heard a chuckle behind them. Girard Burke was leaning against the frame of the alcove. “They go to a lot of trouble to sell it around here,” he remarked. “Better watch it, me lads, or you will find yourselves buying it.”

“What do you mean by that? Sell what?”

Burke gestured toward the picture. “That. And the plug that goes with it. If you care for that sort of thing, there are three more, one at each cardinal point of the compass.”

Matt stared at him. “What’s the matter with you, Burke? Don’t you want to be in the Patrol?”

Burke laughed. “Sure I do. But I’m a practical man; I don’t have to
bamboozled into it by a lot of emotional propaganda.” He pointed to the picture of Ezra Dahlquist. “Take him. They don’t tell you he disobeyed orders of his superior officer-if things had fallen the other way, he’d be called a traitor. Besides that, they don’t mention that it was sheer clumsiness that got him burned. Do you expect me to think he was a superman?”

Matt turned red. “No, I wouldn’t expect it.” He took a step forward. “But, since you are a practical man, how would you like a nice, practical punch in the snoot?”

Burke was no larger than Matt and a shade shorter, but he leaned
forward, balanced on the balls of his feet, and said softly, “I’d love it. You and who else?”

Tex stepped forward. “I’m the ‘who else.’ ”

“Stay out of this, Tex!” Matt snapped.

“I will not! I don’t believe in wasting fair fighting on my social inferiors.”

“Stay out, I tell you!”

“Nope, I want a piece of this. You slug him and I’ll kick him in the stomach as he goes down.”

Burke looked at Jarman, and relaxed, as if he knew that the fighting moment was past. “Tut, tut, Gentlemen! You’re squabbling among yourselves.” He turned away. “Goodnight, Dodson. Don’t wake me coming in.”

Tex was still fuming. “We should have let him have it. He’ll make your life miserable until you slap him down. My Uncle Bodie says the way to deal with that sort of pimple is to belt him around until he apologizes.”

“And get kicked out of the Patrol before we’re in it? I let him get me mad, so that puts him one up. Come on- let’s see what else there is to see.”

But Call-to-Quarters sounded before they worked .around to the next of the four alcoves. Matt said good night to Tex at his door and went inside. Burke was asleep or shamming. .Matt peeled off his clothes, shinnied up into his bunk, looked for the light switch, spotted it, and ordered it to switch off.

The unfriendly presence under him made him restless, but he was almost asleep when he recalled that he had not called his father back. The thought awakened him. Presently he became aware of a vague ache somewhere inside him. Was he coming down with something?

Could it be that he was homesick? At his age? The longer he considered it the more likely it seemed, much as he hated to admit it. He was still pondering it when he fell asleep.

OVER THE BUMPS

THE NEXT MORNING Burke ignored the trouble they had had; he made no mention of it. He was even moderately cooperative about sharing the ‘fresher. But Matt was glad to hear the call to breakfast.

Table 147 was not where it should be. Puzzled, Matt moved down the line until he found a table marked “147-149,” with Cadet Sabbatello in charge. He found a place and sat down, to find himself sitting next to Pierre Armand. “Well! Pete!” he greeted him. “How are things going?”

“Glad to see you, Matt. Well enough, I guess.” His tone seemed doubtful.

Matt looked him over. Pete seemed-“dragged through a knothole” was the phrase Matt settled on. He was about to ask what was
wrong when Cadet Sabbatello rapped on the table. “Apparently,” said the cadet, “some of you gentlemen have forgotten my advice last night, to eat sparingly this morning. You are about to go over the bumps today-and ground-hogs have been known to lose their breakfasts as well as their dignity.”

Matt looked startled. He had intended to order his usual lavish breakfast; he settled for milk toast and tea. He noticed that Pete had ignored the cadet’s advice; he was working on a steak, potatoes, and fried eggs-whatever ailed Pete, Matt decided, it had not affected his appetite.

Cadet Sabbatello had also noticed it. He leaned toward Pete. “Mister, uh-”

“Armand, sir,” Pete answered between bites.

“Mr. Armand, either you have the digestion of a Martian sandworm, or you thought I was joking. Don’t you expect to be dropsick?”

“No, sir.”

“No?”

“You see, sir, I was born on Ganymede.”

“Oh! I beg your pardon. Have another steak. How are you doing?”

“Pretty well, on the whole, sir.”

“Don’t be afraid to ask for dispensations. You’ll find that everyone around here understands your situation.”

“Thank you, sir.”

“I mean it. Don’t play ‘iron man.’ There’s no sense in it.”

After breakfast, Matt fell in step with Armand. “Say, Pete, I see why Oscar carried your bag yesterday. Excuse me for being a stupe.”

Pete looked self-conscious. “Not at all. Oscar has been looking out for me-I met him on the trip down from Terra Station.”

Matt nodded. “I see.” He had no expert knowledge of interplanetary schedules, but he realized that Oscar, coming from Venus, and Pete, coming from one of Jupiter’s moons, would-have to change ships at the artificial satellite of Earth called Terra Station, before taking the shuttle rocket down.

It accounted for the two boys being well acquainted despite cosmically different backgrounds. “How do you feel?” he went on.

Pete hesitated. “As a matter of fact, I feel as if I were wading in quicksand up to my neck. Every move is an effort.”

“Gee, that’s too bad! Just what is the surface gravity on Ganymede?
About one-third V isn’t it?”

“Thirty-two per cent. Or from my point of view, everything here weighs three times as much as it ought to. Including me.”

Matt nodded. “As if two other guys were riding on you, one on your shoulders, and one on your back.”

“That’s about it. The worst of it is, my feet hurt all the time. I’ll get over it-”

“Sure you will!

“-since. I’m of Earth ancestry and potentially just as strong as my
grandfather was. Back home, I’d been working out in the centrifuge the last couple of earth-years. I’m a lot stronger than I used to be. There’s Oscar.”

Matt greeted Oscar, then hurried to his room to phone his father in private.

A copter transport hopped Matt and some fifty other candidates to the site of the variable acceleration test-in cadet slang, the “Bumps.” It was west of the base, in the mountains, in order to have a sheer cliff for free fall. They landed on a loading platform at the edge of this cliff and joined a throng of other candidates. It was a crisp Colorado morning. They were near the timberline; gaunt evergreens, twisted by the winds, surrounded the clearing.

From a building just beyond the platform two steel skeletons ran vertically down the face of the two-thousand-foot cliff. They looked like open frames for elevators, which one of them was. The other was a guide for the testing car during the drop down the cliff.

Matt crowded up to the rail and leaned over. The lower ends of the skeleton frameworks disappeared, a dizzy distance below, in the roof of a building notched into the sloping floor of the canyon. He was telling himself that he hoped the engineer who had designed the thing knew what he was doing when he felt a dig in the ribs. It was Tex. “Some roller coaster, eh,

Matt?”


“Hi, Tex. That’s an understatement if I ever heard one.”

The candidate on Matt’s left spoke up. “Do you mean to say we ride down that thing?”

“No less,” Tex answered. “Then they gather the pieces up in a basket and haul ’em up the other one.”

“How fast does it go?”

“You’ll see in a mom- Hey! Thar she blows!”

A silvery, windowless car appeared inside one guide frame, at its top. It poised for a split second, then dropped. It dropped and dropped and dropped, gathering speed, until it disappeared with what seemed incredible velocity- actually about two hundred and fifty miles per hour-into the building below. Matt braced himself for the crash. None came, and he caught his breath.

Seconds later the car reappeared at the foot of the other framework. It seemed to crawl; actually it was accelerating rapidly during the first half of the climb. It passed from view into the building at the top of the cliff.

“Squad nine!” a loudspeaker bawled behind them.

Tex let out a sigh “Here I go, Matt,” he said. “Tell mother my last words were of her. You can have my stamp collection.” He shook hands and walked away.

The candidate who had spoken before gulped; Matt saw that he was quite pale. Suddenly he took off in the same direction but did not line up with the squad; instead he went up to the cadet mustering the squad and spoke to him, briefly and urgently. The cadet shrugged and motioned him away from the group.

Matt found himself feeling sympathetic rather than contemptuous.

His own test group was mustered next. He and his fellows were
conducted into the upper building, where a cadet explained the test: “This test examines your tolerance for high acceleration, for free fall or weightlessness, and for violent changes in acceleration. You start with centrifugal

force of three gravities, then all weight is removed from you as the car goes over the cliff. At the bottom the car enters a spiraling track which reduces its speed at deceleration of three gravities. When the car comes to rest, it enters the ascending tower; you make the climb at two gravities, dropping to one gravity, and momentarily to no weight, as the car reaches the top. Then the cycle is repeated, at higher accelerations, until each of you has
reacted. Any questions?”

Matt asked, “How long is the free fall, sir?”

“About eleven seconds. We would increase it, but to double it would take four times as high a cliff. However, you will find this one high enough.” He smiled grimly.

A timid voice asked, “Sir, what do you mean by ‘react’?”

“Any of several things-hemorrhage, loss of consciousness.”

“It’s dangerous?”

The cadet shrugged. “What isn’t? There has never been any mechanical failures. Your pulse, respiration, blood pressure, and other data are telemetered to the control room. We’ll try not to let you die under test.”

Presently he led them out of the room, down a passage and through a door into the test car. It had pendulum seats, not unlike any high-speed vehicle, but semi-reclining and heavily padded. They strapped down and medical technicians wired them for telemetering their responses. The cadet inspected, stepped out and returned with an officer, who repeated the inspection. The cadet then distributed “sick kits”-cloth bags of double thickness to be tied and taped to the mouth, so that a person might retch
without inundating his companions. This done, he asked, “Are you all ready?” Getting no response, he went out and closed the door.

Matt wished that he had stopped him before it was too late.

For a long moment nothing happened. Then the car seemed to incline; actually, the seats inclined as the car started to move and picked up speed.

The seats swung back to the at-rest position but Matt felt himself getting steadily heavier and knew thereby that they were being centrifuged. He pressed against the pads, arms leaden, legs too heavy to move.

The feeling of extra weight left him, he felt his normal weight again, when suddenly that, too, was taken from him. He surged against the safety belts.

His stomach seemed to drop out of him. He gulped and swallowed; his breakfast stayed down. Somebody yelled, “We’re falling!” It seemed to Matt the most unnecessary statement he had ever heard.

He set his jaw and braced himself for the bump. It did not come-and still his stomach seemed trying to squirm its way out of his body. Eleven seconds? Why, he had been falling more than eleven seconds already. What had gone wrong?

And still they fell, endlessly.

And fell.

Then he was forced back against the pads. The pressure increased
smoothly until he was as heavy as he had been just before the drop. His abused stomach tried to retch but the pressure was too much for it.

The pressure eased off to normal weight. A short while later the car seemed to bounce and momentarily he was weightless, while his insides grabbed frantically for anchorage. The feeling of no weight lasted only an instant; he sagged into the cushions.

The door was flung open; the cadet strode in, followed by two medical technicians. Someone yelled, “Let me out of here! Let me out of here!” The cadet paid no attention but went to the seat in front of Matt. He unstrapped the occupant and the two medical assistants carried him out. His head lolled loosely as they did so. The cadet then went to the candidate who was kicking up the fuss, unstrapped him, and stepped back. The boy got up, staggered,
and shuffled out.


“Anyone need a fresh sick kit?” There were muffled responses. Working swiftly, the cadet helped those who needed it. Matt felt weakly triumphant that his own kit was still clean.

“Stand by for five gravities,” commanded the cadet. He made them answer to their names, one by one. While he was doing so
another boy started clawing at his straps. Still calling the roll, the cadet helped him free and let him leave. He followed the lad out the door and shut it.

Matt felt himself tensing unbearably. He was relieved when the pressure took hold-but only momentarily, for he found that five gravities were much worse than three. His chest seemed paralyzed, he fought for air.

The giant pressure lifted-they were over the edge again, falling. His mistreated stomach revenged itself at once; he was sorry that he had eaten any breakfast at all.

They were still falling. The lights went out-and someone screamed. Falling and still retching, Matt was sure that the blackness meant some sort of accident; this time they would crash-but it did not seem to matter.

He was well into the black whirlpool of force that marked the deceleration at the bottom before he realized that he had come through without being killed. The thought brought no particular emotion; breathing at five gravities fully occupied him. The ride up the cliff, at double weight dropping off to normal weight, seemed like a vacation-except that his stomach protested when they bounced to a stop.

The lights came on and the cadet re-entered the room. His gaze stopped at the boy on Matt’s right. The lad was bleeding at his nose and ears. The candidate waved him away feebly. “I can take it,” he protested. “Go on with the test.”

“Maybe you can,” the cadet answered, “but you are through for today.” He added, “Don’t feel bad about it. It’s not necessarily a down check.”

He inspected the others, then called in the officer. The two held a
whispered consultation over one boy, who was then half led, half carried from the test chamber. “Fresh sick kits?” asked the cadet.

“Here,” Matt answered feebly. The change was made, while Matt vowed to himself never to touch milk toast again.

“Seven gravities,” announced the cadet. “Speak up, or stand by.” He called the roll again. Matt was ready to give up, but he heard himself answer “ready” and the cadet was gone before he could make up his mind. There were only six of them left now.

It seemed to him that the lights were going out again, gradually, as the weight of his body built up to nearly a thousand pounds. But the lights “came on” again as the car dropped over the cliff; he realized dully that he had blacked out.

He had intended to count seconds on this fall to escape the feeling of endless time, but he was too dazed. Even the disquiet in his middle section seemed remote. Falling-falling-

Again the giant squeezed his chest, drained the blood from his brain, and shut the light from his eyes. The part that was Matt squeezed out entirely. …

“How do you feel?” He opened his eyes, saw a double image, and
realized dimly that the cadet was leaning over him. He tried to answer. The cadet passed from view; he felt someone grasping him; he was being lifted and carried.

Someone wiped his face with a wet, cold towel. He sat up and found himself facing a nurse. “You’re all right now,” she said cheerfully. “Keep this until your nose stops bleeding.” She handed him the towel. “Want to get up?”

“Yes, I think so.”

“Take my arm. We’ll go out into the air.”

Out on the loading platform Matt sat in the sunshine, dabbling at his nose and regaining his strength. He could hear sounds of excitement from the rail behind each time the car dropped. He sat there, soaking in the sun and wondering whether or not he really wanted to be a spaceman.

“Hey, Matt.” It was Tex, looking pale and not too sure of himself. There was a blood stain down the front of his coverall.

“Hello, Tex. I see you’ve had it.”

“Yeah.”

“How many g’s?”

“Seven.”

“Same here. What do you think of it?”

“Well-” Tex seemed at a loss. “I wish my Uncle Bodie could have tried it. He wouldn’t talk so much about the time he rassled the grizzly.”

There were many vacant seats at lunch. Matt thought about those who had gone-did they mind being “bumped out,” or were they relieved?

He was hungry but ate little, for he knew what was ahead that afternoon- rocket indoctrination. He had looked forward to this part of the schedule most eagerly. Space flight! Just a test jump, but the real thing nevertheless. He had been telling himself that, even if he failed, it would be worth it to get this first flight.

Now he was not sure; the “bumps” had changed his viewpoint. He had a new, grim respect for acceleration and he no longer thought drop-sickness funny; instead he was wondering whether or not he would ever get adjusted to free fall. Some never did, he knew.

His test group was due in Santa Barbara Field at fourteen-thirty. He had a long hour to kill with nothing to do but fret. Finally it was time to go underground, muster, and slidewalk out to the field.

The cadet in charge led them up to the surface into a concrete trench about four feet deep. Matt blinked at the sunlight. His depression was gone; he was anxious to start. On each side and about two hundred yards away were training rockets, lined up like giant birthday candles, poised on their fins with sharp snouts thrusting against the sky.

“If anything goes wrong,” the cadet said, “throw yourself flat in the trench. Don’t let that get your goat-I’m required to warn you.

“The jump lasts nine minutes, with the first minute and a half under power. You’ll feel three gravities, but the acceleration is only two gravities, because you are still close to the Earth.

“After ninety seconds you’ll be travelling a little faster than a mile a second and you will coast on up for the next three minutes for another hundred miles to an altitude of about one hundred fifty miles. You fall back toward the earth another three minutes, brake your fall with the jet and ground at the end of the ninth minute.

“A wingless landing on an atmosphere planet with gravity as strong as that of Earth is rather tricky. The landing will be radar-robot controlled, but a human pilot will stand by and check the approach against the flight plan. He can take over if necessary. Any questions?”

Someone asked, “Are these atomic-powered ships?”

The cadet snorted. “These jeeps? These are chemically powered, as you can see from the design. Monatomic hydrogen. They are much like the first big rockets ever built, except that they have variable thrust, so that the pilot and the passengers won’t” be squashed into strawberry jam as the mass- ratio drops off.”

A green signal flare arched up from the control tower. “Keep your eyes on the second rocket from the end, on the north,” advised the cadet.

There was a splash of orange flame, sun bright, at the base of the ship. “There she goes!”

The ship lifted majestically, and poised for an instant, motionless as a hovering helicopter. The noise reached Matt, seemed to press against his chest. It was the roar of an impossibly huge blowtorch. A searchlight in the tower blinked, and the ship mounted, up and up, higher and faster, its speed increasing with such smoothness that it was hard to realize how fast it was going-except that the roar was gone. Matt found himself staring straight at the zenith, watching a dwindling artificial sun, almost as dazzling as Sol himself.

Then it was gone. Matt closed his mouth and started to look away, when his attention was seized by the ice trail left as the rocket sliced its way through the outer atmosphere. White and strange, it writhed like a snake with a broken back. Under the driving force of the many-hundred-miles-an-hour winds of that far altitude it twisted visibly as he watched.

“That’s all!” the cadet shouted. “We can’t wait for the landing.”

They went underground, down a corridor, and entered an elevator. It went up right out of the ground and into the air, supported by a hydraulic piston. It mounted close by the side of a rocket ship; Matt was amazed to see how large it was close up.

The elevator stopped and its door let down drawbridge fashion into the open hatch in the rocket’s side. They trooped across; the cadet raised the bridge and went down again.

They were in a conical room. Above them the pilot lay in his acceleration rest. Beside them, feet in and head out, were acceleration couches for passengers. “Get in the bunks!” shouted the pilot. “Strap down.”


Ten boys jostled one another to reach the couches. One hesitated. “Uh, oh, Mister!” he called out.

“Yes? Get in your couch.”

“I’ve changed my mind. I’m not going.”

The pilot used language decidedly not officerlike and turned to his control board. ‘Tower! Remove passenger from number nineteen.” He listened, then said, “Too late to change the flight plan. Send up mass.” He shouted to the waiting boy, “What do you weigh?”

“Uh, a hundred thirty-two pounds, sir.”

“One hundred and thirty-two pounds and make it fast!” He turned back to the youngster. “You better get off this base fast, for if I have to skip my takeoff I’ll wring your neck.”

The elevator climbed into place presently and three cadets poured across. Two were carrying sandbags, one had five lead weights. They strapped the sandbags to the’ vacant couch, and clamped the weights to its sides. “One thirty-two mass,” announced one of the cadets.

“Get going,” snapped the pilot and turned back to the board.

“Don’t blow your tubes, Harry,” advised the cadet addressed. Matt was amazed, then decided the pilot must be a cadet, too. The three left, taking with them the boy; the hatch door shut with a whish.

“Stand by to raise!” the pilot called out, then looked down to check his passengers. “Passengers secure, nineteen,” he called to the
tower. “Is that confounded elevator clear?”

There was silence as the seconds trickled away.

The ship shivered. A low roar, muffled almost below audibility, throbbed in Mart’s head. For a moment he felt slightly heavy, the feeling passed, then he was pressed strongly against the pads.

Matt was delighted to find that three gravities were not bad, flat on his back as he was. The minute and a half under power stretched out; there was nothing to hear but the muted blast of the reactor, nothing to see but the sky through the pilot’s port above.

But the sky was growing darker. Already it was purple; as he watched it turned black. Fascinated, he watched the stars come out.

“Stand by for free fall!” the pilot called out, using an amplifier. “You’ll find sick kits under each pillow. If you need ’em, put ’em on. I don’t want to have to scrape it off the port.”

Matt fumbled with heavy fingers under his head, found the kit. The sound of the jet died away, and with it the thrust that had kept them pinned down. The pilot swung out of his rest and floated, facing them. “Now look, sports we’ve got six minutes. You can unstrap, two at a time and come up for a look-see. But get this: Hang oh tight. Any man who starts floating free, or skylarking, gets a down check.” He pointed to a boy. “You-and the next guy.”

The “next guy” was Matt. His stomach was complaining and he felt so wretched that he did not really want the privilege offered-but his face was at stake; he clamped his jaws, swallowed the saliva pouring into his mouth, and unstrapped.


Free, he clung to one strap, floating loosely, and tried to get his bearings. It was curiously upsetting to have no up-and-down; it made everything swim- he had trouble focusing his eyes. “Hurry up there!” he heard the pilot shout, “or you’ll miss your turn.”

“Coming, sir.”

“Hang on-I’m going to turn the ship.” The pilot un-clutched his gyros and cut in his processing flywheels. The ship turned
end over end. By the time Matt worked his way to the control station, moving like a cautious and elderly monkey, the rocket was pointed toward Earth.

Matt stared out at the surface, nearly a hundred miles below and still receding. The greens and browns seemed dark by contrast with the white dazzle of clouds. Off to the left and right he could see the inky sky, stabbed with stars. “That’s the Base, just below,” the pilot was saying. “Look sharp and you can make out Hayworth Hall, maybe, by its shadow.”

It did not seem “just below” to Matt; it seemed “out”- or no direction at all. It was disquieting. “Over there-see? -is the crater where Denver used to be. Now look south-that brown stretch is Texas; you can see the Gulf beyond it.”

“Sir,” asked Matt, “can we see Des Moines from here?”

“Hard to pick out. Over that way-let your eye slide down the Kaw River till it strikes the Missouri, then up river. That dark patch-that’s Omaha and Council Bluffs. Des Moines is between there and the horizon.” Matt strained his eyes, trying to pick out his home. He could not be sure- but he did see that he was staring over the bulge of the Earth at a curved horizon; he was seeing the Earth as round. “That’s all,” ordered the pilot. “Back to your bunks. Next pair!”

He was glad to strap a belt across his middle. The remaining four minutes or so stretched endlessly; he resigned himself to never getting over space sickness. Finally the pilot chased the last pair back, swung ship jet toward Earth, and shouted, “Stand by for thrust-we’re about to ride her down on her tail!”

Blessed weight pressed down on him and his stomach stopped
complaining. The ninety seconds of deceleration seemed longer; it made him jumpy to know that the Earth was rushing up at them and not be able to see it. But at last there came a slight bump and his weight dropped suddenly to normal. “Grounded,” announced the pilot, “and all in one piece. You can unstrap, sports.”

Presently a truck arrived, swung a telescoping ladder up to the hatch, and “they climbed down. On the way back they passed a great unwieldy tractor, crawling out to retrieve the rocket. Someone stuck his head out of the tractor. “Hey! Harry-why didn’t you land it in Kansas?”

Their pilot waved at the speaker. “Be grateful I didn’t!”

Matt was free until mess; he decided to return to the observation trench; he still wanted to see a ship land on its jet. He had seen winged landings of commercial stratosphere rockets, but never a jet landing.

Matt had just found a vacant spot at the trench when a shout went up-a ship was coming in. It was a ball of flame, growing in the sky, and then a pillar of flame, streaking down in front of him. The streamer of fire brushed the ground, poised like a ballet dancer, and died out. The ship was down.

He turned to a candidate near him. “How long till the next one?”

“They’ve come in about every five minutes. Stick around.”

Presently a green flare went up from the control tower and he looked around, trying to spot the ship about to take off, when another shout caused him to turn back. There again was a ball of fire in the sky, growing.

Unbelievably, it went out. He stood there, stupefied- to hear a cry of “Down! Down, everybody! Flat on your faces!” Before he could shake off his stupor, someone tackled him and threw him.

He was rocked by a sharp shock, on top of it came the roar of an
explosion. Something snatched at his breath.

He sat up and looked around. A cadet near him was peering cautiously over the parapet. “Allah the Merciful,” he heard him say softly.

“What happened?”

“Crashed in. Dead, all dead.” The cadet seemed to see him for the first time. “Get back to your quarters,” he said sharply.

“But how did it happen?”

“Never mind-this is no time for sightseeing.” The cadet moved down the line, clearing out spectators.

FIRST MUSTER

MATT’S BOOM WAS EMPTY, which was a relief. He did not want to see Burke, nor anyone. He sat down and thought about it.

Eleven people-just like that. All happy and excited and then-crrumpl-not enough left to cremate. Suddenly he himself was back up .in the sky- He broke off the thought, trembling.

-At the end of an hour he had made up his mind that the Patrol was not for him. He had thought of it, he realized, through a kid’s bright illusions- Captain Jenks of the Space Patrol, The Young Rocketeers, stuff like that. Well, those books were all right-for kids-but he wasn’t hero material, he had to admit.

Anyhow, his stomach would never get used to free fall. Right now it tightened up when he thought about it.

By the time Burke returned he was calm and, if not happy, at least he was not unhappy, for his mind was at rest.

Burke came in whistling. He stopped when he saw Matt. “Well, junior, still here? I thought the bumps would send you home.” .

“No.”

“Didn’t you get dropsick?”

“Yes.” Matt waited and tried to control his temper. “Didn’t you?”
Burke chuckled. “Not likely. I’m no groundhog, junior.

“Call me ‘Matt.'”

. “Okay, Matthew. I was going out into space before I could walk. My old man builds ’em, you know.”

“I didn’t know.”

“Sure. ‘Reactors, Limited’-he’s chairman of the board. Say, did you see the fireworks out at the field?”

“You mean the ship that crashed?”

“What else? Quite a show, wasn’t it?”

Matt could feel himself coining to a slow boil. “Do you mean to stand there and tell me,” he said quietly, “that you regard the deaths of eleven human beings as ‘quite a show’?”

Burke stared at him. Then he laughed. “I’m sorry, old fellow. I apologize. But it actually didn’t occur to me that you. didn’t know.”

“Didn’t know what?”

“But you weren’t supposed to know, of course. Relax, son-no one was killed. You were framed.”

“Huh? What are you talking about?”

Burke sat down and laughed until he had tears. Matt grabbed him by the shoulder. “Cut that out and talk.”

The other candidate stopped and looked up. “Honest, I rather like you, Dodson-you’re such a perfect country cousin. How do you feel about Santa Claus and the Stork?^

Talk!”

“Haven’t you caught on to what they’ve been doing to you ever since you checked in?”

“Doing what?”

“War of nerves, man. Haven’t you noticed some tests were too easy-too easy to cheat in, that is? When you went over the bumps, didn’t you notice that they let you take a good look at the drop before you made it? When they could just as easily have kept you inside where it wouldn’t worry you?”

Matt thought about it. It was an enticing notion-he could see how some of the things he had not understood would fit in to such a theory. “Go on.”

“Oh, it’s a good gag-it cleans out the weak sisters and it cleans out the stupes, too, the guys so dumb that they can’t resist an invitation to cheat, never dreaming that it might be booby-trapped. It’s efficient-a Patrol officer has to be smart and
fast on his feet and cool-headed. It keeps from wasting money on second- raters.”

“You just called me dumb and yet I got by.”

“Of course you did, junior, because your heart is pure.” He laughed again. “And I got by. But you’ll never make a Patrolman, Matt. They’ve got other ways to get rid of the good, dumb boys. You’ll see.”

“Okay, so I’m dumb. But don’t call me junior again. What’s this got to do with the ship that crashed?”

“Why, it’s simple. They want to eliminate all the dead-wood before
swearing us in. There are candidates with cast-iron stomachs who don’t get upset by the bumps, or anything. So they send up a ship under robot control- no pilot, no passengers and crash it, just to scare off those who can be scared. It’s a darn sight cheaper than training just one cadet, if he doesn’t pay off in the long run.”


“How do you know? Have you got inside information on it?”

“In a way, yes. It’s a logical necessity-those ships cant crash, unless you crash ’em on purpose. I know-my old man makes them.”

“Well-maybe you’re right.” Matt dropped the matter, unsatisfied but lacking basis for further argument. It did convince him of one thing, however space sickness or not, come what may, he resolved to hang on as long as Girard Burke did, and at least twenty-four hours longer!

His table at dinner that night was numbered “147, 149, 151 & 153.” There was room enough to seat the survivors.

Cadet Sabbatello looked them over pleasantly. “Congratulations,
gentlemen, on having lasted it out. Since you will be sworn in tonight, when next we meet it will be in a different status.” He grinned. “So relax and enjoy your last meal of freedom.”

In spite of no effective breakfast and little lunch, Matt found himself unable to eat much. Girard Burke’s interpretation of the tests and what they meant troubled him. He still intended to take the oath, but he had an uneasy feeling that he was about to take it without knowing what it signified-what the Patrol really was.

When the meal broke up, on sudden impulse he followed the cadet in charge of the table out. “Excuse me-Mr. Sabbatello, could I speak to you privately, sir?”

“Eh? I suppose so-come along.” He led Matt to his own room; it was exactly like Matt’s. “Now what is it?”

“Uh-Mr. Sabbatello, that crash today: was anybody hurt?”

“Hurt? It killed eleven people. Don’t you call that hurt’?”

“Are you sure? Is it possible that it was a drone and nobody was inside?”

“It’s possible, but it’s not the case. I wish it were the pilot was a friend of mine.”

“Oh-I’m sorry. But I had to know, for sure. You see, it’s very important to me.”

‘”Why?”

Matt sketched out Burke’s version of what had happened, without giving Burke’s name. As he talked, Sabbatello showed more and more annoyance. “I see,” he said, when Matt was done. “It is true that some of the tests are psychological rather than overt. But this matter of the crash -who fed you that nonsense?”

Matt did not say anything.

“Never mind. You can protect your informant-it won’t matter in the least in the long run. But about the crash-” He considered. “I’d give my word of honor to you-in fact I do-but if you accept the hypothesis your friend holds, then you won’t pay any attention to my sworn word.” He thought a moment. “Are you a Catholic?”

“Uh, no sir.” Matt was startled.

“It doesn’t matter. Do you know who Saint Barbara is?”

“Not exactly, sir. The field-”

“Yes, the field. She was a third-century martyr. The point is that she is the patron saint of all who deal with high explosives, rocket men among others.” He paused.

“If you go over to the chapel, you will find that a mass is scheduled during which Saint Barbara will be asked to intercede for the souls of the men who were lost this afternoon. I think you realize that no priest would lend his office to any such chicanery as your friend suggests?”

Matt nodded solemnly. “I see your point, sir. I don’t need to go to the chapel-I’ve found out what I needed to know.”

“Fine. You had better hightail it and get ready. It would be embarrassing to be late to your own swearing in.”

First Muster was scheduled for twenty-one o’clock in the auditorium. Matt was one of the first to arrive, scrubbed and neat and wearing a fresh coverall. A cadet took his name and told him to wait inside. The floor of the hall had been cleared of seats. Above the stage at the far end were the three closed circles of the Federation-Freedom, Peace, and Law, so intertwined that, ‘if any one were removed, the other two would fall apart. Under them was the Patrol’s own sign, a star blazing in the night.

Tex was one of the last to show up. He was greeting Matt, breathlessly, when a cadet, speaking from the rostrum, called out, “Attention!

“Gather on the left side of the hall,” he went on. The candidates milled and shuffled into a compact group. “Remain where you are until muster. When your name is called, answer ‘Here!’, then walk across to the other side. You will find white guide lines on the deck there. Toe the lines to form ranks.”

Another cadet came down from the rostrum and moved toward the mass of boys. He stopped, picked a slip of paper from four such slips he held, and fixed Tex with his eye. “You, mister,” he said. “Take this.”

Jarman took it, but looked puzzled. “What for?”

“As well as answering to your own name, when you hear this name, speak up. Step out in front and sing out, ‘I answer for him!'”

Tex looked at the slip. Matt saw that it read: “John Martin.”

“But why?” demanded Tex.

The cadet looked at him. “You really don’t know?”

“Nary a notion.”

“Hmmph! Well, since the name doesn’t ring a bell, just take it that he is a classmate of yours who can’t be here tonight, in person. So you answer for him to make the muster complete. Get it?”

“Yes, sir. Can do.”

The cadet moved on down the line. Tex turned to Matt. “What gives, d’you s’pose?”

“It beats me.”

“Me, too. Well, we’ll probably find out.”

The cadet on the rostrum moved to stage .left. “Silence!” he commanded. “The Commandant!”

From the rear entered two men dressed in the midnight black. The younger of them walked so that his sleeve brushed the elbow of his senior. They moved to the center of the platform; the younger man stopped. The elder halted immediately, whereupon the aide withdrew. The Commandant of the Academy stood facing the new class.

Or, rather, facing down the centre of the hall. He stood still for a long moment; someone coughed and shuffled, at which he turned toward the group and faced them thereafter. “Good evening, gentlemen.”

Seeing him, Matt was reminded strongly of Cadet Sabbatello’s protest: “Not blind, Mr. Dodson!” Commodore Arkwright’s eyes looked strange-the sockets were deep set and the eyelids drooped like a man in thought. Yet, as that sightless gaze rested on him, it seemed to Matt that the Commandant could not only see him but could peer inside his head.

“I welcome you to our fellowship. You come from many lands, some from other planets. You are of various colors and creeds. Yet you must and shall become a band of brothers.

“Some of you are homesick. You need not be. From this day on every part of this family of planets is your home, each place equally. Each living, thinking creature in this system is your neighbor-and your responsibility.

“You are about to take an oath, by your own choice, as a member of the Patrol of this our System. In time, you expect to become an officer of that Patrol. It is necessary that you understand the burden you assume. You expect to spend long hours studying your new profession, acquiring the skills of the spaceman and
the arts of the professional soldier. These skills and arts you must have, but they will not make you an officer of the Patrol.”

He paused, then went on, “An officer in command of a ship of the Patrol, away from base, is the last of the absolute monarchs, for there is none but himself to restrain him. Many places where he must go no other authority reaches. He himself must embody law, and the rule of reason, justice and mercy.

“More than that, to the members of the Patrol singly and together is entrusted such awful force as may compel or destroy, all other force we know of-and with this trust is laid on them the charge to keep the peace of the System and to protect the liberties of its peoples. They are soldiers of freedom.

“It is not enough that you be skillful, clever, brave- The trustees of this awful power must each possess a meticulous sense of honor, self-discipline beyond all ambition, conceit, or avarice, respect for the liberties and dignity of all creatures, and an unyielding will to do justice and give mercy. He must be a true and gentle knight.”

He stopped and there was no sound at all in the huge room. Then he said, “Let those who are prepared to take the oath be mustered.”

The cadet who had been acting as adjutant stepped forward briskly. “Adams!”

“Uh-here, sir!” A candidate trotted across the room.

“Akbar.”

“Here!”

“Alvarado-”

“Anderson, Peter-”

“Anderson, John-”

“Angelico-”

Then, presently, it was, “Dana-Delacroix-DeWitt-Diaz -Dobbs,” and
“Dodson!”

“Here!” .shouted Matt. His voice squeaked but no one laughed. He hurried over to the other side, found a place and waited, panting. The muster went on:

“Eddy-Eisenhower-Ericsson-” Boys trickled across the room until few were left. “Sforza, Stanley, Suliman,” and then, finally: “Zahm!” The last candidate joined his fellows.

But the cadet did not stop. “Dahlquistl” he called out.

There was no answer.

“Dahlquist!” he repeated. “Ezra Dahlquist!”

Matt felt cold prickles around his scalp. He recognized the name now-but Dahlquist would not be here, not Ezra Dahlquist. Matt was sure of that, for he remembered an alcove in the rotunda, a young man in a picture, and the hot, bright sand of the Moon.

There was a stir in the rank behind him. A candidate pushed his way through and stepped forward. “I answer for Ezra Dahlquist!”

“Martin!”

This time there was no hesitation. He heard Tex’s voice, his tone shrill: “I answer for him.”

“Rivera.”

A strong baritone: “Answering for Rivera!” . “Wheeler!”

“I answer for Wheeler.”

The cadet turned toward the Commandant and saluted:

“All present, sir. Class of 2075, First Muster complete.”

The man in black returned the salute. “Very well, sir. We will proceed with the oath.” He stepped forward to the very edge of the platform, the cadet at his elbow. “Raise your right hands.”

The Commandant raised his own hand. “Repeat after me: Of my own free will, without reservation-”

” ‘Of my own free will, without reservation-‘ ”

“I swear to uphold the peace of the Solar System-”

In chorus they followed him.

“-to protect the lawful liberties of its inhabitants-

“-to defend the constitution of the Solar Federation-

“-to carry out the duties of the position to which I am now appointed-

“-and to obey the lawful orders of my superior officers,

“To these ends I subordinate all other loyalties and renounce utterly any that may conflict with them.

“This I solemnly affirm in the Name I hold most sacred.”

“So help me, God,” concluded the Commandant. Matt repeated his words, but the response around him took a dozen different forms, in nearly as many languages.

The Commandant turned his head to the cadet by his side. “Dismiss them, sir.”

“Aye aye, sir.” The cadet raised his voice. “On being dismissed, face to the right and file out. Maintain your formation until clear of the door. Dismissed!”

At the cue of his command, music swelled out and filled the hall; the newly created cadets marched away to the strains of the Patrol’s own air, The Long Watch. It persisted until the last of them were gone, then faded out.

The Commandant waited until the youngster cadets had left, then faced around. His aide joined him at once, whereupon the acting cadet adjutant moved quickly from his side. Commodore Arkwright turned toward the departing cadet. “Mr. Barnes.”

“Yes, sir?”

“Are you ready to be commissioned?”

“Er- I don’t think so, sir. Not quite.”

“So? Well, come see me soon.”

“Yes, sir. Thank you.”

The Commodore turned away and headed rapidly for the stage exit, with his aide’s sleeve brushing his. “Well, John,” asked the senior, “What did you think of them?”

“A fine bunch of boys, sir.”

“That was my impression. All youth and eagerness and young
expectation. But how many of them will we have to eliminate? It’s a sorry thing, John, to take a boy and change him so that he is no longer a civilian, then kick him out. It’s the crudest duty we have to perform.”

“I don’t see a way to avoid it.”

“There is no way. If we had some magic touchstone- Tell the field that I want to raise ship in thirty minutes.”

“Aye aye, sir.”

INTO SPACE

The PATROL ACADEMY may lack ivy-covered buildings and tree-shaded walks; it does not lack room. There are cadets in every reach of the Federation, from ships circling Venus, or mapping the scorched earth of Mercury, to ships patrolling the Jovian moons.

Even on years-long exploration flights to the frozen fringes of the Solar System cadets go along-and are brevetted as officers when their captains think them ready, without waiting to return.

The public thinks of the Academy as the school ship P.R.S. James
Randolph, but every cadet mess in every ship of the Patrol is part of the Academy. A youngster cadet is ordered to the Randolph as soon as he is sworn in and he remains attached to that ship until he is ready to go to a regular Patrol vessel as a passed cadet. His schooling continues; in time he is ordered back to where he started, Hayworth Hall, to receive Ms final polish.

An oldster, attached to Hayworth Hall, will not necessarily be there. He may be at the radiation laboratories of Oxford University, or studying interplanetary law at the Sorbonne, or he may even be as far away as Venus, at the Institute for System Studies. Whatever his route-and no two cadets pursue exactly the same course of training-the Academy is still in charge of him, until, and if, he is commissioned.

How long it takes depends on the cadet. Brilliant young Hartstone, who died on the first expedition to Pluto, was brevetted less than a year after he reported to Hayworth Hall as a groundhog candidate. But it is not unusual to find oldsters at Terra Base who have been cadets for five years or more.

Cadet Matthew Dodson admired himself in the mirror of the ‘fresher. The oyster-white uniform he had found waiting when he returned from First Muster the evening before, and with it a small book of regulations embossed with his name and clipped to a new assignment schedule. The schedule had started out: “1.. Your first duty as a cadet is to read the regulation book herewith, at once. Hereafter you are responsible for the contents.”

He had read it before taps, until his mind was a jumble of undigested rules: “A cadet is an officer in a limited sense-” “-behave with decorum and sobriety appropriate to the occasion-” “-in accordance with local custom rather than Patrol custom unless in conflict with an invariant law of the Federation or regulation of the Patrol.” “-but the responsibility of determining
the legality of the order rests on the person ordered as well as on the person giving the order.” “-circumstances not covered by law or regulation must be decided by the individual in the light of the living tradition of the Patrol.” “Cadets will at all times be smooth-shaven and will not wear their hair longer than two inches.”

He felt that he understood the last mentioned.

He got up before reveille the next morning and dived into the ‘fresher, shaved hastily and rather unnecessarily and got into uniform.

It fit him well enough, but to his eye the fit was perfect, the styling superb. As a matter of fact, the uniform lacked style, decoration, trim, insignia, or flattering cut.

But Matt thought he looked wonderful.

Burke pounded on the ‘fresher door. “Have you died in there?” He stuck his head in. “Oh-all right, so you look sweet. Now how about getting out?”

“Coming.” Matt stalled around the room for a few minutes, then overcome by impatience, tucked his regulation book in his tunic (regulation #383), and went to the refectory. He walked in feeling self-conscious, proud, and about seven feet tall. He sat down at his table, one of the first to arrive. Cadets trickled in; Cadet Sabbatello was one of the last.

The oldster looked grimly down the table. “Attention,” he snapped. “All of you-stand up.”


Matt jumped to his feet with the rest. Sabbatello sat down. “From now on, gentlemen, make it a rule to wait until your seniors are seated. Be seated.”

The oldster studied the studs in front of him, punched his order, and looked up. The youngsters had resumed eating. He rapped the table sharply. “Quiet, please. Gentlemen, you have many readjustments to make. The sooner you make them, the happier you will be. Mr. Dodson-stop dunking your toast; you are dripping it on your uniform. Which brings me,” he went on, “to the subject
of table manners-”

Matt returned to his quarters considerably subdued.

He stopped by Tex’s room and found him thumbing through the book of regulations. “Hello, Matt. Say, tell me something-is there anything in this bible that says Mr. Dynkowski has the right to tell me not to blow on my coffee?”

“I see you’ve had it, too. What happened?”

Jarman’s friendly face wrinkled. “Well, I’d begun to think of Ski as an all- right guy, helpful and considerate. But this morning at breakfast he starts out by asking me how I manage to carry around ~all that penalty-weight.” Tex glanced at his waist line; Matt noted with surprise that Tex looked quite chubby in cadet uniform.

“All us Jarmans are portly,” Tex went on defensively. “He should see my Uncle Bodie. Then he-”

“Skip it,” said Matt. “I know the rest of it-now.”

“Well, I guess I shouldn’t have lost my temper.”

“Probably not.” Matt looked through the book. “Maybe this will help. It says here that, in case of doubt, you may insist that the officer giving the order put it in writing and stamp his thumb print, or use other means to provide a permanent record.”

“Does it, really?” Tex grabbed the book. “That’s for me!- ’cause I sure am in doubt. Boy! Just wait and see his face when I pull this one.”

“I’d like to,” agreed Matt. “Which way do you take the lift, Tex?” The Patrol Rocket Ship Simon Bolivar, transport, was at Santa
Barbara Field, having discharged a battalion of Space Marines, but P.R.S. Bolivar could take but about half the new class. The rest were to take the public shuttle rocket from Pike’s Peak, launching catapult to Terra Space Station, there to be transferred to the Randolph.

“Transport,” Tex answered. “How about you?”

“Me, too. I’d like to see Terra Station, but I’m glad we’re going in a Patrol ship. What are you taking with you?”

Tex hauled out his luggage and hefted it. “It’s a problem. I’ve got about fifty pounds here. Do you suppose if I rolled it up real small I could get it down to twenty pounds?”

“An interesting theory,” Matt said. “Let’s have a look at it-you’ve got to eliminate thirty pounds of penalty-weight.”

Jarman spread his stuff out on the floor. “Well,” Matt said at once, “you don’t need all those photographs.” He pointed to a dozen large stereos, each weighing a pound or more.


Tex looked horrified. “Leave my harem behind?” He picked up one. “There is the sweetest redhead in the entire Rio Grande Valley.” He picked up another. “And Smitty-I couldn’t get along without Smitty. She thinks I’m wonderful.”

“Wouldn’t she still think so if you left her pic behind?”

“Oh, of course. But it wouldn’t be gallant.” He considered. “I’ll
compromise-I’ll leave behind my club.”

“Your club?” Matt asked, failing to see anything of that description.

“The one I use to beat off the little darlings when they get too persistent.”

“Oh. Maybe someday you’ll teach me your secret. Yes, leave your club behind; there aren’t any girls in the Randolph.”

“Is that good?” demanded Tex.

“I refuse to commit myself.” Matt studied the pile. “You know what I’d suggest? Keep that harmonica-I like harmonica music. Have those photos copied in micro. Feed the rest to the cat.”

“That’s easy for you to say.”

“I’ve got the same problem.” He went to his room. The class had the day free, for the purpose of getting ready to leave Earth.
Matt spread his possessions out to look them over. His civilian clothes he would ship home, of course, and his telephone as well, since it was limited by its short range to the neighborhood of an earth-side relay office.

He made a note to telephone home before he packed the instrument. Might as well make one other call, too, he decided; even though he was resolved not to waste time on girls in his new life, it would be polite to phone and say good-by. He did so.

He put the instrument down a few minutes later, baffled to find that he had apparently promised to write regularly.

He called home, spoke with his parents and kid brother, -and then put the telephone with things to be shipped. He was scratching his head over what remained when Burke came in. He grinned. “Trying to swallow your penalty-weight?”

Till figure it out.”

“You don’t have to leave that junk behind, you know.”

“Huh?”

“Ship it up to Terra Station, rent a locker, and store it. Then, when you go on liberty to the Station, you can bring back what you want. Sneak it aboard, if it’s that sort of thing.” Matt made no comment; Burke went on, “What’s the matter, Galahad? Shocked at the notion of running contraband?”

“No. But I don’t have a locker at Terra Station.”

“Well, if you’re too cheap to rent one, you can ship the stuff to mine. You scratch me and I’ll scratch you.”

“No, thanks.” He thought about expressing some things to the Terra Station post office, then discarded the idea- the rates were too high. He went’ on sorting. He would keep his camera, but his micro kit would have to go, and his chessmen. Presently he had cut the list to what he hoped was twenty pounds; he took the stuff away to weigh it.


Reveille and breakfast were an hour early the next day. Shortly after breakfast the call-to-muster ran through Hay-worth Hall, to be followed by heart-quickening strains of “Raise Ship!” Matt slung his jump bag over his shoulder and hurried down to the lower corridors. He pushed his way through a throng of excited youngster cadets and found his assigned area.

Muster was by squads and Matt was a temporary squad leader, as his name came first, alphabetically, in his squad. He had been, given a list; he reached into his pouch and had an agonizing moment of thinking he had left it up in his room before his fingers closed on it. “Dodsworth!”

“Here.”

“Dunstan,”

“Here.”

He was still working through Frankel, Freund, and Funston when the oldster mustering the entire corridor shouted for him to report. He hurried to a conclusion, faced around, and saluted. “Squad nineteen-all present!”

Someone tittered and Matt realized suddenly that he had used the scout salute, rather than the relaxed, open-palmed gesture of the Patrol. His cheeks burned.

A brassy amplified voice called out, “All deck parties report.” In turn, the oldster in Mart’s corridor called out, “Third deck party, all present.” When all reports were in there was a momentary silence, long enough for Matt to have a spine-tingling anticipation of what was to come. Would they? But they were doing so; the voice over the speaker called out: “Dahlquist?”

Another voice-heard only through the speaker-replied, “I answer for him.”

It went on, until the Four were mustered, whereupon the first voice stated, “All present, sir.”

“Man the ship.”

They mounted a slidewalk, to step off in a large underground room, far out under Santa Barbara Field. There were eight large elevators arranged in a wide circle around the room. Matt and his squad were crowded into one of them and mounted to the surface. Up it went, much higher than had been necessary to enter the test-flight rocket, up and up, close by the huge bulk of
the Bolivar.

It stopped and they trotted across the drawbridge into the ship. Inside the airlock stood a space-marines sergeant, gaudy and splendid who kept repeating, “Seventh deck! Down the hatch
to your own deck-step lively!” He pointed to the hatch, down which disappeared a narrow, vertical steel ladder.

Matt hitched his jump bag out of his way and lowered himself into the hatch, moving fast to avoid getting his fingers stepped on by the cadet who followed him. He lost track of the decks, but there was a sergeant master-at-arms on each. He got off when he heard, “Third deck!”

He was in a wide, low cylindrical compartment, the deck of which was covered with plastic-foam padding. It ,was marked off in sections, each about seven feet by three and fitted with safety belts.

Matt found an unoccupied section, sat down, and waited. Presently cadets stopped dribbling in, the room was crowded. The master-at-arms called out, “Down, everybody-one to a section.” He then counted them by noting that all sections were filled.

A loudspeaker warned, “All hands, prepare for acceleration!” The sergeant told them to strap down and remained standing until all had done so. He then lay down, grasped two handholds, and reported the third deck ready.

“All hands, stand by to raise!” called out the speaker.

There was a long and breathless wait.

“Up ship!” shouted the speaker. >

Matt felt himself pressed into the padding.

Terra Space Station and the school ship Randolph He in a circular orbit 22,300 miles above the surface of the Earth, where they circle the Earth in exactly twenty-four hours, the natural period of a body at that distance.

Since the Earth’s rotation exactly matches their period, they face always one side of the Earth-the ninetieth western meridian, to be exact. Their orbit lies in the ecliptic, the plane of the Earth’s orbit around the Sun, rather than in the plane of the Earth’s equator. This results in them swinging north and south each day as seen from the earth. When it is noon in the Middle West, Terra Station and the Randolph lie over the Gulf of Mexico; at midnight they lie over the South Pacific.

The state of Colorado moves eastward about 830 miles per hour. Terra Station and the Randolph also move eastward nearly 7000 miles per hour1.93 miles per second, to be finicky. The pilot of the Bolivar had to arrive at the Randolph precisely matched in course and speed. To do this he must break his ship away from our heavy planet, throw her into an elliptical orbit just tangent to the circular orbit of the Randolph and with that tangency so
exactly placed that, when he matched speeds, the two ships would lie relatively motionless although plunging ahead at two miles per second. This last maneuver was no easy matter like jockeying a copter over a landing platform, as the two speeds, unadjusted, would differ by 3000 miles an hour.

Getting the Bolivar from Colorado to the Randolph, and all other problems of journeying between the planets, are subject to precise and elegant mathematical solution under four laws formulated by the saintly, absentminded Sir Isaac Newton nearly four centuries earlier than this flight of the Bolivar-the three Laws of Motion and the Law of Gravitation. These laws are
simple; their application in space to get from where you are to where you want to be, at the correct time with the correct course and speed, is a nightmare of complicated, fussy computation.

The “weight” pressing Matt into the padding was four gravities-Matt weighed nearly six hundred pounds. He lay there, breathing with difficulty, while the ship punched its way through the thick soup of air and out into free space. The heavy weight bound down the cadets while the Bolivar attained a speed of some six miles per second and climbed to an altitude of 900 miles.

At the end of five minutes and a few odd seconds the drive stopped.

Matt raised his head, while the sudden silence rang in his ears. The master-at-arms detected Mart’s movement and others. He shouted, “Stay where you are-don’t move.”

Matt relaxed. They were in free fall, weightless, even though the Bolivar was speeding away from the Earth at more than 20,000
miles an hour. Each body-ship, planet, meteor, atom-in space falls
continually. It moves also with whatever other motion it has inherited from its past experience.

Matt was acutely aware of his weightlessness, for his stomach told him about it, complainingly. To be on the safe side, he removed a sick kit from his jump bag, but he did not put it on. He was feeling queasy; it was not as bad as it had been on his test flight, not half as bad as the “bumps.” He hoped to get by without losing his breakfast.

The loudspeaker sang out, “End of acceleration. Four hours of free fall.” The master-at-arms sat up. “You can unstrap now,” he said.

In a matter of seconds the compartment took on the look of a particularly crowded aquarium. One hundred boys were floating, swimming, squirming in every attitude and position between the deck and the overhead. These two barriers no longer seemed like floor and ceiling since up-and-down was gone; they were simply walls which rotated slowly and erratically for each observer
as his own body turned past them.

“Hey, you guys!” yelled the sergeant. “Grab on to something and listen to me.” Matt looked around, found himself near the overhead, spotted a handhold, and grasped it. “It’s time you kids learned some traffic rules for free flight. You got to learn to zig when the other guy zags. If you happen to meet the Captain and you zig when you should ‘a’ zagged and bump him, he ain’t
going to like it. See?”

He stuck out a scarred thumb. “Rule one: all groundhogs -that’s you and don’t try to tell me anything different-are required to hold on with at least one hand at all times. That applies until you pass your free-fall acrobatics test. Rule two.- give way to officers and don’t make them have to shout ‘Gangway!’ Besides that, give way to anybody on duty, or busy, or with his hands full.

“If you’re moving aft, pass inboard of the man you meet, and contrariwise if you’re moving forward. If you’re moving clockwise, figuring ‘clockwise’ from the bow end of the ship, you pass the man you meet outboard and let him pass inboard-
contrariwise for counterclockwise. No matter what direction you’re going, if you overtake a man you pass inboard of him. Is that all clear?”

Matt thought it was, though he doubted if he could remember it. But a remaining possibility occurred to him. “Sergeant,” he asked innocently, “suppose you’re moving directly in or out from the center of the ship-what do you do?”

The sergeant looked disgusted, which gave his face an odd appearance to Matt, as their two faces were upside down with respect to each other. “You get what usually happens to jaywalkers-okay, so you’re moving across the traffic: just stay out of everybody’s way. It’s your lookout. Any more questions?”

No one answered; he went on: “All right, go out and look around the ship- but try to behave yourselves and not bump into anybody so you’ll be a credit to deck three.”

The third deck had no ports of any sort, but the Bolivar was a long-jump transport; she possessed recreation rooms and viewports. Matt started forward, seeking a place from which to get a glimpse of the Earth.

He remembered to pass outboard as he pulled himself along, but
apparently some passengers had not been indoctrinated. Each hatchway was a traffic jam of youngsters, each trying to leave his own deck to sight-see in some other deck, any deck.

The sixth deck, he found, was a recreation room. It contained the ship’s library-locked-and games equipment, also locked. But it did have six large viewports.

The recreation deck had carried a full load of passengers. Now, in free fall, cadets from all other decks gradually ‘ found their way to the recreation deck, just as Matt had, seeking a view of outside; at the same time the original roster of that deck showed no tendency to want to leave their favored billet.

It was crowded.

Crowded as a basket full of kittens-Matt removed someone’s space boot from his left eye and tried to worm his way toward one of the ports. Judicious work with his knees and elbows and a total disregard of the rules of the road got him to the second or third
layer near one port. He placed a hand on a shoulder in front of him. The cadet twisted around. “Hey! Who do you think you’re shoving? Oh-hello, Matt.”

“Hi, Tex. How’s it going?”

“All right. Say, you should have been here a few minutes ago. We passed one of the television relay stations, close by. Boy, oh, boy, are we traveling!”

“We did, huh? What did it look like?”

“Couldn’t see much of it, must have been ten miles away, maybe. But, with the time we’re making it was just there she comes and there she goes.”

“Can you see the Earth?” Matt squirmed toward the port.

“Natch.” Tex gave way and let Matt slide into his place. The frame of the port cut across the eastern Atlantic. Matt could see an arc extending almost from the North Pole to the Equator.

It was high noon over the Atlantic. Beyond it, bright in the afternoon sunlight, he could make out the British Isles, Spain, and the brassy Sahara. The browns and greens of land were in sharp contrast to the deep purple of the ocean. In still greater contrast stood the white dazzle of cloud. As his eye approached the distant, rounded horizon the details softened, giving a strong effect of stereo, of depth, of three-dimensional globularness-the world indeed was round!

Our earth.
Our beautiful earth on a nice dark background. What a wonderful and special place to live.


Round and green and beautiful! He discovered presently that he had been holding his breath. His nausea was quite gone.

Someone tugged at his leg. “Don’t stay there all day. Do you want to hog it?”

Regretfully Matt gave way to another cadet. He turned and shoved himself away from the port and in so doing became disoriented. He could not find Tex in the helter-skelter mass of floating bodies.

He felt a grip on his right ankle. “Let’s get out of here, Matt.”

“Right.” They worked their way to the hatch and moved to the next deck. Being without ports it was not heavily populated. They
propelled themselves toward the center of the room, away from the traffic, and steadied themselves on handholds. “Well,” said Matt, “so this is it-space, I mean. How do you like it?”

“Makes me feel like a goldfish. And I’m getting cross-eyed trying to figure out which side is up. How’s your gizzard? Been dropsick?”

“No.” Matt swallowed cautiously. “Let’s not talk about it. Where were you last night, Tex? I looked for you a couple of times, but your roommate said he hadn’t seen you since dinner.”

“Oh, that-” Tex looked pained. “I was in Mr. Dynkowski’s room. Say, Matt, that was a bum steer you gave me.”

“Huh? What steer?”

“You know-when you advised me to ask Mr. Dynkowski to put an order in writing if I was in doubt about it. Man, oh man, did you get me in a jam!” .

“Wait a minute-I didn’t advise you to do that; I just pointed out that the regs let you do it if you wanted to.”

“Just the same, you were egging me on.”

“The deuce I was! My interest was purely theoretical. You were a free agent.”

“Oh, well-skip it. Skip it.”

“What happened?”

“Well, last night at dinner I ordered pie for dessert. I picked it up, just like I always have ever since I got too big for Ma to slap my hands for it, and started shoveling it in my face, happy as a pup in a pansy bed. Ski ordered me to cease and desist-told me to use my fork.”

“Yeah? Go on.”

“I said to put it in writing, please, sir, polite as a preacher.”

“It stopped him?”

“Like fun it did! He said, Very well, Mr. Jarman,’ cool as could be, took out his notebook, wrote it out, stamped his thumb print on it, tore out the page and handed it to me.”

“So you used your fork. Or didn’t you?”

“I sure did. But that’s only the beginning. Immediately he wrote out another order and handed it to me. He told me to read it aloud. Which I did.”

“What did it say?”


“Wait a minute … I’ve got it here somewhere.” Tex poked around in his pouch. “Here-read it.”

Matt read, ” ‘Cadet Jarman-immediately after this meal you will report to the officer-of-the-watch, taking with you the first written order I gave you. Explain to him the events leading up to the first order and get an opinion from him as to the legality of orders of this type-S. Dynkowski, psd. cdt.'”

Matt whistled. “Oh, oh. … What did you do?”

“I finished my pie, the way he told me to, though I didn’t want it very much by then. Ski was nice about it. He grinned at me and said, ‘No hard feelings, Mr. Jarman. All according to protocol and all that sort of thing.’ Then he wanted to know where in the world I had gotten the idea.”

Matt felt his neck grow warm. “You told him it was my idea?”

“Do I look stupid? I just told him somebody had pointed out regulation number nine-oh-seven to me.”

Matt relaxed. “Thanks, Tex. I’ll remember that.”

“Forget it. But he sent you a message.”

“Me?’

“It was just one word: ‘Don’t,'”

“Don’t what?”

“Just ‘Don’t.’ He added that amateur space lawyers frequently talked themselves out of the Patrol.”

“Oh.” Matt tucked this away and started trying to digest it. “What
happened afterwards? When you saw the duty officer?”

“I reported to the duty office and the cadet on watch sent me on in. I saluted and announced my name, like a good little boy, and showed him the two orders.” Tex paused and stared into the distance.

“Yes? Go on, man-don’t stop like that”

“Then he most scientifically ate my ears off. My Uncle Bodie couldn’t have done a better job.” Tex paused again, as if the memory were too painfully sharp. “Then he quieted own a little bit and explained to me in words of one syllable that reg nine-
oh-seven was for emergencies only and that youngster cadets were under the orders of oldster cadets at all times and in all matters, unless the regulations specifically say otherwise.” . . __ ‘

“He did? Say, that covers an awful lot of ground. Why, that means a senior cadet can order us to do almost anything. You mean it’s covered by law that an oldster can tell me how to part my hair?”

“Just precisely that-you happened to pick the very words Lieutenant von Ritter used. An oldster can’t tell you to violate a regulation-he can’t tell you to take a poke at the captain and he can’t order you to hold still while he takes a poke at you. But that’s about all that limits him. Mr. von Ritter says that it’s left up to the good judgment and discretion of the senior, and table manners
were very definitely Mr. Dynowski’s business and not to forget it! Then he told me to report back to Ski.”

“Did he crow over you?”

“Not a bit.” Tex’s brow wrinkled. “That’s the funny part about it. Ski treated the whole affair just as if he had been giving me a lesson in geometry. He said that now that I was assured that his orders were according to regulation he wanted me to know why he had told me how to eat my pie. He even said he could see that I would regard it as improper interference with my private life. I said I guessed I didn’t have any private life any more. He said no, I had one all right, but it would feel pretty microscopic for a while.

“Then he explained the matter. A patrol officer is supposed to be able to move in all society-if your hostess eats with her knife, then you eat with your knife.”

“Everybody knows that.”

“Okay. He pointed out that candidates come from everywhere. Some of them even come from families and societies where it’s good manners for everybody to eat out of one dish, with their fingers”. . . some .of the* Moslem boys. But there is an over-all way to behave that is acceptable anywhere among the top crust.”

“Nuts,” said Matt. “I’ve seen the Governor of Iowa with a hot dog in one hand and a piece of pie in the other.”

“I’ll bet it wasn’t at a state dinner,” Tex countered. “No, Matt, it made sense the way he told it. He said pie wasn’t important, but it was part of a larger pattern-for instance that you must never mention death on Mars or to a Martian.”

“Is that a fact?”

“I guess so. He said that in time I would learn how to ‘eat pie with a fork’ as he put it, under any possible circumstances on any planet. He let it go at that.”

“I should think he would. I take it he lectured you all evening?”

“Oh, my, no. Ten minutes, maybe.”

“Then where were you? You still hadn’t come back to your room, just before taps.”

“Oh, I was still in Ski’s room, but I was busy.”

“Doing what? Stroking his brow?”

“No.” Tex looked mildly embarrassed. “I was writing- ‘I will always eat my pie with my fork,’ two thousand times.”

Tex and Matt attempted to explore the ship and did in fact visit every deck that was open to them. But the power-room door was locked and a space- marine guard kept them from entering the passageway leading to the pilot room. They tried to get another view from the ports in the recreation room but found that a degree of order had been instituted; the master-at-arms of that
deck was requiring each cadet that entered to state that he had not yet had a chance to look out before the cadet was allowed to tarry.

As for the other passenger decks, they found that when they had seen one, they had seen all. Shipboard refreshers interested them for a while, as the curious and clever modifications necessary to make a refresher function properly in space were new to both of them. But four hours is too long to spend inspecting showers and fixtures; after a while they found another fairly quiet spot to loaf and experienced for the first time the outstanding characteristic of all space travel-its monotony.

Much later the ship’s speaker blared, “Prepare for acceleration. Ten minute warning.”

Strapped down again, each in his place, the boys felt short blasts of power at rather long intervals, then a very considerable wait, after which there was the softest and gentlest of bumps. “That’s the drag line,” remarked the sergeant in Matt’s compartment. “They’ll warp us in. It won’t be long now.”

Ten minutes later the speaker announced, “By decks, in succession- discharge passengers.”

“Unstrap,” said the sergeant. He left his midships position and posted himself at the hatch ladder. Transferring passengers was a lengthy process, as the two ships were linked by only one air lock each. Matt’s party waited while four decks forward of them were emptied, then they pulled themselves along the ladder to the seventh deck. There a passenger port was open but beyond it, instead of empty space, was the inside of a corrugated tube, six
feet in diameter. A line ran down the center of it and was made fast to a padeye in the ship. Along this line swarmed a steady stream of cadets, monkey fashion.

In his turn, Matt grabbed the line and pulled himself along. Fifty feet beyond the air lock, the tube suddenly opened out into another compartment, and Matt found himself inside his new home, the P.R.S. Randolph.

“READING, AND ‘RITING, AND ‘RITHMETIC-”

THE P.R.S. Randolph had been a powerful and modern cruiser of her day. Her length was 900 feet, her diameter 200, making her of moderate size, but her mass, as a school ship, was only 60,000 tons, more or less.

She was kept ten miles astern of Terra Station in their common orbit. Left to the influence of their mutual gravitations, she would have pursued a most leisurely orbit around the ten-times-more-massive Terra Station, but, for the safety of traffic at Terra Station, it was better to keep in a fixed position.

This was easy to accomplish. The mass of Earth is six billion trillion tons; the mass of Terra Station is one hundred-million-billionth of that, a mere 600,000 tons. At ten miles the “weight” of the Randolph with respect to Terra Station was roughly one thirtieth of an ounce, about the weight on Earth of enough butter for one half slice of bread.

On entering the Randolph Matt found himself in a large, well-lighted compartment of odd shape, somewhat like a wedge of cake. Clumps of youngster cadets were being herded out exits by other cadets who wore black armbands. One such cadet headed toward him, moving through the air with the easy grace of a pollywog. “Squad nineteen-where’s the squad leader of squad nineteen?”

Matt held out his arm. “Here, sir! I’m squad leader of nineteen.”

The upperclassman checked himself with one hand on the guide line to which Matt still clung. “I relieve you, sir. But stick close to me and help me round up these yahoos. I suppose you know them by sight?”


“Uh, I think so, sir.”

“You should-you’ve had time.” Matt was chagrined to find, in the next few moments that the new squad leader-Cadet Lopez-knew the squad muster roll by heart, whereas Matt had to refer to his copy to assist him in locating the members. He was not really aware of the implications of order and efficient preparation; it did impress him as “style.” With Matt to spot and Lopez to dive,
hawk like, all the way across the compartment if necessary, to round up stragglers, squad nineteen was soon assembled near one exit, where they clung like a colony of bats.

“Follow me,” Lopez told them, “and hang on. No free maneuvers. Dodson- bring up the rear.”

“Aye aye, sir.”

They snaked their way through endless passages, by guide line across compartment after compartment, through hatches, around corners. Matt was quite lost. Presently the man just head of him stopped. Matt closed in and found the squad gathered just inside another compartment. “Soup’s on,” announced Lopez. “This is your mess room. Lunch in a few minutes.”

Behind Lopez, secured firmly to the far wall, were mess tables and
benches. The table tops faced Matt-under him, over him, or across from him- what you will. It seemed an impractical arrangement. “I’m not very hungry,” one youngster said faintly.

“You ought to be,” Lopez answered reasonably. “It’s been five hours or more since you had breakfast. We’re on the same time schedule here as Hayworth Hall, zone plus eight, Terra. Why aren’t you hungry?”

“Uh, I don’t know, sir. I’m just not.”

Lopez grinned and suddenly looked as young as his charges. “I was just pulling your leg, kiddo. The chief engineer will have some spin on us in no time, as soon as we break loose from the Bolivar. Then you can sit down on your soft, round fanny and console your tender stomach in peace. You’ll have an appetite. In the meantime, take it easy.”

Two more squads filtered in. While they waited Matt said to Lopez, “How fast will the ship spin, sir?”

“We’ll build up to one gravity at the outer skin. Takes about two hours to do it, but we’ll eat as soon as we’re heavy enough for you groundhogs to swallow your soup without choking.”

“But how fast is that, sir?”

“Can you do simple arithmetic?”

“Why, yes, sir.”

“Then do it. The Randolph is two hundred feet through and we spin on her main axis. The square of the rim speed divided by her radius-what’s the rpm?”

Matt got a faraway look on his face. Lopez said, “Come, now, Mr. Dodson- pretend you’re heading for the surface and about to crash. What’s the answer?”

“Uh-I’m afraid I can’t do it in my head, sir.”

Lopez looked around. “All right-who’s got the answer?” No one spoke up. Lopez shook his head mournfully. “And you laddies expect to learn to astrogate! Better by far you should have gone to cow colleges. Never mind-it works out to about five and four-tenths revolutions per minute. That gives one full gravity for the benefit of the women and children. Then it’s cut down day by day, until a month from now we’re in free fall again. That gives you time to get used to it-or else.”

Someone said, “Gee, it must take a lot of power.”

Lopez answered, “Are you kidding? It’s done by electric-braking the main axis flywheels. The shaft has field coils wound on it; you cut it in as a generator and let the reaction between the wheel and the ship put a spin on the ship. You store the juice. Then when you want to take the spin off, you use the juice to drive it as a motor and you are back where you started, free for nothing, except for minor losses. Savvy?”

“Er, I guess so, sir.”

“Look it up in the ship’s library, sketch the hook-up, and show it to me after supper.” The junior cadet said nothing; Lopez snapped. “What’s the matter, Mister? Didn’t you hear me?”

“Yes, sir-aye aye, sir.”

“That’s better.”

Very slowly they drifted against a side wall, bumped against it, and started sliding slowly toward the outboard wall, the one to which the mess tables were fastened. By the time they reached it there was enough spin on the ship to enable them to stand up and the mess tables now assumed their proper relationship, upright on the floor, while the hatch through which they had lately floated was a hole in the ceiling above.

Matt found that there was no sensation of dizziness; the effect was purely one of increasing weight. He still felt light, but he weighed enough to sit down at a mess table and stay in contact with his seat; minute by minute, imperceptibly, he grew heavier.

He looked over his place at the table, seeking controls that would permit him to order his meal. There were clips and locking holes, which he guessed, were intended for use in free flight, but nothing else. He looked up as Lopez banged on the table.

“And now, gentlemen, this is not a resort hotel. Count off, around the table.” He waited until the youngsters had done so, then said, “Remember your order. Numbers one and two will rustle up the calories today, and all of you in rotation thereafter.”

“Where, sir?”

“Use your eyes. Over there.”

“Over there” was a door which concealed a delivery conveyor. Cadets from other tables were gathering around it. The two cadets designated as waiters went over and returned shortly with a large metal rack containing twenty rations, each packed in its service platter and still steaming hot. Clipped to each were knife, fork, and spoons-and sipping tubes.


Matt found that the solid foods were covered by lids that snapped back over the food unless clipped up out of the way, while the liquids were in covered containers fitted with valves through which sipping tubes might be slipped. He had never before seen table utensils adapted for free-fall conditions in space. They delighted him, even though Earth-side equipment would have served as long as the ship was under spin.

Lunch was hot roast beef sandwiches with potatoes, green salad, lime sherbert, and tea. Lopez kept up a steady fire of questions throughout the meal, but Matt did not come into his range. Twenty minutes later the metal tray in front of Matt was polished almost as well as the sterilizer would achieve. He sat back, feeling that the Patrol was a good outfit and the Randolph a fine place to be.

Before turning his charges loose Lopez gave them each their schedule of assignments. Mart’s room number was A-5197. All living quarters were on A- deck which was the insulated outer skin of the ship. Lopez gave them a brief, condescending lecture on the system of numbering the spaces in the ship and dismissed them. His manner gave no hint that he himself had been lost for one full day shortly after his own arrival a year earlier.

Matt got lost, of course.

He attempted to take a short cut straight through the ship on the advice of a passing marine and got completely twisted when he found himself at the no-weight center of the Randolph. When he had worked his way back down levels of increasing weight until he found himself at one gravity and could go no further he stopped the first cadet with a black arm band whom he could
find and threw himself on his mercy. A few minutes later he was led to corridor five and found his own room.

Tex was already there. “Hello, Matt,” he greeted him. “What do you think of our little cabin in the sky?”

Matt put down his jump bag. “Looks all right, but the first time I have to leave it I’m going to unroll a ball of string. Is there a viewport?”

“Not likely! What did you expect? A balcony?”

“I don’t know. I sort of hoped that we’d be able to look out and see Earth.” He started poking around, opening doors. “Where’s the ‘fresher?”

“Better start unrolling your ball of string. It’s way down the passage.”

“Oh. Kind of primitive. Well, I guess we can stand it.” He went on
exploring. There was a common room about fifteen feet square. It had doors, two on each side, leading into smaller cubicles. “Say, Tex,” he announced when he had opened them all, “this place is fitted up for four people.”

“Go to the head of the class.”

“I wonder who we’ll draw.”

“So do I.” Tex took out his assignment sheet. “It says here that we can reshuffle roommates until supper time tomorrow. Got any ideas, Matt?”

“No, I can’t say I really know anybody but you. It doesn’t matter as long as they don’t snore-and as long as it isn’t Burke.”


They were interrupted by a rap on the door. Tex called out, “Come in!” and Oscar Jensen stuck his blond head inside.

“Busy?”

“Not at all.”

“I’ve got a problem. Pete and I found ourselves assigned to one of these four-way rooms and the two roommates we landed with want us to make room for two other fellows. Are you guys tied down as yet?”

Tex looked at Matt, who nodded. Tex turned back to Oscar. “You can kiss me, Oscar-we’re practically married.”

An hour later the four had settled down to domesticity. Pete was in high spirits. “The Randolph is just what the doctor ordered,” he announced. “I’m going to like it here. Any time my legs start to ache all I have to do is go up to G-deck and it’s just like being back home-I weigh my proper weight again.”

“Yep,” agreed Tex, “if the joint were co-educational it would be perfect.”

Oscar shook his head. “Not for me. I’m a woman-hater.”

Tex clucked sorrowfully. “You poor, poor boy. Now take my Uncle Bodie he thought he was a woman-hater, too. . . .”

Matt never found out how Uncle Bodie got over his disability. An
announcer, mounted in the common room, summoned him to report to compartment B-121. He got there, after a few wrong turns, and found another youngster cadet just coming out. “What’s it for?” he asked.

“Go on in,” the other told him. “Orientation.”

Matt went in and found an officer seated at a desk. “Cadet Dodson, sir, reporting as ordered.”

The officer looked up and smiled. “Sit down, Dodson, Lieutenant Wong is my name. I’m your coach.”

“My coach, sir?”

“Your tutor, your supervisor, anything you care to call it. It’s my business to see that you and a dozen more like you study what you need to study. Think of me as standing behind you with a black snake whip.” He grinned.

Matt grinned back. He began to like Mr. Wong.

Wong picked up a sheaf of papers. “I’ve got your record here-let’s lay out a course of study. I see you type, use a slide rule and differential calculator, and can take shorthand-those are all good. Do you know any outer languages? By the way, don’t bother to talk Basic; I speak north American English fairly well. How long have you spoken Basic?”

“Er, I don’t know any outer languages, sir. I had Basic in high school, but I don’t really think in it. I have to watch what I’m saying.”

“I’ll put you down for Venerian, Martian, and Venus trade talk. Your voice writer-you’ve looked over the equipment in your room?”

“Just glanced at it, sir. I saw there was a study desk and a projector.”

“You’ll find a spool of instructions in the upper righthand drawer of the desk. Play them over when you go back. The voice writer built into your desk is a good model. It can hear and transcribe not only the Basic vocabulary, but the Patrol’s special vocabulary of technical words. If you will stick to its vocabulary, you can even write love letters on it-” Dodson glanced sharply at Lieutenant Wong, but Wong’s face was impassive; Matt decided not to laugh.

“-so it’s worth your while to perfect your knowledge of Basic even for social purposes. However, if you speak a word the machine can’t find on its list, it will just ‘beep’ complainingly until you come to its rescue. Now about math-I see you have a condition in tensor calculus.”

“Yes, sir,” Matt admitted. “My high school didn’t offer it.”

Wong shook his head sadly. “I sometimes think that modern education is deliberately designed to handicap a boy. If cadets arrived here having already been taught the sort of things the young human animal can learn, and should learn, there would be fewer casualties in the Patrol. Never mind- we’ll start you on tensors at once. You can’t study nuclear engineering until
you’ve learned the language of it. Your school was the usual sort, Dodson? Classroom recitations, daily assignments, and so forth?”

“More or less. We were split into three” groups.”

“Which group were you in?”

“I was in the fast one, sir, in most subjects.”

“That’s some help, but not much. You’re in for a shock, son. We don’t have classrooms and fixed courses. Except for laboratory work and group drills, you study alone. It’s pleasant to sit in a class daydreaming while the teacher questions somebody else, but we haven’t got time for that. There is too much ground to cover. Take the outer languages alone-have you ever studied under
hypnosis?”

“Why, no, sir.”

“We’ll start you on it at once. When you leave here, go to the Psycho Instruction Department and ask for a first hypno in Beginning Venerian. What’s the matter?”

“Well. . . . Sir, is it absolutely necessary to study under hypnosis?”

“Definitely. Everything that can possibly be studied under hypno you will have to learn that way in order to leave time for the really important subjects.”

Matt nodded. “I see. Like astrogation.”

“No, no, no! Not astrogation. A ten-year-old child could learn to pilot a spaceship if he had the talent for mathematics. That is kindergarten stuff, Dodson. The arts of space and warfare are the least part of your education. I know, from your tests, that you can soak up the math and physical sciences and technologies. Much more important is the world around you, the planets and their inhabitants-extraterrestrial biology, history, cultures, psychology,
law and institutions, treaties and conventions, planetary ecologies, system ecology, interplanetary economics, applications of extraterritorialism, comparative religious customs, law of space, to mention a few.”

Matt was looking bug-eyed. “My gosh! How long does it take to learn all those things?”

“You’ll still be studying the day you retire. But even those subjects are not your education; they are simply raw materials. Your real job is to learn how to think-and that means you must study several other subjects: epistemology, scientific methodology, semantics, structures of languages, patterns of ethics and morals, varieties of logics, motivational psychology, and so on. This school is based on the idea that a man who can think correctly will automatically behave morally-or what we call ‘morally. What is moral behavior for a Patrolman, Matt? You are called Matt, aren’t you? By your
friends?”

“Yes, sir. Moral behavior for a Patrolman ,. .”

“Yes, yes. Go on.”

“Well, I guess it means to do your duty, live up to your oath, that sort of thing.”

“Why should you?”

Matt kept quiet and looked stubborn.

“Why should you, when it may get you some messy way of dying? Never mind. Our prime purpose here is to see to it that you learn how your own mind works. If the result is a man who fits into the purposes of the Patrol because his own mind, when he knows how to use it, works that way-then fine! He is commissioned. If not, then we have to let him go.”

Matt remained silent until Wong finally said, “What’s eating on you, kid? Spill it.”

“Well-look here, sir. I’m perfectly willing to work hard to get my
commission. But you make it sound like something beyond my control. First I have to study a lot of things I’ve never heard “of. Then, when it’s all over, somebody decides my mind doesn’t work right. It seems to me that what this job calls for is a superman.”

“Like me.” Wong chuckled and flexed his arms. “Maybe so, Matt, but there aren’t any supermen, so well have to do the best we can with young squirts like you. Come, now, let’s make up the list of spools you’ll need.”

It was a long list. Matt was surprised and pleased to find that some story spools had been included. He pointed to an item that puzzled him-An Introduction to Lunar Archeology. “I don’t see why I should study that-the Patrol doesn’t deal with Selenites; they’ve been dead for millions of years.”

“Keeps your mind loosened up. I might just as well have stuck in modern French music. A Patrol officer shouldn’t limit his horizons to just the things he is sure to need. I’m marking the items I want you to study first, then you beat it around to the library and draw out those spools, then over to Psycho for your first hypno. In about a week, when you’ve absorbed this first group, come back and see me.”

“You mean you expect me to study all the spools I’m taking out today in one week?” Matt looked at the list in amazement.

“That’s right. In your off hours, that is-you’ll be busy with drills and lab a lot. Come back next week and we’ll boost the dose. Now get going.”

“But- Aye aye, sir!”

Matt located the Psycho Instruction Department and was presently ushered into a small room by a bored hypno technician wearing the uniform of the staff services of the Space Marines. “Stretch out in that chair,” he was told. “Rest your head back. This is your first treatment?” Matt admitted that it was.

“You’ll like it. Some guys come in here just for the rest- they already know more than they ought to. What course was it you said you wanted?”

“Beginning Venerian.”

The technician spoke briefly to a pick-up located on his desk. “Funny thing-about a month ago an oldster was in here for a brush up in electronics. The library thought I said ‘colonies’ and now he’s loaded up with a lot of medical knowledge he’ll never use. Lemme have your left arm.” The technician irradiated a patch on his forearm and injected the drug. “Now just lay back and follow the bouncing light. Take it easy . . . relax . . . relax . . .
and . . . close . . . your … eyes … and … relax … you’re … getting-”

Someone was standing in front of him, holding a hypodermic pressure injector “That’s all. You’ve had the antidote.”

“Huh?” said Matt. “Wazzat?”

“Sit still a couple of minutes and then you can go.”

“Didn’t it take?”

“Didn’t what take? I don’t know what you were being exposed to; I just came on duty.”

Matt went back to his room feeling rather depressed. He had been a little afraid of hypnosis, but to find that he apparently did not react to the method was worse yet. He wondered whether or not he could ever keep up with his studies if he were forced to study everything, outer languages as well, by conventional methods.

Nothing to do but to go back and see Lieutenant Wong about it-tomorrow, he decided.

Oscar was alone in the suite and was busy trying to place a hook in the wall of a common room. A framed picture was leaning against the chair on which he stood. “Hello, Oscar.”

“Howdy, Matt.” Oscar turned his head as he spoke; the drill he was using slipped and he skinned a knuckle. He started to curse in strange, lisping speech. “May maledictions pursue this nameless thing to the uttermost depths of world slime!”

Matt clucked disapprovingly. “Curb thy voice, thou impious fish.”

Oscar looked up in amazement. “Matt-I didn’t know you knew any
Venerian.”

Matt’s mouth sagged open. He closed it, then opened it to speak “Well, I’ll be a- Neither did I”

TO MAKE A SPACEMAN

THE SERGEANT CROUCHED in the air, his feet drawn up. “At the count of one,” he was saying, “take the ready position, with your feet about six inches from the steel. At the count of two, place your feet firmly against the steel and push off.” He shoved against the steel wall and shot into the air, still talking, “Hold the count of four, turn on the count of five-” His body drew up into a ball and turned over a half turn, “-check your rotation-” His body extended again, “-and make contact on the count of seven-” His toes touched
the far wall, “-letting your legs collapse softly so that your momentum will be soaked up without rebound.” He collapsed loosely, like an empty sack, and remained floating near the spot where he had landed.

The room was a cylinder fifty feet in diameter in the center of the ship. The entire room was mounted in rollers and was turned steadily in the direction opposite to the spin of the ship and with the same angular speed: thus it had no net spin. It could be entered only from the end, at the center of rotation.

It was a little island of “free fall”-the free-fall gymnasium. A dozen
youngster cadets clung to a grab line running fore-and-aft along the wall of the gym and watched the sergeant. Matt was one of the group.

“And now, gentlemen, let’s try it again. By the numbers-One! Two! Three!” bythe count of five, at which time they all should have turned in the air, neatly and together, all semblance of order was gone. There were collisions, one cadet had even failed to get away from the grab line, and two cadets, refugees from a midair skirmish, were floating aimlessly toward the far end of the room. Their faces had the bewildered look of a dog trying to get traction
on smooth ice as they threshed their arms and legs in an effort to stay their progress.

“No! No! No!” said the sergeant and covered his face with his hands. “I can’t bear to look. Gentlemen-please! A little coordination. Don’t throw yourself at the far wall like an Airedale heading into a fight. A steady, firm shove- like this.”

He took off sideways, using the traction given him by his space boots, and intercepted the two deserters, gathering one in each arm and letting his momentum carry the three bodies slowly toward the far end of the grab line, “Grab on,” he told them, “and back to your places. Now, gentlemen-once more. Places! By the numbers-normal push off, with arrested contact-one!”

A few moments later he was assuring them that he would much rather teach a cat to swim.

Matt did not mind. He had managed to reach the far wall and stay there. Without grace, proper timing, nor at the spot he had aimed for, but he had managed it, after a dozen failures. For die moment he classed himself as a spaceman.

When the class was dismissed he hurried to his room and into his own cubicle, selected a spool on Martian history, inserted it in his projector, and began to study. He had been tempted to remain in the free-fall gymnasium to practice; he wanted very badly to pass the “space legs” test-free-fall acrobatics-as those who had passed it and qualified in the use of basic space suits as well were allowed one liberty a month at Terra Station.

But he had had an extra interview with Lieutenant Wong a few days before. It had been brief, biting, and had been concerned with the efficient use of his time.

Matt did not want another such-nor the five demerits that went with it. He settled his head in the neck rest of his study chair and concentrated on the recorded words of the lecturer while scenes in color-stereo passed in front of him, portraying in chill beauty the rich past of the ancient planet.


The projector was much like the study box he had used at home, except that it was more gadgeted, it could project in three dimensions, and was hooked in with the voice writer. Matt found this a great time-saver. He could stop the lecture, dictate a summary, then cause the projector to throw his printed notes on the screen.

Stereo-projection was a time-saver for manual subjects as well. “You are now entering the control room of a type A-6 utility rocket,” the unseen lecturer would say, “and will practice an airless landing on Luna”-while the camera moved through the door of the rocket’s pilot room and panned down to a position corresponding to the pilot’s head. From there on a pictured flight
could be made very realistic.

Or it might be a spool on space suits. “This is a four-hour suit,” the voice would say, “type M, and may be worn anywhere outside the orbit of Venus. It has a low-capacity rocket unit capable of producing a total change of speed in a 300-lb. mass of fifty foot-seconds. The built-in radio has a suit-to-suit range of fifty miles. Internal heating and cooling is-” By the time Matt’s turn came for space-suit drill he knew as much about it as could be learned
without practice.

His turn came when he passed the basic free-fall test. He was not finished with free-fall drill-there remained group precision drill, hand-to-hand combat, use of personal weapons, and other
refinements-but he was judged able to handle himself well enough. He was free, too, to go out for free-fall sports, wrestling, bank tennis, jaijilai, and several others -up to now he had been eligible only for the chess club. He picked space polo, a game combining water polo and assault with intent to maim, and joined the local league, in the lowest or “bloody nose” group.

He missed his first chance at space-suit drill because a battered nose had turned him into a mouth breather-the respirator for a type-M suit calls for inhaling through the nose and exhaling through the mouth. But he was ready and anxious the following week. The instructor ordered his group to “Suit up!” without preliminary, as it was assumed that they had studied the instruction spool.

The last of the ship’s spin had been removed some days before. Matt curled himself into a ball, floating free, and spread open the front of his suit. It was an unhandy process; he found shortly that he was trying to get both legs down one leg of the suit. He backed out and tried again. This time the big fishbowl flopped forward into the opening.

Most of the section were already in their suits. The instructor swam over to Matt and looked at him sharply. “You’ve passed your free-fall basic?”

“Yes,” Matt answered miserably.

“It’s hard to believe. You handle yourself like a turtle on its back. Here.” The instructor helped Matt to tuck in, much as if he were dressing a baby in a snow suit. Matt blushed.

The instructor ran through the check-off list-tank pressure, suit pressure, rocket fuel charge, suit oxygen, blood oxygen (measured by a photoelectric gadget clipped to the earlobe) and finally each suit’s walky-talky unit. Then he herded them into the airlock.

Matt felt his suit swell up as the pressure died away in the lock. It was becoming slightly harder to move his arms and legs. “Hook up your static lines,” called out the instructor. Matt uncoiled his from his belt and waited. Reports came in: “Number one hooked.” “Number two hooked.”

“Number three hooked,” Matt sang out into the mike in his helmet as he snapped his line to the belt of cadet number four. When
they were all linked like mountain climbers the instructor hooked himself to the chain and opened the outer door of the lock. They looked out into the star-flecked void.

“Click on,” directed the instructor, and placed his boots gently against the side of the lock. Matt did likewise and felt the magnetic soles of his boots click against the steel. “Follow me and stay closed up.” Their teacher walked along the wall to the open door and performed an awkward little squatting spread-eagle step. One boot was still inside the door, flat to the wall, with the
toe pointing inboard; with the other he reached around the corner, bent his knees, and felt for the outer surface of the ship. He withdrew the foot still in the lock and straightened his body-with which he almost disappeared, for he now stuck straight out from the ship, his feet flat to her side.

Following in order, Matt went out through the door. The ninety degree turn to get outside the lock and “standing” on the outer skin of the ship he found to be tricky; he was forced to use his hands to steady himself on the door frame. But he got outside and “standing up.” There was no true up-and-down; they were still weightless, but the steel side was a floor “under” them; they stuck to it as a fly sticks to a ceiling.

Matt took a couple of trial steps. It was like walking in mud; his feet would cling stickily to the ship, then pull away suddenly. It took getting used to.

They had gone out on the dark side of the ship. Sun, Moon and Earth lay behind its bulk, underfoot. Not even Terra Station could be seen.

“We’ll take a walk,” announced the instructor, his voice hollow in their helmets. “Stick together.” He started around the curving side of the ship. A cadet near the end of the chain tried to break both magnetized boots free from the ship at the same time. He accomplished it, by jumping-and then had no way’ to get back. He moved out until his static line tugged at the two boys on each side of him.

One of them, caught with one foot free of the ship in walking, was broken loose also, though he reached wildly for the steel and
missed. The cadet next to him, last in line, came loose in turn.

No more separated, as the successive tugs on the line had used .up the energy of the first cadet’s not-so-violent jump. But three cadets now dangled on the line, floating and twisting grotesquely.

The instructor caught the movement out of the corner of his eye, and squatted down. He found what he sought, a steel ring recessed in the ship’s side, and snapped his static line to it. When he was certain that the entire party was not going to be dragged loose, he ordered, “Number nine-haul them in, gently-very gently. Don’t pull yourself loose doing it.”

A few moments later the vagrants were back and sticking to the ship. “Now,” said the instructor, “who was responsible for that piece of groundhog stupidity?”

No one answered. “Speak up,” he said sharply. “It wasn’t an accident; it’s impossible to get both feet off unless you hop. Speak up, confound it, or I’ll haul every last one of you up in front of the Commandant.”

At the mention of that awful word a small, meek voice answered, “I did it, sergeant.”

“Hold out your hand, so I’ll know who’s talking. I’m not a mind reader.”

“Vargas-number ten.” The cadet held out his arm.

“Okay. Back to the airlock, everybody. Stick together.” When they were there, the instructor said, “Inside, Mr. Vargas. Unhook your line, snap to the lock and wait for us. You’ll take this drill over-about a month from now.”

“But sergeant-”

“Don’t give me any lip, or so help me, I’ll report you” for AWOL-jumping ship.”

Silently the cadet did as ordered. The instructor leaned inside to see that Vargas actually anchored himself, then straightened out. “Come, gentlemen- we’ll start again-and no monkey-shines. This is a drill, not a tea party.”

Presently Matt said, “Sergeant Hanako-”

“Yes? Who is it?”

“Dodson. Number three. Suppose we had all pulled loose?”

“We’d ‘ave had to work our way back on our rocket units.”

Matt thought about it. “Suppose we didn’t have reaction, units?”

“Nothing much-under these circumstances. The officer of the watch knows we’re outside; the radio watch is guarding our frequency. They would just have tracked us by radar until they could man a scooter and come get us. Just the same-listen, all of “you-just because they’ve got you wrapped in cotton batting is no reason to behave like a bunch of school girls. I don’t know
of any nastier, or lonelier, way to die than all by yourself in a space suit, with your oxygen running out.” He paused. “I saw one once, after they found him and fetched him back.”

They were rounding the side of the ship, and the bulging sphere of the Earth had been rising over their metal horizon.

Suddenly the Sun burst into view.

“Mind the glare!” Sergeant Hanako called out. Hastily Matt set his visor for maximum interference and adjusted it to shade his face and eyes. He did not attempt to look at the Sun; he had dazzled his eyes often enough from the viewports of the ship’s recreation rooms, trying to blank out the disc of the Sun exactly, with a coin, so that he might see the prominences and the ghostly aurora. It was an unsatisfactory business; the usual result was a headache and spots before his eyes.

But he never grew tired of looking at Earth. *

She hung before him, great and fat and beautiful, and seeming more real than when seen through a port. She swelled across Aquarius, so huge that had she been in Orion she would have concealed the giant hunter from Betelgeuse to Rigel.

Facing them was the Gulf of Mexico. Above it sprawled North America wearing the polar cap like a chef’s hat. The pole was still bright under the failing light of late northern summer. The sunrise line had cleared North America except for the tip of Alaska; only the central Pacific was dark.

Someone said, “What’s that bright dot in the Pacific, over near the edge? Honolulu?”

Honolulu did not interest Matt; he searched, as usual, for Des Moines-but the Mississippi Valley was cloudy; he could not ‘find it. Sometimes he could pick it out with his naked eyes, when the day was clear in Iowa. When it was night in North America he could always tell which jewel of light was home-or thought he could.

They were facing Earth so that the North Pole seemed “up” to them. Far off to the right, almost a ship’s width from the Earth, nearly occulting Regulus in Leo, was the Sun, and about half way between the Sun and Earth, in Virgo, was a crescent Moon. Like the Sun, the Moon appeared no larger than she did from Earth surface. The gleaming metal sides of Terra Station, in the
sky between Sun and Moon and ninety degrees from Earth, outshone the Moon. The Station, a mere ten miles away, appeared half a dozen times as wide as the Moon.

That’s enough rubbernecking,” announced Hanako. “Let’s .move around.” They walked forward, looking the ship over and getting the feel of her size, until the sergeant stopped them. “Any further and we’d be slapping our feet over the Commandant’s head. He might be asleep.” They sauntered aft and Hanako let them work around the edge of the stern until they looked across the openings of her mighty tubes. He called them back promptly. “Even
though she ain’t blasted in years, this area is a little bit hot-and you’re not shielded from the pile abaft frame ninety-three anyhow. Forward, now!”

By hot he did not mean warm to the touch, but radioactive.

He led them amidships, unhooked himself from the cadet next to him and hooked the lad’s line to the ship. “Number twelve-hook to steel,” he added.

“The trick to jetting yourself in space,”-he went on, ‘lies in balancing your body on the jet-the thrust has to pass through your center of gravity. If you miss and don’t correct it quickly, you start to spin, waste your fuel, and have the devil’s own time stopping your spin.

“It’s no harder than balancing a walking stick on your finger-but the first time you try it, it seems hard.

“Rig out your sight.” He touched a stud at his belt; a light metal gadget snapped up in front of his helmet so that a small metal ring was about a yard in front of his face. “Pick out a bright star, or a target of any sort, lined up in the direction you want to go. Then take the ready position- no, no! Not yet-I’ll take it.”

He squatted down, lifted himself on his hands, and very cautiously broke his boots loose from the side, then steadied himself on a cadet within reach. He turned and stretched out, so that he floated with his back to the ship, arms and legs extended. His rocket jet stuck straight back at the ship from the small of his back; his sight stuck out from his helmet in the opposite direction.

He went on, “Have the firing switch ready in your right hand. Now, have you fellows ever seen a pair of adagio dancers? You know what I mean-a man wears a piece of leopard skin and a girl wearing less than that and they go leaping around the stage, with him catching her?”

Several voices answered yes. Hanako continued, “Then you know what I’m talking about. There’s one stunt they always do-the girl jumps and the man pushes her up and balances her overhead on one hand. He has his hand at the small of her back and she lays there, artistic-like.

“That’s exactly the way you got to ride a jet. The push comes at the small of your back and you balance on it. Only you have to do the balancing-if the push doesn’t pass exactly through your center of gravity, you’ll start to turn. You can see yourself starting to turn by watching through your sight.

“You have to correct it before it gets away from you. You do this by
shifting your center of gravity. Drag in the arm or leg on the side toward which you’ve started to turn. The trick is-”

“Just a second, Sarge,” someone cut in, “you said that just backwards. You mean; haul in the arm or leg on the other side, don’t you?”

“Who’s talking?”

“Lathrop, number six. Sorry.”

“I meant what I said, Mr. Lathrop.”

“But-”

“Go ahead, do it your way. The rest of the class will do it my way. Let’s not waste time. Any questions? Okay, stand clear of my jet.”

The half circle backed away until stopped by the anchored static lines. A bright orange flame burst from the sergeant’s back and he moved straight out or “up,” slowly at first, then with increasing speed. His microphone was open; Matt could hear, by radio only, the muted rush of his jet-and could hear the sergeant counting seconds: “And . . . one! . . . and . . . two! . . . and . . . three!” With the count of ten, the jet and the counting stopped.

Their instructor was fifty feet “above” them and moving away, back toward them. He continued to lecture. “No matter how perfectly you’ve balanced you’ll end up with a small amount of spin. When you want to change direction, double up in a ball-” He did so. “-to spin faster-and snap out of it when you’ve turned as far as you want.” He suddenly flattened out and was facing them. “Cut in your jet and balance on it to straighten out on your new
course-before you drift past the direction you want.”

He did not cut in his jet, but continued to talk, while moving away from them and slowly turning. “There is always some way to squirm around on your axis of rotation so that you can face the way you need to face for a split second at least. For example, if I wanted to head toward the Station-” Terra Station was almost a right angle away from his course; he went through
contortions appropriate to a monkey dying in convulsions and again snapped out in starfish spread, facing the Station-but turning slow cartwheels now, his axis of rotation unchanged.

“But I don’t want to go to the Station; I want to come back to the ship.” The monkey died again; when the convulsions ceased, the sergeant was facing them. He cut in his jet and again counted ten seconds. He hung in space, motionless with respect to the ship and his class and about a quarter mile away. “I’m coming in on a jet landing, to save time.” The jet blasted for twenty seconds and died; he moved toward them rapidly.

When he was still a couple of hundred feet away, he flipped over and blasted away from the ship for ten seconds. The sum of his maneuvers was to leave him fifty feet away and approaching at ten feet per second. He curled up in a ball again and came out of it feet toward the ship.

Five seconds later his boots clicked to steel and he let himself collapse without rebound. “But that is not the way you’ll do it,” he went on. “My tanks hold more juice than yours do-you’ve got fifty seconds of power, with each second good for a change of speed on one foot-second-that’s for three hundred pounds of mass; some of you skinny guys will go a little faster.

“Here’s your flight plan: ten seconds out, counted. Turn as quick as you can and blast fifteen seconds back. That means you’ll click on with five foot- seconds. Even your crippled grandmother ought to be able to do that without bouncing off. Lathrop! Unhook-you’re first.”

As the cadet came up, Hanako anchored himself to the ship with two short lines and took from his belt a very long line. He snapped one end to a hook in the front of the cadet’s belt and the other to his own suit. The student looked at it with distaste. “Is the sky hook necessary?”

Sergeant Hanako stared at him. “Sorry, Commodore-regulations. And shut up. Take the ready position.”

Silently the cadet crouched, then he was moving away, a fiery brush growing out of his back. He moved fairly straight at first, then started to turn.

He pulled in a leg-and turned completely over.

“Lathrop-cut off your jet!” snapped Hanako. The flame died out, but the figure in the suit continued to turn and to recede. Hanako paid out his safety line. “Got a big fish here, boys,” he said cheerfully. “What do you think he’ll weigh?” He tugged on the line, which caused Lathrop to spin the other way, as the line had wound itself around him. When the line was free he hauled the cadet in.

Lathrop clicked on. “You were right, sergeant. I want to try it again-your way.”

“Sorry. The book says a hundred per cent reserve fuel for this drill; you’d have to recharge.” Hanako hesitated. “Sign up for tomorrow morning-I’ll take you as an extra.”

“Oh-thanks, Sarge!”

“Don’t mention it. Number one!”

The next cadet moved out smoothly, but returned on an angle and had to be snubbed with the safety line before he could click on. The next cadet had trouble orienting himself at all. He receded, his back to the -ship, and seemed to be about to continue in the direction of Draco till the end of time. Hanako tugged gently on the safety line while letting it run through his gloves and
turned him around toward the ship. “Ten seconds on the jet, while I keep a strain on the line,” he ordered. The safety line kept the cadet straightened out until he got back. “Number three!” called out Hanako.

Matt stepped forward with a feeling of tight excitement. The instructor hooked the safety line and said, “Any questions? Go ahead when ready.”

“Okay.” Matt crouched, broke his boots free, and stretched out. He
steadied himself against the sergeant’s knee. In front of him lay the northern constellations. He picked out the Pole Star as a target, then loosened the safety catch of the firing switch in his glove.

“And . . . one!” He felt a soft, steady pressure across his saddle, a shove of not quite ten pounds. Polaris seemed to vibrate to the blasting of the tiny jet. Then the star swung to the left, beyond the ring of the sight.

He pulled in his right arm and right leg. The star swung faster, checked and started back. Cautiously he extended his right-side limbs again-and almost forgot to cut the jet on the count of ten.

He could not see the ship. Earth swam in the velvety darkness off to the right. The silence and aloneness were more intense, more complete, than he had ever experienced.

“Time to turn,” said Hanako in his ear.

“Oh-” said Matt, and grabbed his knees.

The heavens wheeled around him. He saw the ship swinging into sight, too late. He checked by starfishing, but it had moved on past. “Take it easy,” advised the sergeant. “Don’t curl up quite so tight, and catch it on the next time around. There’s no hurry.”

He drew himself in again, but not so much. The ship came around again, though twice as far away as it had been before. This time he checked before it swung past. The figures crawling on her side were about three hundred feet away and still backing away from him. He got someone’s helmet centered in his sight, pressed the switch and began to count.

For a few worried seconds he thought that something had gone wrong. The figures on the ship did not seem- to be getting nearer and now they were swinging slowly past him. He was tempted to blast again-but Hanako’s orders had been specific; he decided not to.

The ship swung out of sight; he doubled up in a ball to bring it around more quickly. When it showed up it was distinctly nearer and he felt relieved. Actually the two bodies, ship and man, had been closing at five feet per second-but five feet per second is a slow walk.

A little more than a minute after cutting his jet, he jack-knifed to bring his boots in front of him and clicked on, about ten feet from the instructor.

Hanako came over and placed his helmet against Mart’s so he could speak to him privately, with the radio shut off. “A good job, kid, the way you kept your nerve when you swung past. Okay-I’ll post you for advanced training.”

Matt remembered to cut out his walky-talky. “Gee, thanks!”

“You did it, not me.” Hanako cut back in the voice circuit. “Okay, there- number four.”

Matt wanted to chase back to his room, find Tex, and do some boasting. But there were seven more to go. Some did well, some had to be fished out of difficulty.

The last man outdid himself. He failed to cut off his power in spite of Hanako’s shouts for him to do so. He moved away from the ship in a wide curve and commenced to spin, while the sergeant whipped at the safety line to try to stop the spin and head him back. At the end of a long fifty seconds his power gave out; he was nearly a thousand feet away and still receding rapidly.

The sergeant played him like a fisherman fighting a barracuda, then brought him in very, very slowly, for there was no way to check whatever speed the tension on the line placed on him.

When at last he was in, clicked down, and anchored by static line, Hanako sighed. “Whew!” he said. “I thought I was going to have to go get him.” He went to the cadet and touched helmets, radio off.

The cadet did not shut off his instrument. “I don’t know,” they heard him reply. “The switch didn’t go bad-I just couldn’t seem to move a muscle. I could hear you shouting but I couldn’t move.”

Matt went back to the airlock with the group, feeling considerably sobered. He suspected that there would be a vacant place at supper. It was the Commandant’s policy to get a cadet who was to be dropped away from the ship without delay. Matt did not question the practice, but it jarred him when he saw it happening-it brought the cold breath of disaster en his own neck.

But he cheered up as soon as he was dismissed. Once he was out of his suit and had inspected it and stowed it as the rules required, he zipped to his room, bouncing his turns in a fashion not approved for in-ship progress.

He banged on the door of Tex’s cubicle. “Hey, Tex! Wake up! I’ve got news for you.”

No answer-he opened the door, but Tex was not there. Nor, as it
happened, were Pete or Oscar. Disconsolately he went into his own sanctum and picked out a study spool.

Nearly two hours later Tex came bouncing in as Matt was getting ready for lunch and shouted, “Hey! Matt! Mitt me, big boy-shake hands with a spaceman!”

“Huh?”

“I just passed “basic space suit’-sergeant said it was the best first test he had ever seen.”

“He did? Oh-”

“He sure did. Oh boy-Terra Station, here I come!”

TERRA STATION

“LIBERTY PARTY-man the scooter!”

Matt zipped up the front of his space suit and hurriedly ran through the routine check. Oscar and Tex urged him along, as the liberty party was already filing through the door of the lock. The cadet officer-of-the-watch checked Matt in and sealed the door of the lock behind him.

The lock was a long corridor, sealed at each end, leading to a hangar pocket in the side of the Randolph in which the scooter rockets were stowed. The pressure died away and the far end of the lock opened; Matt pulled himself along, last in line, and found the scooter loaded. He could not find a place; the passenger racks were filled with space-suited cadets, busy strapping down.

The cadet pilot beckoned to him. Matt picked his way forward and touched helmets. “Mister,” said the oldster, “can you read instruments?”

Guessing that he referred only to the simple instrument panel of a scooter, Matt answered, “Yes, sir.”

“Then get in the co-pilot’s chair. What’s your mass?”

“Two eighty-seven, sir,” Matt answered, giving the combined mass, in pounds, of himself and his suit with all its equipment. Matt strapped down, then looked around, trying to locate Tex and Oscar. He was feeling very important, even though a scooter requires a co-pilot about as much as a hog needs a spare tail.

The oldster entered Mart’s mass on his center-of-gravity and moment-of-inertia chart, stared at it thoughtfully and said to Matt, “Tell Gee-three to swap places with Bee-two.”

Matt switched on his walky-talky and gave the order. There was a
scramble while a heavy-set youngster changed seats } with a smaller cadet. The pilot gave a high sign to the cadet manning the hangar pocket; the scooter and its launching cradle swung out of the pocket, pushed by power- driven lazy tongs.

A scooter is a passenger rocket reduced to its simplest terms and has been described as a hat rack with an outboard motor. It operates only in empty space and does not have to be streamlined.

The rocket motor is unenclosed. Around it is a tier of light metal supports, the passenger rack. There is no “ship” in the sense of a hull, airtight compartments, etc. The passengers just belt themselves to the rack and let the rocket motor scoot them along.

When the scooter was clear of the ship the cadet in the hangar pocket turned the launching cradle, by power, until the scooter pointed at Terra Station. The pilot slapped the keys in front of him; the scooter took off.

The cadet pilot watched his radarscope. When the distance to the Station was closing at eighty-eight feet per second he cut his jet. “Latch on to the Station,” he told Matt.

Matt plugged in and called the station. “Scooter number three, Randolph- scheduled trip. Arriving nine minutes, plus or minus,” Matt sent, and congratulated himself on having studied the spool on small-craft procedures.

“Roger,” a feminine voice answered, then added, “Use out-orbit contact platform Bee-for-Busy.”

“Bee-for-Busy,” acknowledged Matt. “Traffic?”

“None out-orbit. Winged Victory in-orbit, warping in.” J

Matt reported to his pilot. “No traffic,” repeated the oldster. “Mister, I’m going to catch forty winks. Wake me when we’ve closed to a mile and a half.”

“Aye aye, sir.”

“Think you could bring her in?”

Matt gulped. “I¡¯ll try, sir.”

“Figure it out while I’m asleep.” The cadet promptly closed his eyes, floating as comfortably in free fall as if he had been in his own cubicle. Matt concentrated on the instrument dials.

Seven minutes later he shook the oldster, who opened his eyes and said, “What’s your flight plan, Mister?”

“Well, uh-if we keep going as is, well just slide past on the out-orbit side. I don’t think I’d change it at all. When we close to four thousand feet I’d blast until our relative speed is down to about ten foot-seconds, then forget the radar and brake by eye as we pass along the side.”

“You’ve been studying too hard.”

“Is that wrong?” Matt asked anxiously.

“Nope. Go ahead. Do it.” The oldster bent over the tracking ‘scope to assure himself that the scooter would miss the Station. Matt watched the closing range, while excitement built up inside him. Once he glanced ahead at ‘the shining cylindrical bulk of the Station, but looked back quickly. A few seconds later he punched his firing key and a plume of flame shot out in front of them.

A scooter has jets at both ends, served by the same interconnected tanks, fuel pumps and piping. Scooters are conned “by the seat of your pants” rather than by complex mathematics. As such they are invaluable in letting student pilots get the feel of rocket ships.

As the distance decreased Matt felt for the first time the old nightmare of rocket pilots: is the calculated maneuver enough to avoid a crash? He felt this, even though he knew his course would slide him past the corner of the mammoth structure. It was a relief to release the firing key.

The oldster said, “Can you spot Bee-for-Busy when you see it?”

Matt shook his head. “No, sir. This is my first trip to Terra Station.”

“It is? And I let you pilot! Well, there it is, ahead-third platform down. Better start braking.”

“Aye aye, sir.” The scooter was passing along the side of the Station and about a hundred yards out, at the speed of a brisk walk. Matt let Bee-for-Busy approach for a few moments more, then gave a short, experimental blast. It did not seem to slow them much; he gave a somewhat longer blast.

A few minutes later he had the scooter almost dead in space and
practically abreast their contact point. He looked inquiringly at the pilot. “I’ve seen worse,” the oldster grunted. “Tell them to bring us in.”

“Randolph number three-ready for contact,” Matt reported, via radio.

“We see you,” the girl’s voice answered. “Stand by for a line.”


A line, shot by a gun, came sailing out in perfectly flat trajectory and passed through a metal loop sticking out from the scooter. “I relieve you, sir,” the pilot told Matt. “Shinny out there and make that line fast.”

A few minutes later the scooter was secured to platform Bee-for-Busy and the cadets were filing into the platform’s airlock. Matt located Oscar and Tex in the suiting room and they undressed together. “What did you think of that contact?” Matt said to them, with studied casualness.

“All right, I guess,” answered Tex. “What about it?” .

“I made it.”

Oscar raised his eyebrows. “You did? Nice going, kid.”

Tex looked amazed. “The pilot let you jockey it? On your first trip?”

“Well, why not? You think I’m kidding?”

“No, I’m just impressed. May I touch you? How about an autograph?”

“Oh, come off it!”

They were, of course, in the free-fall part of the Station. As soon as they had stowed their suits, they hurried to the centrifuged belt frequented by the traveling public. Oscar knew his way around somewhat, having changed ships at the Station when he was a candidate, and led them to the door at the axis of rotation-the only possible place to pass from the free-fall zone to the weight zone.

From the axis they went down several levels, past offices and private quarters to the first of the public levels. It was, in effect, a wide, brightly lighted street, with a high ceiling and with slideways down the middle. Shops and restaurants lined it. The slideways curved up and away in the distance,

for the corridor curved completely around the Station. “This,” Oscar told them, “is Paradise Walk.”

“I see why,” agreed Tex, and gave a low whistle. The others followed his gaze. A tall, willowy blonde, dressed in some blue wisps of nothing much, was looking in the display window of a jewelry shop.

“Take it easy, Tex,” advised Oscar. “She’s taller than you are.”

“I like them tall,” Tex answered. “Watch me.”

He sauntered over to the young woman. Matt and Oscar could not hear his opening remark, but it did not offend her, for she laughed. Then she looked him up and down with cool amusement and spoke. Her voice carried quite clearly. “I am married and at least ten years older than you are. I never pick up cadets.”

Tex appeared to tuck his tail between his legs and slunk back toward his friends. He started to say, fiercely, “Well, you can’t rule a guy out for try-,” when the woman called out:

“Wait a moment! All three of you.” She came up to them and looked from Matt to Oscar, “You are youngsters, aren’t you?”

“Youngster cadets, yes, ma’am,” answered Oscar.

She fumbled in her jewelled pouch. “If you want to have some fun and meet some younger girls, you might try this address.” She handed Oscar a card.

He looked startled and said, “Thank you, ma’am.”

“Not at all.” She moved away and managed to lose herself in the crowd at once.

“What does it say?” demanded Matt.

Oscar looked at it, then held it out. “Read it.”

Terra Station First Baptist Church 
Ralph Smiley, D.D., 
Pastor SOCIAL HALL 
2437, Level "C"

Tex grinned. “Well, you can’t say I scored a clean miss.”

There ensued an argument. Matt and Tex wanted to go at once to the social hall; Oscar insisted that he was hungry and wanted some civilized food. The longer they argued the more reasonable seemed Oscar’s case. Finally Tex switched sides and Matt gave in to the majority.

He regretted it a few minutes later, when he saw the prices on the menu. The restaurant they selected was a tourist trap, a fancy dining room with an adjoining bar. It had human waiters instead of automatic tables and items were priced accordingly.

Tex saw the expression on his face. “Relax, Matt,” he told him. “This is on me-Pop sent- me a check.”

“Oh, I wouldn’t want to do that.”

“Want to fight?”

Matt grinned. “Okay, thanks.”

Oscar said, “How hard shall we punish you, Tex? Tea and toast?”

“Anything you want. Let’s really celebrate. Which reminds me-I think we ought to have a drink.”

“Huh?” said Oscar. “And have an M.P. catch us? No, thank you.”

Matt started to protest but Tex stood up. “Just leave this to Father Jarman. It’s high time you two poor, underprivileged outlanders tasted a real old Southern mint julep.” He started for the bar. Oscar shrugged.

Tex scouted out the bar before entering. There were no cadets, of course; more important there were no officers and no marine M.P.’s. The hour was early and the bar almost deserted. He went up to the bartender. “Can you make a mint julep?” he asked.

The bartender looked up and answered, “Beat it. I’m not supposed to serve you liquor. This is off limits to cadets.”

“I didn’t ask you if this was off limits-I asked you if you could make a mint julep.” Tex slid a bill across the counter. “Three mint juleps, in fact.”

The barman eyed the bill. Finally he caused it to disappear. “Go on back into the dining room.”

“Right!” said Tex.

A few minutes later a waiter placed a complete tea service In front of them, but the teapot did not contain tea. Tex poured out the drink, splitting it carefully three ways, in teacups. “Here’s to you, chums-drink up.”

Matt took a sip. “It tastes like medicine,” he announced.

“Like medicine?” Tex protested. “This noble potion? I’ll meet you at dawn, -coffee and pistols for two.”

“I still say it tastes like medicine. What do you think of It, Oscar?”

“It’s not bad.”

Matt pushed his aside. “Aren’t you going to drink it?” asked Tex.

“No. Thanks, Tex, really-but I think it would make me sick. I guess I’m a sissy.”

“Well, we won’t waste it.” He picked up Mart’s cup and poured some into his own. “Split it with me, Oscar?”

“No. You go ahead.”

“Okay, if you say so.” He poured the rest into his cup.

When the food they ordered was served, Tex was no longer interested. While Matt and Oscar were busily chewing he kept urging them to sing. “Come on, Oscar! You can learn it.”

“I can’t sing.”

“Sure you can. I’ve heard you sing, with the Hog Alley band. Ill sing the verse, we’ll all clap, then hit the chorus together: ‘Deep in … the heart of … Texas!’ Like that.”

“Shut up,” said Oscar, “or you’ll be deep in the heart of trouble.”

“Kill-joy! Come on, Matt.”

“I can’t sing with my mouth full.”

“Look,” said Oscar to Matt, in a tense, low voice. “Do you see what I see?”

Matt looked and saw Lieutenant Wong entering the far end of the dining room. He went to a table, sat down, looked around, spotted the table of cadets, nodded, and started studying a menu. “Oh, mother!” Matt breathed softly.

“Then we’ll sing ‘loway,’ ” announced Tex. “I’m broad-minded.”

“We won’t sing anything. For the love of Mike, Tex- shut up! An officer just came into the joint.”

“Where?” demanded Tex. “Invite him over. I don’t hold any grudges. They’re good boys, all of ’em, the stinkers. Matt shot a quick glance at Lieutenant Wong and was dismayed to see the officer crooking a finger at him, beckoning. He got up and walked stiffly toward the officer.

“Dodson-”

“Yes, sir.”

“Go back and tell Jarman to quiet down before I have to come over there and ask him what his name is.”

“Uh-aye aye, sir!”

When he got back to the table, Tex was already quiet and appeared sobered but very much puzzled. Oscar’s usually pleasant face was dark with anger. “What’s the verdict?”

Matt reported. “I see. Wong’s all right. Well, we got to get him out of here.” Oscar flagged the waiter, then opened Tex’s pouch and paid the bill.

He stood up. “Let’s go. Pull yourself together, Tex, or I’ll break your neck.”

“Where to?” asked Matt.

“Into the ‘fresher.”

Fortunately it turned out that they had that room to themselves. Oscar marched Tex to a-washbasin and told him to stick his finger down his throat. “Why?” objected Tex.

“Because if you don’t, I’ll do it for you. Look, Matt-can you take care of him? I’ll be back in a few minutes.”

It was nearly twenty minutes before Oscar returned, bearing a carton of hot, black coffee and a tube of pills. He forced the coffee and half a dozen of the pills on the patient. “What are the pills?” Matt wanted to know.-

“Thiamine chloride.”

“You seem to know your way around?”

“Well . . .” Oscar wrinkled his brow. “Venus isn’t like Earth, you know. Still sort of wild and woolly. You see a lot of things go on. Drink the rest of the coffee, Tex.”

“Yes sir.”

“The front of his uniform is all messed up,” said Matt

“So I see. I guess we should have undressed him.”

“What’ll we do? If he goes back like that, there will be questions asked- bad ones.”

“Let me think.” Presently he said to Tex, “Go in there-” Oscar indicated one of a row of ‘fresher booths, “-and take off your uniform. Hand it out and lock yourself in. We’ll be back after a while.” Tex seemed to feel that he was being consigned to the salt mines, but there was no real opposition left in him. He went. Shortly thereafter Matt and Oscar left, Oscar with a tightly
rolled bundle of a cadet uniform under one arm.

They took the slideway half around the Station, through crowds of
gorgeously dressed and hurrying people, past rich and beckoning shops. Matt enjoyed it thoroughly.

“They say,” said Oscar, “that this is what the big cities used to be like, back before the Disorders.” ^

“It certainly doesn’t look like Des Moines.”

“Nor like Venus.” Oscar found what he was looking for, an automatic laundry service, in a passageway off the waiting room of the emigrant zone. After a considerable wait the uniform came back to them, clean, pressed, and neatly packaged. It being Terra Station, the cost was sky high. Matt looked at what remained of his funds.

“Might as well be broke,” he said and invested the remainder in a pound of chocolate-coated cherries. They hurried back. Tex looked so woe-begone and so glad to see them that Matt had a sudden burst of generosity and handed the box to Tex. “Present to you, you poor, miserable, worthless critter.”

Tex seemed touched by the gesture-it was no more than a gesture, since candy and such are, by ancient right, community property among roommates.

“Hurry up and get dressed, Tex. The scooter shoves off in just thirty-two minutes.” Twenty-five minutes later, suited up, they were filing into the airlock, Tex with the chocolates under his arm.


The trip back was without incident, except for one thing: Matt had not thought to specify a pressure container for the candy. Before Tex could strap down the box had bulged.

By the time they reached the Randolph the front and left side of his space suit was covered with a bubbly, sticky mess compounded of cherry juice, sugar syrup, and brown stains of chocolate as the semi-liquid confection boiled and expanded in the vacuum. He would have thrown the package away had not the oldster, strapped next to him in the rack, reminded him of
the severe penalties for jettisoning anything in a traffic lane.

The cadet in charge of the hangar pocket in the Randolph looked Tex over in disgust. “Why didn’t you pack it inside your suit?”

“Uh, I just didn’t think of it, sir.”

“Hummph! Next time you will, no doubt. Go on inside and” place yourself on the report for ‘gross untidiness in uniform.’ And clean up that suit.”

“Aye, aye, sir.”

Pete was in their suite when they got back. He came out of his cubicle. “Have fun? Gee, I wish I hadn’t had the duty.”

“You didn’t miss much,” said Oscar.

Tex looked from one to the other. “Gee, fellows, I’m sorry I ruined your liberty.”

“Forget it,” said Oscar. “Terra Station will still be there next month.”

“That’s right,” agreed Matt, “but see here, Tex-tell us the truth. That was the first drink you ever had-wasn’t it?”

Tex looked shame-faced. “Yes . . . my folks are all temperance-except my Uncle Bodie.”

“Never mind your Uncle Bodie. If I catch you taking another, I’ll beat you to death with the bottle.”

“Aw, shucks, Matt!”

Oscar looked at Matt quizzically. “Easy on that holier-than-thou stuff, kid. Maybe it could happen to you.”

“Maybe it could. Maybe some day I’ll get you to chapter-one me and find out what happens. But not in public.”

“It’s a date.”

“Say,” demanded Pete, “what goes on here? What’s it all about?”

LONG HAUL

LIFE IN THE Randolph had a curious aspect of timelessness -or, rather, datelessness. There was no weather, there were no seasons. The very divisions into “night” and “day” were arbitrary and were continually being upset by night watches and by laboratory periods at any hour, in order to make maximum use of limited facilities. Meals were served every six hours around the clock and the meal at one in the “morning” was almost as well
attended as breakfast at seven hundred.

Matt got used to sleeping when he could find time-and the “days” tumbled past. It seemed to him that there was never time enough for all that he was expected to do. Mathematics and the mathematical subjects, astrogation and atomic physics in particular, began to be a bugaboo; he was finding himself
being rushed into practical applications of mathematics before he was solidly grounded.

He had fancied himself, before becoming a cadet, as rather bright in mathematics, and so he was-by ordinary standards. He had not anticipated what it would be like to be part of a group of which every member was unusually talented in the language of science. He signed up for personal coaching in mathematics and studied harder than ever. The additional effort kept him from failing, but that was all. 1 It is not possible to work all the time without cracking up, but the environment would have kept Matt from
overworking even if he had been so disposed. Corridor number five of “A” deck, where Matt and his roommates lived, was known as “Hog Alley” and had acquired a ripe reputation for carefree conduct even before Tex Jarman added his talents.

The current “Mayor of Hog Alley” was an oldster named Bill Arensa. He was a brilliant scholar and seemed able to absorb the most difficult study spool in a single playing, but he had been in the Randolph an unusually long time-a matter of accumulated demerits.

One evening after supper, soon after arrival, Matt and Tex were
attempting to produce a little harmony. Matt was armed with a comb and a piece of tissue paper; Tex had his harmonica. A bellow from across the hallway stopped them. “Open up in there! You youngsters-come busting out!”

Tex and Matt appeared as ordered. The Mayor looked them over. “No blood,” he remarked. “I’d swear I heard someone being killed. Go back and get your noisemakers.”

Arensa ushered them into his own room, which was crowded. He waved a hand around at the occupants. “Meet the Hog Alley People’s Forum-Senator Mushmouth, Senator Filibuster, Senator Hidebound, Doctor Dogoodly, and the Marquis de Sade. Gentlemen, meet Commissioner Wretched and Professor Farflung.” The oldster went into his study cubicle.

“What’s your name, Mister?” said one of the cadets, addressing Tex.

“Jarman, sir.”

“And yours?”

“We’ve got no time for those details,” announced Arensa, returning bearing a guitar. “That number you gentlemen were working on-let’s try it again. Brace yourself for the down beat. . . and a one, and a two!”

Thus was born the Hog Alley band. It grew to seven pieces and started working on a repertoire to be presented at a ship’s entertainment. Matt dropped out when he became eligible for the space polo league, as he could not spare time for both-his meager, talent was no loss to the band.

Nevertheless he remained in the orbit of the oldster. Arensa adopted all four of them, required them to report to his room from time to time, and supervised their lives. However, he never placed them on the report. By comparing notes with other youngster cadets on this point, Matt discovered that he and his friends were well off. They attended umerous sessions of the “Forum,” first by direction, later from choice. The staple recreation in the Randolph, as it is in all boarding schools, was the bull session. The talk ranged through every possible subject and was kept spiced by Arensa’s original and usually radical ideas.

However, no matter what was discussed, the subject usually worked around to girls and then broke up with the un-startling conclusion: “There’s no sense in talking about it-there aren’t any girls in the Randolph. Let’s turn in.”

Almost as entertaining was the required seminar in “Doubt.” The course had been instituted by the present commandant and resulted from his own observation that every military organization-with the Patrol no exception-suffered from an inherent vice. A military hierarchy automatically places a
premium on conservative behavior and dull conformance with precedent; it tends to penalize original and imaginative thinking. Commodore Arkwright realized that these tendencies are inherent and inescapable; he hoped to offset them a bit by setting up a course that could not be passed without original thinking.

The method was the discussion group, made up of youngsters, oldsters, and officers. The seminar leader would chuck out some proposition that attacked a value usually regarded as axiomatic. From there on anything could be said.

It took Matt a while to get the hang of it. At his first session the leader offered: “Resolved: that the Patrol is a detriment and should be abolished.” Matt could hardly believe his ears.

In rapid succession he heard it suggested that the past hundred years of Patrol-enforced peace had damaged the race, that the storm of mutations that followed atomic warfare were necessarily of net benefit under the inexorable laws of evolution, that neither the human race nor any of the other races of the system could expect to survive permanently in the universe if they deliberately forsook war, and that, in any case, the Patrol was made up of a bunch of self-righteous fatheads who mistook their own trained-in
prejudices for the laws of nature.

Matt contributed nothing to the first discussion he attended.

The following week he heard both mother love and love of mother
questioned. He wanted to reply, but, for the life of him, could think of no other answer than “Because!” Thereafter came attacks on monotheism as a desirable religious form, the usefulness of the scientific method, and the rule of the majority, in reaching decisions. He discovered that it was permissible to express opinions that were orthodox as well as ones that were unorthodox
and began to join the debate by defending some of his own pet ideas.

At once he found his own unconscious assumptions that lay behind his opinions subjected to savage attack and found himself again reduced to a stubborn and unvoiced “Because!”

He began to catch on to the method and found that he could ask an innocent question that would undermine someone else’s line of argument. From then on he had a good time.

He particularly enjoyed it after Girard Burke was assigned to his seminar. Matt would lie in wait until Girard would express some definite opinion, then jump him-always with a question; never with a statement. For some reason not clear to Matt, Burke’s opinions were always orthodox; to attack them Matt was forced to do some original thinking.

But he asked Burke about it after class one day. “See here, Burke-I
thought you were the bird with a new slant on everything?”

“Well, maybe I am. What about it?”

“You don’t sound like it in ‘Doubt.’ ”

Burke looked wise. “You don’t catch me sticking my neck out.”

“What do you mean?”

“Do you think our dear superiors are really interested in your bright ideas? Won’t you ever learn to recognize a booby trap, son?”

Matt thought about it. “I think you’re crazy.” Nevertheless he chewed it over.

The days rolled past. The pace was so hard that there as little time to be bored. Matt shared the herd credo of all cadets that the Randolph was a madhouse, unfit for human habitation, sky junk, etc., etc.-but in fact he had no opinion of his own about the school ship; he was too busy. At first he had had some acute twinges of homesickness; thereafter it seemed to recede. There was nothing but the treadmill of study, drill, more study, laboratory, sleep, eat, and study again.

He was returning from the communications office, coming off watch late one night, when he heard sounds from Pete’s cubicle. At first he thought Pete must be running his projector, studying late. He was about to bang on his door and suggest going up to the galley to wheedle a cup of cocoa when he became convinced that the sound was not a projector.

Cautiously he opened the door a crack. The sound was sobbing. He
closed the door noiselessly and knocked on it. After a short silence Pete said, “Come in.”

Matt went in. “Got anything to eat?”

“Some cookies in my desk.”

Matt got them out. “You look sick, Pete. Anything wrong?”

“No. Nothing.”

“Don’t give me the space drift. Out with it.”

Pete hesitated. “It’s nothing. Nothing anybody can do anything about.”

“Maybe so, maybe not. Tell me.”

“There’s nothing you can do. I’m homesick, that’s all!”

“Oh-” Matt had a sudden vision of the rolling hills and broad farms of Iowa. He suppressed it. ‘That’s bad, kid. I know how you feel.”

“No, you don’t. Why, you’re practically at home-you can just step to a port and see it.”

“That’s no help.”

“And it hasn’t been so terribly long since you’ve been home. Me-it took me two years just to make the trip to Terra; there’s no way of telling when I’ll ever see home again.” Pete’s eyes got a faraway look; his voice became almost lyrical. “You don’t know what it’s like, Matt. You’ve never seen it. You know what they say: ‘Every civilized man has two planets, his own and Ganymede.’ ”

“Huh?”

Pete did not even hear him. “Jupiter hanging overhead, filling half the sky” He stopped. “It’s beautiful, Matt. There’s no place like it.”

Matt found himself thinking about Des Moines in a late summer evening . . . with fireflies winking and the cicadas singing in the trees, and the air so thick and heavy you could cup it in your hand. Suddenly he hated the steel shell around him, with its eternal free-fall and its filtered air and its artificial lights. “Why did we ever sign up, Pete?”

“I don’t know. I don’t know!”

“Are you going to resign?”

“I can’t. My father had to put up a bond to cover my passage both ways-if I leave voluntarily he’s stuck for it.”

Tex came in, yawning and scratching. “What’s the matter with you guys? Can’t you sleep? Don’t you want anybody else to sleep?”

“Sorry, Tex.”

Jarman looked them over. “You both look like your pet dog had died. What’s the trouble?”

Matt bit his lip. “Nothing much. I’m homesick, that’s all.”

Pete spoke up at once. “That’s not quite straight. I was the one that was pulling the baby act-Matt was trying to cheer me up.”

Tex looked puzzled. “I don’t get it. What difference does it make where you are so long as you aren’t in Texas?”

“Oh, Tex, for heaven’s sake!” Matt exploded.

“What’s the matter? Did I say something wrong?” Tex looked from Matt to Pete. “Pete, you certainly are a mighty far piece away from your folks, I’ve got to admit. Tell you what-comes time we get some leave, you come home with me. I’ll let you count the legs on a horse.”

Pete grinned feebly. “And meet your Uncle Bodie?”

“Sho’, sho’! Uncle Bodie’ll tell you about the time he rode the twister, bareback. Is it a deal?”

“If you’ll come to visit at my home someday. You, too, Matt.”

“It’s a deal.” They shook hands all around.

The effects of the nostalgic binge with Pete might have worn off if another incident had not happened soon after. Matt went across the passage to Arensa’s room, intending to ask the oldster for some help in a tricky problem in astrogation. He found the oldster packing. “Come in, Senator,” said Arensa. “Don’t clutter up the doorway. What’s on your mind, son?”

“Uh, nothing, I guess. You got your ship, sir?” Arensa had been passed for outer duty the month before; he was now technically a “passed cadet” as well as an “oldster.”

“No.” He picked up a sheaf of papers, glanced at them, and tore them across. “But I’m leaving.”

“Oh.”

“No need to be delicate about it-I wasn’t fired. I’ve resigned.”

“Oh.”

“Don’t stare at me and say ‘oh’! What’s so odd about resigning?”

“Nothing. Nothing at all.”

“You were wondering why, weren’t you? Well, 111 tell you. I’ve had it, that’s why. I’ve had it and I’m sick of it. Because, sonny, I have no wish to be a superman. My halo is too tight and I’m chucking it. Can you-understand that?”

“Oh, I wasn’t criticizing!”

“No, but you were thinking it. You stick with it, Senator. You’re just the sort of serious-minded young squirt they want and need. But not for me-I’m not going to be an archangel, charging around the sky and brandishing a flaming sword. Did you ever stop to think what it would feel like to atom bomb a city? Have you ever really thought about it?”

“Why, I don’t know. It hasn’t been necessary for the Patrol actually to use a bomb since they got it rolling right. I don’t suppose it ever will be.”

“But that’s what you signed up for, just the same. It’s your reason for being, my boy.” He stopped and picked up his guitar. “Forget it. Now what can I do with this? I’ll sell it to you cheap, Earth-side price.”

“I couldn’t even pay Earth-side prices right now.”

“Take it as a gift.” Arensa chucked it at him. “The Hog Alley band ought to have a gitter and I can get another. In thirty minutes I shall be in Terra Station, Senator, and six hours later I shall be back with the ground crawlers, the little people who don’t know how to play God-and wouldn’t want to!”

Matt couldn’t think of anything to say.

It seemed odd thereafter not to have Arensa’s bellowing voice across the passageway, but Matt did not have time to think about it. Matt’s drill section in piloting was ordered to the Moon for airless-landing.

The section had progressed from scooters to drill in an A-6 utility rocket rigged for instruction. The cargo space of this ship-P.R.S. Shakysides to the cadets; drill craft #106 on the rolls of the Randolph-had been fitted as a dozen duplicate control rooms, similar in every visible detail to the real control rooms, to the last switch, dial, scope and key. The instruments in the duplicate rooms showed the same data as their twins in the master room but when a cadet touched a control in one of the instruction rooms, it had no effect on the ship; instead the operation was recorded on tape.

The pilot’s operations were recorded, too, so that each student pilot could compare what he did with what he should have done, after having practiced under conditions identical with those experienced by the actual pilot.

The section had completed all it could learn from practice contacts at the Randolph and at Terra Station. They needed the hazard of a planet. The two- day trip to Moon Base was made in the Shakysides herself, under conditions only a little worse than those encountered by an emigrant.

Matt and his companions saw nothing of the Lunar colonies. There was no liberty; they lived for two weeks in pressurized underground barracks at the Base and went up to the field each day for landing drill, first in the dummy control rooms of the Shakysides, then in dual-controlled A-6 rockets for actual piloting.

Matt soloed at the end of the first week. He had the “feel” for piloting; given a pre-calculated flight plan he could make his craft respond. It was as natural to him as mathematical astrogation was difficult.

Soloing left him with time on his hands. He explored the Base and took a space-suited walk on the burned and airless Lunar plain. The student pilots were quartered in a corner of the marine barracks. Matt killed time by watching the space marines and chinning with the non-coms.

He liked the spit-and-polish style with which the space marines did “things, the strutting self-confidence with which they handled themselves. There is no more resplendent sight in the solar system than an old space- marine sergeant in full dress, covered with stripes, hash marks, and ribbons, the silver at his temples matching the blazing sunburst on his chest. Matt began to feel dowdy in the one plain, insignia-less uniform he had thought in
his jump bag.

He enjoyed their frequent ceremonials. At first it startled him to hear a unit mustered without the ghostly repetition of the names of the Four-“Dahlquist! Martin! Rivera! Wheeler!”-but the marines had traditional rites of their own and more of them.

Faithful to his intention of swotting astrogation as hard as possible, Matt had brought some typical problems along. Reluctantly he tackled them one day.

"Given: Departure from the orbit of Deimos, Mars, not earlier than 1200 Greenwich, 15 May 2087; chemical fuel, exhaust velocity 10,000 meters per  second; destination, suprastratospheric orbit around Venus. Required: Most economical orbit to destination and quickest orbit, mass-ratios and times of  departure and arrival for each. Prepare flight plan and designate checkpoints,  with pre-calculation for each point, using stars of 2nd magnitude or brighter.  

Questions: Is it possible to save time or fuel by tacking on the Terra-Luna  pair? What known meteor drifts will be encountered and what evasive plans,  if any, should be made? All answers must conform to space regulations as well as to ballistic principles." 

The problem could not be solved in any reasonable length of time without machine calculation. However, Matt could set it up and then, with luck, sweet- talk the officer in charge of the Base’s computation room into letting him use a ballistic integrator. He got to work.

The sweet voice of a bugle reached him, first call for changing the guard. He ignored it.

He was sweating over his preliminary standard approximation when the bugle again interrupted him with call-to-muster. It completely disrupted his chain of reasoning. Confounded problem-why would they assign such a silly problem anyhow? The Patrol didn’t fiddle around with chemical fuels and most economical orbits-that was merchant service stuff.

Two minutes later he was watching guard mount, down in the main HQ under the barracks. When the band sounded off with “Till the Suns are cold and the heavens dark-” Matt found himself choking up.

He stopped by the guard office, reluctant to get back to the fussy
complexities of mathematics. The new sergeant of the guard was an acquaintance, Master Sergeant Macleod. “Come in, young fellow, and rest yourself. Did you see the guard mount?”

“Thanks. Yes, I did. It’s pretty wonderful to see.”

“Know what you mean. Been doing it twenty years and I get more of a bang out of it than I did when I was a recruit. How’s tricks? They keeping you busy?”

Matt grinned sheepishly. “I’m playing hooky. I should be studying
astrogation, but I get so darned sick of it.”

“Don’t blame you a bit. Figures make my head ache.”

Matt found himself telling the older man his troubles. Sergeant Macleod eyed him with sympathetic interest. “See here, Mr. Dodson-you don’t like that long-haired stuff. Why don’t you chuck it?”

“Huh?”

“You like the space marines, don’t you?”

“Why, yes.”

“Why not switch over and join a man’s outfit? You’re a likely lad and educated-in a year I’d be saluting you. Ever thought about it?”

“Why, no, I can’t say that I have.”

“Then do so. You don’t belong with the Professors-you didn’t know that was what we call the Patrol, did you?- the ‘Professors.’ ”

“I’d heard it.”

“You had? Well, we work for the Professors, but we aren’t of them. We’re . . . well, you’ve seen. Think it over.”

Matt did think it over, so much so that he took the Mars-to-Venus problem back with him, still unsolved.

It was no easier to solve for the delay, nor were other and more
complicated problems made any simpler by virtue of the idea, buzzing in the back of his mind, that he need not belabour himself with higher mathematics in order to be a spaceman. He began to see himself decked out in the gaudy, cock-pheasant colors of the space marines.

At last he took it up with Lieutenant Wong. “You want to transfer to the marines?”

“Yes. I think so.”

“Why?”

Matt explained his increasing feeling of frustration in dealing with both atomic physics and astrogation.

Wong nodded. “I thought so. But we knew that you would have tough sledding since you came here insufficiently prepared. I don’t like the sloppy work you’ve been doing since you came back from Luna.”

“I’ve done the best I could, sir.”

“No, you haven’t. But you can master-these two subjects and I will see to it that you do.”

Matt explained, almost inaudibly, that he was not sure he wanted to. Wong, for the first time, looked vexed.


“Still on that? If you turn in a request for transfer, I won’t okay it and I can tell you ahead of time that the Commandant will turn it down.”

Matt’s jaw muscles twitched. “That’s your privilege, sir.”

“Damn it, Dodson, it’s not my privilege; it’s my duty. You would never make a marine and I say so because I know you, your record, and your capabilities. You have a good chance of making a Patrol officer.”

Matt looked startled. “Why couldn’t I become a marine?”

“Because it’s too easy for you-so easy that you would fail.”

“Huh?”

“Don’t say “huh.” The spread in I.Q. between leader and follower should not be more than thirty points. You are considerably more than thirty points ahead of those old sergeants-don’t get me wrong; they are fine men. But your mind doesn’t work like theirs.” Wong went on, “Have you ever wondered why the Patrol consists of nothing but officers-and student officers, cadets?”

“Mmm, no, sir.”

“Naturally you wouldn’t. We never wonder at what we grow up with. Strictly speaking, the Patrol is not a military organization at all.”

“Sir?”

“I know, I know-you are trained to use weapons, you are under orders, you wear a uniform. But your purpose is not to fight, but to prevent fighting, by every possible means. The Patrol is not a fighting organization; it is the repository of weapons too dangerous to entrust to military men.

“With the development last century of mass-destruction weapons, warfare became all offense and no defense, speaking broadly. A nation could launch a horrific attack but it could not even protect its own rocket bases. Then space travel came along.

“The spaceship is the perfect answer in a military sense to the atom bomb, and to germ warfare and weather warfare. It can deliver an attack that can’t be stopped-and it is utterly impossible to attack that spaceship from the surface of a planet.”

Matt nodded. “The gravity gauge.”

“Yes, the gravity gauge. Men on the surface of a planet are as helpless against men in spaceships as a man would be trying to conduct a rock- throwing fight from the bottom of a well. The man at the top of the well has gravity working for him.

“We might have ended up with the tightest, most nearly unbreakable tyranny the world has ever seen. But the human race got a couple of lucky breaks and it didn’t work out that way. It’s the business of the Patrol to see that it stays lucky.

“But the Patrol can’t drop an atom bomb simply because some pipsqueak Hitler has made a power grab and might some day, when he has time enough, build spaceships and mass-destruction weapons. The power is too great, too awkward-it’s like trying to keep order in a nursery with a loaded gun instead of a switch.

“The space marines are the Patrol’s switch. They are the finest-”

“Excuse me, sir-”

“Yes?”

“I know how the marines work. They do the active policing in the System-but that’s why I want to transfer. They’re a more active outfit. They are-”

“-more daring, more adventurous, more colorful, more glamorous-and they don’t have to study things that Matthew Dodson is tired of studying. Now shut up and listen; there is a lot you don’t know about the set-up, or you wouldn’t be trying to transfer.”

Matt shut up.

“People tend to fall into three psychological types, all differently motivated. There is the type, motivated by economic factors, money . . . and there is the type motivated by ‘face,’ or pride. This type is a spender, fighter, boaster, lover, sportsman, gambler; he has a will to power and an itch for glory.

And there is the professional type, which claims to follow a code of ethics rather than simply seeking money or glory-priests and ministers, teachers, scientists, medical men, some artists and writers. The idea is that such a man believes that he is devoting his life to some purpose more important than his individual self. You follow me?”

“I… think so.”

“Mind you this is terrifically over-simplified. And don’t try to apply these rules to non-terrestrials; they won’t fit. The Martian is another sort of a cat, and so is the Venerian.”

Wong continued, “Now we get to the point: The Patrol is meant to be made up exclusively of the professional type. In the space marines, every single man jack, from the generals to the privates, is or should be the sort who lives by pride and glory.”

“Oh…”

Wong waited for it to sink in. “You can see it in the very uniforms; the Patrol wears the plainest of uniforms, the marines wear the gaudiest possible. In the Patrol all the emphasis is on the oath, the responsibility to humanity. In the space marines the emphasis is on pride in their corps and its glorious history, loyalty to comrades, the ancient virtues of the soldier. I am not disparaging the marine when I say that he does not care a tinker’s damn
for the political institutions of the Solar System; he cares only for his organization.

“But it’s not your style, Matt. I know more about you than you do yourself, because I have studied the results of your psychological tests. You will never make a marine.”

Wong paused so long that Matt said diffidently, “Is that all, sir?”

“Almost. You’ve got to learn astrogation. If deep-sea diving were the key to the Patrol’s responsibility, it would be that that you would have to learn. But the key happens to be space travel. So-I’ll lay out a course of sprouts for you. For a few weeks you’ll do nothing but astrogate. Does that appeal to you?”

“No, sir.”

“I didn’t think it would. But when I get through with you, you’ll be able to find your way around the System blindfolded. Now let me see-”

The next few weeks were deadly monotony but Matt made progress. He had plenty of time to think-when he was not bending over a calculator. Oscar and Tex went to the Moon together; Pete was on night shift in the power room. Matt kept sullenly and stubbornly at work-and brooded. He promised himself to stick it out until Wong let up on him. After that – well, he would have a leave coming up one of these days. If he decided to chuck it, why, lots of cadets never came back from their first leave.

In the meantime his work began to get the grudging approval of Lieutenant Wong. At last Wong let up on him and he went back to a normal routine. He was settling into it when he found himself posted for an extra duty. Pursuant thereto, he reported one morning to the officer of the watch, received a briefing, memorized a list ‘of names, and was issued a black armband. Then he went to the main airlock and waited.

Presently a group of scared and greenish boys began erupting from the lock. When his turn came, he moved forward and called out, “Squad seven! Where is the squad leader of squad seven?”

He got his charges rounded up at last and told the acting squad leader to follow along in the rear, then led them slowly and carefully down to “A” deck. He was glad to find when he got there that none of them had gotten lost. “This is your mess room,” he told them. “We’ll have lunch before long.”

Something about the expression of one of them amused him. “What’s the matter, Mister?” he asked the boy. “Aren’t you hungry?”

“Uh, no, sir.”

“Well, cheer up-you will be.”

GUIS CUSTODIET IPSOS CUSTODES?

INTERPLANETARY PATROL Cadet Matthew Dodson sat in the waiting room of Pikes Peak Catapult Station and watched the clock. He had an hour to wait before boarding the New Moon for Terra Station; meanwhile he was expecting his roommates.

It had been a good leave, he supposed; he had done everything he had planned to do-except joining the others at the Jarman ranch at the end; his mother had kicked up such a fuss at the idea.

Still, it had been a good leave. His space-burned face, lean and beginning to be lined, looked slightly puzzled. He had confided to
no one his tentative intention of resigning while on leave. Now he was trying to remember just when and why it had ceased to be his intention. *

He had been sent on temporary duty to the P.R.S Nobel, as assistant to the astrogator during a routine patrol of cir-cum-Terra bomb-rockets. Matt had joined his ship at Moon Base and, at the conclusion of the patrol when the Nobel had grounded at Terra Base for overhaul, was detached with permission to take leave before reporting back to the Randolph. He had gone straight home.


The entire family met him at the station and copied him home. His mother had cried a little and his father had shaken hands very vigorously. It seemed to Matt that his kid brother had grown almost incredibly. It was good to see them, good to be back in the old family bus. Matt would have piloted the copter himself had not Billie, his brother, gone straight to the controls.

The house had been redecorated throughout. His mother obviously expected favorable comment and Matt had given it-but he hadn’t really liked the change. It had not been what he had pictured. Besides that, the rooms seemed smaller. He decided that it must be the effect of redecorating; the house couldn’t have shrunk!

His own room was filled with Bill’s things, although Bill had been
temporarily evicted to his old room, now turned into a hobby room for his mother. The new arrangements were sensible, reasonable-and annoying.

In thinking it over Matt knew that the changes at home had had nothing to do with his decision. Certainly not! Nor his father’s remarks about posture, even though they had stuck in his craw-He and his father had been alone in the living room, just before dinner, and Matt had been pacing up and down, giving an animated and, he believed, interesting account of the first time he 
had soloed. His father had taken advantage of a pause to say, “Stand up, son.”

Matt stopped. “Sir?”

“You are all crouched over and seem to be limping. Does your leg still bother you?”

“No, my leg is fine.”

“Then straighten up and “square your shoulders. Look proud. Don’t they pay any attention to your posture at school?”

“What’s wrong with the way I was walking?”

Bill had appeared in the door just as the subject had come up. “I’ll show you, Mattie,” he had interrupted, and proceeded to slouch across the room in a grotesque exaggeration of a spaceman’s relaxed and boneless glide. The boy made it look like the amble of a chimpanzee. “You walk like that.”

“The devil I do!”

“The devil you don’t.”

“Bill!” said his father. “Go wash up and get ready for dinner. And don’t talk that way. Go on, now!” When the younger son had left his father turned again to Matt and said, “I thought I was speaking privately, Matt. Honestly, it’s not as bad as Bill makes out; it’s only about half that bad.”

“But- Look, Dad, I walk just like everybody else-among spacemen, I 
mean. It comes of getting used to free-fall. You carry yourself sort of pulled in, for days on end, ready to bounce a foot off a bulkhead, or grab with your hands. When you’re back under weight, after days and weeks of that, you walk the way I do. ‘Cat feet’ we call it.”

“I suppose it would have that effect,” his father had answered reasonably, “but wouldn’t it be a good idea to practice walking a little every day, just to keep in form?”


“In free-fall? But-” Matt had stopped, suddenly aware that there was no way to bridge the gap.

“Never mind. Let’s go in to dinner.”

There had been the usual round of family dinners with aunts and uncles. Everyone asked him to tell about school, about what it felt like to go out into space. But, somehow, they had not actually seemed very interested. Take Aunt Dora.

Great-aunt Dora was the current family matriarch. She had been a very active woman, busy with church and social work. Now she was bedfast and had been for three years. Matt called on her because his family obviously expected it. “She often complains to me that you don’t write to her, Matt, and”

“But, Mother, I don’t have time to write to everyone!”

“Yes, yes. But she’s proud of you, Matt. Shell want to ask you a thousand questions about everything. Be sure to wear your uniform-she’ll expect it.”

Aunt Dora had not asked a thousand questions; she had asked just one- why had he waited so long to come to see her? Thereafter Matt found himself being informed, in detail, on the shortcomings of the new pastor, the marriage chances of several female relatives and connections, and the states of health of several older women, many of them unknown to him, including details of operations and postoperative developments.

He was a bit dizzy when he escaped, pleading a previous date.

Yes, maybe that was it-it might have been the visit to Aunt Dora that convinced him that he was not ready to resign and remain in Des Moines. It could not have been Marianne.

Marianne was the girl who had made him promise to write regularly-and, in fact, he had, more regularly than had she. But he had let her know that he was coming home and she had organized a picnic to welcome him back. It had been jolly. Matt had renewed old acquaintances and had enjoyed a certain amount of hero worship from the girls present. There had been a young man there, three or four years older than Matt, who seemed unattached. Gradually it dawned on Matt that Marianne treated the newcomer as her property.

It had not worried him. Marianne was the sort of girl who never would get clearly fixed in her mind the distinction between a planet and a star. He had not noticed this before, but it and similar matters had come up on the one date he had had alone with her.

And she had referred to his uniform as “cute.”

He began to understand, from Marianne, why most Patrol officers do not marry until their mid-thirties, after retirement.

The clock in Pikes Peak Station showed thirty minutes until up-ship. Matt began to worry that Tex’s casual way might have caused the other three to miss connections, when he spotted them in the crowd. He grabbed his jump bag and went toward them.

They had their backs toward him and had not seen him as yet. He 
sneaked up behind Tex and said in a hoarse voice, “Mister-report to the Commandant’s office.”

Tex jumped into the air and turned completely around. “Matt! You horse- thief, don’t scare me like that!”

“Your guilty conscience. Hi, Pete. Hello, Oscar.”

“How’s the boy, Matt? Good leave?”

“Swell.”

“Here, too.” They shook hands all around.

“Let’s get aboard.”

“Suits.” They weighed in, had their passes stamped, and were allowed to proceed on up to where the New Moon stood upright and ready in the catapult cradle, her mighty wings outstretched. A stewardess showed them to their seats.

At the ten-minute warning Matt announced, “I’m going up for some makee-learnee. Anybody with me?”

“I’m going to sleep,” denied Tex.

“Me, too,” added Pete. “Nobody ever sleeps in Texas. I’m dead.”

Oscar decided to come along. They climbed up to the control room and spoke to the captain. “Cadets Dodson and Jensen, sir-request permission to observe.”

“I suppose so,” the captain grunted. “Strap down.” The pilot room of any licensed ship was open to all members of the Patrol, but the skippers on the Terra-to-Station run were understandably bored with the practice.

Oscar took the inspector’s chair; Matt had to use deck pads and straps. His position gave him an excellent view of the co-pilot and mate, waiting at the airplane-type controls. If the rocket motor failed to fire, after catapulting, it would be the mate’s business to fight the ship into level flight and bring her down to a deadstick landing on the Colorado prairie.

The captain manned the rocket-type controls. He spoke to the catapult control room, then sounded the siren. Shortly thereafter the ship mounted up the face of the mountain, at a bone-clamping six gravities. The acceleration lasted only ten seconds; then the ship was flung straight up at the sky, leaving the catapult at 1300 miles per hour.

They were in free-fall and climbing. The captain appeared to be taking his time about cutting in the jet; for a moment Matt held to the excited hope that an emergency landing was going to be necessary. But the jet roared on time.

When they had settled in their orbit and the jet was again silent, Matt and Oscar thanked the captain and went back to their proper seats. Tex and Pete were both asleep; Oscar followed suit at once. Matt decided that he must have missed quite a bit in letting himself be talked out of finishing his leave in Texas.

His thoughts went back-to the problem he had been considering. Certainly he had not decided to stick simply because his own leave had been fairly quiet; he had never thought of home as being a nightclub, or a fair ground.


One night at dinner his father had asked him to describe just what it was that the Nobel did in circum-Terra patrol. He had tried to oblige. “After we lift from Moon Base we head for Terra on an elliptical orbit. As we approach the Earth we brake gradually and throw her into a tight circular orbit from pole to pole-”

“Why pole to pole? Why not around the equator?”

“Because, you see, the atom-bomb rockets are in pole-to-pole orbits. That’s the only way they can cover the whole globe. If they were circling around the equator-”

“I understand that,” his father had interrupted, “but your purpose, as I understand it, is to inspect the bomb rockets. If you-your ship-circled around the equator, you could just wait for the bomb rockets to come past.”

Tow may understand it,” his mother had said to his father, “but Z don’t.”

Matt looked from one to the other, wondering which one to answer-and how. “One at a time . . . please,” he protested. “Dad, we can’t just intercept the bombs; we have to sneak up on them, match orbits until you are right alongside it and making exactly the same course and speed. Then you bring the bomb inside and ship and inspect it.”

“And of what does that inspection consist?”

“Just a sec, Dad. Mother, look here for a moment.” Matt took an orange from the table’s centerpiece. “The rocket bombs go round and round, like this, from pole-to-pole, every two hours. In the meantime the Earth is turning on its axis, once every twenty-four hours.” Matt turned the orange slowly in his left hand while moving a finger of his right hand rapidly around it from top 
to bottom to simulate a pole-to-pole bomb. “That means that if a bomb passes over Des Moines on this trip, it will just about pass over the Pacific Coast on its next trip. In twenty-four hours it covers the globe.”

“Goodness! Matthew, I wish you wouldn’t talk about an atom bomb being over Des Moines, even in fun.”

“In fun?” Matt had been puzzled. “As a matter of fact … let me think; we’re about forty-two north and ninety-four west-” He glanced at his watch finger and studied for a few moments. “Jay-three ought to be along in about seven minutes-yes, it will be almost exactly overhead by the time you finish your coffee.” Long weeks in the Nobel, plotting, calculating,, and staring in radarscopes had gotten Matt so that he knew the orbits of circum-Terra prowler rockets a bit better than a fanner’s wife knows her own chickens; Jay- three was an individual to him, one with fixed habits.

His mother was looking horrified. She spoke directly to her husband as if she expected him to do something about it. “John. … I don’t like this. I don’t like it, do you hear me? What if it should fall?”

“Nonsense, Catherine-it can’t fall.”

Mart’s younger brother chortled. “Mom doesn’t even know what holds the Moon up!”

Matt turned to his brother. “Who pushed your button squirt? Do you know what holds the Moon up?”

“Sure-gravity.”

“Not exactly. Suppose you give me a quick tell, with diagrams.”

The boy tried; his effort was hardly successful. Matt shut him off. “You know somewhat less about astronomy than the ancient Egyptians. Don’t make fun of your elders. Now, look, Mother-don’t get upset. Jay-three can’t fall on us. It’s in a free orbit that does not intersect the Earth-like smarty-pants here says, it can’t fall down any more than the Moon can fall. Anyhow, if the Patrol was to bomb Des Moines tonight, at this time, it wouldn’t use Jay-three 
for the very reason that it is overhead. To bomb a city you start with a rocket heading for your target and a couple of thousand miles away, because you have to signal its robot to start the jet and seek the target. You have to slow it down and bend it down. So it wouldn’t be Jay-three; it would be-” He thought again. “-Eye-two, or maybe Ache-one.” He smiled wryly. “I got bawled out 
over Eye-two.”

“Why?” demanded his brother.

“Matt, I don’t think you have picked the right tack to quiet your mother’s fears,” his father said dryly. “I suggest we not talk about bombing cities.”

“But I didn’t- Sorry, Father.”

“Catherine, there really is nothing to get worked up over -you might just as well be afraid of the local policeman. Matt, you were going to tell me about inspection. Why do the rockets have to be inspected?”

“I want to know why Mattie got bawled out!”

Matt cocked an eyebrow at his brother. “I might as well start by telling him, Dad-it has to do with inspection. Okay, Bill-I made a poor dive when we started to pick it up and had to come back on my suit jet and try again.”

“What do you mean, Matthew?”

“He means-”

“Pipe down, Billie. Dad, you send a man out in a suit to insert the trigger guard and attach a line to the rocket so you can bring her inboard of the ship and work on her. I was the man. I made a bad push-off and missed the rocket entirely. She was about a hundred yards away and I guess I misjudged the distance. I turned over and found I was floating on past her. I had to jet back and try again.”

His mother still seemed confused, but did not like what she heard. 
“Matthew! That sounds dangerous to me.”

“Safe as houses, Mother. You can’t fall, any more than the rocket can, or the ship. But it’s embarrassing. Anyhow, I finally got a line on her and rode her back into the ship.”

“You mean you were riding an atom bomb?”

“Shucks, Mother, it’s safe-the tamper around the fission material stops most of the radioactivity. Anyhow, the exposure is short.”

“But suppose it went off?”

“It can’t go off. To go off it has to either crash into the ground with a speed great enough to slap the sub-critical masses together as fast as its trigger- gun could do it, or you have to fire the trigger-gun by radio. Besides that, I had inserted the trigger guard-that’s nothing more nor less than a little crowbar, but when it’s in place not even a miracle could set it off, because you can’t bring the sub-critical masses together.”

“Maybe we had better drop this subject, Matt. It seems to make your mother nervous.”

“But, Dad, she asked me.”

“I know. But you still haven’t told me what you inspect for.”

“Well, in the first place, you inspect the bomb itself, but there’s never anything wrong with the bomb. Anyhow, I haven’t had the course for bomb- officer yet-he has to be a nucleonics engineer. You inspect the rocket motor, especially the fuel tanks. Sometimes you have to replace a little that has escaped through relief valves. But mostly you give her a ballistic check and check her control circuits.”

“Ballistic check?”

“Of course, theoretically you ought to be able to predict where a prowler bomb would be every instant for the next thousand years. But it doesn’t work out that way. Little things, the effect of the tidal bulges and the fact that the Earth is not a perfect uniform sphere and such, cause them to gradually wander a little away from the predicted orbits. After you find one and service it-they’re never very far from where they ought to be-you correct the orbit by putting the whole ship in just precisely the proper trajectory and then put the rocket outside the ship again. Then you go after the next one.”

“Clear enough. And these corrections have to be made often enough that a ship is kept busy just inspecting them?”

“Well, no, Dad, we inspect oftener than we really have to-but it keeps the ship and the crew busy. Keeps it from getting monotonous. Anyhow, frequent inspections keep you on the safe side.”

“Sounds like a waste of taxpayers’ money to inspect too often.”

“But you don’t understand-we’re not there to inspect; we’re there to patrol. The inspection ship is the ship that would deliver an attack in case anybody started acting up. We have to stay on patrol until the next ship relieves us, so we might as well inspect. Granted that you can bomb a city from Moon Base, you can do a better, more accurate job, with less chance of hitting the wrong people, from close by.”

His mother was looking very upset. His father raised his eyebrows and said, “We’ve wandered back to the subject of bombing, Matt.”

“I was simply answering your questions, sir.”

“I’m afraid I asked the wrong question. Your mother is not able to take the answers impersonally. Catherine, there isn’t the slightest chance of the North American Union being bombed. Tell her that, Matt-I think she’ll believe you.”

Matt had remained silent. His father had insisted, “Go ahead, Matt. Catherine, after all, it’s our Patrol. For all practical purposes the other nations don’t count. A majority of the Patrol officers are from North America, That’s true, Matt, isn’t it?”

“I’ve never thought about it I guess so.”

“Very well. Now, Catherine, you can’t imagine Matt bombing Des Moines, now can you? And that is what it amounts to. Tell, her, Matt.”


“But- Dad, you don’t know what you are saying!”

“What? What’s that, young man!”

“I-” Matt had looked around him, then had gotten up very suddenly and left the room.

His father came into his room some time later. “Matt?”

“Yes, sir?”

“Look, Matt, I let the conversation get out of hand tonight. I’m sorry and I don’t blame you for getting upset. Your mother, you know. I try to protect her. Women get worked up so easily.”

“It’s all right, Dad. I’m sorry I walked out”

“No matter. Let’s forget it. There’s just one thing I feel we ought to get straight on. I know that you feel loyal to the Patrol and its ideals and it’s good that you should, but-well, you are a little young still to see the political realities involved, but you must know that the Patrol could not bomb the North American Union.”

“It would in a show down!”

“But there won’t be any show down. Even if there were, you couldn’t bomb your own people and neither could your shipmates.”

Matt thought about it, fiercely. He remembered Commander Rivera-one of the Four, of the proud Tradition-how Rivera, sent down to reason with the official in his own capital, his very native city, had kept the trust. Suspecting that he might be held as hostage, he had left orders to go ahead with the attack unless he returned in person to cancel the orders. Rivera, whose body was decaying radioactive dust but whose name was mustered whenever a unit of the Patrol called the roll.

His father was still talking. “Of course, the Patrol has to patrol this continent just as it patrols all through the System. It would look bad, otherwise this is no reason to frighten women with an impossibility.”

“I’d rather not talk about it, Dad.”

Matt glanced at his watch and figured how long it would be until the New Moon reached Terra Station. He wished he could sleep, like the others. He was sure now what it was that had changed his mind about resigning and remaining in Des Moines. It was not a desire to emulate Rivera. No, it was an accumulation of things-all of them adding up to just one idea, that little Mattie didn’t live there any more!

For the first few weeks after leave, Matt was too busy to fret. He .had to get back into the treadmill, with more studying to do and less time to do it in. He was on the watch list for cadet officer of the watch now, and had more laboratory periods in electronics and nucleonics as well. Besides this he shared with other oldsters the responsibility for bringing up the youngster cadets. Before; leave his evenings had usually been free for study, now he coached youngsters in astrogation three nights a week.

He was beginning to think that he would have to give up space polo, when he found himself elected captain of the Hog Alley team. Then he was busier than ever. He hardly thought about abstract problems until his next session; with Lieutenant Wong.

“Good afternoon,” his coach greeted him. “How’s your class in astrogation?”

“Oh, that – It seems funny to be teaching it instead of flunking it.” ;

“That’s why you’re stuck with it-you still remember what it was that used to stump you and why. How about atomics?”

“Well … I suppose I’ll get by, but I’ll never be an Einstein.”

“I’d be amazed if you were. How are you getting along otherwise?” Wong waited.

“All right, I. guess. Do you know, Mr. Wong-when I went on leave I didn’t intend to come back.”

“I rather thought so. That space-marines notion was just your way of dodging around, trying to avoid your real problem.”

“Oh. Say, Mr. Wong-tell me straight. Are you a regular Patrol officer, or a psychiatrist?”

Wong almost grinned. “I’m a regular Patrol officer, Matt, but I’ve had the special training required for this job.”

“Uh, I see. What was it I was running away from?”

“I don’t know. You tell me.”

“I don’t know where to start.”

“Tell me about your leave, then. We’ve got all afternoon.”

“Yes, sir.” Matt meandered along, telling as much as he could remember. “So you see,” he concluded, “it was a lot of little things. I was home-but I was a stranger. We didn’t talk the same language.”

Wong chuckled. “I’m not laughing at you,” he apologized. “It isn’t funny. We all go through it-the discovery that there’s no way to go back. It’s part of growing up- but with spacemen it’s an especially acute and savage process.”

Matt nodded. “I’d already gotten that through my thick head. Whatever happens I won’t go back-not to stay. I might go into the merchant service, but I’ll stay in space.”

“You’re not likely to flunk out at this stage, Matt.”

“Maybe not, but I don’t know yet that the Patrol is the place for me. That’s what bothers me.”

“Well… can you tell me about it?”

Matt tried. He related the conversation with his father and his mother that had gotten them all upset. “It’s this: if it comes to a show down, I’m expected to bomb my own home town. I’m not sure it’s in me to do it. Maybe I don’t belong here.”

“Not likely to come up, Matt. Your father was right there.”

“That’s not the point. If a Patrol officer is loyal to his oath only when, it’s no skin off his own nose, then the whole system breaks down.”

Wong waited before replying. “If the prospect of bombing your own town, your own family, didn’t worry you, I’d have you out of this ship within the hour-you’d be an utterly dangerous man. The Patrol doesn’t expect a man to have godlike perfection. Since men are imperfect, the Patrol works on the principle of calculated risk. The chance of a threat to the System coming from your hometown in your lifetime is slight; the chance that you might be called on to carry out the attack is equally slight-you might be away on Mars. Taking the two chances together you have something close to zero.

“But if you did hit the jackpot, your commanding officer would probably lock you up in your room rather than take a chance on you.”

Matt still looked troubled. “Not satisfied?” Wong went on. “Matt, you are suffering from a disease of youth-you expect moral problems to have nice, neat, black-and-white answers. Suppose you relax and let me worry about whether or not you have what it takes. Oh, some day you’ll be caught in a squeeze and no one around to tell you the right answer. But I have to decide whether or not you can get the right answer when the problem comes along- and I don’t, even know what your problem will be how would you like to be in my boots?”

Matt grinned sheepishly. “I wouldn’t like it.”

P.R.S. AES TRIPLEX

OSCAH, MATT, AND TEX were gathered in their common room just before lunch when Pete bounced in. Literally so-he caromed off the door frame and zipped into the room, shouting, “Hey, fellows!”

Oscar grabbed his arms as he rebounded from the inner wall. “Cut your jet and ground-what’s the excitement?”

Peter turned in the air and faced them. “The new ‘Passed’ list is posted!”

“Who’s on it?”

“Don’t know-just heard about it. Come on!”

They streamed after him. Tex came abreast of Matt and said, “I don’t know why I should be getting in a sweat-I won’t be on it.”

“Pessimist!” They turned out of Hog Alley, went inboard three decks, and forward. There was a clot of cadets gathered around the bulletin board outside the watch office. They crowded in.

Pete spotted his own name at once. “Look!” The paragraph read:

"Armand, Pierre-temporary duty P.R.S. Charles' Wain, rpt. Terr.St, dtch.  Leda, Ganymed, d.&a.o." 

“Look!” he repeated. “I’m going home-‘delay and await orders.’”

Oscar patted his shoulder. “Congratulations, Pete-that’s swell. Now if you will kindly get your carcass out of the way-”

Matt spoke up. “I’m on it!”

“What ship?” asked Tex.

“The Aes Triplex.”

Oscar turned at this. “What ship?”

“Aes Triplex.”

“Matt-that’s my ship. We’re shipmates, boy!”

Tex turned disconsolately away. “Just as I said-no ‘jai-man.’ I’ll be here five years, ten years, fifteen years old and grizzled. Promise to write on my birthday.”

“Gee, Tex, I’m sorry!” Matt tried to swallow his own elation.

“Tex, did you look on the other half of the list?” Pete wanted to know. “What other half? Huh?” Pete pointed. Tex dove back into the swarm; presently he reappeared.

“What do you know? They passed me!”

“Probably didn’t want to expose another class of youngsters to you. What ship?”

“P.R.S. Oak Ridge. Say, you and Oscar got the same ship?”

“Yep-the Aes Triplex.”

“Rank discrimination, that’s what it is. Well, come on, we’ll be late to lunch.”

They ran into Girard Burke in the passageway. Tex stopped him. “No use bothering to look, Stinky. Your name’s not on the list.” “What list? Oh, you mean the ‘Passed’ list. Don’t bother me, children-you’re talking to a free man.”

“So they finally bounced you?”

“Like fun! Resignation accepted, effective today. I’m going in business with my father.”

“Going to build sky junk, eh? I don’t envy you.”

“No, we’re starting an export line, with our own ships. The next time you see me, just remember to address me as ‘Captain.’ ” He moved away.

“I’ll ‘captain’ him,” Tex muttered. “I’ll bet he resigned by request.”

“Maybe not,” conceded Matt. “Girard is a smooth character. Well, we’ve seen the last of him.”

“And a good thing, too.”

Tex was missing after lunch. He showed up after nearly two hours. “I worked it. Shake hands with your new shipmate.”

“Huh? No fooling!”

“Fact. First I located Dvorak and convinced him that he would rather have a ship in the circum-Terra patrol than the Aes Triplex-so he could see his girl oftener. Then I went to see the Commandant and pointed out to him that you guys were used to having the benefit of my advice and would be lost without it. That’s all there was to it. The Commandant saw the wisdom of my words and approved the swap with Dvorak.”

“Not for that reason, I’ll bet,” Matt answered. “Probably he wanted me to continue to look out for you.”

Tex took on an odd look. “Do you know, Matt, you aren’t so far wrong.”

“Really? I was just kidding.”

“What he did say was that he thought Cadet Jensen would be a good influence on me. What do you think of that, Oscar?”

Oscar snorted. “If I’ve reached the place where I’m a good influence on anybody, it’s time I cultivated some new vices.”

“I’d be glad to help.”

“I don’t want you, I want your Uncle Bodie-there’s a man of the world.”

Three weeks later, at Moon Base, Oscar and Matt were settling into their stateroom in the Aes Triplex. Matt was not feeling his best; the previous evening at Tycho Colony had been late and noisy. They had taken the last possible shuttle to Moon Base.

The ship’s phone in their room sounded; Matt answered it to get the squeal out of his ears. “Yes? Cadet Dodson speaking-”

“Officer of the watch. Is Jensen there too?”

“Yes, sir.” ,

“Both of you report to the Captain.”

“Aye, aye, sir.” Matt turned a troubled face to Oscar. “What’ll I do, Oz? The rest of my uniforms are over at the base tailor shop-and this one I’ve got on looks as if I had slept in it.”

“You did. Wear one of mine.”

“Thanks, but it would fit me like socks on a rooster. Do you suppose I have time to run over and pick up my clean ones?”

“Hardly!”

Matt rubbed the stubble on his chin. “I ought to shave, anyhow.”

“Look,” said Oscar, “if I’m any judge of skippers, you’ll do better to show up naked as an oyster and with a beard down to here, than to keep him waiting. Let’s get going.”

The door opened and Tex stuck his head in. “Say-did you guys get a call to report to the Old Man?”

“Yes-Tex, can you lend me a clean uniform?”

Tex could. Matt crossed the passageway to Tex’s tiny room and changed. He belted in tightly at the waist, distributed the wrinkles in back, and hoped for the best. The three headed for the cabin.

“I’m glad I don’t have to report by myself,” Tex announced. “I’m nervous.”

“Relax,” Oscar advised. “Captain McAndrews is supposed to be a very human sort of a guy.”

“Hadn’t, you heard? McAndrews is detached-busted his ankle. At the last minute the Department ordered Captain Yajicey to command the expedition.”

“Yancey!” Oscar let out a low whistle. “Oh, my sore feet!”

“What’s the matter, Oscar?” Matt demanded. “You know him?”

“My father knew him. Father had the fresh-foods contract for the port at New Auckland when Yancey-Lieu- . tenant Yancey, then-was portmaster.”

They stopped out- ‘ side the commanding officer’s cabin.

“That ought to give you an inside track.”

“Not likely! They didn’t get along.”

“I wonder if I did right,” Tex mused darkly, “when I wangled the swap from the Oak Ridge?”

“Too late to fret. Well, I guess we might-” Oscar stopped! speaking, for the door in front of them suddenly opened! and they found themselves facing the commanding officer. He was tall, wide-shouldered, and flat-hipped, and so handsome that he looked like a television star playing a; Patrol officer.

“Well?” he snapped. “Don’t stand chatting outside my; door. Come in!” ;

They filed in silently. Captain Yancey sat down, facing them, and looked them over, one after the other. “What’s the trouble, gentlemen?” he said presently. “Are you all struck dumb?”

Tex found his voice. “Cadet Jarman, sir, reporting to the Captain.” 
Yancey’s eyes flicked over to Matt.

Matt wet his lips. _”Cadet Dodson, sir.”

“Cadet Jensen, sir, reporting as ordered.” The officer looked at Oscar sharply, then spoke to him in Venerian.

“Do these ears detect some echo of the speech of the Fair Planet?

“It is true, thou old and wise one.”

“Never could stand that silly talk,” Yancey commented, relapsing into Basic. “I won’t ask you where you are from, but-is your father in the provisions racket?”

“My father is a food wholesaler, sir.”

“I thought so.” The Captain continued to look at him for a moment, then turned to Matt. “Now, Mister, what is the idea of the masquerade? You look like a refugee from an emigrant ship.”

Matt tried to explain; Yancey cut him short. “I’m not interested in excuses. I keep a taut ship. Remember that.”

“Aye aye, sir.”

The Captain settled back and struck a cigarette. “Now, gentlemen, you are no doubt wondering as to why I sent for you. I must admit to a slight curiosity as to the sort of product the old school is turning out. In my day, it was a real course of sprouts and no nonsense about it. But now I understand that the psychologists have taken over and the old rules are all changed.”

He leaned forward and fixed Matt with his eyes. “They aren’t changed here, gentlemen. In my ship, the old rules still obtain.”

No one answered. Yancey waited, then went on, “The regulations state that you shall pay a social call on your commanding officer within twenty-four hours after reporting to a new ship or station. Please consider that the social call has commenced. Sit down, gentlemen. Mr. Dodson, you will find coffee over there on your left. Will you please favour me by pouring it?”

Forty minutes later they left, feeling quite confused. Yanny had demonstrated that he could put them most charmingly at their ease and had displayed a dry, warm wit and a gift for telling anecdotes. Matt decided that he liked him.

But just as they left Yancey glanced at his clock and laid, “I’ll see you later, Mr. Dodson-in fifteen minutes.”

Once they were outside Tex demanded, “What’s he want to see you for, Matt?”

“Can’t you guess?” answered Oscar. “Look, Matt, I’ll tear over to the tailor shop for you-you can’t do that and shave, too, not in fifteen minutes.”

“You’re a lifesaver, Oz!”

P.R.S. Aes Triplex blasted from Moon Base thirteen hours later in a trajectory intended to produce an elliptical orbit with its far end in the asteroid belt. Her orders were to search for the missing P.R.S. Pathfinder. The Pathfinder had been engaged in radar-charting a sector of the asteroid belt for the Uranographic Office of the Patrol. Her mission had taken her beyond the range of ship-type radio; nevertheless she should have reported in by radio nearly six months earlier, at which time she should have been approaching conjunction with Mars. But Deimos Station, around Mars, had been unable to raise the Pathfinder; she was presumed lost.

The possible locations of the Pathfinder were a moving zone in space, defined by using geometry, ballistics, the characteristics of the ship, her mission, and her last reported location, course, and speed. This zone was divided into four sectors and the Aes Triplex was to search one sector while three other Patrol vessels covered the other sectors. The joint task was designated “Operation Samaritan” but each ship was independent as they necessarily would be too far apart to be commanded as a task force.

While searching, the rescue vessels would continue the Pathfinder’s mission of charting the space drift that clutters the asteroid belt.

In addition to the commanding officer and the three cadets, the company of the Aes Triplex included Commander Hartley Miller, executive officer and astrogator, Lieutenant Novak, Chief Engineer, Lieutenant Thurlow, Bomb Officer, Lieutenant Brunn, Communications Officer, Sublieutenants Peters, Gomez, and Cleary, assistant engineer and communications watch officers respectively, and Or. Pickering, ship’s surgeon, along to care for survivors-if my were found.

The ship contained no marines, unless one chooses to count Dr. Pickering, who was technically a staff corps member of the marines rather than a member of the Patrol. this very task in the ship would be performed by the officers or cadets. Time was when the lowliest subaltern in an infantry regiment had his personal servant, but servants are too expensive a luxury in terms of fuel and space and food in lift through millions of miles of space. Besides that, Mime few manual tasks are a welcome relief from boredom in the endless monotony of space; even the undesirable duty of cleaning the refresher was taken in turn by the entire ship’s company, in accordance with custom, except for the Captain, the Executive Officer, and the Surgeon.

Captain Yancey assigned Lieutenant Thurlow as training officer who in turn set up the jobs of assistant astrogator, junior communication watch officer, junior assistant engineer, and assistant bomb officer and arranged a schedule of rotation among these-quite unnecessary-positions. It was also Mr. Thurlow’s job to see to it that Matt, Oscar, and Tex made intensive use of the one study projector available to the cadets.

The Executive Officer assigned other tasks not directly concerned with formal training. Matt was appointed the ship’s “farmer.” As the hydroponics tanks supply both fresh air and green vegetables to a ship he was responsible for the ship’s air-conditioning and shared with Lieutenant Brunn the tasks of the ship’s mess.

Theoretically every ration taken aboard a Patrol vessel is pre-cooked and ready for eating as soon as it is taken out of freeze and subjected to the number of seconds, plainly marked on the package, of high-frequency heating required. Actually many Patrol officers fancy themselves chefs. Mr. Brunn was-one and his results justified his conceit – the Aes Triplex set a good table.

Matt found that Mr. Brunn expected more of the “farm” than that the green plants should scavenge carbon dioxide horn the air and replace it with oxygen; the mess officer wanted tiny green scallions, fragrant fresh mint, cherry tomatoes, Brussels sprouts, new potatoes. Matt began to wonder whether it wouldn’t have been simpler to have stayed in Iowa and grown tall corn.

When he started in as air-conditioning officer Matt was not even sure how to take a carbon-dioxide count, but shortly he was testing his growing solutions and adding capsules of salts with the confidence and speed of a veteran, thanks to Brann and to spool #62A8134 from the ship’s files” Simplified Hydroponics for Spaceships, with Growth Charts and Additives Formulae.” He began to enjoy tending his “farm.”

Until human beings give up the habit of eating, spaceships on long cruises must carry about seven hundred pounds of food per man per year. The green plants grown in a ship’s air-conditioner enable the stores officer to get around this limitation to some extent, as the growing plants will cycle the same raw materials-air, carbon dioxide, and water-over and over again with only the
addition of quite small quantities of such salts as potassium nitrate, iron sulphate, and calcium phosphate.

The balanced economy of a spaceship is much like that of a planet; energy is used to make the cycles work but the same raw materials are used over and over again. Since beefsteak and many other foods can’t be grown conveniently aboard ship some foods have to be carried and the ship tends to’ collect garbage, waste paper, and other trash. Theoretically this could be processed back into the cycles of balanced biological economy, but in practice this is too complicated.

However, all mass in an atomic powered ship can be used, if desired, as reaction mass, mass for the rocket jet. The radioactive materials in the power pile of an atom-powered ship are not themselves used up to any great extent; instead they heat other materials to extreme temperatures and expel them out the rocket tube at very high speeds, as a sort of “steam” jet.

Even though turnip greens and such can be used in the jet, the primary purpose of the “farm” is to take the carbon dioxide out of the air. For this purpose each man in the ship must be balanced by about ten square feet of green plant leaf. Lieutenant Brunn, with his steady demands for variety in fresh foods, usually caused Matt to have too much growing at one time; the air in the ship would get too fresh and the plants would start to fail for lack of carbon dioxide to feed on. Matt had to watch his CO2 count and sometimes build it up by burning waste paper or plant cuttings.

Brunn kept a file of seeds in his room; Matt went there one “day” (ship’s time) to draw out Persian melon seeds and set a crop. Bran told him to help himself. Matt rummaged away, then said, “For the love of Pete! Look at this, Mr. Brunn.”

“Huh?” The officer looked at the package Matt held. The outside was marked,

"Seeds, melon, Persian-jumbo fancy, stock #12-Q4728-a"; the  envelope inside read "Seed, pansies, giant variegated." 

Brunn shook his head. “Let that be a lesson, Dodson- never trust a stock clerk-or you’ll wind up half way to Pluto with a gross of brass spittoons when you ordered blank spacecharts.”

“What’ll I substitute? Cantaloupe?”

“Let’s grow some watermelon-the Old Man likes watermelon.”

Matt left with watermelon he took along the truant pansy seeds.

Eight weeks later he devised help of sorts by covering a bowl from the galley with the sponge-cellulose sheet, which was used to restrain the solutions used in his farming, thereby to keep said solutions from floating around the “farm” compartment during free fall. He filled his vase with water, arranged his latest crop therein, and clipped the whole to the mess table as a centerpiece.

Captain Yancey smiled broadly when he appeared for dinner and saw the gay display of pansies. “Well, gentlemen,” he applauded, “this is most delightful. All the comforts of home!” He looked along the table at Matt. “I suppose we have you to thank for this, Mr. Dodson?”

“Yes, sir.” Matt’s ears turned pink.

“A lovely idea. Gentlemen, I move that we divest Mr. Dodson of the plebeian title of ‘farmer’ and designate him Horticulturalist extraordinary.’ Do I hear a second?” There were nine “ayes” and a loud “no” from Commander Miller. A second ballot, proposed by the Chief Engineer, required the Executive Officer to finish his meal in the galley.

Lieutenant Brunn explained the mishap that resulted in the flower garden. Captain Yancey frowned. “You’ve checked the rest of your supply of seeds, of course, Mr. Brunn?”

“Uh, no, sir.”

“Then do so.” Lieutenant Brunn immediately started to leave the table, “after dinner,” added the Captain. Brunn resumed his place.

“That puts me in mind of something that happened to me when I was ‘farmer’ in the old Percival Lowell-the one before the present one,” Yancey went on. “We had touched at Venus South Pole and had managed somehow to get a virus infection, a sort of rust, into the ‘farm’-don’t look so superior, Mr. Jensen; someday you’ll come a cropper with a planet that is new to you!”

“Me, sir? I wasn’t looking superior.”

“No? Smiling at the pansies, no doubt?”

“Yes, sir.”

“Hmmph! As I was saying, we got this rust infection and about ten days out I didn’t have any more farm than an Eskimo. I cleaned the place out, sterilized, and reseeded. Same story. The infection was all through the ship and I couldn’t chase it down. We finished that trip on preserved foods and short rations and I wasn’t allowed to eat at the table the rest of the trip.” He smiled to himself, then’ shouted at the galley door, “How you getting along in there, Red?”

The Executive Officer appeared in the doorway, a spoon in one hand, covered dish in the other. “Fine,” he answered in a muffled voice, “I just ate your dessert, Captain.”

Lieutenant Brunn shouted, “Hey! Commander! Stop! Don’t! Those berries are for breakfast.”

“Too late.” Commander Miller wiped his mouth.

“Captain?”

“Yes, Dodson?”

“What did you do about air-conditioning?”

“Well. Mister, what would you have done?”

Matt studied it. “Well, sir, I would have jury-rigged something to take the Cee-Oh-Two out of the air.”

“Precisely. I exhausted the air from an empty compartment, suited up, and drilled a couple of holes to the outside. Then I did a piping job to carry foul air out of the dark side of the ship in a fractional still arrangement-freeze” out the water first, then freeze out the carbon dioxide. Pesky thing was always freezing up solid and forcing me to tinker with it. But it worked well enough to get us home.” Yancey backed away from the table. “Hartley, if you’re through making a pig of yourself, let’s run over that meteor-layout. I’ve got an idea.”

The ship was approaching the orbit of Mars and soon would be in the comparatively hazardous zone of the asteroids and their company of space drift. Matt was rotated, in turn, to assistant astrogator, but continued as ship’s farmer. Tex looked him up one day in the hydroponics compartment. “Hey! Hayseed-”

“Hey yourself, Tex.”

“Got the south forty plowed yet? Looks like rain.” Tex pretended to study the blinking lights used to stimulate plant growth, then looked away.’ never mind-I’m here on business. The Old Man wants to see you.”

“Well, for heaven’s sake, why didn’t you say so, instead of banging your choppers?” Matt stopped what he was doing and hurriedly started climbing into his uniform. Because of the heat and the humidity in the “farm” Matt habitually worked there bare naked, both for comfort and to save his clothes.

“Well, I did tell you, didn’t I ?”

The Captain was in his cabin. “Cadet Dodson, sir.”

“So I see.” Yancey held up a sheet of paper. “Dodson, I’ve just written a letter to the Department, to be transmitted as soon as we are in radio contact, recommending that fresh flowers be grown in all ships, as a means of stimulating morale. You are credited therein as the originator of the idea.”

“Er . .. thank you, sir.”

“Not at all. Anything that relieves the tedium, the boredom, the barrenness of life in deep space is in the interest of the Patrol. We have enough people going space-happy as it is. Flowers are -considered good for psychotics on Earth; perhaps they will help to keep spacemen from going wacky. Enough of that-I’ve a question to ask you.” “Yes, sir?”

“I want to know why in the devil you were spending your time growing pansies when you are behind in your study schedule?”

Matt did not have anything to say.

“I’ve been looking over the reports Mr. Thurlow sends me and I find that both Mr. Jensen and Mr. Jarman are covering more ground than you are. In the past few weeks they have pulled ‘way ahead of you. It’s a fine thing to have hobbies but your duty is to study.” “Yes, sir.”

“I’ve marked your performance unsatisfactory for this quarter; you have the next quarter in which to make up the deficiency. By the way, have you made up your mind about your next move?”

Matt did a double take, then realized that the Captain had changed the subject to chess; he and Matt were fighting it out for first place in the ship’s tournament. “Uh, yes, sir-I’ve decided to take your pawn.”

“I thought so.” Yancey reached behind him; Matt heard the pieces click into their sockets as the Captain made the move on his own board. “Wait till you see what’s going to happen to your queen!”

The speeds of the asteroids, flying boulders, rocks, sand, and space drift that infest the area between Mars and Jupiter vary from about fifteen miles per second near Mars to about eight miles per second near Jupiter. The orbits of this flying junkyard are erratically inclined to the plane of the ecliptic an average of about nine degrees and some of the orbits are quite eccentric as well.

All this means that a ship on a circular orbit, headed “east,” or with the traffic, may expect the possibility of side-swiping collisions at relative speeds averaging two miles per second, with crashes remotely possible at double that speed.

Two miles per second is only about twice the muzzle velocity of a good sporting rifle. With respect to small stuff, sand and gravel, the Aes Triplex was built to take it. Before the ship reached the danger zone, an all-hands chore in space suits took place; armor-plate segments, as thick as the skin of the ship, were bolted over the ship’s quartz ports, leaving only the eyes of the astrogational instruments and the radar antennae exposed.

To guard against larger stuff Captain Yancey set up a meteor-watch much tighter than is usual in most parts of space. Eight radars scanned all space through a global 360 degrees. The only condition necessary for collision is that the other object hold a steady bearing-no fancy calculation is involved. The only action necessary then to avoid collision is to change your own speed, any direction, any amount. This is perhaps the only case where theory of piloting is simple.

Commander Miller put the cadets and the sublieutenants on a continuous heel-and-toe watch, scanning the meteor-guard ‘scopes. Even if the human being failed to note a steady bearing the radars would “see” it, for they were so rigged that, if a “blip” burned in at one spot on die screen, thereby showing a steady bearing, an alarm would sound- and the watch officer would cut in the jet, fast!

However, even the asteroid belt is very empty space indeed; the chances were strongly against collision with anything larger than a grain of sand. The only difference in the Aes Triplex, aside from the increased work for the junior officers, was a ship’s order directing all hands to strap down when sleeping, instead of floating loosely and comfortably about, so that the sleeper would
not break his neck in case of sudden acceleration.

P.R.S. Aes Triplex was equipped with two jeeps, nestled in hangar pockets-quite ordinary short-range, chemically-powered rockets except that they were equipped with search radar as powerful as the ship’s. When they reached their search area a pilot and co-pilot were assigned to each jeep and a second crew also, as each rocket was to remain away from the ship a week at a time, then swap crews and go out again.

Lieutenants Brunn, Thurlow, and Novak, and Sublieutenant Peters were designated pilots. A cadet was assigned to each senior lieutenant and Sublieutenant Gomez was teamed with Sublieutenant Peters. Matt drew Lieutenant Thurlow.

Dr. Pickering took over the mess. That left Sublieutenant Cleary as “George,” the man who does everything-an impossibility, since meteor-guard and search watches would have to be kept up. Consequently the two jeep crews riot actually in space had to help out even during their week of rest.

Each Monday the ship placed the jeep rockets on station so that the three vessels would sweep the largest possible volume of space, with their search fields barely overlapping. The placement was made by the mother ship, so that the jeep would be left with full tanks in the unhappy event that she was not picked up-and thereby have enough fuel to shape an orbit toward the inner planets, if need be.

P.R.S. PATHFINDER

MATT TOOK ALONG a supply of study spools on his first week of search intending to play them on the jeep’s tiny, earphones-type viewer. He did not get much chance; four hours out of eight he had to keep his eyes glued to the search scopes. During the four hours off watch he had to sleep, eat, attend to chores, and study, if possible.

Besides that, Lieutenant Thurlow liked to talk.

The bomb officer was expecting Earth-side duty in postgraduate study at the end of the cruise. “And then I’ll have to make up my mind, Matt. Do I stay in and make physics a part-time specialty, or resign and go in for research?”

“It depends on what you want to do.”

“Trite but true. I think I want to be a scientist, full time-but after a few years the Patrol becomes a father and a mother to you. I don’t know. That pile of rock is creeping up on us-I can see it through the port now.”

“It is, eh?” Matt moved forward until he, too, could see the undersized boulder that Thurlow had been watching by radar. It was of irregular shape, a pattern of sunlight and sharp, dark shadow.


“Mister Thurlow,” said Matt, “look-about the middle. Doesn’t that look like striation to you?”

“Could be. Some specimens have been picked up that were definitely sedimentary rock. That was the first proof that the asteroids used to be a planet, you know.”

“I thought that Goodman’s integrations were the first proof?”

“Nope, you’re switched around. Goodman wasn’t ‘able to run his checks until the big ballistic computer at Terra Station was built.”

“I knew that-I just had it backwards, I guess.” The theory that the asteroids had once been a planet, between Mars and Jupiter, was denied for many years because their orbits showed no interrelation, i.e., if a planet had blown to bits the orbits should intersect at the point of the explosion. Professor Goodman, using the giant, strain-free computer, had shown that the lack of 
relationship was caused by the perturbations through the ages of the other planets acting on the asteroids.

He had assigned a date to the disaster, nearly half a billion years ago, and had calculated as well that most of the ruined planet had escaped from the System entirely. The debris around them represented about one per cent of the lost planet.

Lieutenant Thurlow measured the angular width of the fragment, noted its distance by radar, and recorded the result as gross size. The rock, large as it was, was too small to merit investigation of its orbit; it was simply included in the space-drift survey. Smaller objects were merely listed while collisions with minute particles were counted by an electronic circuit hooked to the hull of the jeep.

“The thing that bothers me,” went on Thurlow, “about getting out is this- Matt, have you noticed the difference between people in the Patrol and people not in the Patrol?”

“Haven’t I, though!”

“What is the difference?”

“The difference? Uh, why, we’re spacemen and they’re not. I guess it’s a matter of how big your world is.”

“Partly. But don’t get carried away by mere size. A hundred million miles of empty space isn’t significant-if it’s empty. No, Matt, the split goes deeper. We’ve given the human race a hundred years of peace, and now there is no one left who remembers war. They’ve come to accept peace and comfort as the normal way of life. But it isn’t. The human animal has millions of years of danger and starving and death behind him; the past century is just a flicker of 
an eyelash in his history. But only the Patrol seems aware of it.”

“Would you abolish the Patrol?”

“Oh, my, no, Matt! But I wish there were some way to make people realize by how thin a barrier the jungle has been shut out. And another thing, too-” Thurlow grinned sheepishly. “-I wish they had some understanding of what we are. The taxpayer’s hired man, that’s what they think of us.”

Matt nodded. “They think we’re some sort of traffic cop. There is a man back home who sells used copters-asked me why Patrolmen should be pensioned when they retire. He said that he hadn’t been able to sit back and take it easy at thirty-five and he didn’t see why he should have to support somebody else who did.” Matt looked puzzled. “At the same time he sort of glamorized the Patrol-wants his son to be a cadet. I don’t understand it.”

“That’s it. To them we are a kind of expensive, useless prize pet-their property. They don’t understand that were not for hire. The sort of guardian you can hire is worth about as much as the sort of wife you can buy.”

The following week Matt found time to look up what the ship’s library afforded on the subject of the exploded planet. There was not much-dry statistics on sizes of asteroids, fragments, and particles, distributional and orbital data, Goodman’s calculations summarized. Nothing at all about what he wanted to know-how it happened-nothing but some fine-spun theories.

He took it up with Thurlow the next time they were out on Patrol. The lieutenant shrugged. “What do you expect, Matt?”

“I don’t know, but more than I found.”

“Our time scale is all wrong for us to learn much. Suppose you pick out one of the spools you’ve been studying- here, this one.” The officer held out one-marked “Social structures of the Martian aborigines.” “Now suppose you examine a couple of frames in the middle. Can you reconstruct the thousands and thousands of frames that come before it, just by logic?”

“Naturally not.”

“That’s the situation. If the race manages to keep from blowing its top for a few million years, maybe we’ll begin to find out some things. So far, we don’t even know what questions to ask,”

Matt was dissatisfied, but had no answer ready. Thurlow knit his brows. “Maybe we aren’t built to ask the right questions. You know the Martian ‘double-world’ idea-”

“Certainly, but I don’t understand it.”

“Who does? Let’s forget the usual assumption that a Martian is talking in religious symbols when he says that we live just on ‘one side’ while he lives on both sides.’ Suppose that what he means is as real as butter and eggs, that lie really does live in two worlds at the same time and that we are in the one he regards as unimportant. If you! accept that, then it accounts for the Martian being un-willing to waste time talking with us, or trying to explain things to us. He isn’t being stuffy, he’s being reasonable. Would you waste time trying to explain rainbows to an earthworm?”

“The cases aren’t parallel.”

“Maybe they are to a Martian. An earthworm can’t even see, much less have a color sense. If you accept the ‘double world’ as real, then to a Martian we just don’t have the proper senses to be able to ask the right questions. Why bother with us?”

The radio squealed for attention. Thurlow glanced toward it and said, “Someone calling, Matt. See who it is and tell ’em we don’t want any.”

“Okay.” Matt flipped the switch and answered, “Jeep One, Triplex-go ahead.”

“Triplex calling,” came Sublieutenant deary’s familiar voice. “Stand by to be picked up.”

“Huh? Cut the comedy-we’re only three days out.”

“Stand by to be picked up-official. Jeep Two has found the Pathfinder.”

“The deuce you say! Did you hear that, Mr. Thurlow? Did you hear that?”

It was true; Peters and Gomez, in the other jeep, had discovered the missing ship, almost by accident. The Pathfinder was found anchored to a smallish asteroid about a mile in greatest dimension. Since it was a listed body, 1987-CD, the crew of the jeep had paid little attention to it, until its rotation brought the Pathfinder into view.

With fine consideration Captain Yancey had elected to pick up Thurlow and Dodson before rendezvousing with the second jeep. Once they were inside, the Aes Triplex moved toward 1987-CD and matched orbits. Sublieutenant Peters had elected to expend some of his get-away fuel and had matched orbits also.

Matt fidgeted while the second jeep was brought into the ship. He could see nothing, since the ports were covered, and for the moment had no assigned duties. With maddening deliberation Captain Yancey secured his ship to the Pathfinder, sending a line over by Sublieutenant Gomez. The rest of the ship’s company was crowded into the control room. Tex and Matt took the opportunity to question Sublieutenant Peters.

“Couldn’t tell much,” he informed them. “Off hand, she looks undamaged, but the door of the lock was standing open.”

“Any chance anyone is alive inside?” asked Tex.

“Possible. Hardly likely.”

Captain Yancey looked around. “Pipe down,” he ordered. “This is a control room, not a sewing circle.” When he had finished he ordered Peters and Gomez to come with him; the three suited up and left the ship.

They were gone about an hour. When they returned the Captain called them all into the mess room. “I am sorry to tell you, gentlemen, that none of our comrades is alive.”

He went on heavily, “There is not much doubt as to what happened. The outer armored door of the lock was open and undamaged. The inner door had been punched through by a missile about the’ size of my fist, producing explosive decompression in the connecting compartments. Apparently they had had the enormous bad luck to have a meteor enter the ship through the door just as it was opened.”

“Wait a minute, Skipper,” objected Miller. “Was every airtight door in the ship wide open? One rock shouldn’t have done the trick.”

“We couldn’t get into the after part of the ship; it still holds pressure. But we could reconstruct what happened, because we could count the bodies- seven of them, the entire ship’s company. They were all near the lock and not in spacesuits, except for one man in the lock-his suit was pierced by a fragment apparently. The others seem to have been gathered at the lock, waiting for him to come in.” Yancey looked grave. “Red, I think we are going to have to put in a recommended technical order over this- something to require personnel to spread out while suit operations are going on, so that an accident to the lock won’t affect the entire ship’s company.”

Miller frowned. “I suppose so, Captain. Might be awkward to comply with, sometimes, in a small ship.”

“It’s awkward to lose your breath, too. Now about the investigation-you’ll be the president, Red, and Novak and Brunn will be your other two members. The rest of us will remain in the ship until the board has completed its work. When they have finished and have removed from the Pathfinder anything needed as evidence I will allow sufficient time for each of you to satisfy his curiosity.”

“How about the surgeon, Captain? I want him for an expert witness.”

“Okay, Red. Dr. Pickering, you go with the board.”

The cadets crowded into the stateroom shared by Matt and Oscar. “Can you beat it?” said Tex. “Of all the cheap tricks! We have to sit in here, a week or ten days, maybe, while a board measures how big a hole there is in the door.”

“Forget it, Tex,” advised Oscar. “I figure the Old Man didn’t want you carving your initials in things, or maybe snagging the busted door for a souvenir, before they found out what the score was.”

“Oh, nuts!”

“Quit crabbing. He promised you that you could snoop around and take pictures and satisfy your ghoulish appeties as soon as the board is finished. In the meantime, enjoy . the luxury of eight hours of sleep for a change. No watches, none of any sort.”

, “Say, that’s right!” agreed Matt. “I hadn’t thought about it, but there’s no point in watching for rocks when you’re tied down and can’t duck.”

“As the crew of the Pathfinder know only too well.”

Last Muster was held for the Pathfinder on the following day. The bodies themselves had been sealed into a compartment of the dead ship; muster took place in the wardroom of the Aes Triplex. It was rather lengthy, as it was necessary to read the services of three different faiths before the Captain concluded with the Patrol’s own all-inclusive farewell: “Now we shape our orbit home-”

It so happened that there were just enough persons present to answer the roll. The Aes Triplex’s company was a captain and eleven others. For the Pathfinder there were exactly eleven-six patrol officers, one civilian planetologist, and the Four who are present at every muster. Captain Yancey called off the Pathfinder’s roll and the others answered, one after the other, 
from Commander Miller down to Tex-while The Long Watch, muted down to a requiem, played softly over the ship’s speaker system.

Matt found his throat almost too dry to answer. Tex’s chubby cheeks ran with tears and he made no effort to wipe them.

Lieutenant Brunn was a source of information for the first couple of days of the investigation. He described the Pathfinder as in good shape, except for the damaged door. On the third day he suddenly shut up. “The Captain doesn’t want the board’s findings discussed until he has had time to study them.”

Matt passed the word on to the others. “What’s cooking?” demanded Tex. “What can there possibly be to be secret about?”

“How should I know?”

“I’ve got a theory,” said Oscar.

“Huh? What? Spill it.”

“The Captain wants to prove a man can’t die of curiosity. He figures .that you are a perfect test case.”

“Oh, go soak your head.”

Captain Yancey called them all together again the following day. 
“Gentlemen, I appreciate your patience. I have not wanted to discuss what was found in the Pathfinder until I had time to decide what should be done about it. It comes to this: the planetologist with the Pathfinder, Professor Thorwald, came to the unmistakable conclusion that the disrupted planet was inhabited.”

The room started to buzz. “Quiet, please! There are samples of fossil- bearing rock in the Pathfinder, but there are other exhibits as well, which Professor Thorwald concluded -Dr. Pickering and Commander Miller and I concur-concluded to be artefacts, items worked by intelligent hands.

“That fact alone would be enough ,to send a dozen ships scurrying into the asteroid belt,” he went on. “It is probably the most important discovery in System-study since they opened the diggings in Luna. But Professor Thorwald formed another conclusion even more startling. With the aid of the ship’s bomb officer, using the rate-of-radioactive-decay method, he formed a tentative hypothesis that the planet-he calls it Planet Lucifer-was disrupted by artificial nuclear explosion. In other words, they did’ it themselves.”

The silence was broken only by the soft sighing of the room’s ventilators. Then Thurlow exploded, “But Captain, that’s impossible!”

Captain Yancey looked at him. “Do you know all the answers, young man? I’m sure I don’t.”

“I’m sorry, sir.”

“In this case I wouldn’t even venture to have an opinion. I’m not competent. However, gentlemen, if it be true, as Professor Thorwald certainly thought it was, then I hardly need point out to you that we have more reason than ever to be proud of our Patrol-and our responsibility is even heavier than we had thought.

“Now to business-I am very reluctant to leave the Pathfinder where she is. Aside from sentimental reasons she is a ship of the Patrol and she is worth a good many millions. I think we can repair her and take her back.”

LONG WAY HOME .!

MATT TOOK PART in the rebuilding of the inner door of the Pathfinder’s airlock and the checks for airtightness, all under the careful eye of the chief engineer. There was little other damage inside the ship. The rock, or meteor, that had punched the gaping hole in the inner door had expended most of its force in so doing; an inner bulkhead had to be patched and a few dents smoothed. The outer, armored door was quite untouched; it was clear that the invader, by bad chance, had come in while the outer door was standing open.

The plants in the air-conditioner had died for lack of attention and carbon dioxide. Matt took over the job while the others helped in the almost endless chores of checking every circuit, every instrument, every gadget necessary to the ship’s functioning. It was a job which should have been done at a repair base and could not have been accomplished if there had actually been much wrong.

Oscar and Matt squeezed an hour out of sleep to explore 1987-CD, a job that mixed mountain climbing with suit-jet work. The asteroid had a gravitational field, of course, but even a mass the size of a small .mountain is negligible compared with that of a-planet. They simply could not feel it; muscles used to opposing the tenacious pull of robust Terra made nothing of the frail pull of 1987-CD. ‘ At last the Pathfinder was cast loose and her drive tested by a scratch crew consisting of Captain Yancey at the controls and Lieutenant Novak in the power room. The Aes Triplex lay off a few miles, waited until she blasted her jet for a few seconds, then joined her. The two ships tied together and Captain Yancey and the chief engineer came back into the Aes Triplex.

“She’s all yours, Hartley,” he announced. “Test her yourself, then take over when you are ready.”

“If she suits you she suits me. With your permission, sir, I’ll transfer my crew now.”

“So? Very well, Captain-take command and carry out your orders. Log it, Mister,” Captain Yancey added, over his shoulder to the officer of the watch.

Thirty minutes later the split crew passed out through the airlock of the Aes Triplex and into the airlock of the other. P.R.S. Pathfinder was back in ommission.

Remaining with the Aes Triplex was Captain Yancey, Lieutenant Thurlow, now executive officer and astrogator, Sublieutenant Peters, now chief engineer, Cadet Jensen, chief communications officer, and Cadets Jarman and Dodson, watch officers, all departments-and Dr. Picketing, ship’s surgeon.

Commander Miller, captain of the Pathfinder, had one less officer than Captain Yancey, but all of his officers were experienced; Captain Yancey had elected to burden himself with the cadets. He would have assumed command of the derelict himself and taken his chances with her, except for one point- the law did not permit it. He could place a master aboard her and put her back in commission, but there was no one present with authority to relieve him of his own ship-he was prisoner of his own unique status, commanding officer operating alone.

In her original flight plan it had been intended that the Pathfinder should make port at Deimos, Mars, when Mars overtook her and was in a favorable position. The” delay caused by the disaster made the planned orbit quite out of the question; Mars would not be at the rendezvous. Furthermore Captain Yancey wanted to get the astounding evidence contained in the Pathfinder to Terra Base as quickly as possible; there was little point in sending it to the outpost on Mars’ outer satellite.

Accordingly reaction mass was pumped from the Aes Triplex to the smaller ship until her tanks were full and a fast, fairly direct, though uneconomical, orbit to Earth was plotted for her.

The Aes Triplex, using an economical “Hoh-mann”-type, much longer orbit, would mosey in past the orbit of Mars, past the orbit of Earth (Earth would not . be anywhere close at the time), in still further, swinging! around the Sun and out again, catching up with Earth nearly a year later than the Pathfinder.

She had mass to accomplish this, even after replenishing the Pathfinder, but she was limited to time-wasting, but fuel-saving, orbits more usual to merchant vessels than to ships of the Patrol.

Matt, in one of his multiple roles as assistant astrogator, noticed a peculiarity of the orbit and called it to Oscar’s attention. “Say, Oz, come and look at this-when we get to perihelion point, the other side of the Sun, we almost clip a cloud off your home town. See?”

Oscar looked over the charted positions. “Well, darn if we don’t! What’s the nearest approach?”

“Less than a hundred thousand miles. Well tack on her a bit-the Old Man is a eller for efficient orbits, I find. Want to jump ship?”

“We’d be going a trifle fast for that,” Oscar commented dryly.

“Oh, where’s the old pioneer spirit? You could swipe one of the jeeps and be gone before you’re missed.”

“Gosh, I’d like to. It would be nice to have some leave.” Oscar shook his head sadly and stared at the chart.

“I know what’s eating on you-since you’ve been made the head of a department you’ve acquired a sense of responsibility. How does it feel to be one of the mighty?”

Tex had come into the chartroom while they were talking. He chipped in 
with, “Yeah, come on, Oz-tell your public.”

Oscar’s fair skin turned pink. “Quit riding me, you guys. It’s not my fault.”

“Okay, you can get up now. Seriously,” Matt went on, “this is quite a break for all of us-acting ship’s officers on what was supposed to be a training tour. You know what I think?”

“Do you think?” inquired Tex.

“Shut up. If we keep our noses clean and get any chance to show some stuff, it might mean brevet commissions for all of us.”

“Captain Yancey give me a brevet?” said Tex. “A fat chance!”

“Well, Oscar almost certainly. After all, he is chief com officer.”

“I tell you that doesn’t mean a thing,” protested Oscar. “Sure, I’ve got the tag-with nobody to communicate with, j We’re out of range, except for the Pathfinder, and she’s ; pulling away fast.”

“We won’t always be out of range.”

“It won’t make any difference. Can you see the Old Man letting me-or any of us-do anything without staring down the backs of our necks? Anyhow, I don’t want a brevet. Suppose we got back and it wasn’t confirmed? Embarrassing!”

“I’d jump at the chance,” announced Tex. “It may be the only way I’ll ever get one.”

“Drop the orphan-child act,- Tex. Suppose your Uncle Bodie heard you talking like that.”

In fact, the atmosphere in the ship was very different,] even though the Captain, or Lieutenant Thurlow, or both, supervised them very carefully. Captain Yancey took toil calling them by their first names at mess and dropped the use of “cadet” entirely. He sometimes referred to the “ship’s officers,” using the term so that it plainly included the three cadets. But there was no suggestion of brevet rank made.

Out of the asteroid belt, out of radio range, and in interminable free fall, the ship’s duties were light. The cadets had plenty of time to study, enough time for card games and bull sessions. Matt caught up with his assignments and reached the point where he was digging into the ship’s library for advanced work, for the courses outlined for them when they left the Randolph had been intended for a short cruise.

The Captain set up a seminar series, partly to pass his own time and partly as a supplement to their education. It was supposed to illustrate various problems faced by a Patrol officer as a spaceman, or in his more serious role as a diplomatic representative. Yancey lectured well; the cadets found, too, that he could be drawn into reminiscence. It was both enjoyable and instructive and helped! to pass the weary weeks.

At long, long last they were within radio range of Venus -and there was mail for all of them, messages that had been chasing them half around the Solar System. An official despatch from the Department congratulated the Commanding Officer on the recovery of the Pathfinder and commended the ship’s company-this was entered, in due course, in the record of each. A private message from Hartley Miller told Captain Yancey that the trip home had been okay and that the longhairs were tearing same over the contents of the ship. Yancey read this aloud to them.

In addition to letters from home, Matt received a wedding announcement from Marianne. He wondered if she had married the young man he had met at the picnic, but he could not be sure of the name-the whole thing seemed very remote. There was a letter, too, to all three cadets date-marked “Leda, Ganymede” from Pete, of the having-a-won-derful-time-wish-you-were-here sort. “Lucky stiff!” said Tex.

” Touring the world’-phooey!”

Other messages poured in-ships’ movements, technical orders, personnel changes, the accumulated minutiae of a large military organization-and a detailed resume of the news of four planets from the time they had lost contact to the present.

Oscar found that Captain Yancey did not breathe on his neck in his duties as communications chief-but by then it did not surprise him. Oscar simply was the com chief and had almost forgotten that he had ever been anything else.

He felt, however, that he was really confirmed in his office the day a message came in top cipher, the first not in “clear.” He was forced to ask the Captain for the top-cipher machine, kept in the Captain’s safe. It was turned over to him without comment.

Oscar was bug-eyed when he took the translated message to Yancey. It read:

TRIPLEX-CAN YOU INVESTIGATE TROUBLE EQUATORIAL 
REGION VENUS-OPERATIONS.

Yancey glanced at it. “Tell the Executive Officer I want to see him, please. And don’t discuss this.”

“Aye aye, sir.”

Thurlow came in somewhat mystified. “What’s up, Captain?” Yancey handed him the flimsy. The lieutenant read it and whistled.

“Can you see any way to comply?”

“You know how much reaction potential we have, Captain. We could manage a circular orbit. We can’t land.”

“That’s the way I see it. I suppose well have to refuse-dammit, I’d rather take a whipping than send in a negate. Why did they pick on us? There must be half a dozen other ships better located.”

“I don’t think so, Captain. I think we are the only available ship. Have you studied the movements file?”

“Not especially. Why?”

“Well, the Thomas Paine should be the ship-but she’s grounded at New Aukland for emergency repairs.”

“I see. There ought to be a standing circum-Venus patrol -there’ll have to be, some day.” Yancey scratched his chin and looked unhappy.

“How about this, Captain-”

“Yes?”

“If we change course right now we could do it cheaply. Then we could bring her in for atmospheric braking with no further expenditure. Then ease her down with the jet.”

“Hmmm-how much margin?” ,

Lieutenant Thurlow got a far-away look in his eyes, while he approximated a fourth-order solution in his head. Captain Yancey joined him in the trance, his lips moving soundlessly.

“Practically none, Captain. After you’ve steadied in circum, you’d have to dive in and accept atmospheric terminal speed, or close to it, before you blasted.”


Yancey shook his head. “Into Venus? I’d as soon fly a broom on Walpurgis night. No, Mr. Thurlow, we’ll just have to call them up and confess.”

“Just a minute, Captain-they know we don’t have marines.”

“Of course.”

“Then they don’t expect us to deliver police action. What we can do is to send a jeep down.”

“I’ve been wondering when you would work around to that. All right, Mr. Thurlow-it’s yours. I hand it over reluctantly, but I can’t seem to help it. Never 
had a mission of your own, have you?” “No, sir.”

“You’re getting one young. Well, I¡¯ll ask Operations for the details while you’re preparing the course change.”

“Fine, sir! Does the Captain care to designate the cadet to go with me, or shall I pick him?”

“You’re not going with just one, Lieutenant-you’ll take all three. I want you to leave the jeep manned at all times and I want you to have an armed man at your elbow. The equatorial region of Venus-there is no telling what you’ll run into.”

“But that leaves you with no one but Peters, sir-not counting the surgeon, of course.”

“Mr. Peters and I will make out all right. Peters plays a very good hand of 
cribbage.”

Details from Operations were slight The M.R.S. Gary had radioed for help claiming to be imperilled by a native ‘uprising. She had given her position, 
then radio contact had I “en lost.

Yancey elected to use atmospheric braking in any case to save his reaction mass for future use-otherwise the Aes Triplex might have circled Venus until she could be scored. The ship’s company spent a crowded, tiring fifty-ix hours shut up in the control room while the ship dipped to the clouds of Venus and out again, a bit deeper and bit slower on each round trip. The ship grew painfully and the time spent in free space on each lap was hard enough to let her radiate what she picked up. Most of 10 ship was intolerably hot, for the control room and the alarm” were refrigerated at the expense of the other spaces. In space, there is no way to get rid of unwanted heat, permanently, except by radiation-and the kinetic energy difference between the original orbit and the circum-Venus orbit the Captain wanted had to be absorbed as heat, a piece at a time, then radiated into space.

But at the end of that time three hot, tired, but very excited, young men, with one a little older, were ready to climb into jeep no. 2.

Matt suddenly remembered something. “Oh, Doctor-Doctor Pickering!” The surgeon had spent a medically uneventful voyage writing a monograph entitled “Some Notes on Comparative Pathologies of the Inhabited Planets” and was now at loose ends. He had relieved Matt as “farmer.”

“Yes, Matt?”

“Those new tomato plants-they have to be cross-pollinated three days from now. You’ll do it for me? You won’t forget?”

“Can do!” ;

Captain Yancey guffawed. “Get your feet out of this furrows, Dodson. Forget the farm-we’ll look out for it. Now, gentlemen-” He looked around and caught their eyes. “Try to stay alive. I doubt very much if this mission warrants expending four Patrol officers.”

As they filed in Tex dug Matt in the ribs. “Did you hear ] that, kid-‘four Patrol officers.’ ”

“Yeah, but look what else he said.” :

Thurlow tucked his orders in his pouch. They were simple: proceed to latitude north two degrees seven, longitude two hundred twelve degrees zero; locate the Gary and investigate reported native uprising. Keep the peace.

The lieutenant settled himself and looked around at his crew. “Hold your 
hats, boys. Here we go!”

“THE NATIVES ARE FRIENDLY …”

WITH THUHLOW at the controls and Matt in the co-pilot’s seat the jeep started down. It started with an orbital speed of better than four miles per second, the speed of the AeS Triplex in her tight circular orbit around the equator of Venus. The lieutenant’s purpose was to kill this speed exactly over his destination, then balance the jeep down on its tail. A jet landing was necessary, as the jeep had no wings.

He needed to do this precisely, with the least use of fuel. He was helped somewhat by riding “with the current” from west to east; the 940-mile-per hour rotational speed of Venus at her equator was profit rather than loss. However, exact placement was another matter. A departure time was selected so that the entire descending curve would be on the day side of the planet in order to use the Sun as a reckoning point for placement in longitude; placement in latitude would have to depend on dead reckoning by careful choice of course.

The Sun is the only possible celestial body to use in air navigation at Venus, and even Sol is lost to the naked eye :is soon as one is inside the planet-wide blanket of cloud. Matt “shot the Sun” by keeping one eye glued on the eyepiece of an infra-red adapter which had been fitted to the ship’s octant, and was enabled thereby to coach his skipper from a prepared flight plan. It had not been considered practical to cut a cam for the automatic robot; too little was known about the atmospheric conditions to be expected.

When Matt informed his pilot that they were about thirty miles up, by radar, and approaching the proper longitude, is given by the infra-red image of the Sun, Thurlow brought I lie jeep down toward their target, ever lower and slower, and finally braked her with the jet to let her drop in a parabola distorted by air resistance.

They were enveloped in the ever-present Venerian clouds. The pilot’s port was utterly useless to them. Matt now larded watching the surface under them, using an infrared-sensitive “cloud piercer.”

Thurlow watched his radar altimeter, checking it against 1110 height-time plan for grounding.

“If we are going to dodge around any, it’s got to be now,” he said quietly to Matt. “What do you see?”

“Looks fairly smooth. Can’t tell much.”

Thurlow sneaked a look. “It’s not water, anyway-and it’s not forest. I guess we’ll chance it.”

Down they dropped, with Matt watching the ghostly infra-red-produced picture narrowly at the end, ready to tell Thurlow to give her full power if it were a meadow.

Thurlow eased off his jet-and cut it. There was a bump as if they had fallen a couple of feet. They were down, landed on Venus.

“Whew!” said the pilot and wiped sweat from his forehead. “I don’t want to have to try that every day.”

“Nice landing, Skipper!” called out Oscar.

“Yea boy!” agreed Tex.

“Thanks, fellows. Well, let’s get the stilts down.” He punched a stud on the control board. Like most rockets built for jet landings, the jeep was fitted with three stabilizing jacks, which came telescoping out of the craft’s sides and slanting downward. Hydraulic pressure forced them down until they touched something solid enough to hold them, whereupon the thrusting force was automatically cut off and they locked in place, propping the rocket on three sides, tripod fashion, and holding it erect.

Thurlow waited until three little green lights appeared under the stud controlling the stilts, then unclutched the jeep’s stabilizing gyros. The jeep held steady, he unstrapped. “All right, men. Let’s take a look. Matt and Tex, stay inside. Oscar, if you don’t mind my mentioning it, since it’s your home town, you should do the honors.”

“Right!” Oscar unstrapped and hurried to the lock. There was no need to check the air, since Venus is man-inhabited, and all of them, as members of the Patrol, had been immunized to the virulent Venerian fungi.

Thurlow crowded close behind him. Matt unstrapped and came down to sit by Tex in the passenger rest Oscar had left. The space around the lock was too limited in the little craft to make it worthwhile to do anything but wait.

Oscar stared out into the mist. “Well, how does it feel to be home?” asked Thurlow.

“Swell! What a beautiful, beautiful day!”

Thurlow smiled at Oscar’s back and said, “Let’s get the ladder down and see where we are.” The access door was more than fifty feet above the jeep’s fins, with no convenient loading elevator.

“Okay.” Oscar turned and squeezed past Thurlow. The jeep settled suddenly on the side away from the door, seemed to catch itself, then started to fall over with increasing speed.


“The gyros!” yelled Thurlow. “Matt, clutch the gyros!” He tried to scramble past Oscar; they fouled each other, then the two fell sprawling backwards as the jeep toppled over.

At the pilot’s yell Matt tried to comply-but he had been sprawled out, relaxing. He grabbed the sides of the rest, trying to force himself up and back to the control station, but the rest tilted backwards; he found himself “skinning the cat” out of it, and then was resting on the side of the craft, which was now horizontal.

Oscar and Thurlow were the first things he saw as he untangled himself. They were piled up on the inner wall of the ship, with Oscar mostly on top. Oscar started to get up-and stopped. “Eeeyowp!”

“You hurt, Oz?”

“My arm.”

“What’s the trouble?” This was Tex, who appeared from behind Matt, apparently untouched by the tumble.

Oscar helped himself up with his right arm, then tenderly felt his left forearm. “I don’t know. A sprain-or a break, maybe. Eeee-ah! It’s a break.”

“Are you sure?” Matt stepped forward. “Let me see it.”

“What’s the matter with the skipper?” asked Tex.

“Huh?” said Matt and Oscar together. Thurlow had not moved. Tex went to him and knelt over him.

“Looks like he’s knocked out cold.”

“Throw some water over him.”

“No, don’t do that Do-” The craft settled again. Oscar looked startled and said, “I think we had better get out of here.”

“Huh? We can’t,” protested Matt. “We’ve got to bring Mr. Thurlow to.”

Oscar did not answer him but started climbing up toward the open lock, now ten feet over their heads, swearing in Venerian as he struggled painfully and awkwardly, using one hand, from strut to brace. ” ‘S’matter with old Oz?” asked Tex. “Acts like he’s blown his top.”

“Let him go. We’ve got to take care of the skipper.” They knelt over Thurlow and gave him a quick, gentle’ examination. He seemed unhurt, but remained unconscious.; “Maybe he’s just had the breath knocked out of him,” suggested Matt. “His heart beat is strong and steady.”

“Look at this, Matt.” It was a lump on the back of the; lieutenant’s head. Matt felt it gently.

“Didn’t bash in his skull. He’s just had a wallop on his! noggin. He’ll be all right-I think.” I “I wish Doc Pickering was here.” ‘

“Yeah, and if fish had feet, they’d be mice. Quit worrying, Tex. Stop messing with him and give him a chance to come out of it naturally.”

Oscar stuck his head down into the open door. “Hey, you guys! Come up out of there-and fast!”

“What for?” asked Matt. “Anyhow, we can’t-we got to stay with the boss, and he’s still out cold.”

“Then carry him!”

“How? Piggy-back?”

“Any way-but do it! The ship is sinking!”

Tex opened his mouth, closed it again, and dived toward a small locker. Matt yelled. “Tex-get a line!”

“What do you think I’m doing? Ice-skating?” Tex reappeared with a coil of thin, strong line used in warping the little craft in to her mother ship. “Easy now-lift him as I slip it under his chest.”

“We ought to make a proper sling. We might hurt him.”

“No time for that!” urged Oscar from above them. “Hurry!”

Matt swarmed up to the door with the end of the line while Tex was still fastening the loop under the armpits of the unconscious man. A quick look around was enough to confirm Oscar’s prediction; the jeep lay on her side with her fins barely touching solid ground. The nose was lower than the tail and sinking in thin, yellow mud. The mud stretched away into the mist, like a flat field, its surface carpeted with a greenish-yellow fungus except for a small space adjacent to the ship where the ship, in failing, had splashed a gap in the surface.

Matt had no time to take the scene in; the mud was almost up to the door. “Ready down there?”

“Ready. Ill be right up.”

“Stay where you are and steady him. I think I can handle him.” Thurlow weighed one hundred forty pounds, Earth-side; his Venus weight was about one hundred and seventeen. Matt straddled the door and took a strain on the line.

“I can give you one hand, Matt,” Oscar said anxiously.

“Just stay out of my way.” With Matt pulling and Tex pushing and steadying from below, they got the limp lieutenant over the lip of the door and laid out on the rocket.

The craft lurched again as a tail fin slid off the bank. “Let’s get going, troops,” Matt urged. “Oz, can you get up. on that bank by yourself?”

“Sure.”

“Then do so. Well leave the line on the skipper and chuck the end to you and you can hang onto it with your good hand. That way, if he goes in the mud, we can haul him out.”

“Quit talking and get busy.” Oscar trotted the length of the craft, taking the end of the line with him. He made it to the bank by stepping from a tail fin.

Matt and Tex had no trouble carrying Thurlow as far as the fins, but the last few feet, from fins to bank, were awkward. They had to work close to the jet tube, still sizzling hot, and balance themselves in a trough formed by a fin and the converging side of the ship. They finally made it by letting Oscar take most of the lieutenant’s weight by hauling from the bank with his one good arm,

When they had gotten Thurlow laid out on the turf Matt jumped back aboard the jeep. Oscar shouted at him. “Hey, Matt-where do you think you’re going?”


“Back inside.”

“Don’t do it. Come back here.” Matt hesitated, Oscar added, “That’s an order, Matt.”

Matt answered, “I’ll only be a minute. We’ve got no weapons and no survival kits. Ill duck in and toss them out.”

“Don’t try it.” Matt stood still a moment, balanced between Oscar’s unquestioned seniority and the novelty of taking direct orders from his roommate. “Look at the door, Matt,” Oscar added. “You’d be trapped.”

Matt looked. The far end of the door was already in the mud and a steady stream was slopping into the ship, like molasses. As he looked the jeep rolled about a quarter turn, seeking a new stability. Matt made it to the bank in one flying leap.

He looked back and saw that the door was out of sight; a big bubble formed and plopped!-and then another. “Thanks, Oz!”

They stood and watched as the tail slid away from the bank. A cloud of steam came up and joined the mist as the jet tube hit the wetness; then the tail lifted and the jeep was almost vertical, upside down, for a few moments, with only her after end showing above the slime.

She sank slowly. Presently there was nothing but bubbles in the mud and a ragged break in the false lawn to show where it had been.

Mart’s chin was trembling. “I should have stayed at the controls. I could have caught her on her gyros.”

“Nonsense,” said Oscar. “He didn’t tell you to stay put.”

“I should have known better.”

“Quit beating yourself with it. The procedures say it’s the pilot’s business. If there was any doubt in his mind he should have left her stabilized on gyro until he inspected. Right now we got to take care of him, so cut out the postmortem.”

“Okay.” Matt knelt down and tried Thurlow’s pulse. It was still steady. “Nothing we can do for him at the moment but let him rest. Let’s see your arm.”

“Okay, but take it easy. Ouch!” j

“Sorry. I’m afraid I’ll have to hurt you; I’ve never actually set a bone before.”

“I have,” said Tex, “out on the range. Here you go, Oz old boy-lie down on your back. And relax-it’s going to hurt.”

“Okay. Only I thought that down in Texas you just shot “me.” Oscar managed to smile.

“Just for broken legs. Broken arms we usually save. Matt, you whip up a couple of splints. Got a knife?”

“Yep.”

“Good thing-I don’t have. Better take your blouse off first, Oscar.” With help Jensen complied; Tex placed a foot in Oscar’s left armpit, grasped his left hand in both of his, and gave a steady tug.

Oscar yelped. “I think that did it,” said Tex. “Matt, hurry up with those splints.”

“Coming.” Matt had found a clump of grass, twelve to fifteen feet tall and superficially similar to Earth-side bamboo. He cut about a dozen lengths as thick as his little finger and around fifteen inches long, brought them back and gave them to Tex. “Will these do?”

“I guess so. Here goes your blouse, Oscar.” Tex attempted to tear strips from the garment, then gave up. “Golly, that stuff is tough. Gimme your knife, Matt.”

Ten minutes later Oscar was adequately splinted and bandaged, with what remained of his blouse rigged as a sling. Tex took off his own blouse and sat down on it, for the turf was damp and the day was hot and muggy as only Venus can be. “That’s done,” he said, “and the skipper hasn’t blinked an eye. That leaves you holding the sack, Oz-when do we have lunch?”

“A fine question, that.” Oscar wrinkled his brows. “First, let’s see what we’ve got to work with. Turn out your pouches.”

Matt had his knife. Oscar’s pouch contained nothing of significance. Tex contributed his harmonica. Oscar looked worried. “Fellows, do you suppose I’m justified in looking through Mr. Thurlow’s pouch?”

“I think you ought to,” said Tex. “I’ve never seen anybody stay out so long.”

“I agree,” added Matt. “I think we had better admit he s got a concussion and assume that he’s going to be out of the running for a while. Go ahead, Oscar.”

Thurlow’s pouch contained some personal items that they skipped over quickly, the orders to the expedition, and a second knife-which had set in its handle a small, ornamental, magnetic compass. “Golly, I’m glad to find that item. I’ve been wondering how we would ever find our way back to this spot without natives to guide us.”

“Who wants to?” asked Tex. “It doesn’t seem to have any attractions for me.”

“The jeep is here.”

“And the Triplex is somewhere over your head. One is about as close as the other-to a pedestrian, meaning me.”

“Look, Tex-somehow we’ve got to get that firecracker out of the mud and put her back into commission. Otherwise we stay here for life.”

“Huh? I’d been depending on you, the old Venerian hand, to lead us back to civilization.”

“You don’t know what you’re saying. Maybe you can walk five or six thousand miles through swamps, and sink holes, and cane brake; I can’t. Just remember that there isn’t a permanent settlement, not even a plantation, more than five hundred miles from either one of the poles. You know Venus isn’t really explored-I know about as much about this neck of the woods as you know about Tibet.”

“I wonder what in the world the Gary was doing here?” Matt commented.

“Search me.”

“Say!” said Tex. “Maybe we can get home in the Gary.”

“Maybe we can, but we haven’t even found the Gary yet. Consequently if we find we can’t, just as soon as we carry out these orders-” Oscar held up the paper he had taken from Thurlow’s pouch, “-we’ve got to find some way to haul the jeep out of the sinkhole.”

“With our own, little pink patty-paws?” inquired Tex. “And what’s that about our orders? We don’t seem to be

in very good shape to go around quelling riots, putting down insurrection, and generally throwing our weight about. We haven’t even got a bean shooter, much less a bean* Come to think about it, if I had a bean, I’d eat it.”

“Oscar’s right,” agreed Matt, “We’re here; we’ve got a mission to perform; we’ve got to carry it out. That’s what Mr. Thurlow would say. After that comes trying to figure out a way to get back.”

Tex stood up. “I should have gone into the cattle business. Okay, Oscar- what next?”

“The first thing is for you and Matt to build a litter to carry the boss. We’ve got to find open water and I don’t want to split up the party.”

The same clump of cane grass that furnished splints provided material for a litter frame. Using both knives Matt and Tex cut two seven-foot lengths as thick as their upper arms. The stuff was light and, in that thickness, satisfactorily stiff. They slipped the poles through the sleeves of their blouses, then notched in cross pieces near each end. There was a wide gap in the middle which they wound about with the line salvaged from the jeep.

The result was a sloppy piece of work, but serviceable. Thurlow was still unconscious. His breathing was shallow but his pulse was still steady. They lifted him onto the stretcher and set out, with Oscar in the lead, compass in hand.

For about an hour they tramped through swampy land, splashing through mud, getting welts from the undergrowth, and pursued by clouds of insects. At last Matt called out, “Oz! We’ve just got to have some rest.”

Jensen turned around. “Okay-this is the end of the line, anyhow. Open water.”

They crowded forward and joined him. Beyond the cane brake, perfectly flat and calm under the fog, was a pond or lake. Its size was uncertain as the far shore was lost in the mist.

They tramped out a spot to put the litter down, then Oscar bent over the water and slapped it-Slap!-Slap!-Slap, slap, slap-Slap, slap!

“What do we do now?”

“We wait-and pray. Thank goodness the natives are usually friendly.”

“Do you think they can help us?”

“If they want to help I’ll lay you even money that they can snake the jeep out of that muck and polish it clean in three days.”

“You really think so? I knew the Venerians were friend but a job like that-”

“Don’t underrate the Little People. They don’t look like us but don’t let that throw you.”

Matt squatted down and started fanning the insects away ‘ from the unconscious officer. Presently Oscar slapped the | water again, in the same 
pattern.

“Looks like nobody’s home, Oz.”

“I hope you’re wrong, Tex. Most of Venus is supposed to be inhabited, but this might be a tabu spot.”

A triangular head, large as a collie’s, broke water about-ten feet from them. Tex jumped. The Venerian regarded ; him with shiny, curious eyes. Oscar stood up. “Greetings, thou whose mother was my mothers friend.” The Venerian turned her attention to Oscar. “May thy’ mother rest happily.” She surface-dived and disappeared al- I most without a ripple.

“That’s a relief,” said Oscar. “Of course they say this I planet has only one language but this is the first time I’ve put it to a test.”

“Why did it leave?”

“Gone back to report, probably. And don’t say ‘it,” Matt; say ‘she.’”

“It’s a difference that could only matter to another Venerian.”

“Well, it’s a bad habit, anyway.” Oscar squatted down and waited.

After a time made longer by insects, heat, and sultriness the water was broken in a dozen places at once. One of the amphibians climbed gracefully up on the bank and stood up. She came about to Mart’s shoulder. Oscar repeated the formal greeting. She looked him over. “My mother tells me that she knows thee not.”

“Doubtless being busy with important thoughts she has forgotten.”

“Perhaps. Let us go to my mother and let her smell thee.”

“Thou art gracious. Canst thou carry my sibling?” Oscar pointed to Thurlow. Being Ul, ‘she’ cannot close ‘her’ mouth to the waters.”

The Venerian agreed. She called one of her followers to her side and Oscar joined the consultation, illustrating how Thurlow’s mouth must be covered and his nose pinched together “-lest the waters return ‘her to ‘her’ mother’s mother’s mother.” The second native argued but agreed.

Tex was getting more and more round-eyed. “See here, Matt,” he said urgently in Basic, “surely you’re not figuring on going under water?”

“Unless you want to stay here until the insects eat you up, you’ve got to. Just take it easy, let them tow you, and try to keep your lungs full. When they dive you may have to stay under several minutes.”

“I don’t like it either,” said Matt.

“Shucks, I visited my first Venerian home when I was nine. They know you can’t swim the way they do. At least the ones around the colonies know it,” he admitted doubtfully.

“Maybe you had better impress them with it.”

“I’ll try.”

The leader cut him short with assurances. She gave a sharp command and six of her party placed themselves by the cadets, two to each man. Three others took over Thurlow, lifting him and sliding him into the water. One of them was the one who had been instructed.

Oscar called out, “Take it easy, fellows!” Matt felt little hands urging him into the lake. He took a deep breath and stepped off into the water.

The water closed over his head. It was blood warm and fresh. He opened his eyes, saw the surface, then his head broke water again. The little hands grasped his sides and

propelled him along, swimming strongly. He told himself to relax and 
stop fighting it.

After a while it even began to seem pleasant, once he was sure that the little creatures did not intend to pull him under. But he remembered Oscar’s advice and tried to watch out for a dive. Luckily, he saw the trio of which ‘ Tex was the middle go under; he gulped air just in time.

They went down and down, until his eardrums hurt, then forward. By the time they started up the pains in his chest were almost unbearable. He was fighting a reflex to open his mouth and breathe anything, even water, when they broke surface again.

There were three more of the lung-searing passages under water; when they broke water for the last time Mai saw that they were no longer outdoors.

The cave-if it was a cave-was about a hundred feet long and less than half as wide. In the center of it was the water entrance through which they had come.. It was lighted from above, rather dimly, from some sort of glowing, orange clusters.

Most of this he noticed after he pulled himself up the bank. His first
impression was a crowd of Venerians surrounding the pool. They were 
obviously curious about their guests and chattered among themselves. Matt 
picked up a few words of it and heard a reference to “-slime spawn-” which 
annoyed him.

The three with Thurlow broke water. Matt pulled away from his custodians 
and helped drag him onto dry land. He was frantic for a moment when he 
could not find the lieutenant’s pulse; then he located it. It was fast and fluttery.

Thurlow opened his eyes and looked at him. “Matt-the ; gyros…” !

“It’s all right, Lieutenant. Just take it easy.”

Oscar was standing over him. “How is he Matt?”

“Coming out of it, it looks like.”

“Maybe the immersion did him good.”

“It didn’t do me any good,” asserted Tex. “I swallowed about a gallon of water on that last one. Those little frogs are careless.”

“They’re more like scab,” said Matt

“They’re neither one,” Oscar cut in sharply. They’re people. Now,” he went on, “to try to set up some friendly relations.” He turned around, looking for the leader of the group.

The crowd separated, leaving an aisle to the pool. An amphibian, walking alone, but followed by three others, came slowly down this aisle toward them. Oscar faced her. “Greetings, most worthy mother of many.”

She looked him slowly up and down, then spoke, but not to him. “As I thought. Take them away.”

“No!” Oscar called back. “Don’t resist.”

Three minutes later they were herded into a small room that was almost completely dark, the gloom being broken only by a single sphere of the orange light. After depositing Thurlow on the floor the little people went away, closing the door after them by drawing across it a curtain. Tex looked around him, trying to adjust his eyes to the dim light, and said, “About as cozy as a grave. Oz, you should have let us put up a scrap. I’ll bet we could have licked the whole caboodle of ’em.”

“Don’t be silly, Tex. Suppose we had managed it-a possibility which I doubt, but suppose we had: how would you like to try to swim your way out of here?”

“I wouldn’t try it. We’d dig a tunnel up to the surface- we’ve got two knives.”

“Maybe you would; I wouldn’t attempt it. The Little People generally built 
their cities underneath lakes.”

“I hadn’t thought of that angle-say, that’s bad.” Tex studied the ceiling as if 
wondering when it would give way. “Look, Oz, I don’t, think we’re under the 
lake, or the walls of this dungeon would be damp.”

“Huh uh, they’re good at this sort of thing.”

“Well-okay, so they’ve got us. I’m not beefing, Oz-your intentions were good-but it sure looks like we should ‘a’ taken our chances in the jungle.”

“For Pete’s sake, Text-haven’t I got enough to worry about without you second-guessing me? If you’re not beefing, then stop beefing.”

There was a short silence, then Tex said, “Excuse me, Oscar. My big mouth.”

“Sorry. I shouldn’t have lost my temper. My arm hurts.”

“Oh. How’s it doing? Didn’t I set it right?”

“I think you did a good job on it, but it aches. And it’s beginning to itch, under the wrappings-makes me edgy. What are you doing, Matt?”

After checking on Thurlow’s condition-unchanged-Matt : had gone to the door and was investigating the closure. The curtain he found to be a thick, firm fabric of some ; sort, fastened around the edges. He was trying his knife on it when Oscar spoke to him.

“Nothing,” he answered. “This stuff won’t cut.”

“Then quit trying to and relax. We don’t want to get out of here-not yet, 
anyway.”

” ‘Speak for yourself, John.’ Why don’t we?”

“That’s what I’ve been trying to tell Tex. I won’t say this is a pleasure resort but we are about eight hundred per cent better off than we were a couple of hours ago, in every way.”

“How?”

“Have you got any idea of what it means to spend a night in the jungle here, with nothing at all to shut it out? When it gets dark and the slime worms come up and start : nibbling at your toes? Maybe we could live through a night of it, or even two nights, by being active and very, very lucky-but how about him?” Oscar gestured at Thurlow’s still form. “That’s why I made it our first business to find natives. We’re safe, even if we are locked up.”

Matt shivered. The slime worms have no teeth; instead they excrete an acid that dissolves what they wish to sample. They average about seven feet long. “You’ve sold me.”

Tex said, “I wish my Uncle Bodie was here.”

“So do I-he’d keep you shut up. I’m not anxious to get out of here until we’ve had something to eat and some sleep. Then maybe the boss will be back on his feet and will know what to do next.”

“What makes you think they’ll feed us?”

“I don’t know that they will, but I think they will. If they are anything like the 
same breed of cat as the natives around the polar colonies, they’ll feed us. 
To keep another creature shut up without feeding it is a degree of orneriness 
they just wouldn’t think of.” Oscar groped for words. “You have to know them 
to understand what I mean, but the Little People don’t have the cussedness 
in them that humans have.” , v .

Matt nodded. “I know that they are described as being a gentle, unwarlike 
race. I can’t imagine becoming really fond of them, but the spools I studied 
showed them as friendly.”

“That’s just race prejudice. A Venerian is easier to like than a man.”

“Oz, that’s not fair,” Tex protested. “Matt hasn’t got any race prejudice and 
neither have I. Take Lieutenant Peters-did it make any difference to us that 
he’s as black as the ace of spades?”

“That’s not the same thing-a Venerian is really different. I guess you have to be brought up with them, like I have, to take them for granted. But everything about them is different-for instance, like the fact that you never lay eyes on anything but females.”

“Say, how about that, Oz? Are there really male Venerians, or is it just a 
superstition?”

“Sure there are-the Little People are unquestionably bisexual. But I doubt if we’ll ever get a picture of one or a chance to examine one. The guys who claim to have seen one are mostly liars,” he added, “because their stories never add up.”

“Why do you suppose they are so touchy about it?”

“Why won’t a Hindu eat beef? There doesn’t have to be any reason for it. I go for the standard theory; the males are little and helpless and have to be protected.”

“I’m glad I’m not a Venerian,” Matt commented.

“Might not be such a bad life,” Tex asserted. “Me-I could use a little coddling right now.”

“Don’t go taking me for an authority on Venerians,” warned Oscar. “I was born here, but I wasn’t born here.” He patted the floor. “I know the polar region natives, the sort around my own home town-and that’s just about the only sort anybody knows.”


“You think that makes such a difference?” Matt wanted to know.

“I think we’re lucky to be able to talk with them at all-even if the accent 
does drive me wild. As for other differences-look, if the only humans you had 
ever met were Eskimos, how far would that get you in dealing with the mayor 
of a Mexican town? The local customs would all be different.”

“Then maybe they won’t feed us, after all,” Tex said mournfully.

But they were fed, and shortly. The curtain was thrust back, something was deposited on the floor, and the door was closed again.

There was a platter of some lumpish substance, color and texture indeterminate in the dim light, and an object about the size and shape of an ostrich egg. Oscar took the platter and sniffed at it, then took a small piece and tasted it. “It’s all right,” he announced. “Go ahead and eat.”

“What is it?” inquired Tex.

“It’s . . . well, never mind. Eat it. It won’t hurt you and it will keep you alive.”

“But what is it? I want to know what I’m eating.”

“Permit me to point out that you eat this or go hungry. I don’t care which. If 
I told you, your local prejudices would get in your way. Just pretend it’s 
garbage and learn to love it.”

“Aw, quit horsing around, Oz.”

But Oscar refused to be drawn into any further discussion. He ate rapidly until he had finished his share, glanced at Thurlow and said reluctantly, “I suppose we ought to leave some for him.”

Matt tried the stuff. “What’s it like?” asked Tex.

“Not bad. Reminds me of mashed soybeans. Salty-it makes me thirsty.”

“Help yourself,” suggested Oscar.

“Huh? Where? How?”

“The drinking bladder, of course.” Oscar handed him the “ostrich egg.” It was soft to Mart’s touch, despite its appearance. He held it, looking puzzled.

“Don’t know how to use it? Here-” Oscar took it, looked at the ends, and selected one, which he placed to his lips.

“There!” he said, wiping his lips. “Try it. Don’t squeeze too hard, or you’ll get it all over you.” Matt tried it and got a drink of water. It was a bit like using a nursing bottle.

“It’s a sort of a fish’s gizzard,” explained Oscar, “and spongy inside. Oh, don’t look squeamish, Tex! It’s sterile.”

Tex tried it gingerly, then gave in and tackled the food. After a while they all sat back, feeling considerably better. “Not bad,” admitted Tex, “but do you know what I’d like? A stack of steaming hotcakes, tender and golden brown-”

“Oh, shut up!” said Matt.

“-with melted butter and just swimming in maple syrup. Okay, I’ll shut up.” He unzipped his pouch and took out his harmonica.. “Well, what d’yuh know! Still dry.” He tried a couple of notes, then broke into a brilliant execution of The Cross-Eyed Pilot.

“Hey, stop that,” said Oscar. “This is a sort of a sick room, you know.”

Tex turned a troubled glance, at the patient. “You think he can hear it?”

Thurlow turned ‘and muttered in his sleep. Matt bent over him. “fai soif,” 
the lieutenant mumbled, then repeated distinctly, “fai soif.”

“What did he say?”

“1 don’t know.”

“It sounded like French to me. Either of you guys savvy French?” .

“Not me.”

“Nor me,” Matt concurred. “Why would he talk French?

I always thought he was North American; he spoke Basic like one.”

“Maybe he was French-Canadian.” Tex knelt beside hiifi and felt his forehead. “He seems sort of feverish. Maybe; we should give him some water.”

“Okay.” Oscar took the bladder and put it to Thuflow’s Korps; he squeezed 
gently so that a little welled out. The injured man worked his lips and then 
began to suck on it, without appearing to wake up. Presently he let it fall from 
his mouth. “There,” said Oscar, “maybe he’ll feel better now;

“Are we going to save that for him?” asked Tex, eyeing the remainder of 
the food.

“Go ahead and eat it, if you want it. It turns a few hours after it’s . . . well, 
it turns rancid.”

“I don’t believe I want any more,” Tex decided.

They had been sleeping an undetermined length of time when a noise awakened them-a voice, unquestionably human. “Hey!” it demanded, “where art thou taking me? I insist that thou take me to see thy mother!”

The noise was right at their door. “Quell thy tongue!” answered a native accent; the curtain was shoved aside and someone was pushed into the room before the door was again closed.

“Hello there!” called out Oscar.

The figure spun around. “Men …” he said, as if he could not believe it. “Men!” He began to sob.

“Hello, Stinky,” said Tex. “What are you doing here?”

It was Girard Burke.

There was considerable confusion for the next several moments. Burke alternated between tears and uncontrollable shaking. Matt, who had awakened last, had trouble sorting out what was going on from the fantasy he had been dreaming, and everybody talked at once, all asking questions and none of them answering.

“Quiet!” commanded Oscar. “Let’s get this straight. Burke as I understand it, you were in the Gary?”

“I’m skipper of the Gary.”

“Huh? Well, I’ll be switched. Come to think of it, we knew the captain of the Gary was named Burke, but it never occurred to anybody that it could be Stinky Burke. Who would be crazy enough to trust you with a crate, Stinky?”

“It’s my own ship-or, anyhow, my father’s. And I’ll thank you to call me 
Captain Burke, not ‘Stinky.’ ”

“Okay, Captain Stinky.”

“But how did he get here?” Matt wanted to know, still trying to catch up.

“He’s just explained that,” said Tex. “He’s the guy that yelled for help. But what beats me is that it should happen to be us-it’s like dealing out a bridge hand and getting thirteen spades.”

“Oh, I don’t know,” objected Oscar. “It’s a coincidence, but not a very startling one. He’s a spaceman, he hollers for help, and naturally the Patrol responds. It happened to be us. It’s about as likely, or as unlikely, as running across your piano teacher on the downtown streets of your home town.”

“I don’t have a piano teacher,” objected Tex.

“Skip it. Neither do I. Now I think-”

“Wait a minute,” broke in Burke, “do I gather that you were sent here, in 
answer to my message?”

“Certainly.”

“Well, thank heaven for that-even if you guys were stupid enough to stumble right into it. Now tell me-how many are there in the expedition and how are they equipped? This is going to be a tough nut to crack.”

“Huh? What are you talking about, Stinky? This is the expedition, right in 
front of you.”

“What? This is no time to joke. I sent for a regiment of marines, equipped 
for amphibious operations.”

“Maybe you did, but this is what you got-total. Lieutenant Thurlow is in command, but he got a crack on the skull so I’m temporarily filling in for him. 
You can talk to me-what’s the situation?”

Burke seemed dazed by the knowledge. He stared without speaking. Oscar went on, “Snap out of it, Stinky. Give us the data, so we can work out an operation plan.”

“Huh? Oh, it’s no use. It’s utterly hopeless.” “What’s so hopeless? The natives seem friendly, on the whole. Tell us what the difficulty was, so we can work it out with them.”

“Friendly!” Burke gave a bitter laugh. “They killed all of my men. They’re going to kill me. And they’ll kill you.”

PIE WITH A FORK

“OKAY,” agreed Oscar. “Now that that’s settled, I still want to know the score. Suppose you pull yourself together, -Burke, and tell us what happened?”

The merchant rocketship Gary, built by “Reactors Ltd.” and transferred to the family corporation “System Enterprises,” was a winged rocket especially fitted for point-to-point operations on Venus. The elder Mr. Burke had placed his son in command, backing him up with an experienced crew; the purpose of the trip was to investigate a tip concerning ores of the trans-uranic elements.

The tip had been good; the ores were present in abundance. Young Burke had then undertaken to negotiate exploitation rights with the local Venerian
authorities in order to hold the valuable claim against other exploiters who 
were sure to follow.

He had not been able to interest the local “mother of many” in his wishes; the swamp he wanted, she gave Burke to understand, was tabu. However, he was able to intrigue her into visiting the Gary. Once aboard the ship he again! tried to get her to change her mind. When she turned him’ down again he had refused to allow her to leave the rocket ship.

“You mean you kidnapped her,” said Matt.

“Nothing of the sort. She came aboard of her own free will. I just didn’t get 
up and open the door for her and went on arguing.”

“Oh, yeah?” commented Oscar. “How long did this go on?”

“Not very long.”

“Exactly how long? You might as well tell me; I’ll find out from the natives.”

“Oh, well! Overnight-what’s so criminal about that?”

“I don’t know just how criminal it is here. On Mars, as I learned in school and as I’m sure you did too, the punishment would be to stake you out on the desert, unprotected, for exactly the same length of time.”

“Hell’s hells-I didn’t hurt her. I’m not that silly. I wanted her co-operation.”

“So you twisted her arm to get it. You held her prisoner, in effect kidnapped her by enticement and held her for ransom. Okay-you kept her overnight. What happened when you let her go?”

“That’s what I’m trying to tell you. I never got a chance to turn her loose. I 
was going to, of course, but-”

“Sez you!”

“Don’t get sarcastic. The next morning they attacked the ship. There must have been thousands of the beasts.”

“So you turned her loose?”

“I was afraid to. I figured as long as we held her nothing much could happen to us. But I was wrong-they poured something on the door that ate it right away and they were in the ship before we could stop them. They killed my crew, just overran them-but we must have gotten at least twice as many of them, the brutes!”

“How come you’re still breathing?”

“I locked myself in the com room and sent out the call for help that got you here. They didn’t find me there until they went through the ship, compartment by compartment. I must have passed out from the fumes when they melted their way in-anyhow I woke up while they were bringing me here.”

“I see.” Oscar sat a while and thought, his knees pulled up under his chin. “This is your first time on Venus, Stinky?”

“Well, yes.”

“I thought so. It’s apparent that you didn’t know just how stubborn and difficult the Little People can be if you start pushing them around.”

Burke looked wry. “I know now. That’s why I distinctly called for a regiment of marines. I can’t imagine what the Department was thinking about, to send three cadets and a watch officer. Of all the brass-hatted stupidity! My old man will raise plenty of Cain about it when I get back.”

Tex gave a snort of disgust. “Did you think the Patrol was invented to keep a jughead like you from having to pay for his fun?”

“Why, you-”

“Quiet, Burke. And never mind the side remarks, Tex. This is an investigation, not a debate. You know the Patrol never sends marines until they’ve tried negotiation, Burke.”

“Sure, that’s why I specified marines. I wanted them to cut the red tape 
and get some action.”

“You were kidding yourself. And there’s no point in talking about what you’ll do when you get back. We don’t know yet that we can get back.”

“That’s true.” Burke chewed his lip and thought about it. “Look here, Jensen, you and I were never very chummy in school, but that’s unimportant now; we’re in the same boat and we’ve got to stick together. I’ve got a proposition. You know these frogs better than I do-”

“People, not ‘frogs.’”

“Okay, you know the natives. If you can manage to square this and get 
me out of here, I can cut you in on-”

“Careful there, Burke!”

“Don’t get on your high horse. Just hear me out, will you? Just listen. Do I have free speech or don’t I?”

“Let him talk, Oz,” advised Tex. “I like to watch his tonsils.” :

Oscar held his tongue, Burke went on, “I wasn’t going to suggest anything 
that would smirch your alabaster character. After all, you’re here to get me 
out of this; it’s my business if I want to offer a reward. Now this swamp we staked out is loaded with the stuff-trans-uranics, all the way from element 97 through 104. I don’t have to tell you what that means-101 and 103 for jet-lining alloys; 100 for cancer therapy-not to mention the catalyzing uses. Why, there’s millions in catalysts alone. I’m no hog; I’ll cut you all in … say for ten per cent apiece.”

“Is that all you have to say?”

“Not quite. If you can work it so that they’ll let us go and leave us alone while we jury-rig some repairs on the Gary so that we can get away with a load this trip, I’ll make it twenty per cent. You’ll like the Gary; she’s the sweetest job in the System. But if that won’t work and you can get me back in your ship it’s still worth ten per cent.”

“Are you through?”

“Yes.”

“I can answer for all of us. If I didn’t consider the source, I’d be insulted.”

“Fifteen per cent. There’s no need to get shirty; after all, it’s absolutely free 
just for doing what you were ordered down here to do anyhow.”

“Oz,” said Matt, “do we have to listen to this tripe?”

“Not any more of it,” decided Jensen. “He’s had his say. Burke, I’ll keep this factual and leave my personal opinions out of it. You can’t hire the Patrol, 
you know that. In-”

“I wasn’t offering to hire you, I was just trying to do you a favor, show my 
appreciation.”


“I’ve got the floor. In the second place, we haven’t got a ship, not at present.”

“Huh? What’s that?” Burke seemed startled. . Oscar gave him a quick resume of the fate of the jeep. Burke looked both amazed and terribly, bitterly disappointed. “Well, of all the gang of stupes! Just forget that offer; 
you haven’t got anything to sell,”

“I’ve already forgotten it and you had better be glad I have. Let me point 
out that we wouldn’t have been making a jet landing in a jungle if you hadn’t 
made an ass of yourself and then called for help. However, we hope to recover the jeep if I can manage to smooth out the trouble you’ve caused- and that’s no small job.”

“Well, of course if you can square things and get your ship back, the offer 
stands.”

“Stop talking about that clumsy piece of bribery! We can’t possibly promise you anything, even if we wanted to. We’ve got our mission to carry out.”

“Okay-your mission is to get me out of here. It comes to the same thing; I was just being generous.”

“Our mission isn’t anything of the sort. Our prime mission is what the prime mission of the Patrol always is: to keep the peace. Our orders read to investigate a reported native uprising-there isn’t any-and keep the peace.’ There’s not a word about springing Girard Burke from the local jail and giving him a free ride home.”

“But-”

“I’m not through. You know how the Patrol works as well as I do. It acts in 
remote places and a Patrol officer has to use his own judgment, being guided 
by the Tradition-”

“Well, if it’s precedent you’re looking for, you’ve got to-”

“Shut up! Precedent is merely the assumption that somebody else, in the past with less information, nevertheless knows better than die man on the spot. If you had gotten any use out of the time you spent as a cadet, you’d know that the Tradition is something very different. To follow a tradition means to do things in the same grand style as your predecessors; it does not mean to do the same things.”

“Okay, okay-you can skip the lecture.”

“I need some information from you. Had the Little People here ever seen a 
man before you came along?”

“Uh . . . why, they knew about men, a little anyhow. Of course there was 
Stevens.”

“Who was Stevens?”

“Mineralogist, working for my old man. He did the quickie survey that caused us to bring the Gary in. Oh, there was his pilot, too.”

“And those are the only men these natives have encountered, aside from 
the crew of the Gary?”

“So far as I know, yes.”

“Have they ever heard of the Patrol?”

“I doubt it-yes, they have, too. At least the boss mother seemed to know the native word for it.”

“Hmm . . . that rather surprises me. So far as I know the Patrol has never had any occasion to land this near the equator-and if it had I think Captain Yancey would have briefed us about it.” .

Burke shrugged. Oscar went on, “It affects what we’re to do. You’ve stirred up a mess, Burke. With the discovery of valuable minerals here, there will be more men coming along. The way you’ve started things off there could be more and more trouble, until there was nothing but guerrilla warfare between the natives and the men, everywhere you looked. It might even spread to the poles. It’s the Patrol’s business to stamp out such things before they get started and that’s what I construe our mission here to be. I’ve got to apologize and smooth it over and do my darnedest to correct a first bad impression. Can you give me any more information, anything at all, that might help me when I try it?”

“I don’t think so. But go ahead, soft-soap the old girl any way you can. You can even pretend to take me away from here under arrest if it will do any good. Say, that might be a good idea! I’ll be agreeable to it just as long as I get out.”

Oscar shook his head. “I might take you out under arrest, if she wants it that way. But as far as I can see you are a perfectly legal prisoner here for a crime under the local customs.”

“What in the world are you talking about?”

“I might point oat that what you’ve admitted doing is a crime anywhere. You can be tried for it on Terra if she wants it that way. But it really doesn’t matter to me, one-way or the other. It’s no business of the Patrol.”

“But you can’t leave me here!”

Oscar shrugged. “That’s the way I see it. Lieutenant Thurlow might snap out of it at any time, then you could take it up with him. As long as I’m in charge I’m not going to jeopardize the Patrol’s mission to try to help you get away with murder-and I do mean murder!”

“But-” Burke looked wildly around him. “Tex! Matt! Are you going to let him side up with those frog-people against a man?”

Matt gave him a stony-eyed stare. Tex said, “Button your lip, Stinky.”

Oscar added, “Yes, do. -And go to sleep. My arm hurts and I don’t want to be bothered any more with you tonight.”

The room quieted .down at once, even though none of them got to sleep quickly. Matt lay awake a long time, worrying out their predicament, wondering whether or not Oscar could convince the frog mother-he thought of her as such-of the innocence of their intentions, and repeatedly blaming himself for the disaster to the jeep. Presently! he fell into an exhausted sleep.

He was awakened by a moaning sound. It brought him wide-awake at once and to the lieutenant’s side. He found Tex already awake with him. “What is it?” he asked. “Is he worse?”

“He keeps trying to say something,” Tex answered.

Thurlow’s eyes came open and he looked up at Matt. ! “Maman,” he said 
querulously. “Maman-pourquoi fait-il nuit j ainsi?” ‘

Oscar joined them. “What’s he saying?”

“Sounds like he’s calling for his momma,” said Tex. “The rest is just gibberish.”

“Where did that bladder get to? We could give him a. drink.” It was found 
and again the patient drank, then seemed to drop at once to sleep. “You guys go back to sleep,” said Oscar. “I want to snag a word with the guard that brings us our next meal and try to get to see the big mother. He’s got to have some medical attention, somehow.”

“I’ll take the watch, Oz,” Matt offered.

“No, I can’t sleep very well anyhow. This darn thing! itches.” He held up his damaged arm.

“Well-all right.”

Matt was still awake when the curtain opened. Oscar had been sitting cross-legged at the door, waiting; as the native shoved inside a platter of food, he thrust his arm into the opening.

“Remove thy arm,” said the native emphatically.

“Attend thou me,” insisted Oscar. “I must have speech with thy mother.”

“Remove thy arm.”

“Thou wilt carry my message?”

“Remove thy arm!”

Oscar did so and the curtain was hurriedly secured. Matt said, “Doesn’t
look as if they intended to powwow with us, does it, Oz?”

“Keep your shirt on,” Oscar answered. “Breakfast. Wake up the others.”

It was the same dull fodder as before. “Split it five ways, Tex,” Oscar directed. “The lieutenant may snap out of it and be hungry.”

Burke looked at it and sniffed. “I’m sick of that stuff. I don’t want any.”

“Okay, split it four ways.” Tex nodded and did so.

They ate; presently Matt sat back, burped reflectively, and said, “You know, while I could use some orange juice and coffee, that stuffs not bad.”

“Did I ever tell you,” asked Tex, “about the time my Uncle Bodie got incarcerated in the jail at Juarez?-by mistake, of course.”

“Of course,” agreed Oscar. “What happened?”

“Well, they fed him nothing but Mexican jumping beans. He-”

“Didn’t they upset him?”

“Not a bit. He ate as many as he could and a week later he jumped over a twelve foot wall and bounced home.”

“Having met your Uncle Bodie, I can well believe it. What do you suppose he would do under these circumstances?”

“Obvious. He’d make love to the old girl and inside of three days he’d be head man around here.”

“I think I’ll have some breakfast after all^” announced Burke.

“You’ll leave that chow for the lieutenant,” Oscar said firmly. “You had 
your chance.”

“You’ve got no authority over me.”

“There are two reasons why you are wrong.”

“So? What are they?”

“Matt and Tex.”

Tex stood up. “Shall I clip him, boss?”

“Not yet.”

“Oh, shucks!”

“Anyhow,” objected Matt. “I get first crack-I’m senior to you, Tex.”

“Pulling rank on me, eh? Why you unspeakable rat!’

“Mister Rat, if you please, Yep, in this instance I claim rank.”

“But this is a social occasion.”

“Shut up, you guys,” instructed Oscar. “Neither of you is to clip him unless he gets to sniffing around that food dish.”

There was a noise “at the door, the curtain was pushed back and a native 
announced, “My mother will see thee. Come.”

“Myself alone, or me and my sisters?”

“All of you. Come.”

However, when Burke attempted to pass through the door two of the little creatures pushed him back inside. They continued, to restrain him while four 
others picked up Lieutenant Thurlow and carried him outside. The numerous 
party set out down the passageway.

“I wish they would light these rabbit nests,” Tex complained, after stumbling.

“It’s light enough to their eyes,” Oscar answered.

“Natch,” agreed Tex, “but a fat lot of good that does me. My eyes don’t see infra-red.” ;

“Then pick up your big feet.”

They were taken to another large room, not the entrance hall, for it contained no pool of water. An amphibian, the same who had viewed them and ordered them taken away on their arrival, sat on a raised platform at the far end of the room. Only Oscar recognized her as such; to the others she looked like the rest.

Oscar quickened his pace and drew ahead of his escort “Greetings, thou old and wise mother of many.”

She sat up and looked at him steadily. The room was very quiet. On every side the little folk waited, looking first from the earthlings to their chief executive, then back again. Matt felt that somehow the nature of her answer would show them their fate.

“Greetings.” She had chucked the ball back to Oscar by refusing to assign him any title at all, good or bad. “Thou sought speech with me. Thou may speak.”

“What manner of city is thine? Have I, perhaps, journeyed so far that manners are no longer observed?” The Venerian word meant much more than “manners”; it referred to the entire obligatory code of custom by which the older and stronger looked out for the weaker and younger.

The entire audience stirred. Matt wondered if Oscar had overplayed his hand. The expression of the leader changed but Matt had no way of reading it. “My city and my daughters live ever by custom-” She used a more inclusive term, embracing tabus and other required acts, as well as the law of assistance, “-and I have never before heard it suggested that we fail in performance.”

“I hear thee, gracious mother of many, but thy words confuse me. We come, my ‘sisters’ and I, seeking shelter and help for ourselves and our ‘mother’ who is gravely ill. I myself am injured and am unable to protect my younger ‘sisters.’ What have we received in thy house? Thou hast deprived us of our freedom; our ‘mother’ lies unattended and failing. Indeed we have not even been granted the common decency of personal rooms in which to eat.”

A noise rose from the spectators which Matt correctly interpreted as the equivalent of a shocked gasp. Oscar had deliberately used the offensive word “eat,” instead of talking around it. Matt was sure now that Oscar had lost his judgment.

If so, Oscar went on to confirm it. “Are we fish, that such should be done to us? Or are the customs such among thy daughters?”

“We follow the customs,” she said shortly, and even Matt and Tex could interpret the anger in her voice. “It was my understanding that thy breed had no decencies. It will be corrected.” She spoke sharply in an aside to one of her staff; the little creature trotted away. “As to thy freedom, what I had done was lawful for it was to protect my daughters.”

“To protect thy daughters? From what? From my ailing ‘mother? Or from my injured arm?”

“Thy sister who knows no customs has forfeited thy freedom.”

“I hear thy words, wise mother, but I understand them not.”

The amphibian seemed nonpleased. She inquired specifically about Burke, naming him by his terrestrial tag, calling it “Captain-Burke,” as one word. Oscar assured her that ; Burke was no “daughter” of Oscar’s “mother,” nor of Oscar’s “mother’s mother.” :

The matriarch considered this. “If we return you to the upper waters will you leave us?”

“What of my ‘mother’?” asked Oscar. “Wouldst thou, cast ‘her’ forth thus ailing, to die and to be destroyed by ‘ the creatures of the slime?” On this occasion he carefully avoided the Venerian expression for “to be eaten.”

The mother-of-many had Thurlow carried up to the dais? on which she sat. Several of the little folk gathered around; him and examined him, speaking to each other in high,| lisping whispers. Presently the matriarch herself joined the consultation, then spoke again. “Thy mother sleeps.”

“It is a sickly sleep. ‘Her head was injured by a blow.” Oscar joined the group and showed them the lump on the back of Thurlow’s head. They compared it with Oscar’s own head, running gentle, inquisitive little hands through his blond hair. There was more lisping chatter; Matt found himself unable to follow even what he could hear; most of the words were strange.

“My learned sisters tell me that they dare not take thy mother’s head apart for fear that they could not get it back together,” announced the mother-of-many.

“Well, that’s a relief Tex said out of the corner of his mouth.

“Old Oz wouldn’t let them anyhow,” Matt whispered.

The leader gave instructions and four of her “daughters” picked up the unconscious officer and started carrying him out of the room. Tex called out, 
“Hey, Oz-do you think that’s safe?”

“It’s all right,” Oscar called back, then explained to the matriarch, “My ‘sister’ feared for the safety of our ‘mother.’ ”

The creature made a gesture that reminded Matt suddenly of his great-aunt Dora-she positively sniffed. “Tell her that her nose need not twitch!”

“She says not to get in an uproar, Tex.”

“I heard her. Okay, you’re the boss,” Tex answered, and then muttered, 
“My nose, indeed!”

When Thurlow had been removed the leader turned toward them again. “May thy dreams be of daughters.”

“May thy dreams be as pleasant, gracious mother.”

“We will speak again.” She gathered herself up to a lordly four feet and left the chamber. When she was gone the group of escorts conducted the cadets out of the council hall but by a different passageway than that from which they had come. The group stopped presently at another doorway. The guide in charge wished them farewell with the same formula as the matriarch. A curtain was drawn but it was not fastened, a point that Matt immediately checked. He turned to Oscar.

“I’ve got to hand it to you, Oz. Anytime you get tired of the Patrol and don’t 
want to run for prime minister of the System, I can book you for a swell job, 
selling snow to Eskimos. For you it would be a cinch.”

“Mart’s not just fanning the air,” agreed Tex. “Oscar, you were wonderful. 
Uncle Bodie couldn’t have handled the old gal any slicker.”

“That’s high praise, Tex. I’ll admit to being relieved. If the Little People
weren’t so downright decent it wouldn’t have worked.”

The living room of their apartment-there were two rooms -was about the size of the room they had been in, but was more comfortable. There was a softly padded, wide couch running around the wall. In the center of the room was a pool of water, black under the dim light. “Oz, do you suppose that bathtub connects with the outside?” Tex wanted to know.

“They almost always do.”

Matt became interested. “Maybe we could swim out.”

“Go ahead and try it. Don’t get lost in the dark and remember not to swim under water more than half the distance you can hold your breath.” Oscar smiled cynically.

“I see your point.”

“Anyhow, we want to stay until we’ve gotten over the last hurdle.”

Tex wandered on into the second room. “Hey, Oz-come look at this.”

Matt and Oscar joined him. There were rows of little closets down each side, ten in all, each with its own curtain. “Oh, yes, our eating booths.”

“That reminds me,” said Matt. “I thought you had wrecked everything, Oz, 
when you started talking about eating. But you pulled out of it beautifully.”

“I didn’t pull out of it; I did it on purpose.”

“Why?”

“It was a squeeze play. I had to shock them with the idea that they were indecent, or looked that way to us. It established us as ‘people,’ from their point of view. After that it was easy.” Oscar went on. “Now that we are accepted as people, we’ve got to be awfully careful not to undo it. I don’t like to eat in one of these dark little cubbyholes any better than you do, but we don’t dare take a chance of being seen eating-you don’t dare even fail to draw the curtain, as one of them might come popping in. Remember, eating is the only sort of privacy they observe.”

“I get you,” agreed Tex. “Pie with a fork.”

“Huh?”

“Never mind-it’s a painful memory. But Matt and I won’t slip.”

P.R.S. ASTARTE

OSCAB WAS SUMMONED again the next day into the presence of the city’s chief magistrate and started laying the foundation, in a leisurely, indirect fashion, for formal diplomatic relations in the future. He began by getting her story of the trouble with the Gary and its skipper. It was much as Burke had admitted it to be, although from a different viewpoint.

Oscar had inquired casually as to why the swamp Burke wanted was tabu. He was worried that he might be invading religious matters but he felt that he 
needed to know -it was a dead certainty that others would be along, in due course, to attempt to exploit the trans-uranic” ores; if the Patrol was to prevent further breaches of the peace the matter must be investigated.

The matriarch answered without hesitation; the swamp was tabu because 
the ore muds were poisonous.

Oscar felt the relief of a man who has just been told that it will not be necessary to lose a leg, after all. The ores were understandably poisonous; it was a matter that the Patrol could undoubtedly negotiate-conditional or practical tabus had been overcome many times with natives. He tabled the matter, as something to be taken up at a later time by the appropriate experts.

In a later interview he sounded her out on the. subject of the Patrol. She had heard of it, in a fashion, apparently -she used the native word given by the polar-region natives to all colonial government, a word meaning “guardians of the customs” or “keepers of the law.”

The native meaning was quite useful to Oscar, for he found it impossible to get over to her the idea that the , Patrol was intended to prevent war-“war” was a concept she had never heard of!

But her conservative mind was naturally prejudiced in favor of any organization tagged as “guardians of the customs.” Oscar approached it from 
that viewpoint. He explained to her that more of his own kind would be arriving; therefore the “great mother of many” of his own people had sent 
them as messengers to propose that a “mother” from Oscar’s people be sent 
to aid her in avoiding friction.

She was receptive to the idea as it fitted her own experience and concepts. The groups of natives near the polar colonies were in the habit of handling their foreign affairs by exchanging “mothers”-actually judges-who ruled on matters arising out of differences in custom; Oscar had presented the matter in the same terms.

He had thus laid the groundwork for a consulate, extraterritorial courts, and an Earthman police force; the mission, as he saw it, was complete- provided he could get back to base and report before other prospectors, mining engineers, and boomers of all sorts started showing up.

Only then had he spoken to her of getting back-to have her suggest that he remain permanently as “mother” for his people. (The root word translated 
as “mother” is used for every position of authority in the Venerian speech; the 
modifiers and the context give the word its current meaning-)

The proposal left Oscar temporarily speechless. “I didn’t know what to say next,” he confessed later. “From her point of view she was honoring me. If I turned it down, it might offend her and crab the whole deal.”

“Well, how did you talk your way out of it?” Tex wanted to know. “Or did you?” |

“I think so. I explained as diplomatically as possible that I was too young for the honor and that I was acting as ‘mother only because Thurlow was laid up and that, in any case, my ‘great mother of many had other work which I was obliged, by custom, to carry out.”

“I guess that held her.”

“I think she just filed it away as a point to negotiate. The Little People are great negotiators; you’ll have to come to New Auckland some time and listen to the proceedings of a mixed court.”

“Keep to the point,” suggested Matt

“That is to the point-they don’t fight; they just argue until somebody gives in. Anyhow, I told her that we had to get Thurlow back where he could get surgical attention. She understood that all right and expressed regret for the tenth time that her own little girls couldn’t do the trick. But she had a suggestion for curing the boss.”

“Yes?” demanded Matt. “What was it?” Matt had appointed himself Thurlow’s caretaker, working with the amphibian healers who now had him as a professional responsibility. He had taught them to take his pulse and to 
watch his respiration; now there was always one of the gentle creatures x 
squatting on the end of Thurlow’s couch, watching him with grave eyes. They 
seemed genuinely distressed at not being able to help him; the lieutenant 
had remained in a semi-coma, coming out of it enough occasionally that it
had been possible to feed him and give him water, but never saying anything 
that the cadets could understand. Matt found that the little nurses were quite 
unsqueamish about feeding a helpless person; they accepted offensive 
necessities with the same gallantry as a human nurse.

But Thurlow, while he did not die, did not get any better.

“The old girl’s suggestion was sort of radical, but logical. She suggested that her healers take Burke’s head apart first, to see how it was made. Then they could operate on the boss and fix him.”

“What?” said Matt.

Tex was having trouble controlling himself. He laughed so hard he strangled, then got hiccoughs and had to be pounded on the back. “Oh, boy!” he finally exploded, tears streaming down his cheeks, “this is wonderful. I can’t wait to see Stinky’s face. You haven’t told him, have you?”

“No.”

“Then let me. Dibs on the job.”

“I don’t think we ought to tell him,” objected Oscar. “Why kick him when he’s down?”

“Oh, don’t be so noble! It won’t hurt any to let him know that his social rating is ‘guinea pig.’ ”

“She really hates him, doesn’t she?” Matt commented.

“Why shouldn’t she?” Tex answered. “A dozen or more of her people dead-do you expect her to regard it as a schoolboy prank?”

“You’ve both got her wrong,” Oscar objected. “She doesn’t hate him.”

“Huh?”

“Could you hate a dog? Or a cat-”

“Sure could,” said Tex. “There was an old tomcat we had once-”

“Pipe down and let me finish. Conceding your, point, you can hate, a cat only by placing it on your own social level. She doesn’t regard Burke as … well, as people at all, because he doesn’t follow the customs. We’re ‘people* to her, because we do, even though we look like him. But Burke in her mind is just a dangerous animal, like a wolf or a shark, to be penned up or destroyed-but not hated or punished.

“Anyhow,” he went on, “I told her it wouldn’t do, because we had an esoteric and unexplainable but unbreakable religious tabu that interfered-that blocked her off from pressing the point. But I told her we’d like to use Burke’s ship to get the lieutenant back. She gave it to me. We go out tomorrow to look at it.”

“Well, for crying out loud-why didn’t you say so, instead of giving all this build-up?”


They had made much the same underwater trip as on entering the city, to be followed by a longish swim and a short trip overland. The city mother herself honored them with her company.

The Gary was everything Burke had claimed for her, modern, atomic- powered, expensively outfitted and beautiful, with sharp wings as graceful as a swallow’s.

She was also a hopeless wreck.

Her hull was intact except the ruined door, which appeared to have been 
subjected to great heat, or an incredible corrosive, or both. Matt wondered 
how it had been done and noted it as still another indication that the 
Venerians were not the frog-seal-beaver creatures his Earth-side prejudices 
had led him to think.,

The inside of the ship had looked fairly well, too, until they started checking over the controls. In searching the ship the amphibians, to whom even a common door latch was a puzzle, had simply burned their way through impediments-including the access hatch to the ship’s autopilot and gyro compartment. The circuits of the ship’s nervous system were a mass of fused and melted junk.

Nevertheless they spent three hours convincing themselves that it would take the resources of a dockyard to make the ship fly again.. They gave up reluctantly at last and started back, their spirits drooping.

Oscar had at once taken up with the city mother the project of recovering the jeep. He had not mentioned it before as the Gary seemed the better bet. 
Language difficulties would have hampered him considerably-their hostesses 
had no word for “vehicle,” much less a word for “rocket ship”-but the Gary 
gave him something to point to wherewith to explain.

When she understood what he was driving at she gave orders which caused the party to swim to the point where the cadets had first been picked up. The cadets made sure of the spot by locating the abandoned litter and from there Oscar had led them back to the sinkhole that was the grave of the jeep. There he acted out what had happened, showing her the scar in the bank where the jeep had balanced and pacing off on the bank the dimensions of the ship.

The mother-of-many discussed the problem with her immediate staff while the cadets waited, ignored rather than excluded. Then she abruptly gave the order to leave; it was getting on in the late afternoon and even the Venerians do not voluntarily remain out in the jungle overnight.

That had ended the matter for several days. Oscar’s attempts to find out what, if anything, was being done about the jeep were brushed off as one might snub a persistent brat. It left them with nothing to do. Tex played his harmonica until threatened with a ducking in the room’s center pool. Oscar sat around, nursing his arm and brooding. Matt spent much of his time watching over Thurlow and became well acquainted with the nurses who never left him, especially one bright-eyed cheerful little thing who called herself Th’wing.”

Th’wing changed his viewpoint about Venerians. At first he regarded her 
much as he might a good and faithful, and unusually intelligent dog. By 
degrees he began to think of her as a friend, an interesting companion-and 
as “people.” He had tried to tell her about himself and his own kind and his 
own world. She had listened with alert interest, but without ever taking her 
eyes off Thurlow.

Matt was forced willy-nilly into the concepts of astronomy-and came up 
against a complete block. To Th’wing there was the world of water and swamp and occasional dry land; above that was the endless cloud. She knew the Sun, for her eyes, perceptive to infrared, could see it, even though Matt could not, but she thought of it as a disc , of light and warmth, not as a star.

As for other stars, none of her people had ever seen them and the idea did not exist. The notion of another planet was not ridiculous; it was simply incomprehensible- Matt got nowhere.

He told Oscar about it. “Well, what did you expect?” Oscar had wanted to know. “All the natives are like that. They’re polite but they think you are talking about your religion.”

“The natives around the colonies, too?”

“Same deal.”

“But they’ve seen rocket ships, some of ’em, anyhow. Where do they think 
we come from? They must know we haven’t been here always.”

“Sure they know that-but the ones at South Pole think we came originally 
from North Pole and the ones around:

P.R.S. ASTARTE

North Pole are sure we came from South Pole-and it’s no use trying to tell them anything different.”

The difficulty was not one-sided. Th’wing was continually using words and concepts which Matt could not understand and which could not be straightened out even with Oscar’s help. He began to get hazily the idea that Th’wing was the sophisticated one and that he, Matt, was the ignorant outlander. “Sometimes I think,” he told Tex, “that Th’wing thinks that I am an idiot studying hard to become a moron-but flunking the course.”

“Well, don’t let it throw you, kid. You’ll be a moron, yet, if you just keep trying.”

On the morning fifteen Venus days after their arrival the mother of the city sent for them and had them taken to the site of the jeep. They stood on the same bank where they had climbed ashore from the sinking ship, but the scene had hanged. A great hole stretched out at their feet; in it the jeep lay, three-quarters exposed. A swarm of Venerians crawled over it and around it like workmen in a dockyard.

The amphibians had begun by adding something to the thin yellow mud of the sinkhole. Oscar had tried to get the formula for the additive, but even his command of the language was useless-the words were strange. Whatever it was, the effect was to turn the almost-liquid mud into a thick gel which became more and more stiff the longer it was exposed to air. The little folk had carved it away from the top as fast as it consolidated;, the jeep was now surrounded by the sheer walls of a caisson-like pit. A ramp led up on the shoreward side and a stream of the apparently tireless little creatures trotted up it, bearing more jelled blocks of mud.

The cadets had climbed down into the pit to watch, talking in high spirits about the prospects of putting the jeep back into commission and jetting out again, until the Venerian in charge of the work had urged them emphatically to go up out of the pit and stay out of the way. They joined the city mother and waited.

“Ask her how she expects to get it up out of there, Oz,” Tex suggested. Oscar did so.

“Tell thy impatient daughter to chase her fish and I will chase mine.”

“No need for her to be rude about it,” Tex complained. “What did she say?” inquired the mother-of-many.

” ‘She’ thanks thee for the lesson,” Oscar prevaricated. The Little People worked rapidly. It was evident that the ship would be entirely free before the day was far advanced-and clean as well; the outside shone now and a steady procession of them had been pouring in and out of the door of the ship, bearing cakes of jellied mud. In the last hour the routine had changed; the little workers came out bearing distended bladders. The clean-up squad was at work.

Oscar watched them approvingly. “I told you they would lick it clean.” :’

Matt looked thoughtful. “I’m worried, Oz, about the possibility that they will mess with something on the control board and get into trouble.”

“Why? The leads are all sealed away. They can’t hurt anything. You locked the board when you left it, didn’t you?”

“Yes, of course.”‘

“Anyhow, they can’t fire the jet when she’s in that attitude even if you hadn’t.”

“That’s true. Still, I’m worried.”

“Well, let’s take a look, then. I want to talk to the fore- ‘. man in any case. I’ve got an idea.”

“What idea?” asked Tex.

“Maybe they can get her upright in the pit. It seems to me we could take off from there and never have to drag j her out. Might save several days.” They went down th ramp and located the Venerian in charge, then Matt and Tex went inside the ship while Oscar stayed to talk over his idea.

It was hard to believe that the pilot room had lately; been choked with filthy, yellow mud. A few amphibians’ were still working in the after end of the room; elsewhere the compartment was clean.

Matt climbed to the pilot’s seat and started inspecting. He noticed first that the sponge-rubber eyeguards for the infrared viewer were missing. This was not important, but he wondered what had happened to them-did the little folk have the vice of souvenir snitching? He filed away the suspicion, and attempted a dry run on the controls, without firing the jet.

Nothing operated-nothing at all.

He looked the board over more carefully. To a casual inspection it was clean, right, in perfect order, but he now perceived many little pits and specks. He dug at one with a fingernail, something came away. He worked at it a bit more and produced a tiny hole into the interior of the control board. It gave him a sick feeling at the pit of his stomach. “Say, Tex-come here a minute. I’ve got something.”

“You think you’ve got something,” Tex answered in muffled tones. “Wait till 
you’ve seen this.”

He found Tex with a wrench in his hand and a cover plate off the gyro compartment. “After what happened to the Gary I decided to check this first. 
Did you ever see such a mess?” ~~

The mud had gotten in. The gyros, although shut down, were of course still spinning when the ship had gone into the sink-hole and normally would have coasted for days; they should still have been spinning when Tex removed the cover. Instead they had ground to a stop against the mud- burned to a stop.

“We had better call Oscar,” Matt said dully.

With Oscar’s help they surveyed the mess. Every instrument, every piece of electronic equipment had been invaded. Non-metallic materials were missing completely; thin metal sheets such as instrument cases were riddled with pinholes. “I can’t understand what did it,” Oscar protested, almost in tears.

Matt asked the Venerian in charge of the work. She did not understand him at first; he pointed out the pinholes, whereupon she- took a lump of the jelled mud and mash< it flat. With a slender finger she carefully separated o what seemed to be a piece of white string, a couple inches long. “This is the source of thy troubles.”

“Know what it is, Oz?”

“Some sort of worm. I don’t recognize it. But I wouldn’t expect to; the Polar Regions are nothing like this, thank goodness.” ”

“I suppose we might as well call off the working party.

“Let’s don’t jump the gun. There might be some way to salvage the mess. We’ve got to.”

“Not a chance. The gyros alone are enough. You can’t raise ship in a wingless job without gyros. It’s impossible.”

“Maybe we could clean them up and get them to working.”

“Maybe you could-I can’t. The mud got to the bearings, Oz.”

Jensen agreed regretfully. The gyros, the finest precision equipment in a ship, were no better than their bearings. Even an instrument maker in a properly equipped shop would have thrown up his hands at gyros abused as these had been.

“We’ve at least got to salvage some electronic equipment and jury-rig some sort .of a sending set. We’ve got to get a. message through.”

“You’ve seen it. What do you think?”

“Well-we’ll pick out the stuff that seems in the best shape and take it back 
with us. They’ll help us with the stuff.”

“What sort of shape will it be in after an hour or so in the water? No, Oz, 
the thing to do is to lock up the door, once the last of the filth is out and come 
back and work here.”

“Okay, well do that.” Oscar called to Tex, who was still snooping around. 
He arrived swearing.

“What now, Tex?” Oscar asked wearily.

“I thought maybe we could at least take some civilized food back with us, but those confounded worms bored into the cans. Every ration in the ship is spoiled.”

“Is that all?”

” ‘Is that all? Is that all the man says! What do you want? Flood, pestilence, and earthquakes?”

But it was not all-further inspection showed another thing which would have dismayed them had they not already been as low in spirit as they could get. The jeep’s jet ran on liquid hydrogen and liquid oxygen. The fuel tanks, insulated and protected from direct radiation, could retain fuel for long periods, but the warm mud had reached them and heated them; the expanding gases had bled out through relief valves. The jeep was out of fuel.

Oscar looked this situation over stonily. “I wish the Gary had been chemically powered,” he finally commented.

“What of it?” Matt answered. “We couldn’t raise ship if we had all the juice 
this side of Jupiter.”

The mother-of-many had to be shown before she was convinced that there was anything wrong with the ship. Even then, she seemed only half convinced and somehow vexed with the- cadets for being unsatisfied with the gift of their ship back. Oscar spent much of the return journey trying to repair his political fences with her.

Oscar ate no dinner that night. Even Tex only picked at his food and did not touch his harmonica afterwards. Matt spent the evening silently sitting out a watch in Thurlow’s room.

The mother-of-many sent for all three of them the next morning. After formal exchange of greetings she commenced, “Little mother, is it true that thy Gary is indeed dead, like the other Gary?”

“It is true, gracious mother.”

“Is it true that without a Gary thou canst not find thy way back to thine own people?”

“It is true, wise mother of many; the jungle would destroy us.”

She stopped and gestured to one of her court. The “daughter” trotted to her with a bundle half as big as the bearer. The city mother took it and invited, or commanded, the cadets to- join her on the dais. She commenced unwrapping. The object inside seemed to have more bandages than a mummy. At long last she had it uncovered and held out to them. “Is this thine?”

It was a large book. On the cover, in large ornate letters,

was:

LOG

of the

Astarte

Tex looked at it and said, “Great leaping balk of fire! It can’t be.”

Matt stared and whispered, “It must be. The lost first expedition. They didn’t fad-they got here.”

Oscar stared and said nothing at all until the city mother repeated her question impatiently. “Is this thine?”

“Huh? What? Oh, sure! Wise and gracious mother, this thing belonged to 
my ‘mother’s mother’s mother.’ We are her ‘daughters’”

“Then it is thine.”

Oscar took it from, her and gingerly opened the brittle pages. They stared at the original entry for “raise ship”-but most especially at the year entry in the date column-“1971.” “Holy Moses!” breathed Tex. “Look at that-just look at it. More than a hundred years ago.”

They thumbed through it. There was page after page of one line entries of “free fall, position according to plan” which they skipped over rapidly, except for one: “Christmas day. Carols were sung after the mid-day meal.”

It was the entries after grounding they were after. They were forced to skim them as the mother-of-many was beginning to show impatience: “climate no worse than the most extreme terrestrial tropics in the rainy season, the dominant life form seems to be a large amphibian. This planet is definitely possible of colonization.”

“-the amphibians have considerable intelligence and seem to talk with each other. They are friendly and an attempt is being made to bridge the semantic gap.”

“Margraves has contracted an infection, apparently fungoid, which is unpleasantly reminiscent of leprosy. The surgeon is treating it experimentally.”

“-after the funeral muster Hargraves’ room was sterilized at 0-400.”

The handwriting changed shortly thereafter. The city mother was growing so obviously discontented that they glanced only at the last two entries: “Johnson 
continues to fail, but the natives are very helpful-”

“-my left hand is now useless. I have made up my mind to decommission the ship and take my chances in the hands of the natives. I shall take this log with me and add to it, if possible.”

The handwriting was firm and clear; it was their own eyes that blurred it.


The mother-of-many immediately ordered up the party used to ferry the humans in and out of the city. She was not disposed to stop to talk and, once the journey began, there was no opportunity to until they reached dry land.

“Look here, Oz,” Tex started in, as soon as he had shaken off the water, “do you really think she’s taking us to the Astarte?”

“Could be. Probably is.”

“Do you think there is a chance that we will find the ship intact?” asked 
Matt.

“Not a chance. Not a chance in this world. On one point alone, she couldn’t possibly have any fuel left in her tanks. You saw what happened to the jeep. What do you think a century has done to the Astarte?” He paused and looked thoughtful. “Anyhow, I’m not going to get my hopes up, not again. I couldn’t stand it, three times. That’s too many.”

“I guess you’re right,” agreed Matt. “It won’t do to get excited. She’s probably a mound of rust under a covering of vines.”

“Who said anything about not getting excited?” Oscar answered. “I’m so excited I can hardly talk. But don’t think of the Astarte as a possible way to get back; think of her historically.”

“Yow think of it that way,” said Tex. “I’m a believer and a hoper. I want to get out of this dump.”

“Oh, you’ll get out! They’ll come find us some day-and then they’ll finish the mission we flubbed.”

“Look,” answered Tex, “couldn’t we go off duty and not think about the mission just for the next quarter of a mile? These insects are something fierce-you think about Oscar and I’ll think about Mother Jarman’s favorite son. I wish I was back in the good old Triplex.”

“You were the guy that was always beefing that the Triplex was a madhouse.”

“So I was wrong. I can be big about it.”

They came to one of the rare rises in the level of the ground, all of ten feet above water level. The natives started to whisper and lisp excitedly among themselves. Matt caught the Venerian word for “tabu.” “Did you get that, Oz?” he said in Basic. “Tabu.”

“Yes. I don’t think she told them where she was taking, them.”

The column stopped and spread out; the three cadets moved forward, pushing rank growth aside and stepped in a clearing.

In front of them, her rakish wings festooned in vines and her entire hull sheathed in some translucent substance, was the Patrol Rocket Ship Astarte.

HOTCAKES FOR BREAKFAST

THE CITY MOTHER was standing near the door of the Astarte, underneath the starboard wing. Two of her people: were working at the door, using bladders to squirt some liquid around the edges. The translucent layer over the hull melted away wherever the liquid touched it. They grasped a free edge of the skin stuff and began to peel it away. “Look at that,” said Tex. “Do you see what they’ve 
done? The ship is Venusized.”

His use of the term was loose; an item that has been “planetized” is one that has been rendered stable against certain typical conditions of the planet concerned, as defined by tests of the Bureau of Standards-for example, an item listed in the colonial edition of the Sears & Montgomery catalog as “Venusized” is thereby warranted to resist the excessive humidity, the exotic fungi, and certain of the planet’s pests. The Astarte was merely encased in a sheath. ‘

“Looks like it,” agreed Oscar, his voice carefully restrained. “Sort of a spray-gun job.”

“Five gets you ten it never saw a spray gun. The Venerians did it” Tex slapped at an insect. “You know what this means, Oz?”

“I’m way ahead of you. Don’t get your hopes up. And don’t try to get mine up, either. A hundred years is a long tune.” . “Oz, you don’t get any fun out of life.”

The little workers were having difficulties. The top of the door was much higher than they could reach; they were now trying to form two-high pyramids, but, having no shoulders to speak of, they were hardly built for the job. Matt said to Oscar, “Couldn’t we give them a hand with that?”

“I’ll see.” Oscar went forward and suggested that the cadets take over the 
job of squirting on the solvent. The mother person looked at him,

“Canst thou grow a new hand, if needed?”

Oscar admitted that he could not.

“Then do not tamper with that which thou dost not understand.”

Using their own methods the natives soon had the door cleared. It was latched but not locked; the door refused to open for a moment, then gave suddenly. They scrambled up into the airlock. “Wait a minute,” Matt whispered. “Hadn’t we better go easy? We don’t know that the infection that got them is necessarily dead.”

“Don’t be silly,” Tex whispered back. If your immunizations hadn’t worked, you’d have been a sick chicken long ago.”

“Tex is right, Matt. And .there’s no need to whisper. Ghosts can’t hear.”

“How do you know?” objected Tex. “Are you a doctor of ghostology?”

“I don’t believe in ghosts.”

“I do. Once my Uncle Bodie stayed overnight-”

“Let’s get on inside,” Matt insisted.

The passageway beyond the inner door was dark, save for the light that filtered in through the lock. The air had a strange odor, not precisely foul but lifeless-old.

The control room beyond was dimly but adequately lighted; the light from outside filtered softly through the sheathing that still covered the quartz pilot’s 
port. The room was very cramped. The cadets were used to roomy modern 
ships; the Astartes wings gave her a false impression of great size. Inside 
she was smaller than the jeep.

Tex began humming something about “-stout-hearted men-,” then broke off suddenly. “Look at the darned thing!” he said. “Just look at it. To think they 
actually made an interplanetary jump in it. Look at that control board. Why, 
she’s as primitive as a rowboat. And yet they took the chance. Puts you in 
mind of Columbus and the Santo Maria”

“Or the Viking ships,” suggested Matt.

“There were men in those days,” agreed Oscar, not very originally but with 
great sincerity.

“You can say that louder,” commented Tex. “There’s no getting around it, 
fellows; we were born too late for the age of adventure. Why, they weren’t 
even heading for a listed port; they just blasted off into the dark and trusted to luck that they could get back.”

“They didn’t get back,” Oscar said softly.

“Let’s talk about something else,” Matt requested. “I’m covered with goose 
pimples as it is.”

“Okay,” Oscar concurred, “I’d better get back and see what her royal nibs is doing anyway.” He left, to return almost at once, accompanied by the city mother. “She was just waiting to be invited,” he called out ahead of them, in Basic, “and huffy at being forgotten. Help me butter her up.”

The native official turned out to be helpful; except for the control room the other spaces were dark, even to her. She stepped to the door, made known her wants, and returned with one of the glowing orange spheres they used for lighting. It was a poor excuse for a flashlight, but about as effective as a candle.

Everywhere the ship was orderly and clean, save for a faint film of dust. “Say what you like, Oscar,” commented Matt, “I’m beginning to get my hopes up. I don’t believe there is anything wrong with her. It looks as if the crew had just gone out for a walk. We may be able to put her in commission.”

“I’m ready to throw in with Oscar,” Tex objected. “I’ve lost my enthusiasm-
I’d rather go over Niagara Falls in a barrel.”

“They flew her,” Matt pointed out

“Sure they did-and my hat’s off to them. But it takes heroes to fly a box as 
primitive as this and I’m not the hero type.”

The mother-of-many lost interest presently and went outside. Tex borrowed the orange sphere and continued to look around while Matt and Oscar gave the control room a careful going over. Tex found a locker containing small, sealed packages marked “Personal effects of Roland Hargraves,” “Personal effects of Rupert H. Schreiber,” and other names. He put them back carefully.

Oscar shouted for him presently. “I think we had better get going. Her nibs 
hinted that when she left.”

“Come see what I’ve found. Food!”

Matt and Oscar came to the door of the galley storeroom. “Do you suppose any of it is any good?” asked Matt.

“Why not? It’s all canned. Jigger for me and we’ll find out.” Tex operated with a can opener. “Phewey!” he said presently. “Anybody want to sample some embalmed corned beef hash? Throw it outside, Matt, before it stinks up the place.”

“It already has.”

“But look at this!” Tex held up a can marked: Old Plantation Hotcake Flour. “This won’t be spoiled-hotcakes for breakfast, troops. I can hardly wait.”

“What good are flapjacks without syrup?”

“All the comforts of home-half a dozen cans of it.” He produced one 
marked: Genuine Vermont Maple Syrup, unadulterated.

Tex wanted to take some back with them. Oscar vetoed it, on both practical and diplomatic grounds. Tex suggested that they remain in the ship, not go back. “Presently, Tex,; presently,” Oscar agreed. “You forgot about Lieutenant Thurlow.” –

“So I did. Close my big mouth.”

“Speaking of Mr. Thurlow,” put in Matt, “you’ve given me an idea. He won’t 
touch much of that native hash, even when he seems to come pretty far out 
of it. How about that sugar syrup? I could feed it to him from a drinking 
bladder.”

“It can’t hurt him and it might help,” decided Oscar. “We’ll take half the 
syrup back with us.” Tex picked the cans up, Matt tucked a can opener in his 
pouch, and they went outside.

Matt was pleased to find Th’wing on watch in Thurlow’s room when they 
got back; she would be easier to deal with than the other nurses. He explained to her what he had in mind, in polite circumlocutions. She accepted a can Matt had opened and tasted, beforehand, and turned her back apologetically while she tasted it.

She spat it out. “Art thou sure that this will not harm thy ailing mother?”

Matt understood her hesitation, since Venerian diet runs to starch and protein, not to sugar. -He assured her that Thurlow would be helped thereby. They transferred the contents to a bladder.

The cadets talked over what they should do about the Astarte after dinner that night. Matt insisted that she could be made to fly; Tex remained of the opinion that they would be silly to attempt it. “She might get high enough to 
crash-no higher.”

Oscar listened, then said, “Matt, did you check the tanks?” Matt admitted that he had. “Then you know there isn’t any fuel.”

“Then why are you arguing?” Tex interrupted. “The matter is settled.”

“No, it’s not, announced Oscar. “Well try to fly her.”

“Huh?”

“She can’t fly and well try anyhow,” Oscar went on.

“But why?”

“Okay-here’s why. If we just sit here long enough, the Patrol will come 
along and find us, won’t they?”

“Probably,” agreed Matt.

“Absolute certainty. That’s the way the Patrol works. They won’t let us down. Look at the search for the Pathfinder -four ships, month after month. If their mishap hadn’t killed them, the Patrol would have brought them back alive. We’re still alive and we are somewhere near our original destination. They’ll find us-the delay simply means they aren’t sure we are lost yet; we haven’t been out of touch so very long. Anyhow, we knew there wasn’t a ship ready at either North Pole or South Pole to attempt an equatorial search, or we wouldn’t have gotten the mission in the first place, so it may take a while before they can come for us. But they’ll come.”

“Then why not wait?” insisted Tex.

“Two reasons. The first is the boss-we’ve got to get him to a proper hospital before he just fades away and dies.”

“And kill him in the take off.”

“Maybe. That wouldn’t faze him, is my guess. The second reason is-we are the Patrol.”

“Huh? Come again.”

“It’s agreed that the Patrol wouldn’t give up looking for us. Okay, if that’s the sort of an outfit the Patrol is and we are part of the Patrol, then when they find us, they’ll find us doing our level best to pull out unassisted, not sitting on our fat fannies waiting for a lift.”

“I get you,” said Tex. “I was afraid your busy little brain would figure that 
out, given time. Very well-mark me down as a reluctant hero. I think I’ll turn 
in; this hero business is going to be sweaty and wearing.”

It was indeed sweaty. The Venerians continued to be helpful but the actual work of attempting to outfit a ship for space had to be done entirely by the humans. With the permission of the city mother Oscar, transferred their headquarters to the Astarte. Thurlow was not moved, but arrangements were made for one cadet to be ferried each day back to the city, to report on Thurlow and to bring food back. There were few supplies left in the Astarte which were still edible.

However the pancake mix turned out to be usable. Tex had gadgeted together an ail burner of sorts-they had no electrical power as yet-and had charged the contraption with a fish oil obtained from the natives. Over this he baked his hotcakes. They were noticeably inferior to any that any of the three had ever tasted, for the flour had aged and changed flavor. They showed little tendency to rise.

But they were hotcakes and they were drowned in maple syrup. It was a ceremony, at the beginning of each working day, held on the sly behind a locked door, lest one of their puritanical friends be offended.

They embarked on a systematic campaign to vandalize each of the other ships for anything at all that might prove useful in outfitting the Astarte. In this, too, they were dependent on the natives; Matt or Tex could pick out what was wanted, but it took the little folk to move anything several miles through 
swamp and water and unmarked jungle.

They talked of the flight as if they really expected to make it. “You give me 
radar,” Matt told Oscar, “any sort of approach radar, so that I’ve got a chance 
to land, and I’ll set her down somewhere at South Pole. You can forget about 
the astrogational junk; it’ll be dead reckoning.”

They had settled on New Auckland, South Pole, as their nominal destination. North Pole would have been equally reasonable, but Oscar was a southern colonial, which decided it.

Oscar had promised the radar, not knowing quite how he could manage it. 
The Gary was the only hope; her communications room had been wrecked 
but Oscar had hopes of salvaging her belly radar. He set about doing it, while 
swearing at the impossibility of doing delicate work with one arm in a sling.

Little from the jeep was worth salvaging and none of it was entirely intact. 
Oscar had tried at first to use the radar equipment of the Astarte, but had 
given up-a century of difference in technology baffled him. Not only were the 
electronic circuits of the Astarte vastly more complicated and equally less 
efficient than the gear he had been brought up. with but the nomenclature 
was different-the markings, for example, on a simple resistor were Greek to 
him.

As for radio circuits the only sending installation actually fit to operate was 
a suit walky-talky from the Gary.

Nevertheless there came a morning when they had done what they could 
do. Tex was dealing out hotcakes. “It looks to me,” he said, “as if we were 
ready to go, if we had some ‘go’ juice.”

“How do you figure that,” asked Matt. “The control board isn’t even 
hooked to the jet.”

“What of it? I’m going to have to throttle by hand anyhow. I’m going to take 
that big piece of tubing we pulled out of the Gary and string it from you back 
to me, at the jet throttle. You can shout down it and if I like it I’ll do it.”

“And if you don’t like it?”

“Then I’ll do something else. Easy on that syrup, Oz; it’s the very last.”

Oscar stopped himself, syrup can in midair. “Oh, I’m sorry, Tex. Here-let 
me slop some from my plate onto yours.”

“Don’t bother. It was just a reflex remark. To tell the truth, I’m sick of 
hotcakes. We’ve had them every day now for more than two weeks, with 
nothing to break the monotony but hash a la native.” ,

“I’m sick of them, too, but it didn’t seem polite to say so, with you doing the 
cooking.” Oscar pushed back his plate. “I don’t mind the syrup running out”

“But it hasn’t” Matt stopped.

“Something bite you, Matt?”

“No, I-nothing.” He continued to look thoughtful.

“Close your mouth, then. Say, Oz, if we had some ‘go’ juice for the Tart, 
what would you pick?”

“Monatomic hydrogen.”

“Why pick the one thing she can’t burn? I’d settle for alcohol and oxygen.”

“As long as you haven’t got it, why not wish for the best?”

“Because we agreed to play this game for keeps. Now we’ve got to go 
through the motions of trying to make some fuel, from now till they find us. 
That’s why I say alcohol and oxygen. I’ll whomp up some sort of a still and 
start cooking alky while you and Matt figure out how to produce liquid oxygen 
with just your bare hands and a ship’s equipment.”

“How long do you figure it will take you to distil several tons of alcohol with 
what you can rig up?”

“That’s the beauty of it. I’ll still be working away at it, like a good little boy, 
busy as a moonshiner, when they come to rescue us. Say, did I ever tell you 
about Uncle Bodie and the moonshiners? It seems-”

“Look here,” interrupted Matt, “how would you go about cooking up some 
maple syrup-here?”

“Huh? Why fret about it? We’re sick of hotcakes.”

“So am I, but I want to know how you can make maple syrup right here. 
Or, rather, how the natives can do it?”

“Are you nuts, or is this a riddle?”

“Neither one. I just remembered something I had overlooked. You said there wasn’t any more maple syrup, and I was about to say that there was still plenty in Thurlow’s room.” Two days before, it had been Mart’s turn to go into the city. As usual he had visited Thurlow’s sickroom, His friend Th’wing had been on watch and had left him alone with the lieutenant for twenty minutes or so.

During the interval the patient had roused and Matt had wished to offer him a drink; there were several drinking bladders at hand.

The first one Matt picked up turned out to be charged with maple syrup, 
and so did the next and the next-the entire row, in fact. Then he found the 
one he wanted, lying on the couch. “I didn’t think anything about it at the time-
I was busy with the lieutenant. But this is what bothers me: He’s been taking 
quite a lot of the syrup; you might say he’s been living on nothing else. I 
opened the first can when we first took it to him, and I opened both the other 
cans myself, as needed-Th’wing couldn’t cope with the can opener. So I 
know that the syrup was almost gone.

“Where did the rest of the syrup come from?”

“Why, I suppose the natives made it,” answered Oscar. “It wouldn’t be too 
hard to get sugar from some of the plants around here. There’s a sort of 
grass somewhat like sugar cane, up near the Poles; they could find 
something of the sort.”

“But, Oz, this was maple syrup!”

“Huh? It couldn’t be. Your taster has gone haywire.”

“It was maple, I tell you.”

“Well, what if it was-mind you, I don’t concede that you can get the true 
maple flavor this side of Vermont, but what difference does it make?”

“I think we’ve been overlooking a bet. You were talking about distilling alcohol; I’ll bet the natives can supply alcohol in any quantities.”

“Oh.” Oscar thought about it. “You’re probably right. They are clever about 
things like that-that gunk they use to jell mud and those solvents they cleaned 
the Tart with. Kitchen chemists.”

“Maybe they aren’t kitchen chemists. Maybe they are the real thing.”

“Huh?” said Tex. “What do you mean, Matt?”

“Just what I said. We want ‘go’ juice for the Tart-maybe if we just had 
sense enough to ask the mother-of-many for it, we’d get it.”

Oscar shook his head. “I wish you were right, Matt. No-body has more respect for the Little People than I have, but there isn’t a rocket fuel we can use that doesn’t involve one or more liquefied gases. We might even make them understand what we needed but they wouldn’t have the facilities for it.”

“Why are you so sure?”

“Well, shucks, Matt, liquid oxygen-even liquid air-calls for high pressures 
and plenty of power, and high-pressure containers for the intermediate 
stages. The Little People make little use of power, they hardly use metal.” |

“They don’t use power, eh? How about those orange lights?”

“Well, yes, but that can’t involve much power.”

“Can you make one? Do you know how they work?” “No, but-”

“What I’m trying to get at is that there may be more 1 ways of doing 
engineering than the big, muscley, noisy ways we’ve worked out. You’ve 
said yourself that we don’t really ; know the natives, not even around the 
poles. Let’s at least ask!”

“I think he’s got something there, Oz,” said Tex. “Let’s ask.”

Oscar was looking very thoughtful. “I’ve realized for some time that our 
friends here were more civilized than the ones around the colonies, but I 
couldn’t quite put my finger on it.”

“What is civilization?”

“Never mind the philosophy-let’s get going.” Oscar unlocked the ship’s 
outer door and spoke to a figure, waiting in what was to her bright sunlight 
and busy looking at the pictures in a 1971 Saturday Evening Post. “Hey, 
girlie! Wouldst thou graciously conduct us to the home of thy mother?”

It was maple syrup. Both Tex and Oscar agreed. Th’wing explained quite readily that, when the supply ran low, they had made more, using the original terrestrial stuff as a sample.

Oscar went to see the city mother, taking with him a bottle of grain alcohol salvaged from the medical supplies of the Gary. Matt and Tex had to sweat it out, for it had been agreed that Oscar did best with her nibs when not accompanied. He returned after more than two hours, looking stunned.

“What gives, Oz? What did you find out?’ Matt demanded.

“It’s bad news,” said Tex. “I can tell from your face.”

“No, it’s not bad news.”

“Then spill it, man, spill it-you mean they can do it?”

Oscar swore softly in Venerian. “They can do anything!”

“Back off and try again,” advised Tex. “They can’t play a harmonica. I know; I let one try. Now tell us.”

“I started in by showing her the ethyl alcohol and tried to explain that we 
still had a problem and asked her if her people could make the stuff. She 
seemed to think it was a silly question-just sniffed it and said they could. 
Then I positively strained myself trying to act out liquid oxygen, first telling her 
that there were two different things in air, one inert and one active. The -best 
I could do was to use their words for living’ and ‘dead.’ I told her I wanted the 
living part to be like water. She cut me off and sent for one of her people. 
They talked back and forth for several minutes and I swear I could understand only every second or third word and could not even get the gist of it. It was a part of their language totally new to me. Then the other old girl leaves the room.

“We waited. She asked me if we would be leaving soon if we got what we 
wanted. I said, yes if- then she asked me to do her the favor of taking Burke 
along; she was apologetic about it but firm. I said we would.”

“I’m glad of that,” said Matt. “I despise Stinky’s insides, but it sticks in my 
craw to leave him to die here. He ought to have a trial.”

“Keep quiet, Matt,” said Tex. “Who cares about Stinky? Go on, Oscar.”

“After quite a wait, the other old girl came back, with a bladder-just an 
ordinary bladder by the appearance, but darker than a drinking bladder. Her 
nibs hands it to me and asks me if this is what I wanted. I said sorry but I did not want water. She squeezed a few drops out on my hand.” Oscar held out his hand. “See that? It burned me.”

“It actually was liquid oxygen?”

“That or liquid air. I didn’t have any way to test, think it was oxygen. But 
get this-the bladder wasn’t even cold. And it didn’t fume until she squeezed 
out the drop. The other gal was carrying it around as casually as you carry a 
hot-water bottle.”

Oscar stared off into space a moment. “It beats me,” he said. “The only thing I can think of is catalyst chemist -they must have catalyst chemistry down to the point where they can do things without fuss that we do with heat and pressure.”

“Why try to figure it out?” asked Tex. “You’ll probably get the wrong answer. Just let it go that they’ve forgotten more about chemistry than we’ll ever learn. And we get the ‘go juice.”

For two days a steady procession of little folk had formed a double line from the water’s edge to the Astarte, bearing full bladders toward the ship and returning with empty ones. Thurlow was already abroad, still attended by his patient little nurses. Burke was brought to the ship under escort and turned loose. The cadets let him alone, which seemed to disconcert him. He looked the ship over-it was the first he had heard of it-and finally sought out Jensen.

“If you think I’m going to ride in that flying coffin you’re greatly mistaken.”

“Suit yourself.”

“Well, what are you going to do about it?”

“Nothing. You can stay in the jungle, or try to persuade the city mother to 
take you back.”

Burke considered it. “I think I’ll stay with the frogs. If you get through, you 
can tell them where I am and have them come get me.”

“I’ll tell them where you are all right and all the rest of it, too.”

“You needn’t think you can scare me.” Burke went away.

He returned shortly. “I’ve changed my mind. I’m coming with you.”

“You mean they wouldn’t have you.”

“Well-yes.”

“Very well,” answered Cadet Jensen, “the local authorities having declined 
jurisdiction, I arrest you under the colonial code titled ‘Relations with 
Aborigines,’ charges and specifications to be made known to you at your
arraignment and not necessarily limited to the code cited. You are warned that anything you say may be used in evidence against you.”

“You can’t do this!”

“Matt! Tex! Take him in and strap him down.”

“With pleasure!” They strapped him to an acceleration rest mounted in the 
galley, where, they had agreed, he would be the least nuisance. Done, they 
reported it to Jensen,”

“See here, Oz,” Matt added, “do you think you can make any charges stick against him?”

“I rather doubt it, unless they allow our hearsay under a “best evidence’ 
rule. Of course he ought to be strung up higher than the Milky Way, but the 
best I expect is to get his license revoked and his passport lifted. The Patrol 
will believe our story and that’s enough for those items.”

Less than an hour later Thurlow’s nurses left the ship and the cadets said good-by to the mother-of-many, a flowery, long-winded business in which Oscar let himself be trapped into promising to return some day. But at last he closed the outer door and Tex clamped” it. “Are you sure they understand how to keep clear of our blast?” asked Matt.

“I paced off the safety line with her myself and heard her give the orders. 
Quit worrying and get to your station.”

“Aye aye, sir.”

Matt and Oscar went forward, Oscar with the ancient log tucked in his sling. Tex took station at the hand throttles. Oscar sat down in the co-pilot’s chair and opened the log to the page of the last entry. He took a stub of pencil

that he had found in the galley, wet it in his mouth, entered the date, and 
wrote in a large hand:

He paused and said to Matt, “I still think we ought to shift the command.”

“Stow it,” said Matt. “If Commodore Arkwright can command the Randolph with his lights gone, you can command the Tart with a busted wing.”

“Okay, if that’s the way you want it.” He continued to write,

O. Jensen, acting captain 
M. Dodson, pilot and astrogator 
W. Jarman, chief engineer 

Lt. R. Thiwlow, passenger (sick list) 
G. Burke, passenger, civilian (prisoner) 

“Muster the crew, Mister.” 

“Aye aye, sir. Call your name, too, Oz?” 

“Sure, its a short list as it is.” 

“How about Stinky?” “Of course not! I’ve got him billed as cargo.” 

Matt took a deep breath and, speaking close to the speaking tube so that Tex could hear, called out: “Lieutenant Thurlow!” Oscar replied, “I answer for him.” He glanced back at the lieutenant, strapped in the inspector’s rest where they could keep an eye on him.

Thurlow opened his eyes with the puzzled, questioning look he always showed on the rare occasions when he seemed to be aware of anything.

“Jensen!”

“Here.”

“Jarman!”

“Here!” Tex called back, his voice muffled and hollow through the tube.

Matt said, “Dodson present,” then wet his lips and hesitated.

“Dahlquiist!”

Oscar was about to reply when Thurlow’s voice came from behind them: “I 
answer for him.”

“Martin!” Matt went on mechanically, too startled to stop,

“I answer for him,” said Oscar, his eyes on Thurlow.

“Rivera!”

“I answer for him,” came Tex’s voice.

“Wheeler!”

“Wheeler’s here too,” Tex answered again. “They’re all here, Matt. We’re ready.”

“Complement complete, Captain;”

“Very well, sir.”

“How is he, Oz?”

“He’s closed his eyes again. Raise ship when ready.”

“Aye aye, sir. According to plan-raise ship. He grasped the wing controls and waited. The Astarte reared on her belly jets, drove up and forward and into the mists of Venus.

IN THE COMMANDANT’S OFFICE

PASSED CADETS Dodson and Jarman, freshly detached from the P.R.S. Pegasus, at Terra Station out from New Auckland, climbed out of the Randolph’s scooter and into the Randolph herself. Cadet Jensen was not with them; Oscar, on despatch authorization from the Academy, had been granted six months for leave at home, with the understanding that he would be ordered to temporary duty in the course of it, to accompany the first consul to the equatorial regions to his station and assist in establishing liaison. Matt and Tex showed their orders to the officer of the watch and left with him the inevitable copies. He gave them their rooming assignments-in Hog Alley, in a room with a different number but otherwise like the one they had had. “Seems like we never left it,” remarked Tex, as he unpacked his jump bag.

“Except it seems funny not to have Oz and Pete around.”

“Yeah, I keep expecting Oz to stick his head in and ask if we’d like to team 
up with him and Pete.”

The room phone sounded, Tex answered.

“Cadet Jarman?”

“Speaking.”

“The Commandant’s compliments-you are to report to his office at once.”

“Aye aye, sir.” He switched off and continued to Matt. “They don’t waste 
much time, do they?” He looked thoughtful and added, “You know what I 
think?”


“Maybe I can guess.”

“Well, this quick service looks promising. And we did do quite a job, Matt. 
There’s no getting around to it.”

“I guess so. Bringing in the Astarte, a hundred and eight years overdue, was something-even if we had dragged it in on wheels it still would be something. I won’t start calling you ‘Lieutenant’ just yet, but-he might commission us.”

“Keep your fingers crossed. How do I look?”

“You aren’t pretty, but you look nineteen times better than you did when we grounded at South Pole. Better get moving.”

“Right.” Tex left and Matt waited nervously. Presently the call he expected came in, telling him, too, to report to the Commandant.

He found that Tex was still inside. Rather than fidget under the eyes of others in the Commandant’s outer office, he chose to wait in the passageway. After a while, Tex came out. Matt went up to him eagerly. “How about it?”

Tex gave him an odd look. “Just go on in.”

“You can’t talk?”

“We’ll talk later. Go on in.”

“Cadet Dodson!” someone called from the outer office.

“On deck,” he called back. A couple of moments later he was in the presence of the Commandant.

“Cadet Dodson, reporting as ordered, sir.”

The Commandant turned his face toward him and Matt felt again the eerie feeling that Commodore Arkwright could see him better than could an ordinary, sighted man. “Oh,

yes, Mr. Dodson. At ease.” The elder Patrolman reached unerringly for a 
clip on his desk. “I’ve been looking over your record. You’ve made up your 
deficiency in astrogation and supplemented it with a limited amount .of 
practical work. Captain Yancey seems to approve of you, on the whole, but 
notes that you are sometimes absent-minded, with a tendency to become 
pre-occupied with one duty to the expense of others. I don’t find that very 
serious-in a young man.”

“Thank you, sir.”

“It was not a compliment, just an observation. Now tell me, what would you do if-” Forty-five minutes later Matt caught his breath sufficiently to realize that he had been subjected to a very searching examination. He had come into the Commandant’s office feeling nine feet tall, four feet wide, and completely covered with hair. The feeling had passed.

The Commandant paused for a moment as if thinking, then went on, “When will you be ready to be commissioned, Mr. Dodson?”

Matt strangled a bit, then managed to answer, “I don’t know, sir. Three or 
four years, perhaps.”

“I think a year should suffice, if you apply yourself. I’m sending you down to Hayworth Hall. You can catch the shuttle from the Station this afternoon.

“The usual delay for leave, of course,” he added.

“That’s fine, sir!”

“Enjoy yourself. I have an item here for you-” The blind man hesitated a split second, then reached for another clip, “-a copy of a-letter from Lieutenant Thurlow’s mother. Another copy has been placed in your record.”

“Uh, how is the lieutenant, sir?”

“Completely recovered, they tell me. One more thing before you go-”

“Yes, sir.”

“Let me have some notes on what troubles you ran into in recommissioning the Astarte, emphasizing what you had to learn as you went along-especially any mistakes you made.”

“Uh, aye aye, sir.”

“Your notes will be considered in revising the manual on obsolete equipment. No hurry about it-do it when you come back from leave.”

Matt left the Commandant’s presence feeling only a fraction the size he had when he had gone in, yet he felt curiously elated rather than depressed. He hurried to the room he shared with Tex and found him waiting. Tex looked him over. “I see you’ve had it.”

“Check.”

“Hayworth Hall?”

“That’s it.” Matt looked puzzled. “I don’t understand it. I went in there honestly convinced that I was going to be commissioned. But I feel wonderful. Why is that?”

“Don’t look at me. I feel the same way, and yet I can’t remember that he had a kind word to say. The whole business on Venus he just tossed off.”

Matt said, “That’s it!”

“What’s what?”

” ‘He just tossed it off.’ That’s why we feel good. He didn’t make anything of it because he didn’t expect anything less-because we are Patrolmen!”

“Huh? Yes, that’s it-that’s exactly it! Like he was thirty-second degree and we were first degree, but members of the same lodge.” Tex started to whistle.

“I feel better,” said Matt. “I felt good before, but now I feel better, now that 
I understand why. Say-one other thing.” – .

“What?”

“You didn’t tell him about the fight I had with Burke in New Auckland, did 
you?”

“Of course not.” Tex was indignant.

“That’s funny. I didn’t tell anybody but you, and I could have sworn that no 
one saw it. I planned it that way.”

“He knew about it?”

“He sure did.”

“Was he sore?”

“No. He said he realized that Burke was out on bond and that I was on leave and he had no wish to invade my private life-but he wanted to give me a word of advice.”

“Yeah? What was it?”

“Never lead with my left.”

Tex looked amazed, then thoughtful. “I think he was telling you not to lead 
with your chin, too.”

“Probably.” Matt started repacking his jump bag. “When’s the next scooter 
for the Station?”

“About thirty minutes. Say, Matt, you’ve got leave of course?”

“Check.”

“How about picking up my invitation to spend a few weeks on the Jarman 
spread? I want you to meet my folks -and Uncle Bodie.”

“Uncle Bodie, by all means. But Tex?”

“Yeah?”

“Hotcakes for breakfast?”

“No hotcakes.”

“It’s a deal”

“Shake.”

The End

More Fun

If you enjoyed this post, and story, then you might find these few links worthy of a visit. Trust me, you will not be disappointed;

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Why no High-Speed rail in the USA?
Link
Link
Link
Tomatos
Link
Mad scientist
Gorilla Cage in the basement
The two family types and how they work.
Link
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back
Link
A womanly vanity
SJW
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

Posts about the Changes in America

America is going through a period of change. Change is good… that is, after it occurs. Often however, there are large periods of discomfort as the period of adjustment takes place. Here are some posts that discuss this issue.

Parable about America
What is planned for American Conservatives - Part 2
What is going to happen to conservatives - Part 3.
What is planned for conservatives - part 4
What is in store for Conservatives - part 5
What is in store for conservatives - part 6
Civil War
The Warning Signs
r/K selection theory
Line in the sand
A second passport
Link
Make America Great Again.

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Things I wish I knew.
Link
Travel
PT-141
Bronco Billy
How they get away with it
Paper Airplanes
Snopes
Taxiation without representation.
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
Democracy Lessons
A polarized world.
The Rule of Eight
Types of American conservatives.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Link
Link
Link
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Glory Road (Full Text) by Robert Heinlein

This is the full Text of the novel by Robert Heinlein.

Of all the science fiction that is out there in the world, the fiction that is the closest approximation to the way things REALLY work in this universe is not something from Star Trek, or Star Wars. It is instead more like the Robert Heinlein novel “Glory Road”.

That is a very stark truth. Pay attention. Here, I present this novel in it’s entirety to the reader to consider.

Glory Road

Robert A. Heinlein

BRITANNUS (shocked): 
Caesar, this is not proper. 

THEODOTUS (outraged): 
How? 

CAESAR (recovering his self-possession): 
Pardon him Theodotus: he is a barbarian, and thinks that the customs of his tribe and island are the laws of nature. 
 
Caesar and Cleopatra, Act II 
-George Bernard Shaw

Chapter 1

I know a place where there is no smog and no parking problem and no population explosion . . . no Cold War and no H-bombs and no television commercials . . . no Summit Conferences, no Foreign Aid, no hidden taxes–no income tax. The climate is the sort that Florida and California claim (and neither
has), the land is lovely, the people are friendly and hospitable to strangers, the women are beautiful and amazingly anxious to please-

I could go back. I could-

It was an election year with the customary theme of anything you can do I can do better, to a background of beeping sputniks. I was twenty-one but couldn’t figure out which party to vote against.

Instead I phoned my draft board and told them to send me that notice.

I object to conscription the way a lobster objects to boiling water: it may be his finest hour but it’s not his choice. Nevertheless I love my country. Yes, I do, despite propaganda all through school about how patriotism is obsolete. One of my great-grandfathers died at Gettysburg and my father made that long
walk back from Chosen Reservoir, so I didn’t buy this new idea. I argued against it in class–until it got me a “D,” in Social Studies, then I shut up and passed the course.

But I didn’t change my opinions to match those of a teacher who didn’t know Little Round Top from Seminary Ridge.

Are you of my generation? If not, do you know why we turned out so wrong-headed? Or did you just write us off as “juvenile delinquents?”

I could write a book. Brother! But I’ll note one key fact: After you’ve spent years and years trying to knock the patriotism out of a boy, don’t expect him to cheer when he gets a notice reading:

GREETINGS: You are hereby ordered for induction into the Armed Forces of the United States-

Talk about a “Lost Generation!” I’ve read that post-World-War-One jazz–Fitzgerald and Hemingway and so on–and it strikes me that all they had to worry about was wood alcohol in bootleg liquor. They had the world by the tail–so why were they crying?

Sure, they had Hitler and the Depression ahead of them. But they didn’t know that. We had Khrushchev and the H-bomb and we certainly did know.

But we were not a “Lost Generation.” We were worse; we were the “Safe Generation.” Not beatniks. The Beats were never more than a few hundred out of millions. Oh, we talked beatnik jive and dug cool sounds in stereo and disagreed with Playboy’s poll of jazz musicians just as earnestly as if it mattered. We
read Salinger and Kerouac and used language that shocked our parents and dressed (sometimes) in beatnik fashion. But we didn’t think that bongo drums and a beard compared with money in the bank. We weren’t rebels. We were as conformist as army worms. “Security” was our unspoken watchword.

Most of our watchwords were unspoken but we followed them as compulsively as a baby duck takes to water. “Don’t fight City Hall.” “Get it while the getting is good.” “Don’t get caught.” High goals, these, great moral values, and they all mean “Security.” “Going steady” (my generation’s contribution to the American Dream) was based on security; it insured that Saturday night could never be the loneliest night for the weak. If you went steady, competition was eliminated.

But we had ambitions. Yes, sir! Stall off your draft board and get through college. Get married and get her pregnant, with both families helping you to stay on as a draft-immune student. Line up a job well thought of by draft boards, say with some missile firm. Better yet, take postgraduate work if your folks (or hers) could afford it and have another kid and get safely beyond the draft–besides, a doctor’s degree was a union card, for promotion and pay and retirement.

Short of a pregnant wife with well-to-do parents the greatest security lay in being 4-F. Punctured eardrums were good but an allergy was best. One of my neighbors had a terrible asthma that lasted till his twenty-sixth birthday. No fake–he was allergic to draft boards. Another escape was to convince an army psychiatrist that your interests were more suited to the State Department than to the Army. More than half of my generation were “unfit for military service.”

I don’t find this surprising. There is an old picture of a people traveling by sleigh through deep woods–pursued by wolves. Every now and then they grab one of their number and toss him to the wolves. That’s conscription even if you call it “selective service” and pretty it up with USOs and “veterans’ benefits”–it’s tossing a minority to the wolves while the rest go on with that single-minded pursuit of the three-car garage, the swimming pool, and the safe & secure retirement benefits.

I am not being holier-than-thou; I was after that same three-car garage myself.

However, my folks could not put me through college. My stepfather was an Air Force warrant officer with all he could handle to buy shoes for his own lads. When he was transferred to Germany just before my high school senior year and I was invited to move in with my father’s sister and her husband, both of
us were relieved.

I was no better off financially as my uncle-in-law was supporting a first wife–under California law much like being an Alabama field hand before the Civil War. But I had $35 a month as a “surviving dependent of a deceased veteran.” (Not “war orphan,” which is another deal that pays more.) My mother
was certain that Dad’s death had resulted from wounds but the Veterans Administration thought differently, so I was just a “surviving dependent.”

$35 a month did not fill the hole I put in their groceries and it was understood that when I graduated I would root for myself. By doing my military time, no doubt–But I had my own plan; I played football and finished senior year season with the California Central Valley secondary school record for yards gained and a broken nose–and started in at the local State College the next fall with a job “sweeping the gym” at $10 more a month than that pension, plus fees.

I couldn’t see the end out my plan was clear: Hang on, teeth and toenails, and get an engineering degree. Avoid the draft and marriage. On graduation get a deferred-status job. Save money and pick up a law degree, too–because, back in Homestead, Florida, a teacher had pointed out that, while engineers made money, the big money and boss jobs went to lawyers. So I was going to beat the game, yes, sir! Be a Horatio Alger hero. I would have headed straight for that law degree but for the fact that the college did not offer law.

At the end of the season my sophomore year they deemphasized football.

We had had a perfect season–no wins. “Flash” Gordon (that’s me–in the sports write-ups) stood one in yardage and points; nevertheless Coach and I were out of jobs. Oh, I “swept the gym” the rest of that year on basketball, fencing, and track, but the alumnus who picked up the tab wasn’t interested in a basketball player who was only six feet one. I spent that summer pushing an idiot stick and trying to line up a deal elsewhere. I turned twenty-one that summer, which chopped that $35/month, too. Shortly after Labor Day I fell back on a previously prepared position, i.e., I made that phone call to my draft board.

I had in mind a year in the Air Force, then win a competitive appointment to the Air Force Academy–be an astronaut and famous, instead of rich.

Well, we can’t all be astronauts. The Air Force had its quota or something. I was in the Army so fast I hardly had time to pack.

So I set out to be the best chaplain’s clerk in the Army; I made sure that “typing” was listed as one of my skills. If I had anything to say about it, I was going to do my time at Fort Carson, typing neat copies while going to night school on the side.

I didn’t have anything to say about it. Ever been in Southeast Asia? It makes Florida look like a desert. Wherever you step it squishes. Instead of tractors they use water buffaloes. The bushes are filled with insects and natives who shoot at you. It wasn’t a war–not even a “Police Action.” We were “Military Advisers.” But a Military Adviser who has been dead four days in that heat smells the same way
a corpse does in a real war.

I was promoted to corporal. I was promoted seven times. To corporal.

I didn’t have the right attitude. So my company commander said. My daddy had been a Marine and my stepfather was Air Force; my only Army ambition had been to be a chaplain’s clerk Stateside. I didn’t like the Army. My company commander didn’t like the Army either; he was a first lieutenant who hadn’t
made captain and every time he got to brooding Corporal Gordon lost his stripes.

I lost them the last time for telling him that I was writing to my Congressman to find out why I was the only man in Southeast Asia who was going to be retired for old age instead of going home when his time was up–and that made him so mad he not only busted me but went out and was a hero, and then he was dead. And that’s how I got this scar across my broken nose because I was a hero, too, and should have received the Medal of Honor, only nobody was looking.

While I was recovering, they decided to send me home.

Major Ian Hay, back in the “War to End War,” described the structure of military organizations: Regardless of T.O., all military bureaucracies consist of a Surprise Party Department, a Practical Joke Department, and a Fairy Godmother Department. The first two process most matters as the third is very small; the Fairy Godmother Department is one elderly female GS-5 clerk usually out on sick leave.

But when she is at her desk, she sometimes puts down her knitting and picks a name passing across her desk and does something nice. You have seen how I was whipsawed by the Surprise Party and Practical Joke Departments; this time the Fairy Godmother Department picked Pfc. Gordon.

Like this–When I knew that I was going home as soon as my face healed (little brown brother hadn’t sterilized his bolo), I put in a request to be discharged in Wiesbaden, where my family was, rather than California, home of record. I am not criticizing little brown brother; he hadn’t intended me to heal at all–and he would have managed it if he hadn’t been killing my company commander and too hurried to do a good job on me. I hadn’t sterilized my bayonet but he didn’t complain, he just sighed and came apart, like a doll with its sawdust cut. I felt grateful to him; he not only had rigged the dice so that I got out of the Army, he also gave me a great idea.

He and the Ward surgeon–The Surgeon had said, “You’re going to get well, son. But you’ll be scarred like a Heidelberg student.”

Which got me thinking–You couldn’t get a decent job without a degree, any more than you could be a plasterer without being a son or nephew of somebody in the plasterers’ union. But there are degrees and degrees. Sir Isaac Newton, with a degree from a cow college such as mine, would wash bottles for Joe Thumbfingers–if Joe had a degree from a European university.

Why not Heidelberg? I intended to milk my G.I. benefits; I had that in mind when I put in that too hasty call to my draft board.

According to my mother everything was cheaper in Germany. Maybe I could stretch those benefits

into a doctor’s degree. Herr Doktor Gordon, mit scars on der face from Heidelberg yet!–that would rate an extra $3,000 a year from any missile firm.

Hell, I would fight a couple of student duels and add real Heidelberg scars to back up the dandy I had. Fencing was a sport I really enjoyed (though the one that counted least toward “sweeping the gym”). Some people cannot stand knives, swords, bayonets, anything sharp; psychiatrists have a word for it:
aichmophobia. Idiots who drive cars a hundred miles an hour on fifty-mile-an-hour roads will nevertheless panic at the sight of a bare blade.

I’ve never been bothered that way and that’s why I’m alive and one reason why I kept being bucked back to corporal. A “Military Adviser” can’t afford to be afraid of knives, bayonets, and such; he must cope with them. I’ve never been afraid of them because I’m always sure I can do unto another what he is planning to do unto me.

I’ve always been right, except that time I made the mistake of being a hero, and that wasn’t too bad a mistake. If I had tried to bug out instead of staying to disembowel him, he would have chopped my spine in two. As it was, he never got a proper swing at me; his jungle cutter just slashed my face as he came
apart–leaving me with a nasty wound that was infected long before the helicopters came. But I never felt it. Presently I got dizzy and sat down in the mud and when I woke up, a medic was giving me plasma.

I rather looked forward to trying a Heidelberg duel. They pad your body and arm and neck and put a steel guard on your eyes and nose and across your ears–this is not like encountering a pragmatic Marxist in the jungle. I once handled one of those swords they use in Heidelberg; it was a light, straight saber, sharp on the edge, sharp a few inches on the back–but a blunt point! A toy, suited only to make pretty scars for girls to admire.

I got a map and whaddayuh know!–Heidelberg is just down the road from Wiesbaden. So I requested my discharge in Wiesbaden.

The ward surgeon said, “You’re an optimist, son,” but initialed it. The medical sergeant in charge of paperwork said, “Out of the question, Soldier.” I won’t say money changed hands but the endorsement the hospital’s C.O. signed read FORWARDED. The ward agreed that I was bucking for a psycho; Uncle Sugar does not give free trips around the world to Pfcs.

I was already so far around that I was as close to Hoboken as to San Francisco–and closer to Wiesbaden. However, policy called for shipping returnees back via the Pacific. Military policy is like cancer: Nobody knows where it comes from but it can’t be ignored.

The Fairy Godmother Department woke up and touched me with its wand.

I was about to climb aboard a bucket called the General Jones bound for Manila, Taipei, Yokohama, Pearl, and Seattle when a dispatch came granting my USAREUR, Heidelberg, Germany, by available military transportation, for discharge, at own request see reference foxtrot. Accumulated leave could be
taken or paid, see reference bravo. Subject man was authorized to return to Zone Interior (the States) any time within twelve months of separation, via available military transportation at no further expense to the government. Unquote.

The paper-work sergeant called me in and showed me this, his face glowing with innocent glee. “Only there ain’t no ‘available transportation,’ Soldier–so haul ass aboard the General Jones. You’re going to Seattle, like I said.”

I knew what he meant: The only transport going west in a long, long time had sailed for Singapore thirty-six hours earlier. I stared at that dispatch, thinking about boiling oil and wondering if he had held it back just long enough to keep me from sailing under it.

I shook my head. “I’m going to catch the General Smith in Singapore. Be a real human type, Sarge, and cut me a set of orders for it.”

“Your orders are cut. For the Jones. For Seattle.”

“Gosh,” I said thoughtfully. “I guess I had better go cry on the chaplain.” I faded out fast but I didn’t see the chaplain; I went to the airfield. It took five minutes to find that no commercial nor U.S. military flight was headed for Singapore in time to do me any good.

But there was an Australian military transport headed for Singapore that night. Aussies weren’t even “military advisers” out often were around, as “military observers.” I found the planes skipper, a flight leftenant, and put the situation to him. He grinned and said, “Always room for one more bloke. Wheels up shortly after tea, likely. If the old girl will fly.”

I knew it would fly; it was a Gooney Bird, a C-47, mostly patches and God knows how many millions of miles. It would get to Singapore on one engine if asked. I knew my luck was in as soon as I saw that grand old collection of masking tape and glue sitting on the field.

Four hours later I was in her and wheels up.

I checked in aboard USMTS General Smith the next morning, rather wet–the Pride of Tasmania had flown through storms the night before and a Gooney Birds one weakness is that they leak. But who minds clean rain after jungle mud? The ship was sailing that evening which was grand news.

Singapore is like Hong Kong only flat; one afternoon was enough. I had a drink in the old Raffles, another in the Adelphi, got rained on in the Great World amusement peak walked through Change Alley with a hand on my money and the other on my orders–and bought an Irish Sweepstakes ticket.

I don’t gamble, if you will concede that poker is a game of skill. However this was a tribute to the goddess of fortune, thanks for a long run of luck. If she chose to answer with $140,000 US, I wouldn’t throw it in her face. If she didn’t . . . well, the tickets face value was one pound, $2.80 US; I paid $9.00 Singapore, or $3.00 US–a small gesture from a man who had just won a free trip around the world–not
to mention coming out of the jungle still breathing.

But I got my three dollars’ worth at once, as I fled out of Change Alley to avoid two dozen other walking banks anxious to sell me more tickets, Singapore dollars, any sort of money–or my hat if I let go of it–reached the street, hailed a cab, and told the driver to take me to the boat landing. This was a victory of spirit over flesh because I had been debating whether to snatch the chance to ease enormous biological back pressure. Good old Scarface Gordon had been an Eagle Scout awfully long and Singapore is one of the Seven Sinful Cities where anything may be had.

I am not implying that I had remained faithful to the Girl Next Door. The young lady back home who had taught me most about the World, the Flesh, and the Devil, with an amazing send-off the night before I was inducted, had “Dear-Johnned” me in basic training; I felt gratitude but no loyalty. She got married
soon after, now has two children, neither of them mine.

The real cause of my biological unease was geographical. Those little brown brothers I had been fitting, with and against, all had little brown sisters, many of whom could be had for a price, or even pour l’amour ou pour le sport.

But that had been all the local talent for a long time. Nurses? Nurses are officers–and the rare USO entertainer who got that far from Stateside was even more thoroughly blocked off than were nurses.

I did not object to little brown sisters because they were brown. I was as brown as they were, in my face, except for a long pink scar. I drew the line because they were little.

I was a hundred and ninety pounds of muscle and no fat, and I could never convince myself that a female four feet ten inches tall and weighing less than ninety pounds and looking twelve years old is in fact a freely consenting adult. To me it felt like a grim sort of statutory rape and produced psychic impotence.

Singapore looked like the place to find a big girl. But when I escaped from Change Alley, I suddenly didn’t like people, big or little, male or female, and headed for the ship–and probably saved myself from pox, Cupid’s catarrh, soft chancre, Chinese rot, saltwater itch, and athletes foot–the wisest decision I
had made since, at fourteen, I had declined to wrestle a medium-sized alligator.

I told the driver in English what landing I wanted, repeated it in memorized Cantonese (not too well; its a nine-toned language, and French and German are all I had in school), and showed him a map with the landing marked and its name printed in English and drawn in Chinese.

Everybody who left the ship was given one of these maps. In Asia every cab driver speaks enough English to take you to the Red Light district and to shops where you buy “bargains.” But be is never able to find your dock or boat landing.

My cabbie listened, glanced at the map, and said, “Okay, Mac. I dig it,” and took off and rounded a corner with tires squealing while shouting at peddle cabs, coolies, children, dogs. I relaxed, happy at having found this cabbie among thousands.

Suddenly I sat up and shouted for him to stop.

I must explain something; I can’t get lost.

Call it a “psi” talent, like that study they study at Duke. Mother used to say that sonny had a “bump of direction.” Call it what you will, I was six or seven before I realized that other people could get lost. I always know which way is north, the direction of the point where I started and how far away it is. I can
head straight back or retrace my steps, even in dark and jungle. This was the main reason why I was always promoted back to corporal and usually shoved into a sergeant’s job. Patrols I headed always came back–the survivors, I mean. This was comforting to city boys who didn’t want to be in that jungle anyhow.

I had shouted because the driver had swung right when he should have swung left and was about to cut back across his own trade

He speeded up.

I yelled again. He no longer dug English.

It was another mile and several tunas later when he had to stop because of a traffic jam. I got out and he jumped out and started screaming in Cantonese and pointing at the meter in his cab. We were surrounded by Chinese adding to the din and smaller ones plucking at my clothes. I kept my hand on my
money and was happy indeed to spot a cop. I yelled and caught his eye.

He came through the crowd brandishing a long staff. He was a Hindu; I said to him, “Do you speak English?”

“Certainly. And I understand American.” I explained my trouble, showed him the map, and said that the driver had picked me up at Chaise Alley and been driving in aides.

The cop nodded and talked with the driver in a third language–Malayan, I suppose. At last the cop said, “He doesn’t understand English. He thought you said to drive to Johore.”

The bridge to Johore is as far as you can get from the anchorage and still be on the Island of Singapore. I said angrily, “The hell he doesn’t understand English!”

The cap shrugged. “You hired him, you must pay what is on the taximeter. Then I will explain to him where you wish to go and arrange a fixed fee.”

“I’ll see him in hell first!”

“That is possible. The distance is quite short–in this neighborhood. I suggest that you pay. The waiting time is mounting up.”

There comes a time when a man must stand up for his rights, or he can’t bear to look at himself in a mirror to shave. I had already shaved, so I paid–$18.50 Sing., for wasting an hour and ending up farther from the landing. The driver wanted a tip but the cop shut him up and then let me walk with him.

Using both hands I hung onto my orders and money, and the Sweepstakes ticket folded in with the money. But my pen disappeared and cigarettes and handkerchief and a Ronson lighter. When I felt ghost fingers at the strap of my watch, I agreed to the cops suggestion that he had a cousin, an honest man,
who would drive me to my landing for a fixed–and moderate–fee.

The “cousin” turned out to be just coming down the street; half an hour later I was aboard ship. I shall never forget Singapore, a most educational city.

Chapter 2

Two months later on the French Riviera. The Fairy Godmother Department watched over me across the Indian Ocean, up the Red Sea, and clear to Napoli. I lived a healthy life, exercising and getting tan every morning, sleeping afternoons, playing poker at night. There are many people who do not. Know
the odds (poor, but computable) for improving a poker hand in the draw, but are anxious to learn. When we got to Italy I had a beautiful tan and a sizable nest egg.

Early in the voyage someone went broke and wanted to put a Sweepstakes ticket into the game. After some argument Sweepstakes tickets were made valuta at a discount, $2.00 USA per ticket. I finished the trip with fifty-three tickets.

Hitching a flight from Napoli to Frankfurt took only hours. Then the Fairy Godmother Department handed me back to the Surprise Party and Practical Joke Departments.

Before going to Heidelberg I ducked over to Wiesbaden to see my mother, my stepfather and the kids–and found that they had just left for the States, on their way to Elmendorf AFB in Alaska.

So I went to Heidelberg to be processed, and looked the town over while the led tape unwound.

Lovely town–Handsome castle, good beer, and big girls with rosy cheeks and shapes like Coca-Cola bottles–Yes, this looked like a nice place to get a degree. I started inquiring into rooms and such, and met a young kraut wearing a studenten cap and some face scars as ugly as mine–things were looking up.

I discussed my plans with the first sergeant of the transient company.

He shook his head. “Oh, you poor boy!”

Why? No G.I. benefits for Gordon–I wasn’t a veteran.

Never mind that scar. Never mind that I had killed more men in combat than you could crowd into a–well, never mind. That thing was not a “war” and Congress had not passed a bill providing educational benefits for us “Military Advisers.”

I suppose this was my own fault. All my life there had been “G.I. benefits”–why, I had shared a bench in chem lab with a veteran who was going to school on the G.I. Bill.

This fatherly sergeant said, “Don’t take it hard, son. Go home, get a job, wait a year. They’ll pass it and date it bade, almost certainly. You’re young.”

So here I was on the Riviera, a civilian, enjoying a taste of Europe before using that transportation home. Heidelberg was out of the question. Oh, the pay I hadn’t been able to spend in the jungle, plus accumulated leave, plus my winnings at poker, added up to a sum which would have kept me a year in
Heidelberg. But it would never stretch enough for a degree. I had been counting on that mythical “G.I. Bill” for eating money and on my cash as a cushion.

My (revised) plan was obvious. Grab that top home before my year was up–grab it before school opened. Use the cash I had to pay board to Aunt and Uncle, work next summer and see what turned up. With the draft no longer hanging over me I could find some way to sweat out that last year even if I couldn’t be “Heir Doktor Gordon.”

However, school didn’t open until fall and here it was spring. I was damn well going to see a little of Europe before I applied nose to grindstone; another such chance might never come.

There was another reason for waiting; those Sweepstakes tickets. The drawing for horses was coming up.

The Irish Sweepstakes starts as a lottery. First they sell enough tickets to paper Grand Central Station. The Irish hospitals get 25 percent and are the only sure winners. Shortly before the race they draw for horses. Let’s say twenty horses are entered. If your ticket fails to draw a horse, its wastepaper. (Oh, there are minor consolation prizes.)

But if you do draw a horse, you still haven’t won. Some horses won’t start. Of those that do, most of them chase the other horses. However, any ticket that draws any horse at all, even a goat that can barely walk to the paddock, that ticket suddenly acquires a value of thousands of dollars between the drawing
and the race. Just how much depends on how good the horse is. But prizes are high and the worst horse in the field has been known to win.

I had fifty-three tickets. If one of them drew a horse, I could sell that ticket for enough to put me through Heidelberg.

So I stayed and waited for the drawings.

Europe needn’t be expensive. A youth hostel is luxury to a man who has come out of the boondocks of Southeast Asia and even the French Riviera isn’t expensive if you approach it from underneath. I didn’t stay on La Promenade des Anglais; I had a tiny room four floors up and two kilometers back, and the shared use of some plumbing. There are wonderful night clubs in, Nice but you need not patronize them as the floor show at the beaches is as good . . . and free. I never appreciated what a high art the fan dance can be until the first time I watched a French girl get out of her clothes and into her bikini in plain sight of citizens, tourists, gendarmes, dogs–and me–all without quite violating the lenient French mores concerning “indecent exposure.” Or only momentarily.

Yes, sir, there are things to see and do on the French Riviera without spending money.

The beaches are terrible. Rocks. But rocks are better than jungle mud and I put on trunks and enjoyed the floor show and added to my tan. It was spring, before the tourist season and not crowded, but it was warm and summery and dry. I lay in the sun and was happy and my only luxury was a deposit box with
American Egress and the Paris edition of the N.Y. Herald Tribune and The Star’s & Stripes. These I would glance over to see how the Powers-that-be were mismanaging the world, then look for what was new in the unWar I had just been let out of (usually no mention, although we had been told that we were
“saving civilization”), then get down to important matters, i.e., news of the Irish Sweepstakes, plus the possibility that The Stars & Stripes might announce that it had all been a hideous dream and I was entitled to educational benefits after all.

Then came crossword puzzles and “Personal” ads. I always read “Personals”; they are a naked look into private lives. Things like: ‘M.L. phone R.S. before noon. Money.’ Makes you wonder who did what to whom, and who got paid?

Presently I found a still cheaper way to live with an even better floor show. Have you heard of l’Il du Levant? It is an island off the Riviera between Marseilles and Nice, and is much like Catalina. It has a village at one end and the French Navy has blocked off the other for guided missiles; the rest of it is hills and beaches and grottoes. There are no automobiles, nor even bicycles. The people who go there don’t want to be reminded of the outside world.

For ten dollars a day you can enjoy luxury equal to forty dollars a day in Nice. Or you can pay five cents a dry for camping and live on a dollar a day–which I did–and there are good cheap restaurants anytime you get tired of cooking.

It is a place that seems to have no rules of any sort. Wait a minute; there is one. Outside the village, Heliopolis, is a sign: LE NU INTEGRAL EST FORMELLEMENT INTERDIT. (“Complete nakedness is strictly forbidden.”)

This means that everyone, man or woman, must put on a little triangle of cloth, a cache-sexe, a G-string, before going inside the village.

Elsewhere, on beaches and in camping grounds and around the island, you don’t have to wear a damned thing and nobody does.

Save for the absence of automobiles and clothes, the Isle of the Levant is like any other bit of back-country France. There is a shortage of fresh water, but the French don’t drink water and you bathe in the Mediterranean and for a franc you can buy enough fresh water for half a dozen sponge baths to rinse cm the salt. Take the train from Nice or Marseilles, get off at Toulon and take a bus to Lavandou, then by boat (an hour and a few minutes) to l’Ile du Levant–then chuck away your cares with your clothes.

I found I could buy the Herald-Trib, a day old, in the village, at the same place (“Au Minimum,” Mme. Alexandre) where I rented a tent and camping gear. I bought groceries at La Brise Marine and camped above La Plage des Grottes, close to the village, and settled down and let my nerves relax while I enjoyed the floor show.

Some people disparage the female form divine. Sex is too good for them; they should have been oysters. All gals are good to look at (including little brown sisters even though they scared me); the only difference is that some look better than others. Some were fat and some were skinny and some were old
and some were young. Some looked as if they had stepped straight out of Les Folies Bergeres. I got acquainted with one of those and I wasn’t far off; she was a Swedish girl who was a “nue” in another Paris revue. She practiced English on me and I practiced French on her, and she promised to cook me a Swedish dinner if I was ever in Stockholm and I cooked her a dinner over an alcohol lamp and we got giggly on vin ordinaire, and she wanted to know how I had acquired my scar and I told some lies. Marjatta was good for an old soldiers nerves and I was sad when she had to leave.

But the floor show went on. Three days later I was sitting on Grotto Beach, leaning against a rock and working the crossword puzzle, when suddenly I got cross-eyed trying not to stare at the most stare-able woman I have ever seen in my life.

Woman, girl–I couldn’t be sure. At first glance I thought she was eighteen, maybe twenty; later when I was able to look her square in her face she still looked eighteen but could have been forty. Or a hundred and forty. She had the agelessness of perfect beauty. Like Helen or Troy, or Cleopatra. It seemed
possible that she was Helen of Troy but I knew she wasn’t Cleopatra because she was not a redhead; she was a natural blonde. She was a tawny toast color allover without a hint of bikini marks and her hair was the same shade two tones litter. It flowed, unconfined, in graceful waves down her back and seemed never to have been cut.

She was tall, not much shorter than I am, and not too much litter in weight. Not fat, not fat at all save for that graceful padding that smoothes the feminine form, shading the muscles underneath–I was sure there were muscles underneath; she carried herself with the relaxed power of a lioness.

Her shoulders were broad for a woman, as broad as her very female hips; her waist might have seemed thick on a lesser woman, on her it was deliciously slender. Her belly did not sag at all but carried the lovely double-domed curve of perfect muscle tone. Her breasts–only her big rib cage could carry such
large ones without appearing too much of a good thing, they jutted firmly out and moved only a trifle when she moved, and they were crowned with rosy brown confections that were frankly nipples, womanly and not virginal.

Her navel was that jewel the Persian poets praised.

Her legs were long for her height; her hands and feet were not small but were slender, graceful. She was graceful in all ways; it was impossible to think of her in a pose ungraceful. Yet she was so lithe and limber that, like a cat, she could have twisted herself into any position.

Her face–How do you describe perfect beauty except to say that when you see it you can’t mistake it? Her lips were full and her mouth rather wide. It was faintly curved in the ghost of a smile even when her features were at rest. Her lips were red but if she was wearing makeup of any sort it had been applied so skillfully that I could not detect it–and that alone would have made her stand out, for that was a year all other females were wearing “Continental” makeup, as artificial as a corset and as bold as a doxy’s smile.

Her nose was straight and large enough for her face, no button. Her eyes-

She caught me staring at her. Certainly women expect to be locked at and expect it unclothed quite as much as when dressed for the ball. But it is rude to stare openly. I had given up the fight in the first ten seconds and was trying to memorize her, every line, every curve.

Her eyes locked with mine and she stared back and I began to blush but couldn’t look away. Her eyes were so deep a blue that they were dark, darker than my own brown eyes.

I said huskily, “Pardonnez-moi, ma’m’selle,” and managed to tear my eyes away.

She answered, in English, “Oh, I don’t mind. Look all you please,” and looked me up and down as carefully as I had inspected her. Her voice was a warm, fall contralto, surprisingly deep in its lowest register.

She took two steps toward me and almost stood over me. I started to get up and she motioned me to stay seated, with a gesture mat assumed obedience as if she were very used to giving orders. “Rest where you are,” she said. The breeze carried her fragrance to me and I got goose flesh all over. “You are American.”

“Yes.” I was certain she was not, yet I was equally certain she was not French. Not only did she have no trace of French accent but also–well, French women are at least slightly provocative at all times; they can’t help it, it’s ingrained in the French culture. There was nothing provocative about this woman–except that she was an incitement to riot just by existing.

But, without being provocative, she had that rare gift for immediate intimacy; she spoke to me as a very old friend might speak, friends who knew each other’s smallest foibles and were utterly easy tete-a-tete. She asked me questions about myself, some of them quite personal, and I answered all of them, honestly, and it never occurred to me that she had no right to quiz me. She never asked my name, nor I hers–nor any question of her.

At last she stopped and looked me over again, carefully and soberly. Then she said thoughtfully, “You are very beautiful,” and added, “Au ‘voir”–turned and walked down the beach into the water and swam away.

I was too stunned to move. Nobody had ever called me “handsome” even before I broke my nose. As for “beautiful!”

But I don’t think it would have done me any good to have chased her, even if I had thought of it in time. That gal could swim.

Chapter 3

I stayed at the plager until sundown, waiting for her to come back. Then I made a hurried supper of bread and cheese and wine, got dressed in my G-string and walked into town. There I prowled bars and restaurants and did not find her, meanwhile window-peeping into cottages wherever shades were not drawn. When the bistros started shutting down, I gave up, went back to my tent, cursed myself for eight kinds of fool– (why couldn’t I have said, “What’s your name and where do you live and where are you staying here?”)–sacked in and went to sleep.

I was up at dawn and checked the plage, ate breakfast, checked the plage again, got “dressed” and went into the village, checked the shops and post office, and bought my Herald-Trib.

Then I was faced with one of the most difficult decisions of my life: I had drawn a horse.

I wasn’t certain at first, as I did not have those fifty-three serial numbers memorized. I had to run back to my tent, dig out a memorandum and check–and I had! It was a number that had stuck in mind because of its pattern: #XDY 34555. I had a horse!

Which meant several thousand dollars, just how much I didn’t know. But enough to put me through Heidelberg . . . if I cashed in on it at once. The Herald-Trib was always a day late there, which meant the drawing had taken place at least two days earlier–and in the meantime that dog could break a leg or be scratched nine other ways. My ticket was important money only as long as “Lucky Star” was listed as a starter.

I had to get to Nice in a hurry and find out where and how you got the best price for a lucky ticket. Dig the ticket out of my deposit box and sell it!

But how about “Helen of Troy”?

Shylock with his soul-torn cry of “Oh, my daughter! Oh, my ducats!” was no more split than I.

I compromised. I wrote a painful note, identifying myself, telling her that I had been suddenly called away and pleading with her either to wait until I returned tomorrow, or at the very least, to leave a note telling me how to find her. I left it with the postmistress along with a description–blond, so tall, hair this long, magnificent poitrine–and twenty francs with a promise of twice that much if she delivered it and got an answer. The postmistress said that she had never seen her but if cette grande blonde ever set foot in the village the note would be delivered.

That left me just time to rush back, dress in off-island clothes, dump my gear with Mme. Alexandre, and catch the boat. Then I had three hours of travel time to worry through.

The trouble was that Lucky Star wasn’t really a dog. My horse rated no farther down than fifth or sixth, no matter who was figuring form. So? Stop while I was ahead and take my profit?

Or go for broke?

It wasn’t easy. Let’s suppose I could sell the ticket for $10,000. Even if I didn’t try any fancy footwork on taxes, I would still keep most of it and get through school.

But I was going to get through school anyway–and did I really want to go to Heidelberg? That student with the dueling scars had been a slob, with his phony pride in scars from fake danger.

Suppose I hung on and grabbed the big one, £50,000, or $140,000-

Do you know how much tax a bachelor pays on $140,000 in the Land of the Brave and the Home of the Free?

$103,000, that’s what he pays. That leaves him $37,000.

Did I want to bet about $10,000 against the chance of winning $37,000–with the odds at least 15 to 1 against me?

Brother, that is drawing to an inside straight. The principle is the same whether it’s 37 grand, or jacks-or-better with a two-bit limit.

But suppose I wangled some way to beat the tax, thus betting $10,000 to win $140,000? That made the potential profit match the odds–and $140,000 was not just eating money for college but a fortune that could bring in four or five thousand a year forever.

I wouldn’t be “cheating” Uncle Sugar; the USA had no more moral claim on that money (if I won) than I had on the Holy Roman Empire. What had Uncle Sugar done for me? He had clobbered my father’s life with two wars, one of which we weren’t allowed to win–and thereby made it tough for me to get through college quite aside from what a father may be worth in spiritual intangibles to his son (I didn’t know, I never would know!)–then he had grabbed me out of college and had sent me to fight another unWar and damned near killed me and lost me my sweet girlish laughter.

So how is Uncle Sugar entitled to clip $103,000 and leave me the short end? So he can “lend” it to Poland? Or give it to Brazil? Oh, my back!

There was a way to keep it all (if I won) legal as marriage. Go live in little old tax-free Monaco for a year. Then take it anywhere.

New Zealand, maybe. The Herald-Trib had had the usual headlines, only more so. It looked as if the boys (just big playful boys!) who run this planet were about to hold that major war, the one with ICBMs and H-bombs, any time now.

If a man went as far south as New Zealand there might be something left after the fallout fell out.

New Zealand is supposed to be very pretty and they say that a fisherman there regards a five-pound trout as too small to take home.

I had caught a two-pound trout once.

About then I made a horrible discovery. I didn’t want to go back to school, win, lose, or draw. I no longer gave a damn about three-car garages and swimming pools, nor any other status symbol or “security.” There was no security in this world and only damn fools and mice thought there could be.

Somewhere back in the jungle I had shucked off all ambition of that sort. I had been shot at too many times and had lost interest in supermarkets and exurban subdivisions and tonight is the PTA supper don’t forget dear you promised.

Oh, I wasn’t about to hole up in a monastery. I still wanted-

What did I want?

I wanted a Roc’s egg. I wanted a harem loaded with lovely odalisques less than the dust beneath my chariot wheels, the rust that never stained my sword. I wanted raw red gold in nuggets the size of your fist and feed that lousy claim jumper to the huskies! I wanted to get up feeling brisk and go out and break
some lances, Then pick a likely wench for my droit du seigneur–I wanted to stand up to the Baron and dare him to touch my wench! I wanted to hear the purple water chuckling against the skin of the Nancy Lee in the cool of the morning watch and not another sound, nor any movement save the slow tilling of the wings of the albatross that had been pacing us the last thousand miles.

I wanted the hurtling moons of Barsoom. I wanted Storisende and Poictesme, and Holmes shaking me awake to tell me, “The game’s afoot!” I wanted to float down the Mississippi on a raft and elude a mob in company with the Duke of Bilgewater and the Lost Dauphin.

I wanted Prester John, and Excalibur held by a moon-white arm out of a silent lake. I wanted to sail with Ulysses and with Tros of Samothrace and eat the lotus in a land that seemed always afternoon. I wanted the feeling of romance and the sense of wonder I had known as a kid. I wanted the world to be what they had promised me it was going to be–instead of the tawdry, lousy, fouled-up mess it is.

I had had one chance–for ten minutes yesterday afternoon. Helen of Troy, whatever your true name may be–And I had known it . . . aha I had let it slip away.

Maybe one chance is all you ever get.

The train pulled into Nice.

In the American Express office I went to the banking department and to my deposit box, found the ticket and checked the number against the Herald-Trib–XDY 34555, yes! To stop my trembling, I checked the other tickets and they were wastepaper, just as I thought. I shoved them back into the DOX and asked to see the manager.

I had a money problem and American Express is a bank, not just a travel bureau. I was ushered into the manager’s office and we exchanged names. “I need advice,” I said. “You see, I hold one of the winning Sweepstakes tickets.”

He broke into a grin. “Congratulations! You’re the first person in a long time who has come in here with good news rather than a complaint.”

“Thanks. Uh, my problem is this. I know that a ticket that draws a horse is worth quite a bit up until the race. Depending on the horse, of course.”

“Of course,” he agreed. “What horse did you draw?”

“A fairly good one. Lucky Star–and that’s what makes it tough. If I had drawn H-Bomb, or any of the three favorites–Well, you see how it is. I don’t know whether to sell or hang on, because I don’t know how to figure the odds. Do you know what is being offered for Lucky Star?”

He fitted his finger tips together. “Mr. Gordon, American Express does not give tips on horse races, nor broker the resale of Sweepstakes tickets. However–Do you have the ticket with you?”

I got it out and handed it to him. It had been through poker games and was sweat-marked and crumpled. But that lucky number was unmistakable.

He looked at it. “Do you have your receipt?”

“Not with me.” I started to explain that I had given my stepfathers address–and that my mail had been forwarded to Alaska. He cut me off. “That’s all right.” He touched a switch. “Alice, will you ask M’sieur Renault to step in?”

I was wondering if it really was all right. I had had the savvy to get names and new billets from the original ticket holders and each had promised to send his receipt to me when he got it–but no receipts had reached me. Maybe in Alaska–I had checked on this ticket while at the lockbox; it had been bought by a sergeant now in Stuttgart. Maybe I would have to pay him something or maybe I would have to break his arms.

M. Renault looked like a tired schoolteacher. “M’sieur Renault is our expert on this sort of thing,” the manager explained. “Will you let him examine your ticket, please?” The Frenchman looked at it, then his eyes lit up and be reached into a pocket, produced a jeweler’s loupe, screwed it into his eye. “Excellent!” he said approvingly. “One of the best. Hong Kong, perhaps?

“I bought it in Singapore.”

He nodded and smiled. “That follows.”

The manager was not smiling. He reached into his desk and brought out another Sweepstakes ticket and handed it to me. “Mr. Gordon, this one I bought at Monte Carlo. Will you compare it?”

They looked alike to me, except for serial numbers and the fact that his was crisp and clean. “What am I supposed to look for?”

“Perhaps this will help.” He offered me a large reading glass.

A Sweepstakes ticket is printed on special paper and has an engraved portrait on it and is done in several colors. It is a better job of engraving and printing than many countries use for paper money.

I learned long ago that you can’t change a deuce into an ace by staring at it. I handed back his ticket. “Mine is counterfeit.”

“I didn’t say so, Mr. Gordon. I suggest you get an outside opinion. Say at the office of the Bank of France.”

“I can see it. The engraving lines aren’t sharp and even on mine. They’re broken, some places. Under the glass the print job looks smeared.” I turned. “Right, M’sieur Renault?”

The expert gave a shrug of commiseration. “It is beautiful work, of its sort.”

I thanked them and got out. I checked with the Bank of France, not because I doubted the verdict but because you don’t have a. leg cut off, nor chuck away $140,000, without a second opinion. Their expert didn’t bother with a loupe. “Contrefait” he announced. “Worthless.”

It was impossible to get back to l’Ile du Levant that night. I had dinner and then looked up my former landlady. My broom closet was empty and she let me have it overnight. I didn’t lie awake long.

I was not as depressed as I thought I should be. I felt relaxed, almost relieved. For a while I had had the wonderful sensation of being rich–and I had had its complement, the worries of being rich–and both sensations were interesting and I didn’t care to repeat them, not right away.

Now I had no worries. The only thing to settle was when to go home, and with living so cheap on the island there was no hurry. The only thing that fretted me was that rushing off to Nice might have caused me to miss “Helen of Troy,” cette grande blonde! Si grande . . . si belle . . . si majestueuse! I fell asleep thinking of her.

I had intended to catch the early train, then the first boat. But the day before had used up most of the money on me and I had goofed by failing to get cash while at American Express. Besides, I had not asked for mail. I didn’t expect any, other than from my mother and possibly my aunt–the only close friend I had had in the Army had been killed six months back. Still, I might as well pick up mail as long as I had to wait for money.

So I treated myself to a luxury breakfast. The French think that a man can face the day with chicory and milk, and a croissant, which probably accounts for their unstable politics. I picked a sidewalk cafe by a big kiosk, the only one in Nice that stocked The Stars & Stripes and where the Herald-Trib would be on sale as soon as it was in; ordered a melon, cafe complet for TWO, and an omelette aux herbes fines; and sat back to enjoy life.

When the Herald-Trib arrived, it detracted from my sybaritic pleasure. The headlines were worse than ever and reminded me that I was still going to have to cope with the world; I couldn’t stay on l’Ile du Levant forever.

But why not stay there as long as possible? I still did not want to go to school, and that three-car-garage ambition was as dead as that Sweepstakes ticket. If World War III was about to shift to a rolling boil, there was no point in being an engineer at six or eight thousand a year in Santa Monica only to be caught in the fire storm.

It would be better to live it up, gather ye rosebuds, carpe that old diem, with dollars and days at hand, then–Well, join the Marine Corps maybe, like my dad.

I refolded the paper to the “Personals” column.

They were pretty good. Besides the usual offers of psychic readings and how to learn yoga and the veiled messages from one set of initials to another there were several that were novel. Such as-

REWARD!! Are you contemplating suicide? Assign to me the lease on your apartment and I will make your last clays lavish. Box 323, H-T

Or: Hindu gentleman, non-vegetarian, wishes to meet cultured European, African, or Asian lady owning sports car. Object: improving international relations. Box 107

How do you do that in a sports car?

One was ominous–Hermaphrodites of the World, Arise! You have nothing to lose but your chains. Tel. Opera 59-09

The next one started: ARE YOU A COWARD?

Well, yes, certainly. If possible. If allowed a free choice. I read on:

ARE YOU A COWARD? This is not for you. We badly need a brave man. He must be 23 to 25 years old, in perfect health, at least six feet tall, weigh about 190 pounds, fluent English with some French, proficient with all weapons, some knowledge of engineering and mathematics essential, willing to travel, no family or emotional ties, indomitably courageous and handsome of face and figure. Permanent employment, very high pay, glorious adventure, great danger. You must apply in person, 17, rue Dante, Nice, 2me etage, appt. D.

I read that requirement about face and figure with strong relief. For a giddy moment it had seemed as if someone with a skewed sense of humor had aimed a shaggy joke right at me. Somebody who knew my habit of reading the “Personals.”

That address was only a hundred yards from where I was sitting. I read the ad again.

Then I paid the addition, left a careful tip, went to the kiosk and bought The Stars & Stripes, walked to American Express, got money and picked up my mail, and on to the railroad station. It was over an hour until the next train to Toulon, so I went into the bar, ordered a beer and sat down to read.

Mother was sorry I had missed them in Wiesbaden. Her letter itemized the children’s illnesses, the high prices in Alaska, and expressed regret that they had ever had to leave Germany. I shoved it into my pocket and picked up The Stars & Stripes.

Presently I was reading: ARE YOU A COWARD?–same ad, right to the end.

I threw the paper down with a growl.

There were three other letters. One invited me to contribute to the athletic association of my ex-college; the second offered to advise me in the selection of my investments at a special rate of only $48 a year; the last was a plain envelope without a stamp, evidently handed in at American Express.

It contained only a newspaper clipping, starting: ARE You A COWARD?

It was the same as the other two ads except that in the last sentence one word had been underlined: You must apply in person-

I splurged on a cab to rue Dante. If I hurried, there was time to untangle this hopscotch and still catch the Toulon train. No. 17 was a walk-up; I ran up and, as I approached suite D, I met a young man coming out. He was six feet tall, handsome of face and figure, and looked as if he might be a hermaphrodite.

The lettering on the door read: DR. BALSAMO–HOURS BY APPOINTMENT, in both French and English. The name sounded familiar and vaguely phony out I did not stop to figure it out; I pushed on in.

The office inside was cluttered in a fashion known only to old French lawyers and pack rats. Behind the desk was a gnome-like character with a merry smile, hard eyes, the pinkest face and scalp I’ve ever seen, and a fringe of untidy white hair. He looked at me and giggled. “Welcome! So you are a hero?” Suddenly he whipped out a revolver half as long as he was and just as heavy and pointed it at me. You could have driven a Volkswagen down its snout.

“I’m not a hero,” I said nastily. “I’m a coward. I just came here to find out what the joke is.” I moved sideways while slapping that monstrous piece of ordnance the other way, chopped his wrist, and caught it. Then I handed it back to him. “Don’t play with that thing, or I’ll shove it up your deposition. I’m in a hurry. You’re Doctor Balsamo? You ran that ad?”

“Tut, tut,” he said, not at all annoyed. “Impetuous youth. No, Doctor Balsamo is in there.” He pointed his eyebrows at two doors on the left waft, then pushed a bell button on his desk–the only thing in the room later than Napoleon. “Go in. She’s expecting you.”

” ‘She’? Which door?”

“Ah, the Lady or the Tiger? Does it matter? In the long run? A hero will know. A coward will choose the wrong one, being sure that I lie. Allez-y! Vite, vite! Schnell! Get the lead out, Mac.”

I snorted and jerked open the right-hand door.

The doctor was standing with her back to me at some apparatus against the far wall and she was wearing one of those white, high-collared jackets favored by medical men. On my left was a surgeon’s examining table, on my right a Swedish-modern couch; there were stainless-steel and glass cabinets, and some framed certificates; the whole place was as up-to-date at the outer room was not.

As I closed the door she turned and looked at me and said quietly, “I am very glad that you have come.” Then she smiled and said softly, “You are beautiful,” and came into my arms.

Chapter 4

About a minute and forty seconds and several centuries later “Dr. Balsamo-Helen of Troy” pulled her mouth an inch back from mine and said, “Let me go, please, then undress and lie on the examining table.” I felt as if I had had nine hours of sleep, a needle shower, and three slugs of ice-cold akvavit on an empty stomach. Anything she wanted to do, I wanted to do. But the situation seemed to call for witty repartee. “Huh?” I said.

“Please. You are the one, but nevertheless I must examine you.”

“Well . . . all right,” I agreed. “You’re the doctor,” I added and started to unbutton my shirt. “You are a doctor? Of medicine, I mean.” “Yes. Among other things.”

I kicked out of my shoes. “But why do you want to examine me?”

“For witches’ marks, perhaps. Oh, I shan’t find any, I know. But I must search for other things, too. To protect you.”

That table was cold against my skin. Why don’t they pad those things? “Your name is Balsamo?”

“One of my names,” she said absently while gentle fingers touched me here and there. “A family name, that is.”

“Wait a minute. Count Cagliostro!”

“One of my uncles. Yes, he used that name. Though it isn’t truly his, no more than Balsamo. Uncle Joseph is a very naughty man and quite untruthful.” She touched an old, small scar. “Your appendix has been removed.”

“Yes.”

“Good. Let me see your teeth.”

I opened wide. My face may not be much but I could rent my teeth to advertise Pepsodent. Presently she nodded. “Fluoride marks. Good. Now I must have your blood.”

She could have bitten me in the neck for it and I wouldn’t have minded. Nor been much surprised. But she did it the ordinary way, taking ten cc. from the vein inside my left elbow. She took the sample and put it in that apparatus against the wall. It chirred and whirred and she came back to me. “Listen,

Princess,” I said.

“I am not a princess.”

“Well . . . I don’t know your first name, and you inferred that your last name isn’t really ‘Balsamo’–and I don’t want to call you ‘Doc.’ ” I certainly did not want to call her “Doc”–not the most beautiful girl I had ever seen or hoped to see . . . not after a kiss that had wiped out of memory every other kiss I had ever received. No.

She considered it. “I have many names. What would you like to call me?”

“Is one of them ‘Helen’?”

She smiled like sunshine and I learned that she had dimples. She looked sixteen and in her first party

dress. “You are very gracious. No, she’s not even a relative. That was many, many years ago.” Her face turned thoughtful. “Would you like to call me ‘Ettarre’?”

“Is that one of your names?”

“It is much like one of them, allowing for different spelling and accent. Or it could be ‘Esther’ just as closely. Or ‘Aster.’ Or even ‘Estrellita.’ ”

” ‘Aster,’ ” I repeated. “Star. Lucky Star!”

“I hope that I will be your lucky star,” she said earnestly. “As you will. But what shall I call you?”

I thought about it. I certainly was not going to dig up “Flash–I am not a comic strip. The Army nickname I had held longest was entirely unfit to hand to a lady. At that I preferred it to my given name. My daddy had been proud of a couple of his ancestors–but is that any excuse for hanging “Evelyn Cyril” on a male child? It had forced me to Team to fight before I learned to read.

The name I had picked up in the hospital ward would do. I shrugged. “Oh, Scar is a good enough name.”

” ‘Oscar,’ ” she repeated, broadening the “O” into “Aw,” and stressing both syllables. “A noble name. A hero’s name. Oscar.” She caressed it with her voice.

“No, no! Not ‘Oscar’–‘Scar.’ ‘Scarface.’ For this.”

“Oscar is your name,” she said firmly. “Oscar and Aster. Scar and Star.” She barely touched the scar. “Do you dislike your hero’s mark? Shall I remove it?”

“En? Oh, no. I’m used to it now. It lets me know who it is when I see myself in a mirror.”

“Good. I like it, you wore it when I first saw you. But if you change your mind, let me know.” The gear against the wall went whush, chunk! She turned and took a long strip from it, then whistled softly while she studied it.

“This won’t take long,” she said cheerfully and wheeled the apparatus over to the table. “Hold still while the protector is connected with you, quite still and breathe shallowly.” She made half a dozen connections of tubes to me; they stuck where she placed them. She put over her head what I thought was a fancy stethoscope but after she got it on, it covered her eyes.

She chuckled. “You’re pretty inside, too, Oscar. No, don’t talk.” She kept one hand on my forearm and I waited.

Five minutes later she lifted her hand and stripped off the connections. “That’s all,” she said cheerfully. “No more colds for you, my hero, and you won’t be bothered again by that flux you picked up in the jungle. Now we move to the other room.”

I got off the table and grabbed at my clothes. Star said, “You won’t need them where we are going. Full kit and weapons will be provided.”

I stopped with shoes in one hand and drawers in the other. “Star–”

“Yes, Oscar?”

“What is this all about? Did you run that ad? Was it meant for me? Did you really want to hire me for something?”

She took a deep breath and said soberly, “I advertised. It was meant for you and you only. Yes, there is a job to do . . . as my champion. There will be great adventure . . . and greater treasure . . . and even greater danger–and I fear very much that neither one of us will live through it.” She looked me in the eyes. “Well, sir?”

I wondered how long they had had me in the locked ward. But I didn’t tell her so, because, if that was where I was, she wasn’t there at all. And I wanted her to be there, more than I had ever wanted anything. I said, “Princess . . . you’ve hired yourself a boy.”

She caught her breath. “Come quickly. Time is short.” She led me through a door beyond the Swedish modern couch, unbuttoning her jacket, unzipping her skirt, as she went, and letting garments fall anywhere. Almost at once she was as I had first seen her at the plage.

This room had dark walls and no windows and a soft light from nowhere. There were two tow couches side by side, black they were and looking like biers, and no other furniture. As soon as the door was dosed behind us I was suddenly aware that the room was aching, painfully anechoic; the bare walls gave back no sound.

The couches were in the center of a circle which was part of a large design, in chalk, or white paint, on bare floor. We entered the pattern; she turned and squatted down and completed one line, closing it–and ft was true; she was unable to be awkward, even hunkered down, even with her breasts drooping
as she leaned over.

“What is it?” I asked.

“A map to take us where we are going.”

“It looks more like a pentagram.”

She shrugged. “All right, it is a pentacle of power. A schematic circuit diagram would be a better tag. But, my hero, I can’t stop to explain it. Lie down, please, at once.”

I took the right-hand couch as she signed me, but I couldn’t let ft be. “Star, are you a witch?”

“If you like. Please, no talking now.” She lay down, stretched out her hand. “And join hands with me, my lord; it is necessary.”

Her hand was soft and warm and very strong. Presently the light faded to red, then died away. I slept.

Chapter 5

I woke to singing birds.

Her hand was still in mine. I turned my head and she smiled at me. “Good morning, my lord.”

“Good morning. Princess.” I glanced around. We were still lying on those black couches but they were outdoors, in a grassy dell, a clearing in trees beside a softly chuckling stream–a place so casually beautiful that it looked as if it had been put together leaf by leaf by old and unhurried Japanese gardeners.

Warm sunshine splashed through leaves and dappled her golden body. I glanced up at the sun and back at her. “Is it morning?” It had been noonish or later and that sun ought to DC–seemed to be–setting, not rising-

“It is again morning, here.”

Suddenly my bump of direction spun like a top and I felt dizzy. Disoriented–a feeling new to me and very unpleasant. I couldn’t find north.

Then things steadied down. North was that way, upstream–and the sun was rising, maybe nine in the morning, and would pass across the north sky. Southern Hemisphere. No sweat.

No trick at all–Just give the kook a shot of dope while examining him, lug him aboard a 707 and jet him to New Zealand, replenishing the Mickey Finn as needed. Wake him up when you want him.

Only I didn’t say this and never did think it. And it wasn’t true.

She sat up. “Are you hungry?”

I suddenly realized that an omelet some hours ago–how many? –was not enough for a growing boy. I sat up and swung my feet to the grass. “I could eat a horse.”
She grinned. “The shop of La Societe Anonyme de Hippopnage is closed I’m afraid. Will you settle for trout? We must wait a bit, so we might as well eat. And don’t worry, this place is defended.”

” ‘Defended’?”

“Safe.”

“All right. Uh, how about a rod and hooks?”

“I’ll show you.” What she showed me was not fishing tackle but how to tickle fish. But I knew how. We waded into that lovely stream, just pleasantly cool, moving as quietly as possible, and picked a place under a bulging rock, a place where trout like to gather and think–the fishy equivalent of a gentlemen’s club.

You tickle trout by gaining their confidence and then abusing it. In about two minutes I got one, between two and three pounds, and tossed it onto the bank, and Star had one almost as large. “How much can you eat?” she asked.

“Climb out and get dry,” I said. “I’ll get another one.”

“Make it two or three,” she amended. “Rufo will be along.” She waded quietly out.

“Who?”

“Your groom.”

I didn’t argue. I was ready to believe seven impossible things before breakfast, so I went on catching breakfast. I let it go with two more as the last was the biggest trout I’ve ever seen. Those beggars fairly queued up to be grabbed.

By then Star had a fire going and was cleaning fish with a sharp rock. Shucks, any Girl Scout or witch can make fire without matches. I could myself, given several hours and plenty of luck, just by rubbing two dry cliches together. But I noticed that the two short biers were gone. Well, I hadn’t ordered them. I
squatted down and took over cleaning the trout.

Star came back shortly with fruits that were apple-like but deep purple in color and with quantities of button mushrooms. She was carrying the plunder on a broad leaf, like canna or ti, only bigger. More like banana leaves.

My mouth started to water. “If only we had salt!”

“I’ll fetch it. It will be rather gritty. I’m afraid.”

Star broiled the fish two ways, over the fire on a forked green stick, and on hot flat limestone where he fire had been–she kept brushing the fire along as she fed it and placed fish and mushrooms sizing where it had been. That way was best, I thought. Little fine grasses turned out to be chives, local style, and tiny clover tasted and looked like sheep sorrel. That, with the salt (which was gritty and coarse and may have been licked by animals before we got it–not that I cared) made the trout the best I’ve ever tasted. Well, weather and scenery and company had much to do with it, too, especially the company.

I was trying to think of a really poetic way of saying, “How about you and me shacking up right here for the next ten thousand years? Either legal or informal–are you married?” when we were interrupted. Which was a shame, for I had thought up some pretty language, all new, for the oldest and most practical
suggestion in the world.

Old baldy, the gnome with the oversized six-shooter, was standing behind me and cursing.

I was sure it was cursing although the language was new to me. Star turned her head, spoke in quiet reproval in the same language, made room for him and offered him a trout. He took it and ate quite a bit of it before he said, in English, “Next time I won’t pay him anything. You’ll see.”

“You shouldn’t try to cheat him, Rufo. Have some mushrooms. Where’s the baggage? I want to get dressed.”

“Over there.” He went back to wolfing fish. Rufo was proof that some people should wear clothes. He was pink all over and somewhat potbellied. However, he was amazingly well muscled, which I had never suspected, else I would have been more cautious about taking that cannon away from him. I decided that
if he wanted to Indian-wrestle, I would cheat.

He glanced at me past a pound and a half of trout and said, “Is it your wish to be outfitted now, my lord?”

“Huh? Finish your breakfast. And what’s this ‘my lord’ routine? Last time I saw you you were waving a gun in my face.”

“I’m sorry, my lord. But She said to do it . . . and what She says must be done. You understand.”

“That suits me perfectly. Somebody has to drive. But call me ‘Oscar.’ ”

Rufo glanced at Star, she nodded. He grinned. “Okay, Oscar. No hard feelings?”

“Not a bit.”

He put down the fish, wiped his hand on his thigh, and stuck it out. “Swell! You knock em down, I’ll stomp on ’em.”

We shook hands and each of us tried for the knuckle-cracking grip. I think I got a little the better of it, but I decided he might have been a blacksmith at some time.

Star looked very pleased and showed dimples again She had been lounging by the fire; looking line a hamadryad on her coffee break; now she suddenly reached out and placed her strong, slender hand over our clasped fists. “My stout friends,” she said earnestly. “My good boys. Rufo, it will be well.”

“You have a Sight?” he said eagerly.

“No, just a feeling. But I am no longer worried.”

“We can’t do a thing,” Rufo said moodily, “until we deal with Igli.”

“Oscar will dicker with Igli.” Then she was on her feet in one smooth motion. “Stuff that fish in your face and unpack. I need clothes.” She suddenly looked very eager.

Star was more different women than a platoon of WACs–which is only mildly a figure of speech. Right then she was every woman from Eve deciding between two fig leaves to a modern woman whose ambition is to be turned loose in Nieman-Marcus, naked with a checkbook. When I first met her, she had seemed rather a sobersides and no more interested in clothes than I was. I’d never had a chance to be interested in clothes. Being a member of the sloppy generation was a boon to my budget at college, where blue jeans were au fait and a dirty sweat shirt was stylish.

The second time I saw her she had been dressed, but in that lab smock and tailored skirt she had been both a professional woman and a warm friend. But today–this morning whenever that was–she was increasingly full of Bubbles. She had delighted so in catching fish that she had had to smother squeals of glee. And she had then been the perfect Girl Scout, with soot smudged on her cheek and her hair pushed back out of hazard of the fire while she cooked.

Now she was the woman of all ages who just has to get her hands on new clothes. I felt that dressing Star was like putting a paint job on the crown jewels–but I was forced to admit that, if we were not to do the “Me Tarzan, you Jane” bit right in that dell from then on till death do us part, then clothes of some sort, if only to keep her perfect skin from getting scratched by brambles, were needed.

Rufo’s baggage turned out to be a little black box about the size and shape of a portable typewriter. He opened it.

And opened it again.

And Kept on opening it–And kept right on unfolding its sides and letting them down until the durn thing was the size of a small moving van and even more packed. Since I was nicknamed “Truthful James” as soon as I learned to talk and am widely known to have won the hatchet every February 22nd all through school, you must now conclude that I was the victim of an illusion caused by hypnosis and/or drugs.

Me, I’m not sure. Anyone who has studied math knows that the inside does not have to be smaller than the outside, in theory, and anyone who has had the doubtful privilege of seeing a fat woman get in or out of a tight girdle knows that this is true in practice, too. Rufo’s baggage just carried the principle further.

The first thing he dragged out was a big teakwood chest. Star opened it and started pulling out filmy lovelies.

“Oscar, what do you think of this one?” She was holding a long, green dress against her with the skirt draped over one hip to display it. “Like it?”

Of course I liked it. If it was an original–and somehow I knew that Star never wore copies–I didn’t want to think about what it must have cost. “It’s a mighty pretty gown,” I told her. “But–Look, are we going to be traveling?”

“Right away.”

“I don’t see any taxicabs. Aren’t you likely to get that torn?”

“It doesn’t tear. However, I didn’t mean to wear it; I just meant to show it to you. Isn’t it lovely? Shall I model it for you? Rufo, I want those high-heeled sandals with the emeralds.”

Rufo answered in that language he had been cursing in when he arrived. Star shrugged and said, “Don’t be impatient, Rufo; Igli will wait. Anyhow, we can’t talk to Igli earlier than tomorrow morning; milord Oscar must learn the language first.” But she put the green gorgeousness back in the chest.

“Now here is a little number,” she went on, holding it up, “which is just plain naughty: it has no other purpose.”

I could see why. It was mostly skirt, with a little bodice that supported without concealing–a style favored in ancient Crete, I hear, and still popular in the Overseas Weekly, Playboy, and many night clubs. A style that turns droopers into bulgers. Not that Star needed it.

Rufo tapped me on the shoulder. “Boss? Want to look over the ordnance and pick out what you need?”

Star said reprovingly, “Rufo, life is to be savored, not hurried.”

“We’ll have a lot more life to savor if Oscar picks out what he can use best.”

“He won’t need weapons until after we reach a settlement with Igli.” But she didn’t insist on showing more clothes and, while I enjoyed looking at Star, I like to check over weapons, too, especially when I might have to use them, as apparently the job called for.

While I had been watching Star’s style show, Rufo had laid out a collection that looked like a cross between an army-surplus store and a museum–swords, pistols, a lance that must have been twenty feet long, a flame-thrower, two bazookas flanking a Tommy gun, brass knucks, a machete, grenades, bows and arrows, a misericorde-

“You didn’t bring a slingshot,” I said accusingly.

He looked smug. “Which kind do you like, Oscar? The forked sort? Or a real sling?”

“Sorry I mentioned it. I can’t hit the floor with either sort.” I picked up the Tommy chopper, checked that it was empty, started stripping it. It seemed almost new, just fired enough to let the moving parts work in. A Tommy isn’t much more accurate than a pitched baseball and hasn’t much greater effective range. But it does have virtues–you hit a man with it, he goes down and stays down. It is short and not too heavy and has a lot of firepower for a short time. It is a bush weapon, or for any other sort of close-quarters work.

But I like something with a bayonet on the end, in case the party gets intimate–and I like that something to be accurate at long range in case the neighbors get unfriendly from a distance. I put it down and picked up a Springfield–Rock Island Arsenal, as I saw by its serial number, but still a Springfield. I feel the way about a Springfield that I do about a Gooney Bird; some pieces of machinery are ultimate perfection of their sort, the only possible improvement is a radical change in design.

I opened the bolt, stuck my thumbnail in the chamber, looked down the muzzle. The barrel was bright and the lands were unworn–and the muzzle had that tiny star on it; it was a match weapon!

“Rufo, what sort of country will we be going through? Like this around us?”

“Today, yes. But–” He apologetically took the rifle out of my hands. “It is forbidden to use firearms here. Swords, Knives, arrows–anything that cuts or stabs or mauls by your own muscle power. No guns.”

“Who says so?”

He shivered. “Better ask Her.”

“If we can’t use them, why bring them? And I don’t see any ammunition around anyhow.”

“Plenty of ammunition. Later on we will be at–another place–where guns may be used. If we live that long. I was just showing you what we have. What do you like of the lawful weapons? Are you a bowman?”

“I don’t know. Show me how.” He started to say something, then shrugged and selected a bow, slipped a leather guard over his left forearm, picked out an arrow. “That tree,” he said, “the one with the white rock at the foot of it. I’ll try for about as high off the ground as a man’s heart.”

He nocked the shaft, raised and bent and let fly, all in one smooth motion.

The arrow quivered in the tree trunk about four feet off the ground.

Rufo grinned. “Care to match that?”

I didn’t answer. I knew I could not, except by accident. I had once owned a bow, a birthday present. I hadn’t hit much with it and soon the arrows were lost. Nevertheless I made a production out of selecting a bow, and picked the longest and heaviest.

Rufo cleared his throat apologetically. “If I may make a suggestion, that one will pull quite hard–for a beginner.”

I strung it. “Find me a leather.”

The leather slipped on as if it had been made for me and perhaps it had. I picked an arrow to match, barely looked at it as they all seemed straight and true. I didn’t have any hope of hitting that bloody tree; it was fifty yards away and not over a foot thick. I simply intended to sight a bit high up on the trunk and hope that so heavy a bow would give me a flattish trajectory. Mostly I wanted to nock, bend, and loose all in one motion as Rufo had done–to look like Robin Hood even though I was not.

But as I raised and bent that bow and felt the power of it, I felt a surge of exultance–this tool was right for me! We fitted.

I let fly without thinking.

My shaft thudded a hand’s breadth from his.

“Well shot!” Star called out.

Rufo looked at the tree and blinked, then looked reproachfully at Star. She looked haughtily back. “I did not,” she stated. “You know I would not do that. It was a fair trial . . . and a credit to you both.”

Rufo looked thoughtfully at me. “Hmm–Would you care to make a small bet–you name the odds–that you can do that again?”

“I won’t bet,” I said. “I’m chicken.” But I picked up another arrow and nocked it. I liked that bow, I even liked the way the string whanged at the guard on my forearm; I wanted to try it, feel married to it, again.

I loosed it.

The third arrow grew out of a spot between the first two, but closer to his. “Nice bow,” I said. “I’ll keep it. Fetch the shafts.”

Rufo trotted away without speaking. I unstrung the bow, then started looking over the cutlery. I hoped that I would never again have to shoot an arrow; a gambler can’t expect to draw a pat hand every deal–my next shot would likely turn around like a boomerang.

There was too much wealth of edges and points, from a two-handed broadsword suitable for chopping down trees to a little dagger meant for a lady’s stocking. But I picked up and balanced them all . . . and found there the blade that suited me the way Excalibur suited Arthur.

I’ve never seen one quite like it so I don’t know what to call it. A saber, I suppose, as the blade was faintly curved and razor sharp on the edge and sharp rather far back on the back. But it had a point as deadly as a rapier and the curve was not enough to keep it from being used for thrust and counter quite as well as chopping away meat-axe style. The guard was a bell curved back around the knuckles into a semi-basket but cut away enough to permit full moulinet from any guard.

It balanced in the forte less than two inches from the guard, yet the blade was heavy enough to chop bone. It was the sort of sword that feels as if it were an extension of your body.

The grip was honest sharkskin, molded to my hand. There was a motto chased onto the blade but it was so buried in curlicues that I did not take time to study it out. This girl was mine, we fitted! I returned it and buckled belt and scabbard to my bare waist, wanting the touch of it and feeling like Captain John
Carter, Jeddak of Jeddaks, and the Gascon and his three friends all in one.

“Will you not dress, milord Oscar?” Star asked.

“Eh? Oh, certainly–I was just trying it on for size. But–Did Rufo fetch my clothes?”

“Did you, Rufo?”

“His clothes? He wouldn’t want those things he was wearing in Nice!”

“What’s wrong with wearing Lederhosen with an aloha shirt?” I demanded.

“What? Oh, nothing at all, milord Oscar,” Rufo answered hastily. “Live and let live I always say. I knew a man once who wore–never mind. Let me show you what I fetched for you.”

I had my choice of everything from a plastic raincoat to full armor. I found the latter depressing because its presence implied that it might be needed. Except for an Army helmet I had never worn armor, didn’t want to, didn’t know how–and didn’t care to mix with rude company that made such protection desirable.

Besides, I didn’t see a horse around, say a Percheron or a Clydesdale, and I couldn’t see myself hiking in one of those tin suits. I’d be slow as crutches, noisy as a subway, and hot as a phone booth. Sweat off ten pounds in five miles. The quilted longjohns that go under that ironmongery would have been too much
alone for such beautiful weather; steel on top would turn me into a walking oven and leave me too weak and clumsy to fight my way out of a traffic ticket.

“Star, you said that–” I stopped. She had finished dressing and hadn’t overdone it. Soft leather hiking shoes–buskins really–brown tights, and a short green upper garment halfway between a jacket and a skating dress. This was topped by a perky little hat and the whole costume made her look like a musical corner version of an airline hostess, smart, cute, wholesome, and sexy.

Or maybe Maid Marian, as she had added a double-curve bow about half the size of mine, a quiver, and a dagger. “You,” I said, “look like why the riot started.”

She dimpled and curtsied. (Star never pretended. She knew she was female, she knew she looked good, she liked it that way.) “You said something earlier,” I continued, “about my not needing weapons just yet. Is there any reason why I should wear one of these space suits? They don’t look comfortable.”

“I don’t expect any great danger today,” she said slowly. “But this is not a place where one can call the police. You must decide what you need.”

“But–Damn it. Princess, you know this place and I don’t. I need advice.”

She didn’t answer. I turned to Rufo. He was carefully studying a treetop. I said, “Rufo, get dressed.”

He raised his eyebrows. “Milord Oscar?”

“Schnell! Vite, vite! Get the lead out.”

“Okay.” He dressed quickly, in an outfit that was a man’s version of what Star had selected, with shorts instead of tights.

“Arm yourself,” I said, and started to dress the same way, except that I intended to wear field boots. However, there was a pair of those buskins that appeared to be my size, so I tried them on. They snuggled to my feet like gloves and, anyway, my soles were so hardened by a month barefooted on l’Ile du Levant that I didn’t need heavy boots.

They were not as medieval as they looked; they zipped up the front and were marked inside Fabrique en France.

Pops Rufo had taken the bow he had used before, selected a sword, and had added a dagger. Instead of a dagger I picked out a Solingen hunting knife. I looked longingly at a service .45, but didn’t touch it. If “they,” whoever they were, had a local Sullivan Act, I would go along with the gag.

Star told Rufo to pack, then squatted down with me at a sandy place by the stream and drew a sketch map–route south, dropping downgrade and following the stream except for short cuts, until we reached the Singing Waters. There we would camp for the night.

I got it in my head. “Okay. Anything to warn me about? Do we shoot first? Or wait for them to bomb us?”

“Nothing that I expect, today. Oh, there’s a carnivore about three times the size of a lion. But it is a great coward; it won’t attack a moving man.”

“A fellow after my own heart. All right, we’ll keep moving.”

“If we do see human beings–I don’t expect it–it might be well to nock a shaft . . . but not raise your bow until you feel it is necessary. But I’m not telling you what to do, Oscar; you must decide. Nor will Rufo let fly unless he sees you about to do so.”

Rufo had finished packing. “Okay, let’s go,” I said. We set out. Rufo’s little black box was now rigged as a knapsack and I did not stop to wonder how he could carry a couple of tons on his shoulders. An anti-grav device like Buck Rogers, maybe. Chinese coolie blood. Black magic. Hell, that teakwood chest alone could not have fitted into that backpack by a factor of 30 to I, not to mention the arsenal and assorted oddments.

There is no reason to wonder why I didn’t quiz Star as to where we were, why we were there, how we had got there, what we were going to do, and the details of these dangers I was expected to face. Look, Mac, when you are having the most gorgeous dream of your life and just getting to the point, do you stop to tell yourself that it is logically impossible for that particular babe to be in the hay with you–and thereby wake yourself up? I knew, logically, that everything that had happened since I read that silly ad had been impossible.

So I chucked logic.

Logic is a feeble reed, friend. “Logic” proved that airplanes can’t fly and that H-bombs wont work and that stones don’t fall out of the sky. Logic is a way of saying that anything which didn’t happen yesterday won’t happen tomorrow.

I liked the situation. I didn’t want to wake up, whether in bed, or in a headshrinker ward. Most especially I did not want to wake up still back in that jungle, maybe with that face wound still fresh and no helicopter. Maybe little brown brother had done a full job on me and sent me to Valhalla. Okay, I
liked Valhalla.

I was swinging along with a sweet sword knocking against my thigh and a much sweeter girl matching my strides and a slave-serf-groom-something sweating along behind us, doing the carrying and being our “eyes-behind.” Birds were singing and the landscape had been planned by master landscape architects
and the air smelled sweet and good. If I never dodged a taxi nor read a headline again, that suited me.

That longbow was a nuisance–but so is an M-l. Star had her little bow slung, shoulder to hip. I tried that, but it tended to catch on things. Also, it made me nervous not to have it ready since she had admitted a chance of needing it. So I unslung it and carried it in my left hand, strung and ready.

We had one alarum on the morning hike. I heard Rufo’s bowstring go thwung! –and I whirled and had my own bow ready, arrow nocked, before I saw what was up.

Or down, rather. A bird like a dusky grouse but larger. Rufo had picked it off a branch, right through the neck. I made note not to compete with him again in archery, and to get him to coach me in the fine points.

He smacked his lips and grinned. “Supper!” For the next mile he plucked it as we walked, then hung it from his belt.

We stopped for lunch one o’clockish at a picnic spot that Star assured me was defended, and Rufo opened his box to suitcase size, and served us lunch: cola cuts, crumbly Provencal cheese, crusty French bread, pears, and two bottles of Chablis. After lunch Star suggested a siesta. The idea was appealing; I had eaten heartily and shared only crumbs with the birds, but I was surprised. “Shouldn’t we push on?”

“You must have a language lesson, Oscar.”

I must tell them at Ponce de Leon High School the better way to study languages. You lie down on soft grass near a chuckling stream on a perfect day, and the most beautiful woman in any world bends over you and looks you in the eyes. She starts speaking softly in a language you do not understand.

After a bit her big eyes get bigger and bigger . . . and bigger . . . and you sink into them.

Then, a long time later, Rufo says, “Erbas, Oscar, ‘t knila voorsht.”

“Okay,” I answered, “I am getting up. Don’t rush me.”

That is the last word I am going to set down in a language that doesn’t fit our alphabet. I had several more lessons, and won’t mention them either, and from then on we spoke this lingo, except when I was forced to span gaps by asking in English. It is a language rich in profanity and in words for making love, and richer than English in some technical subjects–but with surprising holes in it. There is no word for “lawyer” for example.

About an hour before sundown we came to the Singing Waters.

We had been traveling over a high, wooded plateau. The brook where we had caught the trout had been joined by other streams and was now a big creek. Below us, at a place we hadn’t reached yet, it would plunge over high cliffs in a super-Yosemite fall. But here, where we stopped to camp, the water had cut a notch into the plateau, forming cascades, before it took that dive.

“Cascades” is a weak word. Upstream, downstream, everywhere you looked, you saw waterfalls–big ones thirty or fifty feet high, little ones a mouse could have jumped up, every size in between. Terraces and staircases of them there were, smooth water green from rich foliage overhead and water white as whipped cream as it splashed into dense foam.

And you heard them. Tiny falls tinkled in silvery soprano, big falls rumbled in basso profundo. On the grassy alp where we camped it was an ever-present chorale; in the middle of the falls you had to snout to make yourself heard.

Coleridge was there in one of his dope dreams:

And here were forests ancient as the hills,
Enfolding sunny spots of greenery.
But oh! that deep romantic chasm which slanted
Down the green hill athwart a cedarn cover
A savage place! as holy and enchanted
As e'er beneath a waning moon was haunted
By woman wailing for her demon-lover!
And from this chasm, with ceaseless turmoil
seething-

Coleridge must have followed that route and reached the Singing Waters. No wonder he felt like killing that “person from Porlock” who broke in on his best dream. When I am dying, lay me beside the Singing Waters and let them be the last I hear and see.

We stopped on a lawn terrace, flat as a promise and soft as a Kiss, and I helped Rufo unpack. I wanted to learn how he did that trick with the box. I didn’t find out. Each side opened as naturally and reasonably as opening up an ironing board–and then when it opened again that was natural and reasonable, too.

First we pitched a tent for Star–no army-surplus job, this; it was a dainty pavilion of embroidered silk and the rug we spread as a floor must have used up three generations of Bukhara artists. Rufo said to me, “Do you want a tent, Oscar?”

I looked up at the sky and over at the not-yet-setting sun. The air was milk warm and I couldn’t believe that it would rain. I don’t like to be in a tent if there is the least chance of surprise attack. “Are you going to use a tent?”

“Me? Oh, no! But She has to have a tent, always. Then, more likely than not. She’ll decade to sleep out on the grass.”

“I won’t need a tent.” (Let’s see, does a “champion” sleep across the door of his lady’s chamber, weapons at hand? I wasn’t sure about the etiquette of such things; they were never mentioned in “Social Studies.”)

She returned then and said to Rufo, “Defended. The wards were all in place.”

“Recharged?” he fretted.

She tweaked his ear. “I am not senile.” She added, “Soap, Rufo. And come along, Oscar; that’s Rufo’s work.”

Rufo dug a cake of Lux out of that caravan load and gave it to her, then looked at me thoughtfully and handed me a bar of Life Buoy.

The Singing Waters are the best bath ever, in endless variety. Still pools from footbath size to plunges you could swim in, sitz baths that tingled your skin, shower baths from just a trickle up to free-springing jets that would beat your brains in if you stood under them too long.

And you could pick your temperature. Above the cascade we used, a hot spring added itself to the main stream and at the base of this cascade a hidden spring welled out icy cold. No need to fool with taps, just move one way or the other for the temperature you like–or move downstream where it evened out to temperature as gently warm as a mother’s kiss.

We played for a while, with Star squealing and giggling when I splashed her, and answering it by ducking me. We both acted like kids; I felt like one, she looked like one, and she played rough, with muscles of steel under velvet.

Presently I fetched the soap and we scrubbed. When she started shampooing her hair, I came up behind her and helped. She let me, she needed help with the lavish mop, six times as much as most gals bother with these days.

That would have been a wonderful time (with Rufo busy and out of the way) to grab her and hug her, then proceed ruggedly to other matters. Nor am I sure that she would nave made even a token protest; she might have cooperated heartily.

Hell, I know she would not have made a “token” protest. She would either have put me in my place with a cold word or a clout in the ear–or cooperated.

I couldn’t do it. I couldn’t even start.

I don’t know why. My intentions toward Star had oscillated from dishonorable to honorable and back again, but had always been practical from the moment I laid eyes on her. No, let me put it this way: My intentions were strictly dishonorable always, but with utter willingness to convert them to honorable, later, as soon as we could dig up a justice of the peace.

Yet I found I couldn’t lay a finger on her other than to help her scrub the soap out of her hair.

While I was puzzling over this, both hands buried in heavy blond hair and wondering what was stopping me from putting my arms around that slender-strong waist only inches away from me, I heard a piercing whistle and my name–my new name. I looked around.

Rufo, dressed in his unlovely skin and with towels over his shoulder, was standing on the bank ten feet away and trying to cut through the roar of water to get my attention.

I moved a few feet toward him. “How’s that again?” I didn’t quite snarl.

“I said, ‘Do you want a shave?’ Or are you growing a beard?”

I had been uneasily aware of my face cactus while I was debating whether or not to attempt criminal assault, and that unease had helped to stop me–Gillette, Aqua Velva, Burma Shave, et al., have made the browbeaten American male, namely me, timid about attempting seduction and/or rape unless freshly planed off. And I had a two-day growth.

“I don’t have a razor,” I called back.

He answered by holding up a straight razor.

Star moved up beside me. She reached up and tried my chin between thumb and forefinger. “You would be majestic in a beard,” she said. “Perhaps a Van Dyke, with sneering mustachios.”

I thought so too, if she thought so. Besides, it would cover most of that scar. “Whatever you say. Princess.”

“But I would rather that you stayed as I first saw you. Rufo is a good barber.” She turned toward him. “A hand, Rufo. And my towel.”

Star walked back toward the camp, toweling herself dry–I would have been glad to help, if asked. Rufo said tiredly, “Why didn’t you assert yourself? But She says to shave you, so now I’ve got to–and rush through my own bath, too, so She won’t be kept waiting.”

“If you’ve got a mirror, I’ll do it myself.”

“Ever used a straight razor?”

“No, but I can learn.”

“You’d cut your throat, and She wouldn’t like that. Over here on the bank where I can stand in the warm water. No, no! Don’t sit on it, lie down with your head at the edge. I can’t shave a man who’s sitting up.” He started working lather into my chin.

“You know why? I learned how on corpses, that’s why, making them pretty so that their loved ones would be proud of them. Hold still! You almost lost an ear. I like to shave corpses; they can’t complain, they don’t make suggestions, they don’t talk back–and they always hold still. Best job I ever had. But now you take this job–” He stopped with the blade against my Adam’s apple and started counting his troubles.

“Do I get Saturday off? Hell, I don t even get Sunday off! And look at the hours! Why, I read just the other day that some outfit in New York–You’ve been in New York?”

“I’ve been in New York. And get that guillotine away from my neck while you’re waving your hands like that.”

“You keep talking, you’re bound to get a little nick now and then. This outfit signed a contract for a twenty-five hour week. Week! I’d like to settle for a twenty-five hour day. You know how long I’ve been on the go, right this minute?”

I said I didn’t.

“There, you talked again. More than seventy hours or I’m a liar! And for what? Glory? Is there glory in a little heap of whitened bones? Wealth? Oscar, I’m telling you the truth; I’ve laid out more corpses than a sultan has concubines and never a one of them cared a soggy pretzel whether they were bedecked in rubies the size of your nose and twice as red . . . or rags. What use is wealth to a dead man? Tell me, Oscar, man to man while She can’t hear: Why did you ever let Her talk you into this?”

“I’m enjoying it, so far.”

He sniffed. “That’s what the man said as be passed the fiftieth floor of the Empire State Building. But the sidewalk was waiting for him, just the same. However,” he added darkly, “until you settle with Igli, it’s not a problem. If I had my kit, I could cover that scar so perfectly that everybody would say, ‘Doesn’t he look natural?’ ”

“Never mind. She likes that scar.” (Damn it, he had me doing it!)

“She would. What I’m trying to get over is, if you walk the Glory Road, you are certain to find mostly rocks. But I never chose to walk it. My idea of a nice way to live would be a quiet little parlor, the only one in town, with a selection of caskets, all prices, and a markup that allowed a little leeway to show
generosity to the bereaved. Installment plans for those with the foresight to do their planning in advance–for we all have to die, Oscar, we all have to die, and a sensible man might as well sit down over a friendly glass of beer and make his plans with a well-established firm he can trust.”

He leaned confidentially over me. “Look, milord Oscar . . . if by any miracle we get through this alive, you could put in a good word for me with Her. Make Her see that I’m too old for the Glory Road. I can do a lot to make your remaining days comfortable and pleasant . . . if your intentions toward me are comradely.”

“Didn’t we shake on it?”

“Ah, yes, so we did.” He sighed. “One for all and all for one, and Pikes Peak or Bust. You’re done.”

It was still light and Star was in her tent when we got back–and my clothes were laid out. I started to object when I saw them but Rufo said firmly, “She said ‘informal’ and that means black tie.”

I managed everything, even the studs (which were amazing big black pearls), and that tuxedo either had been tailored for me or it had been bought off the rack by someone who knew my height, weight, shoulders, and waist. The label inside the jacket read The English House, Copenhagen.

But the tie whipped me. Rufo showed up while I was struggling with it, had me lie down (I didn’t ask why) and tied it in a jiffy. “Do you want your watch, Oscar?”

“My watch?” So far as I knew it was in a doctors examining room in Nice. “You have it?”

“Yes, sir. I fetched everything of yours but your”–he shuddered–“clothes.”

He was not exaggerating. Everything was there, not only the contents of my pockets but the contents of my American Express deposit box: cash, passport, I.D., et cetera, even those Change Alley Sweepstakes tickets.

I started to ask how he had gotten into my lockbox but decided not to. He had had the key and it might have been something as simple as a fake letter of authority. Or as complex as his magical black box. I thanked him and he went back to his cooking.

I started to throw that stuff away, all but cash and passport. But one can’t be a litterbug in a place as beautiful as the Singing Waters. My sword belt had a leather pouch on it; I stuffed it in there, even the watch, which had stopped.

Rufo had set up a table in front of Star’s dainty tent and rigged a light from a tree over it and set candles on the table. It was dark before she came out . . . and waited. I finally realized that she was waiting for my arm. I led her to her place and seated her and Rufo seated me. He was dressed in a plum-colored footman’s uniform.

The wait for Star had been worth it; she was dressed in the green gown she had offered to model for me earlier. I still don’t know that she used cosmetics but she looked not at all like the lusty Undine who had been ducking me an hour earlier. She looked as if she should be kept under glass. She looked like Liza Doolittle at the Ball.

“Dinner in Rio” started to play, blending with the Singing Waters.

White wine with fish, rose wine with fowl, red wine with roast–Star chatted and smiled and was witty. Once Rufo, while bending over to me to serve, whispered, “The condemned ate heartily.” I told him to go to hell out of the corner of my mouth.

Champagne with the sweet and Rufo solemnly presented the bottle for my approval. I nodded. What would he have done if I had turned it down? Offered another vintage? Napolean with coffee. And cigarettes.

I had been thinking about cigarettes all day. These were Benson & Hedges No. 5 . . . and I had been smoking those black French things to save money.

While we were smoking, Star congratulated Rufo on the dinner and he accepted her compliments gravely and I seconded them. I still don’t know who cooked that hedonistic meal. Rufo did much of it but Star may have done the hard parts while I was being shaved.

After an unhurried happy time, sitting over coffee and brandy with the overhead light doused and only a single candle gleamed on her jewels and lighting her face. Star made a slight movement back from the table and I got up quickly and showed her to her tent. She stopped at its entrance. “Milord Oscar–”

So I kissed her and followed her in-

Like hell I did! I was so damned hypnotized that I bowed over her hand and kissed it. And that was hat.

That left me with nothing to do but get out of that borrowed monkey suit, hand it back to Rufo, and get a blanket from him. He had picked a spot to sleep at one side of her tent, so I picked one on the other and stretched out. It was still so pleasantly warm that even one blanket wasn’t needed.

But I didn’t go to sleep. The truth is, I’ve got a monkey on my back, a habit worse than marijuana though not as expensive as heroin. I can stiff it out and get to sleep anyway–but it wasn’t helping that I could see light in Stars tent and a silhouette that was no longer troubled by a dress.

The fact is I am a compulsive reader. Thirty-five cents’ worth of Gold Medal Original will put me right to sleep. Or Perry Mason. But I’ll read the ads in an old Paris-Match that has been used to wrap herring before I’ll do without.

I got up and went around the tent. “Psst! Rufo.”

“Yes, milord.” He was up fast, a dagger in his hand.

“Look, is there anything to read around this dump?”

“What sort of thing?”

“Anything, just anything. Words in a row.”

“Just a moment.” He was gone a while, using a flashlight around that beachhead dump of plunder. He came back and offered me a book and a small camp lamp. I thanked him, went back, and lay down.

It was an interesting book, written by Albertus Magnus and apparently stolen from the British Museum. Albert offered a long list of recipes for doing unlikely things: how to pacify storms and fly over clouds, how to overcome enemies, how to make a woman be true to you-

Here’s that last one: “If thou wilt that a woman bee not visions nor desire men, take the private members of a Woolfe, and the haires which doe grow on the cheekes, or the eye-brows of him, and the hairs which bee under his beard, and burne it all, and give it to her to drinke, when she knowethe not, and she shal desire no other man.”

This should annoy the “Woolfe.” And if I were the gal, it would annoy me, too; it sounds like a nauseous mixture. But that’s the exact formula, spelling and all, so if you are having trouble keeping her in line and have a “Woolfe” handy, try it. Let me know the results. By mail, not in person.

There were several recipes for making a woman love you who does not but a “Woolfe” was by far the simplest ingredient. Presently I put the book down and the light out and watched the moving silhouette on that translucent silk. Star was brushing her hair.

Then I quit tormenting myself and watched the stars, I’ve never learned the stars of the Southern Hemisphere; you seldom see stars in a place as wet as Southeast Asia and a man with a bump of direction doesn’t need them.

But that southern sky was gorgeous.

I was staring at one very bright star or planet (it seemed to have a disk) when suddenly I realized it was moving.

I sat up. “Hey! Star!”

She called back, “Yes, Oscar?”

“Come see! A sputnik. A big one!”

“Coming.” The light in her tent went out, she joined me quickly, and so did good old Pops Rufo, yawning and scratching his ribs. “Where, milord?” Star asked.

I pointed. “Right there! On second thought it may not be a sputnik; it might be one of our Echo series. It’s awfully big and bright.”

She glanced at me and looked away. Rufo said nothing. I stared at it a while longer, glanced at her.

She was watching me, not it. I looked again, watched it move against the backdrop of stars.

“Star,” I said, “that’s not a sputnik. Nor an Echo balloon. That’s a moon. A real moon.”

“Yes, milord Oscar.”

“Then this is not Earth.”

“That is true.”

“Hmm–” I looked back at the little moon, moving so fast among the stars, west to east.

Star said quietly, “You are not afraid, my hero?”

“Of what?”

“Of being in a strange world.”

“Seems to be a pretty nice world.”

“It is,” she agreed, “in many ways.”

“I like it,” I agreed. “But maybe it’s time I knew more about it. Where are we? How many light-years, r whatever it is, in what direction?”

She sighed. “I will try, milord. But it will not be easy; you have not studied metaphysical geometry–nor many other things. Think of the pages of a book–” I still had that cookbook of Albert the Great under my arm; she took it. “One page may resemble another very much. Or be very different. One page can be so close to another that it touches, at all points–yet have nothing to do with the page against it. We are as close to Earth–right now–as two pages in sequence in a book. And yet we are so far away that light-years cannot express it.”

“Look,” I said, “no need to get fancy about it. I used to watch ‘Twilight Zone.’ You mean another dimension. I dig it.”

She looked troubled “That’s somewhat the idea but–”

Rufo interrupted. “There’s still Igli in the morning.”

“Yes,” I agreed. “If we have to talk to Igli in the morning, maybe we need some sleep. I’m sorry. By the way, who is Igli?”

“You’ll find out,” said Rufo.

I looked up at that hurtling moon. “No doubt. Well, I’m sorry I disturbed you all with a silly mistake. Good night, folks.”

So I crawled back into my sleeping sills, like a proper hero (all muscles and no gonads, usually), and they sacked in too. She didn’t put the light back on, so I had nothing to look at but the hurtling moons of Barsoom. I had fallen into a book.

Well, I hoped it was a success and that the writer would keep me alive for lots of sequels. It was a pretty nice deal for the hero, up to this chapter at least. There was Dejah Thoris, curled up in her sleeping silks not twenty feet away.

I thought seriously of creeping up to the flap of her tent and whispering to her that I wanted to ask a few questions about metaphysical geometry and like matters. Love spells, maybe. Or maybe just tell her that it was cold outside and could I come in?

But I didn’t. Good old faithful Rufo was curled up just the other side of that tent and he had a disconcerting habit of coming awake fast with a dagger in his hand. And he liked to shave corpses. As I’ve said, given a choice. I’m chicken.

I watched the hurtling moons of Barsoom and fell asleep.

Chapter 6

Singing birds are better than alarm clocks and Barsoom was never like this. I stretched happily and smelled coffee and wondered if there was time for a dip before breakfast. It was another perfect day, blue and clear and the sun just up, and I felt like killing dragons before lunch. Small ones, that is.

I smothered a yawn and rolled to my feet. The lovely pavilion was gone and the black box mostly repacked; it was no bigger than a piano box. Star was kneeling before a fire, encouraging the coffee. She was a cavewoman this morning, dressed in a hide that was fancy but not as fancy as her own. From an ocelot, maybe. Or from du Pont.

“Howdy, Princess,” I said. “What’s for breakfast? And where’s your chef?”

“Breakfast later,” she said. “Just a cup of coffee for you now, too hot and too black–best you be bad tempered. Rufo is starting the talk with Igli.” She served it to me in a paper cup.

I drank half a cup, burned my mouth and spat out grounds. Coffee comes in five descending stages: Coffee, Java, Jamoke, Joe, and Carbon Remover. This stuff was no better than grade four.

I stopped then, having caught sight of Rufo. And company, lots of company. Along the edge of our terrace somebody had unloaded Noah’s Ark. There was everything there from aardvarks to zebus, most of them with long yellow teeth.

Rufo was facing this picket line, ten feet this side and opposite a particularly large and uncouth citizen. About then that paper cup came apart and scalded my fingers.

“Want some more?” Star asked.

I blew on my fingers. “No, thanks. This is Igli?”

“Just the one in the middle that Rufo is baiting. The rest have come to see the fun, you can ignore them.”

“Some of them look hungry.”

“Most of the big ones are like Cuvier’s devil, herbivorous. Those outsized lions would eat us–if Igli wins the argument. But only then. Igli is the problem.”

I looked Igli over more carefully. He resembled that scion of the man from Dundee, all chin and no forehead, and he combined the less appetizing features of giants and ogres in ‘The Red Fairy Book’. I never liked that book much.

He was vaguely human, using the term loosely. He was a couple of feet taller than I am and outweighed me three or four hundred pounds but I am much prettier. Hair grew on him in clumps, like a
discouraged lawn; and you just knew, without being told, that he had never used a man’s deodorant for manly men. The knots of his muscles had knots on them and his toenails weren’t trimmed.

“Star,” I said, “what’s the nature of the argument we have with him?”

“You must kill him, milord.”

I looked back at him. “Can’t we negotiate a peaceful coexistence? Mutual inspection, cultural exchange, and so forth?”

She shook her head. “He’s not bright enough for that. He’s here to stop us from going down into the valley–and either he dies, or we die.”

I took a deep breath. “Princess, I’ve reached a decision. A man who always obeys the law is even stupider than one who breaks it every chance. This is no time to worry about that local Sullivan Act. I want the flame-thrower, a bazooka, a few grenades, and the heaviest gun in that armory. Can you show me how to dig them out?”

She poked at the fire. “My hero,” she said slowly, “I’m truly sorry–but it isn’t that simple. Did you notice, last night when we were smoking, that Rufo lighted our cigarettes from candles? Not using even so much as a pocket lighter?”

“Well . . . no. I didn’t give it any thought.”

“This rule against firearms and explosives is not a law such as you have back on Earth. It is more than hat; it is impossible to use such things here. Else such things would be used against us.”

“You mean they won t work?”

“They will not work. Perhaps ‘hexed’ is the word.”

“Star. Look at me. Maybe you believe in hexes. I don’t. And I’ll give you seven to two that Tommy uns don’t, either. I intend to find out. Will you give me a hand in unpacking?”

For the first time she looked really upset. “Oh, milord, I beg of you not to!”

“Why not?”

“Even the attempt would be disastrous. Do you believe that I know more about the hazards and dangers–and laws–of this world than you do? Will you believe me when I say that I would not have you
die, that in solemn truth my own life and safety depend on yours? Please!”

It is impossible not to believe Star when she lays it on the line. I said thoughtfully, “Maybe you’re right–or that character over there would be carrying a six-inch mortar as a side arm. Uh, Star, I’ve got a still better idea. Why don’t we high tail it back the way we came and homestead that spot where we caught the fish? In five years well have a nice little farm. In ten years, after the word gets around, we’ll have a nice little motel, too, with a free-form swimming pool and a putting green.”

She barely smiled. “Milord Oscar, there is no turning back.”

“Why not? I could find it with my eyes closed.”

“But they would find us. Not Igli but more like him would be sent to harry and kill us.”

I sighed again. “As you say. They claim motels off the main highway are a poor risk anyhow. There’s a attle-axe in that duffel. Maybe I can chop his feet off before he notices me.” She shook her head again. I said, “What’s the matter now? Do I have to fight him with one foot in a ucket? I thought anything that cut or stabbed–anything I did with my own muscles–was okay?”

“It is okay, milord. But it won’t work.”

“Why not?”

“Igli can’t be killed. You see, he is not really alive. He is a construct, made invulnerable for this one urpose. Swords or knives or even axes will not cut him; they bounce off. I have seen it.”

“You mean he is a robot?”

“Not if you are thinking of gears and wheels and printed circuits. ‘Golem’ would be closer. The Igli is an imitation of life.” Star added, “Better than life in some ways, since there is no way–none that I know of–to kill him. But worse, too, as Igli isn’t very bright nor well balanced. He has conceit without judgment. Rufo is working on that now, warming him up for you, getting him so mad he can’t think straight.”

“He is? Gosh! I must be sure to thank Rufo for that. Thank him too much. I think. Well, Princess, what m I supposed to do now?”

She spread her hands as if it were all self-evident. “When you are ready, I will loose the wards–and then you will kill him.”

“But you just said–” I stopped. When they abolished the French Foreign Legion very few cushy billets were left for us romantic types. Umbopa could have handled this. Conan, certainly. Or Hawk Carse. Or even Don Quixote, for that thing was about the size of a windmill. “All right. Princess, let’s get on with it. Is it okay for me to spit on my hands? Or is that cheating?”

She smiled without dimpling and said gravely, “Milord Oscar, we will all spit on our hands; Rufo and I will be fighting right beside you. Either we win . . . or we all die.”

We walked over and joined Rufo. He was making donkeys ears at Igli and shouting, “Who’s your father, Igli? Your mother was a garbage can but who’s your father? Look at him! No belly button!
Yaaa!”

Igli retorted, “Your mother barks! Your sister gives green stamps!”–but rather feebly, I thought. It was plain that that remark about belly buttons had cut him to the quick–he didn’t have one. Only reasonable, I suppose.

The above is not quite what either of them said, except the remark about the belly button. I wish I could put it in the original because, in the Nevian language, the insult is a high art at least equal to poetry. In fact the epitome of literary grace is to address your enemy (publicly) in some difficult verse form, say the sestina, with every word dripping vitriol.

Rufo cackled gleefully. “Make one, Igli! Push your finger in and make one. They left you out in the rain and you ran. They forgot to finish you. Call that thing a nose?” He said in an aside to me, in English, “How do you want him. Boss? Rare? Or well done?”

“Keep him busy while I study the matter. He doesn’t understand English?”

“Not a bit.”

“Good. How close can I go to him without getting grabbed?”

“Close as you like as long as the wards are up. But, Boss–look. I’m not supposed to advise you–but when we get down to work, don’t let him get you by the plums.”

“I’ll try not to.”

“You be careful.” Rufo turned his head and shouted, “Yaaa! Igli picks his nose and eats it!” He added, “She is a good doctor, the best, but just the same, you be careful.”

“I will.” I stepped closer to the invisible barrier, looked up at this creature. He glared down at me and made growling noises, so I thumbed my nose at him and gave him a wet, fruity Bronx cheer. I was downwind and it seemed likely that he hadn’t had a bath in thirty or forty years; he smelled worse than a locker room at the half.

It gave me a seed of an idea. “Star, can this cherub swim?”

She looked surprised. “I really don’t know.”

“Maybe they forgot to program him for it. How about you, Rufo?”

Rufo looked smug. “Try me, just try me. I could teach fish. Igli! Tell us why the sow wouldn’t kiss you!”

Star could swim like a seal. My style is more like a ferryboat but I get there. “Star, maybe that thing can’t be killed but it breathes. It’s got some sort of oxygen metabolism, even if it burns kerosene. If we held his head underwater for a while–as long as necessary–I’ll bet the fire would go out.”

She looked wide-eyed. “Milord Oscar . . . my champion . . . I was not mistaken in you.”

“It’s going to take some doing. Ever play water polo, Rufo?”

“I invented it.”

I hoped he had. I had played it–once. Like being ridden on a rail, it is an interesting experience–once. “Rufo, can you lure our chum down toward the bank? I take it that the barrier follows this line of furry and feathery friends? If it does, we can get him almost to that high piece of bank with the deep pool under it–you know, Star, where you dunked me the first time.”

“Nothing to it,” said Rufo. “We move, he’ll come along.”

“I d like to get him running. Star, how long does it take you to unswitch your fence?”

“I can loose the wards in an instant, milord.”

“Okay, here’s the plan. Rufo, I want you to get Igli to chasing you, as fast as possible–and you cut out and head for that high bank just before you reach the stream. Star, when Rufo does that, you chop off the barrier–loose the wards–instantly. Don’t wait for me to say so. Rufo, you dive in and swim like hell; don’t let him grab you. With any luck, if Igli is moving fast, as big and clumsy as he is he’ll go in, too, whether he means to or not. But I’ll be pacing you, flanking you and a bit behind you. If Igli manages to put on the brakes, I’ll hit him with a low tackle and knock him in. Then we all play water polo.”

“Water polo I have never seen,” Star said doubtfully.

“There won’t be any referee. All it means this time is that all three of us jump him, in the water, and shove his head under and keep it there–and help each other to keep him from shoving our heads under. Big as he is, unless he can outswim us he’ll be at a terrible disadvantage. We go on doing this until he is limp and stays limp, never let him get a breath. Then, to make sure, well weigh him down with stones–it won’t matter whether he’s really dead or not. Any questions?”

Rufo grinned like a gargoyle. “This is going to be fun!”

Both those pessimists seemed to think that it would work, so we got started. Rufo shouted an allegation about Igli’s personal habits that even Olympia Press would censor, then dared Igli to race him,
offering an obscene improbability as a wager.

It took Igli a lumbering long time to get that carcass moving but when he did get rolling, he was faster than Rufo and left a wake of panicked animals and birds behind him. I’m pretty fast but I was hard pushed to hold position on the giant, flanking and a few paces back, and I hoped that Star would not loose the wards if it appeared that Igli might catch Rufo on dry land.

However, Star did loose the wards just as Rufo cut away from the barrier, and Rufo reached the bank and made a perfect racing dive without slowing down, all to plan.

But nothing else was.

I think Igli was too stupid to twig at once that the barrier was down. He kept on a few paces after Rufo had gone left oblique, then did cut left rather sharply. But he had lost speed and he didn’t have any trouble stopping on dry land.

I hit him a diving tackle, illegal and low, and down he went–but not over into the water. And suddenly I had a double armful of struggling and very smelly Golem.

But I had a wildcat helping me at once, and quickly thereafter Rufo, dripping wet, added his vote.

But it was a stalemate and one that we were bound to lose in time. Igli outweighed all of us put together and seemed to be nothing but muscle and stink and nails and teeth. We were suffering bruises, contusions, and flesh wounds–and we weren’t doing Igli any damage, Oh, he screamed like a TV grunt & groaner every time one of us twisted an ear or bent back a finger, but we weren’t really hurting him and he was decidedly hurting us. There wasn’t a chance of dragging that hulk into the water.

I had started with my arms around his knees and I stayed that way, of necessity, as long as I could, while Star tried to weigh down one of his arms and Rufo the other. But the situation was fluid; Igli
thrashed like a rattler with its back broken and was forever getting one limb or another free and trying to gouge and bite. It got us into odd positions and I found myself hanging onto one callused foot, trying to twist it off, while I stared into his open mouth, wide as a bear trap and less appetizing. His teeth needed
cleaning.

So I shoved the toe of his foot into his mouth.

Igli screamed, so I kept on shoving, and pretty soon he didn’t have room to scream. I kept on pushing.

When he had swallowed his own left leg up to the knee, be managed to wrench his right arm loose from Star and grabbed at his disappearing leg–and I grabbed his wrist. “Help me!” I yelped to Star.

“Push!”

She got the idea and shoved with me. That arm went into his mouth to the elbow and the leg went farther in, quite a bit of the thigh. By, then Rufo was working with us and forced Igli’s left hand in past his cheek and into the jaws. Igli wasn’t struggling so hard by then, short on air probably, so getting the toe of his right foot started into his mouth simply required determination, with Rufo hauling back on his hairy nostrils while I bore down with a Knee on his chin and Star pushed.

We kept on feeding him into his mouth, gaining an inch at a time and never letting up. He was still quivering and trying to get loose when we had him rolled up clear to his hips, and his rank armpits about to disappear.

It was like rolling a snowball in reverse; the more we pushed, the smaller he got and the more his mouth stretched–ugliest sight I ever have seen. Soon he was down to the size of a medicine ball . . . and then a soccer ball . . . then a baseball and I rolled him between my palms and kept pushing, hard.

–a golf ball, a marble, a pea . . . and finally there was nothing but some dirty grease on my hands.

Rufo took a deep breath. “I guess that’ll teach him not to put his foot in his mouth with his betters. Who’s ready for breakfast?”

“I want to wash my hands first,” I said.

We all bathed, using plenty of soap, then Star took care of our wounds and had Rufo treat hers, under her instructions. Rufo is right; Star is the best medic. The stuff she used on us did not sting, the cuts closed up, the flexible dressings she put over them did not have to be changed, and fell off in time with no infection and no scars. Rufo had one very bad bite, about forty cents’ worth of hamburger out of his left buttock, but when Star was through with him, he could sit down and it didn’t seem to bother him.

Rufo fed us little golden pancakes and big German sausages, popping with fat, and gallons of good coffee. It was almost noon before Star loosed the wards again and we set out for our descent down the cliff.

Chapter 7

The descent beside the great waterfall into Nevia valley is a thousand feet and more than sheer; the cliff overhangs and you go down on a line, spinning slowly like a spider. I don’t advise this; it is dizzy-making and I almost lost those wonderful pancakes.

The view is stupendous. You see the waterfall from the side, free-springing, not wetting the cliff, and falling so far that it shrouds itself in mist before it hits bottom. Then as you turn you face frowning cliff, then a long look out over a valley too lush and green and beautiful to be believed–marsh and forest at the
foot of the cliff, cultivated fields in middle distance a few miles away, then far beyond and hazy at the base but sharp at the peaks a mighty wall of snow-covered mountains.

Star had sketched the valley for me. “First we fight our way through the marsh. After that it is easy going–we simply have to look sharp for blood kites. Because we come to a brick road, very nice.”

“A yellow brick road?” I asked.

“Yes. That’s the clay they have. Does it matter?”

“I guess not. Just don’t make a hobbit of it. Then what?”

“After that we’ll stop overnight with a family, the squire of the countryside there. Good people, you’ll enjoy them.”

“And then the going gets tough,” Rufo added.

“Rufo, don’t borrow trouble!” Star scolded. “You will please refrain from comments and allow Oscar to cope with his problems as he comes to them, rested, clear-eyed, and unworried. Do you know
anyone else who could have handled Igli?”

“Well, since you put it that way . . . no.”

“I do put it that way. We all sleep in comfort tonight. Isn’t that enough? You’ll enjoy it as much as anyone.”

“So will you.”

“When did I ever fail to enjoy anything? Hold your tongue. Now, Oscar, at the root of the cliff are the Horned Ghosts–no way to avoid them, they’ll see us coming down. With luck we won’t see any of the Cold Water Gang; they stay back in the mists. But if we have the bad luck to encounter both, we may have the good luck that they will fight each other and let us slip away. The path through the marsh is tricky; you had best study, this sketch until you know it. Solid footing is only where little yellow flowers
grow no matter how solid and dry a piece looks. But, as you can see, even if you stay carefully on the safe bits, there are so many side trails and dead ends that we could wander all day and be trapped by darkness–and never get out.”

So here I was, coming down first, because the Horned Ghosts would be waiting at the bottom. My privilege. Wasn’t I a “Hero”? Hadn’t I made Igli swallow himself?

But I wished that the Horned Ghosts really were ghosts. They were two-legged animals, omnivorous. They ate anything, including each other, and especially travelers. From the belly up they were described to me as much like the Minotaur; from there down they were splayfooted satyrs. Their upper limbs were short arms but without real hands–no thumbs.

But oh those horns! They had horns like Texas longhorns, but sticking up and forward.

However, there is one way of converting a Horned Ghost into a real ghost. It has a soft place on its skull, like a baby’s soft spot, between those horns. Since the brute charges head down, attempting to impale you, this is the only vulnerable spot that can be reached. All it takes is to stand your ground, don’t flinch, aim for that one little spot–and hit it.

So my task was simple. Go down first, kill as many as necessary to insure that Star would have a safe spot to land, then stand fast and protect her until Rufo was down. After that we were free to carve our way through the marsh to safety. If the Cold Water Gang didn’t join the party-

I tried to ease my position in the sling I was riding–my left leg had gone to sleep–and looked down. A hundred feet below the reception committee had gathered.

It looked like an asparagus patch. Of bayonets.

I signaled to stop lowering. Far above me, Rufo checked the line; I hung there, swaying, and tried to think. If I had them lower me straight into that mob, I might stick one or two before I myself was
impaled. Or maybe none–The only certainty was that I would be dead long before my friends could join me.

On the other hand, besides that soft spot between the horns, each of these geeks had a soft underbelly, just made for arrows. If Rufo would lower me a bit-

I signaled to him. I started slowly down, a bit jerkily, and he almost missed my signal to stop again. I had to pull up my feet; some of those babies were a-snorting and a-ramping around and shoving each other for a chance to gore me. One Nijinsky among them did manage to scrape the sole of my left buskin, giving me goose flesh clear to my chin.

Under that strong inducement I pulled myself hand over hand up the line far enough to let me get my feet into the sling instead of my fanny. I stood in it hanging onto the line and standing on one foot and then on the other to work pins and needles out. Then I unslung my bow and strung it. This feat would have been worthy of a trained acrobat–but have you ever tried to bend a bow and let fly while standing in a bight at one end of a thousand-foot line and clinging to the line with one hand?

You lose arrows that way. I lost three and almost lost me.

I tried buckling my belt around the line. That caused me to hang upside down and lost me my Robin Hood hat and more arrows. My audience liked that one; they applauded–I think it was applause–so, for an encore, I tried to shift the belt up around my chest to enable me to hang more or less straight down–and maybe get off an arrow or two.

I didn’t quite lose my sword.

So far, my only results had been to attract customers (“Mama, see the funny man!”) and to make myself swing back and forth like a pendulum.

Bad as the latter was, it did give me an idea. I started increasing that swing, pumping it up like a playground swing. This was slow wore and it took a while to get the hang of it, as the period of that
pendulum of which I was the weight was over a minute–and it does no good to try to hurry a pendulum; you have to work with it, not against it. I hoped my friends could see well enough to guess what I was doing and not foul it up.

After an unreasonably long time I was swinging back and forth in a flattish arc about a hundred feet fang, passing very fast over the heads of my audience at the bottom of each swing, slowing to a stop at the end of each swing. At first those spike heads tried to move with me, but they tired of that and squatted near the midpoint and watched, their heads moving as I swung, like spectators of a slow-motion tennis match.

But there is always some confounded innovator. My notion was to drop off at one end of this arc where it just missed the cuff and make a stand there with my back to the wall. The ground was higher there, I would not have so far to drop. But one of those horned horrors figured it out and trotted over to that end of the swing. He was followed by two or three more.

That settled it; I would nave to drop off at the other end. But young Archimedes figured that out, too. He left his buddies at the cliff face and trotted after me. I pulled ahead of him at the low point of the swing–but slowed down and he caught up with me long before I reached the dead point at the end. He had only a hundred feet to do in about thirty seconds–a slow walk. He was under me when I got there.

The odds wouldn’t improve; I kicked my feet clear, hung by one hand and drew sword during that too-slow traverse, and dropped off anyway. My notion was to spit that tender spot on his head before my feet touched the ground.

Instead, I missed and he missed and I knocked him sprawling and sprawled right after him and rolled to my feet and ran for the cuff face nearest me, poking that genius in his belly with my sword without stopping.

That foul blow saved me. His friends and relatives stopped to quarrel over who got the prime ribs before a clot of them moved in my direction. This gave me time to set my feet on a pile of scree at the base of the cliff, where I could play “King of the Castle,” and return my sword and nock an arrow.

I didn’t wait for them to rush me. I simply waited until they were close enough that I could not miss, took a bead on the wishbone of the old bull who was leading them, if he had a wishbone, and let that shaft go with every pound of that heavy bow.

It passed through him and stuck into one behind him.

This led to another quarrel over the price of chops. They ate them, teeth and toenails. That was their weakness: all appetite and too little brain. If they had cooperated, they could have had me in one rush when I first hit the ground. Instead they stopped for lunch.

I glanced up. High above me, Star was a tiny spider on a thread; she grew rapidly larger. I moved crabwise along the wall until I was opposite the point, forty feet from the cliff, where she would touch ground.

When she was about fifty feet up, she signaled Rufo to stop lowering, drew her sword and saluted me. “Magnificent, my Hero!” We were all wearing swords; Star had chosen a dueling sword with a 34″ blade–a big sword for a woman but Star is a big woman. She had also packed her belt pouch with medic’s supplies, an ominous touch had I noticed, but did not, at the time.

I drew and returned her salute. They were not bothering me yet, although some, having finished lunch or having been crowded out, were milling around and looking me over. Then I sheathed again, and nocked an arrow. “Start pumping it up. Star, right toward me. Have Rufo lower you a bit more.”

She returned sword and signaled Rufo. He let her down slowly until she was about nine feet off the ground, where she signaled a stop. “Now pump it up!” I called out. Those bloodthirsty natives had forgotten me; they were watching Star, those not still busy eating Cousin Abbie or Great-Uncle John.

“All right,” she answered. “But I have a throwing line. Can you catch it?”

“Oh!” The smart darling had watched my maneuvers and had figured out what would be needed. “Hold it a moment! Ill make a diversion.” I reached over my shoulder, counted arrows by touch–seven. I had started with twenty and made use of one; the rest were scattered, lost.

I used three in a hurry, right, left, and ahead, picking targets as far away as I dared risk, aiming at midpoint and depending on that wonderful bow to take those shafts straight and flat. Sure enough, the crowd went for fresh meat like a government handout. “Now!”

Ten seconds later I caught her in my arms and collected a split-second kiss for toll.

Ten minutes later Rufo was down by the same tactics, at a cost of three of my arrows and two of Star’s smaller ones. He had to lower himself, sitting in the bight and checking the free end of the line under both armpits; he would have been a sitting duck without help. As soon as he was untangled from the line, he started jerking it down off the cliff, and faking it into a coil.

“Leave that!” Star said sharply. “We haven’t time and it’s too heavy to carry.”

“I’ll put it in the pack.”

“No.”

“It’s a good line,” Rufo persisted. “We’ll need it.”

“You’ll need a shroud if we’re not through the marsh by nightfall.” Star turned to me. “How shall we arch, milord?”

I looked around. In front of us and to the left a few jokers still milled around, apparently hesitant about getting closer. To our right and above us the great cloud at the base of the Tails made iridescent lace in the sky. About three hundred yards in front of us was where we would enter the trees anjust beyond the marsh started.

We went downhill in a tight wedge, myself on point, Rufo and Star following on flank, all of us with arrows nocked. I had told them to draw swords if any Homed Ghost got within fifty feet.

None did. One idiot came straight toward us, alone, and Rufo knocked him over with an arrow at twice that distance. As we came up on the corpse Rufo drew his dagger. “Let it be!” said Star. She eemed edgy.

“I’m just going to get the nuggets and give them to Oscar.”

“And get us all killed. If Oscar wants nuggets, he shall have them.”

“What sort of nuggets?” I asked, without stopping.

“Gold, Boss. Those blighters have gizzards like a chicken. But gold is all they swallow for it. Old ones ield maybe twenty, thirty pounds.”

I whistled.

“Gold is common here,” Star explained. “There is a great heap of it at the base of the falls, inside the loud, washed down over eons. It causes fights between the Ghosts and the Cold Water Gang, ecause
the Ghosts have this odd appetite and sometimes risk entering the cloud to satisfy it.”

“I haven’t seen any of the Cold Water Gang yet,” I commented.

“Pray God you don’t,” Rufo answered.

“All the more reason to get deep into the marsh,” Star added. “The Gang doesn’t go into it and even the Ghosts don’t go far in. Despite their splay feet, they can be sucked under.”

“Anything dangerous in the swamp itself?”

“Plenty,” Rufo told me. “So be sure you step on the yellow flowers.”

“Watch where you put your own feet. If that map was right, I won’t lose us. What does a Cold Water Gangster look like?”

Rufo said thoughtfully, “Ever seen a man who had been drowned for a week?” I let the matter drop.

Before we got to the trees I had us sling bows and draw swords. Just inside the cover of trees, they jumped us. Horned Ghosts, I mean, not the Cold Water Gang. An ambush from all sides, I don’t know how many. Rufo killed four or five and Star at least two and I danced around, looking active and trying to survive.

We had to climb up and over bodies to move on, too many to count.

We kept on into the swamp, following the little golden pathfinder flowers and the twists and turns of the map in my head. In about half an hour we came to a clearing big as a double garage. Star said faintly, “This is far enough.” She had been holding one hand pressed to her side but bad not been willing to stop until then, although blood stained her tunic and all down the left leg of her tights.

She let Rufo attend her first, while I guarded the bottleneck into the clearing. I was relieved not to be asked to help, as, after we gently removed her tunic, I felt sick at seeing how badly she had been gored–and never a peep out of her. That golden body–hurt!

As a knight errant, I felt like a slob.

But she was chipper again, once Rufo had followed her instructions. She treated Rufo, then treated me–half a dozen wounds each but scratches compared with the rough one she had taken.

Once she had me patched up she said, “Milord Oscar, how long will it be until we are out of the marsh?”

I ran through it in my head. “Does the going get any worse?”

“Slightly better.”

“Not over an hour.”

“Good. Don’t put those filthy clothes back on. Rufo, unpack a bit and well have clean clothes and more arrows. Oscar, well need them for the blood kites, once we are out of the trees.”

The little black box filled most of the clearing before it was unfolded enough to let Rufo get out clothes and reach the arsenal. But clean clothes and lull quiver made me feel like a new man, especially after Rufo dug out a half liter of brandy and we split it three ways, gurglegurgle! Star replenished her medic’s pouch, then I helped Rufo fold up the luggage.

Maybe Rufo was giddy from brandy and no lunch. Or perhaps from loss of blood. It could have been just the bad luck of an unnoticed patch of slippery mud. He had the box in his arms, about to make the last closure that would fold it to knapsack size, when he slipped, recovered violently, and the box sailed out of his arms into a chocolate-brown pool.

It was far out of reach. I yelled, “Rufo, off with your belt!” I was reaching for the buckle of mine.

“No, no!” screamed Rufo. “Stand back! Get clear!”

A corner of the box was still in sight. With a safety line on me I knew I could get it, even if there was no bottom to the pool. I said so, angrily.

“No, Oscar!” Star said urgently. “He’s right. We march. Quickly.”

So we marched–me leading. Star breathing on my neck, Rufo crowding her heels.

We had gone a hundred yards when there was a mud volcano behind us. Not much noise, just a bass rumble and a slight earthquake, then some very dirty rain. Star quit hurrying and said pleasantly, “Well, that’s that.”

Rufo said, “And all the liquor was in it!”

“I don’t mind that,” Star answered. “Liquor is everywhere. But I had new clothes in there, pretty ones, Oscar. I wanted you to see them; I bought them with you in mind.”

I didn’t answer. I was thinking about a flame-thrower and an M-1 and a couple of cases of ammo. And the liquor, of course.

“Did you hear me, milord?” she persisted. “I wanted to wear them for you.”

“Princess,” I answered, “you have your prettiest clothes right with you, always.”

I heard the happy chuckle that goes with her dimples. “I’m sure that you have often said that before. And no doubt with great success.”

We were out of the swamp long before dark and hit the brick road soon after. Blood kites are no problem. They are such murderous things that if you shoot an arrow in the direction of one of their dives, a kite will swerve and pluck it out of the air, getting the shaft right down its gullet. We usually recovered the arrows.

We were among plowed fields soon after we reached the road and soon the blood kites thinned out. Just at sundown we could see outbuildings and the lights in the manor where Star said that we would spend the night.

Chapter 8

Milord Doral ‘t Giuk Dorali should have been a Texan. I don’t mean that the Doral could have been mistaken for a Texan but he had that you-paid-for-the-lunch-I’ll-pay-for-the-Cadillacs xpansiveness.

His farmhouse was the size of a circus tent and as lavish as a Thanksgiving dinner–rich, sumptuous, fine carvings and inlaid jewels. Nevertheless it had a sloppy, lived-in look and if you didn’t watch where you put your feet, you would step on a child’s toy on a broad, sweeping staircase and wind up with a broken collarbone. There were children and dogs underfoot everywhere and the youngest of each weren’t housebroken. It didn’t worry the Doral. Nothing worried the Doral, he enjoyed life.

We had been passing through his fields for miles (rich as the best Iowa farmland and no winters; Star told me they produced four crops a year)–but it was late in the day and an occasional field hand was all we saw save for one wagon we met on the road. I thought that it was pulled by a team of two pairs of horses. I was mistaken; the team was but one pair and the animals were not horses, they had eight legs each.

All of Nevia valley is like that, the commonplace mixed with the wildly different. Humans were humans, dogs were dogs–but horses weren’t horses. Like Alice trying to cope with the Flamingo, every time I thought I had it licked, t would wiggle loose.

The man driving those equine centipedes stared but not because we were dressed oddly; he was dressed as I was. He was staring at Star, as who wouldn’t? The people working in fields had mostly been dressed in sort of a lava-lava. This garment, a simple wraparound tied off at the waist, is the equivalent in Nevia of overalls or blue jeans for both men and women; what we were wearing was equal to the Gray Flannel Suit or to a woman s basic black. Party or formal clothes–well, that’s another matter.

As we turned into the grounds of the manor we picked up a wake of children and dogs. One kid ran ahead and, when we reached the broad terrace in front of the main house, milord Doral himself came out the great front door. I didn’t pick him for lord of the manor; he was wearing one of those short sarongs, was barefooted and bareheaded. He had thick hair, shot with gray, an imposing beard, and looked like General U. S. Grant.

Star waved and called out, “Jock! Oh, Jocko!” (The name was “Giuk,” but I caught it as “Jock” and Jock he is.)

The Doral stared at us, then lumbered forward like a tank, “Ettyboo! Bless your beautiful blue eyes! Bless your bouncy little bottom! Why didn’t you let me know?” (I have to launder this because Nevian idioms don’t parallel ours. Try translating certain French idioms literally into English and you’ll see what I mean. The Doral was not being vulgar; he was being formally and gallantly polite to an old and highly respected friend.)

He grabbed Star in a hug, lifted her off her feet, kissed her on both cheeks and on the mouth, gnawed one ear, then set her down with an arm around her. “Games and celebrations! Three months of holiday! Races and rassling every day, orgies every night! Prizes for the strongest, the fairest, the wittiest–”

Star stopped him. “Milord Doral–”

“Eh? And a prize of all prizes for the first baby born–”

“Jocko darling! I love you dearly, but tomorrow we must ride. All we ask is a bone to gnaw and a corner to sleep in.”

“Nonsense! You can’t do this to me.”

“You know that I must.”

“Politics be damned! I’ll die at your feet, Sugar Pie. Poor old Jocko’s heart will stop. I feel an attack coming right now.” He felt around his chest. “Someplace here–”

She poked him in the belly. “You old fraud. You’ll die as you’ve lived, and not of heartbreak. Milord Doral–”

“Yes, milady?”

“I bring you a Hero.”

He blinked. “You’re not talking about Rufo? Hi, Rufe, you old polecat! Heard any good ones lately? Get back to the kitchen and pick yourself a lively one.”

“Thank you, milord Doral.” Rufo “made a leg,” bowing deeply, and left us.

Star said firmly, “If the Doral please.”

“I hear.”

Star untangled his arm, stood straight and tall and started to chant:

“By the Singing Laughing Waters

“Came a Hero Fair and Fearless.

“Oscar hight this noble warrior,

“Wise and Strong and never daunted,

“Trapped the Igli with a question,

“Caught him out with paradoxes,

“Shut the Igli’s mouth with Igli.

“Fed him to him, feet and fingers!

“Nevermore the Singing Waters . . .

It went on and on, none of it lies yet none of it quite true–colored like a press agent’s handout. For example, Star told him that I had killed twenty-seven Horned Ghosts, one with my bare hands. I don’t remember that many and as for “bare hands,” that was an accident. I had just stabbed one of those vermin as another one tumbled at my feet, shoved from behind. I didn’t have time to get my sword clear, so I set a foot on one horn and pulled hard on the other with my left hand and his head came apart like snapping a wishbone. But I had done it from desperation, not choice.

Star even ad-libbed a long excursus about my father’s heroism and alleged that my grandaddy had led the chaise at San Juan Hill and then started in on my great-grandfathers. But when she told him how I had picked up that scar that runs from left eye to right jaw, she pulled out all the stops.

Now look, Star had quizzed me the first time I met her and she had encouraged me to tell her more during that long hike the day before. But I did not give her most of the guff she was handing the Doral. She must have had the Surete, the FBI, the Archie Goodwin on me for months. She even named the team we had played against when I busted my nose and I never told her that.

I stood there blushing while the Doral looked me up and down with whistles and snorts of appreciation. When Star ended, with a simple: “Thus it happened,” he let out a long sigh and said, “Could we have that part about Igli over again?”

Star complied, chanting different words and more detail. The Doral listened, frowning and nodding approval. “A heroic solution,” he said. “So he’s a mathematician, too. Where did he study?”

“A natural genius, Jock.”

“It figures.” He stepped up to me, looked me in the eye and put his hands on my shoulders. “The Hero who confounds Igli may choose any house. But he will honor my home by accepting hospitality of roof . . . and table . . . and bed?”

He spoke with great earnestness, holding my eye; I had no chance to look at Star for a hint. And I wanted a hint. The person who says smugly that good manners are the same everywhere and people are just people hasn’t been farther out of Podunk than the next whistle stop. I’m no sophisticate but I had been around enough to learn that. It was a formal speech, stuffed with protocol, and called for a formal answer.

I did the best I could. I put my hands on his shoulders and answered solemnly, “I am honored far beyond any merit of mine, sir.”

“But you accept?” he said anxiously.

“I accept with all my heart.” (“Heart” is close enough. I was having trouble with language.)

He seemed to sigh with relief. “Glorious!” He grabbed me in a bear hug, kissed me on both cheeks, and only some fast dodging kept me from being kissed on the mouth.

Then he straightened up and shouted, “Wine! Beer! Schnapps! Who the dadratted tomfoolery is supposed to be chasing? I’ll skin somebody alive with a rusty file! Chairs! Service for a Hero! Where is everybody?”

That last was uncalled for; while Star was reciting what a great guy I am, some eighteen or fifty people had gathered on the terrace, pushing and shoving and trying to get a better look. Among them must have been the personnel with the day’s duty because a mug of ale was shoved into my hand and a four-ounce
glass of 110-proof firewater into the other before the boss stopped yelling. Jocko drank boilermaker style, so I followed suit, then was happy to sit down on a chair that was already behind me, with my teeth loosened, my scalp lifted, and the beer just starting to put out the fire.

Other people plied me with bits of cheese, cold meats, pickled this and that, and unidentified drinking food all tasty, not waiting for me to accept it but shoving it into my mouth if I opened it even to say “Gesundheit!” I ate as offered and soon it blotted up the hydrofluoric acid.

In the meantime the Doral was presenting his household to me. It would have been better had they worn chevrons because I never did get them straightened out as to rank. Clothes didn’t help because, just as the squire was dressed like a field hand, the second scullery maid might (and sometimes did) duck back in and load herself with golden ornaments and her best party dress. Nor were they presented in order of rank.

I barely twigged as to which was the lady of the manor, Jocko’s wife–his senior wife. She was a very comely older woman, a brunette carrying a few pounds extra but with that dividend most fetchingly distributed. She was dressed as casually as Jocko out, fortunately, I noticed her because she went at nce to greet Star and they embraced warmly, two old friends. So I had my ears spread when she was presented to me a moment later–as (and I caught it) the Doral (just as Jocko was the Doral) but with the feminine ending.

I jumped to my feet, grabbed her hand, bowed over it and pressed it to my lips. This isn’t even faintly a Nevian custom but it brought cheers and Mrs. Doral blushed and looked pleased and Jocko grinned proudly.

She was the only one I stood up for. Each of the men and boys made a leg to me, with a bow; all the gals from six to sixty curtsied–not as we know it, but Nevian style. It looted more like a step of the Twist. Balance on one foot and lean back as far as possible, then balance on the other while leaning forward, all the while undulating slowly. This doesn’t sound graceful but it is, and it proved that there was not a case of arthritis nor a slipped disk anywhere on the Doral spread.

Jocko hardly ever bothered with names. The females were “Sweetheart” and “Honeylamb” and “Pretty Puss” and he called all the males, even those who seemed to be older than he was, “Son.”

Possibly most of them were his sons. The setup in Nevia I don’t fully understand. This looked like a feudalism out of our own history–and maybe it was–but whether this mob was the Doral’s slaves, his serfs, his hired hands, or all members of one big family I never got straight. A mixture, I think. Titles didn’t mean anything. The only title Jocko held was that he was singled out by a grammatical inflection as being THE Doral instead of just any of a couple of hundred Dorals. I’ve scattered the tag “milord” here and there in this memoir because Star and Rufo used it, but it was simply a courteous form of address paralleling one in Nevian. “Freiherr” does not mean “free man, and “monsieur” does not mean “my lord”–these things don’t translate well. Star sprinkled her speech with “milords” because she was much too polite to say “Hey, Mac!” even with her intimates.

(The very politest endearments in Nevian would win you a clout in the teeth in the USA.)

Once all hands had been presented to the Gordon, Hero First Class, we adjourned to get ready for the banquet that Jocko, cheated of his three months of revelry, had swapped for his first intention. It Split me off from Star as well as from Rufo; I was escorted to my chambers by my two valettes.

That’s what I said. Female. Plural. It is a good thing that I had become relaxed to female attendants in men’s washrooms, European style, and still more relaxed by Southeast Asia and l’Ile du Levant; they don’t teach you how to cope with valettes in American public schools. Especially when they are young
and cute and terribly anxious to please . . . and I had had a long, dangerous day. I learned, first time out on patrol, that nothing hikes up that old biological urge like being shot at and living through it.

It there had been only one, I might have been late to dinner. As it was, they chaperoned each other, though not intentionally, I believe. I patted the redhead on her fanny when the other one wasn’t looking and reached, I thought, an understanding for a later time.

Well, having your back scrubbed is fun, too. Shorn, shampooed, shined, shaved, showered, smelling like a belligerent rose, decked out in the fanciest finely since Cecil B. deMille rewrote the Bible, I was delivered by them to the banquet hall on time.

But the proconsul’s dress uniform I wore was a suit of fatigues compared with Star’s getup. She had
lost all her pretty clothes earlier in the day but our hostess had been able to dig up something.

First a dress that covered Star from chin to ankle–like plate glass. It seemed to be blue smoke, it clung to her and billowed out behind. Underneath was “underwear.” She appeared to be wrapped in twining ivy–but this ivy was gold, picked out in sapphires. It curved across her beautiful belly, divided into strands and cupped her breasts, the coverage being about like a bikini minimum but more startling and much more effective.

Her shoes were sandals in an S-curve of something transparent and springy. Nothing appeared to hold them on, no straps, no clips; her lovely feet, bare, rested on them. It made her appear as if she were on tiptoe about four inches off the floor.

Her great mane of blond hair was built up into a structure as complex as a full-rigged ship, and studded with sapphires. She was wearing a fortune or two of sapphires here and there on her body, too; I won’t itemize.

She spotted me just as I caught sight of her. Her face lit up and she called out, in English, “My Hero, you are beautiful!”

I said “Uh–”

Then I added, “You haven’t been wasting your time, either. Do I sit with you? I’ll need coaching.”

“No, no! You sit with the gentlemen, I sit with the ladies. You won’t have any trouble.”

This is not a bad way to arrange a banquet. We each had separate low tables, the men in a row facing the ladies, with about fifteen feet between them. It wasn’t necessary to make chitchat with the ladies and they all were worth looking at. The Lady Doral was opposite me and was giving Star a run for the Golden Apple. Her costume was opaque some places but not the usual places. Most of it was diamonds. I believe they were diamonds; I don’t think they make rhinestones that big.

About twenty were seated; two or three times that many were serving, entertaining, or milling around. Three girls did nothing but see to it that I did not starve nor die of thirst–I didn’t have to learn how to use their table tools; I never touched them. The girls knelt by me; I sat on a big cushion. Later in the evening Jocko lay flat on his back with his head in a lap so that his maids could pop food into his mouth or hold a cup to his lips.

Jocko had three maids as I did; Star and Mrs. Jocko had two each; the rest struggled along with one apiece. These serving maids illustrate why I had trouble telling the players without a program. My hostess and my Princess were dressed fit to kill, sure–but one of my flunkies, a sixteen-year-old strong contender for Miss Nevia, was dressed only in jewelry but so much of it that she was more “modestly” dressed than Star or Doral Letva, the Lady Doral.

Nor did they act like servants except for their impassioned determination to see that I got drunk and stuffed. They chattered among themselves in teen-age argot and me wisecracks about how big my muscles were, etc., as if I had not been present. Apparently heroes are not expected to talk, for every time I opened my mouth something went into it.

There was always something doing–dancers, jugglers, recitations of poetry–in the space between the tables. Kids wandered around and grabbed tidbits from platters before they reached the tables. One little doll about three years old squatted down in front of me, all big eyes and open mouth, and stared, letting dancers avoid her as best they could. I tried to get her to come to me, but she just stared and played with her toes.

A damsel with a dulcimer strolled among the tables, singing and playing. It could have been a dulcimer, she might have been a damsel.

About two hours along in the feast, Jocko stood up, roared for silence, belched loudly, shook off maids who were trying to steady him, and started to recite.

Same verse, different tune–he was reciting my exploits. I would have thought that he was too drunk to recite a limerick but he sounded off endlessly, in perfect scansion with complex inner rhymes and rippling alliterations, an astounding feat of virtuosity in rhetoric.

He stuck to Star’s story line but embroidered it. I listened with growing admiration, both for him as a poet and for good old Scar Gordon, the one-man army. I decided that I must be a purty goddam hot hero, so when he sat down, I stood up.

The girls had been more successful in getting me drunk than in getting me fed. Most of the food was strange and it was usually tasty. But a cold dish had been fetched in, little frog-like creatures in ice, served whole. You dipped them in a sauce and took them in two bites.

The gal in the jewels grabbed one, dipped it and put it up for me to bite. And it woke up.

This little fellow–call him “Elmer”–Elmer rolled his eyes and looked at me, just as I was about to bite him.

I suddenly wasn’t hungry and jerked my head back.

Miss jewelry Shop laughed heartily, dipped him again, and showed me how to do it. No more Elmer-

I didn’t eat for quite a while and drank more than too much. Every ime a bite was offered me I would see Elmers feet disappearing, and gulp, and have another drink.

That’s why I stood up.

Once up, there was dead silence. The music stopped because the musicians were waiting to see what o improvise as background to my poem.

I suddenly realized that I didn’t have anything to say.

Not anything. There wasn’t a prayer that I could adlib a poem of thanks, a graceful compliment to my

host–m Nevian. Hell, I couldn’t have done it in English.

Star’s eyes were on me. She looked gravely confident.

That did it. I didn’t risk Nevian; I couldn’t even remember how to ask my way to the men’s room. So I ave it to ’em, both barrels, in English. Vachel Lindsay’s “Congo.”

As much of it as I could remember, say about four pages. What I did give them was that compelling rhythm and rhyme scheme double-talking and faking on any fluffs and really slamming it on “beating on a table with the handle of a broom! Boom! Boom! Boomlay boom!” and the orchestra caught the spirit and we rattled the dishes.

The applause was wonderful and Miss Tiffany grabbed my ankle and kissed it.

So I gave them Mr. E. A. Foe’s “Bells” for dessert. Jocko kissed me on my left eye and slobbered on my shoulder.

Then Star stood up and explained, in scansion and rhyme, that in my own land, in my own language, among my own people, warriors and artists all, I was as famous a poet as I was a hero (Which was true. Zero equals zero), and that I had done them the honor of composing my greatest work, in the jewels of my native tongue, a fitting thanks to the Doral and house Doral for Hospitality of roof, of table, of bed–and that she would, in time, do her poor best to render my music into their language.

Between us we got the Oscar.

Then they brought in the piece de resistance, a carcass roasted whole and carried by four men. From the size and shape it might have been roast peasant under glass. But it was dead and it smelled wonderful and I ate a lot of it and sobered up. After the roast there were only eight or nine other things, soups and sherbets and similar shilly-shallying. The party got looser and people didn’t stay at their own tables. One of my girls fell asleep and spilled my wine cup and about then I realized that most of the crowd had gone.

Doral Letva, flanked by two girls, led me to my chambers and put me to bed. They dimmed the lights and withdrew while I was still trying to phrase a gallant good night in their language.

They came back, having shucked all jewelry and other encumbrances and posed at my bedside, the Three Graces. I had decided that the younger ones were mama’s daughters. The older girl was maybe eighteen, full ripe, and a picture of what mama must have been at that age; the younger one seemed five years younger, barely nubile, as pretty for her own age and quite self-conscious. She blushed and dropped her eyes when I looked at her. But her sister stared back with sultry eyes, boldly provocative.

Their mother, an arm around each waist, explained simply but in rhyme that I had honored their roof and their table–and now their bed. What was a Hero’s pleasure? One? Or two? Or all three?

I’m chicken. We know that. If it hadn’t been that little sister was about the size of the little brown sisters who had scared me in the past, maybe I could have shown aplomb.

But, hell, those doors didn’t close. Just arches. And Jocko me bucko might wake up anytime; I didn’t know where he was. I won’t say I’ve never bedded a married woman nor a man’s daughter in his own house–but I’ve followed American cover-up conventions in such matters. This flat-footed proposition scared me worse than the Horned Goats. I mean “Ghosts.”

I struggled to put my decision in poetic language.

I didn’t manage it but I put over the idea of negative,

The little girl started to bawl and fled. Her sister looked daggers, snorted. “Hero!” and went after her. Mama just looked at me and left.

She came back in about two minutes. She spoke very formally, obviously exercising great control, and prayed to know if any woman in this house had met with the Hero’s favor? Her name, please? Or could I describe her? Or would I have them paraded so that I might point her out?

I did my best to explain that, were a choice to be made, she herself would be my choice–but that I was tired and wished to sleep alone.

Letva blinked back tears, wished me a hero’s rest, and left a second time, even faster. For an instant I thought she was going to slap me.

Five seconds later I got up and tried to catch her. But she was gone, the gallery was dark.

I fell asleep and dreamt about the Cold Water Gang. They were even uglier than Rufo had suggested and they were trying to make me eat big gold nuggets all with the eyes of Elmer.

Chapter 9

Rufo shook me awake. “Boss! Get up! Right now!”

I buried my head in the covers. “Go way!” My mouth tasted of spoiled cabbage, my head buzzed, and my ears were on crooked.

“Right now! She says to.”

I got up. Rufo was dressed in our Merry Men clothes and wearing sword, so I dressed the same way and buckled on mine. My valettes were not in sight, nor my borrowed finery. I stumbled after Rufo into the great dining hall. There was Star, dressed to travel, and looking grim. The fancy furnishings of the night before were gone; it was as bleak as an abandoned barn. A bare table was all, and on it a joint of meat, cold in congealed grease and a knife beside it.

I looked at it without relish. “What’s that?”

“Your breakfast, if you want it. But I shall not stay under this roof and eat cold shoulder.” It was a tone, a manner, I had never heard from her.

Rufo touched my sleeve. “Boss. Let’s get out of here. Now.”

So we did. Not a soul was in sight, indoors or out, not even children or dogs. But three dashing steeds were waiting. Those eight-legged tandem ponies, I mean, the horse version of a dachshund, saddled and ready to go. The saddle rigs were complex; each pair of legs had a leather yoke over it and the load was distributed by poles flexing laterally, one on each side, and mounted on this was a chair with a back, a padded seat, and arm rests. A tiller rope ran to each armrest.

A lever on the left was both brake and accelerator and I hate to say how suggestions were conveyed to the beast. However, the “horses” didn’t seem to mind.

They weren’t horses. Their heads were slightly equine but they had pads rather than hoofs and were omnivores, not hayburners. But you grow to like these beasties. Mine was black with white
points–beautiful. I named her “Ars Longa.” She had soulful eyes.

Rufo lashed my bow and quiver to a baggage rack behind my chair and showed me how to get aboard, adjust my seat belt, and get comfortable with feet on foot rests rather than stirrups and my back supported–as comfy as first-class seats in an airliner. We took off fast and hit a steady pace of ten miles an hour, single-footing (the only gait longhorses have) but smoothed by that eight-point suspension so that it was like a car on a gravel road.

Star rode ahead, she hadn’t spoken another word. I tried to speak to her but Rufo touched my arm. “Boss, don’t,” he said quietly. “When She is like this, all you can do is wait.”

Once we were underway, Rufo and I knee to knee and Star out of earshot ahead, I said “Rufo, what in the world happened?”

He frowned. “We’ll never know. She and the Doral had a row, that’s clear. But best we pretend it never happened.”

He shut up and so did I. Had Jocko been obnoxious to Star? Drunk he certainly was and amorous he might have been. But I couldn’t visualize Star not being able to handle a man so as to avoid rape without hurting his feelings.

That led to further grim thoughts. If the older sister had come in alone–If Miss Tiffany hadn’t passed out–If my valette with the fiery hair had showed up to undress me as I had understood she would–Oh hell!

Presently Rufo eased his seat belt, lowered his back rest and raised his foot rests to reclining position, covered his face with a kerchief and started to snore. After a while I did the same; it had been a short night, no breakfast, and I had a king-size hangover. My “horse” didn’t need any help; the two held position on Star’s mount.

When I woke I felt better, aside from hunger and thirst. Rufo was still sleeping; Star’s steed was still fifty paces ahead. The countryside was still lush, and ahead perhaps a half-mile was a house–not a lordly manor out a farmhouse. I could see a well sweep and thought of moss-covered buckets, cool and wet and reeking of typhoid–well, I had had my booster shots in Heidelberg; I wanted a drink. Water, I mean. Better yet, beer–they made fine beer hereabouts.

Rufo yawned, put away his kerchief, and raised his seat. “Must have dozed off,” he said with a silly grin.

“Rufo, you see that house?”

“Yes. What about it?”

“Lunch, that’s what. I’ve gone far enough on an empty stomach. And I’m so thirsty that I could squeeze a stone and drink the whey from it.”

“Then best you do so.”

“Huh?”

“Milord, I’m sorry–I’m thirsty, too–but we aren’t stopping there. She wouldn’t like it.”

“She wouldn’t, eh? Rufo, let me set you straight. Just because milady Star is in a pet is no reason for me to ride all day with no food or water. You do as you see fit; I’m stopping for lunch. Uh, do you have any money on you? Local money?”

He shook his head. “You don’t do it that way, not here. Boss. Wait another hour. Please.”

“Why?”

“Because we are still on the Doral’s land, that’s why. I don’t know that he has sent word ahead to have us shot on sight; Jock is a goodhearted old blackguard. But I would rather be wearing full armor; a flight of arrows wouldn’t surprise me. Or a drop net just as we turned in among those trees.”

“You really think so?”

“Depends on how angry he is. I mind once, when a man really offended him, the Doral had this poor rube stripped down and tied by his family jewels and placed–no, I can’t tell that one.” Rufo gulped and looked sick. “Big night last night. I’m not myself. Better we speak of pleasant things. You mentioned squeezing whey from a rock. No doubt you were thinking of the Strong Muldoon?”

“Damn it, don’t change the subject!” My head was throbbing. “I won’t ride under those trees and the man who lets fly a shaft at me had better check his own skin for punctures. I’m thirsty.”

“Boss, Rufo pleaded. “She will neither eat nor drink on the Doral’s land–even if they begged her to. And She’s right. You don’t know the customs. Here one accepts what is freely given . . . but even a child is too proud to touch anything begrudged. Five miles more. Can’t the hero who killed Igli before breakfast hold out another five miles?”

“Well . . . all right, all right! But this is a crazy sort of country, you must admit. Utterly insane.” “Mmmm . . .” he answered. “Have you ever been in Washington, D.C.?” “Well–” I grinned wryly. “Touche! And I forgot that this is your native land. No offense intended.”

“Oh, but it’s not. What made you think so?”

“Why–” I tried to think. Neither Rufo nor Star had said so, but–“You know the customs, you speak the language like a native.”
“Milord Oscar, I’ve forgotten how many languages I speak. When I hear one of them, I speak it.”

“Well, you’re not an American. Nor a Frenchman, I think.”

He grinned merrily. “I could show you birth certificates from both countries–or could until we lost our baggage. But, no, I’m not from Earth.”

“Then where are you from?”

Rufo hesitated. “Best you get your facts from Her.”

“Tripe! I’ve got both feet hobbled and a sack over my head. This is ridiculous.”

“Boss,” he said earnestly, “She will answer any question you ask. But you must ask them.”

“I certainly shall!”

“So let’s speak of other matters. You mentioned the Strong Muldoon–”

“You mentioned him.”

“Well, perhaps I did. I never met Muldoon myself, though I’ve been in that part of Ireland. A fine country and the only really logical people on Earth. Facts won’t sway them in the face of higher truth. An admirable people. I heard of Muldoon from one of my uncles, a truthful man who for many years was a ghostwriter of political speeches. But at this time, due to a mischance while writing speeches for rival candidates, he was enjoying a vacation as a free-lance correspondent for an American syndicate specializing in Sunday feature stories. He heard of the Strong Muldoon and tracked him down, taking train from Dublin, then a local bus, and at last Shank’s Mares. He encountered a man plowing a field with a one-horse plow . . . but this man was shoving the plow ahead of himself without benefit of horse, turning a neat eight-inch furrow. ‘Aha!’ said my uncle and called out, ‘Mr. Muldoon!’

“The farmer stopped and called back, ‘Bless you for the mistake, friend!’–picked up the plow in one hand, pointed with it and said, ‘You’ll be finding Muldoon that way. Strong, he is.’

“So my uncle thanked him and went on until he found another man setting out fence posts by shoving them into the ground with his bare hand . . . and in stony soil, it’s true. So again my uncle hailed him as Muldoon.

“The man was so startled he dropped the ten or dozen six-inch posts he had tucked under the other arm. ‘Get along with your blarney, now!’ he called back. You must know that Muldoon lives farther on down this very same road. He’s strong.’

“The next local my uncle saw was building a stone fence. Dry-stone work it was and very neat. This man was trimming the rock without hammer or trowel, splitting them with the edge of his hand and doing the fine trim by pinching off bits with his fingers. So again my uncle addressed a man by that glorious name.

“The man started to speak but his throat was dry from all that stone dust; his voice failed him. So he grabbed up a large rock, squeezed it the way you squeezed Igli–forced water out of it as if it had been a goatskin, drank. Then he said, ‘Not me, my friend. He’s strong, as everyone knows. Why, many is the time that I have seen him insert his little finger–‘ ”

My mind was distracted from this string of lies by a wench pitching hay just across the ditch from the road. She had remarkable pectoral muscles and a lava-lava just suited her. She saw me eyeing her and gave me the eye right back, with a wiggle tossed in.

“You were saying?” I asked.

“Eh? ‘–just to the first joint . . . and hold himself at arm’s length for hours!”

“Rufo,” I said, “I don’t believe it could have been more than a few minutes. Strain on the tissues, and so forth.”

“Boss,” he answered in a hurt tone, “I could take you to the very spot where the Mighty Dugan used to perform this stunt.”

“You said his name was Muldoon.”

“He was a Dugan on his mother’s side, very proud of her he was. You’ll be pleased to know, milord, that the boundary of the Doral’s land is now in sight. Lunch in minutes only.”

“I can use it. With a gallon of anything, even water.”

“Passed by acclamation. Truthfully, milord, I’m not at my best today. I need food and drink and a long siesta before the fighting starts, or I’ll yawn when I should parry. Too large a night.”

“I didn’t see you at the banquet.”

“I was there in spirit. In the kitchen the food is hotter, the choice is better, and the company less formal. But I had no intention of making a night of it. Early to bed is my motto. Moderation in all things. Epictetus. But the pastry cook–Well, she reminds me of another girl I once knew, my partner in a legitimate business, smuggling. But her motto was that anything worth doing at all is worth overdoing–and she did. She smuggled on top of smuggling, a sideline of her own unmentioned to me and not taken into account–for I was listing every item with the customs officers, a copy with the bribe, so that they would know I was honest.

“But a girl can’t walk through the gates fat as a stuffed goose and walk back through them twenty minutes later skinny as the figure one–not that she was, just a manner of speaking–without causing
thoughtful glances. If it hadn’t been for the strange thing the dog did in the night, the busies would have nabbed us.”

“What was the strange thing the dog did in the night?”

“Just what I was doing last night. The noise woke us and we were out over the roof and free, but with nothing to show for six months’ hard work but skinned knees. But that pastry cook–You saw her, milord. Brown hair, blue eyes, a widow’s peak and the rest remarkably like Sophia Loren.”

“I have a vague memory of someone like that.”

“Then you didn’t see her, there is nothing vague about Nalia. As may be, I had intended to lead the life sanitary last night, knowing that there would be bloodshed today. You know:

‘Once at night and outen the light;

‘Once in the morning, a new day a-borning’

“–as the Scholar advised. But I hadn’t reckoned with Nalia. So here I am with no sleep and no breakfast and if I’m dead before nightfall in a pool of my own blood, it’ll be partly Nalia’s doing.”

“I’ll shave your corpse, Rufo; that’s a promise.” We had passed the marker into the next county but Star didn’t slow down. “Bye the bye, where did you learn the undertakers trade?”

“The what? Oh! That was a far place indeed. The top of that rise, behind those trees, is a house and that’s where we’ll be having lunch. Nice people.”

“Good!” The thought of lunch was a bright spot as I was again regretting my Boy Scout behavior of the night before. “Rufo, you had it all wrong about the strange thing the dog did in the night.”

“Milord?”

“The dog did nothing in the night, that was the strange thing.”

“Well, it certainly didn’t sound that way,” Rufo said doubtfully.

“Another dog, another far place. Sorry. What I started to say was: A funny thing happened to me on the way to bed last night–and I did lead the life sanitary.”

“Indeed, milord?”

“In deed, if not in thought.” I needed to tell somebody and Rufo was the sort of scoundrel I could trust. I told him the Story of the Three Bares.

“I should have risked it,” I concluded. “And, swelp me, I would have, if that lad had been put to bed–alone–when she should have been. Or I think I would have, regardless of White Shotgun or
jumping out windows. Rufo, why do the prettiest gals always have fathers or husbands? But I tell you the truth, there they were–the Big Bare, the Middle-Sized Bare, and the Littlest Bare, close enough to touch and all of them anxious to keep my bed warm–and I didn’t do a damn thing! Go ahead and laugh. I deserve it.”

He didn’t laugh. I turned to look at him and his expression was piteous. “Milord! Oscar my comrade! Tell me it isn’t true!”

“It is true,” I said huffily. “And I regretted it at once. Too late. And you complained about your night!”

“Oh, my Cod!” He threw his mount into high gear and took off. Ars Longa looked back inquiringly over her shoulder, then continued on.

Rufo caught up with Star; they stopped, short of the house where lunch was to be expected. They waited and I joined them. Star was wearing no expression; Rufo looked unbearably embarrassed.

Star said, “Rufo, go beg lunch for us. Fetch it here. I would speak with milord alone.”

“Yes, milady!” He got out fast.

Star said to me, still with no expression, “Milord Hero, is this true? What your groom reports to me?”

“I don’t know what he reported.”

“It concerned your failure–your alleged failure–last night.”

“I don’t know what you mean by ‘failure.’ If you want to know what I did after the banquet . . . I slept alone. Period.”

She sighed but her expression did not change. “I wanted to hear it from your lips. To be just.” Then her expression did change and I have never seen such anger. In a low almost passionless voice she began chewing me out:

“You hero. You incredible butter-brained dolt. Clumsy, bumbling, loutish, pimple-peeked, underdone, over-muscled, idiotic–”

“Stop it!”

“Quiet, I am not finished with you. Insulting three innocent ladies offending a staunch–”

“SHUT UP!!!”

The blast blew her hair back. I started in before she could rev up again. “Don’t ever again speak to me that way. Star. Never.”

“But–”

“Hold your tongue, you bad-tempered brat! You have not earned the right to speak to me that way. Nor will any girl ever earn the right. You will always–always!–address me politely and with respect. One more word of your nasty rudeness and I’ll spank you until the tears fly.”

“You wouldn’t dare!”

“Get your hand away from that sword or I’ll take it away from you, down your pants right here on the road, and spank you with it. Till your arse is red and you beg for mercy. Star, I do not fight females–but I do punish naughty children. Ladies I treat as ladies. Spoiled brats I treat as spoiled brats. Star, you could be the Queen of England and the Galactic Overlord all rolled into one–but ONE MORE WORD out of line from you, and down come your tights and you won’t be able to sit for a week. Understand e?”

At last she said in a small voice, “I understand, milord.”

“And besides that. I’m resigning from the hero business. I won’t listen to such talk twice, I won’t work for a person who treats me that way even once.” I sighed, realizing that I had just lost my corporal’s stripes again. But I always felt easier and freer without them.

“Yes, milord.” I could barely hear her. It occurred to me that it was a long way back to Nice. But it didn’t worry me.

“All right, let’s forget it.”

“Yes, milord.” She added quietly, “But may I explain why I spoke as I did?”

“No.”

“Yes, milord.”

A long silent time later Rufo returned. He stopped out of earshot, I motioned him to join us.

We ate silently and I didn’t eat much but the beer was good. Rufo tried once to make chitchat with an impossibility about another of his uncles. It couldn’t have fallen flatter inBoston .

After lunch Star turned her mount–those “horses” have a small turning circle for their wheelbase but t’s easier to bring them full circle in a tight place by leading them. Rufo said, “Milady?”

She said impassively, “I am returning to the Doral.”

“Milady! Please not!”

“Dear Rufo,” she said warmly but sadly. “You can wait up at that house–and if I’m not back in three days, you are free.” She looked at me, looked away. “I hope that milord Oscar will see fit to escort me. But I do not ask it. I have not the right.” She started off.

I was slow in getting Ars Longa turned; I didn’t have the hang of it. Star was a good many bricks down the road; I started after her.

Rufo waited until I was turned, biting his nails, then suddenly climbed aboard and caught up with me. We rode knee to knee, a careful fifty paces behind Star, Finally he said, “This is suicide. You know that, don’t you?”

“No, I didn’t know it.”

“Well, it is.”

I said, “Is that why you are not bothering to say ‘sir’?”

“Milord?” He laughed shortly and said, “I guess it is. No point in that nonsense when you are going to die soon.”

“You’re mistaken.”

“Huh?”

” ‘Huh, milord,’ if you please. Just for practice. But from now on, even if we last only thirty minutes. Because I am running the show now–and not just as her stooge. I don’t want any doubt in your mind as to who is boss once the fighting starts. Otherwise turn around and I’ll give your mount a slap on the rump to get you moving. Hear me?”

“Yes, milord Oscar.” He added thoughtfully, “I knew you were boss as soon as I got back. But I don’t see how you did it. Milord, I have never seen Her meek before. May one ask?”

“One may not. But you have my permission to ask her. If you think it is safe. Now tell me about this ‘suicide’ matter–and don’t say she doesn’t want you to give me advice. From here on you’ll give advice any time I ask–and keep your lip buttoned if I don’t.”

“Yes, milord. All right, the suicide prospects. No way to figure the odds. It depends on how angry the Doral is. But it won’t be a fight, can’t be. Either we get clobbered the instant we poke our noses in . . . or we are safe until we leave his land again, even if he tells us to turn around and ride away.” Rufo looked very thoughtful. “Milord, if you want a blind guess–Well, I figure you’ve insulted the Doral the worst he has ever been hurt in the course of a long and touchy life. So it’s about ninety to ten that, two shakes after we turn off the road, we are all going to be sprouting more arrows than Saint Sebastian.”

“Star, too? She hasn’t done anything. Nor have you.” (Nor I, either, I added to myself. What a country!)

Rufo sighed. “Milord, each world has its own ways. Jock won’t want to hurt Her. He likes Her. He’s terribly fond of Her. You could say that he loves Her. But if he kills you, he has got to loll Her. Anything else would be inhumane by his standards–and he’s a very moral bloke; he’s noted for it. And kill me, too, of course, but I don’t count. He must kill Her even though it will start a chain of events that will wipe him out just as dead once the news gets out. The question is: Does he have to kill you? I figure be has to, knowing these people. Sorry . . . milord.”

I mulled it over. “Then why are you here, Rufo?”

“Milord?”

“You can cut the ‘sirs’ down to one an hour. Why are you here? If your estimate is correct, your one word and one bow can’t affect the outcome. She gave you a fair chance to chicken out. So what is it? Pride? Or are you in love with her?”

“Oh, my God, no!”

Again I saw Rufo really shocked. “Excuse me,” he went on. “You caught me with my guard down.” He thought about it. “Two reasons, I suppose. The first is that if Jock allows us to parley–well. She is quite a talker. In the second place”–he glanced at me–“I’m superstitious, I admit it. You’re a man with luck. I’ve seen it. So I want to be close to you even when reason tells me to run. You could fall in a cesspool and–”

“Nonsense. You should hear my hard-luck story.”

“Maybe in the past. But I’m betting the dice as they roll.” He shut up.

A bit later I said, “You stay here.” I speeded up and joined Star. “Here are the plans,” I told her.

“When we get there, you stay out on the road with Rufo. I’m going in alone.”

She gasped. “Oh, milord! No!”

“Yes.”

“But–”

“Star, do you want me back? As your champion?”

“With all my heart!”

“All right. Then do it my way.”

She waited before answering. “Oscar–”

“Yes, Star.”

“I will do as you say. But will you let me explain before you decide what you will say?”

“Go on.”

“In this world, the place for a lady to ride is by her champion. And that is where I would want to be, my Hero, when in peril. Especially when in peril. But I’m not pleading for sentiment, nor for empty form. Knowing what I now know I can prophesy with certainty that, if you go in first, you will die at once, and I will die–and Rufo–as soon as they can chase us down. That will be quickly, our mounts are tired. On the other hand, if I go in alone–”

“No.”

“Please, milord. I was not proposing, it. If I were to go in alone, I would be almost as likely to die at once as you would be. Or perhaps, instead of feeding me to the pigs, be would simply have me feed the pigs and be a plaything of the pig boys–a fate merciful rather than cold justice in view of my utter degradation in returning without you. But the Doral is fond of me and I think he might let me live . . . as a pig girl and no better than pigs. This I would risk if necessary and wait my chance to escape, for I cannot
afford pride; I have no pride, only necessity.” Her voice was husky with tears.

“Star, Star!”

“My darling!”

“Huh? You said–”

“May I say it? We may not have much time. My Hero . . . my darling.” She reached out blindly, I took her hand; she leaned toward me and pressed it to her breast.

Then she straightened up but kept my hand. “I’m all right now. I am a woman when I least expect it. No, my darling Hero, there is only one way for us to go in and that is side by side, proudly. It is not only safest, it is the only way I would wish it–could I afford pride. I can afford anything else. I could buy you theEiffelTower for a trinket, and replace it when you broke it. But not pride.”

“Why is it safest?”

“Because he may–I say ‘may’–let us parley. If I can get in ten words, he’ll grant a hundred. Then a thousand. I may be able to heal his hurt.”

“All right. But–Star, what did I do to hurt him? I didn’t! I went to a lot of trouble not to hurt him.”

She was silent a while, then–“You are an American.”

“What’s that got to do with it? Jock doesn’t know it.”

“It has, perhaps, everything to do with it. No, America is at most a name to the Doral for, although he has studied the Universes, he has never traveled. But–You will not be angry with me again?”

“Uh . . . let’s call a King’s-X on that. Say anything you need to say but explain things. Just don’t chew me out. Oh, hell, chew me out if you like–this once. Just don’t let it be a habit . . . my darling.”

She squeezed my hand. “Never will I again! The error lay in my not realizing that you are American. I don’t know America , not the way Rufo does. If Rufo had been present–But he wasn’t; he was wenching in the kitchen. I suppose I assumed, when you were offered table and root and bed, that you would behave as a Frenchman would. I never dreamed that you would refuse it. Had I known, I could have spun a thousand excuses for you. An oath taken. A holy day in your religion. Jock would have been disappointed but not hurt; he is a man of honor.”

“But–Damn it, I still don’t see why he wants to shoot me for not doing something I would expect, back home, that he might snoot me for doing. In this country, is a plan forced to accept any proposition a gal makes? And why did she run and complain? Why didn’t she keep it secret? Hell, she didn’t even try. She dragged in her daughters.”

“But, darling, it was never a secret. He asked you publicly and publicly you accepted. How would you feel if your bride, on your wedding night, kicked you out of the bedroom? ‘Table, and roof, and bed.’ You accepted.”

” ‘Bed.’ Star, inAmerica beds are multiple-purpose furniture. Sometimes we sleep in them. Just sleep. I didn’t dig it.”

“I know now. You didn’t know the idiom. My fault. But do you now see why he was completely–and publicly–humiliated?”

“Well, yes, but he brought it on himself. He asked me in public. It would have been worse if I had said No then.”

“Not at all. You didn’t have to accept. You could have refused graciously. Perhaps the most graceful way, even though it be a white lie, is for the hero to protest his tragic inability–temporary or permanent–from wounds received in the very battle that proved him a hero.”

“I’ll remember that. But I still don’t see why he was so astoundingly generous in the first place.”

She turned and looked at me. “My darling, is it all right for me to say that you have astounded me every time I have talked with you? And I had thought I had passed beyond all surprises, years ago.”

“It’s mutual. You always astound me. However, I like it–except one time.”

“My lord Hero, how often do you think a simple country squire has a chance to gain for his family a Hero’s son, and raise it as his own? Can you not feel his gall-bitter disappointment at what you snatched from him after he thought you had promised this boon? His shame? His wrath?”

I considered it. “Well, I’ll be dogged. It happens inAmerica , too. But they don’t boast about it.”

“Other countries, other customs. At the very least, he had thought that he had the honor of a hero treating him as a brother. And with luck he expected the get of a hero for house Doral.”

“Wait a minute! Is that why he sent me three? To improve the odds?”

“Oscar, he would eagerly have sent you thirty . . . if you had hinted that you felt heroic enough to attempt it. As it was, he sent his chief wife and his two favorite daughters.” She hesitated. “What I still don’t understand–” She stopped and asked me a blunt question.

“Hell, no!” I protested, blushing. “Not since I was fifteen. But one thing that put me off was that mere child. She’s one. I think.”

Star shrugged. “She may be. But she is not a child; in Nevia she is a woman. And even if she is unbroached as yet, I’ll wager she’s a mother in another twelvemonth. But if you were loath to tap her, why didn’t you shoo her out and take her older sister? That quaint hasn’t been virgin since she’s had breasts, to my certain knowledge–and I hear that Muri is ‘some dish,’ if that is the American idiom.”

I muttered. I had been thinking the same thing. But I didn’t want to discuss it with Star.

She said, “Pardonne-moi, mon cher? Tu as dit?”

“I said I had given up sex crimes for Lent!”

She looked puzzled. “But Lent is over, even on Earth. And it is not, here, at all.”

“Sorry.”

“Still I’m pleased that you didn’t pick Muri over Letva; Muri would have been unbearably stuck-up with her mother after such a thing. But I do understand that you will repair this, if I can straighten it out?” She added, “It makes great difference in how I handle the diplomacies.”

(Star, Star–you are the one I want to bed!) “This is what you wish . . . my darling?”

“Oh, how much it would help!”

“Okay. You’re the doctor. One . . . three . . . thirty–I’ll die trying. But no little kids!” “No problem.

Let me think. If the Doral lets me get in just five words–” She fell silent. Her hand was pleasantly warm. I did some thinking, too. These strange customs had ramifications, some of which I had still shied away from. How was it, if Letva had immediately told her husband what a slob I was-

“Star? Where did you sleep last night?”

She looked around sharply. “Milord . . . is it permitted to ask you, please, to mind your own business?”

“I suppose so. But everybody seems to be minding mine.”

“I am sorry. But I am very much worried and my heaviest worries you do not know as yet. It was a fair question and deserves a fair answer. Hospitality balances, always, and honors flow both ways. I slept in the Doral’s bed. However, if it matters–and it may to you; I still do not understand Americans–I was wounded yesterday, it still bothered me. Jock is a sweet and gentle soul. We slept. Just slept.”

I tried to make it nonchalant. “Sorry about the wound. Does it hurt now?”

“Not at all. The dressing will fall off by tomorrow. However–Last night was not the first time I enjoyed table and roof and bed at house Doral. Jock and I are old friends, beloved friends–which is why I think I can risk that he may grant me a few seconds before killing me.”

“Well, I had figured out most of that.”

“Oscar, by your standards–the way you have been raised–I am a bitch.”

“Oh, never! A princess.”

“A bitch. But I am not of your country and I was reared by another code. By my standards, and they seem good to me, I am a moral woman. Now . . . am I still your darling’?”

“My darling!”

“My darling Hero. My champion. Lean close and kiss me. If we die, I would my mouth be warm with your lips. The entrance is just around this bend.”

“I know.”

A few moments later we rode, swords sheathed and bows unstrung, proudly into the target area.

Chapter 10

Three days later we rode out again.

This time breakfast was sumptuous. This time musicians lined our exit. This time the Doral rode with us.

This time Rufo reeled to his mount, each arm around a wench, a bottle in each hand, then, after busses from a dozen more, was lifted into his seat and belted in the reclining position. He fell asleep, snoring before we set out.

I was kissed good-bye more times than I could count and by some who had no reason to do it so thoroughly–for I was only an apprentice hero, still learning the trade.

It’s not a bad trade, despite long hours, occupational hazards, and utter lack of security; it has fringe benefits, with many openings and rapid advancement for a man with push and willingness to learn. The Doral seemed well pleased with me.

At breakfast he had sung my prowess up to date in a thousand intricate lines. But I was sober and did not let his praises impress me with my own greatness; I knew better. Obviously a little bird had reported to him regularly–but that bird was a liar. John Henry the Steel-Drivin’ Man couldn’t have done what Jocko’s ode said I did.

But I took it with my heroic features noble and impassive, then I stood up and gave them “Casey at the Bat,” putting heart and soul into “Mighty Casey has struck OUT!”

Star gave it a free interpretation. I had (so she sang) praised the ladies of Doral, the ideas being ones associated with Madame Pompadour, Nell Gwyn, Theodora, Ninon de l’Enclos, and Rangy Lil. She didn’t name those famous ladies; instead she was specific, in Nevian eulogy that would have startled Francois Villon.

So I had to come up with an encore. I gave them “Relic’s daughter,” then “Jabberwocky,” with gestures.

Star had interpreted me in spirit; she had said what I would have said had I been capable of extemporizing poetry. Late on the second day I had chanced on Star in the steam room of the manor’s baths. For an hour we lay wrapped in sheets on adjacent slabs, sweating it out and restoring the tissues. Presently I blurted out to her how surprised–and delighted–I was. I did it sheepishly but Star was one to whom I dared bare my soul.

She had listened gravely. When I ran down, she said quietly, “My Hero, as you know, I do not know America. But from what Rufo tells me your culture is unique, among all the Universes.”

“Well, I realize that the USA is not sophisticated in such things, not the way France is.”

” ‘France!’ ” She shrugged, beautifully. ” ‘Latins are lousy lovers.’ I heard that somewhere, I testify that it is true. Oscar, so far as I know, your culture is the only semicivilized one in which love is not recognized as the highest art and given the serious study it deserves.”

“You mean the way they treat it here. Whew! ‘Much too good for the common people!’ ”

“No, I do not mean the way it is treated here.” She spoke in English. “Much as I love our friends here, this is a barbarous culture and their arts are barbaric. Oh, good art of its sort, very good; their approach is honest. But–if we live through this, after our troubles are over–I want you to travel among the Universes. You’ll see what I mean.” She got up, folding her sheet into a toga. I’m glad you are pleased, my Hero. I’m proud of you.”

I lay there a while longer, thinking about what she had said. The “highest art”–and back home we didn’t even study it, much less make any attempt to teach it. Ballet takes years and years. Nor do they hire you to sing at the Met just because you have a loud voice.

Why should “love” be classed as an “instinct”?

Certainly the appetite for sex is an instinct–but did another appetite make every glutton a gourmet, every fry cook a Cordon Bleu? Hell, you had to learn even to be a fry cook.

I walked out of the steam room whistling “The Best Things in Life Are Free”–then chopped it off in sudden sorrow for all my poor, unhappy compatriots cheated of their birthright by the most mammoth hoax in history.

A mile out the Doral bade us good-bye, embracing me, kissing Star and mussing her hair; then he and his escort drew swords and remained at salute until we passed over the next rise. Star and I rode knee to knee while Rufo snored behind us.

I looked at her and her mouth twitched. She caught my eye and said demurely, “Good morning, milord.”

“Good morning, milady. You slept well?”

“Very well, thank you, milord. And you?”

“The same, thank you.”

“So? ‘What was the strange thing the dog did in the night?’ ”

” ‘The dog did nothing in the night, that was the strange thing,’ ” I answered with a straight face. “Really? So gay a dog? Then who was that knight I last saw with a lady?” ”

‘Twasn’t night, ’twas brillig.”

“And your vorpal blade went snicker-snack! My beamish boy!”

“Don’t try to pin your jabberwocking on me, you frolicsome wench,” I said severely. “I’ve got friends, I have–I can prove an alibi. Besides, ‘my strength is as the strength of ten because my heart is pure.’ ”

“And the line before that one. Yes, I know; your friends told me about it, milord.” Suddenly she grinned and slapped me on the thigh and started bellowing the chorus of “Reilly’s Daughter.” Vita Brevis norted; Ars Longa pricked up her ears and looked around reprovingly.

“Stop it,” I said. “You’re shocking the horses.”

“They aren’t horses and you can’t shock them. Have you seen how they do it, milord? In spite of all those legs? First–”

“Hold your tongue! Ars Longa is a lady, even if you aren’t.”

“I warned you I was a bitch. First she sidles up–”

“I’ve seen it. Muri thought it would amuse me. Instead it gave me an inferiority complex that lasted all afternoon.”

“I venture to disbelieve that it was all afternoon, milord Hero. Let’s sing about Reilly then. You lead, I’ll harmonize.”

“Well–Not too loud, we’ll wake Rufo.”

“Not him, he’s embalmed.”

“Then you’ll wake me, which is worse. Star darling, when and where was Rufo an undertaker? And ow did he get from that into this business? Did they run him out of town?”

She looked puzzled. “Undertaker? Rufo? Not Rufo.”

“He was most circumstantial.”

“So? Milord, Rufo has many faults. But telling the truth is not one of them. Moreover, our people do ot have undertakers.”

“You don’t? Then what do you do with leftover carcasses? Can’t leave them cluttering the parlor. Untidy.”

“I think so, too, but our people do just that: keep them in the parlor. For a few years at least. An overly sentimental custom but we are a sentimental people. Even so, it can be overdone. One of my great aunts kept all her former husbands in her bedchamber–a dreadful clutter and boring, too, because she talked about them, repeating herself and exaggerating. I quit going to see her.”

“Well. Did she dust them?”

“Oh, yes. She was a fussy housekeeper.”

“Uh–How many were there?”

“Seven or eight, I never counted.”

“I see. Star? Is there black-widow blood in your family?”

“What? Oh! But, darling, there is black-widow blood in every woman.” She dimpled, reached over and patted my knee. “But Auntie didn’t kill them. Believe me, my Hero, the women in my family are much too fond of men to waste them. No, Auntie just hated to let them go. I think that is foolish. Look forward, not back.”

” ‘And let the dead past bury its dead.’ Look, if your people keep dead homes around the house, you must have undertakers. Embalmers at least. Or doesn’t the air get thick?”

“Embalming? Oh, no! Just place a stasis on them once you’re sure they are dead. Or dying. Any schoolboy can do that.” She added, “Perhaps I wronged Rufo. He has spent much time on your
Earth–he likes the place, it fascinates him–and he may have tried undertaking. But it seems to me an occupation too honest and straightforward to attract him.”

“You never did tell me what your people eventually do with a cadaver.”

“Not bury it. That would shock them silly.” Star shivered. “Even myself and I’ve traveled the

Universes, learned to be indifferent to almost any custom.”

“But what?”

“Much what you did to Igli. Apply a geometrical option and get rid of it.”

“Oh. Star, where did Igli go?”

“I couldn’t guess, milord. I had no chance to calculate it. Perhaps the ones who made him know. But I hink they were even more taken by surprise than I was.”

“I guess I’m dense. Star. You call it geometry; Jocko referred to me as a ‘mathematician.’ But I did what was forced on me by circumstances; I didn’t understand it.”

“Forced on Igli, you should say, milord Hero. What happens when you place an insupportable strain on a mass, such that it cannot remain where it is? While leaving it nowhere to go? This is a schoolboy problem in metaphysical geometry and the eldest proto-paradox, the one about the irresistible force and the immovable body. The mass implodes. It is squeezed out of its own world into some other. This is often the way the people of a universe discover the Universes–but usually as disastrously as you forced it on Igli; it may take millennia before they control it. It may hover around the fringes as ‘magic’ for a long time, sometimes working, sometimes failing, sometimes backfiring on the magician.”

“And you call this ‘mathematics’?”

“How else?”

“I’d call it magic.”

“Yes, surely. As I told Jocko, you have a natural genius. You could be a great warlock.”

I shrugged uncomfortably. “I don’t believe in magic.”

“Nor do I,” she answered, “the way you put it. I believe in what is.”

“That’s what I mean, Star. I don’t believe in hocus-pocus. What happened to Igli–I mean, ‘what ppeared to happen to Igli’–could not have happened because it would violate the law of conservation of mass-energy. There must be some other explanation.”

She was politely silent.

So I brought to bear the sturdy common sense of ignorance and prejudice. “Look, Star, I’m not going to believe the impossible simply because I was there. A natural law is a natural law. You have to admit that.”

We rode a few rods before she answered, “May it please milord Hero, the world is not what we wish it to be. It is what it is. No, I have over-assumed. Perhaps it is indeed what we wish it to be.

Either way, it is what it is. Le voila! Behold it, self-demonstrating. Das Ding an sich. Bite it. It is. Ai-je raison? Do I speak truly?”

“That’s what I was saying! The universe is what it is and can’t be changed by jiggery-pokery. It works by exact rules, like a machine.” (I hesitated, remembering a car we had had that was a hypochondriac. It would “fall sick,” then “get well” as soon as a mechanic tried to touch it.) I went on firmly, “Natural law
never takes a holiday. The invariability of natural law is the cornerstone of science.”

“So it is.”

“Well?” I demanded.

“So much the worse for science.”

“But–” I shut up and rode in huffy silence.

Presently a slender hand touched my forearm, caressed it. “Such a strong sword arm,” she said softly.

“Milord Hero, may I explain?”

“Talk ahead,” I said. “If you can sell me, you can convert the Pope to Mormonism. I’m stubborn.”

“Would I have picked you out of hundreds of billions to be my champion were you not?”

” ‘Hundreds of billions?’ You mean millions, don’t you?”

“Hear me, milord. Indulge me. Let us be Socratic. I’ll frame the trick questions and you make the tupid answers–and we’ll learn who shaved the barber. Then it will be your turn and I’ll be the silly stooge. Okay?”

“All right, put a nickel in.”

“Very well. Question: Are the customs at house Doral the customs you used at home?”

“What? You know they aren’t. I’ve never been so flabbergasted since the time the preacher’s daughter took me up into the steeple to show me the Holy Ghost.” I chuckled sheepishly. “I’d be blushing yet but I’ve burned out my fuses.”

“Yet the basic difference between Nevian customs and yours lies in only one postulate. Milord, there axe worlds in which males kill females as soon as eggs are laid–and others in which females eat males even as they are being fructified–like that black widow you made cousin to me.”

“I didn’t mean that, Star.”

“I was not offended, my love. An insult is like a drink; it affects one only if accepted. And pride is too heavy baggage for my journey; I have none. Oscar, would you find such worlds stranger than this one?”

“You’re talking about spiders or some such. Not people.”

“I speak of people, the dominant race of each its world. Highly civilized.”

“Ugh!”

“You will not say ‘ugh’ when you see them. They are so different from us that their home life cannot atter to us. Contrariwise, this planet is very like your Earth–yet your customs would shock old Jocko out of song. Darling, your world has a custom unique in the Universes. That is, the Twenty Universes known to me, out of thousands or millions or googols of universes. In the known Twenty Universes only Earth has this astounding custom.”

“Do you mean “War”?”

“Oh, no! Most worlds have warfare. This planet Nevia is one of the few where lolling is retail, rather than wholesale. Here there be Heroes, killing is done with passion. This is a world of love and slaughter, both with gay abandon. No, I mean something much more shocking. Can you guess?”

“Uh . . . television commercials?”

“Close in spirit, but wide of the mark. You have an expression ‘the oldest profession.’ Here–and in all ther known worlds–it isn’t even the youngest. Nobody has heard of it and wouldn’t believe it if he did. We few who visit Earth don’t talk about it. Not that it would matter; most people don’t believe travelers’ tales.”

“Star, are you telling me that there is no prostitution elsewhere in the Universe?”

“The Universes, my darling. None.”

“You know,” I said thoughtfully, “that’s going to be a shock to my first sergeant. None at all?”

“I mean,” she said bluntly, “that whoring seems to have been invented by Earth people and no thers–and the idea would shock old Jocko into impotence. He’s a straitlaced moralist.”

“I’ll be damned! We must be a bunch of slobs.”

“I did not mean to offend, Oscar; I was reciting facts. But this oddity of Earth is not odd in its own context. Any commodity is certain to be sold–bought, sold, leased, rented, bartered, traded, discounted, price-stabilized, inflated, bootlegged, and legislated–and a woman’s ‘commodity’ as it was called on Earth in franker days is no exception. The only wonder is the wild notion of thinking of it as a commodity. Why, it so surprised me that once I even–Never mind. Anything can be made a commodity. Someday I
will show you cultures living in spaces, not on planets–nor on fundaments of any sort; not all universes have planets–cultures where the breath of life is sold like a kilo of butter in Provence. Other places so crowded that the privilege of staying alive is subject to tax–and delinquents are killed out of hand by the
Department of Eternal Revenue and neighbors not only do not interfere, they are pleased.”

“Good God! Why?”

“They solved death, milord, and most of them won’t emigrate despite endless roomier planets. But we were speaking of Earth. Not only is whoring unknown elsewhere, but its permutations are
unknown–dower, bridal price, alimony, separate maintenance, all the variations that color all Earth’s institutions–every custom related even remotely to the incredible notion that what all women have an endless supply of is nevertheless merchandise, to be hoarded and auctioned.”

Ars Longa gave a snort of disgust. No, I don’t think she understood. She understands some Nevian but Star spoke English; Nevian lacks the vocabulary.

“Even your secondary customs,” she went on, “are shaped by this unique institution. Clothing–you’ve noticed that there is no real difference here in how the two sexes dress. I’m in tights this morning and you are in shorts but had it been the other way around no one would have noticed.”

“The hell they wouldn’t! Your tights wouldn’t fit me.”

“They stretch. And body shyness, which is an aspect of sex-specialized clothing. Here nakedness is as unnoteworthy as on that pretty little island where I found you. All hairless peoples sometimes wear clothing and all peoples no matter how hirsute wear ornaments–but nakedness taboo is found only where flesh is merchandise to be packaged or displayed . . . that is to say, on Earth. It parallels ‘Don’t pinch the grapefruit’ and putting false bottoms in berry boxes. If something is never haggled over, there is no need to make a mystery of it.”

“So if we get rid of clothes we get rid of prostitution?”

“Heavens, no! You’ve got it backwards.” She frowned. “I don’t see how Earth could ever get rid of whoring; it’s too much a part of everything you do.”

“Star, you’ve got your facts wrong. There is almost no prostitution in America.”

She looked startled. “Really? But–Isn’t ‘alimony’ an American word? And ‘gold digger’? And ‘coming-out party’?”

“Yes, but prostitution has almost died out. Hell, I wouldn’t know how to go about finding a whorehouse even in an Army town. I’m not saying that you don’t wind up in the nay. But it’s not commercialized. Star, even with an American girl who is well-known to be an easy make-out, if you offered her five bucks–or twenty–it’s ten to one she would slap your face.”

“Then how is it done?”

“You’re nice to her instead. Take her to dinner, maybe to a show. Buy her flowers, girls are suckers for flowers. Then approach the subject politely.”

“Oscar, doesn’t this dinner and show, and possibly flowers, cost more than five dollars? Or even twenty? I understood that American prices were as high as French prices.”

“Well, yes, but you can’t just tip your hat and expect a girl to throw herself on her back. A tightwad–”

“I rest the case. All I was trying to show was that customs can be wildly different in different worlds.”

“That’s true, even on Earth. But–”

“Please, milord. I won’t argue the virtue of American women, nor was I criticizing. Had I been reared in America I think I would want at least an emerald bracelet rather than dinner and a show. But I was leading up to the subject of ‘natural law.’ Is not the invariability of natural” law an unproved assumption? Even on Earth?”

“Well–You haven’t stated it fairly. It’s an assumption, I suppose. But there has never been a case in which it failed to stand up.”

“No black swans? Could it not be that an observer who saw an exception preferred not to believe his eyes? Just as you do not want to believe that Igli ate himself even though you, my Hero, forced him to?

Never mind. Let’s leave Socrates to his Xanthippe. Natural law may be invariable throughout a universe–seems to be, in rigid universes. But it is certain that natural laws vary from universe to
universe–and believe this you must, milord, else neither of us will live long!”

I considered it. Damn it, where had Igli gone? “Most unsettling.”

“No more unsettling, once you get used to it, than shifting languages and customs as you shift countries.

How many chemical elements are there on Earth?”

“Uh, ninety-two and a bunch of Johnny-Come-Latelies. A hundred and six or seven.”

“Much the same here. Nevertheless a chemist from Earth would suffer some shocks. The elements aren’t quite the same, nor do they behave quite the same way. H-bombs won’t work here and dynamite won’t explode.”

I said sharply, “Now wait! Are you telling me that electrons and protons aren’t the same here, to get down to basics?”

She shrugged. “Perhaps, perhaps not. What is an electron but a mathematical concept? Have you tasted one lately? Or put salt on the tail of a wavicle? Does it matter?”

“It damn well would matter. A man can starve as dead from lack of trace elements as from lack of bread.”

“True. In some universes we humans must carry food if we visit them–which we sometimes must, if only to change trains. But here, and in each of the universes and countless planets where we humans live, you need not worry; local food will nourish you. Of course, if you lived here many years, then went back to Earth and died soon after and an autopsy were done with fussiest microanalysis, the analyst might not believe his results. But your stomach wouldn’t care.”

I thought about this, my belly stuffed with wonderful food and the air around me sweet and good–certainly my body did not care if there were indeed the differences Star spoke of.

Then I recalled one aspect of life in which little differences cause big differences. I asked Star about it.

She looked blandly innocent. “Do you care, milord? You will be long gone before it matters to Doral. I thought your purpose these three days was simply to help me in my problem? With pleasure in your work, I realize–you threw yourself into the spirit of the occasion.”

“Damn it, quit pulling my leg! I did it to help you. But a man can’t help wondering.”

She slapped my thigh and laughed. “Oh, my very darling! Stop wondering; human races throughout the Universes can crossbreed. Some crosses fruit but seldom and some mule out. But this is not one of them. You will live on here, even if you never return. You’re not sterile; that was one of many things I checked
when I examined your beautiful body in Nice. One is never sure how the dice will roll, but–I think the Doral will not be disappointed.”

She leaned toward me. “Would you give your physician data more accurate than that which Jocko sang? I might offer a statistical probability. Or even a Sight.”

“No, I would not! Nosy.”

“It is a long nose, isn’t it? As you wish, milord. In a less personal vein the fact of crossbreeding among humans of different universes–and some animals such as dogs and cats–is a most interesting question. The only certainty is that human beings flourish only in those universes having chemistries so similar that
elements that make up deoxyribonucleic acids are so alike as not to matter. As for the rest, every scholar has his theory. Some hold to a teleologic explanation, asserting that Man evolves alike in all essential particulars in every universe that can support him because of Divine Plan–or through blind necessity, depending on whether the scholar takes his religion straight or chases it with soda.

“Some think that we evolved just once–or were created, as may be–and leaked across into other universes. Then they fight over which universe was the home of the race.”

“How can there be any argument?” I objected. “Earth has fossil evidence covering the evolution of man. Other planets either have it or not, and that should settle it.”

“Are you sure, milord? I thought that, on Earth, man’s family tree has as many dotted lines as there are bastards in European royal lines.”

I shut up. I had simply read some popular books. Perhaps she was right; a race that could not agree as to who did what to whom in a war only twenty years back probably didn’t know what Alley Oop did to the upstairs maid a million years ago, when the evidence was only scattered bones. Hadn’t there been hoaxes? The Piltdown Man, or some such?

Star went on, “Whatever the truth, there are leakages between worlds. On your own planet disappearances run to hundreds of thousands and not all are absconders or wife-deserters; see any
police department’s files. One usual place is the battlefield. The strain becomes too great and a man slides through a hole he didn’t know was there and winds up ‘missing in action.’ Sometimes–not often–a man is seen to disappear. One of your American writers, Bierce or Pierce, got interested and collected such cases. He collected so many that he was collected, too. And your Earth experiences reverse leakage, the ‘Kaspar Hausers,’ persons from nowhere, speaking no known language and never able to account for themselves.”

“Wait a minute? Why just people?”

“I didn’t say ‘just people.’ Have you never heard of rains of frogs? Of stones? Of blood? Who questions a stray cat’s origin? Are all flying saucers optical illusions? I promise you they are not; some are poor lost astronauts trying to find their way home. My people use space travel very little, as faster-than-light is the readiest way to lose yourself among the Universes. We prefer the safer method of metaphysical geometries–or ‘magic’ in the vulgar speech.”

Star looked thoughtful. “Milord, your Earth may be the home of mankind. Some scholars think so.”

“Why?”

“It touches so many other worlds. It’s the top of the list as a transfer point. If its people render it unfit for life–unlikely, but possible–it will disrupt traffic of a dozen universes. Earth has had its fairy rings, and Gates, and Bifrost Bridges for ages; that one we used in Nice was there before the Romans came.”

“Star, how can you talk about points on Earth ‘touching’ other planets–for centuries on end? The Earth moves around the Sun at twenty miles a second or such, and spins on its axis, not to mention other motions that add up to an involved curve at unthinkable speed. So how can it ‘touch’ other worlds?”

Again we rode in silence. At last Star said, “My Hero, how long did it take you to learn calculus?”

“Why, I haven’t learned it. I’ve studied it a couple of years.”

“Can you tell me how a particle can be a wave?”

“What? Star, that’s quantum mechanics, not calculus. I could give an explanation but it wouldn’t mean anything; I don’t have the math. An engineer doesn’t need it.”

“It would be simplest,” she said diffidently, “to answer your question by saying ‘magic’ just as you answered mine with ‘quantum mechanics.’ But you don’t like that word, so all I can say is that after you study higher geometries, metaphysical and conjectural as well as topological and judicial–if you care to
make such study–I will gladly answer. But you won’t need to ask.”

(Ever been told: “Wait till you grow up, dear; then you will understand”? As a kid I didn’t like it from grownups; I liked it still less from a girl I was in love with when I was fully grown.)

Star didn’t let me sulk; she shifted the talk. “Some crossbreedings are from neither accidental slippages nor planned travel. You’ve heard of incubi and succubi?”

“Oh, sure. But I never bother my head with myths.”

“Not myths, darling, no matter how often the legend has been used to explain embarrassing situations. Witches and warlocks are not always saints and some acquire a taste for rape. A person who has learned to open Gates can indulge such vice; he–or she–can sneak up on a sleeping person–maid, chaste wife, virgin boy–work his will and be long gone before cockcrow.” She shuddered.

“Sin at its nastiest. If we catch them, we kill them. I’ve caught a few, I killed them. Sin at its worst, even if the victim learns to like it.” She shuddered again.

“Star, what is your definition of ‘sin’?”

“Can there be more than one? Sin is cruelty and injustice, all else is peccadillo. Oh, a sense of sin comes from violating the customs of your tribe. But breaking custom is not sin even when it feels so; sin is wronging another person.”

“How about ‘sinning against God’?” I persisted.

She looked at me sharply. “So again we shave the barber? First, milord, tell me what you mean by

‘God.’ ”

“I just wanted to see if you would walk into it.”

“I haven’t walked into that one in a mort of years. I’d as lief thrust with a bent wrist, or walk a pentacle in clothes. Speaking of pentacles, my Hero, our destination is not what it was three days ago. Now we go to a Gate I had not expected to use. More dangerous but it can’t be helped.”

“My fault! I’m sorry, Star.”

“My fault, milord. But not all loss. When we lost our luggage I was more worried than I dared show–even though I was never easy about carrying firearms through a world where they may not be
used. But our foldbox carried much more than firearms, things we are vulnerable without. The time you spent in soothing the hurt to the Doral’s ladies I spent–in part–in wheedling the Doral for a new kit, almost everything heart could wish but firearms. Not all loss.”

“We are going to another world now?”

“Not later than tomorrow dawn, if we live.”

“Damn it, Star, both you and Rufo talk as if each breath might be our last.”

“As it might be.”

“You’re not expecting an ambush now; we’re still on Doral land. But Rufo is as full of dire forebodings as a cheap melodrama. And you are almost as bad.”

“I’m sorry. Rufo does fret–but he is a good man at your back when trouble starts. As for me, I have been trying to be fair, milord, to let you know what to expect.”

“Instead you confuse me. Don’t you think it’s time you put your cards face up?”

She looked troubled. “And if the Hanging Man is the first card turned?”

“I don’t give a hoot! I can face trouble without fainting–”

“I know you can, my champion.”

“Thanks. But not knowing makes me edgy. So talk.”

“I will answer any question, milord Oscar. I have always been willing to.” “But you know that I don’t know what questions to ask. Maybe a carrier pigeon doesn’t need to know what the war is about–but I feel like a sparrow in a badminton game. So start from the beginning.”

“As you say, milord. About seven thousand years ago–” Star stopped. “Oscar, do you want to know–now all the interplay of politics of a myriad worlds and twenty universes over millennia in arriving at the present crisis? I’ll try if you say, but just to outline it would take more time than remains until we must pass through that Gate. You are my true champion; my life hangs on your courage and skill. Do you want the politics behind my present helpless, almost hopeless predicament–save for you! Or shall I concentrate on the tactical situation?”

(Damn it! I did want the whole story.) “Let’s stick to the tactical situation. For now.”

“I promise,” she said solemnly, “that if we live through it, you shall have every detail. The situation is this: I had intended us to cross Nevia by barge, then through the mountains to reach a Gate beyond the Eternal Peaks. That route is less risky but long.

“But now we must hurry. We will turn off the road late this afternoon and pass through some wild country, and country still worse after dark. The Gate there we must reach before dawn; with luck we may sleep. I hope so, because this Gate takes us to another world at a much more dangerous exit.

“Once there, in that world–Hokesh it is called, or Karth–in Karth-Hokesh we shall be close, too close, to a tall tower, mile high, and, if we win to it, our troubles start. In it is the Never-Born, the Eater
of Souls.”

“Star, are you trying to scare me?”

“I would rather you were frightened now, if such is possible, than have you surprised later. My thought, milord, had been to advise you of each danger as we reached it, so that you could concentrate
on one at a time. But you overruled me.”

“Maybe you were right. Suppose you give me details on each as we come to it, just the outline now. So I’m to fight the Eater of Souls, am I? The name doesn’t scare me; if he tries to eat my soul, he’ll throw up. What do I fight him with? Spit?”

“That is one way,” she said seriously, “but, with luck, we won’t fight him–it–at all. We want what it guards.”

“And what is that?”

“The Egg of the Phoenix.”

“The Phoenix doesn’t lay eggs.”

“I know, milord. That makes it uniquely valuable.”

“But–”

She hurried on. “That is its name. It is a small object, somewhat larger than an ostrich egg and black. If I do not capture it, many bad things will happen. Among them is a small one: I will die. I mention that because it may not seem small to you–my darling! –and it is easier to tell you that one truth than it is to explain the issues.”

“Okay. We steal the Egg. Then what?”

“Then we go home. To my home. After which you may return to yours. Or remain in mine. Or go where you list, through Twenty Universes and myriad worlds. Under any choice, whatever treasure you fancy is yours; you will have earned it and more . . . as well as my heartfelt thanks, milord Hero, and anything you ask of me.”

(The biggest blank check ever written–If I could cash it.) “Star, you don’t seem to think we will live through it.”

She took a deep breath. “Not likely, milord. I tell you truth. My blunder has forced on us a most desperate alternative.”

“I see. Star, will you marry me? Today?”

Then I said, “Easy there! Don’t fall!” She hadn’t been in danger of falling; the seat belt held her. But she sagged against it. I leaned over and put my arm around her shoulders. “Nothing to cry about. Just give me a yes or a no–and I fight for you anyway. On, I forgot. I love you. Anyhow I think it’s love. A funny, fluttery feeling whenever I look at you or think about you–which is mostly.”

“I love you, milord,” she said huskily. “I have loved you since I first saw you. Yes, a ‘funny, fluttery feeling’ as if everything inside me were about to melt down.”

“Well, not quite that,” I admitted. “But it’s probably opposite polarity for the same thing. Fluttery, anyhow. Chills and lightnings. How do we get married around here?”

“But, milord–my love–you always astound me. I knew you loved me. I hoped that you would tell me before–well, in time. Let me hear it once. I did not expect you to offer to marry me!”

“Why not? I’m a man, you’re a woman. It’s customary?”

“But–Oh, my love, I told you! It isn’t necessary to marry me. By your rules . . . I’m a bitch.”

“Bitch, witch, Sing Along with Mitch! What the hell, honey? That was your word, not mine. You have about convinced me that the rules I was taught are barbarous and yours are the straight goods. Better blow your nose–here, want my hanky?

Star wiped her eyes and blew her nose but instead of the yes-darling I wanted to hear she sat up straight and did not smile. She said formally, “Milord Hero, had you not best sample the wine before you buy the barrel?”

I pretended not to understand.

“Please, milord love,” she insisted. “I mean it. There’s a grassy bit on your side of the road, just ahead. You can lead me to it this moment and willingly I will go.”

I sat high and pretended to peer. “Looks like crab grass. Scratchy.”

“Then p-p-pick your own grass! Milord . . . I am willing, and eager, and not uncomely–but you will learn that I am a Sunday painter compared with artists you will someday meet. I am a working woman. I haven’t been free to give the matter the dedicated study it deserves. Believe me! No, try me. You can’t know that you want to marry me.”

“So you’re a cold and clumsy wench, eh?”

“Well . . . I didn’t say that. I’m only entirely unskilled–and I do have enthusiasm.”

“Yes, like your auntie with the cluttered bedroom–it runs in your family, so you said. Let it stand that I ant to marry you in spite of your obvious faults.”

“But–”

“Star, you talk too much.”

“Yes, milord,” she said meekly.

“We’re getting married. How do we do it? Is the local lord also justice of the peace? If he is, there will be no droit du seigneur; we haven’t time for frivolities.” “Each squire is the local justice,” Star agreed thoughtfully, “and does perform marriages, although most Nevians don’t bother. But–Well, yes, he would expect droit du seigneur and, as you pointed out, we haven’t time to waste.”

“Nor is that my idea of a honeymoon. Star–look at me. I don’t expect to keep you in a cage; I know you weren’t raised that way. But we won’t look up the squire. What’s the local brand of preacher? A celibate brand, by choice.”

“But the squire is the priest, too. Not that religion is an engrossing matter in Nevia; fertility rites are all they bother with. Milord love, the simplest way is to jump over your sword.”

“Is that a marriage ceremony where you come from, Star?”

“No, it’s from your world:

‘Leap rogue, and jump whore,

‘And married be forevermore–‘

“–it’s very old.”

“Mmm–I don’t care for the marriage lines. I may be a rogue but I know what you think of whores. What other chances are there?”

“Let me see. There’s a rumormonger in a village we pass through soon after lunch. They sometimes marry townies who want it known far and wide; the service includes spreading the news.”

“What sort of service?”

“I don’t know. And I don’t care, milord love. Married we will be!”

“That’s the spirit! We won’t stop for lunch.”

“No, milord,” she said firmly, “if wife I am to be, I shall be a good wife and not permit you to skip meals.”

“Henpecking already. I think I’ll beat you.”

“As you will, milord. But you must eat, you are going to need your strength–”

“I certainly will!’

“–for fighting. For now I am ten times as anxious that we both live through it. Here is a place for

lunch.” She turned Vita Brevis off the road; Ars Longa followed. Star looked back over her shoulder and dimpled. “Have I told you today that you are beautiful . . . my love!”

Chapter 11

Rufo’s longhorse followed us onto the grassy verge Star picked for picnicking. He was still limp as a wet sock and snoring. I would have let him sleep but Star was shaking him.

He came awake fast, reaching for his sword and shouting, “A moi! M’aidez! Les vaches!” Fortunately some friend had stored his sword and belt out of reach on the baggage rack aft, along with bow, quiver, and our new foldbox.

Then he shook his head and said, “How many were there?”

“Down from there, old friend,” Star said cheerfully. “We’ve stopped to eat.”

“Eat!” Rufo gulped and shuddered. “Please, milady. No obscenity.” He fumbled at his seat belt and fell out of his saddle; I steadied him. Star was searching through her pouch; she pulled out a vial and offered it to Rufo. He shied back.

“Milady!”

“Shall I hold your nose?” she said sweetly.

“I’ll be all right. Just give me a moment . . . and the hair of the dog.”

“Certainly you’ll be all right. Shall I ask milord Oscar to pin your arms?”

Rufo glanced at me appealingly; Star opened the little bottle. It fizzed and fumes rolled out and down.

“Now!”

Rufo shuddered, held his nose, tossed it down.

I won’t say smoke shot out of his ears. But he flapped like torn canvas in a gale and horrible noises came out.

Then he came into focus as suddenly as a TV picture. He appeared heavier and inches taller and had finned out. His skin was a rosy glow instead of death pallor. “Thank you, milady,” he said cheerfully, his oice resonant and virile. “Someday I hope to return the favor.”

“When the Greeks reckon time by the kalends,” she agreed.

Rufo led the longhorses aside and fed them, opening the foldbox and digging out haunches of bloody eat. Ars Longa ate a hundredweight and Vita Brevis and Mors Profunda even more; on the road these beasts need a high-protein diet. That done, he whistled as he set up table and chairs for Star and myself.

“Sugar pie,” I said to Star, “what’s in that pick-me-up?”

“An old family recipe:

‘Eye of newt and toe of frog,

‘Wool of bat and tongue of dog,

‘Adders fork and blind-worm’s sting,

‘Lizard’s leg and howlet’s wing–‘ ”

“Shakespeare!” I said. “Macbeth.”

” ‘Cool it with a baboon’s blood–‘ No, Will got it from me, milord love. That’s the way with writers; they’ll steal anything, file off the serial numbers, and claim it for their own. I got it from my aunt–another aunt–who was a professor of internal medicine. The rhyme is a mnemonic for the real ingredients which are much more complicated–never can tell when you’ll need a hangover cure. I compounded it last night, knowing that Rufo, for the sake of our skins, would need to be at his sharpest today–two doses, in fact, in case you needed one. But you surprised me, my love; you break out with nobility at the oddest times.”

“A family weakness. I can’t help it.”

“Luncheon is served, milady.”

I offered Star my arm. Hot foods were hot, cold ones chilled; this new foldbox, in Lincoln green embossed with the Doral chop, had equipment that the lost box lacked. Everything was delicious and the wines were superb.

Rufo ate heartily from his serving board while keeping an eye on our needs. He had come over to pour the wine for the salad when I broke the news. “Rufo old comrade, milady Star and I are getting married today. I want you to be my best man and help prop me up.”

He dropped the bottle.

Then he was busy wiping me and mopping the table. When at last he spoke, it was to Star. “Milady,” he said tightly, “I have put up with much, uncomplaining, for reasons I need not state. But this is going too far. I won’t let–”

“Hold your tongue!”

“Yes,” I agreed, “hold it while I cut it out. Will you have it fried? Or boiled?”

Rufo looked at me and breathed heavily. Then he left abruptly, withdrawing beyond the serving board.

Star said softly, “Milord love, I am sorry.”

“What twisted his tail?” I said wonderingly. Then I thought of the obvious. “Star! Is Rufo jealous?”

She looked astounded, started to laugh and chopped it off. “No, no, darling! It’s not that at all.

Rufo–Well, Rufo has his foibles but he is utterly dependable where it counts. And we need him. Ignore it. Please, milord.”

“As you say. It would take more than that to make me unhappy today.”

Rufo came back, face impassive, and finished serving. He repacked without speaking and we hit the road.

The road skirted the village green; we left Rufo there and sought out the rumormonger. His shop, a crooked lane away, was easy to spot; an apprentice was beating a drum in front of it and shouting
teasers of gossip to a crowd of locals. We pushed through and went inside.

The master rumormonger was reading something in each hand with a third scroll propped against his feet on a desk. He looked, dropped feet to floor, jumped up and made a leg while waving us to seats.

“Come in, come in, my gentles!” be sang out. “You do me great honor, my day is made! And yet if I may say so you have come to the right place whatever your problem whatever your need you have only to speak good news bad news every sort but sad news reputations restored events embellished history rewritten great deeds sung and all work guaranteed by the oldest established news agency in all Nevia news from all worlds all universes propaganda planted or uprooted offset or rechanneled satisfaction guaranteed honesty is the best policy but the client is always right don’t tell me I know I know I have spies in every kitchen ears in every bedroom the Hero Gordon without a doubt and your fame needs no heralds milord but honored am I that you should seek me out a biography perhaps to match your
matchless deeds complete with old nurse who recalls in her thin and ancient and oh so persuasive voice the signs and portents at your birth–”

Star chopped him off. “We want to get married.”

His mouth shut, he looked sharply at Star’s waistline and almost bought a punch in the nose. “It is a pleasure. And I must add that I heartily endorse such a public-spirited project. All this modern bundling and canoodling and scuttling without even three cheers or a by-your-leave sends taxes up and profits down that’s logic. I only wish I had time to get married myself as I’ve told my wife many’s the time. Now as to plans, if I may make a modest suggestion–”

“We want to be married by the customs of Earth.”

“Ah, yes, certainly.” He turned to a cabinet near his desk, spun dials. After a bit he said, “Your pardon, gentles, but my head is crammed with a billion facts, large and small, and–that name? Does it start with one ‘R’ or two?”

Star moved around, inspected the dials, made a setting.

The rumormonger blinked. “That universe? We seldom have a call for it. I’ve often wished I had time to travel but business business business–LIBRARY!”

“Yes, Master?” a voice answered.

“The planet Earth, Marriage Customs of–that’s a capital ‘Urr’ and a soft theta.” He added a five-group serial number. “Snap it up!”

In very short time an apprentice came running with a thin scroll. “Librarian says careful how you handle it, Master. Very brittle, he says. He says–”

“Shut up. Your pardon, gentles.” He inserted the scroll in a reader and began to scan.

His eyes bugged out and he sat forward. “Unbeliev–” Then he muttered, “Amazing! Whatever made them think of that!” For several minutes he appeared to forget we were there, simply giving vent to: “Astounding! Fantastic!” and like expressions.

I tapped his elbow. “We’re in a hurry.”

“Eh? Yes, yes, milord Hero Gordon–milady.” Reluctantly he left the scanner, fitted his palms together, and said, “You’ve come to the right place. Not another rumormonger in all Nevia could handle a project this size. Now my thought is–just a rough idea, talking off the top of my head–for the procession we’ll need to call in the surrounding countryside although for the charivari we could make do with just townspeople if you want to keep it modest in accordance with your reputation for dignified simplicity–say one day for the procession and a nominal two nights of charivari with guaranteed noise levels of–”

“Hold it.”

“Milord? I’m not going to make a profit on this; it will be a work of art, a labor of love–just expenses plus a little something for my overhead. It’s my professional judgment, too, that a Samoan pre-ceremony would be more sincere, more touching really, than the optional Zulu rite. For a touch of comedy relief–at no extra charge; one of my file clerks just happens to be seven months along, she’d be glad to run down the aisle and interrupt the ceremony–and of course there is the matter of witnesses to the consummation,
how many for each of you, but that needn’t be settled this week; we have the street decorations to think of first, and–”

I took her arm. “We’re leaving.”

“Yes, milord,” Star agreed.

He chased after us, shouting about broken contracts. I put hand to sword and showed six inches of blade; his squawks shut off.

Rufo seemed to be all over his mad; he greeted us civilly, even cheerfully. We mounted and left. We had been riding south a mile or so when I said, “Star darling–”

“Milord love?”

“That ‘jumping over the sword’–that really is a marriage ceremony?”

“A very old one, my darling. I think it dates back to the Crusades.”

“I’ve thought of an updated wording:

‘Jump rogue, and princess leap,

‘My wife art thou and mine to keep!’

“–would that suit you?”

“Yes, yes!”

“But for the second line you say:

‘–thy wife I vow and thine to keep.’

“Got it?”

Star gave a quick gasp. “Yes, my love!”

We left Rufo with the longhorses, giving no explanation, and climbed a little wooded hill. All of Nevia is beautiful, with never a beer can nor a dirty Kleenex to mar its Eden loveliness, but here we found an outdoor temple, a smooth grassy place surrounded by arching trees, an enchanted sanctuary.

I drew my sword and glanced along it, feeling its exquisite balance while noting again the faint ripples left by feather-soft hammer blows of some master swordsmith. I tossed it and caught it by the forte.

“Read the motto. Star.”

She traced it out. ” ‘Dum vivimus, vivamus!’–‘While we live, let us live!’ Yes, my love, yes!” She kissed it and handed it back; I placed it on the ground.

“Know your lines?” I asked.

“Graved in my heart.”

I took her hand in mine. “Jump high. One . . . two . . . three!”

Chapter 12

When I led my bride back down that blessed hill, arm around her waist, Rufo helped us mount without comment. But he could hardly miss that Star now addressed me as: “Milord husband.” He mounted and tailed in, a respectful distance out of earshot.

We rode hand in hand for at least an hour. Whenever I glanced at her, she was smiling; whenever she caught my eye, the smile grew dimples. Once I asked, “How soon must we keep lockout?”

“Not until we leave the road, milord husband.”

That held us another mile. At last she said timidly, “Milord husband?”

“Yes, wife?”

“Do you still think that I am ‘a cold and clumsy wench’?”

“Mmm . . .” I answered thoughtfully, ” ‘cold’–no, I couldn’t honestly say you were cold. But ‘clumsy’–Well, compared with an artist like Muri, let us say–”

“Milord husband!”

“Yes? I was saying

“Are you honing for a kick in the belly?” She added, “American!”

“Wife . . . would you kick me in the belly?”

She was slow in answering and her voice was very low. “No, milord husband. Never.”

“I’m pleased to hear it. But if you did, what would happen?”

“You–you would spank me. With my own sword. But not with your sword. Please, never with your sword . . . my husband.”

“Not with your sword, either. With my hand. Hard. First I would spank you. And then–”

“And then what?”

I told her. “But don’t give me cause. According to plans I have to fight later. And don’t interrupt me in the future.”

“Yes, milord husband.”

“Very well. Now let’s assign Muri an arbitrary score of ten. On that scale you would rate–Let me hink.”

“Three or four, perhaps? Or even five?”

“Quiet. I make it about a thousand. Yes, a thousand, give or take a point. I haven’t a slide rule.”

“Oh, what a beast you are, my darling! Lean close and loss me–and just wait till I tell Muri.”

“You’ll say nothing to Muri, my bride, or you will be paddled. Quit fishing for compliments. You know hat you are, you sword-jumping wench.”

“And what am I?”

“My princess.”

“Oh.”

“And a mink with its tail on fire–and you know it.”

“Is that good? I’ve studied American idiom most carefully but sometimes I am not sure.”

“It’s supposed to be tops. A figure of speech, I’ve never known a mink that well. Now get your mindon other matters, or you may be a widow on your bridal day. Dragons, you say?”

“Not until after nightfall, milord husband–and they aren’t really dragons.”

“As you described them, the difference could matter only to another dragon. Eight feet high at the houlders, a few tons each, and teeth as long as any forearm–all they need is to breathe flame.”

“Oh, but they do! Didn’t I say?”

I sighed. “No, you did not.”

“They don’t exactly breathe fire. That would kill them. They hold their breaths while flaming. It’s wamp gas–methane–from the digestive tract. It’s a controlled belch, with a hypergolic effect from an enzyme secreted between the first and second rows of teeth. The gas bursts into flame on the way out.”

“I don’t care how they do it; they’re flame-throwers. Well? How do you expect me to handle them?”

“I had hoped that you would have ideas. You see,” she added apologetically, “I hadn’t planned on it, I didn’t expect us to come this way.”

“Well–Wife, let’s go back to that village. Set up in competition with our friend the rumormonger–I’ll bet we could outgabble him.”

“Milord husband!”

“Never mind. If you want me to kill dragons every Wednesday and Saturday, I’ll be on call. This flaming methane–Do they spout it from both ends?”

“Oh, just the front end. How could it be both?”

“Easy. See next year’s model. Now quiet; I’m thinking over a tactic. Ill need Rufo. I suppose he has killed dragons before?”

“I don’t know that a man has ever killed one, milord husband.”

“So? My princess, I’m flattered by the confidence you place in me. Or is it desperation? Don’t answer, I don’t want to know. Keep quiet and let me think.”

At the next farmhouse Rufo was sent in to arrange returning the longhorses. They were ours, gifts from the Doral, but we had to send them home, as they could not live where we were going–Muri had promised me that she would keep an eye on Ars Longa and exercise her. Rufo came back with a bumpkin mounted on a heavy draft animal bareback–he Kept shifting numbly between second and third pairs of legs to spare the animal’s back and controlled it by voice.

When we dismounted, retrieved our bows and quivers, and prepared to hoof it, Rufo came up. “Boss, Manure Foot craves to meet the hero and touch his sword. Brush him off?”

Rank hath its duties as well as its privileges. “Fetch him.”

The lad, overgrown and fuzz on his chin, approached eagerly, stumbling over his feet, then made a leg so long he almost fell. “Straighten up, son,” I said. “What’s your name?”

“Pug, milord Hero,” he answered shrilly. (“Pug” will do. The Nevian meaning was as rugged as Jocko’s jokes.) “A stout name. What do you want to be when you grow up?”

“A hero, milord! Like yourself.”

I thought of telling him about those rocks on the Glory Road. But he would find them soon enough if ever he tramped it–and either not mind, or turn back and forget the silly business. I nodded approvingly and assured him that there was always room at the top in the Hero business for a lad with spirit–and that the lower the start, the greater the glory . . . so work hard and study hard and wait his opportunity. Keep his guard up but always speak to strange ladies; adventure would come his way. Then I let him touch my sword–but not take it in hand. The Lady Vivamus is mine and I’d rather share my toothbrush.

Once, when I was young, I was presented to a Congressman. He had handed me the same fatherly guff I was now plagiarizing. Like prayer, it can’t do any harm and might do some good, and I found that I was sincere when I said it and no doubt the Congressman was, too. Oh, possibly some harm, as the youngster might get himself killed on the first mile of that road. But that is better than sitting over the fire in your old age, sucking your gums and thinking about the chances you missed and the gals you didn’t
tumble. Isn’t it?

I decided that the occasion seemed so important to Pug that it should be marked, so I groped in my pouch and found a U.S. quarter. “What’s the rest of your name. Pug?”

“Just ‘Pug,’ milord. Of house Lerdki, of course.”

“E. C.” to “Easy” because of my style of broken-field running–I never ran harder nor dodged more than the occasion demanded.

“By authority vested in me by Headquarters United States Army Southeast Asia Command, I, the Hero Oscar, ordain that you shall be known henceforth as Lerdki’t Pug Easy. Wear it proudly.”

I gave him the quarter and showed him George Washington on the obverse. “This is the father of my house, a greater hero than I will ever be. He stood tall and proud, spoke the truth, and fought for the right as he saw it, against fearful odds. Try to be like him. And here”–I turned it over–“is the chop of my house, the house he founded. The bird stands for courage, freedom, and ideals soaring high.” (I didn’t tell him that the American Eagle eats carrion, never tackles anything its own size, and will soon be extinct–it does stand for those ideals. A symbol means what you put into it.)

Pug Easy nodded violently and tears started to flow. I had not presented him to my bride; I didn’t know that she would wish to meet him. But she stepped forward and said gently, “Pug Easy, remember the words of milord Hero. Treasure them and they will last you all your life.”

The lad dropped to his knees. Star touched his hair and said, “Stand, Lerdki’t Pug Easy. Stand tall.”

I said good-bye to Ars Longa, told her to be a good girl and I would be back someday. Pug Easy readed back with longhorses tailed up and we set out into the woods, arrows nocked and Rufo eyes-behind. There was a sign where we left the yellow brick road; freely translated it read: ALL HOPE ABANDON, YE WHO ENTER HERE.

(A literal translation is reminiscent of Yellowstone Park: “Warning–the varmints in these woods are not tame. Travelers are warned to stay on the road, as their remains will not be returned to their kin. The Lerdki, His Chop.”)

Presently Star said, “Milord husband–”

“Yes, pretty foots?” I didn’t look at her; I was watching my side and a bit of hers, and keeping an eye overhead as well, as we could be bombed here–something like blood kites but smaller and goes for the eyes.

“My Hero, you are truly noble and you have made your wife most proud.”

“Huh? How?” I had my mind on targets–two kinds on the ground here: a rat big enough to eat cats and willing to eat people, and a wild hog about the same size and not a ham sandwich on him anyplace, all rawhide and bad temper. The hogs were easier targets, I had been told, because they charge straight at you. But don’t miss. And have your sword loosened, you won’t nock a second shaft.

“That lad, Pug Easy. What you did for him.”

“Him? I fed him the old malarkey. Cost nothing.”

“It was a kingly deed, milord husband.”

“Oh, nonsense, diddycums. He expected big talk from a hero, so I did.”

“Oscar my beloved, may a loyal wife point it out to her husband when he speaks nonsense of himself? I have known many heroes and some were such oafs that one would feed them at the back door if their eeds did not claim a place at the table. I have known few men who were noble, for nobility is scarcer far than heroism. But true nobility can always be recognized . . . even in one as belligerently shy about showing it as you are. The lad expected it, so you gave it to him–out noblesse oblige is an emotion felt
only by those who are noble.”

“Well, maybe. Star, you are talking too much again. Don’t you think these varmints have ears?”

“Your pardon, milord. They have such good ears that they hear footsteps through the ground long before they hear voices. Let me have the last word, today being my bridal day. If you are–no, when you are gallant to some beauty, let us say Letva–or Muri, damn her lovely eyes! –I do not count it as nobility; it must be assumed to spring from a much commoner emotion than noblesse oblige. But when you speak to a country lout with pigsty on his feet, garlic on his breath, the stink of sweat all over him, and pimples on his face–speak gently and make him feel for the time as noble as you are and let him hope one day to be your equal–I know it is not because you hope to tumble him.”

“Oh, I don’t know. Boys that age are considered a treat in some circles. Give him a bath, perfume him, curl his hair–”

“Milord husband, is it permitted for me to think about kicking you in the belly?”

“Can’t be court-martialed for thinking, that’s the one thing they can’t take away from you. Okay, I prefer girls; I’m a square and can’t help it. What’s this about Muri’s eyes? Longlegs, are you jealous?”

I could hear dimples even though I couldn’t stop to see them. “Only on my wedding day, milord husband; the other days are yours. If I catch you in sportiveness, I shall either not see it, or congratulate
you, as may be.”

“I don’t expect you’ll catch me.”

“And I trust you’ll not catch me, milord rogue,” she answered serenely.

She did get the last word, for just then Rufo’s bowstring went Fwung! He called out, “Got ‘im!” and then we were very busy. Hogs so ugly they made razorbacks look like Poland-Chinas–I got one by
arrow, down his slobbering throat then fed steel to his brother a frozen second later. Star got a fair hit at hers but it deflected on bone and kept coming and I kicked it in the shoulder as I was still trying to free my blade from its cousin. Steel between its ribs quieted it and Star coolly nocked another shaft and let fly while I was killing it. She got one more with her sword, leaning the point in like a matador at the moment of truth, dancing aside as it came on, dead and unwilling to admit it.

The fight was over. Old Rufo had got three unassisted and a nasty goring; I had a scratch and my bride was unhurt, which I made sure of as soon as things were quiet. Then I mounted guard while our surgeon took care of Rufo, after which she dressed my lesser cut.

“How about it, Rufo?” I asked. “Can you walk?”

“Boss, I won’t stay in this forest if I have to crawl, Let’s mush. Anyhow,” he added, nodding at the worthless pork around us, “we won’t be bothered by rats right away.”

I rotated the formation, placing Rufo and Star ahead with his good leg on the outside and myself taking rear guard, where I should have been all along. Rear guard is slightly safer than point under most conditions but these weren’t most conditions. I had let my blind need to protect my bride personally ffect my judgment.

Having taken the hot spot I then went almost cross-eyed trying not only to see behind but ahead as well, so that I could close fast if Star–yes, and Rufo–got into trouble. Luckily we had a breathing spell in which I sobered down and took to heart the oldest lesson on patrol: You can’t do the other man’s job. Then I gave all my attention to our rear. Rufo, old as he was and wounded, would not die without slaughtering an honor guard to escort him to hell in style–and Star was no fainting heroine. I would bet long odds on her against anyone her own weight, name your weapon or barehanded, and I pity the man who ever tried to rape her; he’s probably still searching for his cojones.

Hogs didn’t bother us again but as evening approached we began to see and oftener to hear those giant rats; they paced us, usually out of sight; they never attacked berserk the way the hogs had; they looked for the best of it, as rats always do.

Rats give me the horrors. Once when I was a kid, my dad dead and Mother not yet remarried, we were flat broke and living in an attic in a condemned building. You could hear rats in the walls and twice rats ran over me in my sleep.

I still wake up screaming.

It doesn’t improve a rat to blow it up to the size of a coyote. These were real rats, even to the whiskers, and shaped like rats save that their legs and pads were too large–perhaps the cube-square law
on animal proportions works anywhere.

We didn’t waste an arrow on one unless it was a fair shot and we zigzagged to take advantage of such openness as the forest had–which increased the hazard from above. However, the forest was so dense that attacks from the sky weren’t our first worry.

I got one rat that tailed too closely and just missed another. We had to spend an arrow whenever they got bold; it caused the others to be more cautious. And once, while Rufo was drawing a bow on one and Star was ready with her sword to back him up, one of those vicious little hawks dived on Rufo.

Star cut him out of the air at the bottom of his stoop. Rufo hadn’t even seen it; he was busy nailing brother rat.

We didn’t have to worry about underbrush; this forest was park-like, trees and grass, no dense undergrowth. Not too bad, that stretch, except that we began to run out of arrows. I was fretting about that when I noticed something. “Hey, up ahead! You’re off course. Cut to the right.” Star had set course for me when we left the road but it was up to me to hold it; her bump of direction was erratic and Rufo’s no better.

“Sorry, milord leader,” Star called back. “The going was a trifle steep.”

I closed in. “Rufo, how’s the leg?” There was sweat on his forehead.

Instead of answering me, he said, “Milady, it will be dark soon.”

“I know,” she answered calmly, “so time for a bite of supper. Milord husband, that great flat rock up ahead seems a nice place.”

I thought she had slipped her gears and so did Rufo, but for another reason. “But, milady, we are far ehind schedule.”

“And much later we shall be unless I attend to your leg again.”

“Better you leave me behind,” he muttered.

“Better you keep quiet until your advice is asked,” I told him. “I wouldn’t leave a Horned Ghost to be eaten by rats. Star, how do we do this?”

The great flat rock sticking up like a skull in the trees ahead was the upper surface of a limestone boulder with its base buried. I stood guard in its center with Rufo seated beside me while Star set out wards at cardinal and semi-cardinal points. I didn’t get to see what she did because my eyes had to be peeled for anything beyond her, shaft nocked and ready to knock it down or scare it off, while Rufo watched the other side. However, Star told me later that the wards weren’t even faintly “magic” but were within reach of Earth technology once some bright boy got the idea–an “electrified fence” without the fence, as radio is a telephone without wires, an analogy that won’t hold up.

But it was well that I kept honest lockout instead of trying to puzzle out how she sat up that charmed circle, as she was attacked by the only rat we met that had no sense. He came straight at her, my arrow past her ear warned her, and she finished him off by sword. It was a very old male, missing teeth and white whiskers and likely weak in his mind. He was as large as a wolf, and with two death wounds still a red-eyed, mangy fury.

Once the last ward was placed Star told me that I could stop worrying about the sky; the wards roofed as well as fenced the circle. As Rufo says, if She says it, that settles it. Rufo had partly unfolded the foldbox while he watched; I got out her surgical case, more arrows for all of us, and food. No nonsense about manservant and gentlefolk, we ate together, sitting or sprawling and with Rufo lying flat to give his leg a chance while Star served him, sometimes popping food into his mouth in Nevian hospitality.

She had worked a long time on his leg while I held a light and handed her things. She packed the wound with a pale jelly before sealing a dressing over it. If it hurt, Rufo didn’t mention it.

While we ate it grew dark and the invisible fence began to be lined with eyes, glowing back at us with the light we ate by, and almost as numerous as the crowd the morning Igli ate himself. Most of them I judged to be rats. One group kept to themselves with a break in the circle on each side; I decided these must be hogs; the eyes were higher off the ground.

“Milady love,” I said, “will those wards hold all night?”

“Yes, milord husband.”

“They had better. It is too dark for arrows and I can’t see us hacking our way through that mob. I’m afraid you must revise your schedule again.”

“I can’t, milord Hero. But forget those beasts. Now we fly.”

Rufo groaned. “I was afraid so. You know it makes me seasick.”

“Poor Rufo,” Star said softly. “Never fear, old friend I have a surprise for you. Again such chance as this, I bought Dramamine in Cannes–you know, the drug that saved the Normandy invasion back on Earth. Or perhaps you don’t know.”

Rufo answered, ” ‘Know’? I was in that invasion, milady–and I’m allergic to Dramamine; I fed fish all the way to Omaha Beach. Worst night I’ve ever had–why, I’d rather be here!”

“Rufo,” I asked, “were you really at Omaha Beach?”

“Hell, yes, Boss. I did all of Eisenhower’s thinking.”

“But why? It wasn’t your fight.”

“You might ask yourself why you’re in this fight, Boss. In my case it was French babes. Earthy and uninhibited and always cheerful about it and willing to learn. I remember one little mademoiselle from Armentieres”–he pronounced it correctly–“who hadn’t been–”

Star interrupted. “While you two pursue your bachelor reminiscences, I’ll get the flight gear ready.” She got up and went to the foldbox.

“Go ahead, Rufo,” I said, wondering how far he would stretch this one.

“No,” he said sullenly. “She wouldn’t like it. I can tell. Boss, you’ve had the damnedest effect on Her. More ladylike by the minute and that isn’t like Her at all. First thing you know She will subscribe to Vogue and then there’s no telling how far it will go. I don’t understand it, it can’t be your looks. No offense meant.”

“And none taken. Well, tell me another time. If you can remember it.”

“I’ll never forget her. But, Boss, seasickness isn’t the half of it. You think these woods are infested. Well, the ones we are coming to–wobbly in the knees, at least I will be–those woods have dragons.”

“I know.”

“So She told you? But you have to see it to believe it. The woods are full of ’em. More than there are
Doyles in Boston. Big ones, little ones, and the two-ton teen-age size, hungry all the time. You may fancy
being eaten by a dragon; I don’t. It’s humiliating. And final. They ought to spray the place with
dragonbane, that’s what they ought to do. There ought to be a law.”

Star had returned. “No, there should not be a law,” she said firmly. “Rufo, don’t sound off about things you don’t understand. Disturbing the ecological balance is the worst mistake any government can make.”

Rufo shut up, muttering. I said, “My true love, what use is a dragon? Riddle me that.”

“I’ve never cast a balance sheet on Nevia, it’s not my responsibility. But I can suggest the imbalances that might follow any attempt to get rid of dragons–which the Nevians could do; you’ve seen that their technology is not to be sneered at. These rats and hogs destroy crops. Rats help to keep the hogs down by eating piglets. But rats are even worse than hogs, on food crops. The dragons graze through these very woods in the daytime–dragons are diurnal, rats are nocturnal and go into their holes in the heat of the day. The dragons and hogs keep the underbrush cropped back and the dragons keep the lower limbs trimmed off. But dragons also enjoy a tasty rat, so whenever one locates a rat hole, it gives it a shot of flame, not always killing adults as they dig two holes for each nest, but certainly killing any babies–and then the dragon digs in and has his favorite snack. There is a long-standing agreement, amounting to a
treaty, that as long as the dragons stay in their own territory and keep the rats in check, humans will not
bother them.”

“But why not kill the rats, and then clean up the dragons?”

“And let the hogs run wild? Please, milord husband, I don’t know all the answers in this case; I simply know that disturbing a natural balance is a matter to be approached with fear and trembling–and a very versatile computer. The Nevians seem content not to bother the dragons.”

“Apparently we’re going to bother them. Will that break the treaty?”

“It’s not really a treaty, it’s folk wisdom with the Nevians, and a conditioned reflex–or possibly instinct–with the dragons. And we aren’t going to bother dragons if we can help it. Have you discussed tactics with Rufo? There won’t be time when we get there.”

So I discussed how to loll dragons with Rufo, while Star listened and finished her preparations. “All right,” Rufo said glumly, “it beats sitting tight, like an oyster on the half shell waiting to be eaten. More dignified. I’m a better archer than you are–or at least as good–so I’ll take the hind end, as I’m not as agile tonight as I should be.”

“Be ready to switch jobs fast if he swings around.”

“You be ready, Boss. I’ll be ready for the best of reasons–my favorite skin.”

Star was ready and Rufo had packed and reslung the foldbox while we conferred. She placed round garters above each knee of each of us, then had us sit on the rock facing our destination. “That oak arrow, Rufo.”

“Star, isn’t this out of the Albertus Magnus book?”

“Similar,” she said. “My formula is more reliable and the ingredients I use on the garters don’t spoil. If you please, milord husband, I must concentrate on my witchery. Place the arrow so that it points at the cave.”

I did so. “Is that precise?” she asked.

“If the map you showed me is correct, it is. That’s aimed just the way I’ve been aiming since we left the road.”

“How far away is the Forest of Dragons?”

“Uh, look, my love, as long as we’re going by air why don’t we go straight to the cave and skip the dragons?”

She said patiently, “I wish we could. But that forest is so dense at the top that we can’t drop straight down at the cave, no elbow room. And the things that live in those trees, high up, are worse than dragons. They grow–”

“Please!” said Rufo. “I’m airsick already and we’re not off the ground.”

“Later, Oscar, if you still want to know. In any case we daren’t risk encountering them–and won’t; they stay up higher than the dragons can reach, they must. How tar to the forest?”

“Mmm, eight and a half miles, by that map and how far we’ve come–and not more than two beyond that to the Cave of the Gate.”

“All right. Arms tight around my waist, both of you, and as much body contact as possible; it’s got to work on all of us equally.” Rufo and I settled each an arm in a hug about her and clasped hands across her tummy. That’s good. Hang on tight.” Star wrote figures on the rock beside the arrow.

It sailed away into the night with us after it.

I don’t see how to avoid calling this magic, as I can’t see any way to build Buck Rogers belts into elastic garters. Oh, if you like, Star hypnotized us, then used psi powers to teleport us eight and a half miles. “Psi” is a better word than “magic”; monosyllables are stronger than polysyllables–see Winston Churchill’s speeches. I don’t understand either word, any more than I can explain why I never get lost. I just think it’s preposterous that other people can.

When I fly in dreams, I use two styles: one is a swan dive and I swoop and swirl and cut didos; the other is sitting Turk fashion like the Little Lame Prince and sailing along by sheer force of personality.

The latter is how we did it, like sailing in a glider with no glider. It was a fine night for flying (all nights in Nevia are fine; it rains just before dawn in the rainy season, they tell me) and the greater moon silvered the ground below us. The woods opened up and became clumps of trees; the forest we were heading for showed black against the distance, much higher and enormously more imposing than the pretty woods behind us. Far off to the left I could glimpse fields of house Lerdki.

We had been in the air about two minutes when Rufo said, “Pa’don me!” and turned his head away. He doesn’t have a weak stomach; he didn’t get a drop on us. It arched like a fountain. That was the only incident of a perfect flight.

Just before we reached the tall trees Star said crisply, “Amech!” We checked like a heli and settled straight down to a three-fanny landing. The arrow rested on the ground in front of us, again dead. Rufo returned it to his quiver. “How do you feel?” I asked. “And how’s your leg?”

He gulped. “Leg’s all right. Ground’s going up and down.”

“Hush!” Star whispered. “Hell be all right. But hush, for your lives!”

We set out moments later, myself leading with drawn sword, Star behind me, and Rufo dogging her, an arrow nocked and ready.

The change from moonlight to deep shadow was blinding and I crept along, feeling for tree trunks and praying that no dragon would be in the path my bump of direction led. Certainly I knew that the dragons slept at night, but I place no faith in dragons. Maybe the bachelors stood watches, the way bachelor baboons do. I wanted to surrender that place of honor to St. George and take a spot farther back.

Once my nose stopped me, a whiff of ancient musk. I waited and slowly became aware of a shape the size of a real estate office–a dragon, sleeping with its head on its tail. I led them around it, making no noise and hoping that my heart wasn’t as loud as it sounded.

My eyes were doing better now, reaching out for every stray moonbeam that trickled down–and something else developed. The ground was mossy and barely phosphorescent the way a rotten log sometimes is. Not much. Oh, very little. But it was the way a darkroom light, almost nothing when you go inside, later is plenty of light. I could see trees now and the ground–and dragons.

I had thought earlier, Oh, what’s a dozen or so dragons in a big forest? Chances are we won’t see one, any more than you cath sight of deer most days in deer country.

The man who gets the all-night parking concession in that forest will make a fortune if he figures out a way to make dragons pay up. We never were out of sight of one after we could see.

Of course these aren’t dragons. No, they are uglier. They are saurians, more like tyrannosaurus rex than anything else–big hindquarters and heavy hind legs, heavy tail, and smaller front legs that they use either in walking or to grasp their prey. The head is mostly teeth. They are omnivores whereas I understand that T. rex ate only meat. This is no help; the dragons eat meat when they can get it, they prefer it. Furthermore, these not-so-fake dragons have evolved that charming trick of burning their own sewer gas. But no evolutionary quirk can be considered odd if you use the way octopi make love as a comparison.

Once, far off to the left, an enormous jet lighted up, with a grunting bellow like a very old alligator. The light stayed on several seconds, then died away. Don’t ask me–two males arguing over a female, maybe. We kept going, but I slowed after the light went out, as even that much was enough to affect our eyes until our night sight recovered.

I’m allergic to dragons–literally, not just scared silly. Allergic the way poor old Rufo is to Dramamine but more the way cat fur affects some people.

My eyes were watering as soon as we were in that forest, then my sinuses started to clog up and before we had gone half a mile I was using my left fist to rub my upper lip as hard as I could, trying to kill a sneeze with pain. At last I couldn’t make it and jammed fingers up my nostrils and bit my lips and the contained explosion almost burst my eardrums. It happened as we were skirting the south end of a truck-and-trailer-size job; I stopped dead and they stopped and we waited. It didn’t wake up.

When I started up, my beloved closed on me, grasped my arm; I stopped again. She reached into her pouch, silently found something, rubbed it on my nose and up my nostrils, then with a gentle push signed that we could move on.

First my nose burned cold, as with Vick’s salve, then it felt numb, and presently it began to clear.

After more than an hour of this agelong spooky sneak through tall trees and giant shapes, I thought we were going to win “home free.” The Cave of the Gate should be not more than a hundred yards ahead and I could see the rise in ground where the entrance would be–and only one dragon in our way and that not in direct line.

I hurried.

There was this little fellow, no bigger than a wallaby and about the same shape, aside from baby teeth four inches long. Maybe he was so young he had to wake to potty in the night, I don’t know. All I know is that I passed close to a tree he was behind and stepped on his tail, and he squealed!

He had every right to. But that’s when it hit the fan. The adult dragon between us and the cave woke up at once. Not a big one–say about forty feet, including the tail.

Good old Rufo went into action as if he had had endless time to rehearse, dashing around to the brute’s south end, arrow nocked and bow bent, ready to loose in a hurry. “Get its tail up!” he called out.

I ran to the front end and tried to antagonize the beast by shouting and waving my sword while wondering how far that flame-thrower could throw. There are only four places to put an arrow into a Nevian dragon; the rest is armored like a rhino only heavier. Those four are his mouth (when open), his eyes (a difficult shot; they are little and piggish), and that spot right under his tail where almost any animal is vulnerable. I had figured that an arrow placed in that tender area should add mightily to that “itching, burning” sensation featured in small ads in the backs of newspapers, the ones that say AVOID SURGERY!

My notion was that, if the dragon, not too bright, was unbearably annoyed at both ends at once, his coordination should go all to hell and we could peck away at him until he was useless, or until he got sick of it and ran. But I had to get his tail up, to let Rufo get in a shot. These creatures, satchel-heavy like old

T. rex, charge head up and front legs up and balance this by lifting the tail.
The dragon was weaving its head back and forth and I was trying to weave the other way, so as not to be lined up if it turned on the flame–when suddenly I got my first blast of methane, whiffing it before it lighted, and retreated so fast that I backed into that baby I had stepped on before, went clear over it, landed on my shoulders and rolled, and that saved me. Those flames shoot out about twenty feet. The grown-up dragon had reared up and still could have fried me, but the baby was in the way. It chopped off the flame–but Rufo yelled, “Bull’s-eye!”

The reason that I backed away in time was halitosis. It says here that “pure methane is a colorless, odorless gas.” The GI tract methane wasn’t pure; it was so loaded with homemade ketones and aldehydes that it made an unlimed outhouse smell like Shalimar.

I figure that Stars giving me that salve to open up my nose saved my life. When my nose clamps down I can’t even smell my upper lip.

The action didn’t stop while I figured this out; I did all my thinking either before or after, not during. Shortly after Rufo shot it in the bull’s-eye, the beast got a look of utter indignation, opened its mouth again without flaming and tried to reach its fanny with both hands. It couldn’t–forelegs too short–but it tried. I had returned sword in a hurry once I saw the length of that flame jet and had grabbed my bow. I had time to get one arrow into its mouth, left tonsil maybe.

This message got through faster. With a scream of rage that shook the ground it started for me, belching flame–and Rufo yelled, “A wart seven!”

I was too busy to congratulate him; those critters are fast for their size. But I’m fast, too, and had more incentive. A thing that big can’t change course very fast, but it can swing its head and with it the flame. I got my pants scorched and moved still faster, trying to cut around it.

Star carefully put an arrow into the other tonsil, right where the flame came out, while I was dodging. Then the poor thing tried so hard to turn both ways at both of us that it got tangled in its feet and fell over, a small earthquake. Rufo sank another arrow in its tender behind, and Star loosed one that passed through its tongue and stuck on the fletching, not damaging it but annoying it dreadfully.

It pulled itself into a ball, got to its feet, reared up and tried to flame me again. I could tell it didn’t like me.

And the flame went out.

This was something I had hoped for. A proper dragon, with castles and captive princesses, has as much fire as it needs, like six-shooters in TV oaters. But these creatures fermented their own methane and couldn’t have too big a reserve tank nor under too high pressure–I hoped. If we could nag one into using all its ammo fast, there was bound to be a lag before it recharged.

Meanwhile Rufo and Star were giving it no peace with the pincushion routine. It made a real effort to light up again while I was traversing rapidly, trying to keep that squealing baby dragon between me and the big one, and it behaved like an almost dry Ronson; the flame flickered and caught, shot out a pitiful six feet and went out. But it tried so hard to get me with that last flicker that it fell over again.

I took a chance that it would be sluggy for a second or two like a man who’s been tackled hard, ran in and stuck my sword in its right eye.

It gave one mighty convulsion and quit.

(A lucky poke. They say dinosaurs that big have brains the size of chestnuts. Let’s credit this beast with one the size of a cantaloupe–but it’s still luck if you thrust through an eye socket and get the brain right off. Nothing we had done up to then was more than mosquito bites. But it died from that one poke. St. Michael and St. George guided my blade.)

And Rufo yelled, “Boss! Git fer home!”

A drag race of dragons was closing on us. It felt like that drill in basic where you have to dig a foxhole, then let a tank pass over you.

“This way!” I yelled. “Rufo! This way, not that! Star!” Rufo skidded to a stop, we got headed the same way and I saw the mouth of the cave, black as sin and inviting as a mother’s arms. Star hung back; I shoved her in and Rufo stumbled after her and I turned to face more dragons for my lady love.

But she was yelling, “Milord! Oscar! Inside, you idiot! I must set the wards!”

So I got inside fast and she did, and I never did chew her out for calling her husband an idiot.

Chapter 13

The littlest dragon followed us to the cave, not belligerently (although I don’t trust anything with teeth that size) out more, I think, the way a baby duck follows anyone who leads. It tried to come in after us, drew back suddenly as its snout touched the invisible curtain, like a kitten hit by a static spark. Then it hung around outside, making wheepling noises.

I began to wonder whether or not Stars wards could stop flame. I found out as an old dragon arrived right after that, shoved his head into the opening, jerked it back indignantly just as the kid had, then eyed us and switched on his flame-thrower.

No, the wards don’t stop flame.

We were far enough inside that we didn’t get singed but the smoke and stink and heat were ghastly and just as deadly if it went on long.

An arrow whoofed past my ear and that dragon gave up interest in us. He was replaced by another who wasn’t convinced. Rufo, or possibly Star, convinced him before he had time to light his blowtorch. The air cleared; from somewhere inside there was an outward draft.

Meanwhile Star had made a light and the dragons were holding an indignation meeting. I glanced behind me–a narrow, low passage that dropped and turned. I stopped paying attention to Star and Rufo and the inside of the cave; another committee was calling.

I got the chairman in his soft palate before he could belch. The vice-chairman took over and got in a brief remark about fifteen feet long before he, too, changed his mind. The committee backed off and bellowed bad advice at each other.

The baby dragon hung around all during this. When the adults withdrew he again came to the door, just short of where he had burned his nose. “Koo-werp?” he said plaintively. “Koo-werp? Keet!” Plainly he wanted to come in.

Star touched my arm. “If milord husband pleases, we are ready.”

“Keet!”

“Right away,” I agreed, then yelled, “Beat it, kid! Back to your mama.”

Rufo stuck his head alongside mine. “Probably can’t,” he commented. “Likely that was its mama we ruined.”

I didn’t answer as it made sense; the adult dragon we had finished off had come awake instantly when I stepped on the kid’s tail. This sounds like mother love, if dragons go in for mother love–I wouldn’t know.

But it’s a hell of a note when you can’t even kill a dragon and feel lighthearted afterwards.

We meandered back into that hill, ducking stalactites and stepping around stalagmites while Rufo led with a torch. We arrived in a domed chamber with a floor glazed smooth by unknown years of calcified deposit. It had stalactites in soft pastel shades near the walls and a lovely, almost symmetrical chandelier from the center but no stalagmite under it. Star and Rufo had stuck lumps of the luminescent putty, which is the common night light in Nevia at a dozen points around the room; it bathed the room in a soft light and pointed up the stalactites.

Among them Rufo showed me webs. “Those spinners are harmless,” he said. “Just big and ugly. They don’t even bite like a spider. But–mind your step!” He pulled me back. “These things are poisonous even to touch. Blindworms. That’s what took us so long. Had to be sure the place was clean before warding it. But now that She is settig wards at the entrances I’ll give it one more check.”

The so-called blindworms were translucent, iridescent things the size of large rattlesnakes and slimy-soft like angleworms; I was glad they were dead. Rufo speared them on his sword, a grisly shishkebab, and carried them out through the entrance we had come in.

He was back quickly and Star finished warding. “That’s better,” he said with a sigh as he started cleaning his blade. “Don’t want their perfume around the house. They rot pretty fast and puts me in mind of green hides. Or copra. Did I ever tell you about the time I shipped as a cook out of Sydney? We had a second mate aboard who never bathed and kept a penguin in his stateroom. Female, of course. This bird was no more cleanly than he was and it used to–”

“Rufo,” said Star, “will you help with the baggage?”

“Coming, milady.”

We got out food, sleeping mats, more arrows, things that Star needed for her witching or whatever, and canteens to fill with water, also from the foldbox. Star had warned me earlier that Karth-Hokesh was a place where the local chemistry was not compatible with human life; everything we ate or drank we must fetch with us.

I eyed those one-liter canteens with disfavor. “Baby girl, I think we are cutting rations and water too fine.”

She shook her head. “We won’t need more, truly.”

“Lindbergh flew the Atlantic on just a peanut butter sandwich,” Rufo put in. “But I urged him to take more.”

“How do you know we won’t need more?” I persisted. “Water especially.”

“I’m filling mine with brandy,” Rufo said. “You divvy with me, I’U divvy with you.”

“Milord love, water is heavy. If we try to hang everything on us against any emergency, like the White Knight, we’ll be too weighted down to fight. I’m going to have to strain to usher through three people, weapons, and a minimum of clothing. Living bodies are easiest; I can borrow power from you both. Once-living materials are next; you’ve noticed, I think, that our clothing is wool, our bows of wood, and strings are of gut. Things never living are hardest, steel especially, yet we must have swords and, if we still had firearms, I would strain to the limit to get them through, for now we need them. However, milord Hero, I am simply informing you. You must decide–and I feel sure I can handle, oh, even half a hundredweight more of dead things if necessary. If you will select what your genius tells you.”

“My genius has gone fishing. But, Star my love, there is a simple answer. Take everything.”

“Milord?”

“Jocko set us out with half a ton of food, looks like, and enough wine to float a loan, and a little water. Plus a wide variety of Nevia’s best tools for killing, stabbing, and mayhem. Even armor. And more things. In that foldbox is enough to survive a siege, without eating or drinking anything from Karth-Hokesh. The beauty of it is that it weighs only about fifteen pounds, packed–not the fifty pounds you said you could swing by straining. I’ll strap it on my own back and won’t notice it. It won’t slow me down; it may armor me against a swing at my back. Suits?”

Star’s expression would have fitted a mother whose child has just caught onto the Stork hoax and is wondering how to tackle an awkward subject. “Milord husband, the mass is much too great. I doubt if any witch or warlock could move it unassisted.”

“But folded up?”

“It does not change it, milord; the mass is still there–still more dangerously there. Think of a powerful spring, wound very tight and small, thus storing much energy. It takes enormous power to put a foldbox through a transition in its compacted form, or it explodes.

I recalled a mud volcano that had drenched us and quit arguing. “All right. I’m wrong. But one question–If the mass is there always, why does it weigh so little when folded?”

Star got the same troubled expression. “Your pardon, milord, but we do not share the language–the mathematical language–that would permit me to answer. As yet, I mean; I promise you chance to study if you wish. As a tag, think of it as a tame spacewarp. Or think of the mass being so extremely far away–in a new direction–from the sides of the foldbox that local gravitation hardly matters.”

(I remembered a time when my grandmother had asked me to explain television to her–the guts, not the funny pictures. There are things which cannot be taught in ten easy lessons, nor popularized for the masses; they take years of skull sweat. This be treason in an age when ignorance has come into its own and one man’s opinion is as good as another’s. But there it is. As Star says, the world is what it is–and doesn’t forgive ignorance.)

But I was still curious. “Star, is there any way to tell me why some things go through easier than others? Wood easier than iron, for example?”

She looked rueful. “No, because I don’t know myself. Magic is not science, it is a collection of ways to do things–ways that work but often we don’t know why.”

“Much like engineering. Design by theory, then beef it up anyhow.”

“Yes, milord husband. A magician is a rule-of-thumb engineer.”

“And,” put in Rufo, “a philosopher is a scientist with no thumbs. I’m a philosopher. Best of all professions.”

Star ignored him and got out a sketch block, showed me what she knew of the great tower from which we must steal the Egg of Phoenix. This block appeared to be a big cube of Plexiglas; it looked like it, felt like it, and took thumbprints like it.

But she had a long pointer which sank into it as if the block were air. With its tip she could sketch in three dimensions; it left a thin glowing line whenever she wanted it–a 3-dimensional blackboard.

This wasn’t magic; it was advanced technology–and it will beat the hell out of our methods of engineering drawing when we learn how, especially for complex assemblies such as aviation engines and UHF circuitry–even better than exploded isometric with transparent overlays. The block was about thirty inches on a side and the sketch inside could be looked at from any angle–even turned over and studied from underneath.

The Mile-High Tower was not a spire but a massy block, somewhat like those stepped-back buildings in New York, but enormously larger.

Its interior was a maze.

“Milord champion,” Star said apologetically, “when we left Nice there was in our baggage a finished

sketch of the Tower. Now I must work from memory. However, I had studied the sketch so very long that I believe I can get relations right even if proportions suffer. I feel sure of the true paths, the paths that lead to the Egg. It is possible that false paths and dead ends will not be as complete; I did not study them as hard.”

“Can’t see that it matters,” I assured her. “If I know the true paths, any I don’t know are false ones. Which we won’t use. Except to hide in, in a pinch.”

She drew the true paths in glowing red, false ones in green–and there was a lot more green than red. The critter who designed that tower had a twisty mind. What appeared to be the main entrance went in, up, branched and converged, passed close to the Chamber of the Egg–then went back down by a devious route and dumped you out, like P. T. Barnum’s “This Way to the Egress.”

Other routes went inside and lost you in mazes that could not be solved by follow-the-left-wall. If you did, you’d starve. Even routes marked in red were very complex. Unless you knew where the Egg was guarded, you could enter correctly and still spend this year and next January in fruitless search.

“Star, have you been in the Tower?”

“No, milord. I have been in Karth-Hokesh. But far back in the Grotto Hills. I’ve seen the Tower only from great distance.”

“Somebody must have been in it. Surely your–opponents–didn’t send you a map.”

She said soberly, “Milord, sixty-three brave men have died getting the information I now offer you.”

(So now we try for sixty-four!) I said, “Is there any way to study just the red paths?”

“Certainly, milord.” She touched a control, green lines faded. The red paths started each from one of the three openings, one “door” and two “windows.”

I pointed to the lowest level. “This is the only one of thirty or forty doors that leads to the Egg?”

“That is true.”

“Then just inside that door they’ll be waiting to clobber us.”

“That would seem likely, milord.”

“Hmmm . . .” I turned to Rufo. “Rufe, got any long, strong, lightweight line in that plunder?”

“I’ve got some Jocko uses for hoisting. About like heavy fishing line, breaking strength around fifteen hundred pounds.”

“Good boy!” “Figured you might want it. A thousand yards enough?”

“Yes. Anything lighter than that?”

“Some silk trout line.”

In an hour we had made all preparations I could think of and that maze was as firmly in my head as the alphabet. “Star hon, we’re ready to roll. Want to whomp up your spell?”

“No, milord.”

“Why not? ‘Twere best done quickly.”

“Because I can’t, my darling. These Gates are not true gates; there is always a matter of timing. This one will be ready to open, for a few minutes, about seven hours from now, then cannot be opened again for several weeks.” I had a sour thought. “If the buckos we are after know this, they’ll hit us as we come out.”

“I hope not, milord champion. They should be watching for us to appear from the Grotto Hills, as they know we have a Gate somewhere in those hills–and indeed that is the Gate I planned to use. But this Gate, even if they know of it, is so badly located–for us–that I do not think they would expect us to dare it.”

“You cheer me up more all the time. Have you thought of anything to tell me about what to expect? Tanks? Cavalry? Big green giants with hairy ears?”

She looked troubled. “Anything I say would mislead you, milord. We can assume that their troops will be constructs rather than truly living creatures . . . which means they can be anything. Also, anything may be illusion. I told you about the gravity?”

“I don’t think so.”

“Forgive me. I’m tired and my mind isn’t sharp. The gravity varies, sometimes erratically. A level stretch will seem to be downhill, then quickly uphill. Other things . . . any of which may be illusion.”

Rufo said, “Boss, if it moves, shoot it. If it speaks, cut its throat. That spoils most illusions. You don’t need a program; there’ll be just us–and all the others. So when in doubt, kill it. No sweat.”

I grinned at him. “No sweat. Okay, well worry when we get there. So let’s quit talking.”

“Yes, milord husband,” Star seconded. “We had best get several hours’ sleep.”

Something in her voice had changed. I looked at her and she was subtly different, too. She seemed smaller, softer, more feminine and compliant than the Amazon who had fired arrows into a beast a hundred times her weight less than two hours before.

“A good idea,” I said slowly and looked around. While Star had been sketching the mazes of the Tower, Rufo had repacked what we couldn’t take and–I now noticed–put one sleeping pad on one side of the cave and the other two side by side as far from the first as possible.

I silently questioned her by glancing at Rufo and shrugging an implied, “What now?”

Her answering glance said neither yes nor no. Instead she called out, “Rufo, go to bed and give that leg a chance. Don’t lie on it. Either belly down or face the wall.”

For the first time Rufo showed his disapproval of what we had done. He answered abruptly, not what Star said but what she may have implied: “You couldn’t hire me to look!”

Star said to me in a voice so low I barely heard it, “Forgive him, milord husband. He is an old man, he has his quirks. Once he is in bed I will take down the lights.”

I whispered, “Star my beloved, it still isn’t my idea of how to run a honeymoon.”

She searched my eyes. “This is your will, milord love?”

“Yes. The recipe calls for a jug of wine and a loaf of bread. Not a word about a chaperon. I’m sorry.”

She put a slender hand against my chest, looked up at me. “I am glad, milord.”

“You are?” I didn’t see why she had to say so.

“Yes. We both need sleep. Against the morrow. That your strong sword arm may grant us many morrows.”

I felt better and smiled down at her. “Okay, my princess. But I doubt if I’ll sleep.”

“Ah, but you will!”

“Want to bet?”

“Hear me out, milord darling. Tomorrow . . . after you have won . . . we go quickly to my home. No more waitings, no more troubles. I would that you knew the language of my home, so that you will not feel a stranger. I want it to be your home, at once. So? Will milord husband dispose himself for bed? Lie back and let me give him a language lesson? You will sleep, you know that you will.”

“Well . . . it’s a fine idea. But you need sleep even more than I do.”

“Your pardon, milord, but not so. Four hours’ sleep puts spring in my step and a song on my lips.”

“Well . . . ”

Five minutes later I was stretched out, staring into the most beautiful eyes in any world and listening to

her beloved voice speak softly in a language strange to me . . .

Chapter 14

Rufo was shaking my shoulder. “Breakfast, Boss!” He shoved a sandwich into my hand and a pot of beer into the other. “That’s enough to fight on and lunch is packed. I’ve laid out fresh clothes and your weapons and I’ll dress you as soon as you finish. But snap it up. We’re on in a few minutes.” He was already dressed and belted.

I yawned and took a bite of sandwich (anchovies, ham and mayonnaise, with something that wasn’t quite tomato and lettuce)–and looked around. The place beside me was empty but Star seemed to have just gotten up; she was not dressed. She was on her knees in the center of the room, drawing some large design on the floor.

“Morning, chatterbox,” I said. “Pentacle?”

“Mmm–” she answered, not looking up.

I went over and watched her work. Whatever it was, it was not based on a five-cornered star. It had three major centers, was very intricate, had notations here and there–I recognized neither language nor script–and the only sense I could abstract from it was what appeared to be a hypercube seen face on.
“Had breakfast, hon?”

“I fast this morning.”

“You’re skinny now. Is that a tesseract?”

“Stop it!”

I made a leg. “Your pardon, milady.”

“Don’t be formal with me, darling. Love me anyhow and give me a quick kiss–then let me be.”

So I leaned over and gave her a high-caloric kiss, with mayonnaise, and let her be. I dressed while I finished the sandwich and beer, then sought out a natural alcove just short of the wards in the passage, one which had been designated the men’s room. When I came back Rufo was waiting with my sword belt “Boss, you’d be late for your own hanging.”

“I hope so.”

A few minutes later we were standing on that diagram, Star on pitcher’s mound with Rufo and myself at first and third bases. He and I were much hung about, myself with two canteens and Star’s sword belt (on its last notch) as well as my own, Rufo with Star’s bow slung and with two quivers, plus her medic’s kit and lunch. We each had longbow strung and tucked under left arm; we each had drawn sword. Star’s tights were under my belt behind in an untidy tail, her jacket was crumpled under Rufo’s belt, while her buskins and hat were crammed into pockets–etc. We looked like a rummage sale. But this did leave Rufo’s left hand and mine free. We faced outward with swords at ready, reached behind us and Star clasped us each firmly by hand. She stood in the exact center, feet apart and planted solidly and was wearing that required professionally of witches when engaged in heavy work, i.e., not even a bobby pin. She looked magnificent, hair shaggy, eyes shining, and face flushed, and I was sorry to turn my back.

“Ready, my gallants?” she demanded, excitement in her voice.

“Ready,” I confirmed.

“Ave, Imperatrix, nos morituri te–”

“Stop that, Rufo! Silence!” She began to chant in a language unknown to me. The back of my neck prickled.

She stopped, squeezed our hands much harder, and shouted, “Now!”

Sudden as a slammed door, I find I’m a Booth Tarkington hero in a Mickey Spillane situation.

I don’t have time to moan. Here is this thing in front of me, about to chop me down, so I run my blade through his guts and yank it free while he makes up his mind which way to fall; then I dose his buddy the same way. Another one is squatting and trying to get a shot at my legs past the legs of his squad mates. I’m as busy as a one-armed beaver with paperhangers and hardly notice a yank at my belt as Star recovers her sword.

Then I do notice as she kills the hostile who wants to shoot me. Star is everywhere at once, naked as a frog and twice as lively. There was a dropped-elevator sensation at transition, and suddenly reduced gravitation could have been bothersome had we time to indulge it.

Star makes use of it. After stabbing the laddie who tries to shoot me, she sails over my head and the head of a new nuisance, poking him in the neck as she passes and he isn’t a nuisance any longer.

I think she helps Rufo, but I can’t stop to look. I hear his grunts behind me and that tells me that he is still handing out more than he’s catching.

Suddenly he yells, “Down!” and something hits the back of my knees and I go down–land properly limp and am about to roll to my feet when I realize Rufo is the cause. He is belly down by me and shooting what has to be a gun at a moving target out across the plain, himself behind the dead body of one of our playmates.

Star is down, too, but not fighting. Something has poked a hole through her right arm between elbow and shoulder.

Nothing else seemed to be alive around me, but there were targets four to five hundred feet away and opening rapidly. I saw one fall, heard Zzzzt, smelled burning flesh near me. One of those guns was lying across a body to my left; I grabbed it and tried to figure it out. There was a shoulder brace and a tube which should be a barrel; nothing else looked familiar.

“Like this, my Hero.” Star squirmed to me, dragging her wounded arm and leaving a trail of blood. “Race it like a rifle and sight it so. There is a stud under your left thumb. Press it. That’s all–no windage, no elevation.”

And no recoil, as I found when I tracked one of the running figures with the sights and pressed the stud. There was a spurt of smoke and down he went. “Death ray,” or Laser beam, or whatever–line it up, press the stud, and anyone on the far end quit the party with a hole burned in him.

I got a couple more, working right to left, and by then Rufo had done me out of targets. Nothing moved, so far as I could see, anywhere.

Rufo looked around. “Better stay down, Boss.” He rolled to Star, opened her medic’s kit at his own belt, and put a rough and hasty compress on her arm.

Then he turned to me. “How bad are you hurt, Boss?”

“Me? Not a scratch.”

“What’s that on your tunic? Ketchup? Someday somebody is going to offer you a pinch of snuff. Let’s see it.”

I let him open my jacket. Somebody, using a saw-tooth edge, had opened a hole in me on my left side below the ribs. I had not noticed it and hadn’t felt it–until I saw it and then it hurt and I felt queasy. I strongly disapprove of violence done to me. While Rufo dressed it, I looked around to avoid looking at it.

We had killed about a dozen of them right around us, plus maybe half that many who had fled–and had shot all who fled, I think. How? How can a 60-lb. dog armed only with teeth take on, knock down, and hold prisoner an armed man? Ans: By all-out attack.

I think we arrived as they were changing the guard at that spot known to be a Gate–and had we arrived even with swords sheathed we would have been cut down. As it was, we killed a slew before most of them knew a fight was on. They were routed, demoralized, and we slaughtered the rest, including those who tried to bug out. Karate and many serious forms of combat (boxing isn’t serious, nor anything with rules)–all these work that same way: go-for-broke, all-out attack with no wind up. These are not so much skills as an attitude.

I had time to examine our late foes; one was faced toward me with his belly open. “Iglis” I would call them, but of the economy model. No beauty and no belly buttons and not much brain–presumably constructed to do one thing: fight, and try to stay alive. Which describes us, too–but we did it faster.

Looking at them upset my stomach, so I looked at the sky. No improvement–it wasn’t decent sky and wouldn’t come into focus. It crawled and the colors were wrong, as jarring as some abstract paintings. I looked back at our victims, who seemed almost wholesome compared with that “sky.”

While Rufo was doctoring me, Star squirmed into her tights and put on her buskins. “Is it all right for me to sit up to get into my jacket?” she asked.

“No,” I said. “Maybe they’ll think we’re dead.” Rufo and I helped her finish dressing without any of us rising up above the barricade of flesh. I’m sure we hurt her arm but all she said was, “Sling my sword left-handed. What now, Oscar?”

“Where are the garters?”

“Got em. But I’m not sure they will work. This is a very odd place.”

“Confidence,” I told her. “That’s what you told me a few minutes ago. Put your little mind to work believing you can do it.” We ranged ourselves and our plunder, now enhanced by three “rifles” plus side arms of the same sort, then laid out the oaken arrow for the top of the Mile-High Tower. It dominated one whole side of the scene, more a mountain than a building, black and monstrous.

“Ready?” asked Star. “Now you two believe, tool” She scrawled with her finger in the sand. “Go!”

We went. Once in the air, I realized what a naked target we were–but we were a target on the ground, too, for anyone up on that tower, and worse if we had hoofed it. “Faster!” I yelled in Stars ear.
“Make us go faster!”

We did. Air shrilled past our ears and we bucked and dipped and side-slipped as we passed over those gravitational changes Star had warned me about–and perhaps that saved us; we made an evasive target. However, if we got all of that guard party, it was possible that no one in the Tower knew we had arrived.

The ground below was gray-black desert surrounded by a mountain ringwall like a lunar crater and the Tower filled the place of a central peak. I risked another look at the sky and tried to figure it out. No sun. No stars. No black sky nor blue–light came from all over and the “sky” was ribbons and boiling shapes and shadow holes of all colors.

“What in God’s name land of planet is this?” I demanded.

“It’s not a planet,” she yelled back. “It’s a place, in a different sort of universe. It’s not fit to live in.”

“Somebody lives here.” I indicated the Tower.

“No, no, nobody lives here. That was built just to guard the Egg.”

The monstrousness of that idea didn’t soak in right then. I suddenly recalled that we didn’t dare eat or drink here–and started wondering how we could breathe the air if the chemistry was that poisonous. My chest felt tight and started to burn. So I asked Star and Rufo moaned. (He rated a moan or two; he hadn’t thrown up. I don’t think he had.)

“Oh, at least twelve hours,” she said. “Forget it. No importance.”

Whereupon my chest really hurt and I moaned, too.

We were dumped on top of the Tower right after that; Star barely got out “Amech!” in time to keep us from zooming past.

The top was flat, seemed to be black glass, was about two hundred yards square–and there wasn’t a fiddlewinking thing to fasten a line to. I had counted on at least a ventilator stack.

The Egg of the Phoenix was about a hundred yards straight down. I had had two plans in mind if we ever reached the Tower. There were three openings (out of hundreds) which led to true paths to the Egg–and to the Never-Born, the Eater of Souls, the M.P. guarding it. One was at ground level and I never considered it. A second was a couple of hundred feet off the ground and I had given that serious thought: loose an arrow with a messenger line so that the line passed over any projection above that hole; use that to get the strong line up, then go up the line–no trick for any crack Alpinist, which I wasn’t but Rufo was.

But the great Tower turned out to have no projections, real modern simplicity of design–carried too far.

The third plan was, if we could reach the top, to let ourselves down by a line to the third non-fake entrance, almost on level with the Egg. So here we were, all set–and no place to hitch.

Second thoughts are wonderful thoughts–why hadn’t I had Star drive us straight into that hole in the wall?

Well, it would take very fine sighting of that silly arrow; we might hit the wrong pigeonhole. But the important reason was that I hadn’t thought of it.

Star was sitting and nursing her wounded arm. I said, “Honey, can you fly us, slow and easy, down a couple of setbacks and into that hole we want?”

She looked up with drawn face. “No.”

“Well. Too bad.”

“I hate to tell you–but I burned out the garters on that speed run. They won’t be any good until I can recharge them. Not things I can get here. Green mug-wort, blood of a hare–things like that.”

“Boss,” said Rufo, “how about using the whole top of the Tower as a hitching post?”

“How do you mean?”

“We’ve got lots of line.”

It was a workable notion–walk the line around the top while somebody else held the bitter end, then

tie it and go down what hung over. We did it–and finished up with only a hundred feet too little of line out of a thousand yards.

Star watched us. When I was forced to admit that a hundred feet short was as bad as no line at all, she said thoughtfully, “I wonder if Aaron’s Rod would help?”

“Sure, if it was stuck in the top of this overgrown ping-pong table. What’s Aaron’s Rod?”

“It makes stiff things limp and limp things stiff. No, no, not that. Well, that, too, but what I mean is to lay this line across the roof with about ten feet hanging over the far side. Then make that end and the crossing part of the line steel hard–sort of a hook.”

“Can you do it?”

“I don’t know. It’s from The Key of Solomon and it’s an incantation. It depends on whether I can remember it–and on whether such things work in this universe.”

“Confidence, confidence! Of course you can.”

“I can’t even think how it starts. Darling, can you hypnotize? Rufo can’t–or at least not me.”

“I don’t know a thing about it.”

“Do just the way I do with you for a language lesson. Look me in the eye, talk softly, and tell me to remember the words. Perhaps you had better lay out the line first.”

We did so and I used a hundred feet instead of ten for the bill of the hook, on the more-is-better principle. Star lay back and I started talking to her, softly (and without conviction) but over and over again.

Star closed her eyes and appeared to sleep. Suddenly she started to mumble in tongues.

“Hey, Boss! Damn thing is hard as rock and stiff as a life sentence!”

I told Star to wake up and we slid down to the setback below as fast as we could, praying that it wouldn’t go limp on us. We didn’t shift the line; I simply had Star cause more of it to starch up, then I went on down, made certain that I had the right opening, three rows down and fourteen over, then Star slid down and I caught her in my arms; Rufo lowered the baggage, weapons mostly, and followed. We were in the Tower and had been on the planet–correction: the “place”–we had been in the place called Karth-Hokesh not more than forty minutes.

I stopped, got the building matched in my mind with the sketch block map, fixed the direction and location of the Egg, and the “red line” route to it, the true path.

Okay, go on in a few hundred yards, snag the Egg of the Phoenix and go! My chest stopped hurting.

Chapter 15

“Boss,” said Rufo, “Look out over the plain.”

“At what?”

“At nothing,” he answered. “Those bodies are gone. You sure as hell ought to be able to see them, against black sand and not even a bush to break the view.”

I didn’t look. “That’s the moose’s problem, damn it! We’ve got work to do. Star, can you shoot left-handed? One of these pistol things?”

“Certainly, milord.”

“You stay ten feet behind me and shoot anything that moves. Rufo, you follow Star, bow ready and an arrow nocked. Try for anything you see. Sling one of those guns–make a sling out of a bit of line.” I frowned. “We’ll have to abandon most of this. Star, you can’t bend a bow, so leave it behind, pretty as it is, and your quiver. Rufo can sling my quiver with his; we use the same arrows. I hate to abandon my bow, it suits me so. But I must. Damn.”

“I’ll carry it, my Hero.”

“No, any clutter we can’t use must be junked.” I unhooked my canteen, drank deeply, passed it over. “You two finish it and throw it away.” While Rufo drank, Star slung my bow. “Milord husband? It weighs nothing this way and doesn’t hamper my shooting arm. So?”

“Well–If it gets in your way, cut the string and forget it. Now drink your fill and we go.” I peered

down the corridor we were in–fifteen feet wide and the same high, lighted from nowhere and curving away to the right, which matched the picture in my mind. “Ready? Stay closed up. If we can’t slice it, shoot it, or shaft it, we’ll salute it.” I drew sword and we set out, quick march.

Why my sword, rather than one of those “death ray” guns? Star was carrying one of those and knew more about one than I did. I didn’t even know how to tell if one was charged, nor had I judgment in how long to press the button. She could shoot, her bowmanship proved that, and she was at least as cool in a fight as Rufo or myself.

I had disposed weapons and troops as well as I knew how. Rufo, behind with a stock of arrows, could use them if needed and his position gave him time to shift to either sword or Buck Rogers “rifle” if his judgment said to–and I didn’t need to advise him; he would.

So I was backed up by long-range weapons ancient and ultramodern in the hands of people who knew how to use them and temperament to match–the latter being the more important. (Do you know how many men in a platoon actually shoot in combat? Maybe six. More likely three. The rest freeze up.) Still, why didn’t I sheathe my sword and carry one of those wonder weapons?

A properly balanced sword is the most versatile weapon for close quarters ever devised. Pistols and guns are all offense, no defense; close on him fast and a man with a gun can’t shoot, he has to stop you before you reach him. Close on a man carrying a blade and you’ll be spitted like a roast pigeon–unless you have a blade and can use it better than he can.

A sword never jams, never has to be reloaded, is always ready. Its worst shortcoming is that it takes great skill and patient, loving practice to gain that skill; it can’t be taught to raw recruits in weeks, nor even months.

But most of all (and this was the real reason) to grasp the Lady Vivamus and feel her eagerness to bite gave me courage in a spot where I was scared spitless.

They (whoever “they” were) could shoot us from ambush, gas us, booby-trap us, many things. But they could do those things even if I carried one of those strange guns. Sword in hand, I was relaxed and unafraid–and that made my tiny “command” more nearly safe. If a C.O. needs to carry a rabbit’s foot, he should–and the grip of that sweet sword was bigger medicine than all the rabbits’ feet in Kansas.

The corridor stretched ahead, no break, no sound, no threat. Soon the opening to the outside could no longer be seen. The great Tower felt empty but not dead; it was alive the way a museum is alive at night, with crowding presence and ancient evil. I gripped my sword tightly, then consciously relaxed and flexed my fingers.

We came to a sharp left turn. I stopped short. “Star, this wasn’t on your sketch.”

She didn’t answer. I persisted, “Well, it wasn’t. Was it?”

“I am not sure, milord.”

“Well, I am. Hmm–”

“Boss,” said Rufo, “are you dead sure we entered by the right pigeonhole?”

“I’m certain. I may be wrong but I’m not uncertain–and if I’m wrong, we’re dead pigeons anyhow. Mmm–Rufo, take your bow, put your hat on it, stick it out where a man would IOOK around that corner if he were standing–and time it as I do look out, but lower down.” I got on my belly.

“Ready . . . now!” I sneaked a look six inches above the floor while Rufo tried to draw fire higher up.

Nothing in sight, just bare corridor, straight now.

“Okay, follow me! We hurried around the corner.

I stopped after a few paces. “What the hell?”

“Something wrong Boss?”

“Plenty.” I turned and sniffed. “Wrong as can be. The Egg is up that way,” I said, pointing, “maybe two hundred yards–by the sketch block map.”

“Is that bad?”

“I’m not sure. Because it was that same direction and angle, off on the left, before we turned that corner. So now it ought to be on the right.”

Rufo said, “Look, Boss, why don’t we just follow the passageways you memorized? You may not remember every little–”

“Shut up. Watch ahead, down the corridor. Star, stand there in the corner and watch me. I’m going to try something.”

They placed themselves, Rufo “eyes ahead” and Star where she could see both ways, at the right-angle bend. I went back into the first reach of corridor, then returned. Just short of the bend I closed my eyes and kept on.

I stopped after another dozen steps and opened my eyes. “That proves it,” I said to Rufo.

“Proves what?”

“There isn’t any bend in the corridor.” I pointed to the bend.

Rufo looked worried. “Boss, how do you feel?” He tried to touch my cheek.

I pulled back. “I’m not feverish. Come with me, both of you.” I led them back around that right angle some fifty feet and stopped. “Rufo, loose an arrow at that wall ahead of us at the bend. Lob it so that it hits the wall about ten feet up.”

Rufo sighed but did so. The arrow rose true, disappeared in the wall. Rufo shrugged. “Must be pretty soft up there. You’ve lost us an arrow. Boss.”

“Maybe. Places and follow me.” We took that corner again and here was the spent arrow on the floor somewhat farther along than the distance from loosing to bend. I let Rufo pick it up; he looked closely at the Doral chop by the fletching, returned it to quiver. He said nothing. We kept going.

We came to a place where steps led downward–but where the sketch in my head called for steps leading up. “Mind the first step,” I called back. “Feel for it and don’t fall.”

The steps felt normal, for steps leading downward–with the exception that my bump of direction told me that we were climbing, and our destination changed angle and distance accordingly. I closed my eyes for a quick test and found that I was indeed climbing, only my eyes were deceived. It was like one of those “crooked houses” in amusement parks, in which a “level” floor is anything but level–like that but cubed.

I quit questioning the accuracy of Star’s sketch and tracked its trace in my head regardless of what my eyes told me. When the passageway branched four ways while my memory showed only a simple branching, one being a dead end, I unhesitatingly closed my eyes and followed my nose–and the Egg stayed where it should stay, in my mind.

But the Egg did not necessarily get closer with each twist and turn save in the sense that a straight line is not the shortest distance between two points–is it ever? The path was as twisted as guts in a belly; the architect had used a pretzel for a straight edge. Worse yet, another time when we were climbing “up”
stairs–at a piece level by the sketch–a gravitational anomaly caught us with a lull turn and we were suddenly sliding down the ceiling.

No harm done save that it twisted again as we hit bottom and dumped us from ceiling to floor. With both eyes peeled I helped Rufo gather up arrows and off we set again. We were getting close to the lair of the Never-Born–and the Egg.

Passageways began to be narrow and rocky, the false twists tight and hard to negotiate–and the light began to fail.

That wasn’t the worst. I’m not afraid of dark nor of tight places; it takes a department store elevator on Dollar Day to give me claustrophobia. But I began to hear rats.

Rats, lots of rats, running and squeaking in the walls around us, under us, over us. I started to sweat and was sorry I had taken that big drink of water. Darkness and closeness got worse, until we were crawling through a rough tunnel in rock, then inching along on our bellies in total darkness as if tunneling out of Chateau d’If . . . and rats brushed past us now, squeaking and chittering.

No, I didn’t scream. Star was behind me and she didn’t scream and she didn’t complain about her wounded arm–so I couldn’t scream. She patted me on the foot each time she inched forward, to tell me that she was all right and to report that Rufo was okay, too. We didn’t waste strength on talk.

I saw a faint something, two ghosts of light ahead, and stopped and stared and blinked and stared again. Then I whispered to Star, “I see something. Stay put, while I move up and see what it is. Hear me?”

“Yes, milord Hero.”

“Tell Rufo.”

Then I did the only really brave thing I have ever done in my life: I inched forward. Bravery is going on anyhow when you are so terrified your sphincters won’t hold and you can’t breathe and your heart threatens to stop, and that is an exact description for that moment of E. C. Gordon, ex-Pfc. and hero by trade. I was fairly certain what those two faint lights were and the closer I got the more certain I was–I could smell the damned thing and place its outlines.

A rat. Not the common rat that lives in city dumps and sometimes gnaws babies, but a giant rat, big enough to block that rat hole but enough smaller than I am to have room to maneuver in attacking me–room I didn’t have at all. The best I could do was to wriggle forward with my sword in front of me and try to Keep the point aimed so that I would catch him with it, make mm eat steel–because if he dodged past that point I would have nothing but bare hands and no room to use them. He would be at my face.

I gulped sour vomit and inched forward. His eyes seemed to drop a little as if he were crouching to charge.

But no rush came. The lights got more definite and wider apart, and when I had squeezed a foot or two farther I realized with shaking relief that they were not rat’s eyes but something else–anything, I didn’t care what.

I continued to inch forward. Not only was the Egg in that direction but I still didn’t know what it was and I had best see before telling Star to move up.

The “eyes” were twin pinholes in a tapestry that covered the end of that rat hole. I could see its embroidered texture and I found I could look through one of its imperfections when I got up to it.

There was a large room beyond, the floor a couple of feet lower than where I was. At the far end, fifty feet away, a man was standing by a bench, reading a book. Even as I watched he raised his eyes and glanced my way. He seemed to hesitate.

I didn’t. The hole had eased enough so that I managed one foot under and lunged forward, brushing the arras aside with my sword. I stumbled and bounced to my feet, on guard.

He was at least as fast. He had slapped the book down on the bench and drawn sword himself, advanced toward me, while I was popping out of that hole. He stopped, knees bent, wrist straight, left arm back, and point for me, perfect as a fencing master, and looked me over, not yet engaged by three or four feet between our steels.

I did not rush him. There is a go-for-broke tactic, “the target,” taught by the best swordmasters, which consists in headlong advance with arm, wrist, and blade in full extension–all attack and no attempt to parry. But it works only by perfect timing when you see your opponent slacken up momentarily.

Otherwise it is suicide.

This time it would have been suicide; he was as ready as a tomcat with his back up. So I sized him up while he looked me over. He was a smallish neat man with arms long for his height–I might or might not have reach on him, especially as his rapier was an old style, longer than Lady Vivamus (but slower thereby, unless he had a much stronger wrist)–and he was dressed more for the Paris of Richelieu than for Karth-Hokesh. No, that’s not fair; the great black Tower had no styles, else I would have been as out of style in my fake Robin Hood getup. The Iglis we had killed had worn no clothes.

He was an ugly cocky little man with a merry grin and the biggest nose west of Durante–made me think of my first sergeant’s nose, very sensitive he was about being called “Schnozzola.” But the resemblance stopped there; my first sergeant never smiled and had mean, piggish eyes; this man’s eyes were merry and proud.

“Are you Christian?” he demanded.

“What’s it to you?”

“Nothing. Blood’s blood, either way. If Christian you be, confess. If pagan, call your false gods. I’ll allow you no more than three stanzas. But I’m sentimental, I like to know what I’m killing.”

“I’m American.”

“Is that a country? Or a disease? And what are you doing in Hoax?”

” ‘Hoax’? Hokesh?”

He shrugged only with his eyes, his point never moved. “Hoax, Hokesh–a matter of geography and accent; this chateau was once in the Carpathians, so ‘Hokesh’ it is, if ’twill make your death merrier.
Come now, let us sing.”

He advanced so fast and smoothly that he seemed to apport and our blades rang as I parried his attack in sixte and riposted, was countered–remise, reprise, beat-and-attack–the phrase ran so smoothly, so long, and in such variation that a spectator might have thought that we were running through Grand Salute.

But I knew! That first lunge was meant to kill me, and so was his every move throughout the phrase. At the same time he was feeling me out, trying my wrist, looking for weaknesses, whether I was afraid of low line and always returned to high or perhaps was a sucker for a disarm. I never lunged, never had a chance to; every part of the phrase was forced on me, I simply replied, tried to stay alive.

I knew in three seconds that I was up against a better swordsman than myself, with a wrist like steel yet supple as a striking snake. He was the only swordsman I have ever met who used prime and octave–used them, I mean, as readily as sixte and carte. Everyone learns them and my own master made me practice them as much as the other six–but most fencers don’t use them; they simply may be forced into them, awkwardly and just before losing a point.

I would lose, not a point, but my life–and I knew, long before the end of that first long phrase, that my life was what I was about to lose, by all odds.

Yet at first clash the idiot began to sing!

“Lunge and counter and thrust,

“Sing me the logic of steel!

“Tell me, sir, how do you feel?

“Riposte and remise if you must

“In logic long known to be just.

“Shall we argue, rebut and refute

“In enthymeme clear as your eye?

“Tell me, sir, why do you sigh?

“Tu es fatigue, sans doute?

“Then sleep while I’m counting the loot.”

The above was long enough for at least thirty almost successful attempts on my life, and on the last word he disengaged as smoothly and unexpectedly as he had engaged.

“Come, come, lad!” he said. “Pick it up! Would let me sing alone? Would die as a clown with ladies watching? Sing! –and say good-bye gracefully, with your last rhyme racing your death rattle.” He banged his right boot in a flamenco stomp. “Try! The price is the same either way.”

I didn’t drop my eyes at the sound of his boot; it’s an old gambit, some fencers stomp on every advance, every feint, on the chance that the noise will startle opponent out of timing, or into rocking back, and thus gain a point. I had last fallen for it before I could shave.

But his words gave me an idea. His lunges were short–full extension is fancy play for foils, too dangerous for real work. But I had been retreating, slowly, with the wall behind me. Shortly, when he re-engaged, I would either be a butterfly pinned to that wall, or stumble over something unseen, go arsy-versy, then spiked like wastepaper in the park. I didn’t dare leave that wall behind me.

Worst, Star would be coming out of that rat hole behind me any moment now and might be killed as she emerged even if I managed to kill him at the same time. But if I could turn him around–My beloved was a practical woman; no “sportsmanship” would keep her steel stinger out of his back.

But the happy counter-thought was that if I went along with his madness, tried to rhyme and sing, he might play me along, amused to hear what I could do, before he killed me.

But I couldn’t afford to stretch it out. Unfelt, he had pinked me in the forearm. Just a bloody scratch that Star could make good as new in minutes–but it would weaken my wrist before long and it disadvantaged me for low line: Blood makes a slippery grip.

“First stanza,” I announced, advancing and barely engaging, foible-a-foible. He respected it, not attacking, playing with the end of my blade, tiny counters and leather-touch parries.

That was what I wanted. I started circling right as I began to recite–and he let me:

“Tweedledum and Tweedledee

“Agreed to rustle cattle.

“Said Tweedledum to Tweedledee

“I’ll use my nice new saddle.”

“Come, come, my old!” he said chidingly. “No stealing. Honor among beeves, always. And rhyme and scansion limp. Let your Carroll fall trippingly off the tongue.”

“I’ll try,” I agreed, still moving right. “Second stanza-

“I sing of two lasses in Birmingham,

“Shall we weep at the scandal concerning them?”-

–and I rushed him.

It didn’t quite work. He had, as I hoped, relaxed the tiniest bit, evidently expecting that I would go on with mock play, tips of Hades alone, while I was reciting.

It caught him barely off guard but he failed to fall back, parrying strongly instead and suddenly we were in an untenable position, corps-a-corps, forte-a-forte, almost tete-a-tete.

He laughed in my face and sprang back as I did, landing us back en garde. But I added something. We had been fencing point only. The point is mightier than the edge but my weapon had both and a man
used to the point is sometimes a sucker for a cut. As we separated I flipped my blade at his head.

I meant to split it open. No time for that, no force behind it, but it sliced his right forehead almost to
eyebrow. “Touche” he shouted. “Well struck. And well sung. Let’s have the rest of it.”

“All right,” I agreed, fencing cautiously and waiting for blood to run into his eyes. A scalp wound is the bloodiest of flesh wounds and I had great hopes for this one. And swordplay is an odd thing; you don’t really use your mind, it is much too fast for that. Your wrist thinks and tells your feet and body what to do, bypassing your brain–any thinking you do is for later, stored instructions, like a programmed computer.

I went on:

“They’re now in the dock
“For lifting the–”

No help to me–A right-handed fencer hates to take on a southpaw; it throws everything out of balance, whereas a southpaw is used to the foibles of the right-handed majority–and this son of a witch was just as strong, just as skilled, with his left hand. Worse, he now had toward me the eye undimmed by blood.

He pinked me again, in the kneecap, hurting like fire and slowing me. Despite his wounds, much worse than mine, I knew I couldn’t go on much longer. We settled down to grim work.

There is a riposte in seconde, desperately dangerous but brilliant–if you bring it off. It had won me several matches in 6pee with nothing at stake but a score. It starts from sixte; first your opponent counters. Instead of parrying to carte, you press and bind, sliding all the way down and around his blade and corkscrewing in till your point finds flesh. Or you can beat, counter, and bind, starting from sixte, thus setting it off yourself.

Its shortcoming is that, unless it is done perfectly, it is too late for parry and riposte; you run your own chest against his point.

I didn’t try to initiate it, not against this swordsman; I just thought about it.

We continued to fence, perfectly each of us. Then he stepped back slightly while countering and barely
skidded in his own blood.

My wrist took charge; I corkscrewed in with a perfect bind to seconde–and my blade went through his body. He looked surprised, brought his bell up in salute, and crumpled at the knees as the grip fell from his hand. I had to move forward with my blade as he fell, then started to pull it out of him.

He grasped it. “No, no, my friend, please leave it there. It corks the wine, for a time. Your logic is sharp and touches my heart. Your name, sir?”

“Oscar of Gordon.”

“A good name. One should never be killed by a stranger. Tell me, Oscar of Gordon, have you seen Carcassonne?”

“No.”

“See it. Love a lass, kill a man, write a book, fly to the Moon–I have done all these.” He gasped and foam came out of his mouth, pink. “I’ve even had a house fall on me. What devastating wit! What price honor when timber taps thy top? ‘Top?’ tap? taupe, tape–tonsor! –when timber taps thy tonsor. You shaved mine.”

He choked and went on: “It grows dark. Let us exchange gifts and part friends, if you will. My gift first, in two parts: Item: You are lucky, you shall not die in bed.”

“I guess not.”

“Please. Item: Friar Guillaume’s razor ne’er shaved the barber, it is much too dull. And now your gift, my old–and be quick, I need it. But first–now did that limerick end?”

I told him. He said, very weakly, almost in rattle, “Very good. Keep trying. Now grant me your gift, I am more than ready.” He tried to Sign himself.

So I granted him grace, stood wearily up, went to the bench and collapsed on it, then cleaned both blades, first wiping the little Solingen, then most carefully grooming the Lady Vivamus. I managed to stand and salute him with a clean sword. It had been an honor to know him.

I was sorry I hadn’t asked him his name. He seemed to think I knew it.

I sat heavily down and looked at the arras covering the rat hole at the end of the room and wondered why Star and Rufo hadn’t come out. All that clashing steel and talk–I thought about walking over and shouting for them. But I was too weary to move just yet. I sighed and closed my eyes-

Through sheer boyish high spirits (and carelessness I had been chided for, time and again) I had broken a dozen eggs. My mother looked down at the mess and I could see that she was about to cry. So I clouded up too. She stopped her tears, took me gently by the shoulder, and said, “It’s all right, son. Eggs aren’t that important.” But I was ashamed, so I twisted away and ran.

Downhill I ran, heedless and almost flying–then was shockingly aware that I was at the wheel and the car was out of control. I groped for the brake pedal, couldn’t find it and felt panic . . . then did find it–and felt it sink with that mushiness that means you’ve lost brake-fluid pressure. Something ahead in the road and I couldn’t see. Couldn’t even turn my head and my eyes were clouded with something running down into them. I twisted the wheel and nothing happened–radius rod gone.

Screams in my car as we hit! –and I woke up in bed with a jerk and the screams were my own. I was going to be late to school, disgrace not to be borne. Never born, agony shameful, for the schoolyard was empty; the other kids, scrubbed and virtuous, were in their seats and I couldn’t find my classroom. Hadn’t even had time to go to the bathroom and here I was at my desk with my pants down about to do what I had been too hurried to do before I left home and all the other kids had their hands up but teacher was calling on me. I couldn’t stand up to recite; my pants were not only down I didn’t have any on at all if I stood up they would see it the boys would laugh at me the girls would giggle and look away and tilt their noses. But the unbearable disgrace was that I didn’t know the answer!

“Come, come!” my teacher said sharply. “Don’t waste the class’s time, E.G. You Haven’t Studied Your Lesson.”

Well, no, I hadn’t. Yes, I had, but she had written “Problems 1-6” on the blackboard and I had taken that as “1 and 6”–and this was number 4. But She would never believe me; the excuse was too thin. We pay off on touchdowns, not excuses.

“That’s how it is, Easy,” my Coach went on, his voice more in sorrow than in anger. “Yardage is all very well but you don’t make a nickel unless you cross that old goal line with the egg tucked underneath your arm.” He pointed at the football on his desk. “There it is. I had it gilded and lettered clear back at the beginning of the season, you looked so good and I had so much confidence in you–it was meant to be yours at the end of the season, at a victory banquet.” His brow wrinkled and he spoke as if trying to be fair. “I won’t say you could have saved things all by yourself. But you do take things too easy. Easy–maybe you need another name. When the road gets rough, you could try harder.” He sighed. “My fault, I should have cracked down. Instead, I tried to be a father to you. But I want you to know you aren’t the only one who loses by this–at my age it’s not easy to find a new job.”

I pulled the covers up over my head; I couldn’t stand to look at him. But they wouldn’t let me alone; somebody started shaking my shoulder. “Gordon!”

“Le’me ‘lone!”

“Wake up, Gordon, and get your ass inside. You’re in trouble.”

I certainly was, I could tell that as soon as I stepped into the office. There was a sour taste of vomit in my mouth and I felt awful–as if a herd of buffaloes had walked over me, stepping on me here and there. Dirty ones.

The First Sergeant didn’t look at me when I came in; he let me stand and sweat first. When he did look up, he examined me up and down before speaking.

Then he spoke slowly, letting me taste each word. “Absent Over Leave, terrorizing and insulting native women, unauthorized use of government property . . . scandalous conduct . . . insubordinate and obscene language . . . resisting arrest . . . striking an M.P.–Gordon, why didn’t you steal a horse? We hang horse thieves in these parts. It would make it all so much simpler.”

He smiled at his own wit. The old bastard always had thought he was a wit. He was half right.

But I didn’t give a damn what he said. I realized dully that it had all been a dream, just another of those dreams I had had too often lately, wanting to get out of this aching jungle. Even She hadn’t been real. My–what was her name? –even her name I had made up. Star. My Lucky Star–Oh, Star, my darling, you aren’t!

He went on: “I see you took off your chevrons. Well, that saves time but that’s the only thing good about it. Out of uniform. No shave. And your clothes are filthy! Gordon, you are a disgrace to the Army of the United States. You know that, don’t you? And you can’t sing your way out of this one. No I.D. on you, no pass, using a name not your own. Well, Evelyn Cyril my fine lad, we’ll use your right name now. Officially.”

He swung around in his swivel chair–he hadn’t had his fat ass out of it since they sent him to Asia, no patrols for him. “Just one thing I’m curious about. Where did you get that? And whatever possessed you to try to steal it?” He nodded at a file case behind his desk.

I recognized what was sitting on it, even though it had been painted with gold gilt the last time I recalled seeing it whereas now it was covered with the special black gluey mud they grow in Southeast Asia. I started toward it. “That’s mine!”

“No, no!” he said sharply. “Burny, burny, boy.” He moved the football farther back. “Stealing it doesn’t make it yours. I’ve taken charge of it as evidence. For your information, you phony hero, the docs think he’s going to die.”

“Who?”

“Why should you care who? Two bits to a Bangkok tickul you didn’t know his name when you clobbered him. You can’t go around clobbering natives just because you’re feeling brisk–they’ve got rights, maybe you hadn’t heard. You’re supposed to clobber them only when and where you are told to.”

Suddenly he smiled. It didn’t improve him. With his long, sharp nose and his little bloodshot eyes I suddenly realized how much he looked like a rat.

But he went on smiling and said, “Evelyn my boy, maybe you took off those chevrons too soon.”

“Huh?”

“Yes. There may be a way out of this mess. Sit down.” He repeated sharply, ” ‘Sit down,’ I said. If I had my way we’d simply Section-Eight you and forget you–anything to get rid of you. But the Company Commander has other ideas–a really brilliant idea that could close your whole file. There’s a raid planned for tonight. So”–he leaned over, got a bottle of Four Roses and two cups out of his desk, poured two drinks–“have a drink.”

Everybody knew about that bottle–everybody but the Company Commander, maybe. But the top sergeant had never been known to offer anyone a drink–save one time when he had followed it by telling his victim that he was being recommended for a general court-martial.

“No, thanks.”

“Come on, take it. Hair of the dog. You’re going to need it. Then go take a shower and get yourself looking decent even if you aren’t, before you see the Company Commander.”

I stood up. I wanted that drink, I needed it. I would have settled for the worst rotgut–and Four Roses is pretty smooth–but I would have settled for the firewater old–what was his name? –had used to burst my eardrums.

But I didn’t want to drink with him. I should not drink anything at all here. Nor eat any-

I spat in his face.

He looked utterly shocked and started to melt. I drew my sword and had at him.

It got dark but I kept on laying about me, sometimes connecting, sometimes not.

Chapter 16

Someone was shaking my shoulder. “Wake up!”

“Le’me lone!”

“You’ve got to wake up. Boss, please wake up.”

“Yes, my Hero–please!”

I opened my eyes, smiled at her, then tried to look around. Kee-ripes, what a shambles! In the middle of it, close to me, was a black glass pillar, thick and about five feet high. On top was the Egg. “Is that it?”

“Yep!” agreed Rufo. “That’s it! He looked battered but gay.

“Yes, my Hero champion,” Star confirmed, “that is the true Egg of the Phoenix. I have tested.”

“Uh–” I looked around. “Then where’s old Soul-Eater?”

“You killed it. Before we got here. You still had sword in hand and the Egg tucked tightly under your left arm. We had much trouble getting them loose so that I could work on you.” I looked down my front, saw what she meant, and looked away. Red just isn’t my color. To take my mind off surgery I said to Rufo, “What took you so long?”

Star answered, “I thought we would never find you!”

“How did you find me?”

Rufo said, “Boss, we couldn’t exactly lose you. We simply followed your trail of blood–even when it dead-ended into blank walls. She is stubborn.”

“Uh . . . see any dead men?”

“Three or four. Strangers, no business of ours. Constructs, most likely. We didn’t dally.” He added,

“And we won’t dally getting out, either, once you’re patched up enough to walk. Time is short.”

I flexed my right knee, cautiously. It still hurt where I had been pinked on the kneecap, but what Star had done was taking the soreness out. “My legs are all right. I’ll be able to walk as soon as Star is through. But”–I frowned–“I don’t relish going through that rat tunnel again. Rats give me the willies.”

“What rats, Boss? In which tunnel?”

So I told him.

Star made no comment. Just went on plastering me and sticking on dressings. Rufo said, “Boss, you did get down on your knees and crawl–in a passage just like all the others. I couldn’t see any sense to it but you had proved that you knew what you were doing, so we didn’t argue, we did it. When you told us to wait while you scouted, we did that, too–until we had waited a long time and She decided that we had better try to find you.”

I let it drop.

We left almost at once, going out the “front” way and had no trouble, no illusions, no traps, nothing but the fact that the “true path” was long and tedious. Rufo and I stayed alert, same formation, with Star in the middle carrying the Egg.

Neither Star nor Rufo knew whether we were still likely to be attacked, nor could we have held off

anything stronger than a Cub Scout pack. Only Rufo could bend a bow and I could no longer wield a sword. However, the single necessity was to give Star time to destroy the Egg rather than let it be captured. “But that’s nothing to worry about,” Rufo assured me. “About like being at ground-zero with an A-weapon. You’ll never notice it.”

Once we were outside it was a longish hike to the Grotto Hills and the other Gate. We lunched as we hiked–I was terribly hungry–and shared Rufo’s brandy and Stars water without too much water. I felt pretty good by the time we reached the cave of this Gate; I didn’t even mind sky that wasn’t sky but some sort of roof, nor the odd shifts in gravitation.

A diagram or “pentacle” was already in this cave. Star had only to freshen it, then we waited a bit–that had been the rush, to get there before that “Gate” could be opened; it wouldn’t be available for weeks or perhaps months thereafter–much too long for any human to live in Karth-Hokesh.

We were in position a few minutes early. I was dressed like the Warlord of Mars–just me and sword belt and sword. We all lightened ship to the limit as Star was tired and pulling live things through would be strain enough. Star wanted to save my pet longbow but I vetoed it. She did insist that I keep the Lady Vivamus and I didn’t argue very hard; I didn’t want ever to be separated from my sword again. She touched it and told me that it was not dead metal, but now part of me.

Rufo wore only his unpretty pink skin, plus dressings; his attitude was that a sword was a sword and he had better ones at home. Star was, for professional reasons, wearing no more.

“How long?” asked Rufo, as we joined hands.

“Count down is minus two minutes,” she answered. The clock in Star’s head is as accurate as my bump of direction. She never used a watch.

“You’ve told him?” said Rufo.

“No.”

Rufo said, “Haven’t you any shame? Don’t you think you’ve conned him long enough?” He spoke with surprising roughness and I was about to tell him that he must not speak to her that way. But Star cut him off.

“QUIET!” She began to chant. Then–“Now!”

Suddenly it was a different cave. “Where are we?” I asked. I felt heavier.

“On Nevia’s planet,” Rufo answered. “Other side of the Eternal Peaks–and I’ve got a good mind to get off and see Jocko.”

“Do it,” Star said angrily. “You talk too much.”

“Only if my pal Oscar comes along. Want to, old comrade? I can get us there, take about a week. No dragons. They’ll be glad to see you–especially Muri.”

“You leave Muri out of this!” Star was actually shrill.

“Can’t take it, huh?” he said sourly. “Younger woman and all that.”

“You know that’s not it!”

“Oh, how very much it is!” he retorted. “And how long do you think you can get away with it? It’s not fair, it never was fair. It–”

“Silence! Count down right now!” We joined hands again and whambo! we were in another place.

This was still another cave with one side partly open to the outdoors; the air was very thin and bitterly cold and snow had sifted in. The diagram was let into rock in raw gold. “Where is this?” I wanted to know.

“On your planet,” Star answered. “A place called Tibet.”

“And you could change trains here,” Rufo added, “if She weren’t so stubborn. Or you could walk out–although it’s a long, tough walk; I did it once.” I wasn’t tempted. The last I had heard, Tibet was in the hands of unfriendly peace-lovers. “Will we be here long?” I asked. “This place needs central heating.” I wanted to hear anything but more argument. Star was my beloved and I couldn’t stand by and hear anyone be rude to her–but Rufo was my blood brother by much lost blood; I owed my life to him several times over.

“Not long,” answered Star. She looked drawn and tired. “But time enough to get this straightened out,” added Rufo, “so that you can make up your own mind and not be carried around like a cat in a sack. She should have told you long since. She–”

“Positions!” snapped Star. “Count down coming up. Rufo, if you don’t shut up, I’ll leave you here and let you walk out again–in deep snow barefooted to your chin.”

“Go ahead,” he said. “Threats make me as stubborn as you are. Which is surprising. Oscar, She is–” “SILENCE!”

“–Empress of the Twenty Universes–“

Chapter 17

We were in a large octagonal room, with lavishly beautiful silvery walls.
“–and my grandmother,” Rufo finished.

Not ‘Empress,’ ” Star protested. “That’s a silly word for it.”

“Near enough.” “And as for the other, that’s my misfortune, not my fault.” Star jumped to her feet, no longer looking tired, and put one arm around my waist as I got up, while she held the Egg of the Phoenix with the other.

“Oh, darling I’m so happy! We made it! Welcome home, my Hero!”

“Where?” I was sluggy–too many time zones, too many ideas, too fast.

“Home. My home. Your home now–if you’ll have it. Our home.”

“Uh, I see . . . my Empress.” She stomped her foot. “Don’t call me that!”

“The proper form of address,” said Rufo, “is ‘Your Wisdom.’ Isn’t it, Your Wisdom?”

“Oh, Rufo, shut up. Go fetch clothes for us.”

He shook his head. “War’s over and I just got paid off. Fetch ’em yourself. Granny.”

“Rufo, you’re impossible.”

“Sore at me, Granny?”

“I will be if you don’t stop calling me ‘Granny.’ ” Suddenly she handed the Egg to me, put her arms around Rufo and kissed him. “No, Granny’s not sore at you,” she said softly. “You always were a naughty child and I’ll never quite forget the time you put oysters in my bed. But I guess you came by it honestly–from your grandmother.” She kissed him again and mussed his fringe of white hair. “Granny loves you. Granny always will. Next to Oscar, I think you are about perfect–aside from being an unbearable, untruthful, spoiled, disobedient, disrespectful brat.”

“That’s better,” he said. “Come to think of it, I feel the same way about you. What do you want to wear?”

“Mmm . . . get out a lot of things. It’s been so long since I had a decent wardrobe.” She turned back to me. “What would you like to wear, my Hero?”

“I don’t know. I don’t know anything. Whatever you think is appropriate–Your Wisdom.”

“Oh, darling, please don’t call me that. Not ever.” She seemed suddenly about to cry.

“All right. What shall I call you?”

“Star is the name you gave me. If you must call me something else, you could call me your ‘princess.’ I’m not a princess–and I’m not an ’empress’ either; that’s a poor translation. But I like being ‘your princess’–the way you say it. Or it can be ‘lively wench’ or any of lots of things you’ve been calling me.” She looked up at me very soberly. “Just like before. Forever.”

“I’ll try . . . my princess.”

“My Hero.”

“But there seems to be a lot I don’t know.”

She shifted from English to Nevian. “Milord husband, I wished to tell all. I sighed to tell you. And milord will be told everything. But I held mortal fear that milord, if told too soon, would refuse to come with me. Not to the Black Tower, but to here. Our home.”

“Perhaps you chanced wisely,” I answered in the same language. “But I am here, milady wife–my princess. So tell me. I wish it.”

She shifted back to English. “I’ll talk, I’ll talk. But it will take time. Darling, will you hold your horses just a bit longer? Having been patient with me–so very patient, my love! –for so long?”

“Okay,” I agreed. “I’ll string along. But, look, I don’t know the streets in this neighborhood, I’ll need some hints. Remember the mistake I made with old Jocko just from not knowing local customs.”

“Yes, dear, I will. But don’t worry, customs are simple here. Primitive societies are always more complex than civilized ones–and this one isn’t primitive.” Rufo dumped then a great heap of clothing at her feet. She turned away, a hand still on my arm, put a finger to her mouth with a very intent, almost worried look. “Now let me see. What shall I wear?”

“Complex” is a relative matter; I’ll sketch only the outlines.

Center is the capital planet of the Twenty Universes. But Star was not “Empress” and it is not an empire.

I’ll go on calling her “Star” as hundreds of names were hers and I’ll call it an “empire” because no other word is close, and I’ll refer to “emperors” and “empresses”–and to the Empress, my wife.

Nobody knows how many universes there are. Theory places no limit: any and all possibilities in unlimited number of combinations of “natural” laws, each sheaf appropriate to its own universe. But this is just theory and Occam’s Razor is much too dull. All that is known in Twenty Universes is that twenty have been discovered, that each has its own laws, and that most of them have planets, or sometimes “places,” where human beings live. I won’t try to say what lives elsewhere.

The Twenty Universes include many real empires. Our Galaxy in our universe has its stellar empires–yet so huge is our Galaxy that our human race may never meet another, save through the Gates that link the universes. Some planets have no known Gates. Earth has many and that is its single importance; otherwise it rates as a backward slum.

Seven thousand years ago a notion was born for coping with political problems too big to handle. It was modest at first: How could a planet be run without ruining it? This planet’s people included expert cyberneticists but otherwise were hardly farther along than we are; they were still burning the barn to get
the rats and catching their thumbs in machinery. These experimenters picked an outstanding ruler and tried to help him.

Nobody knew why this bloke was so successful but he was and that was enough; they weren’t hipped on theory. They gave him cybernetic help, taping for him all crises in their history, all known details, what was done, and the outcomes of each, all organized so that he could consult it almost as you consult your memory.

It worked. In time he was supervising the whole planet–Center it was, with another name then. He didn’t rule it, he just untangled hard cases.

They taped also everything this first “Emperor” did, good and bad, for guidance of his successor.

The Egg of the Phoenix is a cybernetic record of the experiences of two hundred and three “emperors” and “empresses,” most of whom “ruled” all the known universes. Like a foldbox, it is bigger inside than out. In use, it is more the size of the Great Pyramid.

Phoenix legends abound throughout the Universes: the creature that dies but is immortal, rising ever young from its own ashes. The Egg is such a wonder, for it is far more than a taped library now; it is a print, right down to their unique personalities, of all experience of all that line from His Wisdom IX through Her Wisdom CCIV, Mrs. Oscar Gordon.

The office is not hereditary. Star’s ancestors include His Wisdom I and most of the other wisdoms–but millions of others have as much “royal” blood. Her grandson Rufo was not picked although he shares all her ancestors. Or perhaps he turned it down. I never asked, it would have reminded him of a time one of his uncles did something obscene and improbable. Nor is it a question one asks.

Once tapped, a candidate’s education includes everything from how to cook tripe to highest mathematics–including all forms of personal combat for it was realized millennia ago that, no matter how well he was guarded, the victim would wear better if he himself could fight like an angry buzz saw. I stumbled on this through asking my beloved an awkward question.

I was still trying to get used to the fact that I had married, a grandmother, whose grandson looked older than I did and was even older than he looked. The people of Center live longer than we do anyway and both Star and Rufo had received “Long-Life” treatment. This takes getting used to. I asked Star, “How long do you ‘wisdoms’ live?”

“Not too long,” she answered almost harshly. “Usually we are assassinated.”

(My big mouth–)

A candidate’s training includes travel in many worlds–not all planets-places inhabited by human beings; nobody lives that long. But many. After a candidate completes all this and if selected as heir, postgraduate work begins: the Egg itself. The heir has imprinted in him (her) the memories, the very personalities, of past emperors. He (She) becomes an integration of them. Star-Plus. A supernova. Her Wisdom.

The living personality is dominant but all that mob is there, too. Without using the Egg, Star could recall experiences that happened to people dead many centuries. With the Egg–herself hooked into the cybernet–she had seven thousand years of sharp, just-yesterday memories.

Star admitted to me that she had hesitated ten years before accepting the nomination. She hadn’t wanted to be all those people; she had wanted to go on being herself, living as she pleased. But the methods used to pick candidates (I don’t know them, they are lodged in the Egg) seem almost infallible; only three have ever refused.

When Star became Empress she had barely started the second half of her training, having had imprinted in her only seven of her predecessors. Imprinting does not take long but the victim needs recovery time between prints–for she gets every damned thing that ever happened to him, bad and good: the time he was cruel to a pet as a child and his recalled shame of it in his mature years, the loss of his virginity, the unbearably tragic time that he goofed a really serious one–all of it.

“I must experience their mistakes,” Star told me. “Mistakes are the only certain way to learn.”

So the whole weary structure is based on subjecting one person to all the miserable errors of seven thousand years.

Mercifully the Egg doesn’t have to be used often. Most of the time Star could be herself, no more bothered by imprinted memories than you are over that nasty remark in second grade. Most problems Star could solve shooting from the hip–no recourse to the Black Room and a full hookup.

For the one thing that stood out as this empirical way of running an empire grew up was that the answer to most problems was: Don’t do anything.

Always King Log, never King Stork–“Live and let live.” “Let well enough alone.” “Time is the best physician.” “Let sleeping dogs lie.” “Leave them alone and they’ll come home, wagging their tails behind them.”

Even positive edicts of the Imperium were usually negative in form: Thou Shalt Not Blow Up Thy Neighbors’ Planet. (Blow your own if you wish.) Hands off the guardians of the Gates. Don’t demand justice, you too will be judged.

Above all, don’t put serious problems to a popular vote. Oh, there is no rule against local democracy, just in imperial matters. Old Rufo–excuse me; Doctor Rufo, a most distinguished comparative culturologist (with a low taste for slumming)–Rufo told me that every human race tries every political form and that democracy is used in. many primitive societies . . . but he didn’t know of any civilized planet using it, as Vox Populi, Vox Dei translates as: “My God! How did we get in this mess!”

But Rufo claimed to enjoy democracy–any time he felt depressed he sampled Washington, and the antics of the French Parliament were second only to the antics of French women.

I asked him how advanced societies ran things.

His brow wrinkled. “Mostly they don’t.”

That described the Empress of Twenty Universes: Mostly she didn’t.

But sometimes she did. She might say: “This mess will clear up if you will take that troublemaker there–What’s your name? You with the goatee–out and shoot him. Do it now.” (I was present. They did it now. He was head of the delegation which had brought the problem to her–some fuss between intergalactic trading empires in the VIIth Universe–and his chief deputy pinned his arms and his own delegates dragged him outside and killed him. Star went on drinking coffee. It’s better coffee than we get back home and I was so upset that I poured myself a cup.)

An Emperor has no power. Yet, if Star decided that a certain planet should be removed, people would get busy and there would be a nova in that sky. Star has never done this but it has been done in the past. Not often–His Wisdom will search his soul (and the Egg) a long time before decreeing anything so final even when his hypertrophied horse sense tells him that there is no other solution.

The Emperor is sole source of Imperial law, sole judge, sole executive–and does very little and has no way to enforce his rulings. What he or she does have is enormous prestige from a system that has worked for seven millennia. This non-system holds together by having no togetherness, no uniformity,

never seeking perfection, no Utopias–just answers good enough to get by, with lots of looseness and room for many ways and attitudes.

Local affairs are local. Infanticide? –they’re your babies, your planet. PTAs, movie censorship, disaster relief–the Empire is ponderously unhelpful.

The Crisis of the Egg started long before I was born. His Wisdom CCIII was assassinated and the Egg stolen at the same time. Some baddies wanted power–and the Egg, by its unique resources, has latent in it key to such power as Genghis Khan never dreamed.

Why should anybody want power? I can’t understand it. But some do, and they did.

So Star came to office hall-trained, faced by the greatest crisis the Empire had ever suffered, and cut off from her storehouse of Wisdom.

But not helpless. Imprinted in her was the experience of seven hypersensible men and she had all the cyber-computer system save that unique part known as the Egg. First she had to find out what had been done with the Egg. It wasn’t safe to mount an attack on the planet of the baddies; it might destroy the Egg.

Available were ways to make a man talk if one didn’t mind using him up. Star didn’t mind. I don’t mean anything so crude as rack and tongs. This was more like peeling an onion, and they peeled several.

Karth-Hokesh is so deadly that it was named for the only explorers to visit it and come back alive. (We were in a “garden subdivision,” the rest is much worse.) The baddies made no attempt to stay there; they just cached the Egg and set guards and booby traps around it and on the routes to it.

I asked Rufo, “What use was the Egg there?”

“None,” he agreed. “But they soon learned that it was no use anywhere–without Her. They needed either its staff of cyberneticists . . . or they needed Her Wisdom. They couldn’t open the Egg. She is the only one who can do that unassisted. So they baited a trap for Her. Capture Her Wisdom, or kill Her–capture by preference, kill Her if need be and then try for key people here at Center. But they didn’t dare risk the second while She was alive.”

Star started a search to determine the best chance of recovering the Egg. Invade Karth-Hokesh? The machines said, “Hell, no!” I would say no, too. How do you mount an invasion into a place where a man not only can’t eat or drink anything local but can’t breathe the air more than a few hours? When a massive assault will destroy what you are after? When your beachheads are two limited Gates?

The computers kept coming up with a silly answer, no matter how the question was framed.

Me.

A “Hero,” that is–a man with a strong back, a weak mind, and a high regard for his own skin. Plus other traits. A raid by a thus-and-so man, if aided by Star herself, might succeed. Rufo was added by a hunch Star had (hunches of Their Wisdoms being equal to strokes of genius) and the machines confirmed this. “I was drafted,” said Rufo. “So I refused. But I never have had any sense where She is concerned, damn it; She spoiled me when I was a kid.”

There followed years of search for the specified man. (Me, again–I’ll never know why.) Meanwhile brave men were feeling out the situation and, eventually, mapping the Tower. Star herself reconnoitered, and got acquainted in Nevia, too.

(Is Nevia part of the “Empire?” It is and it isn’t. Nevia’s planet has the only Gates to Karth-Hokesh other than one from the planet of the baddies; that is its importance to the Empire–and the Empire isn’t important to Nevia at all.)

This “Hero” was most likely to be found on a barbaric planet such as Earth. Star checked, and turned down, endless candidates winnowed from many rough peoples before her nose told her that I might do.

I asked Rufo what chance the machines gave us.

“What makes you say that?” he demanded.

“Well, I know a little of cybernetics.”

“You think you do. Still–There was a prediction. Thirteen percent success, seventeen percent no game–and seventy percent death for us all.”

I whistled. “You should whistle!” he said indignantly. “You didn’t know any more than a cavalry horse knows. You had nothing to be scared of.”

“I was scared.”

“You didn’t have time to be. It was planned so. Our one chance lay in reckless speed and utter surprise. But I knew. Son, when you told us to wait, there in the Tower, and disappeared and didn’t come back, why, I was so scared I caught up on my regretting.”

Once set up, the raid happened as I told it. Or pretty much so, although I may have seen what my mind could accept rather than exactly what happened. I mean “magic.” How many times have savages concluded “magic” when a “civilized” man came along with something the savage couldn’t understand? How often is some tag, such as “television,” accepted by cultural savages (who nevertheless twist dials) when “magic” would be the honest word?

Still, Star never insisted on that word. She accepted it when I insisted on it.

But I would be disappointed if everything I saw turned out to be something Western Electric will build once Bell Labs works the bugs out. There ought to be some magic, somewhere, just for flavor.

Oh, yes, putting me to sleep for the first transition was to keep from scaring a savage silly. Nor did the “black biers” cross over–that was posthypnotic suggestion, by an expert: my wife.

Did I say what happened to the baddies? Nothing. Their Gates were destroyed; they are isolated until they develop star travel. Good enough, by the sloppy standards of the Empire. Their Wisdoms never carry grudges.

Chapter 18

Center is a lovely planet, Earth-like but lacking Earth’s faults. It has been retailored over millennia to make it a Never-Never Land. Desert and snow and jungle were saved enough for pleasure; floods and other disasters were engineered out of existence.

It is uncrowded but has a large population for its size–that of Mars but with oceans. Surface gravity is almost that of Earth. (A higher constant, I understand.) About half the population is transient, as its great beauty and unique cultural assets–focus of twenty universes–make it a tourist’s paradise. Everything is done for the comfort of visitors with an all-out thoroughness like that of the Swiss but with technology not known on Earth.

Star and I had residences a dozen places around the planet (and endless others in other universes); they ranged from palaces to a tiny fishing lodge where Star did her own cooking. Mostly we lived in apartments to an artificial mountain that housed the Egg and its staff; adjacent were halls, conference rooms, secretariat, etc. If Star felt like working she wanted such things at hand. But a system ambassador or visiting emperor of a hundred systems had as much chance of being invited into our private home as a hobo at the back door of a Beverly Hills mansion has of being invited into the drawing room.

But if Star happened to like him, she might fetch him home for a midnight snack. She did that once–a funny little leprechaun with four arms and a habit of tap-dancing his gestures. But she did no official entertaining and felt no obligation to attend social affairs. She did not hold press conferences, make speeches, receive delegations of Girl Scouts, lay cornerstones, proclaim special “Days,” make ceremonial appearances, sign papers, deny rumors, nor any of the time-gnawing things that sovereigns and VIPs do on Earth.

She consulted individuals, often summoning them from other universes, and she had at her disposal all the news from everywhere, organized in a system that had been developed over centuries. It was through this system that she decided what problems to consider. One chronic complaint was that the Imperium ignored “vital questions”–and so it did. Her Wisdom passed judgment only on problems she selected; the bedrock of the system was that most problems solved themselves.

We often went to social events; we both enjoyed parties and, for Her Wisdom and Consort, there was endless choice. There was one negative protocol: Star neither accepted nor regretted invitations, showed up when she pleased and refused to be fussed over. This was a drastic change for capital society as her predecessor had imosed protocol more formal than that of the Vatican.

One hostess complained to me about how dull society had become under the new rules–maybe I could do something?

I did. I looked up Star and told her the remark whereupon we left and joined a drunken artists’ ball–a luau!

Center is such a hash of cultures, races, customs, and styles that it has few rules. The one invariant custom was: Don’t impose your customs on me. People wore what they did at home, or experimented with other styles; any social affair looked like a free-choice costume ball. A guest could show up at a swank party stark naked without causing talk–and some did, a small minority. I don’t mean non-humans or hirsute humans; clothes are not for them. I mean humans who would look at home in New York in American clothes–and others who would attract notice even in l’Ile du Levant because they have no hair at all, not even eyebrows. This is a source of pride to them; it shows their “superiority” to us hairy apes,

they are as proud as a Georgia cracker is of his deficiency in melanin. So they go naked oftener than other human races. I found their appearance startling but one gets used to it.

Star wore clothes outside our home, so I did. Star would never miss a chance to dress up, an endearing weakness that made it possible to forget, at times, her Imperial status. She never dressed twice alike and was ever trying something new–and disappointed if I didn’t notice. Some of her choices would cause heart failure even on a Riviera beach. She believed that a woman’s costume was a failure unless it made men want to tear it off.

One of Star’s most effective outfits was the simplest. Rufo happened to be with us and she got a sudden notion to dress as we had on the Quest of the Egg–and biff, bang, costumes were available, or manufactured to order, as may be; Nevian clothes are most uncommon in Center.

Bows, arrows, and quivers were produced with the same speed and Merry Men were we. It made me feel good to buckle on the Lady Vivamus; she had been hanging untouched on a wall of my study ever since the great black Tower.

Star stood, feet planted wide, fists on hips, head thrown back, eyes bright, and cheeks flushed. “Oh, this is fun! I feel good, I feel young! Darling, promise me, promise me truly, that someday we will again go on an adventure! I get so damn sick of being sensible.”

She spoke English, as the language of Center is ill suited to such ideas. It’s a pidgin language with thousands of years of imports and changes and is uninflected, positional, and flat.

“Suits,” I agreed. “How about it, Rufo? Want to walk that Glory Road?”

“After they pave it.”

“Guff. You’ll come, I know you. Where and when, Star? Never mind ‘where’–just ‘when.’ Skip the party and start right now!”

Suddenly she was not merry. “Darling, you know I can’t. I’m less than a third of the way through my training.”

“I should have busted that Egg when I found it.”

“Don’t be cross, darling. Let’s go to the party and have fun.”

We did. Travel on Center is by apports, artificial “Gates” that require no “magic” (or perhaps still more); one sets destination like punching buttons in an elevator, so there is no traffic problem in cities–nor a thousand other unpleasant things; they don’t let the bones show in their cities. Tonight Star chose to get off short of destination, swagger through a park, and make an entrance. She knows how well tights suit her long legs and solid buttocks; she rolled her hips like a Hindu woman.

Folks, we were a sensation! Swords aren’t worn in Center, save possibly by visitors. Bows and arrows are hen’s teeth, too. We were as conspicuous as a knight in armor on Fifth Avenue.

Star was as happy as a kid playing trick-or-treat. So was I. I felt two axe handles across the shoulders and wanted to hunt dragons.

It was a ball not unlike one on Earth. (According to Rufo, all our races everywhere have the same basic entertainment: get together in mobs to dance, drink, and gossip. He claimed that the stag affair and the hen party are symptoms of a sick culture. I won’t argue.) We swaggered down a grand staircase, music stopped, people stared and gasped–and Star enjoyed being noticed. Musicians got raggedly back to work and guests went back to the negative politeness the Empress usually demanded. But we still got attention. I had thought that the story of the Quest of the Egg was a state secret as I had never heard it mentioned. But, even if known, I still would have expected the details to be known only to us three.

Not so. Everyone knew what those costumes meant, and more. I was at the buffet, sopping up brandy and a Dagwood of my own invention, when I was cornered by Schherazade’s sister, the pretty one. She was of one of the human-but-not-like-us races. She was dressed in rubies the size of your thumb and reasonably opaque cloth. She stood about five-five, barefooted, weighed maybe one twenty and her waist couldn’t have been over fifteen inches, which exaggerated two other measurements that did not need it. She was brunette, with the slantiest eyes I’ve ever seen. She looked like a beautiful cat and looked at me the way a cat looks at a bird.

“Self,” she announced.

“Speak.”

“Sverlani. World–” (Name and code–I had never heard of it.) “Student food designer, mathematicosybaritic.”

“Oscar Gordon. Earth. Soldier.” I omitted the I.D. for Earth; she knew who I was.

“Questions?”

“Ask.”

“Is sword?”

“Is.”

She looked at it and her pupils dilated, “Is-was sword destroy construct guard Egg?” (“Is this sword
now present the direct successor in space-time sequential change, aside from theoretical anomalies involved in between-universe transitions, of the sword used to loll the Never-Born?” The double tense of the verb, present-past, stipulates and brushes aside the concept that identity is a meaningless abstraction–is this the sword you actually used, in the everyday meaning, and don’t kid me, soldier. I’m no child.)

“Was-is,” I agreed. (“I was there and I guarantee that I followed it all the way here, so it still is.”)

She gave a little gasp and her nipples stood up. Around each was painted, or perhaps tattooed, the multi-universal design we call “Wall of Troy”–and so strong was her reaction that Ileum’s ramparts crumbled again.

“Touch?” she said pleadingly.

“Touch.”

“Touch twice?” (“Please, may I handle it enough to get the feel of it? Pretty please, with sugar on it! I ask too much and it is your right to refuse, but I guarantee not to hurt it”–they get mileage out of words, but the flavor is in the manner.)

I didn’t want to, not the Lady Vivamus. But I’m a sucker for pretty girls. “Touch . . . twice,” I grudged. I drew it and handed it to her guard foremost, alert to grab it before she put somebody’s eye out or stabbed herself in the foot.

She accepted it gingerly, eyes and mouth big, grasping it by the guard instead of the grip. I had to show her. Her hand was far too small for it; her hands and feet, like her waist, were ultra slender.

She spotted the inscription. “Means?”

Dum vivimus, vivamus doesn’t translate well, not because they can’t understand the idea but because it’s water to a fish. How else would one live? But I tried. “Touch-twice life. Eat. Drink. Laugh.”

She nodded thoughtfully, then poked the air, wrist bent and elbow out. I couldn’t stand it, so I took it from her, dropped slowly into a foil guard, lunged in high line, recovered–a move so graceful that big hairy men look good in it. It’s why ballerinas study fencing.

I saluted and gave it back to her, then adjusted her right elbow and wrist and left arm–this is why ballerinas get half rates, it’s fun for the swordmaster. She lunged, almost pinking a guest in his starboard
ham.

I took it back, wiped the blade, sheathed it. We had gathered a solid gallery. I picked up my Dagwood from the buffet, but she wasn’t done with me. “Self jump sword?”

I choked. If she understood the meaning–or if I did–I was being propositioned the most gently I had ever been, in Center. Usually it’s blunt. But surely Star hadn’t spread the details of our wedding ceremony? Rufo? I hadn’t told him but Star might have.

When I didn’t answer, she made herself clear and did not keep her voice down. “Self unvirgin unmother unpregnant fertile.”

I explained as politely as the language permits, which isn’t very, that I was dated up. She dropped the subject, looked at the Dagwood. “Bite touch taste?”

That was another matter; I passed it over. She took a hearty bite, chewed thoughtfully, looked pleased. “Xenic. Primitive. Robust. Strong dissonance. Good art.” Then she drifted away, leaving me wondering. Inside of ten minutes the question was put to me again. I received more propositions than at any other party in Center and I’m sure the sword accounted for the bull market. To be sure, propositions came my way at every social event; I was Her Wisdom’s consort. I could have been an orangutan and offers still would have been made. Some hirsutes looked like orangutans and were socially acceptable but I could have smelled like one. And behaved worse. The truth was that many ladies were curious about what the Empress took to bed, and the fact that I was a savage, or at best a barbarian, made them more curious. There wasn’t any taboo against laying it on the line and quite a few did.

But I was still on my honeymoon. Anyhow, if I had accepted all those offers, I would have gone up with the window shade. But I enjoyed hearing them once I quit cringing at the “Soda? –or ginger ale?” bluntness; it’s good for anybody’s morale to be asked.

As we were undressing that night I said, “Have fun, pretty things?” Star yawned and grinned. “I certainly did. And so did you, old Eagle Scout. Why didn’t you bring that kitten home?”

“What kitten?”

“You know what kitten. The one you were teaching to fence.”

“Meeow!”

“No, no, dear. You should send for her. I heard her state her profession, and there is a strong

connection between good cooking and good–”

“Woman, you talk too much!”

She switched from English to Nevian. “Yes, milord husband. No sound I shall utter that does not break unbidden from love-anguished lips.”

“Milady wife beloved . . . sprite elemental of the Singing Waters–”

Nevian is more useful than the jargon they talk on Center.

Center is a fun place and a Wisdom’s consort has a cushy time. After our first visit to Star’s fishing lodge, I mentioned how nice it would be to go back someday and tickle a few trout at that lovely place, the Gate where we had entered Nevia. “I wish it were on Center.”

“It shall be.”

“Star. You would move it? I know that some Gates, commercial ones, can handle real mass, but, even so–”

“No, no. But just as good. Let me see. It will take a day or so to have it stereoed and measured and air-typed and so forth. Water flow, those things. But meanwhile–There’s nothing much beyond this wall, just a power plant and such. Say a door here and the place where we broiled the fish a hundred yards beyond. Be finished in a week, or we’ll have a new architect. Suits?”

“Star, you’ll do no such thing.”

“Why not, darling?”

“Tear up the whole house to give me a trout stream? Fantastic!”

“I don’t think so.”

“Well, it is. Anyhow, sweet, the idea is not to move that stream here, but to go there. A vacation.”

She sighed. “How I would love a vacation.”

“You took an imprint today. Your voice is different.”

“It wears off, Oscar.”

“Star, you’re taking them too fast. You’re wearing yourself out.”

“Perhaps. But I must be the judge of that, as you know.”

“As I don’t know! You can judge the whole goddamn creation–as you do and I know it–but I, your husband, must judge whether you are overworking–and stop it.”

“Darling, darling!”

There were too many incidents like that.

I was not jealous of her. That ghost of my savage past had been laid in Nevia, I was not haunted by it
again.

Nor is Center a place such ghost is likely to walk. Center has as many marriage customs as it has cultures–thousands. They cancel out. Some humans there are monogamous by instinct, as swans are said to be. So it can’t be classed as “virtue.” As courage is bravery in the face of fear, virtue is right conduct in the face of temptation. If there is no temptation, there can be no virtue. But these inflexible monogamists were no hazard. If someone, through ignorance, propositioned one of these chaste ladies, he risked neither a slap nor a knife; she would turn him down and go right on talking. Nor would it matter if her husband overheard; jealousy is never learned in a race automatically monogamous. Not that I ever tested it; to me they looked–and smelled–like spoiled bread dough. Where there is no temptation there is no virtue. But I had chances to show “virtue.” That kitten with the wasp waist tempted me–and I learned that she was of a culture in which females may not marry until they prove themselves pregnable, as in parts of the South Seas and certain places in Europe; she was breaking no taboos of her tribe. I was tempted more by another gal, a sweetie with a lovely figure, a delightful sense of humor, and one of the best dancers in any universe. She didn’t write it on the sidewalk; she just let me know that she was neither too busy nor uninterested, using that argot with skillful indirection.

This was refreshing. Downright “American.” I did inquire (elsewhere) into the customs of her tribe and found that, while they were rigid as to marriage, they were permissive otherwise. I would never do as a son-in-law but the window was open even though the door was locked.

So I chickened. I gave myself a soul-searching and admitted curiosity as morbid as that of any female who propositioned me simply because I was Star’s consort. Sweet little Zhai-ee-van was one of those who didn’t wear clothes. She grew them on the spot; from tip of her nose to her tiny toes she was covered in soft, sleek, gray fur, remarkably like chinchilla. Gorgeous!

I didn’t have the heart, she was too nice a kid.

But this temptation I admitted to Star–and Star implied gently that I must have muscles between my ears; Zhai-ee-van was an outstanding artiste even among her own people, who were esteemed as most talented devotees of Eros.

I stayed chicken. A romp with a kid that sweet should involve love, some at least, and it wasn’t love, just that beautiful fur–along with a fear that a romp with Zhai-ee-van could turn into love and she couldn’t marry me even if Star turned me loose.

Or didn’t turn me loose–Center has no rule against polygamy. Some religions there have rules for and against this and that out this mixture of cultures has endless religions and they cancel each other the way conflicting customs do. Culturologists state a “law” of religious freedom which they say is invariant: Religious freedom in a cultural complex is inversely proportional to the strength of the strongest religion. This is supposed to be one case of a general invariant, that all freedoms arise from cultural conflicts because a custom which is not opposed by its negative is mandatory and always regarded as a “law of nature.”

Rufo didn’t agree; he said his colleagues stated as equations things which are not mensurate and not definable–holes in their heads! –and that freedom was never more than a happy accident because the common jerk, all human races, hates and fears all freedom, not only for his neighbors but for himself, and stamps it out whenever possible.

Back to Topic “A”–Centrists use every sort of marriage contract. Or none. They practice domestic partnership, coition, propagation, friendship, and love–but not necessarily all at once nor with the same person. Contracts could be as complex as a corporate merger, specifying duration, purposes, duties, responsibilities, number and sex of children, genetic selection methods, whether host mothers were to be hired, conditions for canceling and options for extension–anything but “marital fidelity.” It is axiomatic there that this is unenforceable and therefore not contractual.

But marital fidelity is commoner there than it is on Earth; it simply is not legislated. They have an
ancient proverb reading Women and Cats. It means: “Women and Cats do as they please, and men and dogs might as well relax to it.” It has its opposite: Men and Weather which is blunter and at least as old, since the weather has long been under control.

The usual contract is no contract; he moves his clothes into her home and stays–until she dumps them outside the door. This form is highly thought of because of its stability: A woman who “tosses his shoes” has a tough time finding another man brave enough to risk her temper.

My “contract” with Star was no more than that if contracts, laws, and customs applied to the Empress, which they did not and could not. But that was not the source of my increasing unease.

Believe me, I was not jealous.

But I was increasingly fretted by those dead men crowding her mind.

One evening as we were dressing for some whing-ding she snapped at me. I had been prattling about how I had spent my day, being tutored in mathematics, and no doubt had been as entertaining as a child reporting a day in kindergarten. But I was enthusiastic, a new world was opening to me–and Star was always patient.

But she snapped at me in a baritone voice.

I stopped cold. “You were imprinted today!”

I could feel her shift gears. “Oh, forgive me, darling! No, I’m not myself, I’m His Wisdom CLXXXII.”

I did a fast sum. “That’s fourteen you’ve taken since the Quest–and you took only seven in all the years before that. What the hell are you trying to do? Burn yourself out? Become an idiot?”

She started to scorch me. Then she answered gently, “No, I am not risking anything of the sort.”

“That isn’t what I near.”

“What you may have heard has no weight, Oscar, as no one else can judge–either my capacity, or what it means to accept an imprint. Unless you have been talking to my heir?”

“No.” I knew she had selected him and I assumed that he had taken a print or two–a standard precaution against assassination. But I hadn’t met him, didn’t want to, and didn’t know who he was.

“Then forget what you’ve been told. It is meaningless.” She sighed. “But, darling, if you don’t mind, I won’t go tonight; best I go to bed and sleep. Old Stinky CLXXXII is the nastiest person I’ve ever been–a brilliant success in a critical age, you must read about him. But inside he was a bad-tempered beast who hated the very people he helped. He’s fresh in me now, I must keep him chained.”

“Okay, let’s go to bed.”

Star shook her head. ” ‘Sleep,’ I said. I’ll use autosuggestion and by morning you won’t know he’s been here. You go to the party. Find an adventure and forget that you have a difficult wife.”

I went but I was too bad-tempered even to consider “adventures.”

Old Nasty wasn’t the worst. I can hold my own in a row–and Star, Amazon though she is, is not big enough to handle me. If she got rough, she would at last get that spanking. Nor would I fear interference from guards; that had been settled from scratch: When we two were alone together, we were private. Any third person changed that, nor did Star have privacy alone, even in her bath. Whether her guards were male or female I don’t know, nor would she have cared. Guards were never in sight. So our spats were private and perhaps did us both good, as temporary relief.

But “the Saint” was harder to take than Old Nasty. He was His Wisdom CXLI and was so goddam noble and spiritual and holier-than-thou that I went fishing for three days. Star herself was robust and full of ginger and joy in life; this bloke didn’t drink, smoke, chew gum, nor utter an unkind word. You could almost see Star’s halo while she was under his influence.

Worse, he had renounced sex when he consecrated himself to the Universes and this had a shocking effect on Star; sweet submissiveness wasn’t her style. So I went fishing.

I’ve one good thing to say for the Saint. Star says that he was the most unsuccessful emperor in all that
long line, with genius for doing the wrong thing from pious motives, so she learned more from him than
any other; he made every mistake in the book. He was assassinated by disgusted customers after only fifteen years, which isn’t long enough to louse up anything as ponderous as a multi-universe empire.

His Wisdom CXXXVII was a Her–and Star was absent two days. When she came home she explained. “Had to, dear. I’ve always thought I was a rowdy bitch–but she shocked even me.”

“How?”

“I ain’t talkin’, Guv’nor. I gave myself intensive treatment to bury her where you’ll never meet her.”

“I’m curious.”

“I know you are and that’s why I drove a stake through her heart–rough job, she’s my direct ancestor. But I was afraid you might like her better than you do me. That unspeakable trull!”

I’m still curious.

Most of them weren’t bad Joes. But our marriage would have been smoother if I had never known they were there. It’s easier to have a wife who is a touch batty than one who is several platoons–most of them men. To be aware of their ghostly presence even when Star’s own personality was in charge did my libido no good. But I must concede that Star knew the male viewpoint better than any other woman in any history. She didn’t have to guess what would please a man; she knew more about it than I did, from “experience”–and was explosively uninhibited about sharing her unique knowledge.

I shouldn’t complain.

But I did, I blamed her for being those other people. She endured my unjust complaints better than I endured what I felt to be the injustice in my situation vis-a-vis all that mob of ghosts.

Those ghosts weren’t the worst fly in the soup.

I did not have a job. I don’t mean nine-to-five and cut the grass on Saturdays and get drunk at the country club that night; I mean I didn’t have any purpose. Ever look at a male lion in a zoo? Fresh meat on time, females supplied, no hunters to worry about–He’s got it made, hasn’t he?

Then why does he look bored!

I didn’t know I had a problem, at first. I had a beautiful and loving wife; I was so wealthy that there was no way to count it; I lived in a most luxurious home in a city more lovely than any on Earth; everybody I met was nice to me; and best second only to my wonderful wife, I had endless chance to “go to college” in a marvelous and un-Earthly sense, with no need to chase a pigskin. Nor a sheepskin. I need never stop and had any conceivable help. I mean, suppose Albert Einstein drops everything to help with your algebra, pal, or Rand Corporation and General Electric team up to devise visual aids to make something easier for you. This is luxury greater than riches.

I soon found that I could not drink the ocean even held to my lips. Knowledge on Earth alone has grown so out of hand that no man can grasp it–so guess what the bulk is in Twenty Universes, each with its laws, its histories, and Star alone knows how many civilizations.

In a candy factory, employees are urged to eat all they want. They soon stop.

I never stopped entirely; knowledge has more variety. But my studies lacked purpose. The Secret Name of God is no more to be found in twenty universes than in one–and all other subjects are the same size unless you have a natural bent.

I had no bent, I was a dilettante–and I realized it when I saw that my tutors were bored with me. So I let most of them go, stuck with math and multi-universe history, quit trying to know it all.

I thought about going into business. But to enjoy business you must be a businessman at heart (I’m

not), or you have to need dough. I had dough; all I could do was lose it–or, if I won, I would never know whether word had gone out (from any government anywhere): Don’t buck the Empress’s consort, we will make good your losses.

Same with poker. I introduced the game and it caught on fast–and I found that I could no longer play it. Poker must be serious or it’s nothing–out when you own an ocean of money, adding or losing a few drops mean nothing.

I should explain–Her Wisdom’s “civil list” may not have been as large as the expenditures of many big spenders in Center; the place is rich. But it was as big as Star wanted it to be, a bottomless well of wealth. I don’t know how many worlds split the tab, but call it twenty thousand with three billion people each–it was more than that.

A penny each from 60,000,000,000,000 people is six hundred billion dollars. The figures mean nothing except to show that spreading it so thin that nobody could feel it still meant more money than I could dent. Star’s non-government of her un-Empire was an expense, I suppose–but her personal expenses, and mine, no matter how lavish, were irrelevant.

King Midas lost interest in his piggy bank. So did I.

Oh, I spent money. (I never touched any–unnecessary.) Our “flat” (I won’t call it a palace)–our home had a gymnasium more imaginative than any university gym; I had a salle d’armes added and did a lot of fencing, almost every day with all sorts of weapons. I ordered foils made to match the Lady Vivamus and the best swordmasters in several worlds took turns helping me. I had a range added, too, and had my bow picked up from that Gate cave in Karth-Hokesh, and trained in archery and in other aimed weapons. Oh, I spent money as I pleased.

But it wasn’t much fun.

I was sitting in my study one day, doing not a damn thing but brood, while I played with a bowlful of jewels.

I had fiddled with jewelry design a while. It had interested me in high school; I had worked for a jeweler one summer. I can sketch and was fascinated by lovely stones. He lent me books, I got others from the library–and once he made up one of my designs.

I had a Calling.

But jewelers are not draft-deferred so I dropped it–until Center.

You see, there was no way for me to give Star a present unless I made it. So I did. I made costume jewelry of real stones, studying it (expert help, as usual), sending for a lavish selection of stones, drawing designs, sending stones and drawings out to be made up.

I knew that Star enjoyed jeweled costumes; I knew she liked them naughty–not in the sense of crowding the taboos, there weren’t any–but provocative, gilding the lily, accentuating what hardly needs it.

The things I designed would have seemed at home in a French revue–but of real gems. Sapphires and gold suited Star’s blond beauty and I used them. But she could wear any color and I used other gems, too.

Star was delighted with my first try and wore it that evening. I was proud of it; I had swiped the design from memory of a costume worn by a showgirl in a Frankfurt night club my first night out of the Army–a G-string deal, transparent long skirt open from the hip on one side and with sequins on it (I used sapphires), a thing that wasn’t a bra but an emphasizer, completely jeweled, and a doohickey in her hair to match. High golden sandals with sapphire heels.

Star was warmly grateful for others that followed.

But I learned something. I’m not a jewelry designer. I saw no hope of matching the professionals who catered to the wealthy in Center. I soon realized that Star wore my designs because they were my gift, just as mama pins up the kindergarten drawings that sonny brings home. So I quit.

This bowl of gems had been kicking around my study for weeks–fire opals, sardonyx, carnelians, diamonds and turquoise and rubies, moonstones and sapphires and garnets, peridot, emeralds, chrysolite–many with no English names. I ran them through my fingers, watching the many-colored fire falls, and felt sorry for myself. I wondered how much these pretty marbles would cost on Earth? I couldn’t guess within a million dollars.

I didn’t bother to lock them up at night. And I was the bloke who had quit college for lack of tuition and hamburgers.

I pushed them aside and went to my window–there because I had told Star that I didn’t like not having a window in my study. That was on arrival and I didn’t find out for months how much had been torn down to please me; I had thought they had just cut through a wall.

It was a beautiful view, more a park than a city, studded but not cluttered with lovely buildings. It was hard to realize that it was a city bigger than Tokyo; its “bones” didn’t show and its people worked even half a planet away.

There was a murmur soft as bees, like the muted roar one can never escape in New York–but softer, just enough to make me realize that I was surrounded by people, each with his job, his purpose, his function.

My function? Consort.

Gigolo!

Star, without realizing it, had introduced prostitution into a world that had never known it. An innocent world, where man and woman bedded together only for the reason that they both wanted to.

A prince consort is not a prostitute. He has his work and it is often tedious, representing his sovereign mate, laying cornerstones, making speeches. Besides that, he has his duty as royal stud to ensure that the line does not die.

I had none of these. Not even the duty of entertaining Star–hell, within ten miles of me were millions of men who would jump at the chance.

The night before had been bad. It started badly and went on into one of those weary pillow conferences which married couples sometimes have, and aren’t as healthy as a bang-up row. We had had one, as domestic as any working stiff worried over bills and the boss.

Star had done something she had never done before: brought work home. Five men, concerned with some intergalactic hassle–I never knew what as the discussion had been going on for hours and they sometimes spoke a language not known to me.

They ignored me, I was furniture. On Center introductions are rare; if you want to talk to someone, you say “Self,” and wait. If he doesn’t answer, walk off. If he does, exchange identities. None of them did, and I was damned if I would start it. As strangers in my home it was up to them. But they didn’t act as if it was my home.

I sat there, the Invisible Man, getting madder and madder.

They went on arguing, while Star listened. Presently she summoned maids and they started undressing her, brushing her hair. Center is not America, I had no reason to feel shocked. What she was doing was being rude to them, treating them as furniture (she hadn’t missed how they treated me).

One said pettishly, “Your Wisdom, I do wish you would listen as you agreed to.” (I’ve expanded the argot.)

Star said coldly, “I am judge of my conduct. No one else is capable.”

True. She could judge her conduct, they could not. Nor, I realized bitterly, could I. I had been feeling angry at her (even though I knew it didn’t matter) for calling in her maids and starting to ready for bed with these lunks present–and I had intended to tell her not to let it happen again. I resolved not to raise that issue.

Shortly Star chopped them off. “He’s right. You’re wrong. Settle it that way. Get out.”

But I did intend to sneak it in by objecting to her bringing “tradespeople” home.

Star beat me to the punch. The instant we were alone she said, “My love, forgive me. I agreed to hear this silly mix-up and it dragged on and on, then I thought I could finish it quickly if I got them out of chairs, made them stand up here, and made clear that I was bored. I never thought they would wrangle another hour before I could squeeze out the real issue. And I knew that, if I put it over till tomorrow, they would stretch it into hours. But the problem was important, I couldn’t drop it.” She sighed. “That ridiculous man–Yet such people scramble to high places. I considered having him fool-killed. Instead I must let him correct his error, or the situation will break out anew.”

I couldn’t even hint that she had ruled the way she had out of annoyance; the man she had chewed out was the one in whose favor she had ruled. So I said, “Let’s go to bed, you’re tired”–and then didn’t have sense enough to refrain from judging her myself.

Chapter 19

We went to bed.

Presently she said, “Oscar, you are displeased.”

“I didn’t say so.”

“I feel it. Nor is it Just tonight and those tedious clowns. You have been withdrawing yourself, unhappy.” She waited.

“It’s nothing.”

“Oscar, anything which troubles you can never be ‘nothing’ to me. Although I may not realize it until I know what it is.”

“Well–I feel so damn useless!”

She put her soft, strong hand on my chest. “To me you are not useless. Why do you feel useless to yourself?”

“Well–look at this bed!” It was a bed the like of which Americans never dream; it could do everything but kiss you good night–and, like the city, it was beautiful, its bones did not show. “This sack, at home, would cost more–if they could build it–than the best house my mother ever lived in.”

She thought about that. “Would you like to send money to your mother?” She beckoned the bedside communicator. “Is Elmendorf Air Force Base of America address enough?”

(I don’t recall ever telling her where Mother lived.) “No, no!” I gestured at the talker, shutting it off. “I do not want to send her money. Her husband supports her. He won’t take money from me. That’s not the point.”

“Then I don’t see the point as yet. Beds do not matter, it is who is in a bed that counts. My darling, if you don’t like this bed, we can get another. Or sleep on the floor. Beds do not matter.”

“This bed is okay. The only thing wrong is that I didn’t pay for it. You did. This house. My clothes. The food I eat. My–my toys! Every damned thing I have you gave me. Know what I am. Star? A gigolo! Do you Know what a gigolo is? A somewhat-male prostitute.”

One of my wife’s most exasperating habits was, sometimes, to refuse to snap back at me when she knew I was spoiling for a row. She looked at me thoughtfully. “America is a busy place, isn’t it? People work all the time, especially men.”

“Well . . . yes.”

“It isn’t the custom everywhere, even on Earth. A Frenchman isn’t unhappy if he has free time; he orders another cafe au lait and lets the saucers pile up. Nor am I fond of work. Oscar, I ruined our evening from laziness, too anxious to avoid having to redo a weary task tomorrow. I will not make that mistake twice.”

“Star, that doesn’t matter. That’s over with.”

“I know. The first issue is rarely the key. Nor the second. Nor, sometimes, the twenty-second. Oscar, you are not a gigolo.”

“What do you call it? When it looks like a duck and quacks like a duck and acts like a duck, I call it a duck. Call it a bunch of roses. It still quacks.”

“No. All this around us–” She waved. “Bed. This beautiful chamber. The food we eat. My clothes and yours. Our lovely pools. The night majordomo on watch against the chance that you or I might demand a
singing bird or a ripe melon. Our captive gardens. All we see or touch or use or fancy–and a thousand times as much in distant places, all these you earned with your own strong hands; they are yours, by right.”

I snorted. “They are,” she insisted. “That was our contract. I promised you great adventure, and greater treasure, and even greater danger. You agreed. You said, ‘Princess, you’ve hired yourself a boy.’ ” She smiled. “Such a big boy. Darling, I think the dangers were greater than you guessed . . . so it has pleased me, until now, that the treasure is greater than you were likely to have guessed. Please don’t be shy about accepting it. You have earned it and more–as much as you are ever willing to accept”

“Uh–Even if you are right, it’s too much. I’m drowning in marshmallows!”

“But, Oscar, you don’t have to take one bit you don’t want. We can live simply. In one room with bed folded into wall if it pleases you.”

“That’s no solution.”

“Perhaps you would like bachelor digs, out in town?”

” ‘Tossing my shoes,’ eh?”

She said levelly, “My husband, if your shoes are ever tossed, you must toss them. I jumped over your

sword. I shall not jump back.” “Take it easy!” I said. “It was your suggestion. If I took it wrong, I’m sorry. I know you don t go back on your word. But you might be regretting it.”

“I am not regretting it. Are you?”

“No, Star, no! But–”

“That’s a long pause for so short a word,” she said gravely. “Will you tell me?”

“Uh . . . that’s just it. Why didn’t you tell me?”

“Tell what, Oscar? There are so many things to tell.”

“Well, a lot of things. What I was getting into. About you being the Empress of the whole works, in particular . . . before you let me jump over the sword with you.”

Her face did not change but tears rolled down her cheeks. “I could answer that you did not ask me–”

“I didn’t know what to ask!”

“That is true. I could assert, truthfully, that had you asked I would have answered. I could protest that

I did not ‘let you’ jump over the sword, that you overruled my protests that it was not necessary to offer

me the honor of marriage by the laws of your people . . . that I was a wench you could tumble at will. I could point out that I am not an empress, not royal, but a working woman whose job does not permit her even the luxury of being noble. All these are true. But I will not hide behind them; I will meet your question.” She slipped into Nevian. “Milord Hero, I feared sorely that if I did not bend to your will, you would leave me!”

“Milady wife, truly did you think that your champion would desert you in your peril?” I went on in English, “Well, that nails it to the barn. You married me because the Egg damned well had to be recovered and Your Wisdom told you that I was necessary to the job–and might bug out if you didn’t. Well, Your Wisdom wasn’t sharp on that point; I don’t bug out. Stupid of me but I’m stubborn.” I started to get out of bed.

“Milord love!” She was dying openly.

“Excuse me. Got to find a pair of shoes. See how far I can throw them.” I was being nasty as only a man can be who has had his pride wounded.

“Please, Oscar, please! Hear me first.”

I heaved a sigh. “Talk ahead.”

She grabbed my hand so hard I would have lost fingers had I tried to pull loose. “Hear me out. My beloved, it was not that at all. I knew that you would not give up our quest until it was finished or we were dead. I knew! Not only had I reports reaching back years before I ever saw you but also we had shared joy and danger and hardship; I knew your mettle. But, had it been needed, I could have bound you with a net of words, persuaded you to agree to betrothal only–until the quest was over. You are a romantic, you would have agreed. But, darling, darling! I wanted to many you . . . bind you to me by your rules, so that”–she stopped to sniff back tears–“so that, when you saw all this, and this, and this, and the things you call ‘your toys,’ you still would stay with me. It was not politics, it was low–love romantic and unreasoned, love for your own sweet self.”

She dropped her face into her hands and I could barely hear her. “But I know so little of love. Love is a butterfly that lights when it listeth, leaves as it chooses; it is never bound with chains. I sinned. I tried to bind you. Unjust I knew it was, cruel to you I now see it to be.” Star looked up with crooked smile. “Even Her Wisdom has no wisdom when it comes to being a woman. But, though silly wench I be, I am not too stubborn to know that I have wronged my beloved when my face is rubbed in it. Go, go, get your sword; I will jump back over it and my champion will be free of his silken cage. Go, milord Hero, while my heart is firm.”

“Go fetch your own sword, wench. That paddling is long overdue.”

Suddenly she grinned, all hoyden. “But, darling, my sword is in Karth-Hokesh. Don’t you remember?”

“You can’t avoid it this time!” I grabbed her. Star is a handful and slippery, with amazing muscles. But I’m bigger and she didn’t fight as hard as she could have. Still I lost skin and picked up bruises before I got her legs pinned and one arm twisted behind her. I gave her a couple of hearty spanks, hard enough to print each finger in pink, then lost interest.

Now tell me, were those words straight from her heart–or was it acting by the smartest woman in twenty universes?

Later, Star said, “I’m glad your chest is not a scratchy rug, like some men, my beautiful.”

“I was a pretty baby, too. How many chests have you checked?”

“A random sample. Darling, have you decided to keep me?”

“A while. On good behavior, you understand.”

“I’d rather be kept on bad behavior. But–while you’re feeling mellow–if you are–I had best tell you

another thing–and take my spanking if I must.”

“You’re too anxious. One a day is maximum, hear me?”

“As you will, sir. Yassuh, Boss man. I’ll have my sword fetched in the morning and you can spank me

with it at your leisure. If you think you can catch me. But I must tell this and get it off my chest.”

“There’s nothing on your chest. Unless you count–”

“Please! You’ve been going to our therapists.”

“Once a week.” The first thing Star had ordered was an examination for me so complete as to make an Army physical seem perfunctory. “The Head Sawbones insists that my wounds aren’t healed but I don’t believe him; I’ve never felt better.”

“He, is stalling, Oscar–by my order. You’re healed, I am not unskilled, I was most careful. But–darling, I did this for selfish reasons and now you must tell me if I have been cruel and unjust to you again. I admit I was sneaky. But my intentions were good. However, I know, as the prime lesson of my profession, that good intentions are the source of more folly than all other causes put together.”

“Star, what are you prattling about? Women are the source of all folly.”

“Yes, dearest. Because they always have good intentions–and can prove it. Men sometimes act from rational self-interest, which is safer. But not often.”

“That’s because half their ancestors are female. Why have I been keeping doctor’s appointments if I don t need them?”

“I didn’t say you don’t need them. But you may not think so. Oscar, you are far advanced with

Long-Life treatments.” She eyed me as if ready to parry or retreat.

“Well, I’ll be damned!”

“You object? At this stage it can be reversed.”

“I hadn’t thought about it.” I knew that Long-Life was available on Center but knew also that it was rigidly restricted. Anybody could have it–just before emigrating to a sparsely settled planet. Permanent residents must grow old and die. This was one matter in which one of Star’s predecessors had interfered in local government. Center, with disease practically conquered, great prosperity, and lodestone of a myriad peoples, had grown too crowded, especially when Long-Life sent skyward the average age of death.

This stern rule had thinned the crowds. Some people took Long-Life early, went through a Gate and took their chances in wilderness. More waited until that first twinge that brings awareness of death, then decided that they weren’t too old for a change. And some sat tight and died when their time came.

I knew that twinge; it had been handed to me by a bolo in a jungle. “I guess I have no objection.”

She sighed with relief. “I didn’t know and should not have slipped it into your coffee. Do I rate a spanking?”

“We’ll add it to the list you already rate and give them to you all at once. Probably cripple you. Star, how long is ‘Long-Life’?”

“That’s hard to answer. Very few who have had it have died in bed. If you live as active a life as I know you will–from your temperament–you are most unlikely to die of old age. Nor of disease.”

“And I never grow old?” It takes getting used to.

“Oh, yes, you can grow old. Worse yet, senility stretches in proportion. If you let it. If those around you allow it. However–Darling, how old do I look? Don’t tell me with your heart, tell me with your eyes. By Earth standards. Be truthful, I know the answer.”

It was ever a joy to look at Star but I tried to look at her freshly, for hints of autumn–outer corners of eyes, her hands, for tiny changes in skin–hell, not even a stretch mark, yet I knew she had a grandchild.

“Star, when I first saw you, I guessed eighteen. You turned around and I upped the ante a little. Now, looking closely and not giving you any breaks–not over twenty-five. And that is because your features seem mature. When you laugh, you’re a teen-ager; when you wheedle, or look awestruck, or suddenly delighted with a puppy or kitten or something, you’re about twelve. From the chin up, I mean; from the chin down you can’t pass for less than eighteen.”

“A buxom eighteen,” she added. “Twenty-five Earth years–by rates of growth on Earth–is right on the mark I was shooting at. The age when a woman stops growing and starts aging. Oscar, your apparent age under Long-Life is a matter of choice. Take my Uncle Joseph–the one who sometimes calls himself ‘Count Cagliostro.’ He set himself at thirty-five, because he says that anything younger is a boy. Rufo prefers to look older. He says it gets him respectful treatment, keeps him out of brawls with lounger men–and still lets him give a younger man a shock if one does pick a fight because, as you know, Rufo’s older age is mostly from chin up.”

“Or the shock he can give younger women,” I suggested.

“With Rufo one never knows. Dearest, I didn’t finish telling you. Part of it is teaching the body to repair itself. Your language lessons here–there hasn’t been a one but what a hypno-therapist was waiting to give your body a lesson through your sleeping mind, after your language lesson. Part of apparent age is cosmetic therapy–Rufo need not be bald–but more is controlled by the mind. When you decide what age you like, they can start imprinting it.”

“I’ll think about it. I don’t want to look too much older than you.”

Star looked delighted. “Thank you, dear! You see how selfish I’ve been.”

“How? I missed that point.”

She put a hand over mine. “I didn’t want you to grow old–and die! –while I stayed young.” I blinked at her. “Gosh, lady, that was selfish of you, wasn’t it? But you could varnish me and keep me in the bedroom. Like your aunt.”

She made a face. “You’re a nasty man. She didn’t varnish them.”

“Star, I haven’t seen any of those keepsake corpses around here.”

She looked surprised. “But that’s on the planet where I was born. This universe, another star. Very pretty place. Didn’t I ever say?”

“Star, my darling, mostly you’ve never said.”

“I’m sorry. Oscar, I don’t want to hand you surprises. Ask me. Tonight. Anything.”

I considered it. One thing I had wondered about, a certain lack. Or perhaps the women of her part of

the race had another rhythm. But I had been stopped by the fact that I had married a grandmother–how old? “Star, are you pregnant?”

“Why, no, dear. Oh! Do you want me to be? You want us to have children?”

I stumbled, trying to explain that I hadn’t been sure it was possible–or maybe she was. Star looked troubled. “I’m going to upset you again. I had best tell it all. Oscar, I was no more brought up to luxury than you were. A pleasant childhood, my people were ranchers. I married young and was a simple mathematics teacher, with a hobby research in conjectural and optional geometries. Magic, I mean. Three children. My husband and I got along well . . . until I was nominated. Not selected, just named for examination and possible training. He knew I was a genetic candidate when he married me–but so many millions are. It didn’t seem important.

“He wanted me to refuse. I almost did. But when I accepted, he–well, he ‘tossed my shoes.’ We do it formally there; he published a notice that I was no longer his wife.”

“He did, eh? Mind if I look him up and break his arms?”

“Dear, dear! That was many years ago and far away; he is long dead. It doesn’t matter.”

“In any case he’s dead. Your three kids–one of them is Rufo’s father? Or mother?”

“Oh, no! That was later.”

“Well?”

Star took a deep breath. “Oscar, I have about fifty children.”

That did it. Too many shocks and I guess I showed it, for Star’s face reflected deep concern. She
rushed through the explanation.

When she was named heir, changes were made in her, surgical, biochemical, and endocrinal. Nothing
as drastic as spaying and to different ends and by techniques more subtle than ours. But the result was

that about two hundred tiny bits of Star–ova alive and latent–were stored near absolute zero.

Some fifty had been quickened, mostly by emperors long dead but “alive” in their stored seed–genetic gambles on getting one or more future emperors. Star had not borne them; an heir’s time is too precious. She had never seen most of them; Rufo’s father was an exception. She didn’t say, but I think Star liked to have a child around to play with and love–until the strenuous first years of her reign and the Quest for the Egg left her no time.

This change had a double purpose: to get some hundreds of star-line children from a single mother, and to leave the mother free. By endocrine control of some sort, Star was left free of Eve’s rhythm but in all ways young–not pills nor hormone injections; this was permanent. She was simply a healthy woman who never had “bad days.” This was not for her convenience but to insure that her judgment as the Great Judge would never be whipsawed by her glands. “This is sensible,” she said seriously. “I can remember there used to be days when I would bite the head off my dearest friend for no reason, then burst into tears. One can’t be judicial in that sort of storm.”

“Uh, did it affect your interest? I mean your desire for–”

She gave me a hearty grin. “What do you think?” She added seriously, “The only thing that affects my libido–changes it for the worse, I mean–are . . . is? –English has the oddest structure–is-are those pesky imprintings. Sometimes up, sometimes down–and you’ll remember one woman whose name we won’t mention who affected me so carnivorously that I didn’t dare come near you until I had exorcised her black soul! A fresh imprint affects my judgment as well, so I never hear a case until I have digested the latest one. I’ll be glad when they’re over!”

“So will I.”

“Not as glad as I will be. But, aside from that, darling, I don t vary much as a female and you know it. Just my usual bawdy self who eats young boys for breakfast and seduces them into jumping over swords.” “How many swords?”

She looked at me sharply. “Since my first husband kicked me out I have not been married until I married you, Mr. Gordon. If that is not what you meant, I don’t think you should hold against me things that happened before you were born. If you want details since then, I’ll satisfy your curiosity. Your morbid curiosity, if I may say so.”

“You want to boast. Wench, I won’t pamper it.”

“I do not want to boast! I’ve little to boast about. The Crisis of the Egg left me almost no time in which to be a woman, damn it! Until Oscar the Rooster came along. Thank you, sir.”

“And keep a civil tongue in your head.”

“Yes, sir. Nice Rooster! But you’ve led us far from our muttons, dear. If you want children–yes, darling! There are about two hundred and thirty eggs left and they belong to me. Not to posterity. Not to the dear people, bless their greedy little hearts. Not to those God-playing genetic manipulators. Me! It’s all I own. All else is ex offico. But these are mine . . . and if you want them, they are yours, my only dear.”

I should have said, “Yes!” and kissed her. What I did say was, “Uh, let’s not rush it.”

Her face fell. “As milord Hero husband pleases.”

“Look, don’t get Nevian and formal. I mean, well, it takes getting used to. Syringes and things, I suppose, and monkeying by technicians. And, while I realize you don’t have time to have a baby yourself–”

I was trying to say that, ever since I got straightened out about the Stork, I had taken for granted the usual setup, and artificial insemination was a dirty trick to play even on a cow–and that this job, subcontracted on both sides, made me think of slots in a Horn & Hardart, or a mail-order suit. But give me time and I would adjust. Just as she had adjusted to those damned imprints-

She gripped my hands. “Darling, you needn’t!”

“Needn’t what?”

“Be monkeyed with by technicians. And I will take time to have your baby. If you don’t mind seeing my body get gross and huge–it does, it does, I remember–then happily I will do it. All will be as with other people so far as you are concerned. No syringes. No technicians. Nothing to offend your pride. Oh, I’ll have to be worked on. But I’m used to being handled like a prize cow; it means no more than having my hair shampooed.”

“Star, you would go through nine months of inconvenience–and maybe die in childbirth–to save me a few moments’ annoyance?”

“I shall not die, Three children, remember? Normal deliveries, no trouble.”

“But, as you pointed out, that was ‘many years ago.’ ”

“No matter.”

“Uh, how many years?” (“How old are you, woman?” The question I never dared ask.)

She looked upset. “Does it matter, Oscar?”

“Uh, I suppose not. You know more about medicine than I do–”

She said slowly, “You were asking how old I am, were you not?”

I didn’t say anything. She waited, then went on, “An old saw from your world says that a woman is as young as she feels. And I feel young and I am young and I have zest for life and I can bear a baby–or many babies–m my own belly. But I know–oh, I know! –that your worry is not just that I am too rich and occupy a position not easy for a husband. Yes, I know that part too well; my first husband rejected me for that. But be was my age. The most cruel and unjust thing I have done is that I knew that my age could matter to you–and I kept still. That was why Rufo was so outraged. After you were asleep that night in the cave of the Forest of Dragons he told me so, in biting words. He said he knew I was not above enticing young boys but he never thought that I would sink so low as to trap one into marriage without first telling him. He’s never had a high opinion of his old granny, he said, but this time–”

“Shut up, Star!”

“Yes, milord.”

“It doesn’t make a damn bit of difference!”–and I said it so flatly that I believed it–and do now. “Rufo doesn’t know what I think. You are younger than tomorrow’s dawn–you always will be. That’s the last I want to hear about it!”

“Yes, milord.”

“And knock that off, too. Just say, ‘Okay, Oscar.’ ”

“Yes, Oscar! Okay!”

“Better. Unless you’re honing for another spanking. And I’m too tired.” I changed the subject. “About this other matter–There’s no reason to stretch your pretty tummy if other ways are at hand. I’m a country jake, that’s all; I’m not used to big city ways. When you suggested that you do it yourself, did you mean that they could put you back together the way you were?”

“No. I would simply be host-mother as well as genetic mother.” She smiled and I knew I was making progress. “But saving a tidy sum of that money you don’t want to spend. Those healthy, sturdy women who have other people’s babies charge high. Four babies, they can retire–ten makes them wealthy.”

“I should think they would charge high! Star, I don’t object to spending money. I’ll concede, if you say so, that I’ve earned more than I spend, by my work as a professional hero. That’s a tough racket, too.”

“You’ve earned it.”

“This citified way of having babies–Can you pick it? Boy, or girl?”

“Of course. Male-giving wigglers swim faster, they can be sorted out. That’s why Wisdoms are usually men–I was an unplanned candidate. You shall have a son, Oscar.”

“Might prefer a girl. I’ve a weakness for little girls.”

“A boy, a girl–or both. Or as many as you want.”

“Star, let me study it. Lots of angles–and I don’t think as well as you do.”

“Pooh!”

“If you don’t think better than I do, the cash customers are getting rooked. Mmm, male seed can be stored as easily as eggs?”

“Much easier.”

“That’s all the answer we need now. I’m not too jumpy about syringes; I’ve stood in enough Army queues. I’ll go to the clinic or whatever it is, then we can settle it slowly. When we decide”–I shrugged–“mail the postcard and when it goes clunk! –we’re parents. Or some such. From there on the technicians and those husky gals can handle it.”

“Yes, milo–Okay, darling!”

All better. Almost her little girl face. Certainly her sixteen-year-old face, with new party dress and boys a shivery, delightful danger. “Star, you said earlier that it was often not the second issue out even the twenty-second that matters.”

“Yes.”

“I know what’s wrong with me. I can tell you–and maybe Her Wisdom knows the answer.”

She blinked. “If you can tell me, sweetheart–Her Wisdom will solve it, even if I have to tear the place down and put it back up differently–from here to the next galaxy–or I’ll go out of the Wisdom business!”

“That sounds more like my Lucky Star. All right, it’s not that I’m a gigolo. I’ve earned my coffee and cakes, at least; the Soul-Eater did damn near eat my soul, he knew its exact shape–he . . . it–it knew things I had long forgotten. It was rough and the pay ought to be high. It’s not your age, dearest. Who cares how old Helen of Troy is? You’re the right age forever–can a man be luckier? I’m not jealous of your position; I wouldn’t want it with chocolate icing. I’m not jealous of the men in your life–the lucky stiffs! Not even now, as long as I don’t stumble over them getting to the bathroom.”

“There are no other men in my life now, milord husband.”

“I had no reason to think so. But there is always next week, and even you can’t have a Sight about

that, my beloved. You’ve taught me that marriage is not a form of death–and you obviously aren’t dead, you lively wench.”

“Perhaps not a Sight,” she admitted. “But a feeling.”

“I won’t bet on it. I’ve read the Kinsey Report.”

“What report?”

“He disproved the Mermaid theory. About married women. Forget it. Hypothetical question: If Jocko visited Center, would you still have the same feeling? We should have to invite him to sleep here.”

“The Doral will never leave Nevia.”

“Don’t blame him, Nevia is wonderful. I said If–If he does, will you offer him ‘roof, table, and bed’?”

“That,” she said firmly, “is your decision, milord.”

“Rephrase it: Will you expect me to humiliate Jocko by not returning his hospitality? Gallant old Jocko, who let us live when he was entitled to kill us? Whose bounty–arrows and many things, including a new medic’s kit–kept us alive and let us win back the Egg?”

“By Nevian customs of roof and table and bed,” she insisted, “the husband decides, milord husband.”

“We aren’t in Nevia and here a wife has a mind of her own. You’re dodging, wench.”

She grinned naughtily. “Does that ‘if’ of yours include Muri? And Letva? They’re his favorites, he

wouldn’t travel without them. And how about little what’s-her-name? –the nymphet?”

“I am aware of it, my Hero,” she said levelly. “All I can say is that I intend that this wench shall never give her Hero a moment’s unease–and my intentions are usually carried out. I am not ‘Her Wisdom’ for nothing.”

“Fair enough. I never thought you would cause me that sort of unease. I was trying to show that the task may not be too difficult. Damn it, we’ve wandered off. Here’s my real problem. I’m not good for anything. I’m worthless.”

“Why, my dearest! You’re good for me.”

“But not for myself. Star, gigolo or not, I can’t be a pet poodle. Not even yours. Look, you’ve got a job. It keeps you busy and it’s important. But me? There is nothing for me to do, nothing at all! –nothing better than designing bad jewelry. You know what I am? A hero by trade, so you told me; you recruited me. Now I’m retired. Do you know anything in all twenty universes more useless than a retired hero?”

She mentioned a couple. I said, “You’re stalling. Anyhow they break up the blankness of the male chest. I’m serious, Star. This is the issue that has made me unfit to live with. Darling, I’m asking you to put your whole mind on it–and all those ghostly helpers. Treat it the way you treat an Imperial problem. Forget I’m your husband. Consider my total situation, all you know about me–and tell me what I can do with hands and head and time that is worth doing. Me, being what I am.”

She held still for long minutes, her face in that professional calm she had worn the times I had audited her work. “You are right,” she said at last. “There is nothing worth your powers on this planet.”

“Then what do I do?”

She said tonelessly, “You must leave.”

“Huh?”

“You think I like the answer, my husband? Do you think I like most answers I must give? But you asked me to consider it professionally. I obeyed. That is the answer. You must leave this planet–and me.”

“So my shoes get tossed anyhow?”

“Be not bitter, milord. That is the answer. I can evade and be womanish only in my private life; I cannot refuse to think if I agree to do so as ‘Her Wisdom.’ You must leave me. But, no, no, no, your shoes are not tossed! You will leave, because you must. Not because I wish it.” Her face stayed calm but tears streamed again. “One cannot ride a cat . . . nor hurry a snail . . . nor teach a snake to fly. Nor make a poodle of a Hero. I knew it, I refused to look at it. You will do what you must do. But your shoes will remain ever by my bed, I am not sending you away!” She blinked back tears. “I cannot lie to you, even by silence. I will not say that no other shoes will rest here . . . if you are gone a long time. I have been lonely. There are no words to say how lonely this job is. When you go . . . I shall be lonelier than ever. But you will find your shoes here when you return.”

“When I return? You have a Sight?”

“No, milord Hero. I have only a feeling . . . that if you live . . . you will return. Perhaps many times. But Heroes do not die in bed. Not even this one.” She blinked and tears stopped and her voice was steady. “Now, milord husband, if it please you, shall we dim the lights and rest?”

We did and she put her head on my shoulder and did not cry. But we did not sleep. After an aching time I said, “Star, do you hear what I hear?”

She raised her head. “I hear nothing.”

“The City. Can’t you hear it? People. Machines. Even thoughts so thick your bones feel it and your ear almost catches it.”

“Yes. I know that sound.”

“Star, do you like it here?”

“No. It was never necessary that I like it.”

“Look, damn it! You said that I would leave. Come with me!”

“Oh, Oscar!”

“What do you owe them? Isn’t recovering the Egg enough? Let them take a new victim. Come walk the Glory Road with me again! There must be work in my line somewhere.”

“There is always work for Heroes.”

“Okay, we set up in business, you and I. Heroing isn’t a bad job. The meals are irregular and the pay uncertain–out it’s never dull. We’ll run ads: ‘Gordon & Gordon, Heroing Done Reasonable. No job too large, no job too small. Dragons exterminated by contract, satisfaction guaranteed or no pay. Free estimates on other work. Questing, maiden-rescuing, golden fleece located night or day?’ ”

I was trying to jolly her but Star doesn’t jolly. She answered in sober earnest. “Oscar, if I am to retire, I should train my heir first. True, no one can order me to do anything–but I have a duty to train my replacement.”

“How long will that take?”

“Not long. Thirty years, about.”

“Thirty years!”

“I could force it to twenty-five, I think.”

I sighed. “Star, do you know how old I am?”

“Yes. Not yet twenty-five. But you will get no older!”

“But right now I’m still that age. That’s all the time there has ever been for me. Twenty-five years as a pet poodle and I won’t be a hero, nor anything. I’ll be out of my silly mind.”

She thought about it. “Yes. That is true.”

She turned over, we made a spoon and pretended to sleep.

Later I felt her shoulders shaking and knew that she was sobbing. “Star?”

She didn’t turn her head. All I heard was a choking voice, “Oh, my dear, my very dear! If I were even a hundred years younger!”

Chapter 20

I let the precious, useless gems dribble through my fingers, listlessly pushed them aside. If I were only a hundred years older-

But Star was right. She could not leave her post without relief. Her notion of proper relief, not mine nor anyone else’s. And I couldn’t stay in this upholstered jail much longer without beating my head on the bars.

Yet both of us wanted to stay together.

The real nasty hell of it was that I knew–just as she knew–that each of us would forget. Some, anyhow. Enough so that there would be other shoes, other men, and she would laugh again.

And so would I–She had seen that and had gravely, gently, with subtle consideration for another’s feelings, told me indirectly that I need not feel guilty when next I courted some other girl, in some other land, somewhere.

Then why did I feel like a heel?

How did I get trapped with no way to turn without being forced to choose between hurting my beloved and going clean off my rocker?

I read somewhere about a man who lived on a high mountain, because of asthma, the choking, killing land, while his wife lived on the coast below him, because of heart trouble that could not stand altitude. Sometimes they looked at each other through telescopes.

In the morning there had been no talk of Stars retiring. The unstated quid-pro-quo was that, if she planned to retire, I would hang around (thirty years!) until she did. Her Wisdom had concluded that I could not, and did not speak of it. We had a luxurious breakfast and were cheerful, each with his secret thoughts.

Nor were children mentioned. Oh, I would find that clinic, do what was needed. If she wanted to mix
her star line with my common blood, she could, tomorrow or a hundred years hence. Or smile tenderly
and have it cleaned out with the rest of the trash. None of my people had even been mayor of Podunk
and a plow horse isn’t groomed for the Irish Sweepstakes. If Star put a child together from our genes, it
would be sentiment, a living valentine–a younger poodle she could pet before she let it run free. But
sentiment only, as sticky if not as morbid as that of her aunt with the dead husbands, for the Imperium

could not use my bend sinister.

I looked up at my sword, hanging opposite me. I hadn’t touched it since the party, long past, when Star chose to dress for the Glory Road. I took it down, buckled it on and drew it–felt that surge of liveness and had a sudden vision of a long road and a castle on a hill.

What does a champion owe his lady when the quest is done?

Quit dodging, Gordon! What does a husband owe his wife? This very sword–“Jump Rogue and Princess leap. My wife art thou and mine to keep.” “–for richer, for poorer, for better, for worse . . . to love and to cherish, till death do us part.” That was what I meant by that doggerel and Star had known it and I had known it and knew it now.

When we vowed, it had seemed likely that we would be parted by death that same day. But that didn’t reduce the vow nor the deepness with which I had meant it. I hadn’t jumped the sword to catch a tumble on the grass before I died; I could have had that free. No, I had wanted “–to have and to hold, to love and to cherish, till death do us part”!

Star had kept her vow to the letter. Why did I have itchy feet?

Scratch a hero and find a bum.

And a retired hero was as silly as those out-of-work kings that clutter Europe.

I slammed out of our “flat,” wearing sword and not giving a damn about stares, apported to our therapists, found where I should go, went there, did what was necessary, told the boss biotechnician that Her Wisdom must be told, and jumped down his throat when he asked questions.

Then back to the nearest apport booth and hesitated–I needed companionship the way an Alcoholics-Anonymous needs his hand held. But I had no intimates, just hundreds of acquaintances. It isn’t easy for the Empress’s cosort to have friends.

Rufo it had to be. But in all the months I had been on Center I had never been in Rufo’s home. Center does not practice the barbarous custom of dropping in on people and I had seen Rufo only at the Residence, or on parties; Rufo had never invited me to his home. No, no coldness there; we saw him often, but always he had come to us.

I looked for him in apport listings–no luck. Then as little with see-speak lists. I called the Residence, got the communication officer. He said that “Rufo” was not a surname and tried to brush me off. I said, “Hold it, you overpaid clerk! Switch me off and you’ll be in charge of smoke signals in Timbuktu an hour from now. Now listen. This bloke is elderly, baldheaded, one of his names is ‘Rufo’ I think, and he is a distinguished comparative culturologist. And he is a grandson of Her Wisdom. I think you know who he is and have been dragging your feet from bureaucratic arrogance. You have five minutes. Then I talk to Her Wisdom and ask her, while you pack!”

(“Stop! Danger you! Other old bald Rufo (?) top compculturist. Wisdom egg-sperm-egg. Five-minutes. Liar and/or fool. Wisdom? Catastrophe!”)

In less than five minutes Rufo’s image filled the tank. “Well!” he said. “I wondered who had enough weight to crash my shutoff.”

“Rufo, may I come see you?”

His scalp wrinkled. “Mice in the pantry, son? Your face reminds me of the time my uncle–”

“Please, Rufo!”

“Yes, son,” he said gently. “I’ll send the dancing girls home. Or shall I keep them?”

“I don’t care. How do I find you?”

He told me, I punched his code, added my charge number, and I was there, a thousand miles around the horizon. Rufo’s place was a mansion as lavish as Jocko’s and thousands of years more sophisticated. I gathered an impression that Rufo had the biggest household on Center, all female. I was wrong. But all female servants, visitors, cousins, daughters, made themselves a reception committee–to look at Her Wisdom’s bedmate. Rufo shooed them away and took me to his study. A dancing girl (evidently a secretary) was fussing over papers and tapes. Rufo slapped her fanny out, gave me a comfortable chair, a drink, put cigarettes near me, sat down and said nothing.

Smoking isn’t popular on Center, what they use as tobacco is the reason. I picked up a cigarette.
“Chesterfields! Good God!”

“Have ’em smuggled,” he said. “But they don’t make anything like Sweet Caps anymore. Bridge sweepings and chpped hay.”

I hadn’t smoked in months. But Star had told me that cancer and such I could now forget. So I lit it–and coughed like a Nevian dragon. Vice requires constant practice.

” ‘What news on the Rialto?’ ” Rufo inquired. He glanced at my sword.

“Oh, nothing.” Having interrupted Rufo’s work, I now shied at baring my domestic troubles.

Rufo sat and smoked and waited. I needed to say something and the American cigarette reminded me of an incident, one that had added to my unstable condition. At a party a week earlier, I had met a man thirty-five in appearance, smooth, polite, but with that supercilious air that says: “Your fly is unzipped, old man, but I’m too urbane to mention it.”

But I had been delighted to meet him, he had spoken English!

I had thought that Star, Rufo, and myself were the only ones on Center who spoke English. We often spoke it. Star on my account, Rufo because he liked to practice. He spoke Cockney like a costermonger, Bostonese like Beacon Hill, Aussie like a kangaroo; Rufo knew all English languages.

This chap spoke good General American. “Nebbi is the name, he said, shaking hands where no one shakes hands, “and you’re Gordon, I know. Delighted to meet you.”

“Me, too,” I agreed. “It’s a surprise and a pleasure to hear my own language.”

“Professional knowledge, my dear chap. Comparative culturologist, linguisto-historo-political. You’re American, I know. Let me place it–Deep-South, not born there. Possibly New England. Overlaid with displaced Middle Western, California perhaps. Basic speech, lower-middle class, mixed.”

The smooth oaf was good. Mother and I lived in Boston while my father was away, 1942-45. I’ll never forget those winters; I wore overshoes from November to April. I had lived Deep South, Georgia and Florida, and in California at La Jolla during the Korean unWar and, later, in college. “Lower-middle class”? Mother had not thought so.

“Near enough,” I agreed. “I know one of your colleagues.”

“I know whom you mean, ‘the Mad Scientist.’ Wonderful wacky theories. But tell me: How were things when you left? Especially, how is the United States getting along with its Noble Experiment?”

” ‘Noble Experiment’?” I had to think; Prohibition was gone before I was born. “Oh, that was repealed.”

“Really? I must go back for a field trip. What have you now? A king? I could see that your country was headed that way but I did not expect it so soon.”

“Oh, no,” I said. “I was talking about Prohibition.”

“Oh, that. Symptomatic but not basic. I was speaking of the amusing notion of chatter rule. ‘Democracy.’ A curious delusion–as if adding zeros could produce a sum. But it was tried in your tribal land on a mammoth scale. Before you were born, no doubt. I thought you meant that even the corpse had been swept away.” He smiled. “Then they still have elections and all that?”

“The last time I looked, yes.”

“Oh, wonderful. Fantastic, simply fantastic. Well, we must get together, I want to quiz you. I’ve been studying your planet a long time–the most amazing pathologies in tile explored complex. So long. Don’t take any wooden nickels, as your tribesmen say.”

I told Rufo about it. “Rufe, I know I came from a barbarous planet. But does that excuse his rudeness? Or was it rudeness? I haven’t really got the hang of good manners here.”

Rufo frowned. “It is bad manners anywhere to sneer at a person’s birthplace, tribe, or customs. A man does it at his own risk. If you kill him, nothing will happen to you. It might embarrass Her Wisdom a little. If She can be embarrassed.”

“I won’t kill him, it’s not that important.”

“Then forget it. Nebbi is a snob. He knows a little, understands nothing, and thinks the universes would be better if he had designed them. Ignore him.”

“I will. It was just–look, Rufo, my country isn’t perfect. But I don t enjoy hearing it from a stranger.”

“Who does? I like your country, it has flavor. But–I’m not a stranger and this is not a sneer. Nebbi was right.”

“Huh?”

“Except that he sees only the surface. Democracy can’t work. Mathematicians, peasants, and animals, that’s all there is–so democracy, a theory based on the assumption that mathematicians and peasants are equal, can never work. Wisdom is not additive; its maximum is that of the wisest man in a given group.

“But a democratic form of government is okay, as long as it doesn’t work. Any social organization does well enough if it isn’t rigid. The framework doesn’t matter as long as there is enough looseness to permit that one man in a multitude to display his genius. Most so-called social scientists seem to think that organization is everything. It is almost nothing–except when it is a straitjacket. It is the incidence of heroes that counts, not the pattern of zeros.”

He added, “Your country has a system free enough to let its heroes work at their trade. It should last a long time–unless its looseness is destroyed from inside.”

“I hope you’re right.”

“I am right. This subject I know and I’m not stupid, as Nebbi thinks. He’s right about the futility of ‘adding zeros’–but he doesn’t realize that he is a zero.”

I grinned. “No point in letting a zero get my goat.”

“None. Especially as you are not. Wherever you go, you will make yourself felt, you won’t be one of the nerd. I respect you, and I don’t respect many. Never people as a whole, I could never be a democrat at heart. To claim to ‘respect’ and even to ‘love’ the great mass with their yaps at one end and smelly feet
at the other requires the fatuous, uncritical, saccharine, blind, sentimental slobbishness found in some nursery supervisors, most spaniel dogs, and all missionaries. It isn’t a political system, it’s a disease. But be of good cheer; your American politicians are immune to this disease . . . and your customs allow the non-zero elbow room.”

Rufo glanced at my sword again. “Old friend, you didn’t come here to bitch about Nebbi.” “No.” I looked down at that keen blade. “I fetched this to shave you, Rufo.”

“Eh?”

“I promised I would shave your corpse. I owe it to you for the slick job you did on me. So here I am,
to shave the barber.”

He said slowly, “But I’m not yet a corpse.” He did not move. But his eyes did, estimating distance between us. Rufo wasn’t counting on my being “chivalrous”; he had lived too long.

“Oh, that can be arranged,” I said cheerfully, “unless I get straight answers from you.”

He relaxed a touch. “I’ll try, Oscar.”

“More than try, please. You’re my last chance. Rufo, this must be private. Even from Star.”

“Under the Rose. My word on it.”

“With your fingers crossed, no doubt. But don’t risk it, I’m serious. And straight answers, I need them. I want advice about my marriage.”

He looked glum. “And I meant to go out today. Instead I worked. Oscar, I would rather criticize a woman’s firstborn, or even her taste in hats. Much safer to teach a shark to bite. What if I refuse?”

“Then I shave you!”

“You would, you heavy-handed headsman!” He frowned. ” ‘Straight answers–‘ You don’t want them, you want a shoulder to cry on.”

“Maybe that, too. But I do want straight answers, not the lies you can tell in your sleep.”

“So I lose either way. Telling a man the truth about his marriage is suicide. I think I’ll sit tight and see if
you have the heart to cut me down in cold blood.”

“Oh, Rufo, I’ll put my sword under your lock and key if you like. You know I would never draw against you.”

“I know no such thing,” he said querulously. “There’s always that first time. Scoundrels are predictable, but you’re a man of honor and that frightens me. Can’t we handle this over the see-speak?”

“Come off it, Rufo. I’ve nobody else to turn to. I want you to speak frankly. I know that a marriage counselor has to lay it on the line, pull no punches. For the sake of blood we’ve lost together I ask you to advise me. And frankly, of course!”

” ‘Of course,’ is it? The last time I risked it you were for cutting the tongue out of me.” He looked at me moodily. “But I was ever a fool where friendship speaks. Hear, I’ll dicker ye a fair dicker. You talk, I’ll listen . . . and if it should come about that you’re taking so long that my tired old kidneys complain and I’m forced to leave your welcome company for a moment . . . why, then you’ll misunderstand and go away in a huff and we’ll say no more about it. Eh?”

“Okay.”

“The Chair recognizes you. Proceed.”

So I talked. I talked out my dilemma and frustration, sparing neither self nor Star (it was for her sake, too, and it wasn’t necessary to speak of our most private matters; those, at least, were dandy). But I told our quarrels and many matters best kept in the family, I had to.

Rufo listened. Presently he stood up and paced, looking troubled. Once he tut-tutted over the men Star had brought home. “She shouldn’t have called her maids in. But do forget it, lad. She never remembers that men are shy, whereas females merely have customs. Allow Her this.”

Later he said, “No need to be jealous of Jocko, son. He drives a tack with a sledgehammer.”

“I’m not jealous.”

“That’s what Menelaus said. But leave room for give and take. Every marriage needs it.”

Finally I ran down, having told him Star’s prediction that I would leave. “I’m not blaming her for anything and talking about it has straightened me out. I can sweat it out now, behave myself, and be a good husband. She does make terrible sacrifices to do her job–and the least I can do is make it easier. She’s so sweet and gentle and good.”

Rufo stopped, some distance away with his back to his desk. “You think so?”

“I know so.”

“She’s an old bag!”

I was out of my chair and at him at once. I didn’t draw. Didn’t think of it, wouldn’t have anyhow. I wanted to get my hands on him and punish him for talking that way about my beloved.

He bounced over the desk like a ball and by the time I covered the length of the room, Rufo was behind it, one hand in a drawer.

“Naughty, naughty,” he said. “Oscar, I don’t want to shave you.”

“Come out and fight like a man!”

“Never, old friend. One step closer and you’re dog meat. All your fine promises, your pleadings. ‘Pull no punches’ you said. ‘Lay it on the line’ you said. ‘Speak frankly’ you said. Sit down in that chair.”

” ‘Speaking frankly’ doesn’t mean being insulting!”

“Who’s to judge? Can I submit my remains for approval before I make them? Don’t compound your broken promises with childish illogic. And would you force me to buy a new rug? I never keep one I’ve killed a friend on; the stains make me gloomy. Sit down in that chair.”

I sat down.

“Now,” said Rufo, staying where he was, “you will listen while I talk. Or perhaps you will get up and walk out. In which case I might be so pleased to see the last of your ugly face that that might be that. Or I might be so annoyed at being interrupted that you would drop dead in the doorway, for I’ve much pent
up and ready to spill over. Suit yourself.

“I said,” he went on, “that my grandmother is an old bag. I said it brutally, to discharge your tension–and now you’re not likely to take too much offense at many offensive things I still must say. She’s old, you know that, though no doubt you find it easy to forget, mostly. I forget it myself, mostly, even though She was old when I was a babe making messes on the floor and crowing at the dear sight of Her. Bag, She is, and you know it. I could have said ‘experienced woman’ but I had to rap your teeth with it; you’ve been dodging it even while you’ve been telling me how well you know it–and how you don’t care. Granny is an old bag, we start from there.

“And why should She be anything else? Tell yourself the answer. You’re not a fool, you’re merely young. Ordinarily She has but two possible pleasures and the other She can’t indulge.”

“What’s the other one?”

“Handing down bad decisions through sadistic spite, that’s the one She dare not indulge. So let us be thankful that Her body has built into it this harmless safety valve, else we would all suffer grievously before somebody managed to kill Her. Lad, dear lad, can you dream how mortal tired She must be of most things? Your own zest soured in only months. Think what it must be to hear the same old weary mistakes year after year with nothing to hope for but a clever assassin. Then be thankful that She still pleasures in one innocent pleasure. So She’s an old bag and I mean no disrespect; I salute a beneficent balance between two things She must be to do her job.

“Nor did She stop being what She is by reciting a silly rhyme with you one bright day on a hilltop. You think She has taken a vacation from it since, sticking to you only. Possibly She has, if you have quoted Her exactly and I read the words rightly; She always tells the truth.

“But never all the truth–who can? –and She is the most skillful liar by telling the truth you’ll ever meet. I misdoubt your memory missed some innocent-sounding word that gave an escape yet saved your feelings.

“If so, why should She do more than save your feelings? She’s fond of you, that’s dear–but must She be fanatic about it? All Her training, Her special bent, is to avoid fanaticism always, find practical answers. Even though She may not have mixed up the shoes, as yet, if you stay on a week or a year or twenty and time comes when She wants to. She can find ways, not lie to you in words–and hurt Her conscience not at all because She hasn’t any. Just Wisdom, utterly pragmatic.”

Rufo cleared his throat. “Now refutation and counterpoint and contrariwise. I like my grandmother and love Her as much as my meager nature permits and respect Her right down to Her sneaky soul–and I’ll kill you or anyone who gets in Her way or causes Her unhappiness–and only part of this is that She has
handed on to me a shadow of Her own self so that I understand Her. If She is spared assassins knife or blast or poison long enough, She’ll go down in history as ‘The Great.’ But you spoke of Her ‘terrible sacrifices.’ Ridiculous! She likes being ‘Her Wisdom,’ the Hub around which all worlds turn. Nor do I believe that She would give it up for you or fifty better. Again, She didn’t lie, as you’ve told it–She said ‘if’ . . . knowing that much can happen in thirty year’s, or twenty-five, among which is the near certainty that you wouldn’t stay that long. A swindle.

“But that’s the least of swindles She’s put over on you. She conned you from the moment you first saw Her and long before. She cheated both ways from the ace, forced you to pick the shell with the pea, sent you like any mark anxious for the best of it, cooled you off when you started to suspect, herded you back into line and to your planned fate–and made you like it. She’s never fussy about method and would con the Virgin Mary and make a pact with the Old One all in one breath, did it suit Her purpose. Oh, you got paid, yes, and good measure to boot; there’s nothing small about Her. But its time you knew you were conned. Mind you, I’m not criticizing Her, I’m applauding–and I helped . . . save for one queasy
moment when I felt sorry for the victim. But you were so conned you wouldn’t listen, thank any saints who did. I lost my nerve for a bit, thinking that you were going to a sticky death with your innocent eyes wide. But She was smarter than I am. She always has been.

“Now! I like Her. I respect Her. I admire Her. I even love Her a bit. All of Her, not just Her pretty aspects but also all the impurities that make Her steel as hard as it must be. How about you, sir? What’s your feeling about Her now . . . knowing She conned you, knowing what She is?”

I was still sitting. My drink was by me, untouched all this long harangue.

I took it and stood up. “Here’s to the grandest old bag in twenty universes!”

Rufo bounced over the desk again, grabbed his glass. “Say that loud and often! And to Her, She’d love it! May She be blessed by God, Whoever He is, and kept safe. We’ll never see another like Her, mores the pity! –for we need them by the gross!”

We tossed it down and smashed our grasses. Rufo fetched fresh ones, poured, settled in his chair, and said, “Now for serious drinking. Did I ever tell you about the time my–”

“You did. Rufo, I want to know about this swindle.”

“Such as?”

“Well, I can see much of it. Take that first time we flew–”

He shuddered. “Lets not.”

“I never wondered then. But, since Star can do this, we could have skipped Igli, the Horned Ghosts, the marsh, the time wasted with Jocko–”

“Wasted?”

“For her purpose. And the rats and hogs and possibly the dragons. Flown directly from that first Gate to the second. Right?”

He shook his head. “Wrong.”

“I don’t see it.”

“Assuming that She could fly us that far, a question I hope never to settle, She could have flown us to the Gate She preferred. What would you have done then? If popped almost directly from Nice to Karth-Hokesh? Charged out and fought like a wolverine, as you did? Or said ‘Miss, you’ve made a mistake. Show me the exit from this Fun House–I’m not laughing.’ ”

“Well–I wouldn’t have bugged out”

“But would you have won? Would you have been at that keen edge of readiness it took?”

“I see. Those first rounds were live ammo exercises in my training. Or was it live ammo? Was all that first part swindle? Maybe with hypnotism, to make it feel right? God knows she’s expert. No danger till we reached the Black Tower?”

He shuddered again. “No, no! Oscar, any of that could have killed us. I never fought harder in my life, nor was ever more frightened. None of it could be skipped. I don’t understand all Her reasons. I’m not Her Wisdom. But She would never risk Herself unless necessary. She would sacrifice ten million brave men, were it needed, as the cheaper price. She knows what She’s worth. But She fought beside us with all She has–you saw! Because it had to be.”

“I still don’t understand all of it.”

“Nor will you. Nor will I. She would have sent you in alone, had it been possible. And at that last supreme danger, that thing called ‘Eater of Souls’ because it had done just that to many braves before you . . . had you lost to it, She and I would have tried to fight our way out–I was ready, any moment; I couldn’t tell you–and if we had escaped–unlikely–She would have shed no tears for you. Or not many. Then worked another twenty or thirty or a hundred years to find and con and train anther champion–and fought just as hard by his side. She has courage, that cabbage. She knew how thin our chances were; you didn’t. Did She flinch?”

“No.”

“But you were the key, first to be found, then ground to fit. You yourself act, you’re never a puppet, or
you could never have won. She was the only one who could nudge and wheedle such a man and place


Generated by ABC Amber LIT Converter, http://www.processtext.com/abclit.html

him where he would act; no lesser person than She could handle the scale of hero She needed. So She
searched until She found him . . . and honed him fine. Tell me, why did you take up the sword? It’s no
common in America.”

“What?” I had to think. Reading ‘King Arthur’ and ‘The Three Musketeers’, and Burroughs wonderful Mars stories–But every kid does that. “When we moved to Florida, I was a Scout. The Scoutmaster was a Frenchman, taught high school. He started some of us lads. I liked it, it was something I did well. Then in college–”

“Ever wonder why that immigrant got that job in that town? And volunteered for Scout work? Or why your college had a fencing team when many don’t? No matter, if you had gone elsewhere, there would have been fencing in a YMCA or something. Didn’t you have more combat than most of your category?”

“Hell, yes!”

“Could have been killed anytime, too–and She would have turned to another candidate already being honed. Son, I don’t know how you were selected, nor now you were converted from a young punk into the hero you potentially were. Not my job. Mine was simpler–just more dangerous–your groom and your ‘eyes-behind.’ Look around. Fancy quarters for a servant, eh?”

“Well, yes. I had almost forgotten that you were supposed to be my groom.”

” ‘Supposed,’ hell! I was. I went three times to Nevia as Her servant, training for it. Jocko doesn’t know to this day. If I went back, I would be welcome, I think. But only in the kitchen.”

“But why? That part seems silly.”

“Was it? When we snared you, your ego was in feeble shape, it had to be built up–and calling you ‘Boss’ and serving your meals while I stood and you sat, with Her, was part of it.” He gnawed a knuckle and looked annoyed. “I still think She witched your first two arrows. Someday I’d like a return match–with Her not around.”

“I may fool you. I’ve been practicing.”

“Well, forget it. We got the Egg, that’s the important thing. And here’s this bottle and that’s important, too.” He poured again. “Will that be all, ‘Boss’?”

“Damn you, Rufo! Yes, you sweet old scoundrel. You’ve straightened me out. Or conned me again, I don’t know which.”

“No con, Oscar, by the blood we’ve shed. I’ve told the truth as straight as I know it, though it hurt me. I didn’t want to, you’re my friend. Walking that rocky road with you I shall treasure all the days of my life.”

“Uh . . . yes. Me, too. All of it.”

“Then why are you frowning?”

“Rufo, I understand her now–as well as an ordinary person can–and respect her utterly . . . and love her more than ever. But I can’t be anybody’s fancy man. Not even here.”

“I’m glad I didn’t have to say that. Yes. She’s right She’s always right, damn Her! You must leave. For both of you. Oh, She wouldn’t be hurt too much but staying would ruin you, in time. Destroy you, if you’re stubborn.

“I had better get back–and toss my shoes.” I felt better, as if I had told the surgeon: Go ahead. Amputate.

“Don’t do that!”

“What?”

“Why should you? No need for anything final If a marriage is to last a long time–and yours might, even a very long time–then holidays should be long, too. And off the leash, son, with no date to report back and no promises. She knows that knights errant spend their nights erring, She expects it. It has always been so, un droit de la vocation–and necessary. They just don’t mention it in kiddies’ stories where you come from. So go see what’s stirring in your line of work elsewhere and don’t worry. Come back in four or forty years or something, you’ll be welcome. Heroes always sit at the first table, it s their right. And they come and go as they please, and that’s their right, too. On a smaller scale, you re something like Her.”

“High compliment!”

“On a ‘smaller scale,’ I said. Mmm, Oscar, part of your trouble is a need to go home. Your birthing land. To regain your perspective and find out who you are. All travelers feel this, I feel it myself from time to time. When the feeling comes, I pamper it.”

“I hadn’t realized I was homesick. Maybe I am.”

“Maybe She realized it. Maybe She nudged you. Myself, I make it a rule to give any wife of mine a vacation from me whenever her face looks too familiar–for mine must be even more so to her, looking as I do. Why not, lad? Going back to Earth isn’t the same as dying. I’m going there soon, that’s why I’m clearing up this paper work. Happens we might be there the same time . . . and get together for a drink or ten and some laughs and stories. And pinch the waitress and see what she says. Why not?”

Chapter 21

Okay, here I am.

I didn’t leave that week but soon. Star and I spent a tearful, glorious night before I left and she cried as she kissed me “Au ‘voir” (not “Good-bye”). But I knew her tears would dry once I was out of sight; she knew that I knew and I knew she preferred it so, and so did I. Even though I cried, too.

Pan American isn’t as slick as the commercial Gates; I was bunged through in three fast changes and o hocus-pocus. A girl said, “Places, please”–then whambo!

I came out on Earth, dressed in a London suit, pass-port and papers in pocket, the Lady Vivamus in a kit that did not look like a sword case, and in other pockets drafts exchangeable for much gold, for I found that I didn’t mind accepting a hero’s fee. I arrived near Zurich, I don’t know the address; the Gate service sees to that. Instead, I had ways to send messages.

Shortly those drafts became, numbered accounts in three Swiss banks, handled by a lawyer I had been told to see. I bought travelers checks several places and some I mailed ahead and some I carried, for I had no intention of paying Uncle Sugar 91 percent.

You lose track of time on a different day and calendar; there was a week or two left on that free ride home my orders called for. It seemed smart to take it–less conspicuous. So I did–an old four-engine transport, Prestwick to Gander to New York.

Streets looked dirtier, buildings not as tall–and headlines worse than ever. I quit reading newspapers, didn’t stay long; California I thought of as “home.” I phoned Mother; she was reproachful about my not having written and I promised to visit Alaska as soon as I could. How were they all? (I had in mind that my half brothers and sisters might need college help someday.)

They weren’t hurting. My stepfather was on flight orders and had made permanent grade. I asked her to forward any mail to my aunt.

California looked better than New York. But it wasn’t Nevia. Not even Center. It was more crowded than I remembered. All you can say for California towns is that they aren’t as bad as other places. I visited my aunt and uncle because they had been good to me and I was thinking of using some of that gold in Switzerland to buy him free from his first wife. But she had died and they were talking about a swimming pool.

So I kept quiet. I had been almost ruined by too much money, it had grown me up a bit. I followed the rule of Their Wisdoms: Leave well enough alone.

The campus felt smaller and the students looked so young. Reciprocal, I guess. I was coming out of the malt shop across from Administration when two Letter sweaters came in, shoving me aside. The second said, “Watch it, Dad!”

I let him live.

Football had been re-emphasized, new coach, new dressing rooms, stands painted, talk about a stadium. The coach knew who I was; he knew the records and was out to make a name. “You’re coming back, aren’t you?” I told him I didn’t think so.

“Nonsense!” he said. “Gotta get that old sheepskin! Silliest thing on earth to let your hitch in the Army stop you. Now look–” His voice dropped.

No nonsense about “sweeping the gym,” stuff the Conference didn’t like. But a boy could live with a family–and one could be found. If he paid his fees in cash, who cared? Quiet as an undertaker–“That leaves your GI benefits for pocket money.”

“I don’t have any.”

“Man, don’t you read the papers?” He had it on file: While I was gone, that unWar had been made eligible for GI benefits.

I promised to think it over.

But I had no such intention. I had indeed decided to finish my engineering degree, I like to finish things. But not there.

That evening I heard from Joan, the girl who had given me such a fine sendoff, then “Dear-Johnned” me. I intended to look her up, call on her and her husband; I just hadn’t found out her married name yet. But she ran across my aunt, shopping, and phoned me. “Easy!” she said and sounded delighted.

“Who–Wait a minute, Joan!”

I must come to dinner that very night. I told her “Fine,” and that I was looking forward to meeting the lucky galoot she had married.

Joan looked sweet as ever and gave me a hearty arms-around-my-neck smack, a welcome-home kiss, sisterly but good. Then I met the kids, one crib size and the other toddling.

Her husband was in L.A.

Her sister and brother-in-law stayed for one drink; Joan and her sister put the kids to bed while the brother-in-law sat with me and asked how things were in Europe he understood I was just back and then he told me how things were in Europe and what should be done about them. “You know, Mr. Jordan,” he told me, tapping my knee, “a man in the real estate business like I am gets to be a pretty shrewd judge of human nature has to be and while I haven’t actually been in Europe the way you have haven’t had time somebody has to stay home and pay taxes and keep an eye on things while you lucky young fellows are seeing the world but human nature is the same anywhere and if we dropped just one little bomb on Minsk or Pinsk or one of those places they would see the light right quick and we could stop all this diddling around that’s making it tough on the businessman. Don’t you agree?”

I said he had a point. They left and he said that he would ring me tomorrow and show me some choice lots that could be handled on almost nothing down and were certain to go way up what with a new missile plant coming in here soon. “Nice listening to your experiences, Mr. Jordan, real pleasant. Sometime I must tell you about something that happened to me in Tijuana but not with the wife around ha ha!”

Joan said to me, “I can’t see why she married him. Pour me another drink, hon, a double, I need it. I’m going to turn the oven down, dinner will keep.”

We both had a double and then another, and had dinner about eleven. Joan got tearful when I insisted on going home around three. She told me I was chicken and I agreed; she told me things could have been so different if I hadn’t insisted on going into the Army and I agreed again; she told me to go out the back way and not turn on any lights and she never wanted to see me again and Jim was going to Sausalito the seventeenth.

I caught a plane for Los Angeles next day.

Now look–I am not blaming Joan. I like Joan. I respect her and will always be grateful to her. She is a fine person. With superior early advantages–say in Nevia–she’d be a wow! She’s quite a gal, even so. Her house was clean, her babies were clean and healthy and well cared for. She’s generous and thoughtful and good-tempered.

Nor do I feel guilty. If a man has any regard for a girl’s feelings, there is one thing he cannot refuse: a return bout if she wants one. Nor will I pretend that I didn’t want it, too.

But I felt upset all the way to Los Angeles. Not over her husband, he wasn’t hurt. Not over Joanie, she was neither swept off her feet nor likely to suffer remorse. Joanie is a good kid and had made a good adjustment between her nature and an impossible society.

Still, I was upset.

A man must not criticize a woman’s most womanly quality. I must make it clear that little Joanie was just as sweet and just as generous as the younger Joanie who had sent me off to the Army feeling grand. The fault lay with me; I had changed.

My complaints are against the whole culture with no individual sharing more than a speck of blame. Let me quote that widely traveled culturologist and rake, Dr. Rufo:

“Oscar, when you get home, don’t expect too much of your feminine compatriots. You’re sure to be disappointed and the poor dears aren’t to blame. American women, having been conditioned out of their sex instincts, compensate by compulsive interest in rituals over the dead husk of sex . . . and each one is sure she knows ‘intuitively’ the right ritual for conjuring the corpse. She knows and nobody can tell her any different . . . especially a man unlucky enough to be in bed with her. So don’t try. You will either make her furious or crush her spirit. You’ll be attacking that most Sacred of Cows: the myth that women know all about sex, just from being women.”

Rufo had frowned. “The typical American female is sure that she has genius as a couturiere as an interior decorator, as a gourmet cook, and, always, as a courtesan. Usually she is wrong on four counts. But don’t try to tell her so.”

He had added, “Unless you can catch one not over twelve and segregate her, especially from her mother–and even that may be too late. But don’t misunderstand me; it evens out. The American male is convinced that he is a great warrior, a great statesman, and a great lover. Spot checks prove that he is as deluded as she is. Or worse. Historo-culturally speaking, there is strong evidence that the American male, rattier than the female, murdered sex in your country.”

“What can I do about it?”

“Slip over to France now and then. French women are almost as ignorant but not nearly as conceited and often are teachable.”

When my plane landed, I put the subject out of mind as I planned to be an anchorite a while. I learned in the Army that no sex is easier than a starvation allowance–and I had serious plans.

I had decided to be the square I naturally am, with hard work and a purpose in life. I could have used those Swiss bank accounts to be a playboy. But I had been a playboy, it wasn’t my style.

I had been on the biggest binge in history–one I wouldn’t believe if I didn’t have so much loot. Now was time to settle down and join Heroes Anonymous. Being a hero is okay. But a retired hero–first he’s a bore, then he’s a bum.

My first stop was Caltech. I could now afford the best and Caltech’s only rival is where they tried to outlaw sex entirely. I had seen enough of the dreary graveyard in 1942-45.

The Dean of Admissions was not encouraging. “Mr. Gordon, you know that we turn down more than we accept? Nor could we give you full credit on this transcript. No slur on your former school–and we do like to give ex-servicemen a break–but this school has higher standards. Another thing, you won’t find Pasadena a cheap place to live.”

I said I would be happy to take whatever standing I merited, and showed him my bank balance (one of them) and offered a check for a years fees. He wouldn’t take it but loosened up. I left with the impression that a place might be found for E. C. “Oscar” Gordon.

I went downtown and started the process to make me legally “Oscar” instead of “Evelyn Cyril.” Then I started job hunting.

I found one out in the Valley, as a junior draftsman in a division of a subsidiary of a corporation that made tires, food machinery, and other things–missiles in this case. This was part of the Gordon Rehabilitation Plan. A few months over the drafting board would get me into the swing again and I planned to study evenings and behave myself. I found a furnished apartment in Sawtelle and bought a used Ford for commuting.

I felt relaxed then; “Milord Hero” was buried. All that was left was the Lady Vivamus, hanging over the television. But I balanced her in hand first and got a thrill out of it. I decided to find a salle d’armes and join its club. I had seen an archery range in the Valley, too, and there ought to be someplace where American Rifle Association members fired on Sundays. No need to get flabby-

Meanwhile I would forget the loot in Switzerland. It was payable in gold, not funny money, and if I let it sit. It might be worth more–maybe much more–from inflation than from investing it. Someday it would be capital, when I opened my own firm.

That’s what I had my sights on: Boss. A wage slave, even in brackets where Uncle Sugar takes more than half, is still a slave. But I had learned from Her Wisdom that a boss must train; I could not buy “Boss” with gold.

So I settled down. My name change came through; Caltech conceded that I could look forward to moving to Pasadena–and mail caught up with me.

Mother sent it to my aunt, she forwarded it to the hotel address I had first given, eventually it reached my flat. Some were letters mailed in the States over a year ago, sent on to Southeast Asia, then Germany, then Alaska, then more changes before I read them in Sawtelle.

One offered that bargain on investment service again; this time I could Knock off 10 percent more. Another was from the coach at college–on plain stationery and signed in a scrawl. He said certain parties were determined to see the season start off with a bang. Would $250 per month change my mind? Phone his home number, collect. I tore it up.

The next was from the Veterans Administration, dated just after my discharge, telling me that as a result of Barton vs. United States, et al., it had been found that I was legally a “war orphan” and entitled to $110/month for schooling until age twenty-three.

I laughed so hard I hurt.

After some junk was one from a Congressman. He had the honor to inform me that, in cooperation with the Veterans of Foreign Wars, he had submitted a group of special bills to correct injustices resulting from failure to classic correctly persons who were “war orphans,” that the bills had passed under consent, and that he was happy to say that one affecting me allowed me to my twenty-seventh birthday to complete my education inasmuch as my twenty-third birthday had passed before the error was rectified. I am, sir, sincerely, etc.

I couldn’t laugh. I thought how much dirt I would have eaten, or–you name it–the summer I was conscripted if I had been sure of $110 a month. I wrote that Congressman a thank-you letter, the best I knew how.

The next item looked like junk. It was from Hospitals’ Trust, Ltd., therefore a pitch for a donation or a hospital insurance ad–but I couldn’t see why anyone in Dublin would have me on their list.

Hospitals’ Trust asked if I had Irish Hospitals’ Sweepstakes ticket number such-and-such, and its official receipt? This ticket had been sold to J. L. Weatherby, Esq. Its number had been drawn in the second unit drawing, and had been a ticket of the winning horse. J. L. Weatherby had been informed and had notified Hospitals’ Trust, Ltd., that he had disposed of ticket to E. C. Gordon, and, on receiving receipt, had mailed it to such party.

Was I the “E. C. Gordon,” did I have the ticket, did I have the receipt? H. T. Ltd. would appreciate an early reply.

The last item in the stack had an A.P.O. return address. In it was an Irish Sweepstakes receipt–and a note; ‘This should teach me not to play poker. Hope it wins you something–J. L. WEATHERBY.’ The cancellation was over a year old.

I stared at it, then got the papers I had carried through the Universes. I found the matching ticket. It was bloodstained but the number was clear.

I looked at the letter. Second unit drawing-

I started examining tickets under bright light. The others were counterfeit. But the engraving of this ticket and this receipt was sharp as paper money. I don’t know where Weatherby bought that ticket, but he did not buy it from the thief who sold me mine.

Second drawing–I hadn’t known there was more than one. But drawings depend on the number of tickets sold, in units of £120,000. I had seen the results of only the first.

Weatherby had mailed the receipt care of Mother, to Wiesbaden, and it must have been in Elmendorf when I was in Nice–then had gone to Nice, and back to Elmendorf because Rufo had left a forwarding address with American Express; Rufo had known all about me of course and had taken steps to cover my disappearance.

On that morning over a year earlier while I sat in a cafe in Nice, I held a winning ticket with the receipt in the mail. If I had looked farther in that Herald-Tribune than the “Personal” ads I would have found the results of the Second Unit drawing and never answered that ad.

I would have collected $140,000, never have seen Star a second time-

Or would Her Wisdom have been balked?

Would I have refused to follow my “Helen of Troy” simply because my pockets were lined with money?

I gave myself the benefit of doubt. I would have walked the Glory Road anyhow!

At least, I hoped so.

Next morning I phoned the plant, then went to a bank and through a routine I had gone through twice

in Nice.

Yes, it was a good ticket. Could the bank be of service in collecting it? I thanked them and left.

A little man from Internal Revenue was on my doorstep-

Almost–He buzzed from below while I was writing to Hospitals’ Trust, Ltd.

Presently I was telling him that I was damned if I would! I’d leave the money in Europe and they could whistle! He said mildly not to take that attitude, as I was just blowing off steam because the IRS didn’t like paying informers’ fees but would if my actions showed that I was trying to evade the tax.

They had me boxed. I collected $140,000 and paid $103,000 to Uncle Sugar. The mild little man pointed out that it was better that way; so often people put off paying and got into trouble.

Had I been in Europe, it would have been $140,000 in gold–but now it was $37,000 in paper–because free and sovereign Americans can’t have gold. They might start a war, or turn Communist, or something. No, I couldn’t leave the $37,000 in Europe as gold; that was illegal, too. They were very polite.

I mailed 10 percent, $3,700, to Sgt. Weatherby and told him the story. I took $33,000 and set up a college trust for my siblings, handled so that my folks wouldn’t know until it was needed. I crossed my fingers and hoped that news about this ticket would not reach Alaska. The L.A. papers never had it, but word got around somehow; I found myself on endless sucker lists, got letters offering golden opportunities begging loans, or demanding gifts.

It was a month before I realized I had forgotten the California State Income Tax. I never did sort out the red ink.

Chapter 22

I got back to the old drawing board, slugged away at books in the evening, watched a little television, weekends some fencing.

But I kept having this dream-

I had it first right after I took that job and now I was having it every night-

I’m heading along this long, long road and I round a curve and there’s a castle up ahead. It’s beautiful, pennants flying from turrets and a winding climb to its drawbridge. But I know, I just know, that there is a princess captive in its dungeon.

That part is always the same. Details vary. Lately the mild little man from Internal Revenue steps into the road and tells me that toll is paid here–10 percent more than whatever I’ve got.

Other times it’s a cop and he leans against my horse (sometimes it has four legs, sometimes eight) and writes a ticket for obstructing traffic, riding with out-of-date license, failing to observe stop sign, and gross insubordination. He wants to know if I have a permit to carry that lance? –and tells me that game laws require me to tag any dragons killed.

Other times I round that turn and a solid wave of freeway traffic, five lanes wide, is coming at me. That one is worst.

I started writing this after the dreams started. I couldn’t see going to a headshrinker and saying, “Look, Doc, I’m a hero by trade and my wife is Empress in another universe–” I had even less desire to lie on his couch and tell how my parents mistreated me as a child (they didn’t) and how I found out about little girls (that s my business).

I decided to talk it out to a typewriter.

It made me feel better but didn’t stop the dreams. But I learned a new word: “acculturated.” It’s what happens when a member of one culture shifts to another, with a sad period when he doesn’t fit. Those Indians you see in Arizona towns, not doing anything, looking in shop windows or just standing. Acculturation. They don’t fit.

I was taking a bus down to see my ear, nose, and throat doctor–Star promised me that her therapy plus that at Center would free me of the common cold–and it has; I don’t catch anything. But even therapists that administer Long-Life can’t protect human tissues against poison gas; L.A. smog was getting me. Eyes burning, nose stopped up–twice a week I went down to get horrid things done to my nose. I used to park my car and go down Wilshire by bus, as parking was impossible close in.

In the bus I overheard two ladies: “–much as I despise them, you can’t give a cocktail party without inviting the Sylvesters.”

It sounded like a foreign language. Then I played it back and understood the words.

But why did she have to invite the Sylvesters?

If she despised them, why didn’t she either ignore them, or drop a rock on their heads?

In God’s name, why give a “cocktail party”? People who don’t like each other particularly, standing around (never enough chairs), talking about things they aren’t interested in, drinking drinks they don’t want (why set a time to take a drink?) and getting high so that they won’t notice they aren’t having fun. Why?

I realized that acculturation had set in. I didn’t fit.

I avoided buses thereafter and picked up five traffic tickets and a smashed fender. I quit studying, too. Books didn’t seem to make sense. It warn’t the way I lamed it back in dear old Center.

But I stuck to my job as a draftsman. I always have been able to draw and soon I was promoted to major work.

One day the Chief Draftsman called me over. “Here, Gordon, this assembly you did–”

I was proud of that job. I had remembered something I had seen on Center and had designed it in, reducing moving parts and improving a clumsy design into one that made me feel good. It was tricky and I had added an extra view. “Well?”

He handed it back. “Do it over. Do it right.”

I explained the improvement and that I had done the drawing a better way to-

He cut me off. “We don’t want it done a better way, we want it done our way.”

“Your privilege,” I agreed and resigned by walking out.

My flat seemed strange at that time on a working day. I started to study ‘Strength of Materials’–and chucked the book aside. Then I stood and looked at the Lady Vivamus.

“Dum Vivimus, Vivamus!” Whistling, I buckled her on, drew blade, felt that thrill run up my arm.

I returned sword, got a few things, traveler’s checks and cash mostly, walked out. I wasn’t going anywhere, just tataway!

I had been striding along maybe twenty minutes when a prowl car pulled up and took me to the station.

Why was I wearing that thing? I explained that gentlemen wore swords.

If I would tell them what movie company I was with, a phone call could clear it up. Or was it television? The Department cooperated but liked to be notified.

Did I have a license for concealed weapons? I said it wasn’t concealed. They told me it was–by that scabbard. I mentioned the Constitution; I was told that the Constitution sure as hell didn’t mean walking around city streets with a toad sticker like that. A cop whispered to the sergeant, “Here’s what we got him on, Sarge. The blade is longer than–” I think it was three inches. There was trouble when they tried to take the Lady Vivamus away from me. Finally I was locked up, sword and all.

Two hours later my lawyer got it changed to “disorderly conduct” and I was released, with talk of a sanity hearing.

I paid him and thanked him and took a cab to the airport and a plane to San Francisco. At the port I bought a large bag, one that would take the Lady Vivamus cater-cornered.

Charlie said he agreed perfectly and his friends would like to hear it. So we went and I paid the driver to wait but took my suitcase inside.

Charlie’s friends didn’t want to hear my theories but the wine was welcome and I sat on the floor and listened to folk singing. The men wore beards and didn’t comb their hair. The beards helped, it made it easy to tell which were girls. One beard stood up and recited a poem. Old Jocko could do better blind drunk but I didn’t say so.

It wasn’t like a party in Nevia and certainly not in Center, except this: I got propositioned. I might have considered it if this girl hadn’t been wearing sandals. Her toes were dirty. I thought of Zhai-ee-van and her dainty, clean fur, and told her thanks, I was under a vow.

The beard who had recited the poem came over and stood in front of me. “Man, like what rumble you picked up that scar?” I said it had been in Southeast Asia. He looked at me scornfully. “Mercenary!”

“Well, not always,” I told him. “Sometimes I fight for free. Like right now.”

I tossed him against a wall and took my suitcase outside and went to the airport–and then Seattle and Anchorage, Alaska, and wound up at Elmendorf AFB, clean, sober, and with the Lady Vivamus disguised as fishing tackle.

Mother was glad to see me and the kids seemed pleased–I had bought presents between planes in Seattle–and my stepdaddy and I swapped yarns.

I did one important thing in Alaska; I flew to Point Barrow. There I found part of what I was looking for: no pressure, no sweat, not many people. You look out across the ice and know that only the North Pole is over that way, and a few Eskimos and fewer white people here. Eskimos are every bit as nice as they have been pictured. Their babies never cry, the adults never seem cross–only the dogs staked-out between the huts are bad-tempered.

But Eskimos are “civilized” now; the old ways are going. You can buy a choc malt at Barrow and airplanes fly daily in a sky that may hold missiles tomorrow.

But they still seal amongst the ice floes, the village is rich when they take a whale, half starved if they don’t. They don’t count time and they don’t seem to worry about anything–ask a man how old he is, he answers: “Oh, I’m quite of an age.” That’s how old Rufo is. Instead of good-bye, they say, “Sometime again!” No particular time and again well see you.

They let me dance with them. You must wear gloves (in their way they are as formal as the Doral) and you stomp and sing with the drums–and I found myself weeping. I don’t know why. It was a dance about a little old man who doesn’t have a wife and now he sees a seal-

I said, “Sometime again!”–went back to Anchorage and to Copenhagen. From 30,000 feet the North

Pole looks like prairie covered with snow, except black lines that are water. I never expected to see the North Pole.

From Copenhagen I went to Stockholm. Majatta was not with her parents but was only a square away. She cooked me that Swedish dinner, and her husband is a good Joe. From Stockholm I phoned a “Personal” ad to the Paris edition of the Herald-Tribune, then went to Paris.

I kept the ad in daily and sat across from the Two Maggots and stacked saucers and tried not to fret. I watched the ma’m’selles and thought about what I might do.

If a man wanted to settle down for forty years or so, wouldn’t Nevia be a nice place? Okay, It has dragons. It doesn’t have flies, nor mosquitoes, nor smog. Nor parking problems, nor freeway complexes that look like diagrams for abdominal surgery. Not a traffic light anywhere.

Muri would be glad to see me. I might marry her. And maybe little whatever-her-name was, her kid sister, too. Why not? Marriage customs aren’t everywhere those they use in Paducah. Star would be pleased; she would like being related to Jocko by marriage.

But I would go see Star first, or soon anyhow, and kick that pile of strange shoes aside. But I wouldn’t stay; it would be “sometime again” which would suit Star. It is a phrase, one of the few, that translates exactly into Centrist jargon–and means exactly the same.

“Sometime again,” because there are other maidens, or pleasing facsimiles, elsewhere, in need of rescuing. Somewhere. And a man must work at his trade, which wise wives know.

“I cannot rest from travel; I will drink life to the lees.” A long road, a trail, a “Tramp Royal,” with no certainty of what you’ll eat or where or if, nor where you’ll sleep, nor with whom. But somewhere is Helen of Troy and all her many sisters and there is still noble work to be done.

A man can stack a lot of saucers in a month and I began to fume instead of dream. Why the hell didn’t Rufo show up? I brought this account up to date from sheer nerves. Has Rufo gone back? Or is he dead?

Or was he “never born”? Am I a psycho discharge and what is in this case I carry with me wherever I go? A sword? I’m afraid to look, so I do–and now I’m afraid to ask. I met an old sergeant once, a thirty-year man, who was convinced that he owned all the diamond mines in Africa; he spent his evenings keeping books on them. Am I just as happily deluded? Are these francs what is left of my monthly disability check?

Does anyone ever get two chances? Is the Door in the Wall always gone when next you look? Where do you catch the boat for Brigadoon? Brother, it’s like the post office in Brooklyn: You can’t get there from here!

I’m going to give Rufo two more weeks-

I’ve heard from Rufo! A clipping of my ad was for warded to him but he had a little trouble. He wouldn’t say much by phone but I gather he was mixed up with a carnivorous Fraulein and got over the border almost sans calottes. But he’ll be here tonight. He is quite agreeable to a change in planets and universes and says he has something interesting in mind. A little risky perhaps, but not dull. I’m sure he’s right both ways. Rufo might steal your cigarettes and certainly your wench but things aren’t dull around him–and he would die defending your rear.

So tomorrow we are heading up that Glory Road, rocks and all!

Got any dragons you need killed?

End

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Why no High-Speed rail in the USA?
Link
Link
Link
Tomatos
Link
Mad scientist
Gorilla Cage in the basement
The two family types and how they work.
Link
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back
Link
A womanly vanity
SJW
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

Posts about the Changes in America

America is going through a period of change. Change is good… that is, after it occurs. Often however, there are large periods of discomfort as the period of adjustment takes place. Here are some posts that discuss this issue.

Parable about America
What is planned for American Conservatives - Part 2
What is going to happen to conservatives - Part 3.
What is planned for conservatives - part 4
What is in store for Conservatives - part 5
What is in store for conservatives - part 6
Civil War
The Warning Signs
r/K selection theory
Line in the sand
A second passport
Link
Make America Great Again.

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Things I wish I knew.
Link
Travel
PT-141
Bronco Billy
How they get away with it
Paper Airplanes
Snopes
Taxiation without representation.
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
Democracy Lessons
A polarized world.
The Rule of Eight
Types of American conservatives.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Link
Link
Link
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Assorted Influencer Driven FAQ’s Regarding this MAJestic Disclosure (part 9)

This is part nine of a multi-part post.

The “horrible event” to avoid.

Ah. Another good question. Just why was a “dimensional anchor” required? Why couldn’t humans just “evolve” on their own? Eh?

What was the  great horrible event that being a “Dimensional Anchor” was supposed to prevent?

I do not know.

  • California sliding into the Pacific ocean?
  • Swine Flu?
  • Ebola?
  • Y2K?
  • Trans-gender dominance?

All that I know is that if I (and my colleagues) were unable to suppress the onslaught of discordant sentience manifestation that the future of the human species was in jeopardy.

The human species can evolve into either a “service to self” or a “service to others” sentience. (With “service to another” sentience being a distinct possibility.)

A hybrid or discordant sentience is not permitted.

Characteristics of a discordant sentience are thought manifestations that do not agree with intention. 

For instance, “We must silence people so that they can have freedom of speech.”, or “The ability to live happily means the ability to kill easily.” These are discordant statements.  We must tax YOU to make others have better lives. Freedom of expression is only fine for XXX not for YYY.

The people making the statements believe actions to justify their thoughts do not need to be in agreement.

As a result, the thoughts generate something different from the intention.

Intention defines the successful implementation of any sentience. For a “service to self” sentience, the thought of making someone give you something because you want it is pure. It is in complete alignment with the sentience. 

Likewise, the “service to others” sentience is pure in that if you help others, everyone benefits. It is pure.

While we were able to successfully able to thwart the discordant evolution of our species during the 1980’s, 1990’s and the early 2000’s, I cannot say what is going on after we were retired. 

How things look to me.
From my point of view, I am here on this friggin’ crazy-town world-line, but I am living an area that matches my deepest desires. Thus, there are a number of things that might be going on at this time. None of which really should concern me to much right now.

It is possible that the mission continues and the world-line template is being cleansed at this very moment.  However, to me it doesn’t look that way.  From my point of view, it seems that once we completed our mission, all Hell broke loose and there was an explosion in discordant sentience behaviors.

Who figures, eh?

That can mean numerous things.  Any one which could be correct;

  1. A “service to self” entity took control of MAJestic and is continuing the program in the belief that discordant sentience manifestation will benefit “service to self” objectives.
  2. No entity is currently performing “Dimensional Anchoring”. The program was a failure and while we were able to temporarily thwart a discordant manifestation, the subsequent events reverted to discordant sentience evolution.
  3. The MAJestic mission in regards to “Dimensional Anchoring” was successful.  However, once I was retired, I was left on a world-line that was in alignment with my deepest desires.  I was rewarded.  However, this world-line just happens to lie within a discordant sentience evolutionary track. It lies outside the track of the vast majority of people.

Thus, my current world-line provides no indications to it’s success or failure.

The world-line “Template” affects the bulk of the most “popular” world-lines occupied by soul consciousness. I could very well be on an “off shoot” that will terminate within a fixed period of time.

Being terrible – part 2

Ahhh! So many people are so terribly offended. WTF?

You talk so openly about prostitutes and seemingly disparage children that are abused. Don’t you see what a terrible person that you are for doing this?

I am sorry for giving this impression. I write (speak) from my own experiences. This differs from parroting [1] the media narrative, the [2] politically correct narrative, or [3] the popular narrative. This manuscript is about MY experiences. It is not about the experiences of others.

Until I was incarcerated as a sex offender, I never knew any prostitutes or children of abuse. I just didn’t. I was never part of that circle of people.

I only knew about these things from the media. I saw some news programs, and read some articles. However, I never experienced it in my life.

Articles like (the one below) are the reason why there is such uproar about underage sex and trafficking of minors.

“Little Barbies: Sex Trafficking Of Young Girls Is America's Dirty Little Secret” found at 

https://rutherford.org/publications_resources/john_whiteheads_commentary/little_barbies_sex_trafficking_of_young_girls_is_americas_dirty_little_secr  

Authored by John Whitehead via The Rutherford Institute.

“They’re called the Little Barbies. Children, young girls—some as young as 9 years old—are being bought and sold for sex in
America. The average age for a young woman being sold for sex is now 13 years old. This is America’s dirty little secret.”

Look is who is funding and backing this article! Don’t try to tell me that they do not have an agenda.

I am not a fan of George Soros, but there are other organizations that are threatened by his vision. It is not that they want to stop him in so much as they want to replace his role. 

Read about it here; 

http://www.discoverthenetworks.org/printgroupProfile.asp?grpid=7309 and https://www.rutherford.org/publications_resources/freedom_watch/the_super_pac_that_aims_to_end_super_pacs 

https://www.rutherford.org/publications_resources/john_whiteheads_commentary/the_deep_state_the_unelected_shadow_government_is_here_to_stay . 

All extremes are bad, but what are you going to do?

The closest thing to knowing about these things was from a handful of friends.

I had a few close friends who told me about how they were raped when they were young. I also had my first wife who was raped by a friend of a friend, and never got over it. In fact, many sleepless nights were spent dealing with the baggage that she kept inside over this singular event. It was terrible.

My first ex-wife was my staunchest defender against the charges that I was a sex offender. She was flabbergasted that anyone would even consider me for this role.

So, I do know that unwanted sexual advances and abuse does happen. I know that it hurts people. I know that the damage is ever lasting. I know that there are bad people who do these kinds of things.

After I was incarcerated, I had to attend training to teach me about the abuse of others. I sat in classes with individuals who actually did this kind of abuse. They told me how they would target children, prime and prep them, and then how they would attack them and string them along. It really was pretty horrible. The guys were total creeps and slime of the worst caliber.

I know, mostly because of the onslaught of Hollywood movies that always seemed to have some sort of side story regarding this. From Forrest Gump (his girlfriend Jenny), to The Color Purple. They all had some side story regarding abuse by others, mostly elders. Then, in the 1990’s it went mainstream with “America’s Most Wanted”, and other related television shows.

America's Most Wanted is an American television program that was produced by 20th Television. At the time of its cancellation by the Fox television network in June 2011, it was the longest-running program in the network's history (25 seasons), a mark since surpassed by the long-running animated sitcom, The Simpsons. 

The show started off as a half-hour program on February 7, 1988. In 1990, the show's format was changed from 30 minutes to 60 minutes. The show's format was reverted to 30 minutes in 1995, and then, to 60 minutes in 1996. A short-lived syndicated spinoff titled America's Most Wanted: Final Justice aired from 1995 to 1996.

In short, I have only heard about these things second-hand. I never experienced them personally.

I think that this is true for the reader as well. Have you personally experienced these kinds of things, or know of someone who had? Probably, what you know comes from what you saw on television, the Internet or the media. Right?

That is third-hand.

You heard from a reporter who is interviewing or reporting on something that someone said. That is third-hand.

My first experience with a prostitute (non-sexual) came when I was forced to live in the mist of them while on parole. There was a house on our left that had between 4 and 6 prostitutes, and one old black man who sold crack. On the right was another house that had three girls, but the girls didn’t hang out. They would get in cars that drove up to get them and then return. Across the street were two houses with some enormously fat and hyper ugly gals. They were quite busy, I’ll tell you what.

I got to know them, at least the American version.

They weren’t bad. Not at all. In fact, they were all pretty nice. (Not pretty, though. Yuck!)

All of them, of the ones that I met, were doing so of their own accord.  They were free to come and go as they chose. They had financial obligations, mostly young children, and prostitution was a way for them to make money quickly. Many of them (I think, and suspect) had issues with drugs, but certainly not all of them.

Now, I was retired under this excuse that I was a sex offender. Yet, at the time I was arrested, I was never with a prostitute. 

I never met anyone who would fit the description of a sex abused child (aside from my friends who were raped on dates). When I started to meet the people “in the trade”, I realize that the vast bulk of the girls involved in sex for money were their own bosses and doing so for their own reasons. 

No one was forcing them. 

Those people who were paying them; the “Johns”, weren’t harming them. They were just exchanging services for money. 

So, I do know that there are terribly abused children out there. I do know that there are people who are tricked and manipulated. I do know that it exists. I do read the media.

As such, I am glad that there are efforts to find the sexual predators and imprison them.

These prostitutes all got along with my cat Coco. She was just “one of the girl’s”. Coco would go next door and hang out with the girls on the porch. She was very comfortable with them.

Then, when I moved to Asia, I got to meet the girls who would trade sex for money.

These girls did so for their own purposes as well. Mostly it was to have fun, attend to family responsibilities, or to meet wealthy or prosperous men in the hope of maybe having a long term relationship.

Not every sexual encounter is “just a job”. It is like any work assignment. There are good days and bad days. There are days that you absolutely hate, and days that are actually pretty good.

This was a far different motivation than their American counterparts.

Many of the attractive girls in China used the KTV medium as a way to meet successful men while having a great time. They made “good money”, and spent it on things that matter most to girls in their mid-20’s; latest fashions, expensive babbles, cell phones, and traveling to interesting places.

The older prostitutes (30’s and 40’s) would spend the money on their family; their children and their parents.

I just never met any prostitutes older than that.

Of the girls that I met working “the trade”, they were all (for lack of a better word) business-women. Some were doing so on a temporary basis to achieve some goal. Some were more experienced and were working on some pretty big projects; like buying a SPA, purchasing a McDonalds franchise, or exporting furs to Hong Kong.

They were not some chained up, or passed-around, waif.

They were very practical and pragmatic and were out for themselves to get what they wanted. Indeed, some were quite mercenary about it and today are very successful.

One gal (I know) owns multiple houses, businesses, and is quite wealthy. She drank a lot, but had her shit together. Now she is very powerful within her reality. She drives a Bentley that she paid for in cash. She owns numerous mansions, and multiple businesses.

Seriously, I tell the reader the truth, if you were to meet some of the girls who now work as “pimps” or managers for these girls; you would be stunned into silence.

These gals would eat you up raw, and spit you out before you even knew what happened. Smart, aggressive, attractive, worldly and powerful. You, the reader, cannot possibly understand how these “graduated” women are.  Think of General (Mad Dog) Mattis in the body of an Asian version of Eva Mendes or Angelina Jolie.

All of the girls that I know of that trade sex for money do so of their own volition. And they all tend to be very excellent businesswomen.

In fact, the reader might be surprised that a large number of them do so as a side business while they are attending university. After all, where will they get the money for their Starbuck’s lattes and latest iPhone?

Their parents? (Maybe for some of them. When I was in college, I was lucky to have money for a bagel and butter.)

Yet these girls have expensive purses, phones, and all sorts of high end clothes. How do you think they got the money? These ladies are not some misguided or confused, manipulated person trapped in a world beyond their control. They use the skills, abilities, and resources at their disposal to obtain advantage, money and power. The media narrative that is not part of the reality that I have been exposed to.

It is not that I heard about these girls on TV, or on the radio, or that I read a story on the Internet, or Alex Jones talked about it. I actually know these people. 

I know what they do, and I understand their motivation. As such, I get rather upset when some “know it all” tries to tell me that all girls are abused. That working as a prostitute is a “last resort” and only a girl can be forced to have sex for money. That it is common knowledge that most “normal” girls would never do such a thing. Nonsense. 

It is nothing of the sort.

I have been “around the block” enough to know that NO woman is a weakling. You might not like what she is doing, or how she does it, but you can pretty much recognize that she is doing what SHE wants to do on HER terms.

Yes, there is child abuse and there are sexual deviants.

However, the actual percentage of this most terrible situation occurring near me is actually pretty low. As far as I am concerned, I’ve never seen it. It’s not part of my reality.

So, it might be very difficult to hear, and very non-politically correct, but when I speak of girls and prostitutes I do so from the point of understanding from experience.

This is direct first-person experience. Unless you, the reader, know personal first-hand regarding these girls and women, don’t try to disparage my experiences.

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Turn off the manipulative media, and experience life first hand. What I do know is that the media sensationalizes things to achieve political results. Most of what they write about is nonsense. That includes all of the vices, and anything that is designed to evoke an emotional reaction.

Human Souls

To understand ourselves we need to understand what comprises us.

You talk a lot about souls. But nothing that you mention is found in any of the great books on the subject, the Bible or espoused by any of the great thinkers of our times. Isn’t it a bit presumptive of you to spout off without consulting with the learned spiritual leaders of our day?

No.

I am just reporting what I know through entanglement with an artifice.

I do not know if it is correct or not.

I am only reporting on it. I have added my comments from my experiences and from the point of view of my own understandings. The reader is free to believe what they choose to believe.

In short…

  • A soul is a construction of ordered quanta that has obtained sentience.
  • Souls are not homogenized. They are a collection of parts. These parts are called “garbons”.
  • Garbons communicate and interface with other garbons via “routes”. These routes are called “Swales”.
  • A soul is capable of storing memories.
  • A soul is capable of generating world-lines from a template.
  • A soul can then create a consciousness and place it within a world-line reality.

Probe operation under the effects of alcohol

Interesting question.

Now that you are retired, and you obviously drink and indulge in various vices, how are your probes affected?

They are not affected at all.

Going to Hell

Aren’t you afraid that you will go to Hell because of your less-than-perfect behaviors?

No.

I am extremely confident that I will not go to Hell.

Tune ups

Have you ever needed a “tune up” on any of your probes?

No.

Probe Problems

Do you ever have problems with your implants?

No. 

Not really. However, once I kept a power outlet strip on the back on one of my living room chairs. I had plugged in various transformers for my laptops, and smart phones into it.

When I sat on the chair to type on my computer, I began to feel odd and out of sorts. I have since attributed it to an electromagnetic field that surrounds the unshielded transformers.

It’s nothing to be concerned about; however it is an uncomfortable feeling.

I once started to have headaches. They started to get really bad and so I went to a hospital and had an MRI. The doctor was completely surprised by all the stuff in my skull. He asked me if “someone shot at me with a BB gun when I was a little child”. But, no. What ever was going on (stress from work), taking the initiative and going to the hospital somehow managed to dissipate the stress. I don’t think it has anything to do with either the EBP or the ELF probes.

Contradictory statements

Parsing. Trying to find flaws to disparage. It’s a common, time-honored technique.

Throughout your blog you make statements and then contradict yourself. Sometimes, I feel like a ping-pong ball because you go back and forth so much. Regarding the number of other agents like yourself, how many were in MAJestic?

I do not know.

I only know that myself and Sebastian were in the same role. (I assume that the base commander was not an agent in the same role that we were in. Though, it is reasonable to assume that he was in MAJestic. I think that that is a reasonable assumption.)

During the “sales pitch” he told us that the membership would be limited to a handful of specially selected people, and that we were the first.

I have no idea how much is a “handful”. I have always thought that it could mean five to six (five fingers) or twelve (one dozen).

However, through entanglement, I could sense what the drone (biological artifice) saw.

According to what I could “see” it looked like the number was much larger. Maybe approaching somewhere between 60 and one hundred artifice drones. (This was most certainly not a “handful”.)

Initially, this gave me an impression that there were many such individuals all like Sebastian and myself.

All of these drones seemed to be involved in different kinds of activities. Activities that all seemed to come and go, but all were involved in tasks that neither Sebastian nor I were involved in. In fact, our tasks were mostly related to <redacted> the drone. With some minor activities related to <redacted> the various facilities.

The other (unaccounted for) drones seemed to have more “work related” roles, such as moving things, manipulating things, and doing things.

Our drones just seemed to “exist”.

They were different in activity, though not in appearance. Later, I have come to the conclusion that they were NOT like us, though they used the same general “equipment”.

After all, they were biological artifices.

This makes sense because the “squawk” between all these other drones was absent.

I could “listen in” on <redacted> responses (if I was privileged to) from other drone commanders like myself. Yet, that number was far less than my assumptive tabulation. When the program first started I was under the impression of maybe six to seven other drone pilots, but it became clear in the middle 1990’s that the number was only around five others. So, after much deliberation, I have come to my unproven (but reasoned) conclusion that there might have been as many as four teams of two-man cells (minus a leader).

So again, the answer is “I do not know the number of other MAJestic agents in the same role as myself”, however I reason that it might be as high as eight individuals.

The only conclusion that I can come to is that the artifices are a standard item that is involved in many things. I, and a small number of others, were involved in world-line anchoring.


At that, let’s call it quits. I hope your enjoyed this post.

Thank you.

If you want to go to the start of this series of posts, then please click HERE.

MAJestic Related Posts – Training

These are posts and articles that revolve around how I was recruited for MAJestic and my training. Also discussed is the nature of secret programs. I really do not know why the organization was kept so secret. It really wasn’t because of any kind of military concern, and the technologies were way too involved for any kind of information transfer. The only conclusion that I can come to is that we were obligated to maintain secrecy at the behalf of our extraterrestrial benefactors.

How to tell...
How to tell -2
Top Secrets
Sales Pitch
Feducial Training
Implantation
Probe Calibration - 1
Probe Calibration - 2
Leaving the USA

MAJestic Related Posts – Our Universe

These particular posts are concerned about the universe that we are all part of. Being entangled as I was, and involved in the crazy things that I was, I was given some insight. This insight wasn’t anything super special. Rather it offered me perception along with advantage. Here, I try to impart some of that knowledge through discussion.

Enjoy.

Secrets of the universe
Alpha Centauri
Our Galaxy the Milky Way
Sirius solar system
Alpha Centauri
The fuselage embedded within the rocks of Victoria Falls.
The Hammer inside the rock.
The Hollow Moon
The Mystery of the Lapulapu Ridge.
The Mystery of the Baltic UFO.
Mystery of the bronze bell.
Mystery of the oil lamp found inside a block of coal.
Did extraterrestrials set up a colony in Pennsylvania?
The Oxia Palus Facility
Brown Dwarfs
Apollo Space Exploration
CARET
The Nature of the Universe
Type-1 Grey Extraterrestrial
The mysterious flying contraptions.

Influencer Questions

Here are posts that have gathered a series of questions from various influencers. They are interesting in many ways and could help all of us unravel the mysteries of the lives that we live.

Interview with an Influencer.
More discussions with an influencer.
Using Intention to make your life sparkle.

MAJestic Related Posts – World-Line Travel

These posts are related to “reality slides”. Other more common terms are “world-line travel”, or the MWI. What people fail to grasp is that when a person has the ability to slide into a different reality (pass into a different world-line), they are able to “touch” Heaven to some extent. Here are posts that  cover this topic.

Cat Heaven
MWI
Things I miss
How MWI allows world-line travel.
An Observed World-Line switch.
Vehicular world-line travel
Soul is not consciousness.

John Titor Related Posts

Another person, collectively known by the identity of “John Titor” claimed to utilize world-line (MWI egress) travel to collect artifacts from the past. He is an interesting subject to discuss. Here we have multiple posts in this regard.

They are;

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

What is China Like (Part 8)

Here, we continue on our exploration of China from the comfort of our own personal computer, personal laptop, or personal media device. This is a multi-part post because too many videos will prevent the post from loading, and also, I tend to get sidetracked on various issues. But, please… please keep in mind that this post is all about directing America back to what it is supposed to be. Not what it has become.

“Nothing the Party says is true.  Nothing the Party does is good. Even the war itself isn’t real. The  Party wants you to believe we are at war so as to channel your  aggression away from their rightful target: The Party. 

Big Brother is  not real. He is pure fiction, created by the Party. 

The real rulers of  the State are unknown, faceless manipulators who, because they are not  known are able to wield power without let or hindrance. People of  Oceania, you are being duped. 

The Party doesn’t serve the people — it  serves itself. 

We are not at war with Eurasia. You are being made into  obedient, stupid slaves of the Party. Open your eyes. See the evil that  is happening to you. 

The Party drops bombs on its own citizens. It is  the Party, not the Eurasians, who are our enemies. Rise up. Throw off  the yoke. You have nothing to lose, and everything to gain, People of  Oceania.” 

– Emmanuel Goldstein – 1984 Film 

Also, please keep in mind that the purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

Why is American fighting wars?
This is why America is currently fighting so many wars.

This is the eighth of a mighty mega-post.

As a quick reminder, to all the new comers here…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Oasis in the dunes (video 41)

“Go back to bed, America. Your  government has figured out how it all transpired. Go back to bed,  America. Your government is in control again. 

Here. Here’s American  Gladiators. Watch this, shut up. 

Go back to bed, America. Here is  American Gladiators. Here are 56 channels of it! Watch these pituitary  retards bang their fucking skulls together and congratulate you on  living in the land of freedom. 

Here you go, America! You are free to do  what we tell you! You are free to do what we tell you!” 

― Bill Hicks 

While America has been manipulating its’ citizens, and financing wars everywhere. The rest of the world has been minding their own business, and living life to the fullest.

Hey! Here’s an oasis. Bet ya didn’t know that they had them in China, did ya? Have you ever wondered “why not?”

Getting the groom drunk (video 42)

America is a progressive liberal utopia that is only a few election votes from full realization. President Donald Trump may be out of office as early as 2020.

We’ve got a populace so dumbed down and indoctrinated with social justice gibberish by government schools that a large proportion actually believe socialism will lead to prosperity. Just like Venezuela and Cuba.

The reason young people are turning to socialism is because they aren’t actually experiencing free market capitalism.

We are trapped in a paradigm of crony capitalism or corporate fascist capitalism. The few at the top reap the vast majority of rigged benefits, while the average worker gets screwed. To keep Joe average compliant the oligarchy creates a box to put him in. The box is fear.

Fear of everything. Fear of other nations. Fear of what is outside the door. Fear of what you eat, or don’t eat.

Meanwhile the rest of the world moves forward.

Here is a small village in China. Traditional. They expect the groom to drink all that beer to “prove” that he is worthy enough to marry the bride. It’s a Chinese tradition. Note also all the aprons being worn by the housewives in the audience.

Traditional nations, even if the culture is different, are the same. China is a very traditional conservative culture and society.

In the United States, we have had the last one hundred years of cultural rewrites, and the last fifty of them has been all about enacting progressiveness in the Untied States. The Marxists have been wildly successful in their implementation of progressiveness in the United States. Just look at what we deal with on a daily basis…

In a traditional society, like what America once had, beer is considered a normal drink. There are no limitations on drinking it. There are no store hours when it can or cannot be purchased. There isn’t any need to obtain a license to sell it, and anyone can buy it without any age restrictions.

BBQ and beer
In a traditional society, especially one that is conservative, beer is drunk brazenly and with everything. It is a fun common beverage of choice at BBQ and family events.

Traditional societies do not accept the progressive dogma, and act as antibodies resisting it’s implementation.

Historical China

In traditional nations, history is revered. It is treasured, maintained, and taught in schools. In progressive societies, statues are town down, history is rewritten in such as way as to show disdain for the past.

China, as a traditional conservative nation takes care of it’s historical buildings.

Dancing upon arrival

Other nations, other cultures, and other societies have their own fads, fashions and quirks. While waving huge trashcan sized asses about is considered “feminine” in the United States, in China the fad is “dancing upon arrival”.

Here is what being “ladylike”, and “feminine” is like in the progressive United States today…

Well, all I can say is that other cultures and other societies do things quite differently. Here is the latest fad in China today…

Reenactments of History

Now, I love this next video. I really do.

The Chinese have seen empires come and go. You think that Europe had a complicated history? You have no idea. China is a long, long tale of empires that rise and fall with wars and conflict for over 5000 continuous years.

They are pretty tired of fighting and conflict.

Anyways, the way that they have ruled (in the past) was quite authoritarian. As such they maintained the pomp and circumstance to quite a degree. It’s really quite impressive, I’ll tell you what.

Sure beats what Obama gave us. Remember him riding a bicycle?

Anyways, here’s how Chinese traditionally ruled…

Riding through lilacs

When you are not throwing away your money on mindless and endless wars, you don’t need to tax the citizenry so much. Instead, they can be taxed less. Thus opening up opportunities for investments, business and growth. In China, under the low rates of taxation, people combine their money together and set up businesses. Here’s one made by a group of High School buddies.

They just pooled their money. Set up a water slide ride on the side of a hill and charge a small fee to use it. Easy, fun, and they are their own bosses.

Low taxation means individual success.

Petrified of heights

Here’s another example of a park built from some High School friends that pooled their money together and set up a park. Why does China have so many businesses? Answer; because they are not taxed to fun endless wars. That’s why.

and

OK. At numerous videos for this part, let’s go and move on to the next part of this post which covers more videos and further commentary about China.

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

What is China like? (Part 4)

Here, we continue on our exploration of China from the comfort of our own personal computer, personal laptop, or personal media device. This is a multi-part post because too many videos will prevent the post from loading, and also, I tend to get sidetracked on various issues.

Also, please keep in mind that the purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

This is the fourth of a mighty mega-post.

As a quick reminder, to all the new comers here…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Bubble Hotels (Video 19)

China is about staying is a bubble hotel.

You would think that it would be so very difficult to have anyone to visit a hotel, or park in China. You know, with all the negative publicity about pollution… eating dogs… bird flu sickness, etc. But, China has parks and hotels. While the American media is all rife with anti-Chinese sentiment, the rest of the world (with the exception of the UK, for reasons related to progressive socialism) has a very positive view of China.

High Speed Trains (Video 20)

China is about high speed trains that go just about everywhere.

I’ve covered this in detail elsewhere, actually. If you want to read about what I have to say about this subject, you might want to visit here. (Don’t worry the link opens up into another tab so you won’t lose your place here.)

High Speed Rail in China
Why no High-Speed rail in the USA?

Anyways, here’s another great micro-video of a speeding Chinese HSR train.

First Day of School (Video 21)

I just cannot help myself. Here’s a screen-shot from one of my all time favorite movies. It starred Rodney Dangerfield, and it’s from 1988. Of course, it’s “Back to School”. I well remember when I first got this movie. I had just got my Beta-MAX player and this video was one of the first that I could watch on it. That and “One Crazy Summer”, and “Better off Dead”. All complete 1980’s classics.

Back to school
Back to School. Back to School is a 1986 American comedy film starring Rodney Dangerfield, Keith Gordon, Sally Kellerman, Burt Young, Terry Farrell, William Zabka, Ned Beatty, Sam Kinison, Paxton Whitehead and Robert Downey Jr. It was directed by Alan Metter. The plot centers on a wealthy but uneducated father who goes to college to show solidarity with his discouraged son Jason and learns that he cannot buy an education or happiness.

I was in MAJestic training at NAS China Lake, and at the end of the day, I would ride my motorcycle back to the apartment (pick up some take out through KFC) and we would eat chicken and watch Rodney Dangerfield. BTW. Chicken and beer go together quite nicely. They really do.

Delicious beer and chicken.
Chicken and beer go great together. Here are some chicken legs that are very tasty when you dip them in ranch dressing. So are chicken wings, as well. Notice the fine beer. It is fantastic if it is perfectly chilled. I’ll tell you what.

Anyways…

Sorry about digressing so. I do love a great bite of chicken and beer. I love how it smells and how it tastes, and the icy cold beer washes it down just perfectly. Now, back to school…

China is about sending your child off to the first day of school on their own.

Everyone has children, and the parents want the best for them. They take care of them, care for them and try to teach them. This is a major parental role that is part of human nature. While there are extreme variances on the amount of parental supervision between the parenting types, the Chinese do take care of their children.

If you want to explore this subject a little further, you might want to check out these other posts. Don’t worry, by clicking on them, you will discover that they will open up in other links and tabs.

The two family types and how they work.
Link
r/K selection theory

China – a land of traditions (video 22 A&B)

China is a land where traditions are honored, appreciated and emulated.

All traditional conservative nations honor their past. They promote the memories by erecting statues. They hold parades, and children perform skits and reenactments of past events. They learn lore about famous people and recite poems dedicated to them.

In progressive socially liberal nations, the opposite is true. They tear down statues. They rewrite history and disparage heroic individualistic action. Any holidays become bland and are provided generic names, and the celebrations towards them are ridiculed if not banned.

China is a traditional Chinese-conservative nation. America is a progressive-liberal nation.

Chinese factory (video 23)

The American and British tabloids often depict China as the home of “sweat shops” and forced manual labor with “child labor” and other such injustices. In all the years that I have lived in China, and at all the many hundreds of factories that I have visited, toured and worked with, I have never seen anything that even remotely resembles the mainstream media narrative.

Here we have a typical assembly line.

A propagandized narrative that demonizes another group of people, a nation, or a type of person is usually a prelude to eventual war. The only way to prevent war is by diffusing the mainstream media narrative by exposure. Otherwise, the narrative festers than you have such things as the Nazi’s putting Jews in concentration camps, the Rwandan genocide, and the attacks on White People in South Africa.

The NeoCons in the United States rely heavily on the mainstream media to drum up support for a condition of non-stop war.

Quick Commentary (video 23 and 24)

When you are not spending money blowing things up, but rather creating things instead, life takes on an entirely new meaning.

You can enjoy life.

You can have fun. You can dance, and you can be yourself. No matter how strange it may appear. Please, I implore you, don’t be manipulated by the oligarchy to sacrifice your home, your money, your lifestyle, and your very lives for some far off war in a place that (supposedly) has “national security” interests.

Life is far too short not to enjoy yourself.

Continued…

OK. At numerous videos for this part, let’s go and move on to the next part of this post which covers more videos and further commentary about China.

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

What is China like? (Part 3)

Here, we continue on our exploration of China from the comfort of our own personal computer, personal laptop, or personal media device. This is a multi-part post because too many videos will prevent the post from loading, and also, I tend to get sidetracked on various issues.

Also, please keep in mind that the purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

This is the third of a mighty mega-post.

As a quick reminder, to all the new comers here…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Playing catch with your buddies (video 14).

China is about playing catch with sandbags. Then going to have a bunch of beer and hard alcohol with food afterwards.

I really like this video. It reminds me of an event that I had when I was a young lad in elementary school. My father went on a business trip to New Brunswick, Canada. Between the long rides in the car, and me sitting inside the car for hours while he conducted his business, he took me to a local festival.

New Brunswick fair.
Travel can expose you to all kinds of new things and adventures. Did you know that there is a history of Scottish settlers in New Brunswick? Well there is, and their history is glorious. As a boy, the experiences that I witnessed at the fair made quite a big impression on em, and no it wasn’t because they wore skirts either.

I was too young to know what was going on. However, there was some kind of he-man Scottish-historical reenactment of some type that we were able to observe. Here, the guys were all wearing kilts, and throwing enormous things. They hauled logs, threw axes, and tosses enormous heavy balls.

I well remember thinking how, one day, I too would be able to be so masculine and strong. Though, I never did get to wear a kilt, I certainly did get my fair share of carrying heavy things. LOL.

Here’s some dudes in China kind of doing the same thing…

Humans live in other nations. They aren’t the cardboard “cut out” like the media wants us to believe. The media refers to them as “Chicoms” or “Chinese communists” which is true is one sense, but absolutely false in another. The demonetization of one group of people is an effective way for the American oligarchy to drum up support for yet another military war effort. Be aware. We are constantly following the path that brought Rome to it’s knees.

Swimming and Singing (Video 15).

China is about swimming in a pool and then going to the KTV afterwards. You know, everyone likes to swim. Even if you can’t, and most Chinese can’t really, they do like to go into shallow pools and chill out and have fun. This Chinese gal (in the micro-video below) is pretty typical.

Gilligan's Island
The 1960’s situation comedy “Gilligan’s Island” was very influential for young boys such as myself. Here, we were presented an idealistic fantasy. One where there were two single women on the island, and each one as a female archetype.

I have always enjoyed looking at pretty girls in swimsuits. This is true today as it was back in the day when I would sneak a peek at the Playboy magazines stashed away in my father’s pile of magazines in the basement bathroom.

In my family, heck in most families from the Western Pennsylvania, the men-folk would have “their” bathroom in the basement. Of course, the women-folk would have their bathrooms which would always be immaculate and well-tended to. The men’s bathrooms, not so much. They would tend to be dusty and cobwebby, and damp. Though there was always a great collection of men’s magazines stashed there.

Playboy leadership with Roman Polanski.
Oh, back in the day. Hugh Hefner and Roman Polanski. Both standing in front of the black Playboy airborne command post.

These magazines would range from magazines about hunting and fishing, to Popular Mechanics, and Popular Science magazines. There would also be the Men’s magazines, like Stag, and Men’s Adventure. And, of course, Playboy magazine.

Just for the fun of it, here’s Angelique Pettyjohn with William Shatner as Captain Kirk in one of the early Startrek episodes. Who would ever know that extraterrestrials looked so very human? I most especially like the green hair. I guess that she uses “copper tone” for highlights.

Also the aluminum foil halter / boob-holder is a nice touch. She and Kirk look like great sparring partners, though why is he shirtless, and she all covered up? It just doesn’t seem fair. Now, does it?

Anyways, pretty girls in swimsuits and moving about in water is something that I do enjoy looking at.

When I was a boy, there was a pretty popular saying at that time. It was “make love not war“. The idea was that it would be better to get high and have sex than to lose your life in some swamp in Vietnam. Now that I am older, I can better appreciate the thought that went into this saying. Though… my generation had a different saying. It was “Have a nice day“.

Have a nice day.
This is a very common meme that surfaced sometime between 1972 and 1974 all over the country. My sister had a baton, and a tee shirt with this logo, and I got a frizbee with this logo on the plastic. Sometime later, my dog chewed the frizbee up, and we were forced to use inside album jackets to de-seed our weed. LOL.

Playing Golf (Video 16 A&B)

Did you know that you can play golf all over the world? Yeah. And, not only that, but it is a very popular pastime.

Of course, we might be aware of golf courses in Scotland, and Canada. And we might hear, from time to time, about golf courses in Japan and Korea. But did you know that China has the most golf courses in the world, and that the largest ones are in China. In fact, I went and played at a 100-hole course in Dangguan a few years back. Now that is one enormous course. It most certainly is.

The course was Mission Hills, and if you ever get the opportunity to travel to China, go on out there. Now, I have to remind, or alert, you all to the fact that it is hot and humid. It is like playing golf in Florida. Only, since this is China, it is much larger, with pretty girls as caddies.

Hey, how would you like to tee off here…

Mission Hills
View of the fairway after teeing off at Mission Hills in Dongguan, China. This is pretty typical, and the view will be pretty much like this one, all year long.

Of course, you don’t want to get stuck in a sand trap or plink one into the lake or the many pools that like just about everywhere. However, a golfer with reasonable skill can navigate the traps and “dog-legs” with ease. There won’t be any mishaps, though you might find yourself stuck in the ruff (or is it rough) from time to time.

Here’s another view…

Mission HIlls sand traps.
What a beautiful day to go out and play some golf. In Chinese it is called “gao er fu”. These courses are everywhere.

China is about playing golf with the girls.

And here’s another video showing one of the many, many, many driving ranges in a typical city.

I think that golf is a most excellent activity, and it deserves the time and concentration to get us up and out away from our social media and out walking in the sunshine with all that great air and clouds.

Caddyshack
When I go out and go golfing it usually ends up a little something like this. CADDYSHACK, Ted Knight, Rodney Dangerfield, 1980. (c) Orion Pictures.

Scams, scams and scams (Video 17)

China is also about people trying to pull scams and trick you up. You have got to be careful. Pay attention to this video. But it’s more than that, pay attention to the surroundings.

  • Average guys – dressed casually.
  • Typical 7-11 style convenience store.
  • Easy access to cigarettes and alcohol (whiskey and VSOP).
  • Easy access to betel nuts (Banned in the USA).

Now some kickers…

  • Buying cigarettes – hassle free and no ID required.
  • Monitored by CCTV with direct feeds into the police station.

Interior Decoration – Chinese style (Video 18 A&B)

China is about apartments that look like the Taj Mahal.

This would seem quite strange to Americans who associate homes and dwellings to be carpeted, with earth-tone painted particleboard walls, and wide multi-floor layouts. China is different, and a significant percentage of all the new homes, and decorations in China are done as polished stone slabs over concrete foundations.

This is the norm.

And here’s another micro-video. Here we see the housewife making up some food in one of the newer high-rises in China. These buildings are everywhere, and aside from the differences in layout and some minor cosmetic issues, they are all of this style and ilk.

You will also notice that in China, the housewife pretty much makes sure that the house is in order for when the man of the house comes home. There aren’t any kids toys lying all over the place, and everything is not only tidy, but cleaned immaculately.

Notice how this traditional conservative Chinese house is run. The housewife wears house-slippers inside. While more often than not the entire family would wear pajamas and “house clothes”, she is either right back from work, or getting ready to go out. You can learn a lot about the Chinese just by watching a precious few videos.

Throw away the “cardboard cutout” of “evil chicoms”. These are people just trying to live their own life. They have no idea about all the nonsense out of the American news media and how dangerous it can be. Instead of focusing on shooting people, blowing up cities, and “spreading progressive democracy all over the world” how about sitting down, having a beer and just make friends. Enjoy your time. There is too much hate spewing in the American airwaves. Chill out and get a grip.

吴海啸 – 你是我的ok绷

Continued…

OK. At numerous videos for this part, let’s go and move on to the next part of this post which covers more videos and further commentary about China.

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

What is China like? (Part 2)

Here, we continue on our exploration of China from the comfort of our own personal computer, personal laptop, or personal media device. This is a multi-part post because too many videos will prevent the post from loading, and also, I tend to get sidetracked on various issues.

Sorry.

As a quick reminder, to all the new comers here…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Steam-Shovel Doggie-Paddle (Video 8)

Why rent a tug boat, when you can just doggie-paddle up the river?

China is watching a steam-shovel doggie-paddle up a river…

To many Americans, who have been fed a steady diet of “Save the Children” commercials, war in Yemen, War in Somalia, and War in Afghanistan, it seems that the rest of the world still farms with oxen, and owning a shovel is a rare thing. It isn’t. High quality (and low quality, as well) tools and earth-movers are all over the world. We’ve been too busy playing in the mud in the primitive cultures around the world to notice what the rest of the world is doing.

Beer and Chicken (Video 9)

Life is far too short not to enjoy some chicken and beer with your friends. Why not? On a nice evening, how about cooking some chicken, and drinking some beer (make sure it's icy cold - it's best that way) and just hamming it up with your friends. What's stopping you?
Delicious fried chicken and beer.
Life is too short not to enjoy it with your friends. Really! You should be eating some wonderful chicken and enjoying some fine beer. You deserve it.

China is all about eating out with your friends outside on the porch, and having some delicious chicken and beer…

People like to eat. Humans eat. We also like to eat chicken. Chicken is domesticated all over the world and it is a rare, rare thing to be in a place where you cannot eat chicken. We have spent so much of our time thinking so much about our “American uniqueness” that we have lost sight that many things aren’t all that unique about America. They are common all over the world… What is unique is our Constitution and those of us that follow it as it was written. That is what makes us special.

Depth-charges using the dreaded evil plastic straw! (Video 10)

Plastic straw ban
While Americans are banned from using plastic straws, the rest of the world happily uses them. They are totally oblivious to the efforts being made by Americans to alter their actions and behaviors. Oh, yeah. Did you know that in other nations, the only people that are forbidden to use plastic straws are prisoners inside of correctional institutions. Funny thing, that.

China is about having “depth charges” through a straw. (Nope, China won’t ban plastic straws. Only liberal progressive nations do that.)

A bomb shot typically consists of a shot glass of hard liquor that is dropped into a glass partially filled with beer but sometimes with some other beverage. Many variations exist. When the shot is dropped into a superpint it is commonly known as a "Depth Charge," because it resembles the anti-submarine weapon being dropped on a target.

Oh yes. Please take notice of the poodle sitting on the chair to the left. Yes, in China you are allowed to bring your pets into the restaurants with you. In America you would never see any of this.

There are three reasons…

  • Plastic straws are banned in the larger cities.
  • Pets are banned from restaurants.
  • It’s against the law to drink beer (and whiskey) under 21.

While America has been raising taxes, again and again, over and over. Then throwing the money over military actions in near-poverty stricken third-world nations. In order to improve the lives of Americans, the money is now missing, so the only thing left to do is to improve things without money – by regulation and law. Thus, not only are Americans poorer, the money is getting squandered, but we are being regulated and taxed to a level unheard of in the rest of the world (though the UK is trying hard with “catch-up”).

Going to have fun with your GF (Video 11)

This is a pretty common thing. I wouldn’t bring it up except that it has happened to me time and time over and over in China. Yet not once in the USA. I mean, there are tons of pretty American girls, and all sorts of hotels in the States. It must be a cultural thing. I guess.

Beautiful American woman.
America is filled with attractive women, at least outside the liberal enclaves, where the progressives roam. This is screen capture from TOS “Bread and Circuses” which is a nice fit for the theme of this rambling post. Can you guess her role, and how our hero (Captain Kirk) leveraged the situation for his advantage?

Anyways, China is all about having a pretty girl take you by the hand up to the hotel room…

And, at that… here’s to happy endings.

Happy ending.
Happy endings, 1960’s Star Trek style.

Happy endings are legal in China. They are illegal in America. Is anyone surprised?

High School Basketball (Video 12)

All over the world, people enjoy playing sports. If I were to criticize anyone, it would be that they are not having enough fun in their life. You should have fun first, and work on a career second. Putting a career first to the extend that your life becomes dull and lifeless is a wasted pursuit.
The fun police.
There is a large and vocal minority that wants to bleach away all fun and enjoyment out of peoples lives. This is the progressive way of doing things. It serves the interests of the wealthiest so that they can lord over the rest of us as our owners. This is just the beginning, and they are only getting started.

China is about playing basketball in the High School gym…

How about instead of spending billions of dollars blowing up mud huts, and terrorizing poor farmers having sex with their donkeys, why don’t we all just settle our differences over some friendly games of basketball, or soccer, or billiards. Heck, I’d even vote for dominoes, or a cut-throat game of poker than have another trillion wasted in Afghanistan, don’t you agree?

Disco Streetlights (Video 13)

China is all about synchronized light displays. Even in small towns and rural villages. Don’t ask me why. I have no idea. But, it is a fun and creative thing to do. Not to mention that it increases the life of the LED’s used in the streetlights.

When you are no longer focused on problems, you can find other venues for creative activity. Like disco streetlights, glow in the dark automobiles, or robotic sex dolls. It doesn’t always have to be about killing other folk.

Continued…

OK. At numerous videos for this part, let’s go and move on to the next part of this post which covers more videos and further commentary about China.

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

What is China like?

Well, what is China like? Is it a smog filled “hell hole”, or is it a Stalinist storm-trooper stomping dictatorship? Just what the heck is it, and what is it like?

This is a post that I threw together after reading a fellow American justify the eight wars that America is currently fighting all over the globe. As he said “…it our duty to police the world because no one has it better than us.”

Eh? Say what?

Of course he was referring to a United States military presence all over the world. And at this I shake my head. Why is my tax dollars being spent in Timbuktu? Why are we building bridges, bases and helping the Saudi’s fight their wars?

Crown Prince Mohammed bin Salman
Crown Prince Mohammed bin Salman wants America to fight a war with Iran. To this end he is very active in providing financial enticements (bribes) to leading American Senators and Congressmen to support yet another military action, only this time in Iran.

Don’t the Saudi Arabians have enough money?

Why do WE have to do it? Why can’t THEY deal with their own issues?

Some background

To best understand what this question and the answers that it garners, we need to have a little background first.

Most non-Americans would be absolutely surprised to discover that the bulk of Americans think that the world is one big garbage dump, and only America is a half-way decent place to live. With this belief, it makes sense that America spread “American-style democracy” all over the world. No matter what the cost.

And so we do. Oh, yeah…

Linda J. Bilmes and Michael D. Intriligator, ask in a recent paper, “How many wars is the US fighting today?”

 Today US military operations are involved in scores of countries across all the five continents. The US military is the world’s largest landlord, with significant military facilities in nations around the world, and with a significant presence in Bahrain, Djibouti,Turkey, Qatar, Saudi Arabia, Kuwait, Iraq, Afghanistan, Kosovo, and Kyrgyzstan, in addition to long-established bases in Germany, Japan, South Korea, Italy, and the UK.  Some of these are vast, such as the Al Udeid Air Force Base in Qatar, the forward headquarters of the United States Central Command, which has recently been expanded to accommodate up to 10,000 troops and 120 aircraft.

 Citing a page at US Central Command’s (CENTCOM) website, they highlight the “areas of responsibility” publicly listed:

 The US Central Command (CENTCOM) is active in 20 countries across the Middle Eastern region, and is actively ramping-up military training, counterterrorism programs, logistical support, and funding to the military in various nations. At this point, the US has some kind of military presence in Afghanistan, Bahrain, Egypt, Iran, Iraq, Jordan, Kazakhstan, Kuwait, Kyrgyzstan, Lebanon, Oman, Pakistan, Qatar, Saudi Arabia, Syria, Tajikistan, Turkmenistan, U.A.E., Uzbekistan, and Yemen.

 US Africa Command (AFRICOM), according to the paper, “supports military-to-military relationships with 54 African nations.”

 [Gosztola points out that the U.S. military is also conducting operations of one kind or another in Syrian, Jordan, South Sudan, Kosovo, Libya, Yemen, the Congo, Uganda, Mali, Niger and other countries.]

 Altogether, that makes 74 nations where the US is fighting or “helping” some force in some proxy struggle that has been deemed beneficial by the nation’s masters of war.

-U.S. Currently Fighting 74 Different Wars … That It Will Publicly Admit

Good thing that we are in Turkmenistan. I can see how a farmer in Iowa would trudge down to his Congressman, and demand (by pounding on the desk) that his son goes and fights “the good cause” way off there. Just like you and your relatives have. Just like everyone in Ohio, Kentucky, and Illinois have. </sarcasm>

By the way… where is Turkmenistan? Do you even bloody know?

But those are just the public operations; the public stuff that you might be able to find in a newspaper or two. However, there are many, many secret and covert operations all over the globe, don’t you know.

Beyond that, there are Special Operations forces in countries. Jeremy Scahill in Dirty Wars: The World is a Battlefield, writes, “By mid-2010, the Obama administration had increased the presence of Special Operations forces from sixty countries to seventy-five countries.
 
 Scahill also reports, based on his own “well-placed special operations sources”:

 …[A]mong the countries where [Joint Special Operations Command] teams had been deployed under the Obama administration were: Iran, Georgia, Ukraine, Bolivia, Paraguay, Ecuador, Peru, Yemen, Pakistan (including in Baluchistan) and the Philippines. These teams also at times deployed in Turkey, Belgium, France and Spain. JSOC was also supporting US Drug Enforcement Agency operations in Colombia and Mexico…

Ah, yes. His statement stuck to me. I mean, it really did. I thought, you see, that that bunch of ignorance pretty much died off during the Bush years. So I was really taken back to have it repeated again, to my face.

I guess he is just fine throwing away money that is Constitutionally intended to be in our wallets. Hey! Some people don’t care what happens to the money once it is removed from their wallet. They justify it.

Obama and your money.
Obama was very liberal with the tax monies, as well as the money not yet collected. He gave it away like party favors at a drunk frat party.

However, I for one, do.

It affects MY quality of life. It affects my family. It affects what I do, how I eat, and my general health.

Chart of savings rate.
Hey! Dear reader, how much do you manage to save out of each paycheck every month? Not only that, but you and your family (with both working) how much are you able to save? You cannot. That is because of taxes, and you know what? Most of our tax money goes in to wars. War. Endless, endless wars…!

And no amount of bafflegab is going to change that.

Bafflegab.
Feminist bafflegab.

America is not supposed to be like this.

You have to understand that America, as founded, was the most amazing nation ever to grace this good earth. There are many reasons for this, but nothing can say it better than this…

  America's founders embraced a previously unheard-of  political philosophy which held that people are "...endowed BY THEIR  CREATOR with certain unalienable rights.." This was the statement  of guiding principle for the new nation, and, as such, had to be  translated into a concrete charter for government. The Constitution of  The United States of America became that charter. 

  Other forms of government, past and present, rely on the state as the  grantor of human rights. America's founders, however, believed that a  government made up of imperfect people exercising power over other  people should possess limited powers. Through their Constitution, they  wished to "secure the blessings of liberty" for themselves and for  posterity by limiting the powers of government. Through it, they  delegated to government only those rights they wanted it to have,  holding to themselves all powers not delegated by the Constitution. They  even provided the means for controlling those powers they had granted  to government. 

 This was the unique American idea.  Many problems we face today result from a departure from this basic  con­cept. Gradually, other "ideas" have influenced legislation which has  reversed the roles and given government greater and greater power over  individuals. Early generations of Americans pledged their lives to the  cause of in­dividual freedom and limited government and warned, over and  over again, that eternal vigilance would be required to preserve that  freedom for posterity.  

-Footnote: "Our Ageless Constitution," W.  David Stedman & La Vaughn G. Lewis, Editors (Asheboro, NC, W. David  Stedman Associates, 1987) Part III:  ISBN 0-937047-01-5 

You see, America today in no way resembles what our Constitution says it is supposed to be. It has turned in to a huge enormous monstrosity.

"Allow me, just now old enough to  apprehend the freak show for what it is, to put something radical to my  conservative forbearers: 

Little about the present state of American life is worth conserving. Nothing of what the Founders envisioned remains."

-Andrea Yung 

We Americans, living in this mess, move along with the flow and ebb of the political and social winds. Often making the most absurd statements, and rationalizations. Thus this fellow that I was chatting with.

He then went on to say that “We need to police the world. We need to spread democracy... ...the rest of the world is one big shit-hole, seriously.” And then asked me, “Have you ever seen the Mexican side of the border?

American houses in the suburbs.
America is very beautiful once you leave many of the urban areas. The sky is often awesome, the roads are wide and often clear of traffic. Many of the houses are large and spacious. When compared to other nations, the United States appears to be (hands down) the most desirable place to live. So it is natural to assume every other place is a hell-hole.

Now this is coming from a guy who doesn’t even has a passport, has never tried to get a visa, and his only experience outside the borders of our country was by watching reruns of Baywatch.

Ah. that old “no one has it better than us Americans” argument. We are so fortunate. Eh. Well, it plays well in Peoria. And it’s a good conversation stopper. As we, as Americans, have all seen the “Save the Children” commercials. That money-generating venture brought images of poverty into the living-rooms of Americans for decades.

So the argument seems to have some validity.

Seems to.

Being older with some experiences…

But, you know, I am from a different generation. I grew up in the 1970’s, and at that time between all the smoking blue haze we came to appreciate the strengths of the American system. We did so cranking Jimmi Hendrix, Robin Trower, Yes, Jefro Tull, Alice Cooper, Boston, Manfred Mann, Traffic, Uriah Heep and Three Dog Night.

"I'd love to change the world... but I don't know what to do.
That 70s show.
That 70s show was a television comedy that sort of reflected what it was like living and growing up in the 1970’s.

And…

We knew, since the Vietnam war was still fresh in our minds, that America should be for Americans. We did not need to be off throwing money, and wasting lives in some off-the-beaten track for some globalist oligarch.

We knew that America was wasting money in Vietnam. We knew that people were dying there, and many of them were friends, or relatives. We knew that what ever benefit would come of that war, none of us would ever see that benefit. 

We knew that the war was just pissing away American resources.
Scene from Vietnam.
Americans in Vietnam. We fought and died there for “democracy”. When the truth was that it was an effort with the wealthiest in America to game the situation for their very own personal advantage.

We, almost my entire generation, felt betrayed by those older than us. Those who ended up throwing away lives in far off rice paddy’s, and making laws against marijuana that everyone was obviously breaking. What was the matter with these people? We asked.

Why can’t they just let us be? Why do they have to take too much of our money, regulate too much of our lives, and go off fighting wars that are too far away? Why?

The 1980's meme.
Things were so different the. We weren’t expected to apologize for being born. We weren’t ashamed of our nation. We were American gosh darn it!

Well, that was only the tip of the iceberg.

A few more years passed. Ronald Reagan did put the breaks down to some degree, but he made some other blunders that (sad to say, eventually) set the stage for what was to come…

Geoprge Bush Senior
President Bush Senior spent most of his Presidency trying to undo the good works of Ronald Reagan. In many ways he was very successful.

First, was President Gerald Ford Bush (Senior) who made it his life’s work to undo “Reaganomics”, and implement The New Global Order. Then came a succession of socialist criminals, such as Clinton, Bush Jr and finally Obama.

Each one, in their own way, contributed to the state of affairs that America is enduring today. Each one played a role. Each one created the situation leading to all the complaints that we Americans have about “our” government.

They snipped the brake-lines to the American Constitutional government, and it has been in free-fall ever since.

Free-fall.

Ahhhhhhhhhh.

Those of us, still trapped in a rapidly decaying world try to grasp for straws trying to make out some sense of reason to the hordes of pink-haired ignorance, the black-thugs of Antifa and the BLM that seem to want to put average Americans in concentration camps.

So we listen to the news. Many, well meaning of course, have no idea just how tainted it really is. They believe the news.

They believe what it says.

The mainstream media news manipulates us.

They believe CNN when they announce that there are spontaneous protests all over the nation to ban plastic straws.

They believe MSNBC when they announce that President Donald Trump is a full Communist spy on the payroll out of Russia (oh and he likes to pee on bed sheets, too). And they believe FOX when they say that our military isn’t big enough and another “few” trillion dollars would be all that is needed to make the world whole again.

The most dangerous lies are the ones that you WANT to believe. Which is why FOX is just as dangerous as CNN. They both lie. It's just that they focus on different target audiences.

You maybe should open up a window or two and let some fresh air get inside your house. You’ve been cooped up way too long. Maybe since when the Partridge Family, or the Rat Patrol was on television, me thinks.

The world has changed, bub.

Shanghai city at dusk.
Beautiful shanghai at dusk showing the Huangpu river and financial district skyline in sunset. The fellow that I was talking to considered this city, Shanghai to be a “Hell hole” worthy of fighting to “free those imprisoned by the evil communists”.

While you have been sitting there reading American news, American politics, American music, and viewing American-centrist shows, the rest of the world has moved on.

We, as Americans have been boxed in by wealthy and powerful forces. Their objective is for them to achieve “ultimate wealth and power”. As such, they are an evil oligarchy, and they control you, the reader, through media lies, and intentional omissions of news.

Some parts of America has been completely engulfed by this poison. You can see it. It’s not pretty.

Detroit on a nice day.
Modern Detroit today. At one time Detroit was the automobile capital of the world. It produced products like no other. But successive generations of leadership decided to focus their energies elsewhere, and Detroit fell onto bad times. This is not the only place where this has happened. We have the “rust belt” in the Midwest, and of course, we all know about the crime riddled Seaboard cities.

Luckily, many parts of America has been spared. There are really very nice areas that have not been polluted by this blight. But the dark days are fast approaching.

Cleveland, Oho.
Beautiful Cleveland, Ohio. This small Mid-West city is typical of what America and is is populated by people that it represents. While there is a major blight in most progressive liberal areas, the rural and smaller cities has so far been spared the progressive influence.

But, why has this blight effected the USA in the first place?

While Bush had the United States fighting in the deserts of Africa, the rest of the world were planting trees, building malls, and rapid mass transit.

While Bush was giving the dictator of some unpronounceable tiny county, trillions of dollars to build up THEIR infrastructure, and build THEIR hospitals, our infrastructure was allowed to decay and fall apart. And when we complained about it, they came after us. Accusing us of tax evasion, or sexual deviance.

While Obama was working on “diversity initiatives” all over the Untied States, the rest of the world were improving their hospitals. While we Americans were being told by Obama to pay more in taxes, to tighten our belts, the rest of the world were having their tax burdens eased, and living under substantially improved lifestyles.

Yes, let me be the first person to tell you, the reader this, while we have been spending bundles and bundles of money in places that you cannot find on a map, the rest of the world has been getting wealthier, better, stronger, and healthier.

Kanye West – Stronger

No longer does the rest of the world look like a “Save the Children” commercial. For the most part, it tends to look like an upscale suburb of Chicago.

“In terms of financial cost, the numbers are staggering. Afghanistan alone has cost a trillion dollars. Just think what we might have accomplished at home if that money had been spent on education, job training, medical research, infrastructure improvements, water purification and sanitation. You can add to this list. It’s all important, but taking a backseat to our military funding.” 

-Endless war is bad for America
Scene from idiocracity.
Scene from the Science Fiction comedy called Idiocracity. It traces the dumbing down of the average American and the resulting effect that it has on society.

So, here’s the slap in the face for you all.

Laugh-In is no longer broadcast on network television, Hugh Hefner is dead, and Playboy magazine is no longer published like it used to be. No one wears “Earth Shoes” anymore, and “love beads” are worn as often as the waitress tells you that your elephant bell-bottom jeans are “groovy”.

Times have changed. The rest of the world has moved on.

The rest of the world has moved on, and I believe that we need to pay attention to what is going on. We need to open our eyes, look around, ask questions and just listen.

  • We need to look at the world around us.
  • The American mainstream media has failed us.
  • Politicized media, from both sides of the spectrum lie and tell partial truths.
  • There are no “experts” that have all the answers. They are all frauds.

You might need to visit Australia, Germany, Poland, or Thailand. Americans, listen up, the rest of the world does NOT have it worse than America. They have it differently, true.

But, worse… I don’t think so.

The rest of the world.
The rest of the world could care less about what is going on in the USA. They don’t know what the IRS, who the NSA is, and why the FDA is important. Though, they do have a pretty good idea about the CIA, LOL.

I’m in China, so we are gonna talk about China.

If I were in Australia, I’d talk about that absolutely amazing nation. Indeed, those Aussies have no idea how fortunate they are. Australia is an amazing place. It really, really is. From Kings Cross to Brisbane, it’s awesome from the top to the bottom, and I cannot find anything wrong with it at all.

Brisbane, Australia.
Beautiful Brisbane, Australia. Australia is a land of wonderful weather, beautiful girls and impressive scenery. Also, you should try their prawns. They are amazing!

And you know, what? The same is true about some other places, like New Zealand. Those Kiwi’s have it good too. I’ll tell you what. The thing is that they don’t go strutting around like a peacock, or like a big cock that is so sure of himself proclaiming “New Zealand is the best!”. They know they are good, and decent. They know that.

Milford Sound in New Zealand.
Milford sound in New Zealand. Queenstown is known to be the adventure capital of New Zealand, and actually, the world! However, in case you’ve been living under a rock, New Zealand’s beauty is the true draw.

Thailand is in a class by itself and I won’t spend too much time on all the great fun that can be had there. You just need to go out, and experience it yourself. After all, where else in the world will all the pretty ladies call you a “handsome man”, eh?

Thailand fun.
Getting ready to have some fun in Thailand. It the land of great food, amazing natures, and many, many smiles. This is a photo of a foreigner getting some money out of an ATM. If you are frugal, you will need to husband your money carefully, as Thailand has an enormous tourist industry, and you will need to be careful or else watch your money disappear before your eyes.

Canada has it’s charms, I’ll tell you what. But, it seems too much like a sister-brother nation to the United States. They seem to want to copy whatever progressive pronouncements come out of liberal academia. I know, I know, they speak French, and have politics more in like with the UK than anything resembling America, but it’s a very beautiful nation with some outstanding parks and scenery. Not to mention, just great people.

Still, still, it’s a gorgeous place, with some great fishing. If you ever get a chance to go fishing in Canada go do it. You will not be sorry. Just remember to take some bug-repellent. You will need two or three gallons of it.

Enormous mosquitoes.
Watch out! One of the signs features a large mosquito carrying someone away. Well, maybe they don’t get that big, but you’ve got to be well equipped.

Well, I’m in China. So I am gonna talk about China.

I’m not gonna narrate too much. Just a little wee bit. It will help you, the reader, better understand the context of what is going on in the videos, and that should lead to a better understanding of what you are witnessing. After all, watching Cirque du Soleil without any context would leave anyone confused and disoriented.

So, I’ll just let the micro-videos speak for themselves. You all can come to whatever conclusion you come to. That way the ignorant can’t blame me for “brainwashing” you, the reader.

As they often tend to do.

Banner Splash for Idiocracity.

The following videos describe the China that exists today, and not the “Save the Children” image so rampantly promoted in American media on both sides of the political spectrum. As we used to say in the industry “don’t shoot the messenger”.

They are fun videos. I hope you enjoy them.

Also, please keep in mind that the purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

This is a Paleo-conservative response to the hordes of Neocons that argue in favor of global armageddon.

This is what China is. (Video 1)

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

It is rare for a single company to make everything for their products. They buy screws from a screw manufacturer, they get rubber parts from a rubber manufacturer, and get glass from a glass manufacturer. Over the last two decades a system has developed where a company can retain control of it's products, but utilize cheap off-shore labor.

While the rest of the world was “off shoring” their products, China was learning, acquiring, and building. While the rich oligarchy in the USA and Europe were taking their enormous profits and investing in political power, liberal initiatives, and spending the money on lavish entertainments, China was working hard and studying.

It’s paying off.

Star Trek TOS
Scene from the television show “Star Trek”. TOS season 2. It pictured a world where America adopted the way that Rome ruled the world only doing so contemporaneously. I wonder, would Americans recognize the similarities between Ancient Rome and modern Washington DC?

While all this has been going on, some curious trends started to manifest.

Today, many manufacturers all over the world implement “supply-chain management”, which means (in layman’s terms) that they farm out critical sub-assemblies, and parts to other factories in other nations where the manufacturing costs are low. As such, they are able keep their core factories and manufacturing facilities and maintain their local labor rates, social benefits, and environmental controls, but only conduct final manufacture of components.

We know about this every time we call a help line that gets rerouted to India, or when we discover that it will take a month or longer to get a spare part for our American made product.

Many companies do this. Many, that you, the reader, have no idea has so many off-shored components and assemblies. Hey! Surprise!

And now for my first video…

China is about selecting the interior color scheme in your car…

…while you are driving it.

Yup. Bentley. Made in England with first-tier Chinese suppliers.

I really love Bentleys. I love everything about them. The primary assembly plant is in Crewe, England. They do a lot of supply-chain management and most of it is with Chinese and local suppliers. One of the things that I admire about Bentley is how they installed solar panels over their parking lot. How’s that for innovation, as well as keeping the parked car cool and out of the hot sun?

Bentley Parking lot.
Bentley parking lot, all protected from the sun with solar panels.

The point of this is simple. While almost every American company is outsourcing products, parts, and sub-assemblies to China, they are not alone. EVERY developed nation is doing this. America is not the only nation that out sources.

Getting close to nature – Camping Chinese style. (Video 2)

People are people everywhere. We like to eat, talk, and play with our friends. We like to fish, play sports, and just have a good time.

China is about having fun with your friends. Here we talk about camping in the wilds “Chinese style”.

Vintage camping illustration in the USA.
I think that everyone should experience camping with friends and family. In fact, it is the core of some of my greatest memories. Though, for me, putting a tent up often meant getting rained upon. Sigh.

Notice that there are a couple of things that I would like to point out to the reader to take note of and observe.

  • It is done as a group. The Chinese find strength in friendships. In America, it’s every man for himself, lone-wolf style. So camping in the USA is usually in small groups of two to four people. Camping in China is often a much larger communal experience.
  • There are different activities to meet the different styles and pleasures of the individuals. Some gals just want to sit at the table, drink wine and watch the guys play. Some want to play around and have a good time, while others want to cook and play some sports. What ever makes your boat float, I say.
  • Tents are there for passing out, sex, and naps, not to mention spending the night. They are usually the first thing to go up, and the last thing to take down. They serve as the anchor to the campground or camping area. Not the fire-pit, which would be more common in the USA.
  • They use portable tables, and stoves. It is rare to have open fires in China.

The point of this is that people all over the globe enjoy themselves. They fish. They eat. They get drunk, fall in love, and have a great time. (Maybe not in that exact order.) The rest of the world is not a Brazilian garbage dump or a smog filled desert. It’s not.

New, big and modern. (Video 3)

With two decades of rapid advancement, it should come as no surprise that antiquated infrastructure would be replaced, and new systems put in place. What is surprising is the pace, and the extent at which is is done. The speed, pace, and quantity of new structures is unlike anything seen int he United States.

China is about miles and miles of big, brand new, impressive skyscrapers, modern efficient public transportation, and fun night light shows.

This is not confined to the first top level cities such as Beijing and Shanghai. It permeates the entire Chinese society. It is everywhere. China was not squandering it’s resources fighting eight wars all over the globe, nor policing the Muslim world. They were solving their own domestic issues.

It is evident if you take a gander. Here’s a small town in China. Yes… believe it or not 4 million people is a town in China.

"It's all Chinese propaganda from behind the red-firewall. He's gone full Chicom. All you need to know is to check out his other postings. You shouldn't read or listen to anything he says."

-(Name removed by request)

The point of this is that the money wasted on wars against primitive people in crude terrain for appease the oligarchy could be used on Americans. It could be used on Americans to improve the lives of Americans. Or at the very least to curb the out of control devaluation of the US dollar.

China is all about the party. (Video 4)

Chinese culture is traditional conservative. They follow the model that has been in place in China for 5000 years. They tried the progressive liberal Marxist technique under Mr. Mao and it darn near exterminated 30 million people. Since the 1970's they have implemented a new type of government. They call it "Socialist with Chinese Characteristics". 

What it is, using American vernacular, is a Conservative Dictatorship following Reaganomics, and a "Make China Great Today" philosophy.

Being conservative, and traditional, drinking alcohol is part of the culture. All those attempts to cub “vices” stems from progressive and liberal schools of thought.

VIntage Hamms beer advertisement
In past in America, people could drink and smoke and party to the wee hours of the night. This all started to change when progressive liberal values began to “improve” American life, society and culture. One of the first things that they tried to do was ban drinking. It failed, so they started to tax it. Then came smoking. Then came all sorts of restrictions on various other vices. Don’t let the progressive democrat narrative of freedom to “get high” fool you. They only want to control you, and the most effective way is to control access to vices.

If you talk to someone who says that they visited China, but they cannot tell you about the KTV experience, they are either lying or lived a very exclusive life. You know, how Hillary Clinton mingles with the people in Walmart. The Chinese party scene is not like what you have in the United States, where a certain percentage of the population, maybe 20-40% might want to go out and drink and dance. In China, it is ingrained in the Chinese culture.

Everyone, to one extent or the other, parties.

Friends, family and business associates will naturally invite you to dinner and drinks, and if they and you are worthy of friendship, a KTV. If you are not worthy of such an experience then you are, and will forever be, an outsider.

Thus one way that you can determine just how knowledgeable a "Chinese expert" is in the understandings of China, Chinese people, and Chinese culture, is to ask them about their experiences drinking, singing, and hanging out with the Chinese people on a personal basis. 

The more experiences they have, the more visceral their understanding is of the Chinese sphere.

China is about going out to the clubs or the KTV’s with tons of pretty girls and getting drunk to the gills…

Most people around the world party and have a great time. This would continue whether or not Americans burn money in wars or not. However, the kinds of parties that you have and the extent of your enjoyment at them are a function of the value of your currency. By devaluing the USD through constant fiscal mismanagement, and endless wars most Americans have to settle for the cheaper kinds of entertainment. It’s beer and Doritos instead of steaks and galas.

China is ENORMOUS. (Video 5)

China is an enormous nation, about the same size as the USA, but with a billion more people.

Yes it is. In fact, it is geographically similar to the size of the United States. That means that it is enormous.

United States is around the same size as China. China is approximately 9,596,960 sq km, while United States is approximately 9,833,517 sq km. Meanwhile, the population of China is ~1 billion people (1 billion fewer people live in United States).

Size of United States compared to China - MyLifeElsewhere 
Comparison between two nations.
A size comparison between the United States and China. They are roughly the same size. Though, I would tend to say that while China has more mountains, the USA has a longer coastline. Also there is a greater difference from the one end of the nation to the other.

China is about amazing parks, scenery that seems like it came from a science fiction movie, and strange and exotic wildlife…

"These videos that you post aren't from China. They are actually from parks in America. You should stop being so deceptive."

-Gonzoberry

The size of the nation does not change whether it is involved in wars or not. However, the quality of the life inside that nation is a function of the amount of money the government spends on it. China has been spending billions of dollars improving China, the infrastructure and the lives of it’s people. America has been squandering it in Yemen, Libya, and Afghanistan, to name of few places.

Diverse range of cultural stratification. (Video 6)

All nations are culturally stratified to one degree or the other. China is no exception. However, the rapid rate of growth over the last two decades has created a melting-pot of social classes, and they all mingle together.

America is stratified. There are the oligarchy that lives in their exclusive areas, and the “upper middle class” which are now almost entirely working for the government, and the rest of us. We are further segregated in where we live. There are the urban liberals, and the rural conservatives, and it is quite a rare thing to fall outside those two groupings.

In China there is a mish-mash of cultures, and social stratification. This can manifest in different ways depending where you are.

China is about getting a $1 haircut on the street…

Social stratification arises through all cultures. The best way to manage it is to provide services based on merit, and ability. The worst way is to provide services based on what group or “tribe” you are a member of, or what your gender is, or some other characteristic based on demographics. China provides services based on merit, and thus the society is homogenized. India and America provides services based on other concerns, and that leads to dangerous social stratification.

Playing with your dog. (Video 7)

Life is about living. have a good time and enjoy yourself. Why not? Eh?

And China is about going for a ride with your dog…

Every nation has people enjoying their time with their pets. This includes China. Where most of China enjoys playing with their beloved dogs. They don’t eat them (at least 99.95% doesn’t). One of the things that aides social stratification is the creation of an “us” vs. “them” mentality. One side demonizes another, and makes them seem inhuman, cruel and evil. Thus the reason for the last five decades of anti-Chinese propaganda originating out of the liberal media outlets in the United States.

Yes, China is many many things.

While America is willing to pour trillions of (taxpayer) dollars into third-world shit-holes all over the globe, China has a different plan. China invests the money for China. In China, it is “Make China First Today”.

In America, you have a percentage of the population that also see the value in this. They voted for Donald Trump, and they wear MAGA hats, which is pretty much an Americanized version of the Chinese slogan.

Here’s to all those people in America that believe that America should be great again, and stand for something.

Drew Carey show cheers with beer.
Here’s to all those people in America that believe that America should be great again, and stand for something. Here’s to a nation that puts God before everything else, and accepts the premise and the promise that Rights are ordained by God, and NOT by man. Here’s to all the people who have fought for and died for this belief.

And…

Looking that the world as it is, first hand, both the good and the bad, gives you a better perspective on your own life. There are good and bad things about the USA, just like there are good and bad things about China.

Continued…

OK. At numerous videos for this part, let’s go and move on to the next part of this post which covers more videos and further commentary about China.

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Really Strange China (Part 14)

Let’s continue on exploring China from the point of view of odd, strange and different from that of the “West”.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Chinese Malls

Chinese malls are everywhere, and they tend to be enormous. A mall is generally a sign of a healthy and functioning middle class. Before China kicked out the progressive liberal communists, there just weren’t any malls in China at all. Then, when Mr. Deng started to introduce Reaganomics (though under a Chinese-friendly name), the economy flourished, and malls started to pop up everywhere.

Here’s a typical mall. I think this one is in Hong Kong, if I am not mistaken.

Youngsters performing

I personally love this video. It shows some young drummers performing in front of an audience. It’s pretty cool.

Education for the children

In China, every spare moment that a child has seems to be packed into learning. This can be exhausting, and many children want to play some computer games to escape from “the grind”. You cannot blame them, can you?

Well, a number of Chinese parents figure that if you want to play a computer game, how about one where you can actually learn something. Thus, there is a market for business simulation games. This is a small, but growing niche, where you can become a farmer and eventually become a real estate tycoon. Or maybe try your luck moving a factory making widgets into a global enterprise. These simulations help that.

Here, a young elementary student can relax by running a farm and trying to make a profit…

RV Rental

In China you can buy, or rent recreational vehicles. You can do so just like it is done in the USA. Here’s what it looks like…

Chinese Roads

As I have alluded to previously, the Chinese don’t waste their time going up and down hills. They just build over them, and if there is a mountain in the way, they just plow straight through it. They do not mess around.

China is a nation with an enormous population.

Never forget that, eh? There was a reason why China instituted limits on the number of children that you can have. While they have removed this limitation, many Chinese has opted not to have too many children as they are unwilling to take on the increased tax burden.

And that is it. I hope that you enjoyed this posting of the strange and unusual life of China as compared to America.

Thank you for visiting. I hope that you enjoyed this post and maybe learned something new in the process. Have a wonderful rest of the day!

And, may your days and nights be filled with happiness.

Thank you.

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Really Strange China (Part 13)

Here we continue with our various videos of life in China, and how strange it must appear to a foreigner.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Inside a bullet train

Bullet trains are all over China. There is an enormous network of them. They are very comfortable to ride in, and their cost is reasonable.

I looked at various sites on the internet, and it’s a hoot how everyone tries to justify the superiority of Amtrak compared to China’s bullet trains. They imply that China’s bullet trains are nothing to be proud of.

It’s funny, but sad too. You know, the first step in recognizing you have a problem is to face up to it, and announce that you have a problem. (12 step program for those of you who don’t know the reference.)

They do one on one comparisons and come to the conclusion that they are similar. What a laugh. The trains are similar. How can you possibly come to that conclusion?

The one article (linked above) starts off straight forward enough…

Comparision of China's bullet train to amtrack.

But then it starts to show a bunch of photos how they are really just pretty similar, aside from the price, and speed. As if the train stations are identical. (Have you been to an Amtrak train station lately? Talk about a run-down 1960’s era ghetto.)

Really?

Here’s a screen capture from the article listed above.

amtrack comparison 1
In the comparison they say that the two systems are pretty much the same. After all, it is the politically correct thing to say. Right?

What’s what the article says. Then, it shows this following picture.

Is that the only difference?
Is that the only difference? Really? Are you so dumb founded blind in political correctness not to notice the differences right in front of your face?

In China, the stewardesses are all female. They are all attractive, and rated in beauty, physical appearance, and weight. They are all under 35 years of age.They are trained to be demure and act very respectfully.

In America on Amtrak, there are no age, appearance, weight or gender requirements. The attendants can be polite or not, fat or not, ugly or not, burly or not. In the progressive reality that is America today that is the reality. But don’t deny what is right in front of your eyes just for the sake of political correctness.

This is what the interior of one looks like…

And here’s the view outside…

Oh, and while I am at it, here is what the over all appearance and image that the Chinese bullet trains have in China and around the world.

In contrast Amtrak is viewed as sluggish, antiquated, and brutish. Check out the video and then go ride on Amtrak to see what I am talking about.

Train Stations

Here’s a quick comparison in train stations.

First, we check out Amtrak’s stations. The photo below is a historical station known as Sandpoint. Now, don’t get the wrong idea.

I do happen to love history and American rail has had so many absolutely awesome train stations. What about the one on Allegheny avenue in Pittsburgh, or the massive complex in Detroit? Ah, but they are all gone now.

American rail.
American train station – Sandpoint station. This is typical of what remains in the United States for railroad stations for passengers.

All that remains are little quonset hut kind of affairs. You know the kind used to give the impression of progressive advancement by tearing down the old. Sad.

Now, let’s look at the train stations that you would encounter were you to board a train in China. This is from the 3nd tier city Tianjin. It’s third tier, ya all! It’s just a little Po-dunk city.

Chinese train station.
Chinese train station in Tianjin, China. It is new. Well taken cared for, and well maintained. There are no beggars and the floors are so clean that you could eat off them.
China high speed rail.
Chinese high-speed rail. Look at all those bullet trains. How many billions and billions of dollars was spent for the California high-speed rail, and what? Only 15 miles were completed, and not one single train was fabricated? What a waste. America is much better than that.

Ask yourself how, and why can China do this, but much bigger, and better USA cannot? Maybe fighting eight simultaneous wars and spending 65% of welfare benefits on illegal aliens has something to do with it. Eh?

Maybe. But I also like to think that part of it is because China is a meritocracy.

America has so much to be proud of, yet we have let our achievements grow fallow, and our leadership become corrupt. We, our parents, and our grandparents are all responsible for the sad, sad state of affairs in America today.

Pittsburgh train station.
Pennsylvania Railroad Station Pittsburgh

How bridges are made in China

Once you visit China, you will notice that everything seems to be above the ground. All the highways seem to go over the houses and through the mountains. This differs from the United states, where the roads must curve around and get permissions to build and put roads in. Not so in China.

They just build over everything. It’s sort of like this…

Classes and testing in China

Classes in such a populous nation as China can get to be pretty enormous. The same is true for tests and testing. Here is sort of what it can look like…

Uses for a Harley-Davidson Motorcycle

The Chinese people do tend to be a bit innovative. This is especially true in the rural countryside. Here we see how an old Harley is being utilized on the farm, as it were.

And that is about it for now. Let’s continue with our exploration further, shall we…

OK. At numerous videos for this part, let’s go and move on to the next post which covers even more strangeness inside of China this month…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Really Strange China (Part 5)

Let’s continue with our exploration of unique and odd China. Hey! What do you think about the splash picture? Pretty odd, eh? Yeah, I know.

It’s a green-friendly police armored car. It is a fully electric armored police car for use domestically in China. You can read about it HERE, or HERE.

This is the mad Zijing Qingyuan Armored Spherical Cabin Electric Patrol Vehicle, seen on the Security China exhibition in Beijing last month. The vehicle is developed for anti-riot work by police and paramilitary forces. Its most interesting feature is the spherical cabin, allowing policemen a 360 degree look around. 

-Car News China

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Xpeng Motors premieres its EV-GA

This is pretty cool. This is a self-driving car made in China for the Chinese market. It’s got some pretty unique and amazing features that are decades ahead of most Western automakers. Hey guys! You’d had best fire your “diversity officers” and hire more engineers, don’t you think?

A "diversity officer" is a highly paid employee of a company that makes sure that political correctness, and other progressive issues are implemented in the company where they work. This was an Obama initiative, and most of the fortune 500 companies in the USA today have them. They are nothing less than PC commissars. 

To understand what I mean about the dangers of having “Diversity Officers” instead of trying to compete against the very aggressive Chinese industry, you need to read what I have to say about their purpose. Why they are present in the United States. You can read about it at the link below. It will open up in a new tab so you need not worry about losing your place here.

Anyways…

Back to the subject at hand. XPeng Motors has unveiled a self-driving car. It is the EV-GA. It has numerous very unique features that will enable it to operate successfully in hyper crowded China. (You know, where there are cars all over the place, breaking every rule in the book… where little old ladies with walkers stand in front, and donkey carts pull in behind you.)

I argue that these are new innovations.

Not only new, but unique to China and if China continues in this pace of innovation, American industry won’t have a chance in Hell to keep up. Thus, my argument that the $450,000/year salary for a GM “Diversity Officer” would be better served hiring five design engineers instead.

Check out this video. And, unlike other videos that I might have posted in the past. This is not CGI. This is the actual car. It uses all four-wheel electric drives and operates in a a manner similar to the NASA Apollo lunar rover did.

Of course it will only be sold domestically.

This level of technology would take a while to be accepted and approved through the maze of regulations in the United States. I do know what I am talking about. You would not believe the amount of money that changed hands for us to get LED’s used in automobiles in the 1990’s. It was like pulling teeth.

What? You think that they just popped up and simply replaced traditional incandescent automotive lighting without having some bribery take place? You believe that, eh? 

It’s a protectionism racket, and the government is not only complicit, but they often initiate all the hurtles you must climb through.

American bribery
Crime and corruption is rampant all over the United States. The reason why it is not recognized by international organizations is because it is institutionalized. They only seem to care about low-level bribes, and simple corruption and money laundering. Once the amounts reach a dollar figure somewhere in the millions, it is considered acceptable and is no longer tracked. The reason for this is because at that enormous size, any respectable government would “of course” investigate the wrong-doers. In the United States, this level of bribery is institutionalized by the very people who are supposed to be watch-dogs for this kind of activity.

Of course, many people will take offense at this statement. You know, that the United States government has become a terribly corrupt organization. Well, to that, I must remind you about all the publicized corruption at the DOJ and FBI, but you know, that’s not what I am talking about here.

I am talking about using the power of the government to regulate for personal financial gain. Like what happened with PT-141. You can read about this sad state of affairs at the link below. It will open up in a different tab, so you need not worry about losing your place here.

PT-141

Police Drill

The Chinese practice, and practice and practice. They believe that you must have the fundamentals down so that you can implement them automatically when the time comes to use them.

Here is a video of a police drill of the take down of a car in pursuit. Unlike the USA where you might have jurisdictional issues, in China, the various jurisdictions collaborate together in crime prevention. They have to. China is far too large, with too many people to play around for political posturing.

Snow Bunny

Ah. We have them in the states as well, don’t you know. But here, the snow bunny is actually dressed up to look like one. LOL. I think that it is enormously cute.

Just some Guys Having Fun

Here is a video of just some guys having fun. Seriously, we should be doing this kind of stuff more often than not, I’ll tell you what.

Maybe, by checking out the diversity of the micro-videos and the uniqueness of the subject matter, you (the reader) could better understand that China is an enormous and complex nation. It is growing and it is being managed by people who have got into positions of power through merit. The Western narrative, or as I like to say “cardboard cutout” of what China is, is a dangerous lie.

We have to recognize that China is growing and is not a nation to take trivially.

OK. At numerous videos for this part, let’s go and move on to the next post which covers even more strangeness inside of China this month…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Really Strange China (Part 9)

Here we continue on our pleasure of watching really odd videos of everyday life in China. I hope that you, the reader, are enjoying yourself as much as I am.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Trick Glass in Chinese Parks

As I have mentioned previously, many of the parks in China are at the summit of enormous mountains. Well, many of the paths have glass-floored bridges. And, the Chinese, being the jokers that they are, often have little games and tricks that they like to provide people with.

Like, for instance, cracking floor glass…

Protection from Progressive Socialists

The Chinese well know what a fiasco the implementation of communism was under Mr. Mao. They will never forget the roving bands of SJW’s and the 30+ million people who died because of those lunatics. That’s one of the many reasons why they got rid of them back in the 1970’s.

As well as one of the reasons why they today have absolutely zero sympathy for SJW, radical Muslim fanatics, or LGBQ activists. They capture them, subject them to mental evaluation, treat them for mental conditions if appropriate, and then imprison them to keep the rest of society safe from their dangers.

Anyways, the Chinese haven’t forgotten the intensely long period of terror that was the SWJ norm in China. Today, in China, if you have the money, you make little pigeon holes or egress chambers to hide in and to protect your valuables. You can never be too safe.

Some are quite ingenious.

Chinese Automobiles

The Chinese automobile industry is staffed with high-performing engineers, and if there is some technology that another automotive company has, they hire the engineer to work for them at 4x their regular salary. The Chinese do not mess around, and they reward high performers and workers through merit.

You can see this in the latest crop of Chinese products marketed to the local Chinese…

Technology in High-Speed Rail

The Chinese love those enormous LED / LCD screens. You can see them on the sides of buildings everywhere. As well as on the sides of trucks, and in other places. You can have them on the floor so it looks like you are walking on water, or on the ceiling like there is a dragon flying above your head.

Here is one on a high-speed rail (bullet train) in China. It’s pretty common, though for people who have never seen this from outside of China, you would be amazed.

And, here is an application where the floor is touch sensitive LCD screen. It’s a nice effect and becoming quite popular in China.

I have however, no idea if anyone is implementing it in the USA.

Small Town Restaurant Advertisement

Like the USA, there are all kinds of “mom and pop” little “cubby hole” restaurants everywhere. Once they get the money, they try to advertise. You know, to get more business. This is true in China.

Here is a typical mini-commercial for a local family restaurant. It’s called the YuKee Restaurant. (The Yucky restaurant…LOL!)

Flying First Class

In China, like the United States, are different classes of travel on domestic airlines. The three classes are the same. There are coach, business and first class.

For reasons that will take about 35 posts to explain, the Chinese have more disposable income than Americans have. Thus, they can afford things that many Americans cannot.

You can see this manifest in different ways. One of which is in the proportion of class seating on commercial airlines. The societal stratification in the United States is very severe compared to China.

On airlines in America, most rich and wealthy people travel on their own private airlines. Successful people, not owning their own airplanes, would fly first class or business on commercial airlines. Thus, you would have a tiny section in the forward compartment of the airplane devoted to these higher paying customers.

In America, this might be a total of ten to twelve seats.

While in China, it might be a much higher percentage. Maybe the front 30% of the plane as opposed to the front 5% of the plane that you would experience in America. In fact, there are even entire planes where 100% of the customers fly first class or business.

Here is one example…

You will never see this in the Untied States simply because the gulf between the rich and middle class is so outrageously enormous.

That’s about it for this post. Let’s move on to the next group of videos in the next post, shall we…

OK. At numerous videos for this part, let’s go and move on to the next past which covers even more strangeness inside of China this month…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Really Strange China (Part 8)

Here we continue with our exploration of China through some curious and amusing videos.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Pets in China

Most Americans think that the Chinese eat pets. This is a falsehood propagated through ignorance and comedy. Yes, there are a few hillbillies who still eat dogs, and fewer still that eat cats. They cluster in the rural sections of the Guangzhou region. They have their own festivals and menus, and I am sure that you can find all sorts of things about this on Google.

You won’t however, find out about the much, much larger dog culture there. Google is just a voice for the oligarchy. They want to keep you ignorant and living in fear.

The reality is actually much more interesting and very, very strange. Many people treat their dogs like family members. They dies their hair, and have them wear clothing and sit at the table and eat with everyone. It’s pretty darn strange.

This video is not an uncommon scene…

Making Noodles

This is the traditional way of making noodles, and yes, you can see this in just about every city in China. It’s common place, though to a Westerner, it will seem strange and exotic.

Crowd Control

Actually you have to hand it to the Chinese. They do know how to handle crowd control.

Rocket launches

China has an active space exploration and utilization program. It operates unfettered by the political winds of the nation, unlike the United States. As such, they have a crewed space station that is occupied by the same number of people as Americans have on the ISS. They are also developing their moon base initiative, and they are proceeding forward with it via joint venture with the Russians.

When ever there is a rocket launch, which is fairly common, people gather from all over to watch the spectacle. It’s pretty impressive.

Duplex community pools

Yes, China has suburbs. There are all sorts of different kinds of housings and housing developments all over China. One of the latest trends is to have duplex complex communities with a shared pool arrangement.

Instead of a back yard behind a duplex or condo, the Chinese prefer to have low maintenance shrubbery and a communal pool. These pools tend to be long, like a waterway. You can enter them from your back door and take a swim any time you want.

As far as I know, this style of building or living arrangement is unique to China.

And here’s a different one. Like I said and alluded to, these are all over China. Most Americans will, unfortunately, never encounter one because they are usually never given the opportunity to visit a home of an Chinese coworker, colleague or businessman.

OK. At numerous videos for this part, let’s go and move on to the next post which covers even more strangeness inside of China this month…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Really Strange China (Part 2)

Here we continue with our video exploration of some of the crazy and odd things (or just unusual things) going on in China this month. As a kind reminder that this is not so much for entertainment value as it is purposed towards looking at how we do things in a new light. And, as the situation is exposed, in a new appreciation.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Regional Festival

I do like and enjoy regional fairs. I always have. It didn’t matter if it was a apple pie baking fair in Milford, Massachusetts, or a apple butter celebration in Clarion county in Pennsylvania. I loved them all.

Apple butter festival
Here is an apple-butter festival in California. Look at everyone having a grand old time. These little festivals are certainly worth your time and effort to participate in.

If you ever get an opportunity to go to a regional fair, I would strongly suggest you do so. They are all fantastic and worth the time and cost to visit. In fact, if I might be so bold, might I suggest some of these ideas for fairs in the United States…

  • Any pie, ice cream, or bakery fair.
  • Any type of Amish or Mennonite fair.
  • Fairs related to antique tractors and farm equipment.
  • Fairs related to local history.
  • Fairs related to reenactments.
  • Fairs related to maple syrup production.
  • Fairs related to grapes or wine production.
  • Fairs related to dog, cat, horse or other critters.
  • Fairs related to renaissance revivals.
  • Bluegrass festivals.
  • Any fair sponsored by a historical club, community or organization.
Apple butter sundae
Fresh apple-butter sundaes made at an apple-butter festival. These are not only very fresh, but they are amazingly delicious. There is nothing quite like fresh home-made ice cream and fresh home-made apple-butter. Yum!

I really do enjoy festivals.

I think that over the years I have forgotten how important it was for me while I was growing up. I started to take them for granted, and forgot the pleasures and excitement that I had, as a young boy, attending them. I remember a historical building walk and festival in Georgia that was awesome. I also remember a festival of the old west when I lived in Ridgecrest, California. (My China Lake days.)

Such history.

Not to mention the various regional foods. Let me tell you, you haven’t lived until you tried some BBQ at a festival in Texas, or a Chili cook off.

Limburger sandwich.
Here is a limburger cheese and bratwurst sandwich from a fair in Wisconsin. Now who in their right mind wouldn’t want to have a bite of this? Eh? Especially with s cup of some fine local beer. Doesn’t that sound great?

Just like in the United States, with seasonal fairs, the Chinese celebrate their own regional holidays and traditions. Here is one such holiday, were the local ladies dress up in traditional attire and have a parade into the community. In China, local traditional fairs can include costumes, regional food and drink, and of course the local traditional activities.

Which in China, can be quite elaborate and noteworthy…


Upon close inspection, you’ll notice that the outfits are not identical. Each one is subtly different. They are not costumes. They are family heirlooms.

The different colors of the dresses all have meaning, as well as the different decorations and caps on their heads. Just like the Irish and Sottish have different patterns for the kilts and weaves, the Chinese do the same for the various traditional attire.

In China, you can tell from the subtle differences in the clothing just which family is represented, where they come from and the family’s ranking in the community. Just like you could tell it in Ireland or Scotland.

Irish patterns
A random selection of different Irish patterns and the families that are associated with those patterns. All over the world are these little nuanced differences, and we have forgotten their importance, and the importance of festivals and families.

There are many, many different ethnic regions in China, and it would take a long series of complex posts to describe even a mere overview of them. Which, of course, really wouldn’t be appropriate here. This post is just an introduction to differences in culture and how, by looking at them, we can obtain a better appreciation of our own culture.

Oh yes. America does have a culture. Numerous ones actually, and they are all totally and completely awesome! Don’t forget that.

Speaking of awesome American culture. How about some awesome and genuine American food? Right? Am I right or am I right? Maybe something along the lines of this…

Ruben Sandwich.
What is better than a delicious Ruben sandwich? I like it served with thousand island dressing, and then I love to dip it in the dressing when I eat it. You know, the secret in making a good Ruben is avoiding having the bread get soggy. You can do this by enclosing the sauerkraut with the meat, and toasting the bread properly.

Here is another view of this particular ethnic subculture. I really love the outfit, and the smiles on all the pretty girls.

The silver adornment all has a reason and purpose, as well as a story. The crown on the head is full of symbology and meaning… especially toward the various individual family histories. The patterns on the dress, as well as the color differentiate the various history and region that the wearer comes from.

I find it all so very fascinating.

There are many, many different sub-cultures within China, and each one maintains their history, their dress, their culture. In fact, the Chinese government promotes this as an important part of China’s heritage.

I don’t know what it is, really, but I love to see beautiful women in regional clothing with history and meaning. It makes them so multi-dimensional and infinitely interesting. It makes me want to meet their families, drink and eat at their tables with their aunties and uncles and try their delicious regional food. Oh, what stories they could tell.

While the gals in the above two micro-videos are from the interior mountainous regions of China, here is a gal from the Northern regions. I think that she is just awesome. Don’t you?

I do believe that I will do a couple posts on this subject in the future, as it is truly very interesting and absolutely ignored by the American mainstream press.

Ah. I just cannot help myself.

Here is yet another different regional ethnic group. Again, I find the colors and the outfits mesmerizing. And look at how happy and proud of their heritage they are. When I go back and visit the USA, I am often afraid of saying anything lest a “white person of privilege” offends someone. Sigh.

All this is pretty cool, but it has some implications that may people miss.

For instance, if you decide to learn some Mandarin before you go to China, thinking that it’s everyone’s mother tongue, you might be in for a surprise. It’s not spoken everywhere.

Although Mandarin became the national language more than 100 years ago, many Chinese people can’t speak it. There are literally hundreds of dialects and languages in China, and Mandarin is just one of them.

Young people will usually speak Mandarin, because it’s taught in school. Just like many of them will be able to communicate in basic English to you. However, if you’re speaking to older people they may only speak their native dialects.

Moving on to a different subject…

Morning Exercises in Elementary School

All public schools in China have morning exercises. They are typically held in the front courtyard of the school and involve jumping jacks and other such “limbering up exercises”. This is the same as what you would expect in certain schools in the USA, like military academies and religious schools. (Not so in American public schools.)

Over the last few years, the Chinese methods of education has been changing and evolving. This includes everything from studying physics to music. Now it also includes sports and exercise, and yes, over the last decade that has begun to change.

Today, many, though not all, utilize dance routines to limber up the children for the day’s study events. They play popular Chinese songs (Pop-40) and the children exercise to the choreographed dance routines associated with the given songs.

Here is a nice video showing that…

This kind of activity begins as early as pre-school, and continues to long after graduation. Many companies have morning exercises and routines to get the crew and staff ready for work.

Here’s another school, doing a different dance routine. Notice how much fun the kids are having. They don’t even notice that they are exercising. Instead, they view it as a fun activity.

When you think about it, it makes total sense.

Children work just like adults do. Only their work is through play. That is how they learn. Girls like to play with dolls so that they can learn to be able to care for the family. Boys like to play with blocks and build things. That is how they learn to make things and then sell them to earn money for their family.

The great Fred Rogers was right in this regard.

When children are having fun and playing they are learning at top efficiency. When the effort is dull, prolonged and boring, they are not learning. They are memorizing for repetition. The Chinese have a long way to go towards understanding this fully, but they are implementing this technique in many schools.

And, they are doing so quite early.

Here we have pre-schoolers learning how to dance-exercise in the morning.

Adults Exercising in a Gym

Of course, you don’t need to attend elementary school to exercise. You can do so in a gym. The only thing is that the Chinese also like to have this kind of disco / club / DJ / House exercise environment. That’s one of the things that I really like about China. Pushing iron and driving yourself hard to music.

It’s sort of like this…

Pretty crazy, eh?

OK. At eight videos for this part, let’s go and move on to the next past which covers even more strangeness inside of China this month…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Really Strange China (Part 1)

China is a big country, with a huge population. At any given minute there are all kinds of things going on, from the curious to the absurd. That’s pretty much what makes travel so enticing. You are exposed to new ideas and new ways of doing things. These new ways might be better or worse. Yet, the fact that they are different adds value to your experience.

If the new experience is better, then you can see where your previous assumptions might be wrong. This is what happens when you move out of your “comfort zone”. You learn that what you are accustomed to might be wrong or problematic. A good example of this is paying for drugs overseas. Drugs are cheap just about everywhere outside of the USA.

If the new experience is worse, then you have a better appreciation of what you have come to accept and live with. A good example is going to the public toilet in rural China. You really start to appreciate Western-style public restrooms.

I created this post to illustrate that there are many ways to do things, and often these other ways might appear odd, strange or simply curious to someone who is not used to them.

You know, when I first visited China, (back around 1993 or so) many of my (new) Chinese friends thought that a “hamburger” was exactly what McDonald’s made. They had no idea what American food was, and their only exposure to it was McDonald’s or KFC.

McDonald's burger.
A McDonald’s cheeseburger. It’s ok to eat. It’s nothing fancy, but rather plain and mass-produced fast food. The “meat” is too processed and full of preservatives, and the toppings are rather pedestrian. The bread roll is bland.

They were surprised when I explained to them that hamburgers are something totally different from what you would find in most (not all) American “fast food” restaurants. They didn’t believe me, and had a very difficult time visualizing it. So, what I had to do, was make up some home-made hamburgers on real rolls, with fresh fixings to show them.

A real hamburger.
This is how a hamburger is supposed to be made. It is 100% real beef. The roll is fresh and has a crunchy outside crust. It has fresh vegetables, and is cooked just right.

Well, China is like that. They often do things different from we are used to seeing. And because of that, that kind of exposure, let’s us view things that we have taken for granted over years and years of exposure.

Maybe we need to take a look at why we do certain things in certain ways. As such, we can start to question if the things that we are accustomed to, are really the best way to do them.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Monkey Style

I was raised watching David Carradine in the television show Kung Fu. I, like many of my generation became entranced by his Shoulin kung fu style. I, as well as most Americans, at that time, only knew of two ways of battling bad guys. (Aside from shooting them, and boxing them.) That was by using Karate, or Kung Fu.

Kung Fu television scene
For many Americans, we learned about Kung Fu through television and movies. Television brought Kung Fu to our livingrooms, and exposed us to the shaolin style of kungfu.

After a few decades of this, we became exposed to martial arts through watching Bruce Lee, and other notable martial arts actors on television. It seemed like all of the best martial arts originated out of China, and more specifically, out of Hong Kong.

With this being our only “education” into the world of martial arts, it is no surprise that we Americans have come to assume that this is the best and (perhaps) the most effective style to fight in martial arts. It is our lack of exposure to other styles that gives us a false understanding of what is available to us personally.

Perhaps we should be exposed to other techniques and methods. Even if they appear odd or strange to us. They exist, because they when performed with mastery, are superior fighting forms.

Other styles of Chinese martial arts include, but are most certainly not limited to:

Wushu: Known more in the contemporary world as not really a style, but more as a sport or a showmanship of forms.

Tai Chi: A very popular internal martial arts style that is characterized by its breathing techniques. Millions of people practice this martial art for relief of stress, meditation purposes, and health and balance in their stress-filled lives.

Kung Fu: King Fu is used in the contemporary world to describe the many styles of martial arts in China. There were additionally various sub styles of Kung Fu from both Northern and Southern China. Whereas the Northern region boasted Shaolin, Eagle Claw, Long Fist and Monkey Style and the Southern region laid claim to Wing Chun, Hung Gar, and Choy Li Fut.

Shuai Jiao: Shuai Jiao was one of the first martial arts in China. Shuai Jiao was taught to the troops by means of utilizing the horns on their helmets and throwing as well as ground fighting was the main focus of this martial art.

Here is a micro-video of a monk performing “Monkey Style” Kung Fu. What do you think? Do you think that it would stun the attacker, or have him roll on the ground laughing?

You see, or maybe not, the point here.

This style is both humorous and unexpected. It’s difficult to fight someone who cannot be predicted. And the humor is disarming. This technique is not for everyone, but it has advantage, and in life… that’s what we need. A little bit of advantage.

Here’s another video depicting scenes of a Chinese movie character that utilizes such actions to disarm his opponents, and obtain advantage in situations. It’s sort of like the book & movie “The Scarlet Pimpernel”.

Moving on to a totally different subject, but still a little odd and crazy is what happens when you drink too much.

A Little Too Much To Drink

Now this is China, don’t you know. Everyone drinks alcohol as it is part of the culture.

In America we would go home to sleep things off, or maybe crash on some stranger’s porch or in our car (Heaven forbid we drive home). Rarely do we try to walk home. Though, I have seen people riding a bike home after closing time at the bar. (You know, that almost all bars in the USA have Cinderella hours. That’s right, they are not open all night. Yeah, crazy, huh?)

It’s 5:08 AM. She obviously left the club, bar or KTV, and is having a bit of trouble getting oriented. Lordy, you would think one of her friends would help get her home to bed.

This poor chick had a little bit too much to drink. I think. Either that, or her world is just spinning around and around.

Training a K9 Police Dog to perform CPR

I’ve seen people train police dogs. There are all kinds of movies and shows depicting this. I have seen them take down a “bad guy” wearing a padded suit. I have seen them run though obstacle courses. I have watched them sniff for drugs, and locate bombs.

But, I have never seen them be trained to give CPR.

Well, this is China, and they are not afraid to try new things and push things to the limits. This is a cute and interesting video of a young police K9 puppy being trained to perform CPR on a downed police officer.

Three videos are enough for one post. The band width would never permit you to load too many more. So please kindly go to the next section to check out some more crazy Chinese videos.

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

What Visiting a Park in China is like (part 4B) Bullet trains

Here are some other notes that I have to just throw in… We need to talk about how to get to the park.

When you arrive to the various national parks you will more than likely need to take a train. Busses and cars tend to be slow. You can fly there with a plane, but the bullet trains are everywhere in China, they are reasonably priced, and quick. So most Chinese end up taking a bullet train to the destination and then go to the park from there.

It’s sort of like this…

Riding in a bullet train is a smooth, easy experience.

You have plenty of leg room. The coach is wide and you can easily move forward and backward in the car. There are plenty of bathrooms, with an average of two per car, which means that you typically don’t need to stand outside and wait for the bathroom to be available.

The ride is very, very smooth. It is calm. It is pleasant. It is relaxing.

Chinese High-Speed Rail

China has an extensive network of bullet trains.

China announced that it would implement bullet trains, and a network throughout China at the same time that California announced that it would implement a bullet train that would connect San Francisco to Los Angeles.

China’s announcement was met with laughter.

  • “China could never pull it off.”
  • “China only makes junk. It would never work and break down all the time.”

Well, today in 2019, we know that the (American) California bullet train never got off the ground.

It is still billions of dollars in the red. The American engineers couldn’t design it properly, so they had to turn to Japanese assistance. The train route was fraught with problems, and as of today, only 15 miles of track has been laid. No stations are completed. No vehicles are manufactured. And the program has been scrubbed as “unobtainable” by the Governor of California.

Meanwhile, China’s bullet train rail line network look like this…

China high speed rail map.
The Chinese network of high speed rail. This is what it looks like as of 2020. The rail lines are not only more extensive than the California plans, but are actually faster than the trains (that were) planned for California.

The Chinese are proud of their high-speed rail (bullet trains) and they have good reason to be.

I, as a rail enthusiast, admire their efforts and completely enjoy the luxury of rail travel. Yes, I do love to fly, but flying in the United States is more like being herded into cattle cars than anything resembling comfortable travel.

Over the years, the American airlines (of all types) have been dealing with increasing federal regulation, and completely diminishing value of the dollar (thank you Federal Reserve), and so they have been forced to cram more and more people on tinier, and tinier aircraft. Often charging an assortment of extra fees from everything to luggage to snacks and meals on the planes.

Not so in China.

About the Trains

The train stains are all new and China certainly has mastered crowd control. While the slower “old fashioned” trains still exist, and are being used, the bullet trains (high-speed rail) offers a comfortable alternative to those who are willing to pay the extra $5 to ride in roomy comfort.

These trains are fast. There is no denying that. They do slow down when they near a train station, but even then, they are fast. As this video clearly shows…

Once you arrive at the station, you will need to take a car or a bus to the park. That is, of course, unless you don’t have a loved one, a family member or a friend to pick you up.

And, what ever happens, your train experience would typically look a little like this…

Car or Driver Rental

The most common way to achieve this is to use DD or take a taxis.

DD is the Chinese version of Uber. It pretty much works the same as Uber does, except that (it has been my personal experience) that the Chinese driving the cars for DD have to have their cars pre-screened for acceptability, where Uber does not have this requirement (that I am aware of). Thus the DD cars tend to be nice, clean and often new.

However, you will need to navigate through the massive mazes of highways and roads, such as this…

As well as go through all sorts of tunnels. After all, most parks in China are in mountainous regions and the Chinese do love their tunnels.

Tunnels

I’ve got to tell youse guys one thing. Tunnels in China are quite a different experience than tunnels in the United States. It really is. In fact, I could probably write a couple of posts on this subject.

  • The Chinese are traditional conservative pragmatists.
  • Government and management obtained their positions through merit.
  • Public works are to be designed for public use. There must be an element of beauty mixed with functionality.

They are indeed, quite a different experience. Have you ever seen light shows in American tunnels? How about planted shrubbery, trees, or flowers inside the tunnel? How about daily cleanings, sweepings, and washings by the cleaning crews? (China does not have welfare. They have work-fare. You want to eat, you must work.)

Look at how clean these tunnels are…

Now, let’s go to the next part of this post. (If I throw in too many micro-videos nothing appears and the post takes forever to load.) So to continue, please go follow this arrow…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.


What Visiting a Park in China is like (part 3)

Getting to the Park

Of course, you will need to take a train or a car to go to many of the parks. Any parks that are located in a city tend to be over-used and mildly challenging. To fully experience China, you need to travel. That means driving through China.

Go ahead, any drive through China will be an adventure. It’s a little bit like this…

In some ways, the civil engineers in China really get carried away. It’s like what it was like when I was a young boy playing with my erector set or Lincoln logs. I used to make all kinds of complexes. I would make these amazing race-tracks for my “Hot Wheels” cars, and all sorts of interesting railroad track layouts.

Erector Set
Vintage erector set. This is the kind of thing that I had when I was a young boy. I used to try to make all kinds of things such as bridges and cranes with the collection of metal and nuts and bolts that the kit provided.

It’s kind of a thing with us boys.

Well, the Chinese are permitted to go ahead and let loose. You can see this all over China. That’s one thing that I like about China. You don’t have all these armies of bureaucrats telling you what you can and what you cannot do. You don’t have SJW’s telling you what you can or what you cannot do or say. You just don’t have that.

So, you can go ahead and do your thing.

Go ahead have fun.

One of the first things you notice about China is the freedom. You can sit down in a restaurant, bring in your own bottle of VSOP. Plop it down, pour yourself a good stiff drink, and light up a cigarette. You can put your big Siberian husky in the seat next to you and when you order a meal, the waitress won’t say anything to you except…

Ahhh, what a cute dog you have! What’s his name?

It’s all about freedom, liberty and having fun. There are no rules. At least nothing that you need to worry about. So go head. Just enjoy yourself.

And please remember to share the experience with a friend of two. People, you should NEVER ever be lonely. There are people out there… people who want to do things. People who want to share a meal. People who like pets…dogs and cats. People who would love to share a beer or a cigarette with you.

Having fun in China.
What is better than sharing quality time with friends and family. Especially when that means sharing drinks and fine lively discussion. My Lord, we all spend too much time on social media, don’t we. Do out and have fun.

You should be sharing your time… Your self, and your life. You should be sharing your enjoyments, your meals and your happiness with others.

That’s what friends are for.

Don’t forget about the water.

Parks are places to have fun. They are places to have adventures, and to obtain experiences. It’s not only the tall mountains. It’s the rivers, the pastures, the farms, the coasts, the cities and the townships.

You can explore the rivers, and ride down the rapids. That’s always a great way to have a great deal of fun.

Now, let’s go to the next part of this post. (If I throw in too many micro-videos nothing appears and the post takes forever to load.) So to continue, please go follow this arrow…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

What Visiting a Park in China is like (part 1)

Here we spend some time exploring China. In particular some of those outstanding mountains that are just about everywhere.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

China is a land of some amazing nature and spectacular views. You look at the brochures, and the commercials, and the videos and say “Man oh man, I just have to go there and visit that place!“.

Well, this is what it’s like…

It’s all about the climb.

Every single park in China seems to be located on the top of a mountain. To access it, you need to hike up these endless steps and climb up and up and up. It’s amazing!

You, the reader might think that I am joking, or maybe exaggerating. I am not. All of the parks involve climbing.

I have a theory about this.

I think that over the last 5000 years, as the Chinese population grew and nations came and went, the Chinese people rendered all the land, except for the most difficult to reach, for living and farming. Thus, today, in modern contemporaneous China, the parks are located in the most difficult to reach locations.

It doesn’t matter where you go in China. The parks all require strong legs and a willingness to climb.

Restrooms

Of course, when you go on hikes in China, you will often need to use the restroom. The Chinese will often accommodate this most basic of human needs, and will make a small and easy restroom where you can go to the bathroom in.

China tends to be warm, and thus you will need to keep well hydrated. As you climb, you will sweat. To replenish your precious bodily fluids, you will drink some bottle water, and continue on your way.

Chinese water prices
Chinese bottled water and prices. Note that in general, 6.3 yuan equals 1 USD.

Eventually, at some point, you will need to stop and take a leak. For many this will require a bathroom or toilet or urinal.

The only problem is that it is often located off to the side and you might need to hike a spell to get to it. It’s sort of like this…

Spectacular Views

The views that one can see once they climb these mountains are often spectacular. In China, for some crazy reason, most of the mountains seem to have nearly perpendicular sides. It’s like a towering cliff face. Yet, once you get to the summit and take a look around, the view can be breathtaking.

Like this…

For some reason all the parks in China seem to be perched up high at the very summit of the mountains. This can be everything from bathrooms to convenience stores. The Chinese do love to climb. It’s almost a national pastime.

Maybe sometime way back in their past they had an affinity for mountain goats. Ugh!

Climbing goats in trees.
Goats can climb trees and cliff faces. I never could get my “arms” around this concept. As they don’t possess hands, or thumbs to assist in gripping things.

By the way… have you all been as mystified as I have about goats? I mean, really what’s all this stuff about them climbing trees? You know, they don’t have hands capable of gripping or anything like that. Yet they can climb trees.

I boggles the mind, I’ll tell you what.

Now, let’s go to the next part of this post. (If I throw in too many micro-videos nothing appears and the post takes forever to load.) So to continue, please go follow this arrow…

Continued-graphic-arrow

If you want to go to the start of this series of posts, then please click HERE.

Links about China

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site. I don’t make money off of it. Finally, I don’t track you because I just don’t care.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

The Chinese Love of Off-Road Vehicle Adventures

In America we have RV’s, donut-wheeled motorized trikes, and dirt-bikes. We have jeeps and all sorts of pickups. Well, you know what? So does China. The Chinese love the sport of going “off road” and exploring China.

Here we are doing to have a brief review of this most awesome pastime. Just make sure that your trunk is filled with ice and a fine beverage of your choice. Myself, I prefer a nice icy-cold beer, but wine, VSOP, XO and whiskey are fine alternatives.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

The Chinese love to travel in groups

The Chinese love to travel in groups. They really do not like to travel alone. They view life as something that should be shared. And, I for one, can’t blame them.

Friendships are important and are important when you are involved in shared activities that everyone enjoys. Such things as travel, adventure and exploration are greatly prized by the Chinese. In fact, they form individual clubs and groups around these activities.

This is in many ways like what we in the United States used to do maybe three decades ago. That was before the federal government started to demand open membership in clubs and organizations. First it began with “men’s only” clubs being forced to accept woman, and now we have the Boy Scouts turning into the Queer trans-gendered scouts.

Sigh. America is a progressive utopia today.

Luckily, China doesn’t permit any of this kind of social engineering, so people are free to establish their own clubs and organizations totally independent fro the government. And, so they do…

Outfitting the camper(s)

Many of the Chinese take their four-wheel drive vehicles and outfit them as sleeping quarters. This is the same as what you would see in the United States with “campers” and other rigs of a similar nature. The only difference is that most of these outfitted vehicles are designed for off-road adventures on difficult terrain.

I have seen these vehicles decked out much the same way that the 1970’s van culture would do. They would have carpet inside the vehicles and on the ceilings just like we all used to put shag carpeting in the ceilings and dashboards of our vans and Camaros back in the late 1970’s.

Decked out van from the 1970's.
In the 1970’s it was fashionable, for a spell, to outfit the interiors of vans as portable party machines. Then at that time, we would cruse in the van, listening to music, and enjoying our beverages and smokes as we watched the world pass us all by. Many van interiors looked a little like this.

Inside you can find refrigerators (you know, for some frosty beer), as well as high-end stereo and television systems. Some are outfitted with independent air conditioning, power generators, and heaters. It all depends on the owners.

Being China, bathroom necessities are generally taken cared for behind trees or within ravines.

Rather than having one-way tinted or mirrored glass, most Chinese vehicles have insulated glass to keep the UV light out, and a network of curtains that can be closed for privacy when needed. These curtains are often thick and used to help keep the interior of the vehicle at a pleasant temperature.

Many of the vehicles have “pop top” arrangements that open up to a kind of built-in tent. Thus you can have a nice sleeping tent on the top of the vehicle, or one that would fold outwards from the back or side of the vehicle.

Mud Slingin’ on quad bikes

Just like in the American South East, the Chinese have a love for Mud Slingin’. Here, it is often done with quad bikes instead of pickups, the the overall idea is pretty much the same.

No, this is not about calling people names or “digging up dirt” on people.

This is the actual act of throwing mud around – often in a four-wheel-drive vehicle. You can see this kind of activity all over “fly over country” (i.e. outside of the urban centers) in the United States. It doesn’t matter if the soil is red, or if it is black. If there is dirt, and there is water, then you can have loads of fun slinging it around.

Ahhh.

I well remember my first experiencing mud slingin’. It was in the boondocks somewhere between Rock Hill and York South Carolina. We were in this stand of tall pines (in the Carolina’s are there any other kinds of forests?) and a bunch of fellas with huge trucks, with these super massive wheels were tearing up this bog in the middle of a clearing.

Mus slingin in the USA.
This is what American style mud-slinging looks like. It’s a great way to spend the weekend, and hang out with your friends. It’s also a great and wonderful experience when you spend it with friends drinking beer and eating some delicious home-style hamburgers. Hey! Life is too short not to have a good time.

The soil, of course, was red, and so was the mud. As they drove in and out of the bog, the mud would spray over everything. It was a geyser of wet red mud that got into everything. I’ll tell you what. But who cares anyways.

We’d ride around and then of course get some beer from the various trucks. Everyone was really open to sharing some of their fine frosty libations (that’s beer for those of you from Rio Linda), and some fine eating. We later went to a fish fry and I got my first taste of hush puppies. Good eating ya all.

Anyways, the Chinese are the same. They like the same things… fish… beer… motor sports… slinging mud… singing songs. Though they probably never heard of Charlie Daniels, they would certainly be willing to belt out a contemporaneous Country and Western tune or two with me.

You know, like Sam Hunt.

Dirt-Biking up and down the woods

Well, the Chinese also have a real love for dirt-biking.

Here we can see some quad bikes having some fun on some stairs. Of course, they should really be wearing helmets, but they don’t need to. In China, there aren’t laws to protect us from ourselves. They don’t have all these rules to make our life better and safer.

Instead they expect us to take responsibility for ourselves. If we hurt ourselves, then we suffer the consequences, and pay for it out of our own pocket. It’s not the government’s business. It’s ours.

Full Dressers

Here’s a chick on a fully dressed Indian. Don’t ya just love it?

Some cities in China have banned motorcycles, and / or electric scooters. I know that Shenzhen has banned them because so many of the people using them have little regard for the rules of the road and traffic safety. (It’s kind of amazing. You have this super difficult written test and a series of driving tests that you must take, and still people drive like maniacs…)

Heck, it’s like a scene from Momas Family.

Momas Family
Mama’s Family is an American television sitcom starring Vicki Lawrence as Thelma Harper. The series is a spin-off of a recurring series of comedy sketches called “The Family” featured on The Carol Burnett Show and Carol Burnett & Company. The sketches led to the made-for-TV movie Eunice, and finally the television series.

Anyways…

So what the Shenzhen police did was just drive around in big box trucks and collect every parked motorcycle that they could find. They would drive over to the curb and just start loading them up. The Chinese do not mess around.

This, or course, ended up getting many people upset.

Though…

They shouldn’t have gotten upset. The police gave a six months notice that they would do this. But, you know, people being people just never thought that it would actually happen. So they started rounding up all kinds of motorized bicycles.

Anyways, big bikes are popular in China.

When the tariff issues are resolved between Trump and Xi Peng, (and they will most certainly get resolved) you can expect sales of Harley’s to really take off in China. The chicks really love those Harleys.

Caravans into the Hinderlands

Often the Chinese will organize into groups of like-minded folk and go on caravans.

Often they will arrange multi-week long trips and go out exploring the back-roads of China. Often they will communicate with each other by establishing a group video chat on We-chat. You just have the APP run on the dash and carry on with multiple conversations while you drive along.

Here’s another micro-video along these lines.

People, life is about living. If you are not out with your friends, doing the best to provide for your family, and eating well, then you are squandering it. You need to go forth and take the cup of life with both hands and gulp it down in big frosty foam-filled gulps.

Exploring Tibet

The region of Tibet is an enormous geographical area, comprising nearly 25% of all of China. It is mountainous with some absolutely amazing views. Many of my friends have outfitted their vehicles and explored this section of the country with all sorts of adventurous tales.

Conclusion

The Chinese love motor sports just like Americans do. They form clubs and groups and enjoy the pleasures of automobiles and adventure together with their friends. If you, have the same interests, I would suggest that you too go forth and enjoy yourself.

There’s a hill. Out there. Waiting for you.

What’s your excuse?

Links about China

Popular Music of China
Chinese weapons systems
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

The Popular Music of China; Shanghai, Hong Kong, and Beijing – Part 9

If you all don’t mind, I would like to explore further the connection of Chinese women “playing traditional dress-up” and dancing to popular music. There are so, so, SO many aspects to this phenomenon that I think it deserves some further investigation.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Oh, yeah… don’t forget this.

Role-play

The above is just some girl dressing up and singing and dancing. So what?

Historical Reenactments

Well, what if you and your friends want to do historical reenactments? You know like how civil war reenactors reenact battles in America, or how people reenact Roman Legions in Europe, or how people reenact soldiers from World War I or II? It’s like that. Here we have a period reenactment. Pretty cool heh?

And, you know what? There are all sorts of opportunities to wear traditional clothing. Each region has their own history and culture; so every minority Chinese is protected by Chinese government law, which mandates rigid adherence to traditional customs, traditional ceremonies, and traditional holidays. It’s the law.

History and Culture is protected by law

There’s none of that “separation of church and state” here in China. History is considered sacred. As well as traditional, conservative, and family belief systems. They are protected and actively promoted through government funding.

Chinese minorities are protected as cultural jewels.

Here is a traditional holiday with one of the many Chinese minorities. I don’t know what they are doing, but obviously the gal in the front is the top queen leader of some sort.

That can be extended to all sorts of holidays that are all over China. It is a great opportunity for pretty girls to get all dressed up and have guys ooh and auhhh over them. Nice. That is what it is. Very, very nice.

Modern China is a mixture of old and new traditions.

Here’s some girls just palling it around in Beijing. You know, doing cute girl type things. Don’t ya just love it? I do. I’ll tell ya what.

I love that they can dress how they want to. I love the freedom that they have to play roles…role-play as it were, and do so in public without confrontation, or being told they cannot do, or say, or act in certain ways. I love how they are allowed and protected from being harmed.

Oh, by the way, if any fat gender-less-feminazi tries to interrupt their good times for “cultural appropriation”, or misuse of pronouns, I am sure that the police will arrest them sure as shit. The Chinese do not mess around when it comes to protecting the traditional Chinese way of life.

Here is another video of a girl in traditional garb and dress. She is dancing and is such a charmer, I’ll tell you what.

Ah. Look at all here fans. Isn’t she adorable?

Happy CNY you-all!

Oh yes, since this is 2019. Let me wish everyone a great a wonder CNY year of the Pig! Have a great one ya-all!

Continued-graphic-arrow

If you want to go back to the start of this series, please go HERE.

Links about China

Dance Craze
End of the Day Potato
Dog Shit
Dancing Grandmothers
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Business KTV
Fake Wine
Fat China
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

The Popular Music of China; Shanghai, Hong Kong, and Beijing – Part 8

Here we continue on our excursion, and here is the mandatory warning…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Now, this little video will need some explanation. Notice how the gals are doing the “Doctor Evil” impression with the pinkie near the mouth? Well, this dance routine has taken China by storm, and it just seems like all the gals love dancing to it at the KTV’s. It ends up looking a little like this…

This dance routine is not really part of the song, at least formally it isn’t. The dance crazy that swept up in China happened independently of what was going on in Korea. So imagine what the Korean pop group must think that a music inspired dance craze is sweeping China, but not in Korea.

With this in mind, now you can watch this little video depicting a live performance of the group and what happens when the live camera gets activated…

Sorry about the cut-off of the advertisement at the end. The only reason why I did not cut that off was because I do not how to do it. Sorry.

And… of course… this is one of the top hits of 2018, and into 2019. It is a string of little used idioms that utilize very rare and unique characters. It just amazes me that people are able to sing to them as well as they can.

This is all quite different from what you would find in the USA. Popular music in the USA is dominated by negro urban music. Here is one of the dominant females in the American music scene; Nicki Minaj.

She represents the best of American music today. She has been profiled on such popular shows as the Ellen DeGeneres show, as well as the many, many magazine articles of her, her “music”, and her antics. Here’s another GIF of her…

I think in America, music has been replaced by big asses and crude mannerisms. This is absolutely reflected in the popular culture, the news media and social media. Meanwhile, all of this is quite alien to the Chinese who maintain a more traditional conservative culture.

Here is another Chinese girl singing yet another very popular Chinese song.

K-POP and C-POP

One thing that we haven’t talked about is C-pop and K-pop. In fact, it would be a very serious omission to leave this out. Both K-pop and C-pop are amazingly popular here in China.

Here is the famous AOA MV performing “Heart Attack”. It’s awesome. Don’t ya think? I love the choreography, and the back story in the video is really cute. Do don’t need to know any foreign language, just watch the video to see what is going on. So adorable about a girl who has this crush on a boy in her class. And how the new girl in the school befriends her and helps her out. Adorable.

AOA is a Korean pop group that has made waves all over China. They are quite talented, as are jut about all the performers out of Korea. Here they are performing “Like a Cat”…

Here is the 2018-2019 sensation Blackpink singing “As if it’s your last”. They are beyond popular here in China. In fact, you can often see everyone from elementary school kids, to young professionals to cleaning ladies dancing their routines. It is a mind-blowing phenomenon. I’ll tell you what.

I have to laugh when I check out the comments on K-POP, C-POP and J-POP on you-tube. It’s all about how the girls are “forced” to dance like this, and how overtly sexual it is, and how they don’t want to dance like this. Nonsense! I think all of California should be carted off to the loony-bin and stop flooding the comments from millennial ignorants.

In California, I guess, C-pop is considered “too sexy”, but this isn’t;

Here in Asia, looking cute, being thin, and being sexual is an everyday occurrence. Deal with it. As I have said before. You can take that progressive gender-neutral reality and shove it up where the sun don’t shine. Everyone likes to look at attractive, happy girls. People who don’t are mentally disturbed.

Here is Stellar – Marionette[舞蹈无删减版][1080P]. You can view it in the player below, or go here for the web page. If it doesn’t load, it’s because your ISP is blocking videos from China.

You all shouldn’t leave this page until you checked out the last video, I’ll tell ya what.

Continued-graphic-arrow

If you want to go back to the start of this series, please go HERE.

Links about China

Dance Craze
End of the Day Potato
Dog Shit
Dancing Grandmothers
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Business KTV
Fake Wine
Fat China
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

The Popular Music of China; Shanghai, Hong Kong, and Beijing – Part 6

Moving forward, and continuing on with our study of the contemporaneous Chinese music scene, let’s have a look at some more micro-videos from TicToc. And again, for those of you who have just jumped into the middle of all this, please take note…

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Keep in Mind… China is Traditional

Moving forward from the last section. Please keep it in mind that in traditional cultures, people aspire to conform to their roles in the best way that they know how. The man works. The woman cares for the home and family.

In progressive societies, the roles become nonsensical, and the relationships complex and often discordant. They no longer place emphasis the best in a person, their work or their labors. They place emphasis in differences and shocking behavior for purposes of gathering attention at all costs.

Spiky hair
In an environment that does not have proper roles and boundaries of behavior, it is up to the individual to define their own boundaries. Without guidance, or perhaps (better yet) guidance from popular culture, the boundaries become blurred and mistakes can be made. This environment lends itself toward one of many dangerous directions, both personally and socially.

In traditional societies the roles are established, and the expectations clear. The formula for personal and family success is well known and understood. It becomes the role of government to make sure that the roles are followed and protected.

It is very important to remember that the roles do not change in a traditional society. A man is the provider for the family, and the woman is the one that nurtures and manages the home.

And yes, while there has been “gender equality” throughout the world, it has manifested as a pale shadow for the women who have actually taken on male work roles. The women end up having a “career” devoid of a family life, devoid of children, and empty while they get older.

Here is another video from contemporary China.

Don’t fall for the progressive liberal lie.

In the United States, and the UK, at round 2012, various women started to write about their regrets in not getting married and building a family. In short order, they were shouted down by SJW and feminist activists. They pretty much went underground and the internet become flooded about articles on how happy women are not having children and being “independent” from men.

This is part of the r/K strategy, and it works if you are ugly, fat, lazy, crude or just a nasty person. For the vast bulk of people, this flies in the face of our biological urges.

Just crank up Google and see that the first 12 or so pages all are dominated by pro-career, anti-family articles. In a nation (the USA) where the vast bulk of people eventually get married, the respective proportion of internet articles opposing it, shows a very skewed and artificial narrative.

It’s liberal progressive propaganda.

The truth is those who do not follow the traditional conservative path end up leading a very lonely and bare life, no matter what their job position is. They will get older with no one to care for them. Their holidays will be at home with their dogs and cats, alone. Their friends, all married, will not want to associate with them.

It’s a miserable path. Do not try to tell me otherwise.

Boys aspire to be men.

Here’s a nice raspy voiced song. I love it and can relate to it in an understanding that only my empty bottle of VSOP and table ashtray of cigarette butts can relate to. Listening to this song reminds me of all the times that I had to endure situations that were uncomfortable, unfair, unjust or just plain wrong.

Do you all think that these feelings and emotions are unique only to Americans? No. Of course not. They are human feelings, expressed by humans, and concern human relationships.

Ah. Sometimes you need a good long hot shower, don’t ya agree?

Chinese couple songs…

Let’s consider some of the very romantic music of popular conventional china. These songs are very lovely. I’m gonna highlight this one. It’s about a couple and their love, moving in together, and eventually she agrees to be his wife.

There are so, so many of these songs and they are all wonderful. You can go HERE for one of the many that I have selected.

What ever you decide to do. Enjoy.

The song continues, and the MV ends where she is in a wedding gown to be his tai tai (his wife). (See the characters in the lower right side of the screen. That’s tai tai = wife.)

married
The song is about their love and living together and how it could get better, and she decides that it is through them both getting married together.

There is one thing that I would like to point out, and I think that it is significant. If you watch Hollywood movies for symbology and subliminal messages (like a graffiti spray painted wall, and names on cans of soda) you will notice all kinds of symbology to NWO, global orders, satanic cults and the like. However, if you watch Chinese movies and videos the “visual Easter eggs” are fundamentally different.

If you read the messages on their shirts – both in English and in pinyin Chinese – the messages are quite different.

“Big Spoon / Little Spoon”, He has a Ying symbol tattoo, and she has a Yang symbol tattoo . (Tai Ji). He has a Jesus cross tattoo, and she has a black tee-shirt with a Jesus cross and the words “never let you down” on it. She has a shirt that says “I’d rather love.” He wears a white shirt with a black Jesus Cross that says “I’ll never let you down”. And so on…

A nice female singer…

Here is a nice contemporary female singer. I like the sound and the rhythm of her voice. This is one of the top songs of this quarter, and I am sure that it is destined to be one that will be sung in KTV’s long into the future.

This is a very typical Chinese song. It is soft, but strong. Many Chinese songs follow this format. I think that that is it’s appeal to me. Certainly the KTV venue might lead itself to be sung there by a lonely heart or two…

This lies in opposition to the formula that makes American songs popular. Which is why you might find Kenny G still being played in public spaces, while Carti B is ignored.

Oh, and for all youse guys that don’t know what (or who) Carti B is, here’s a GIF of her performing for her American audience. You can tell what her appeal is for her audience. By watching her performance, and listening to her songs, you can clearly see that she if the future face of American youth.

Superstar

And… here is Superstar which I believe is an American song that has taken China by storm. many American songs can be found in China. Though, their success with the Chinese audio audience tends to be related to beat, and “overall feeling” of the music.

Anyways, back to the Chinese popular music… Here is the kinds of American music that the Chinese find enjoyable and alluring.

School Exercises in the Morning

As I have mentioned previously, many schools in China do the exercise to music. That way, the children learn dance routines at an early age, and get to exercise at the same time. It’s a win-win for everyone. So, if you ever have to wonder about how these kids all get to learn how to dance like they do, please keep in mind that they learned it at school.

Of course, there are no absolutes. There are schools that do not perform dance routines, as well as schools that only do dance routines for exercises. It depends where you live and the management of the school by the Headmaster.

Personally, I think that it is a great way to exercise. Let the kids have some fun. Let them blow off some high-energy “steam”. Let them push themselves and have a good time.

It’s like singing cadence when you are in the military. You concentrate on the cadence and your forget about how tired you are.

And, heck, here’s another girl in her house. She is dancing to one of the popular songs by JJ LIN ( 林俊傑 ) from about three years ago. You can see (listen) to the entire song on the Internet for free HERE. You can watch the MV video HERE.

[JJ Lin 林俊傑] 不潮不用花錢 [Bu Chao Bu Yong Hua Qian] [Not Trendy Not To Spend Money] (High-Fashion)

You go kiddo!

I would like to provide the lyrics to this song here. I also want to
provide proper credit to tammiest@AsianFanatics . She did a fantastic job, don’t you all think? Check it all out. It must have taken her days to compile, edit and generate the code. Big thanks to tammiest@AsianFanatics.

林俊傑 JJ Lin JunJie – 不潮不用花錢 [Bu Chao Bu Yong Hua Qian] [Not Trendy Not To Spend Money] (High-Fashion)
Special Guest Performance: BY2 (Certain Rap Parts)
Album: 陸/Sixology
Songwriter: 林俊傑 JJ Lin JunJie
Lyricist: 林怡鳳 Lin YiFeng
(Rap) Lyricist: 林俊傑 JJ Lin JunJie
Pin Yin and Translation Credit: tammiest
Do NOT post this translation elsewhere without proper credit to tammiest@AsianFanatics

Rap:
Hey, Greedy, don’t fret
What you see is what you get
You name it, I have it
What you see is what you get

左左 左左 偏左 就用左手

Zuo zuo Zuo zuo Pian zuo Jiu yong zuo shou
Left left, Left left, Favor the left, Just use your left hand
生活 就不用 想太多
Sheng huo Jiu bu yong Xiang tai duo
In life, You don’t need to Think too much
怦怦 怦怦 心動 張開眼睛
Peng peng Peng peng Xin dong Zhang kai yan jing
Thump thump Thump thump My heartbeat I open up my eyes
就記得 當下的 強烈
Jiu ji de Dang xia de Qiang lie
I remember the Intensity of That moment
有時靈光一閃而過
You shi ling guang yi shan er guo
Sometimes, I get sudden flashes of brilliance
牛頓也吃蘋果
Niu dun ye chi ping guo
Newton also ate apples
我的念頭不太囉唆
Wo de nian tou bu tai luo suo
My idea isn’t too complicated
限時間能入座
Xian shi jian neng ru zuo
Gotta hurry, sign-up is limited

Chorus: (1)

請你
Qing ni
Please
不要到處叩叩
Bu yao dao chu kou kou
Don’t go around knockin’ everywhere
潮流需要摳摳
Chao liu xu yao kou kou
Trends need to be raised up
不小心就沒摳摳
Bu xiao xin jiu mei kou kou
If you’re not careful, you won’t make any money
用力到處扣扣
Yong li dao chu kou kou
Work hard to save everywhere
花掉所有摳摳
Hua diao suo you kou kou
Spend all the money you’ve misered up
錢買不到絕活
Qian mai bu dao jue huo
Money can’t buy you unrivaled skill

你說 聽說 聽說 你聽誰說
Ni shuo Ting shuo Ting shuo Ni ting shei shuo
You say You’ve heard You’ve heard Who’d you hear say that?
跟著 亂走 鬧哄哄
Gen zhe Luan zou Nao hong hong
Followin’ Goin’ along rashly Buzzin’ with excitement
通通 通通 普通 普通
Tong tong Tong tong Pu tong Pu tong
It’s all It’s all Ordinary So ordinary
如果不懂 不要 隨便 拒絕
Ru guo bu dong Bu yao Sui bian Ju jue
If you don’t get it, Don’t Reject it so Carelessly (2)
有時靈光一閃而過
You shi ling guang yi shan er guo
Sometimes, I get sudden flashes of brilliance
牛頓也吃蘋果
Niu dun ye chi ping guo
Newton also ate apples
我的念頭不太囉唆
Wo de nian tou bu tai luo suo
My idea isn’t too complicated
限時間能入座
Xian shi jian neng ru zuo
Gotta hurry, sign-up is limited

Chorus:

請你
Qing ni
Please
不要到處叩叩
Bu yao dao chu kou kou
Don’t go around knockin’ everywhere
潮流需要摳摳
Chao liu xu yao kou kou
Trends need to be raised up
不小心就沒摳摳
Bu xiao xin jiu mei kou kou
If you’re not careful, you won’t make any money
用力到處扣扣
Yong li dao chu kou kou
Work hard to save everywhere
花掉所有摳摳
Hua diao suo you kou kou
Spend all the money you’ve misered up
錢買不到絕活
Qian mai bu dao jue huo
Money can’t buy you unrivaled skill

Rap:

Little chick havin’ chips on my sofa
Be@rbricks take a sh*t on my sofa (3)
Smudge babies lyin’ on my sofa (4)
Neighborhoods and Kiks singing ‘So-Fa’ (5, 6, 7)

Little chick havin’ chips on my sofa
Be@rbricks take a sh*t on my sofa
Smudge babies lyin’ on my sofa

Hey, Greedy, don’t fret
What you see is what you get
You name it, I have it
What you see is what you get


Chorus:
請你
Qing ni
Please
不要到處叩叩
Bu yao dao chu kou kou
Don’t go around knockin’ everywhere
潮流需要摳摳
Chao liu xu yao kou kou
Trends need to be raised up
不小心就沒摳摳
Bu xiao xin jiu mei kou kou
If you’re not careful, you won’t make any money
用力到處扣扣
Yong li dao chu kou kou
Work hard to save everywhere
花掉所有摳摳
Hua diao suo you kou kou
Spend all the money you’ve misered up
錢買不到絕活
Qian mai bu dao jue huo
Money can’t buy you unrivaled skill

Rap:

Hey, Greedy, don’t fret
What you see is what you get
You name it, I have it
What you see is what you get

Hey, Greedy, don’t fret
What you see is what you get
You name it, I have it
What you see is what you get


* The title of this song is a bit confusing. 不潮不用花錢 Bu chao bu yong hua qian is actually more (textbook) accurately translated as “Don’t Need To Spend Money If You Don’t Follow the Fads.” However, a read-through of the lyrics yields a different interpretation, the one I ultimately decided on: “Not Trendy Not To Spend Money.” This interpretation, however, is actually best expressed (and by that, I mean grammatically and without confusion!) by taking out the 用 yong in the title, leaving one with just 不潮不花錢 bu chao bu hua qian. ^_^*

However, the 用 yong was left IN the title because– go back and read the first word of each line of the chorus. Put them together and you get 不潮不用花錢 Bu chao bu yong hua qian, the title of the song!

(1) The lines of the chorus are not necessarily connected to each other in the usual sense; don’t force them together. Instead, they can be considered stand-alone lines of “wisdom.” :)
(2) The line 如果不懂 不要 隨便 拒絕 Ru guo bu dong Bu yao Sui bian Ju jue can be “broken up” (in Chinese) and interpreted in two very distinct ways. I decided on “If you don’t get it, Don’t Reject it so Carelessly;” however, it is important to note that the line can very well also be translated as “If you don’t get it, Don’t be so Careless; Reject it.” As you can see, the meaning changes significantly; the interpretation I did NOT choose goes along with the TITLE I did not choose. It also puts a “break” between the last two “phrases.” Because JJ seems to slide right into the 拒絕 ju jue (instead of pausing), however, and because it goes along with the rest of the song– I chose the line above :)
(3) Be@rbricks are collectible (and somewhat poseable) plastic bears (with a “Kubrick” influence) manufactured by MediCom Toy Incorporated. They are extremely popular in Asia and come in all types of sizes and designs.
(4) I haven’t been able to find a 100% answer, but I think that Smudge Babies are a type of toy.
(5) Neighborhood is a super-trendy, still growing fashion label in Japan; it grew out of the Harajuku district.
(6) “Kiks” is slang for sneakers; it is most likely– in this song– meant as a “shorthand” for Kiks TYO, a super-trendy “sneaker freak” Japanese label.
(7) “So-Fa” as in… the notes “So” and “Fa” of the musical scale.

Big thanks to tammiest@AsianFanatics. Now. Let’s move on to the next page of videos… with this final video on this page.

Now, of course, all of these videos are going to be impossible to load unless this post is broken up into smaller chew-sized portions. So to continue, please click here…

Continued-graphic-arrow

If you want to go back to the start of this series, please go HERE.

Links about China

Dance Craze
End of the Day Potato
Dog Shit
Dancing Grandmothers
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Business KTV
Fake Wine
Fat China
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

The Popular Music of China; Shanghai, Hong Kong, and Beijing – Part 4

I well remember walking into a small family restaurant in Shenzhen. They were playing “Christian Rock”. I’ve got to tell you all, I was astounded. It just isn’t something that you hear every day. Not in the USA, and most certainly not in China, of all places.

So I asked the woman there why she was listening to Christian Rock. (My wife asked her, as my Chinese wasn’t anywhere near competent.) And she smiled, and told my wife that the music spoke to her.

The Christian Rock music spoke to her.

China is a very conservative nation that holds traditional Chinese values in the highest regard. In fact, that is one of the many things that astounded me about China. When they threw away the tenets of Communism back in the 1970’s, they embraced full-on conservative values.

Now, as such, the Chinese has a full affinity to American conservatives… Conservatives mind you, not the NeoCon’s who see every war as a money-making opportunity to line their pockets through the deaths of others. Hello? John McCain, Hillary Clinton, George Bush… anyone?

The Chinese people love American music.

I like to think that the reason is because there are some awesome talent in the Untied States. I think that people all over the world can recognize this talent, and America is most certainly the home of some of the best music in the world.

Anyways, the Chinese love American Country and Western music, and many a night has been spent with myself belting out some Conway Twitty, and George Jones. (Heck, in my mind the best Country and Western music came from the 1980’s, and the 1990’s.But, that’s just me. Heh heh.)

Time McGraw.
Tim McGraw. American country singer. When an American sings Country and Western songs in a Chinese KTV, the effect is profound. The Chinese have never experienced live Country and Western concerts, and so, to them, it is like being in a real honest-to-goodness live famous singer.

Here is an American Country and Western song that is HYPER popular in China. You all should see my rendition of it after a few bottles of whiskey. Heh heh.

(Oh, and by the way, the Chinese girls think that an American singing Country and Western, or Pop music is like a “God”, the way they look at you. You guys have no idea… None what so ever.)

Country & Western style music is popular.

The Chinese have their own versions of Country and Western music. However, unlike the West or in America, it is not stratified or segregated into different musical genres. The music, to me, sounds like it is all mixed together.

If you listen to a music station on the radio, like in a taxi, for instance, the music will all be mixed together. Suppose it is July. It is hot out, and the A/C is on in the car, and the radio is on. You can hear the songs, as well as the GPS navigate the route in Chinese.

The songs would include everything from long DJ smashed up-songs to American Christmas carols. Yep. That’s true, as any American expat can attest to. (Ah, I well remember the first time I heard Jingle Bells played during a hot August night by a house band in a bar.) The music would include everything from sappy Chinese love ballads, to Chinese disco, to traditional nursery rhymes and even American 1960’s War Protest songs (“Who’ll stop the rain?“)

And you can see the influence that Country and Western music has made on the Chinese music scene here. All you need to do is have an open mind and an ear for music. The American Country and Western musical influence is everywhere. From the cities to the rural countrysides.

And, I’m not just talking Taylor Swift here, guys…

Songs that are slower and easy to sing are the best. You know, the Chinese don’t care at all if you can hold a note, or sing on-key. What they care about is [1] if you are having a good time, [2] that you can put some kind of emotion into the songs when you are singing them, and [3] if you enjoy singing the songs.

Slow songs vs. Fast songs

Just be careful, or else you might end up singing some impossibly fast American songs that will be near impossible to sing. Don’t let that happen. I always keep a small list of my favorite songs that I can sing in KTV’s on me, in my wallet. You never know when you have the opportunity to play at a KTV, I’ll tell you what.

You can tell that these songs are all easily lent towards singing at a KTV while drunk…or sad. Heh heh. When you have an opportunity to sing with some Chinese friends, please heed my advice and take it. It’s a great way to make friends, and a fantastic waste of time, eating and drinking and smoking.

Life is meant to be lived.

Life is too short. Live it.

Food tastes better outdoors.
Morning, noon, and night, everything tastes better outdoors. This is a vintage advertisement, but the truth behind this simple ad still rings true. Seriously, I think we all should enjoy our life more. That means YOU.

Over time, you will see loved ones pass on, or go away. You will see friends come and go. You will see seasons pass, and unless you are careful, it all might just pass you by. Don’t allow that to happen. Live life well. Heck! Live it very well.

One of the things about China, well – Heck, all of Asia is how prevalent American music is. It can be found everywhere. It can be found on-line, played on the radio stations, and in the bars and clubs. It goes without saying that you can most certainly hear American music in China. Though the song types and style might be different. In China, an A-listed American song might actually be a C-listed airplay song in the USA.

Asian Advertisement.

Here’s an example of an advertisement for beer in Japan. Today, the video advertisements are really great to watch. Many times they like to use music to drive a point across. Check it out…

By the way, those little balls that she is eating are popular all over Asia. You can buy them all over China. They are fried octopus balls. The octopus tentacles are mixed with a kind of bread dough, and deep-fried and covered with this thin kind of shavings.

It’s pretty good. You all should try it. Oh, and you know… it goes great with beer. Especially icy cold beer.

Continued-graphic-arrow

If you want to go back to the start of this series, please go HERE.

Links about China

Dance Craze
End of the Day Potato
Dog Shit
Dancing Grandmothers
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Business KTV
Fake Wine
Fat China
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.


The Popular Music of China; Shanghai, Hong Kong, and Beijing – Part 3B

I would like to take a little side excursion on our exploration of the Chinese music scene today to look at what makes certain types of music so popular to the Chinese people. Why do they like slow, sappy love ballads, say… instead of twerking urban jungle-rap. You know the type, where the girl gets on all fours, puts her ass high into the air and shakes it so that everyone can watch her rolling blobs of fat jiggle. Why aren’t the Chinese like that?

Let’s explore this issue somewhat. OK?

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

What is the most popular venue for music in China?

That is a very good question. But, first before we answer it, consider what the most popular venue for music in the USA. The most popular venue is music played on the radio or player in the automobile. That is the most common venue that Americans use to listen to their music.

Americans will hop in their automobiles and immediate start to listen to their music. This is true whether it is via the radio, CD, USB or satellite radio. Of course, the will also listen on the internet and in other venues. But the most common… for Americans… is in the privacy of their car.

Thus, that is where they might sing. That, and the shower…heh. Heh.

For the Chinese it is quite different. Most will listen to the music on their cell phone while they are riding the bus, the subway or the train to work. They will put the headphones on and listen. They won’t have the chance to sing along to the songs… that is… not until they go to the KTV.

When the Chinese go to the KTV, the go with friends, and there in the privacy of the room, can sing to their hearts content. No one will make fun, and no one will question them. It will all be fun.

And at the Club…

Or, of course, when they are at the club, as shown in this here micro-video…

Ah, you know what these videos remind me of? Yes. They remind me of beer. yes, that most glorious refreshment (especially when icy cold). As an American who was raised during a time when America was traditional and conservative, beer was the staple libation for all races and sexes.

Icy PBR.
There’s few things finer than drinking some beer with good friends, and pretty girls, and singing songs. I’ll tell you what, how does that sound…singing your favorite song while drinking a nice frosty cold one? Eh?

Glorious, glorious beer!

The Chinese are just like Americans. They love to sing. Anyways, guys… don’t tell me that there isn’t a song that you don’t sing to when you are in the car!

Heck, when I was younger, I used to sing Classic Rock, which then included Aerosmith, and of course Deep Purple. Later, I would sing Southern Rock with Charlie Daniels, and Neil Young. And then when I discovered Country and Western Music, I would sing every chance that I would get.

Beer is delicious and versitile.
Maybe you could have a loved one grab you a frosty beer and you two could share it in front of the television or computer. Now, wouldn’t that be nice. You know, beer is versatile. You don’t have to sing a song to enjoy it. You can eat some pork and beans, or a burnt-crisp hot dog with relish, or a fine hamburger and enjoy the fine taste of a frosty tall beer. I think that you deserve it. Don’t you?

If you are not drinking and singing, there is something serious missing from your life, I’ll tell you what.

Look guys. Look at the above video. That is my life. Being around pretty girls, drinking adult beverages, singing, dancing, and eating fine food. If you are not living life, then you are missing out. Life is far too short not to enjoy it. Life is short, live it well.

Life is short, Live it well.

Go out and be with friends, and what…? You don’t have any. Well, then make some. Life is about living. That is the purpose of life; to have experiences. Life is not sitting in front of a monitor all day, whether it is for work, or for your lonely personal enjoyments. Screw that!

You are missing out, dudes! What were you doing when this video (below) was taken? Was it important? Will you remember it on your death bed? Chances are no. You were doing something else. maybe reading the “news” on the computer. Maybe you were checking and clinking “likes” on Facebook. Maybe you were doing your taxes so that you won’t get audited. Maybe you were at work…

Life is too short. You need to enjoy it. Have a beer gosh darn it!

It's time for a beer.
People, it’s time for a beer and some fine tunes. It’s always time for a beer and some fine tunes. Most especially when you are sharing that time with a pretty girl, I’ll tell you what.

People, life is meant to be enjoyed. If you are not singing. If you are not dancing. If you are not eating well… if you are not with friends, children, pets, and loved ones, then you are truly missing out. Go out. Make your life wonderful.

Be like this…

Life is far too short to get all caught up and bothered by the machinations of the rich oligarchs that control what we read on the news media though our computers. Really… does it matter (if) there are tri-gender bathrooms in Portland, Or? Does it matter if yet another Democrat is trying to ban guns? Does it matter that yet another Muslim molested a six year old?

Yes, those things do matter, but not to you. Your life should be run personally and viscerally. There will always be bad people, stupid people, and rich oligarchs trying to influence you. Turn them off and shut the door. Life is far too short to get upset by their inherent craziness. have some fun why don’t ya.

And how about this…

I am so sorry if I am ramming my preference for singing, dancing and drinking with pretty ladies down everyone’s throat, but you DO NEED TO UNDERSTAND that that is a fundamental part of Chinese culture.

And yes, it takes place in the work offices as well, as can be illustrated by this Chinese laoban (boss)…

In my mind, the computer has been used as a tool to take over the minds of Americans. Now, it is just a tool, and nothing more, yet many of our youth have used it as a replacement for the more important aspects of our lives. That is horrible and it must stop. Use it as a tool, and then move on…

Life and beer.
Maybe music isn’t that important to you. Well, how about a ball game on a beautiful sunny day? How about it with a great hotdog and beer? How about it with your friends? You see, life should be fun and enjoyable. If it isn’t, then it is a miserable existence and one that needs to change.

Music is a pleasure that is an important and fundamental part of our lives. Let it be as it is, and enjoy it. Or, as can be shown by this group of employees during their daily morning exercise and sing-along (yeah, most Chinese companies do this).

Oh, and by the way…

Did you all notice anything about all the videos that you have seen so far? What about the ones that you will see..? See anything interesting? Yes, it’s mostly women.

But! But! But, how can this be? The Western news is all about how the Chinese have completely killed off most of the male off-spring during the implementation of the one-child policy. So if the Western narrative was correct, then almost all the videos that you would see in TicToc would be of guys about guys. But, that is not the case…what is going on? Eh?

Hey! Maybe I'm one of those Communist Propagandists trying to sway American Conservatives to the evil side, eh? Yuppur. Beer, pretty girls and singing is the sure fire way to convert virile American men to the ways of the beta-male trans-gender crowd. Yes. As we all know that is what Communists represent. Huh. Eh?

OK, sorry for the interlude. Ugh 7 videos. So to continue, please click here…

Continued-graphic-arrow

If you want to go back to the start of this series, please go HERE.

Links about China

Dance Craze
End of the Day Potato
Dog Shit
Dancing Grandmothers
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Business KTV
Fake Wine
Fat China
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How a Chinese Business KTV Works – Introduction

This is a very detailed discussion on how a Business KTV works in China. This is a pretty large multi-part post. It was originally posted HERE, but it soon became problematic as the videos would not load and the SEO flags weren’t being picked up by the search engines. So I broke it down into smaller bite-sized posts. It’s faster to load, easier to read, and you can see all the videos without problem. Enjoy.

This is part 1 of 17.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Introduction

One of the first things that the Interns ask me when they come to work for me, is “what is it like doing business in China”. To which I must answer, “it is really, really different.” Work is work, and business is business. That being said, in China there is a particular aspect that has a great deal of importance. This is [1] the concept called “face” or “mingzi”. The second most important aspect is [2] friendships, or Guangxi.

  • Mingzi is “face“. It is your overall appearance, and reputation.
  • Guangxi is “relationship“. It is your status as a friend, a business partner and overall value as a person.

While, the Chinese boss and businessman would be very happy to take your money and make a ” doohickey” for you. He won’t treat you very special, even if you promise him ten million dollars of business. You will be just another smuck from the West that he is dealing with. You are just a potential client. You have not yet “earned your stripes”.

The key to getting your product or service taken cared for, is to build up a relationship with the factory boss.

Heck, we all know this. In fact, in America we have been taught that businesses are based upon relationships. Yet, strange things is, with the current crops of MBA’s that are exiting universities, they seem to think that people are numbers in a ledger, and quite disposable.

This is true, whether or not the latest crop of millennials want to recognize that reality.

Efficieny experts
Efficiency experts sorting out who to fire and who to retain.

Not so in China.

While in the USA, you might get a visitor from another country, and say good-bye to him at the end of the day. The Chinese will expect to share a meal with you. They will expect a tour of the local surroundings, and some local cuisine. (As would most businessmen from other nations.) When in China, you will be given the “royal treatment”, as the opportunity that you offer the factory is considered valuable.

You would also be expected to smoke, and drink to excess. In China, powerful bosses all can eat, drink and party to excess. The ideal “big boss” in China is a cross between Tony Soprano and Attila the Hun. If you cannot meet their expectations, then any contract that you sign with them will be a weak one.

via GIPHY

The ideal "big boss" in China is a cross between Tony Soprano and Attila the Hun. If you cannot meet their expectations, then any contract that you sign with them will be a weak one.
The boss in China.
The value, and the relative importance of the “Boss” differs between that of Western companies and companies in the East. In China, the boss is all powerful and all important. His word is absolute.

Your goal is to facilitate a strong contract, and a solid working relationship. Anything less is a waste of your time.

Aside from the factory tour, and discussions over tea and cigarettes (I like to call it “death” by tea and cigarettes.), you will be given a chance to “freshen up” in your hotel room prior to the evening festivities.  A driver will take you to your hotel, and you and your aide will be escorted to your room where you can rest (often from jet lag), check your emails, write a report (if you are in a big company), and chill out. I like to use this time to take a shower and a short 90 minute nap. But, that’s just me.

The bottom line is that you and your hosts know what will transpire in the next 12 hours or so…

  • Tea Ritual
  • Pre-drinking fun
  • Dinner
  • Move to the party
  • Selection process
  • Chinese KTV
  • Night in the hotel
  • Breakfast
  • Return home

You can expect something like this…

And maybe, if you are lucky (or important enough) something like this…

Hotel Pickup

Usually, before the car comes and the girls pick you up (typically the aides and Marketing Manager is female), I tend to go down to the VinGo (a HK version of 7-11) in the lobby and pick up some anti-drunk medicine.

In fact, truth be told, you should always have [1] some aspirin or Tylenol, [2] stomach medicine, and [3] some ED medicine if you are over 30. The anti-drunk medicine is a vial of liquid that you drink during dinner, say about thirty minutes before you eat. It helps to neutralize the effects of alcohol in your body so that you won’t get too drunk too fast. It won’t take away from your enjoyment, but it will help you.

This also goes by the name of dihydromyricetin, or DHM .

via GIPHY

After all, you can now expect a solid six to eight hours of hard drinking. (That’s pretty much the norm whether you go to a Chinese KTV or not.) So, you need to be prepared. After all, your body will be affected by the alcohol.

That is an entire night of HARD drinking of HARD alcohol.

This means that you will need to take some medicine beforehand. In China, of course, you don’t need prescriptions for most medicines. That’s only in America. The rest of the world expects people to be responsible with their own bodies.

You you can just get the ED medication at the counter. Just write the name on a slip of paper and the chick behind the counter will give it to you.

Viagra is around 125 RMB, or around $20/pill. But you can by the local generic version for 200 RMB for 20 pills, or 10 RMB/pill (around $1.25).

Cialis is the medicine of choice for us older gentleman. But you will need to pay for it in the full outrageous American price. Figure around 135 RMB/pill. Yikes!

.Women in China re quite lovely.
The girls in China a lovely. That includes all of the Han Chinese throughout Asia. They really know how to keep men happy.

Of course, there are other options if you are capable and able. I think that the best option is PT-141, but it’s illegal in the United States. Chances are that you won’t have a refrigerator in your hotel room to store it in. Trust me, PT-141 is THE medication for all us older men. If you can obtain it.

PT-141

Special Medicine for Drinking

There is medicine that can be used to control the rate of absorption of the alcohol that you drink. It is available freely in China, and of course you do not need a prescription for it. Here is a photo of the box and the medicine. The dosage is that you take four tablets one half hour before you start drinking.

Chinese drinking Medicine.
Chinese drinking Medicine. The dosage is four tablets taken about one half an hour before you start drinking. Still, this being said, you still need to take control of the amount of booze that you drink.

Anyways…

Since the chances are that you will be in the Southern China section, make sure that you change your underwear. It is tropical, and you will need to take multiple showers and use ample deodorant.

Chances are that you will stay in your hotel, unless the factory boss has made other arrangements. Some of the better business KTV’s have rooms upstairs. In any event, make sure that your “wingman” or aide (male or female) fully understands that you will be the one who will give all the face.

As such… They must restrain their drinking. They must watch the belongings, and your passport. And, they must keep an eye out so that no problems befall you.

Typically, my aide is an employee. Depending on the situation, I’ve had one of my Chinese engineers (fluent in English) come with me, or my Marketing Manager (female Chinese-born Canadian) who would be with me. Now, you might think that the woman would be all hot and bothered about conducting this kind of business relationship (smoking, drinking, singing, dancing, and girls), but that hasn’t been the case at all. In fact, she welcomed it. Heck, you should have seen her the next morning all beaming and happy.

Now, everyone would get their own individual room. And we would all agree on a time to meet. Typically, one person (never myself) gathers everyone up to go down to the lobby and get into the car.

Caution about drinking

I used to drink with abandon. This is because I would drink every night, and so going out to the KTV or a bar was not a big thing to me. However, I do think that it is prudent for everyone to control their intake of alcohol. Here are some good suggestions on how to control your intake…

  • Eat first. When you consume alcohol it sits in your stomach while it waits to be processed. If you don’t have food in there, the alcohol will process faster and at one time. If you have food in your belly, the alcohol will enter your system more slowly and at a varied pace thus lessening the effects of alcohol immediately. (This is hard to manage, but if you are careful it is possible.) Eat a lot at dinner. What you do eat, make sure that it will be filling and absorb the alcohol… like rice. Eat a lot of rice.
  • Drink slowly. For the same reason that you want food in your stomach, drinking slowly will allow your body to process the alcohol over a period of time. Whereas, if you inundate your body with alcohol, it will be more difficult to process. (This is also difficult to manage, but do try your best.)
  • Choose your beverages carefully. If possible, choose alcohols with fewer congeners (substances that are also produced during the fermentation process), which are less likely to cause a hangover. Light-colored beers and white wine have fewer congeners than darker beers and harder liquors. Stay away from brandy, whisky, and red wine. (as if…) But, Clearer alcohols like Baijiu, vodka, gin, and white rum are good options.
  • Drink plenty of water. Drink water throughout the day before you start drinking alcohol and drink water in between alcoholic beverages. As one of the key causes of a hangover is dehydration, the more you do to combat it beforehand the better. If you have a hangover, be sure to drink plenty of water as well. Or, you might want to…
    • Drink sports drinks. In addition to water, these isotonic sports drinks can quickly replenish the fluids in your body, while adding carbohydrates needed for energy and electrolytes to your system.
    • Drink some orange juice. Vitamin C, specifically, helps replenish energy, which is vital when the lethargic power of a hangover kicks in. The fructose found in many types of juices will help replenish sugars in your body depleted in order to process the alcohol you’ve consumed.
  • Take Ibuprofen, naproxen, and other prescription non-steroidal anti-inflammatory medications (NSAIDs). These medications can help numb that pounding headache. Follow the instructions on the bottle. 
  • Take a B6 supplement. B6 will help revitalize your body.

Cigarettes

You will be offered cigarettes. If you want to give the boss face, you WILL smoke them. This is a way of “breaking the ice” and building friendships.

Smoke the Cigarettes offered to you.

Often they will offer you expensive cigarettes, or if you are an American, an imported American brand to show deference to you. Accept it, and smoke them showing your appreciation. Everything that you do is a way of giving “face” to those around you.

黄鹤楼
黄鹤楼 cigarettes. These are the most expensive cigarettes in China. You know that you are being given the “Royal Treatment” if you are offered these.

I would accept these cigarettes even though for many, many years, I never smoked. Thus, for the longest time, I never inhaled, I just smoked and let the smoke swirl in my mouth. You know, you do what you need to do.

In any event, they will offer you the most expensive cigarettes available to you. This is to give you face and show their respect for you.

Show them that you appreciate this gesture.

真龙
真龙 are the third most expensive cigarettes in China. Being offered these cigarettes is a sign of honor and respect.

You take the cigarette and allow an underling to light it for you. You should NEVER light it yourself. That is not your place in this environment. They might give you a menthol filter to use, if offered, take it. It’s kind of fun.

The cigarettes will more than likely be expensive and strong. When I refer to this ritual as “death by cigarettes and tea”, I am not kidding. Sometimes, I need to excuse myself to go to the bathroom to throw up. The nicotine is that strong.

Here are the most expensive brands of cigarettes in China…

  • Huang He Lou 1916  黄鹤楼1916 – RMB8500/carton
  • Liqun 利群 – RMB1900/carton
  • Zhenlong 真龙 – RMB1900/carton
  • Zuan Shi (or Diamond) 钻石 – RMB1800/carton
  • Dong Chong Xia Cao 冬虫夏草 –  RMB1600/carton
  • Zi Qi Dong Lai (or New Century) 紫气东来  – RMB1400/carton
  • Xiong Mao (or Panda)  熊猫 -RMB1200/carton
  • Huaxicun 1961 华西村 – RMB1200/carton
  • Hao Rizi  好日子 – RMB1000/carton
  • Hongtashan 红塔山 – RMB500/carton
利群
利群 are the second most expensive cigarettes in China. You can be offered these cigarettes as a sign of honor.
Continued-graphic-arrow

If you want to return to the start of this series, please go HERE.

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Do you want more?

I have more posts in my Business KTV Index here…

Business KTV's

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How a Chinese Business KTV Works – Crackdowns and Propaganda

Let’s talk a little about the Chinese kidnapped sex slave…

This is a very detailed discussion on how a Business KTV works in China. This is a pretty large multi-part post. It was originally posted HERE, but it soon became problematic as the videos would not load and the SEO flags weren’t being picked up by the search engines. So I broke it down into smaller bite-sized posts. It’s faster to load, easier to read, and you can see all the videos without problem. Enjoy.

Page 15 of 17.

Crackdowns

Sometime around 2013 and 2014, China really started to crack down on these establishments. Entire cities in DongGuan were affected. Today they exist, but are very quiet.

Very quiet.

Today, they are more like American “speakeasy’s” of the 1920’s. It doesn’t really matter though. As long as the boss has the name and card of the manager for the girls, she can direct him to the appropriate KTV venue.

And, of course, there are always girls willing to pay to work at these establishments. For that is the only guaranteed sure-fire way for them to meet men who are wealthy, successful and who would make great fathers for their children.

Cryptic text
While “Happy endings” for massages are legal, trading sex for money overtly is not. There are various “work-a-rounds”, as not once has sex been eliminated from the needs of the human condition.

A few years back, the business KTV’s were everywhere, public and out in the open. Today, they are found hidden.

They went “underground”.

Industry has adapted

Also, industry has matured somewhat. You will not find so many foreigners invited to Business KTV’s as they used to be. Most Business KTV’s tend to be reserved for Chinese businessmen, as America has gotten the reputation (in China) for being Puritanical concerning smoking, drinking, singing, dancing and sex.

It’s a justifiable reality. Most Americans don’t smoke. Few drink. Many haven’t had any fun, aside from mowing their grass, for three years or more. Women in America has grown to fit the r/K profile. They are huge and aggressive, with a chip on their shoulder, and men have devolved into metro sexual beta males.

pathetic
This is pathetic. This boy needs to go on out and get serviced stat! If his wife doesn’t understand, then just leave her. Any wife that is that out of touch with the needs of her husband should be dumped as quickly as possible. Fact!

As a foreigner, you now need to explain that you would like to sing, and dance with pretty girls. Otherwise, the boss might provide you with Western-style hospitality. Which might be a cup of tea, a cookie and a handshake.

Pathetic.

The Myth of the Poor Little Waifs

One of the most common things you hear about regarding sex in China is the “poor little waifs” that are sold into sexual prostitution at a young age.

Hogwash!

Yeah, it’s true the narrative is so pervasive and well-known that it is taken for granted as a fact. But it is not. It was never a fact. All it is, is an elaboration of a series of British tabloid articles designed to lure readers towards stories of salacious sex. Yet, these articles took on “legs”; they took on a life of their own.

As a result, NGO’s would buy a visitor visa and come over to “investigate” the phenomenon. They would stay on the dime of their publishers, and then write up an “Exposé” on the poor little waifs. Of course, they won’t provide any names (ah, to “protect” the innocent, don’t you know.)

Because of this, we now have it well understood that prostitution and sexual slavery is rampant is common in China.

Now, most commonly, many people talk about the poor young girls sold into forced slavery and prostitution. They talk about the terrible stories, and how demeaning it is. They speak as an expert because they have read about these situations.

They know all about it, it seems. CNN, MSNBC, WaPo, and the Guardian has told them that this is the case. And, then, because of that they spout off, as if they are experts…

"Ever think that these girls are kidnapped and basically sex slaves that most of the money they are making isn’t going to mamma sans, that they are forced into situations where they are sexually abused and raped.  Maybe some sit on the streets talking on the phone but that’s the lucky ones.  Human trafficking is a huge problem in china. I think your article is really insensitive and quite sexist, not as bad as “women who wear revealing clothes deserved to be raped” but still misses the fact that they might be doing this against there will!" 

-Wallimo

Wow! Chinese kidnapped sex slave! How do you know all about this? Have you ever been to China? Do you even have a passport? How do you know that this is actually the case, or are you just regurgitating some bullshit that you read?

I would argue the latter, most certainly.

Oh, and they are not called “mamma sans” for Christ’s sake. They are called 女经理, or if you prefer lăobănniáng.  What the fuck is “three horse horse”?

Chinese kidnapped sex slave!

I’m in China. I’ve been living here for a long, long time. I do happen to know many of these girls. I mean it. I fucking know them. I talk with them. I eat meals with them. I go to parks with them. I’ve even helped them pick out shoes to wear. They are not some poor abused and misused waif. There might be some, Oh, maybe 0.000000000000001% chance. However, it is the exception and NOT the rule.

Others, who also have been and lived in China, agree with me. They, like myself, take a dim view of these self-righteous ignorant know-it-all busybodies who spout this nonsense. It’s like they have diarrhea and tilt their huge asses towards you and spray away.

"Wallimo, only a very daft person couldn’t tell the difference between a self-interested gold digging KTV hostess and a sex slave.  

Everyone is aware of the human rights dilemma in China in the sex trade in particular, but even if you visited the seediest parlors and saunas from Shanghai to Lhasa, you’d probably never come across even one sex slave.


In other words, they are vastly outnumbered by girls who are willing to enter the industry for personal gain, and what’s more, they are typically trafficked into different types of establishments, mostly catering to repeat customers.

We’ve heard that finger-wagging rhetoric so much that most of us just get annoyed when people spout off on those holier-than-thou guilt trips."


From Disporia-ChinaSmack

Yeah. Those of us with REAL experiences are tired of the ignorant and their “holier than thou” attitude.

Imagine! Chinese kidnapped sex slave!

"We’ve heard that finger-wagging rhetoric so much that most of us just get annoyed when people spout off on those holier-than-thou guilt trips." 

From Disporia-ChinaSmack

If all you read is from CNN, WaPo, and the Guardian you might be convinced that the world is going to be taken over by sex-dolls, and that sexual slavery runs rampant throughout the world.

Who thinks up THIS nonsense?

That, and that Donald Trump is a Russian spy, that you will keel over and die from a zombie outbreak of bird flu from Hong Kong, and we are all going to die by global warming.

Personally, I am so sick and tired of being lied to, manipulated, and having my emotions put through the wringer. It’s all nonsense used to control YOU.

Don’t fall for it.

SJW
Social Justice Warriors, how’s it working out for ya? You getting the needed changes in society to make it as attractive as you desire it to be?

That’s not the truth. None of it is. Not by a long shot.

Welcome Contributions

Parroting what you have been programmed to believe is far below your potential.  If you’ve got something good to say and to contribute then I would welcome your contribution. Here are some areas to start off with…

  • What is the going rate for a short-time in Daliang?
  • How much does it cost to have the hair done and fingernails done at 5pm in Shenzhen, Louhu?
  • Where do the girls get the costumes? And who does the alterations?
  • What is the busiest time in the year for Business KTV’s?
  • When the girl agrees to a contract at a Business KTV, what is the duration?
  • What is the best day to work during the week?
  • Which days are the girls permitted to take off?
  • Can the KTV provide dorms and a minimum salary, or are there free-lance considerations?
  • What is the girls locker room like in a Business KTV?
  • Where a dorm is offered, what are the sleeping arrangements, and how are the meals prepared?

If you are unable to answer any of these FUNDAMENTAL and most basic questions, than you actually know jack-shit about this industry and culture. So do not lecture me on something that you read out of a UK tabloid, or an Op Ed from a liberal American media outlet.

A Very Good Story

I have posted, on this blog, the ramblings of a “pimp” for these girls who work the KTV scene. If you want to read about this some more, then please go HERE. Otherwise…

Continued-graphic-arrow

If you want to return to the start of this series, please go HERE.

Do you want more?

I have more posts in my Business KTV Index here…

Business KTV's

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How a Chinese Business KTV Works – Pre-party Preparation

This is a very detailed discussion on how a Business KTV works in China. This is a pretty large multi-part post. It was originally posted HERE, but it soon became problematic as the videos would not load and the SEO flags weren’t being picked up by the search engines. So I broke it down into smaller bite-sized posts. It’s faster to load, easier to read, and you can see all the videos without problem. Enjoy.

This is part 5 of 17.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

KTV Prep

Eventually, the bottles of wine will be empty. Everyone will be full.

The aide will gather the left over bottles. Any cigars you are smoking will be finished. The aide will call the KTV and make sure that the room is reserved. He will typically get up off from the table and call at the side of the room, or in the hallway. The boss might call the manager of the girls (they operate outside of the club), and make what ever arrangements that he has in mind.

The Manager of the Girls

The girls will, of course need a manager. Here, we talk about the KTV girls management. It is much like the management of any group of people in a business. You need to monitor the staff, identify your resources, and make sure that you are providing value-added benefits to the clients. Not to mention the obtainment of clients and keeping them.

Typically (but not always) the manager of the girls will be an attractive woman in her 30’s. Hard as nails, and no-nonsense. Most have raised up through the ranks to get where they are today. Think Sharon Stone in the movie Casino.

You build relationships with these managers. As such, you get to know them. They manage the girls and they provide promotions and arrangements as necessary.

  • Sometimes girls want to be with their friends. So they would only work with a client if their friend can participate. I’ve seen this with two girls, and three girl teams. The only thing about this is that you need to make sure that all of the girls are equally playful. No one wants to spend any time with a sour-puss that has some home or family issues. Or even worse, a gal who just stands there stamping her foot anxiously and demands that both girls leave. Yikes!
  • Sometimes the girl has had a bad run of luck, and hasn’t been selected all week. So the manager would promote the girl. Maybe offer a reduced price, or extra services, or extra-long time, or maybe cart Blanche on the activities involved in.
  • Sometimes the timing is really bad, as all the girls are at home during holidays, or a number of the most popular girls are sick. The manager would need to make this clear and work out arrangements that might make some of the more unpopular girls more attractive to the client.
  • Often, the girl might not want to be physical with you. That is fine. That is her choice. The manager will then find a girl that will want to. In general, I would rather be with a girl that is enthusiastic to be with me, than a girl I picked based on appearance. No matter what you might think, the truth is that there will always be some girls that would really want to be with you.

Management Fees

Now, the management fee for the girls is actually quite small. This is something that surprised me. In The United States, I was under the impression that all prostitutes had pimps and the pimp would take the vast bulk of the money the gals made. Not so in China.

Han Chinese
Chinese girls for the most part are Han Chinese. This is the same race as the Koreans. In a like way, the Han Chinese and the Koreans are very similar. This picture is a mixture of both Korean and Chinese girls. Can you tell who is who?

The manager is paid by both the girls and the KTV. The payment amount is often quite small. Maybe a few hundred RMB a month (Maybe $30 / month).

They make their money in volume, and repeat customers. If you have a troop of one hundred girls, that’s a solid $3,000 USD per month on girls alone. That is un-taxed, and keep in mind that that is actually equivalent to maybe $20,000 / month. This is because the cost of living in China is much less than that in the Untied States.

The General Quality of KTV Hostesses

Of course, none of these girls are “bottom of the barrel” skanks. In China, the ability to make money is ranked highly in desirable traits, so the girls are all extraordinarily beautiful. These gals are all skilled in singing, dancing, being able to control alcohol intake, and having fun. They are the exceptional women of the region.

They tend to be along the lines of this. Long hair, beautiful face with stunning eyes, and sweet lips. They have a fine figure (nice ample chest) and a friendly and welcoming demeanor. They are pleasant, and kind, and sweet.

On a scale from 0-10, with a 5 being average, you would find that most girls at a Chinese Business KTV are in the 9 – 10 range. Which means, in every lineup you would find multiple girls that your would find personally attractive.

No, you won’t find any enormous back women with asses the size of trashcans jerking about. That is reserved for Obama’s America.

Chinese girls tend to be well proportioned. In general, they all have long or longish hair. Short hair can be seen but it is a rarity. Most have black or brown hair. Some girls dye their hair, but this is also unusual. All the girls, even the darker girls from the South will have light or lightish skin. It’s a sign of beauty in China.

Personally, I love the oval eyes. When one of these beauties look at me, I just melt into their eyes. Also, most Chinese have brown eyes. Their face tends to be oval. Much like this girl here…

They will be playful. They will be nice and polite. Some might be a little aloof, but you would be too, if you stood in 15 lineups before getting chosen.

Their skin is so smooth and soft. Many of the girls have experimented with dying their hair, and so you might find some girls with light highlights in their hair, and dark roots. It is normal for Chinese to have from brown to coal-black hair. You can tell their natural hair color by looking at their eyebrows.

Once, the girls have been introduced to the clients (as discussed later), final payment arrangements will have been established by the KTV and the manager. The money that exchanges hands goes into two batches. There is a direct “tip” that is given to the manager as a “thank you” for their services, and the transaction between the girl and the guy who selects her. In the case of the boss buying the girl, it is handled by his side. The girl gets 100% of that money.

Anyways, more about that later…

Whatever arrangements are made, eventually you will be led (oh yes, boy… you will be led) to the car and then to the KTV.

Some more Chinese KTV hostesses
Typical Chinese KTV hostesses. They wear different outfits, and it is not unusual for them to change in and out of the different outfits all night depending on the client and the situation. I always like the “Gone with the Wind” look where the girls would dress up in these huge flowing dresses, and have their makeup all done up. It’s a real experience, let me tell you.

From that moment on, you will be given “Red Carpet” treatment. You have proven yourself. If you handled yourself well, you out drank the boss, out smoked the co-workers, and ate a chicken’s head and spit it out. You gave the boss great face. Now, it is his turn to repay the favor.

How he will give YOU face.

Continued-graphic-arrow

If you want to return to the start of this series, please go HERE.

Do you want more?

I have more posts in my Business KTV Index here…

Business KTV's

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How a Chinese Business KTV Works – Pretty Boys for the Girls

This is a very detailed discussion on how a Business KTV works in China. This is a pretty large multi-part post. It was originally posted HERE, but it soon became problematic as the videos would not load and the SEO flags weren’t being picked up by the search engines. So I broke it down into smaller bite-sized posts. It’s faster to load, easier to read, and you can see all the videos without problem. Enjoy.

Page 10 of 17.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Let’s look at KTV pretty boys.

Of course, as a man, I concentrate on all the pretty Chinese girls that work as hostesses at the KTV establishments. I do so because I am a man, and having a time with handsome men just isn’t my thing. I like to be with the pretty girls. I love their actions, their appearances and everything about them.

I am not at all shy to say this. For biologically, a healthy man, will always be interested in the female gender. Men who are not interested in women have a mental illness. Yes, I know that this is not politically correct, but heck, I know that science (and history) is on my side.

Here’s to beautiful girls…

But that is just me. You know.

I am “old fashioned”. I am not all hip to this new progressive multi-gender lifestyle and one where being queer is normal and expected. Nonsense. I never was interested in having same sex relations outside of friendships. I am quite satisfied just being with attractive girls.

That all being said, the Chinese recognize that women have needs and desires. And thus, it can be profitable to cater to them. Thus, there are many KTV’s that cater to the ladies, and of course, the men that also like men…

And for the girls…

There are KTV pretty boys.

Now, you shouldn’t be under the opinion that China is a sexist nation. It’s not. Everyone is equal here. Just like the male Bosses can go to a KTV and  pick out an attractive girl to play with, a female boss can go to a KTV and pick out an attractive boy to play with.

For some reason, one that I am unsure of, the KTV boys that service the ladies all dress in white. Everything is white. They tend to be in their twenties to mid thirties. They, just like the ladies have their own network of clients and “favorites”. Often the wealthy female boss would buy expensive presents for the boys. For instance, a Ferrari, or a Lamborghini.

Yes… they are that wealthy.

Men in white
The men who take on the Host role for the female bosses would all dress in white. They would look something like this. All would be thin, well groomed and attired.

The guys typically defoliate their entire body except for the head so that there isn’t any hair. None have facial hair. They are also impeccably attired and very very clean. They take classes in how to dance, talk and make interesting small talk. Once they are chosen by the female boss, they give her every attention.

There are those who have ended up doing drugs, but for the most part, they try to keep clean and sober. They will drink but really do everything they can so that they will not embarrass their client.

The number of guys to girls ratio is smaller. If the KTV would have maybe 600 girls, it might employ only ten or so guys. The need for guys, while present, is not as great as a demand that exists for the girls. Often the guys would be friends with the girls and there would be many dates and fun times together outside of work. Both hosts and hostesses know how to have a good time so the time together tends to be of high quality.

EXO
In many ways these guys are very typical of the male version of the female Hostesses of the KTV’s in China. A well-heeled female boss can expect to have her pick for a companion for the night.

Typically, but not always, the same manager for the girls would manage the boys.

The boys can make much more money than the ladies do. If they find a rich and maybe lonely female boss, they can easily earn a couple of million dollars a year. (Seriously!) The females that hire these men are typically 40 years old and up. Many are millionaires, and some are even billionaires.

Nicholas
The young men who do work in the KTV’s tend to be very popular. They have cultivated their skills, and do everything that they can to be a pleasing and suitable companion to wealthy Chinese clientele.
China does not discriminate between girls and guys.  While most KTV’s maintain a contingent of girls, there are those that have a mixtures of girls and guys, and even some that are guys only.  The men, typically in their twenties are all handsome, well groomed, (clean) and attractive.  They (at least the ones I am familiar with) wear all white. (It’s a Shenzhen thing, I hear.) The situation and selection for them is the same.  The only difference is that what a woman finds attractive in a rent-a-boy is different than what a guy finds of interest in a rent-a-girl.

They also tend to be rather torturous with the young men. I have heard stories of the women getting the guy so worked up and horny and not permitting him to ejaculate. And sit there, with their friends, enjoying him suffer. They would make sure that he would take the necessary medicine to get an erection and drive him insane with desire. Then watch him pine away in agony.

male-女公关
Male 女公关 line up at a Chinese Business KTV. This is pretty common in the venues that offer services for the ladies. Some establishments cater ONLY to the ladies, and they have all sorts of fun inside. Fun and adventures that I can only guess about.

I have heard stories of women burning parts of the boys skin with cigarettes and melting hot wax and pouring hot oil on parts of their bodies. Some can be rather cruel. I have no idea why this is so. Maybe they are tying to get back at the male gender for some reason or the other.

I understand that some KTV’s allow the boys to mix up their outfits somewhat. This is only hearsay. The KTV’s that I know of have a strict all-white dress code to prevent confusion. I have heard that some allow certain exceptions to the dress code so as to be on the cutting edge of fashion.

White uniform
Certain KTV’s permit the boys to accessorize their uniform and costumes somewhat. As in China, the boys do not have the same kinds of freedoms that the girls have when it comes to the working environment and dress codes.

My former Marketing Manager thought that having an attractive man to tend to her every need was a good thing. She really enjoyed the company. I can confirm this two times, let me tell you…

Continued-graphic-arrow

If you want to return to the start of this series, please go HERE.

Do you want more?

I have more posts in my Business KTV Index here…

Business KTV's

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How a Chinese Business KTV Works – Going to the Hotel Room

This is a very detailed discussion on how a Business KTV works in China. This is a pretty large multi-part post. It was originally posted HERE, but it soon became problematic as the videos would not load and the SEO flags weren’t being picked up by the search engines. So I broke it down into smaller bite-sized posts. It’s faster to load, easier to read, and you can see all the videos without problem. Enjoy.

Post 12 of 17.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Your Aide / Wingman

A very important part of your visit to a business KTV is to have an aide or wingman to look after you. They will make sure that you are registered into the room, and that your passport will not get lost or misplaced. They will keep an eye out for you and help you out of the KTV room when it is time to go.

If you are not careful, these girls will eat you alive.

Working Hours

The girls generally get ready for work around 3pm. They get dressed, have their nails and hair done. They show up for work around 5 to 7pm depending on the venue.

The business KTV’s are open up until 6am. Most others tend to close a little earlier.

If you are having fun at a business KTV, then you MUST watch the time. Otherwise, you will be terribly drunk and end up going back to your room around 3, or 4 in the morning.

Now, here is the rub. After a solid 9 to 12 hours of heavy drinking, you (unless you are under 25) will be too exhausted to provide any energy in your penis for sexual activity. And no, Viagra or Cialis will not be much help either. Even if you had the lift, all you will want to do is… sleep.

So…

Use the Cinderella rule. You leave the KTV before 12 midnight. Pick a time somewhere between 11 and 12. No later.

Then, you will no be so exhausted, or sleepy and can be able to get your money’s worth of fun.

Further take note, that the girls all turn into pumpkins at 6 am. So if you are looking forward to some morning heat, remember that it will have to occur before 6am, because the girls will be out of there and on their way to their families.

So another reason to leave the KTV early (before the rest of the guys finish partying) that that if you leave at 3 am, you will only get three hours with that gal, and at that, you will spend most of the time sleeping.

Be advised and take note.

Going to the Room

They will help you and the girls into the elevator and you will ride it to your floor. It will be something like this video below. The girls will take the lead and show you where the room is and help you get settled. They will open the door with the card key and place the card in the activation slot.

All the hotels in China utilize electronic locks. This is either with a magnetic card or some similar mechanism. Households for the most part utilize keys (if they are rented) or thumbprints if they are owned. The newer houses also are staring to use face and retinal scan recognition technology.

It will be like this if there are two or more girls with you…

Otherwise, if you are just going with one girl. It might be a little like this..

Or, like last week when it was warmer out, it might be a little like this…

The girls will file into the room and my aides will collect my gear and keep it safe with him. I will retain my glasses and cell phone. Then we will call it a night.

My aides will then go off to their own individual rooms with their companions. And I will be left alone with the girls. The girls will typically find a outlet to plug and charge their cell phones with. They will check their WeChat, and confirm any last minute messages. Often they will have other guys (fans?) sending them messages that they need to respond to. I just let them take a few minutes to get settled. 

Turning In

The girl or girls get settled in. If you are there with three girls, typically two will rest in the other bed and you will be with one girl. Then they will take turns with you. If we are all too drunk it is simply a matter of passing out for five or so hours. Otherwise, showers are used and everyone gets clean.

  • Don’t trust the bath towels. I don’t care if they are sanitized. You use them everywhere except down in the groin area. Trust me, you don’t want to get the clap or some other serious illness. Use a condom.
  • Brush your teeth.
  • Make sure that you take your eye glasses off, or they might get broke while you are romping and playing around.
  • If you really like the girl, get her WeChat, so that you can get together again in the future.

Sometimes, you just want to decompress for a bit before you engage in any kind of sexual activity. When this happens I like to see where they are from and so I ask all kinds of questions about their hometown and the food that they eat. Often we talk about life and dreams. I’ve had more than a few chats about bitcoin, setting up international trade, and tax/tariff laws in the United States. Honestly I was really impressed with one gal who had a dog grooming business and a massage parlor on the side. She had her act going on.

Some of the girls are quite wild and experienced, but I am just a rather boring old Joe. So we just keep things simple, which in a way is kind of a relief to the girls. Now, keep in mind, that if you are there with multiple girls make sure that they are all EQUALLY engaged, or else the one who has been neglected all night will want to leave – thus spoiling all the fun.

Sometimes the girl will leave behind a trinket or two for you to remember them by. That’s a nice thought, but I just throw them away. If I don’t have their WeChat contact information, I probably won’t ever see them again.

Continued-graphic-arrow

If you want to return to the start of this series, please go HERE.

Do you want more?

I have more posts in my Business KTV Index here…

Business KTV's

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How a Chinese Business KTV Works – The Next Day

This is a very detailed discussion on how a Business KTV works in China. This is a pretty large multi-part post. It was originally posted HERE, but it soon became problematic as the videos would not load and the SEO flags weren’t being picked up by the search engines. So I broke it down into smaller bite-sized posts. It’s faster to load, easier to read, and you can see all the videos without problem. Enjoy.

Page 14 of 17.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

The Next Day

Ah… the next day. Hangover…

Hangover II
The movie was fun, but it”s a different story when you actually live that life and experience those scenes. This is from the Movie “Hangover II”, which I personally think was the best in the entire series.

You wake up. Your mouth tastes like a soggy ash-tray. The sink is usually stained from purple colored vomit (wine and dinner debris), and the girls are ready to finish you up for the day. While the girls are waking up, you go to the bathroom. Brush your teeth, get ready, and then go back to bed.

There will always be the “eager beaver” who will want to be first on. So let it be. Just make sure that the other girls get some. At this point, I cannot stress hard enough the importance in controlling your alcohol tolerance and the necessity of being ready for the morning festivities. Reliance on little blue pills will not cut it alone.

Eventually you will all be finished and exhausted, no doubt.

Going everyone’s separate ways

Typically the girl(s) will finish up. They will take another shower and get their clothes on and leave. They will probably get your clothes for you. You will find them neatly folded and ready for you.

The girls will most likely open the shades and let some light in the room. They will check their messages and send out some status updates and then say good-bye and go out the door. It’s sort of like this…

Since chances are, your were pretty darn buzzed when you rode to the KTV, you had no idea where the hotel was or what it looked like (outside of the bright lights). With the window blinds drawn, you will be able to see what the community looks like in the full daylight. It might be a city, or a rural village. You can never tell until the morning.

Once they leave, you just lay there while your aides and managers wake up. They will tend to give you a call and then you will meet the boss again for breakfast.

I would advise Jiu; congee which is made from rice. It’s a kind of rice porridge out of pork or chicken. Put something warm in your stomach for goodness sakes.

Congee
My preferred meal after a long hard night of drinking and fun is to have some nice warm congee. Often, we will eat dumplings and doujung with this as well.

Then get ready for the trip back home…

Continued-graphic-arrow

If you want to return to the start of this series, please go HERE.

Do you want more?

I have more posts in my Business KTV Index here…

Business KTV's

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How a Chinese Business KTV Works – Conclusion and Summary

This is a very detailed discussion on how a Business KTV works in China. This is a pretty large multi-part post. It was originally posted HERE, but it soon became problematic as the videos would not load and the SEO flags weren’t being picked up by the search engines. So I broke it down into smaller bite-sized posts. It’s faster to load, easier to read, and you can see all the videos without problem. Enjoy.

Page 18 of 18. This is the last page of the series.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Summary

This was a compilation of information that is sorely lacking on the internet; information regarding “Business KTV operations” in China. It is a huge industry, it employs millions, there isn’t one single factory or business boss that hasn’t gone to one. Everyone knows about it. But…

But no one ever talks about it.

Those that try, are often shouted down by the ignorant and the legions of enraged SJW who want to make the world perfect with unicorns prancing under a rainbow hued sky. They shout back in anger and feigned disgust. So, what happens? People just live their lives, and keep quiet.

Well, now you know.

You know, knowledge is a good thing. Overall, I think that it is refreshing to hear the truth about things rather than live a lie painted by others. That’s how you grow, experience life, and make decisions upon.

We need to look at the “big picture”. We need to see how everything connects together. We need to see the reality of what is, before we try to change things to make something “better”, “improved”, or “wonderful”. Or else we will have to endure a childhood wearing bubble wrap…

Playground Comparisons

Or a lifetime of eating plastic cardboard boxes…

Tomatos

Or, banning things because… you know… just because

PT-141

Now, while the Business KTV has a degree of prostitution, you should not be blinded by that sole aspect of it. The true and real purpose of a Business KTV is to reward high performing bosses and talent for contributions to the company.

Or, perhaps you think that Alibaba, and Huawei got to be so big by giving their high performers paperclips and cheap pens with logos?

Is a Business KTV good or bad?

Seriously, the thought that I would be rewarded with a fun night with other bosses really motivates me. That is far superior to the ball-point pen with a logo that said “Success is a way of life” that Magnavox gave me for saving the company five million dollars.

It is also superior to the coupon for a free coffee at Tim Hortons that Pollak gave me for designing, producing, and perfecting the E-ETRESS system.

I personally think that it is far superior to the corporate note pad with the company logo on it that I got out of Delco Electronics for perfecting a remotely programmable SIMM on the ECM modules.

When you work and toil in your corporate job, look at how they reward you.

Girls vrs pens
What motivates you to work harder? Which is the better reward for skipping vacations, working overtime with no monetary benefit, and working weekends? Which is the better reward when you save the company a few million dollars?

I think that the Chinese system is superior.

Important Notes

  • Never refuse the offer for a dinner or KTV. While the boss might accept and agree to work with you, it will be done so reluctantly with a “clothespin on his nose”. As you have insulted him, his factory / business, and the Chinese culture.
  • Understand that the arrangements for a dinner, KTV room, and girls have already been arranged days ahead of time. To think or expect otherwise is an insult to the boss who places a great deal of time and effort into your meeting with him.
  • Going to a KTV does not guarantee any kind of sexual encounter. That is up to the participants and the environment. Remember, it is the girl that decides what will happen. You never make that decision, no matter how much you want to.
  • If you are unwilling to do business in China using Chinese industrial norms, then you should expect the consequences. Don’t, for the love of God, be the “Ugly American“.
"Ugly American" is a pejorative term used to refer to perceptions of loud, arrogant, demeaning, thoughtless, ignorant, and ethnocentric behavior of American citizens mainly abroad, but also at home. Although the term is usually associated with or applied to travelers and tourists, it also applies to U.S. corporate businesses in the international arena.

Take Aways

  • KTV’s are popular in China.
  • The business KTV’s cater to bosses. They have their own ways of doing things.
  • Both women and men can enjoy themselves at a Business KTV.
  • There is the potential for sexual pleasures at such a KTV, but it is not guaranteed.
  • Visiting such an establishment can be expensive, thus they are typically only reserved for special occasions and special business relationships.
  • Female hostesses work at a KTV for various reasons. The most common is to find a mate with a good job and a steady income. You go to where the best likelihood of finding what you are interested in, is.
  • Male Hosts work at a KTV for their own reasons. I do not know their reasons. It varies from person to person. I do not know what they are.
  • If you go to a Business KTV, take ED medication, and control your drinking.
  • The best way to impress a Chinese boss is to drink hard and eat a chicken’s head.
  • When having sex with a stranger use a condom.

FAQ

Q: How can I find a Business KTV?
A: Ask the factory boss in the town that you are doing business with. He will probably be able to point you in the general direction. Else, you can go into a Business Hotel and chat with the manager there and ask where any exciting girls and girl action might take place.

Q: Is a Business KTV the only type of prostitution available in China? 
A: No. Not by a long shot. In fact, it is a rather small subset of society that caters only to company leadership, management and bosses.

And, keep in mind, the potential of prostitution in a Business KTV is determined by the aggressiveness and the ambitions of the local girls / women in the community where the KTV is located. They determine the need, the rates, and the quality of care.

There are other venues such as escorts, and the like that a person can visit and use if all you want to do is to have sex with a girl.  If all you want to do is to have sex, you can go to a salon, or pick up a street walker for maybe $25 to $50. It need not be expensive. You go, get the gal, pay the money and get yourself off. It’s far better than reliance on internet images and magazines.

Besides, these gals have families to support. Instead of giving some rich California software king your money to download an x-rated porn movie, give it to the girl’s so her children can eat dinner and her husband can get a new pair of work boots.

Q: What are the types of prostitution in China?
A: There are many.

  • Mistress or Second wife (二奶, èrnăi): She gets a monthly salary in exchange for regular sexual favors. Even if she usually does not offer romance or family life, sometimes she lives with the customer and may wish to marry him.
  • Packaged girl (包婆, bāopó ): Similar to second wives but only work for limited time, as on business trips. These two upper tiers correspond to the ancient concubine, although the second wife and packaged girl are mostly kept secretly rather than in the household. Only rich men can afford them, therefore these girls are often considered to be linked to corruption.
  • Female companions (陪女, péinǚ): You can find them everywhere in China: restaurants, karaoke – the (in)famous KTV xiăojie, – bars and clubs. Often, in bars and most typical establishments, they usually stay in a corner playing with their cell phone and drinking some cocktails till a customer arrives. Many of these girls are employed to dance, sing, drink with the customers that pay for a table or, it the case of KTVs, for a room. Some of them will eventually leave with the customers. Business KTV’s is a subset of this class, where the best girls work.
  • Escorts. These girls are available either to your room, or at their house or at a mutually agreed hotel room. They advertise on the internet, and tend to be moderately expensive. A typical example is this link for Shenzhen massage. The girls are all attractive and speak English.
Escort
Escorts can make a good decent salary, and like all industries, rely on repeat customers. Most work hard at it for five to ten years and then retire after they either traveled the world, established a family, or started a business.
  • Ding dong girls (叮咚小姐, dīngdōng xiăojie): Literally like pressing a door bell “ding dong”. Typically, they go to a hotel that seems to have a lot of customers – single and lonely men. What they do is that they rent a room there and call the other rooms offering their services. They do this by sliding a card under your hotel room door. This is usually a “massage.” Of course. having a massage with a happy ending is legal in China. It used to be that they would knock on your door, or slide a card under your door to offer you some fun services. Now, it is quite possible for other more intimate participatory services can be offered for a reasonable fee. That is always negotiable. You can add to this category also the girls that look for customers on QQ (the Chinese MSN) or on the web in general.
Ding dong cards.
Ding Dong Girls cards that were slid under my hotel door when I was staying in Shanghai. You can find these cards all over China.
  • Hairdressers and masseuses (发廊妹, fàlángmèi): Chinese hair salons and massage parlors are the place to go if you want to get a cheap “happy ending” (usually by masturbation or oral sex), especially the ones full of bored half-naked girls lighted up by pink lights you can find close to a train or subway station.
Gils in a salon
Typically the girls will be sitting around in the salon waiting for a customer to walk in. Truthfully, there are usually many many girls, often thirty to fifty that occupy one room. Great selection. Low prices and happy endings all around.

The fàlángmèi are often migrants from the countryside and perform contractual work under a proprietress (lăobănniáng) or a pimp (pítiáo) who provide them with housing, clothing and food, as well as a cell phone and monthly subscription. They do not make much more money than a worker, unless they receive tips from the customers.

  • Street girl (街女, jiēnǚ): The name explains everything. They solicit customers in the halls of the hotels, bars and other entertainment outlets. They may offer petting (shàngbànchăng, first half) or intercourse (xiàbànchăng, second half). Street prostitutes may be accompanied by a lăobănniáng or work on their own. They are those at greatest risk of being apprehended by the police. We also call them “chicken girls” which is the English translation of 街女.
Chicken girls
Here is a group of ladies photographed so that their faces remain hidden. You can easily see that they are free of tattoos, piercings, rashes or pockmarks typical of drug abuse, of normal weight – not obese – and pretty normal girls.
  • Underclass girl (下工棚, xiàgōngpéng): They live in urban slums (such as they are), or the seedier and poorer neighborhoods in the fifth tier towns.  They can typically be found at construction sites. They fill a social and sexual void, as the migrant workers usually have left their wives at home, and are paid with food.

Q: Is prostitution rampant in China?
A: No. It might seem like that because If you were to compare percentages you would be surprised to find that prostitution, by percentage of the population, is actually very tiny. Remember, China has a huge population.

Like any other nation, prostitution will exist. However, China is a very conservative and traditional nation. Prostitution is not considered to be a good thing.

On the whole, if you want to see a prostitute, you should to go Thailand or the Philippines. Not China.

China is NOT a sex monger’s paradise. It is a paradise for hard workers, those who strive and achieve, and people who believe in working together for the common good. If you work hard, study hard and do your best, China will reward you. There are no easy routes in China.

In China, it is the person with their “nose to the grindstone” that becomes a success.

When I see articles saying that China accepts prostitution and that sexual sales and slavery of young children exists, I get mad. That is absolutely not the case. NOT AT ALL. I tell you this two times. China has been very hard on the prostitution industry and many things that are fine and dandy in the West is forbidden in China. Such as unedited R-rated movies, and such. China is a conservative, traditional nation.

Q: What is the best alcohol to drink at a KTV?
A: For a business KTV, you will need to drink with the boss. After that event is finished, please heed my advice and chill out. You can drink all the beer you want. Alternatively you can drink severely watered down whiskey. Take it from me, you don’t want to wake up nude with three girls ready to have fun with you, and you are way too drunk (too much alcohol in your system) to “get it up”. Heed my advice.

Q: Are these girls clean? Do I need to wear a condom?
A: Yes the girls are clean, and yes you do need to wear a condom. They work six days a week, most of the year. They typically have three to seven sexual adventures per week with who knows who. You do not want to catch any type of sexually transmitted sickness.

Also keep in mind that while the condom might be good, note that if you have a cut, a bruise, and a pinch from the zipper fly in your jeans, then don’t have sex. Illnesses can be transmitted through sweat and precious bodily fluids just as easily. When in doubt take care and be careful. If need be, cancel and reschedule until you are all healed up down there.

I advise NEVER to have any sexual romps with anyone if you have a cut or an open wound, no matter how tiny, and no matter where it is located. Do not take the chance.

Thank you.

If you want to return to the start of this series, please go HERE.

Do you want more?

I have more posts in my Business KTV Index here…

Business KTV's

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How a Chinese Business KTV Works – Younger Girl

This is a very detailed discussion on how a Business KTV works in China. This is a pretty large multi-part post. It was originally posted HERE, but it soon became problematic as the videos would not load and the SEO flags weren’t being picked up by the search engines. So I broke it down into smaller bite-sized posts. It’s faster to load, easier to read, and you can see all the videos without problem. Enjoy.

Page 16C of 17.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

A younger Girl

Let’s talk about the stunning Chinese women. Now, here is a younger girl. While most of the gals will be in the middle to late 20’s there are those that are around 21 to 23 and these gals are just as cute, and just as fun loving as the older girls. They are just fun to be with and a gas to play with.

This girl looks like a firecracker, and I’ll bet that she is. What fun she would be with. Though, I do have to advise that it is unusual for the younger girls to be interesting to talk to, unless you want to talk fashion, APPs, trends and movies.

A Typical Girl

The next girl is also typical. You will note how fun and great all these girls are. Like all women they can have a serious side and a pensive side, but also a fun side. When I go to a KTV I want to have fun. I don’t want to get too serious, and I just want to have a good time.

I want to drink, play games, sing and dance. Girls like this next girl are typical and will absolutely be a great gal to play with.

The beautiful Chinese face

Here, in the next video, is another beautiful girl. Take a look at her awesome face. This face is pretty much the Chinese ideal. It is a heart shaped face with a pointy small chin. Notice the big brown eyes, and the happy lips and the proportions of the eyebrows and cheekbones.

What’s this all about?

Yes, I am talking about the kinds of Chinese girls that you would find in a business KTV. Yes, I am talking about what they look like, and the novelty of being able to pick a companion out to play with. But why is it important?

It is important to have fun. And having fun is the mechanism that runs the business KTV.

I love to watch the girls…

I love to watch beautiful girls. I especially love to watch them dance. I find it hypnotic. I can drink beer all day, and sing and dance and play games with these attractive beautifies and never quite. If I had my way, I would have dinner with them and just enjoy the time playing with my dog and laughing together.

Life is about living. If you are not enjoying life, then you are doing something terribly wrong.

Continued-graphic-arrow

If you want to return to the start of this series, please go HERE.

Do you want more?

I have more posts in my Business KTV Index here…

Business KTV's

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How a Chinese Business KTV works – NSFW Parties

This is a very detailed discussion on how a Business KTV works in China. This is a pretty large multi-part post. It was originally posted HERE, but it soon became problematic as the videos would not load and the SEO flags weren’t being picked up by the search engines. So I broke it down into smaller bite-sized posts. It’s faster to load, easier to read, and you can see all the videos without problem. Enjoy.

This is part 9B of 17.

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

NSFW Parties

What are we? Children? All the term “NSFW” is designed “to protect the children”, yet at most of these venues there isn’t anyone under the age of 25. So, it’s all kind of silly trying to maintain NSFW standards designed to protect pre-adolescent children.

In America everything is so PC. There is even a term for hiding behavior in such a way that you might not lose your job. NSFW means Not Safe For Work. Heck, only in America or some selected European Socialist "Paradises" perhaps. Not in China.

So everybody is well fed, well drunk and you’ve had your fill of beer, karaoke, weird Chinese snacks and “hands on” strip shows – now what? It’s up to you… usually.  

Sometimes, depending on the arrangement with the girls manager, the parties can get really fun, as these two videos can attest…

The girls will typically have a good time. I know that I certainly do.

Their enjoyment is directed by their manager, who works out what ever arrangement that is proper with the host boss. They will be edged on by the other girls who might inspire playful acts of a sexual nature and other curious events.

LAGNAF!

The basic idea is to get drunk. Lower your inhibitions and have a good time.

As these videos attest, being with a cute gal (both of you) getting drunk and playing around is a great reward for an ambitious boss.

The KTV is a refuge. It is a controlled environment where a person can become someone else and behave differently. In China, everyone wears a mask. This is a face (mingzi) that defines their role and how they conduct their business. For many bosses they only have two roles; Boss and Family head. Each time, they must exist within that role.

They come home and the wife and the children expect the father to be a traditional father. He will play with the children. He will help them learn. He will support the wife and take care of things that she needs help with. He will do this within the role and the face that he must wear.

Then, he goes to work. He puts on the Boss face. Here there is actions and behaviors that he is culturally restrained to follow. He must maintain that appearance. He must always be calm and collected and stern and in control.

The problem is…

There is never an opportunity for him to let loose and be himself. Never. Never, that is until he goes to a KTV. There, once he is inside the doors, he is in a world where he can be himself. It is a protected world. The doors are closed and sealed. Guards protect the people inside. Female entertainment is provided, and if the KTV is half decent, the girls are vetted to make sure they do not prey on the clients.

KTV-typical
In a KTV environment, the boss gets to “let his hair down” which is a very difficult thing to do in the Asian culture. In Western cultures everyone is “doing their own thing”. Not so in Asia. Thus the need for a release value where a hard working alpha male can have a release from the stress of life.

He eats, drinks and is merry. Then he can go upstairs to the hotel room and have a nice long happy ending with the girl that he had selected. Else, she might ride home with him to a different hotel. All of which is under the helpful and watchful eyes of his aides, the girls and KTV managers, and the security guards that are stationed for protection.

The KTV is a place for fun.

Now who doesn’t like to smoke, drink, sing and dance with pretty girls? It’s a rare person indeed. When I grew up we used to have keg parties in the woods and we always would sing, and carry on. We always loved it when the girls would play with us and have fun. This is universal.

There are all kinds of fun activities that take place. They vary from singing and dancing to smoking cigars, and playing poker. One of the most common things to do is play “rock, paper and scissors”. This is an ancient Chinese drinking game. I guess that it was ported to the United States during the great migration of Chinese right after the American Civil War.

Here’s some chicks playing during dinner…

Continued-graphic-arrow

If you want to return to the start of this series, please go HERE.

Links about China

Dance Craze
End of the Day Potato
Dog Shit
Dancing Grandmothers
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

The Chinese Business KTV Experience

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Chinese New Year – cultural snapshots of society

Here are (what I like to call) “snapshots” of the Chinese New Year celebrations in February 2019. The Chinese use a lunar calendar, and the New Year started on 5FEB19, and started the week-long celebration.

This celebration is profoundly different than anything experienced in the West. It is a time of family and friends. It is a time of crazed dancing, and orgy of food, and prolonged heavy drinking. It is also a time when you will see friendships renew, relationships expand, and families strengthen.

The cultural aspects of this event are (in large part) unknown in the West, but I find them fascinating. Everything from the “spontaneous dancing upon arrival”, grain alcohol guzzling, monkey parades, and the barbecued octopus tentacles dipped in hot spicy pepper sauce becomes commonplace during this time.

Here we look at a series of scenes from China. All of the scenes are in the form of micro-videos. All videos were taken within the week of 3FEB19 through 8FEB19 and represent a cross-section of typical China as observed by typical Chinese using their cellphones. The application used to record these videos is the TikTok APP.

Travel

While officially, the week vacation is a full week, many businesses and factories stay shut down for an entire month. They work reduced shifts leading up to, and after the official holiday. They also rely on reduced staffing during this period, as most Chinese travel great distances from their employer to go back home for the holidays.

Of course, the traffic is crazy and the crowds are massive. It is very difficult to get a plane seat, or a seat on the train as literally millions of people are moving here and there, to and from, leading up to the Chinese New Year holiday. As a result, many hop in their cars and drive for two or three days to make it back home.

Here’s a video of what it is like. Of course, there are often instances of heavy traffic, and other frustrations of driving long distances…

To save on the expense, they often share the ride with other similar people traveling in the general direction. For instance, this year, one of my product engineers drove home and shared a ride with a fellow from Guangzhou. He lived in a nearby town, and was a friend of a friend.

Impromptu and spontaneous dancing upon entry

Of course, it is always great to see loved ones. But, the Chinese do so with a new twist. many Chinese like to welcome each other with a dance. I kid you not, and when that happens, you are obligated to go ahead and dance with them.

Now, the reader should be aware that this is not a traditional thing to do. At least, I don’t think it is traditional. It seems to have cropped up literally “out of the blue” this year, and is all over the social media. Yeah. I know, it’s silly.

But then, on the other hand, it is certainly memorable…

I really do not know where this all got started from. It seems like everyone is able to take part in it. From little kids, to school boys and girls, to adults, to aunties to grandparents. It’s the darnedest thing, I’ll tell you what.

The rules are simple;

  • The originator of the dance is the person in the house.
  • They will play a song upon the arrival of friends or family.
  • They will then start dancing impromptu as soon as the door opens.
  • Those who enter and find the host dancing are obligated to dance with them as well.
  • The guests are not expected to remove their shoes when dancing.
  • If they are carrying something, they can put it down so that they can dance.

Yes, it is pretty crazy. Whether or not this fad will continue throughout the year, or will die this Chinese New Year is up to speculation. I guess that we will all just have to wait and see.

Partying with Friends

Of course, the entire week is filled with meals and parties. The Chinese really do love to party.

They drink (that grain alcohol), and wine… not to sip… but to quaff down in entire glass-fills. This is not only reserved for friends, but for co-workers, classmates, cousins, immediate family, extended family, and even the entire village (if you come from a smaller settlement).

I have videos and videos and videos of this. I have thousands of videos taken during the KTV, or adventures in the bars. I have videos at the BBQ pits that are everywhere, to the impromptu get togethers that seem to reflect what China is today.

Friends get together with friends. Girls go out with girls. Guys go out with guys. Brothers go out with brothers. Sisters go out with sisters. This is a time when everyone goes out to party with each other

Here are some general videos that seem to represent most of what is going on during this period of time.

Just some gals having fun with their friends. They could be co-workers or just friends. But, what does it matter, really? Life is too short to play the corporate “game’. Go out, go forth, and make friends. have a good time, and get drunk with your co-workers. Life is meant to be lived.

That’s some chicks in a bar. What about the guys? What if you are in the midst of building your life, and aren’t in a city bar? What can you do?

Heck you do what we used to do in Pennsylvania (and Florida, New York and California, and Michigan too). You get a keg of beer, and a bunch of food and have a BBQ outside, and crank the music up loud.

And yes, they have meals inside and outside. In fact, the Chinese love BBQ and many a great night can be spent drinking beer, chatting, dancing, and singing over BBQ…

Can you blame them?

You’ll also note that the Chinese will cook other things with the BBQ. Truthfully, the BBQ is anything cooked over flame. So, the Chinese will often cook such things as chicken, beef, pork, and mutton. In addition, they will cook such things as onions, lettuce, pepper (actually very good), toufu, and bread. They will cook things like fish, wrapped in aluminum foil, and even make up a batch of noodles.

The noodles are kind of rare, but, heck, if you want some spaghetti, well… go for it. All food is glorious. Don’t ya think?

Here’s a video of some guys at a KTV. What? They aren’t singing. Oh, I wonder why… Oh, look one of the guys just made a call and arranged for some pretty girls to join them for fun and frolic. Gosh, youse just got to love China!

Fireworks

Of course, everyone knows about the fireworks. We have fireworks in the United States. Ah… yeah, but the Chinese do it differently.

To them, the noise and the lights scare away evil spirits that retard growth, prosperity and wealth. The louder the fireworks, the noisier the fireworks, and the louder… the better. So when you see the fireworks in China, it is absolutely amazing. It completely dwarfs anything seen in the USA by a factory of a thousand.

Check this out. Isn’t it just amazing?

What is truly amazing is that these fireworks don’t last for 45 minutes or so. They last all night. They last for 12, and even 24 hours! Just like this. I well remember seeing the scene from my house in TangXia when the morning sun lifted and I could survey the city around me, that the fireworks and smoke still continued in all it’s crazy glory. It looks like the hills are all on fire. Seriously.

And good luck trying to get some sleep. LOL.

This is all over the world. Here is a very impressive display from a tiny, tiny village in the middle of the mountains. Pretty impressive eh? make the firework display in NYC look like a child’s toy.

To me, it all looks like a horrible weapons barrage that seems endless. What ever it might look like, I can tell you that any bad spirits would be too frightened by all the noise, the bright lights and explosions. For certain!

Family Meals

Many families eat outside in the restaurants, however many families have these huge spreads in the homes. Just like the United States, there are familial get together’s where all the kids get to play and the adults make the food, chat, play cards, and generally get shit-faced drunk.

Here is a typical middle-class family. Note that many families live in those large apartment buildings. The smaller homes are quite expensive and are worth many millions of dollars. The furniture is typical, as is the flooring and the walls. The Chinese do not like carpeting in the least. (It’s dirty.) They prefer white, gold and red colors, and while this household might look opulent to us Americans, know that it is pretty much typical for the middle class Chinese.

This video could have been taken anywhere in China. It could have been taken at my in-laws, or my friends house. It could have been taken in Shenzhen, Shanghai or Beijing. It’s very typical.

I actually pointed this out before to other Americans. They responded that that couldn’t possibly be the case. They argued that if this was true that we would see examples of Chinese houses in the American media…

Sure. Sure. Sure.

Hum. I guess they still believe in the Easter Bunny. You don’t argue with people who have closed minds and who’s reality was formed by the American media and their distortions, inaccuracies, and outright lies.

Here’s another typical Chinese house made up for the 2019 Chinese New Year holiday…

Parades

Of course, there are parades. Some have the famous dragon that can be seen in China-town in the States. But the Chinese parades tend to be quite different than the United States in ways difficult to describe…

Here we have a bunch of “monkey kings” dancing in the parade. This, in my mind, is pretty darn awesome, and you won’t find anything even approaching it in the States.

Some things are unique to China, and cannot be found anywhere else. Guys… different is good. You don’t want all the restaurants to look like McDonald’s. You don’t want all the coffee houses to look like Starbucks, and you don’t want every nation to have democrats like Ocasio-Cortez reforming everything to make it “better”.

Which is a great plus about China. They have declared war on SJW folk and take active steps to have them removed from society before they can tarnish and destroy time-honored traditions. Thank God for China and realizing that SJW moments harm the nation in numerous ways.

Anyways…

And here is another “parade” in a small village.

I really don’t have a word for what is going on here as this kind of thing is alien to the West. It certainly does not exist in America. It is where locals dress up like famous gods and heroes and go from house to house scaring away the bad spirits that might bring bad luck during the year.

Prayers to the Gods

One of the things that the Chinese do is light these mini candles that float up into the sky with the wishes and prayers of the sender. It’s actually a wonderful sight to behold, and many people take part in this ritual throughout the nation.

I read about this being tried in the United States. In fact, I read two stories about this, and the crazy reactions that resulted. The first story had the people doing this arrested for creating “UFO hoaxes”. The second story, also had people arrested, only this time it was because they did not have a permit to launch anything like this, and that it might disrupt the ability to fly.

Gosh darn it! Can’t Americans just be left alone?

Other Celebrations

Of course, the smaller communities in the rural areas would do what rural communities always did. They would host parties filled with song and dance (and free alcoholic beverages) for the towns people to enjoy. This was true in Europe and the United States, prior to all the new “progressive government” ushered in around 1913 or so…

If you don’t know what I am referring to, then I must humbly suggest that your knowledge of history is seriously in dire need of readjustment. I would suggest that you find some elderly people in your community and start talking with them. If you cannot, they find old issues of “The Good Old Days” magazine and read it.

All the progressive “improvements” such as banning alcohol, smoking, and making things “safer” did not exist until the 19th Amendment was passed. Those progressive assholes in the early 19th century really fucked everything up for the rest of us. They destroyed our Republic and gave us a Nanny-State. A land where everyone reports to “Big Momma”.

Sorry about that. I get sidetracked so easily.

Here we have some folk having fun, drink and song in a small village. Don’t they look like they are having fun? Don’t they look like they are having fun? Don’t they look like they are having a great time? Why can’t we have this in the Untied States?

Sorry, Dude… It’s because “of the children”…

And… in Tibet

For most Americans, we believe that Tibet is an annexed land that is under repression by the evil satanic communists. It must be set free!

Yah, maybe fifty years ago. Today, Tibet is wholly integrated into China. You could no more remove Tibet from China, as you could remove California, and Texas, and Nevada from the United States. Don’t believe me? Check out this map and see for yourself.

Map of China.
Here we can clearly see that the region of Tibet represents a significant portion of the landmass of China. It is bigger than both California and Texas combined together. yet, somehow, we Americans are told that we can “demand” China to offer autonomy to this region, in exchange for American “benefits”, what ever they might be.

Anyways, today, the population of Tibet is predominantly Han-Chinese. It’s pretty understandable, as the Chinese government gave incentives for the Chinese to relocate into Tibet. It’s sort of how the American democrats give free welfare to any illegal who enters the United States, as long as they will vote for democrats. Its the same thing.

Here we have a Chinese gal in Tibet celebrating the new year of the pig…

Aftermath

You’ll notice that many people talk with hoarse voices, as all the “white wine” pretty much tore holes in their throats. People gather their belongings, and start the trek back home. All the free drink, the free cigarettes, and all the food comes to an end. It’s a quiet calmness…

Conclusions

This was just a short and sweet posting of various videos taken in China during the CNY 2019 year of the pig. I know it’s not covered by the American media. The best that you can ever expect is a small blurb mentioning that new Chinese new year.

We interrupt our hate-fest against President Trump with some news from around the world. In China, they had a new year. Some fireworks were lit. Meanwhile Democrat XXXXXXX proposes some taxes to support the banning of YYYYYYY. It's for global warming, don't you know.

For most Americans, it is an interesting bit of trivia. Like how many buttons the average coat has, or the average size of a chicken egg. This is unfortunate, as the CNY holiday is much, much more than simply a “holiday”. It represents many things of significant value, and the fact that half the population of the world celebrates it should be reason for consideration.

I hope that I was able to present some new and interesting aspects of this holiday to the reader that is sorely lacking in the WELL (Super Well-Paid) “journalists” that work for the Washington Post, the New York Times, the LA Times and Salon… sigh. They are NOT doing their jobs.

Obviously one of two things must be true. Either [1] their job is no longer to inform, or [2] they are seriously incompetent, as are the complete editorial staff at the media headquarters.

Links about China

Business KTV
Dance Craze
End of the Day Potato
Dog Shit
Dancing Grandmothers
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Chinese apartment houses
Chinese Culture Snapshots
Rural China

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How Christmas is Celebrated in Communist China

Yes. Christmas is celebrated in China. Surprise! In fact, it is celebrated all over China, from the biggest “Westernized Cities” such as Hong Kong and Shanghai to the lowest village in the Hunan mountains. It is celebrated with vigor. With this in mind, let’s talk about a Chinese Christmas.

Firstly, American Christmas is different than a Chinese Christmas. There are many reasons for this. The big one is that Christmas is being phased out by mega-corporations. Of course, there is a big progressive anti-Christmas purge prevalent in the United States today. Thank you Barrack Obama. By the rabid success of it, you might get the impression that it is “old fashioned”, obsolete, and out-of-step with the rest of the world. However, nothing could be further from the truth.

It is Americans that are getting out of step with the rest of the world. Christmas is not only accepted, but is growing and embraced warmly. This is especially true in China, no matter what the American main-steam media wants to portray.

Holiday downtown LoHu.
Here is Christmas at the MixC in LouHu (downtown Shenzhen, China). Like everything in China, it is all done in a large scale.

Christmas is just as popular as it ever has been. In fact, it’s popularity is actually expanding. Maybe not in the United States, but the rest of the world welcomes the holiday; the rituals, and the good will. Christmas is a truly popular holiday.

Today in China

Today in China, I see people putting up their artificial Christmas trees (in gold and silver colors… there are a handful of fake green trees as well.) in their business offices. In the malls, I see Chinese families picking out Christmas trees in the stores, and getting some decorations to put on their doors, windows and walls. I see grandma’s and aunties picking out big red sweaters with logos of deer and Santa Claus themes on it. I see little kids running around the toy section, while parents look at the educational toys.

Santa in China.
Santa Claus in China. Just like in the United States, Santa makes his appearances in malls, community plazas and other social venues. He often finds his way to bars, and pubs, and there are often many Santa’s hanging out at the various KTV’s.

In storefronts, the workers are scraping off last year’s Christmas decorations. Then washing the windows, and applying new decorations of Santa’s sleigh, Christmas trees, and a very jolly “Merry Christmas!” in big words. They place it predominantly in the very front of the windows and doors. It’s where everyone can see. It’s so that everyone can have good wishes and to bless the establishment with good will.

Differences between Western Christmas and Communist Chinese Christmas

There are differences, of course. For one, in the West (and when I mean the “West”, I generally mean America), the difference is that the Chinese consider Christmas to be the start of good-will for the year.

While, we in the West consider it just as a long holiday. So when they put up decorations, they place it there to last the entire year. This includes such things as the Christmas decorations, and to a lesser extent (even) the Christmas tree.

Malls, of course, will only have the Christmas tree up for the month. Offices, and personal homes, it could stay up all year long in certain cases.

Christmas tree with Chinese elves.
Chinese students dressed up to help Sana. They sing Christmas carols, and learn all about Christmas from Western Expats.

Of course, in America, Christmas and the Christmas season lasts the month of December. Offices would take co-workers out to long lunches and have company-paid cocktails (oh, am I dating myself again? Sorry, for the dated reference.), yearly bonus checks (whoops! Another dated reference.), and of course the Christmas end-of-year blow-out party.

With the profits that have been pouring into American companies over the last two years, this most traditional of company perks, must still be alive…eh? It sure as heck better. There is no excuse for not having a Christmas party. In China, even companies that are hemorrhaging money have Christmas parties.

Chinese company christmas
Christmas party for a technology company in China. This one took pace in 2017. As should be obvious, parties in China are very participative and has copus amounts of food and alcoholic beverages for the employees to enjoy.

 In Chinese Christmas parties, you will find bosses handing out “red envelopes” full of cash, and then also handing out year-end bonuses on top of the red envelopes. You will find co-workers getting drunk on grain alcohol (baijiu), beer and red wine. You will find girls getting frisky, and guys throwing up in the mens-room.

Yup. That’s China.

In China, while there will be traditions for Christmas, many are reserved for the huge celebration one month later.  Those traditions are reserved for a month later for the Chinese New Year celebrations (CNY).

During CNY, it is a non-stop eating and drinking festival with enormous quantities of moonshine (Baijiu), endless huge buffets and meals, and long periods of rest spent watching sports on televisions.

What’s not to love?

Chinese basketball
In China, many families watch sports on television. They like to watch soccer (football) and basketball.

Chinese Christmas Behaviors

Here, in China, companies will send out Christmas cards, though mostly it has been modernized to “e-cards” sent out through the e-mail system. Companies will deliver boxes of tea, chocolate, cookies, and fruit to companies that do business in the West. If the company doesn’t deal directly with the rest, these gifts are reserved for CNY.

Pacific Coffee promotion
Here is a holiday promotion from Pacific Coffee. As you can see the advertisement is in English and Chinese. As this promotion is out of a Westernized Chinese city; Hong Kong.

People will welcome you with a hearty “Merry Christmas”, and you will see Christmas specials and new offerings in the various coffee houses and pubs.

People will welcome you with a hearty “Merry Christmas!”

For instance, the Starbuck’s alternative; Pacific Coffee, will offer a nutmeg and cinnamon coffee special that comes with a blueberry cheesecake. Chain restaurants such as Cafe de Coral will start to advertise “Christmas Holiday Platters”. You place the order and they deliver it to your house on Christmas day. (Or in the afternoon, if you have to work.) They even offer “American style menus” that are very attractive to the Chinese…

Holiday food
Holiday menu at Cafe de Coral, offering “American food” for the holiday. Why, it almost makes you feel at home.

Working on Christmas

Typically, everyone works on Christmas. It’s not really considered to be a holiday worth to have the time off from. However, it is considered to be a holiday that represents the start of something.

Western firms that operate in China, of course, offer their expat staff Christmas off. The Chinese workers could care less. To them, it is a holiday, but not something that you would stay home for.

Chrismas with Pandas
Panda caretakers provide a special Christmas meal to the much beloved Panda. The Chinese have come to embrace Christmas a time of goodwill and the start of a new page in one’s life.

Reason for the Holiday

If you were to ask a Chinese person what Christmas represents, the answer would vary depending on who you asked. Most younger people (under 35 years of age) would answer that it was the birthday of Jesus.

Older folk would say that it is a Western holiday with many suggesting that it was used to sell products and promote good will during the Western version of CNY.

Christmas Apples
Chinese Christmas apples. In China, many families send fruit as gifts. One theme is to have fruit with good will and sayings on the fruit. Here are some Christmas apples.

In China, the people have no qualms with Jesus, Saint Nick, Rudolf the red nosed reindeer, and Christmas trees. In fact, that is one of the most astounding things that I have noticed when I would get an American intern over a the offices during Christmas.

They would be astounded and confounded that we even would say “Merry Christmas” and not the far more bland generic emotionless version “Happy Holiday”. So, in my mind there is some kind of anti-Christmas-crusade going on in the United States today. At least in the colleges and universities.

Really! How else can you explain the American youth’s revulsion towards Christmas good will, cheer, and the exchange of presents?

Santa and elves
WUHAN, CHINA – DECEMBER 2: (CHINA OUT) Two Chinese men dressed in Santa Claus outfits walk past dancers in a shopping mall on December 2, 2006 in Wuhan of Hubei Province, China. Western traditions such as the Christmas Day, Valentine’s Day and Halloween have become increasingly popular among Chinese youth, as shops, restaurants and bars promote their businesses during these holidays. (Photo by China Photos/Getty Images)

Chinese Children (and adults) answer the question; Why is Christmas celebrated in the West?

“Christmas is Santa’s birthday!”
– Mao Fei’er, girl, 7, primary school student, Henan province

“It’s to celebrate the Virgin Mary giving birth to Christ.”
– Wang Mingxin, female, 19, college student, Shandong

“Because they are jealous that China has a Lunar New Year to celebrate!”
– Huang Li, male, 30, sales manager, Hunan province

“December 25 is the birthday of Jesus Christ.”
– Wu Haitao, female, 42, housewife, Shanxi province

“I don’t know… I guess because it is fun?”
– Chen Xin, male, 35, worker, Jiangxi province

“They think the birthday of Christ is December 25, so they make the day Christmas. It was recorded in The Bible.”
– Yin Xiumei, female, 60, retired teacher, Qingdao

“I don’t know… but I do know that people will have a wonderful holiday in western countries.”
– Lydia Yan, 22, female, graduate student, Guangzhou

“Because it’s Jesus’ birthday… you know, Jesus who built up a perfect world for the soul and welcomes any soul who comes to his world if the soul is good and admires him.”
– Eric Fang, 23, male, graduate student, Beijing

Children celebrate christmas in China.
Over the years, Christmas has greatly increased in popularity. It is now considered a commercial holiday, and all that fake news about it being banned in China are just so outrageous that you have to wonder what side of the planet the writer is from. Just about everyone in China celebrates Christmas to one degree or the other.

Chinese Adults are asked; What’s your favorite Chinese tradition?

“I like the tradition of Santa giving presents.”
– Li Dong, male, 24, freelancer, Beijing

“I like the carol ‘We Wish You a Merry Christmas’. The first time I heard it was at a shopping mall. I was with my friends at that time and the atmosphere was very warm. I’ve liked that song since then.”
– Li Keyu, male, 21, college student, Shandong

“I like these beautiful legends, like the reindeer taking Santa to give presents to children around the world. I also heard that teachers in Finland’s kindergarten will tell children that the footprints left in the snow are from elves. That is very romantic.”
– Shen Xiaoyan, female, 33, designer, Jiangsu

“The tradition I like is to dine together with your family, colleagues, or exchange gifts and cards during Christmas.”
– Wu Xinyan, female, 37, illustrator, Hunan

“I like the scenes in movies which show families decorating Christmas tree together and preparing gifts for one another.”
– Wang Mingxin, female, 19, college student, Shandong

“Our shop will have promotional activities during the festival. As to myself, I will buy some decorative stuff and hang them to make my home warm.”
– Zheng Liren, male, 33, Taobao shop owner, Beijing

“Receiving presents from my friends, like apples.”
– Liang Pan, 23, female, employee, Beijing

“I like the red hats people wear during Christmas.”
– Huang Li, male, 30, sales manager, Hunan province

“My favorite tradition is Santa giving kids presents and the big dinner…I know Santa is a tale, which has broken a lot of kids’ hearts, but why do they let a fat man come into the house down the chimney? What if he got stuck?”
– Eric Fang, 23, male, graduate student, Beijing

What I do for Christmas, as an American Expat…

This is a good question. Typically, I only work a partial day at the office, and come in to say hi to everyone and wish them a great an merry Christmas. I also go out and take the staff out to lunch and we eat and drink some beer before we return back tot he office.

We usually have a small (ok, tiny) token Christmas tree. And at the house we do sing Christmas songs while we drink red wine or egg nog. I also get on skype and call distant friends and relatives. The dog gets a special meal, and we spend extra time letting him vacuum up all the smells outside.

We don’t usually buy presents, but we do eat a lot, and the traditional meal is usual a chicken or a ham, or a spread. Turkey is nearly impossible to procure out here.

Christmas Presents from China

I have collected and sent some presents to friends and relatives in the States from China. As strange as it seems, they are not interested in things like household appliances, or the latest gizmo out of Shenzhen. Instead, it is a reproduction of a Mao-era utility bag with a political slogan; SERVE THE PEOPLE.

Retro bag.
Retro bag with the logo “Serve the people” in Chinese characters on the side. It is a very popular item, apparently, in some enclaves in the Untied States. Eh? Whatever. Governments will do what governments do. Be careful.

I guess that Donald’s Trump slogan “Make America Great Again” is in line with this. The governments role is to serve the people.

Chinese Christmas Parties

The Chinese treat Christmas differently than we do in the West. Thus the reason for my rather quiet and subdued Christmas celebrations.

Chinese Merry Christmas
Here is how you say Merry Christmas in Chinese. It’s a great thing to know, I’ll tell you what!

The Chinese people are more likely to hold grand Christmas parties rather than a family-get-together on Christmas Day. The Christmas parties are usually held in big hotels, plazas and in pubs and bars. It has been my experience that many expat parties are held in expat bars, while most native Chinese tend to celebrate in a favorite restaurant where they rent out a back room to party in. They also tend to have them after work hours, as people still work on Christmas day.

They are of various kinds of parties. Some are simple affairs, while others tend to be quite elaborate, with performances, balls, and cocktail parties.  Usually there is always an appearance of old Saint Nick, often attended by some very pretty female elves. Oh baby!

Yupper. That is most certainly China.

China celebrates Christmas
Here is a Christmas celebration back in 2015. The Chinese treat Christmas as a time of celebration. They happily say “Merry Christmas” and welcome the holidays in culturally different ways than Americans do.

Express Goodwill and Philanthropy

Chinese Christmas Day is also an occasion to share goodwill and be generous to people in need like orphans, lonely old people, the sick and homeless people. Many Chinese people will bring gifts to orphanage, Old People’s Home and hospitals to encourage these people. Which pretty much differs from what you would see in the States.

Here is a Christmas drive for presents and clothing for children on Christmas. In the United States, we tend to call these events “Toys for Tots”. Well, they have them in China also. Bet ya didn’t know that?

In China, Christmas marks a special time where a person can repent from their past mistakes and devote themselves towards good deeds that benefit the community and others in need.

Dancing grandmothers at Christmas
Even the “Dancing grandmothers” get in on the action. Often they would don festive attire to do their dances. here we have some Chinese dancing grandmothers in Christmas attire.

I have never participated in this ritual, but all my in-laws do. They feel that it is their duty to their ancestors, and to be a good person and a good citizen. They sweep the tomb, burn incense at the temple, and give donations to causes from poor people to homeless dogs and cats.

Most Christmas Decorations come from China.

Ever wondered where all those shiny and glittery plastic Christmas decorations come from? Nope, neither have I.

They are made in China. Apparently, according to an expose by The Guardian, most are produced inside the Chinese village of Yiwu. Of course, a “village” by Chinese standards is the size of a city, by American standards. There, in Yiwu, 600 factories produce 60 percent of all of the world’s festive decorations. This mini city is divided into five districts and one of them is devoted entirely to making Christmas ornaments.

Chinese decorations.
Chinese made decorations from the city of Yiwu. THis city makes the vast bulk of Christmas, and holiday decorations for the world.

Once made, the decorations are packaged up and transported to the Yiwu International Trade Market, aka China Commodity City, which has been described by some foreigners as a “4 km sq wonder-world of plastic tat”. This is the place where many of the world’s traders get their stock—everything from inflatable toys to artificial Christmas trees. This metropolis of global consumerism was described by the UN as the “largest small commodity wholesale market in the world”.

Hum. It sounds to me like a great opportunity for an enterprising young American businessman. Eh?

How Christmas is celebrated in Communist Russia

Only in America have the progressive socialist leftists managed to suppress Christmas. The rest of the world celebrates it. Yes. Not only in Communist China, but in Communist Russia as well.

Father Frost didn’t drop presents off for Russian children on Dec.  25. And he won’t on Orthodox Christmas (Jan. 7), either. Rather, Ded  Moroz and his lovely snow maiden assistant, Snegurochka, are attached to  New Year’s Eve, which in Russia is the new year and the secular bits of  Christmas like trees and presents all rolled into one. 

Initially, the Soviets tried to replace Christmas with a more appropriate komsomol (youth communist league) related holiday, but, shockingly, this did not take. And by 1928 they had banned Christmas entirely, and Dec. 25 was a normal working day.
Then, in 1935, Josef Stalin decided, between the great famine and the Great Terror, to return a celebratory tree to Soviet children. But Soviet leaders linked the tree not to religious Christmas celebrations, but to a secular new year, which, future-oriented as it was, matched up nicely with Soviet ideology.

Ded Moroz was brought back. He found a snow maid from folktales to provide his lovely assistant, Snegurochka. The blue, seven-pointed star that sat atop the imperial trees was replaced with a red, five-pointed star, like the one on Soviet insignia. It became a civic, celebratory holiday, one that was ritually emphasized by the ticking of the clock, champagne, the hymn of the Soviet Union, the exchange of gifts, and big parties.

Christmas itself was reinstated 25 years ago, in 1991. And it is indeed celebrated by religious (and even pseudo-religious) Russians. But the big celebrations are still reserved for New Year’s Eve.

“The New Year has become so ingrained in the society,” says Izmirlieva, “it is unifying.” Plus, “It’s for those who are not Christian, those who are anti-Christian, or members of other religions. It is still very strong.

-Read more at foreignpolicy.com ...

Conclusion

Christmas is celebrated in China. It is, however, celebrated differently than it is in the United States. Where today, many American companies have “Diversity Officers” who will clamp down on saying the word “Christmas” and “Merry Christmas”, the Chinese will happily give the traditional greetings and festive blessings to everyone.

I personally think that the progressive rewriting of Christmas is sad and dangerous. When you bleed away color, passion, and purpose, all you have left is a dull and valueless life. One more deserving of burka clad (barefoot and pregnant) women from the middle east.

To which, I will give a very Chinese Merry Christmas blessing to the reader, and I offer my sincerest hope that you get to spend the holiday with friends and loved ones. MERRY CHRISTMAS!

Links about China

Business KTV
Dance Craze
End of the Day Potato
Dog Shit
Dancing Grandmothers
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.


A Wish List of Favorite Firearms

Ah… Guns. As an American, I have a distinct interest in firearms. After all, what’s the point in having a Right given to you by God if you don’t exercise it? Here, I’d like to share my thoughts on some of my dream weapons; my favorite firearms. No, I’m not talking about a “Phased plasma rifle in the 40-watt range” that the Terminator was looking for. But rather some firearms that I have wanted for some time, but regrettably will probably never purchase.

So here’s my dream / wish list.

MP-40

Let me start with one of my long time yearnings…

MP40
The MP 40 (Maschinenpistole 40). As a boy this weapon featured predominantly in all the “War Movies” of the 1960’s

The MP 40 (Maschinenpistole 40) was a submachine gun chambered for the 9×19mm Parabellum cartridge. It was developed in Nazi Germany and used extensively by the Axis powers during the Second World War.

As I was growing up, all of the many, many war movies had actors fighting the Germans who utilized this weapon. They would burst into the room, spraying lead back and forth, back and forth, and the evil Nazi warriors would crumple to the ground. Yikes.

Hero might need to save a beautiful girl.
A hero might be needed to save a beautiful girl. Often at the hands of evil Nazi villains. OK, this guy is not using a Schmeisser. He is using a “tommy gun”. But you can get the general idea, eh?

The MP-40 was designed in 1938 by Heinrich Vollmer with inspiration from its predecessor the MP 38. It was heavily used by German infantrymen (particularly platoon and squad leaders), and by paratroopers, on all of the fronts of World War II.

Its advanced and modern features made it a favorite among soldiers and popular in countries from various parts of the world after the war.

It was often erroneously called “Schmeisser” by the Allies, although Hugo Schmeisser was not involved in the design or production of the weapon. From 1940 to 1945, an estimated 1.1 million were produced by Erma Werke.

MP-40 closeup
Closeup of the MP-40, showing off the fine lines and blued steel.

Now, I have read somewhere that there was a short limited edition manufacturing run of this weapon sometime in the 1990’s. I also understand that it was chambered in 10mm as well as 9mm calibers. However, I am at a loss as to the circumstances behind this model, or where you can get it. I sure would like to know more about it.

Comment from schurmann…

Small numbers of replica MP-40s were turned out before May 1986: modern-made receiver and original parts kits. Trade jargon for these: “tube guns.”

Further internet sleuthing found this…

Sport Systeme Dittrich in Germany is a manufacturer of a remarkably wide range of reproduction German World War Two small arms (including the FG42, StG-44, G43, MP-3008, MP-35, and VG1-5 as well as the MP-38). 

They have a mixed reputation, as they are magnificently accurate looking reproductions, but the Sturmgewehr in particular suffered from a great deal of parts breakage and reliability problems (in the US, these were imported as the PTR-44 many years ago).

Sport-Systeme Dittrich Kulmbach
Some of the product offerings from Sport-Systeme Dittrich Kulmbach.

For collectors and shooters in the United States, this is generally a moot point, because the Dittrich reproductions are too accurate mechanically to be allowable for import. 

The BD-38 semiauto copy of the MP-38, for instance, is an open-bolt carbine, which is deemed easily convertible to fully automatic under US law (a similar conclusion was reached by the RCMP in Canada).

-Forgotten Weapons

And, another interesting comment from schurmann…

"The first photo purported to be an MP-40 shows an arm missing its folding stock, but the stock is present (folded) in the second image. There have been some latter-day semi-only replicas made without stocks, so they can be “pistols” in compliance with US regulations. 

Despite what one sees in films and on TV, the gun was rarely fired with its stock folded; as an open-bolt submachine gun, its accuracy was never great, and users needed every advantage they could get. 

While we’re addressing film/TV use, it must be stressed that movie guns seem to fire at almost twice the rate of a real MP-40, or perhaps it’s mere sound effects. The actual gun fired only about 450 rds/minute: “chug-chug” more than “rat-a-tat.”"

Regarding the MP40 comments…

Hollywood movies usually (not always, just usually) liked to portray the users of the MP-40 firing “at the hip”, spraying the room (and evil grinning Nazi warriors) indiscriminately. I would imagine that it would have been a terrible waste of bullets. How could you possibly hit anything without sighting your target first?

FG-42

Speaking of fine German weapons, one of my “Dark Horse” dream weapons is the FG-42. This is an interesting design and a very fine weapon. What attracts me to this weapon is the ability to utilize both box and belt feeds.

FG-42
A continued love of German weaponry extends the the relatively unknown FG-42. This was sort of like an early Steiner, in that it could be both box and belt fed.

The FG 42 (German: Fallschirmjägergewehr 42, “paratrooper rifle 42”) is a selective-fire automatic rifle produced in Nazi Germany during World War II.

The weapon was developed specifically for the use of the Fallschirmjäger airborne infantry in 1942. Like the airborne infantry, it was used in very limited numbers.

FG42
Here is the FG-42 in operation. Look at that impressive action. Here it is being held without using the bipod.

It combined the characteristics and firepower of a light machine gun in a lightweight form. It was equipped with a bipedal stand, and was small. It was no larger than the standard-issue Kar 98k bolt-action rifle.

It is considered one of the most advanced weapon designs of World War II. In fact, the FG 42 influenced post-war small arms development. As a result, most of its design was copied by the US Army when they developed the M60 machine gun.

FG-42 and MP-44
Here is a nice photo of the FG42 along with a German MP-44. I too, would like to have a go with the MP-44. I would imagine that it would be like firing a AK-47. But that is just speculation on my part.

Of course, I would love to fire an MP-44. But these are really rare firearms and the ammo is not exactly common. I once saw a MP-44 on display in a museum when I was around 15 years old. I was amazed that it was so large. For some reason, I thought that it would be a little more compact.

Comment on the MP-44 from schurmann…

The MP-44 is indeed astonishingly weighty, and disappointingly unhandy to boot. Recoil is terrible: difficult to believe about such a tiny round fired from such a big gun. There have been recent production runs of ammunition, catering to the collector community.

Back to the FG-42. Here’s a FG-42 with a box magazine…

FG-42 with a box magazine.
Shooting a FG-42 using the box magazine.

"metallicman had better hire a more-experienced technical editor before posting anything further, on this topic (though to judge by some earlier posts of his, he may be immune to embarrassment, and facts.)

FG-42 used box magazines only, never belts. 

It did fire from a closed bolt on semi, and from an open bolt on full auto. The latter is common on air-cooled full-auto arms, to facilitate cooling, and to keep the ammunition out of contact with a hot barrel."

-schurmann

To which, I must retort. This is my personal views on guns I like as a hobbyist. I am not an expert.

Now, with that being said…

Please note that the FG 42 belt fed that I know of is the Light Automatic Machine Gun T44. And that seems to have had a side feeding mechanism similar to the prototype belt fed Kalashnikov. In this case, the Johnson belt fed seems to have a bottom closing mechanism. This would be much like the mechanism used in the HK 21.

Belt-fed FG-42
Belt Fed German FG-42. Never fielded in action, as far as I know of.

It must have been something as the FG42 eventually evolved into the M60 belt-fed LMG. As such the prototype M60 LMG’s were derived from the FG42.

T44
Prototype M60 derived from the FG42 with minor modification. Known as the T44. The belt mechanism was from the MG42.

Additionally, it is my understanding, faulted as it probably is, that the box and feeding mechanisms can be loaded from either the left or the right sides.

Note that the reader was correct. The German army fielded FG-42 was box fed. The belt-fed version was a prototype that eventually became the M60 LMG. History aside, this is an interesting little weapon, and I would not mind firing it.

Fabrique Nationale FN P90

Being a fan of weapons, and my love of science fiction, I was introduced to the P90 through television. Or more precisely the Stargate SG-1 television series. LOL. No kidding, but it is really true.

Though, I am sure that the weapons displayed were all prop replicas, it certainly piqued my interest.

P90
I was introduced to the P90, the same was as I was introduced to the MP40; through television and movies.

The Fabrique Nationale FN P90 is a Belgian-originated “Personal Defense Weapon”. It’s sort of a cross between a submachine gun (SMG) and an assault rifle.

Apparently, the gun was originally developed to arm indirect auxiliary combat units such as vehicle crews, messengers and clerk-type elements outside of active fighting zones.

The P90 is arranged as a “bullpup” assault weapon where the action and magazine feed are concentrated aft of the trigger unit. This allows a full-length barrel to be used with a more compact package. The result of this mating is a fixed, slightly oversized stock with integrated pistol grip and carrying handle.

The P90 supports use of a sound suppressor and this can be coupled with subsonic ammunition for reduced-noise operation. This is a particularly useful feature for clandestine operatives. The weapon also features select-firing through single-shot and full-automatic fire. The including rail system can adapt a variety of optics and aimers as needed.

high-velocity 5.7x28mm cartridge
high-velocity 5.7x28mm cartridge

The P90 is engineered to fire the specialized, high-velocity 5.7x28mm cartridge (SS190 Ball). These cartridges certainly maintain a unique look when placed alongside 9mm and 5.56mm types.

Heckler & Koch HK MP5K (Kurz)

Now, what “red blooded” American man doesn’t want a “popper” when the going gets tough? It’s only slightly larger than a pistol, but packs the punch of a machine-pistol.

Heckler & Koch HK MP5K (Kurz)
Heckler & Koch HK MP5K (Kurz)

The Heckler & Koch HK MP5K (“K” = “Kurz” meaning “short”) was developed to specifically meet the needs of special forces, and law enforcement. The basic idea was to provide a compact and concealable firearm with a proven action and capable man-stopping qualities.

The German concern therefore developed the MP5K from its full-sized submachine gun; the ubiquitous HK MP5 series.

Heckler & Koch HK MP5K (Kurz)
Heckler & Koch HK MP5K (Kurz) with accessories to include silencer, extra magazine and sight.. Though all that cool “stuff” takes away from the advantages of small size and portability.

Steyr MP34

Getting back to my love of fine German weapons. Consider the Steyr MP34. A long-time old friend of mine had inherited one from his father. I think that he picked it out of a mail order catalog back in the 1960’s. It’s a nice and fine little machinegun.

It was great until he had a fight with his ex-wife and lost all of his firearms when she carted them out and dumped them in the middle of the street. When the police were called, they collected the weapons and wouldn’t give them back to him. So sad.

Anyways…

 Steyr MP34
Steyr MP34

The history behind this gen is very interesting.

Restrictions in the 1919 Treaty of Versailles precluded the Germans from developing man-portable automatic weapons. Specifically, German martial firearms could not possess a barrel longer than 4 inches or a magazine capacity of more than eight rounds. This pretty much restricted the Germans of the Weimar Republic to P08 Parabellum pistols and little else.

Eager to expand the state of the art while perhaps preparing for the coming next World War, Rheinmetall acquired the Swiss Solothurn weapons factory in 1929 and began developing the S1-100, which would later become the MP34.

Although ill-suited for mass production, Solothurn did a crack job of developing and prototyping the gun. The MP34 is heavy, but its mass means the gun is remarkably controllable. It’s pretty easy to keep the gun on target even during long bursts of fire. Firing single shots, the gun remains delightfully accurate out to the effective range of the 9mm Parabellum cartridge.

Let’s talk pistols…

The Taurus Judge

Here is a great little revolver that can fire shotgun shells. Imagine that! The Taurus Judge is a unique revolver which can fire either a .45 Long Colt cartridge, or a .410 shotgun shell.

Taurus Judge
Taurus Judge

Loading birdshot in the Taurus Judge might be an acceptable choice for a self defense load. However I think these situations are indeed pretty limited. Birdshot lacks the ability to penetrate deeply enough to reach an attacker’s vital organs, which is the only reliable way of stopping the attacker before it is too late.

I have read that the 2 or 3 00 Buckshot pellets which would fit in a .410 shotgun shell, when fired out of the relatively short barrel of the Taurus Judge, will simply not penetrate the way that 00 Buckshot from a “normal” shotgun would.

Shotgun shells for defense
The firearm blog says that you shouldn’t use shotgun shells for defense.

I do not know what would be the better load for self defense purposes. The .45 long would have better penetration power, but in a panic high-stress situation, the .410 shotgun might be a better selection.

Ruger 22/45 Lite Pistol

Ruger .22
Here is a nice Ruger .22 with a laser sight and silencer. This is a perfect starter pistol that also has some nice practical uses as well.

I first came across this little beauty while I was looking for “gun porn” on Pinterest. A little sleuthing enabled me to identify it as a Ruger 22/45 Lite. Here we see it with a nice silencer, light, and sights. I would buy this beauty in a heartbeat. A great article on this pistol can be found HERE.

The 444 Marlin

This is a dream gun of mine. I had a few co-workers that told me that this little beast really was able to cut through the brush. I believe them, as this is a pretty substantial round.

444 marlin
The 444 Marlin rifle. What a beauty. I’ll tell you what.

Colt M-16A4

When I lived in California I had a collapsible stock AK that I used to cart with me and shoot in the desert. One day, I was introduced to an ArmaLite AR-15 and fell in love with it. It still remains on my wish list.

Historically, when this rifle was first fielded in Vietnam it kept on malfunctioning because it needed more maintenance than the M-14 that the soldiers were used to using. Over the years, training and improved design has resulted in a nice fine little weapon.

Gunny Highway.
Gunny Highway is an ideal that has elements that all men should strive towards. Sometimes the fiction that we see can lead us into behavior ideals that we can adopt as our own.

Beretta Px4 Storm Compact 9mm Pistol

For the need for everyday carry. Nothing beats a well manufactured subcompact that is easy to shoot, and fits the hand well.

Nice pistol
Find a gun that fits the palm of the hand well. This is especially true for the females in your family. Let them practice with it. Practice over an over until they are lethal with it.

The PX4 Storm SubCompact is among the smallest hi-capacity 9mm and .40 S&W polymer framed handguns in the world with 13+1 and 10+1 capacity. By using a polymer frame (like the Glock), the Px4 becomes one of the most manageable subcompact 9mm and .40 S&W pistols on the market.

It is adaptable to right- and left-handed users, has three interchangeable backstraps, a reversible magazine release button and an ambidextrous manual safety lever/decocker positioned on both sides of the slide. In the “safe” position, these also present additional gripping surface for drawing the very short side to the rear.

Walter P99

I always loved this pistol. My father thought that it looked ugly, but my brother, and our best friends all had this pistol and practiced relentlessly using it.

I do miss this little guy. I owned this gun for a spell when I was still living in the Untied States. It handled very well, and I was quite lethal with it. Photo is of the 380 not the 9mm version that I had.

Walter PK 380
Walter pistols allow for different sized hand grips to allow for small hands. Here is a .38 caliber version. I used to have a 9mm version that I was very partial for when I lived in the States.

Of course the pistol is chambered for different cartridges. I know that it is chambered for the 9mm, the 10mm, the .380, and the .22 cartridges.

P99
P99 chambered in the 9mm. A very nice pistol that fits the hand well and that is easy to shoot with accurately.

ZH-05

Now, of course I’m very old-fashioned. I tend to be interested in weapons around 75 years old. But, you know, I like to keep up with the times. As such, there are some really spectacular designs out there.

Here in China, everyone (who loves firearms, that is) has been talking about the new ZH-05.

China has fielding its troops with the new ZH-05. It’s an interesting weapon that combines a 5.56mm assault rife with a computer controlled 20mm grenade launcher (with a max range of 700 meters).

ZH-05
The American and South Korean weapons both have a magazine for the computer controlled grenades while the ZH-05 is a single shot weapon, requiring 20mm rounds to be loaded manually each time. This makes the ZH-05 the lightest of the three weapons, weighing five kg (11 pounds) loaded (with a single 20mm round and a magazine with 20 rounds of 5.8mm ammo).

The ZH-05 has been seen with Chinese marines sent abroad warships working with the Somali anti-piracy patrol. Chinese special operations troops have the ZH-05 and the army ordered several thousand of them so that each four man infantry fire-team will have one.

That puts China ahead of the other two countries (United States and South Korea) with similar weapons. The Chinese version is lighter, simpler and cheaper. Obviously, the Chinese feel the ZH-05 is worth buying and issuing to the troops.

The U.S. began working on this type of weapon back in the 1990s as the OICW (Objective Individual Combat Weapon) and that mutated into the XM25 (the “X” in XM25 designates a system that is still in development). The South Korean design is the K11. The three weapons are different in important ways.

The American and South Korean weapons both have a magazine for the computer controlled grenades while the ZH-05 is a single shot weapon. Thus much cheaper, easier to maintain, and easier to field.

Links

The Chinese weapons industry is an interesting one. They supply weapons around the world, and also manufacture for the Russian military. I would certainly like to get my hands on some of these beauts and have a go at them.

Conclusion

This is just a fun post chatting about my love for firearms. For a while, I actually worked as an engineer designing weapons, and thus it is both a professional and hobby interest of mine.

As I live in China, I don’t have the opportunity to shoot like I used to. I have to go to Vietnam, Cambodia, Laos, Thailand or Burma to go do my shooting.

The only consolation to this is that I can shoot fully automatic weapons, and many weapons not commonly available in the United States. Which really sucks, as the United States is supposed to be the bastion of freedom.

Maybe, one day, the Progressive left will be defeated by the Conservatives, and some semblance of freedom would start to undo over one hundred years of progressive meddling in the Constitution. One day.

But, I’m not gonna hold my breath. The conservative leadership in America are all dying out, and their current leadership is corrupted and weak. The strongest leader they had was traitor John McCain. Who wasn’t even a conservative, but a wolf in sheep’s clothing; a RINO.

Asshole

Perhaps Trump might turn things around. However, he is a lone voice in government. He can’t do it all on his own, but that is exactly what it looks like is going on. Each time he trys to do something, a liberal judge reverses it. He says “Hello” and a liberal assault team tries to get him for perjury. It’s simply ridiculous.

I’ll tell you what, if things do not turn around soon, and quickly, there will be a nasty regime change in America. And it will be the progressive communists driving the armored vehicles, and conservatives scattering like rabbits with a handful of  hunting rifles.

DHS vehicle
The DHS is well armed with military grade vehicles, and armor. They are trained to fight on American soil. The Obama administration has been setting up the stages for an armed conflict of Americans against Americans.

Sad. So sad.

Take Aways

  • This is simply a post that describes my interests.
  • It concerns firearms; the RIGHT that I have as an American, to own.
  • They are on my dream (or bucket) list simply because ownership is restricted, access is difficult, or they are too expensive for my budget to afford.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 21NOV18.

After Implantation – Lost as an Autonomous Vagabond

This is the story what happened after I left the Navy.  True to form, I did as I was instructed.  After years of becoming a “Rocket Scientist”, then to flight school to become a Naval Aviator, I seemingly “threw it all away” to become an unemployed hobo living in a broken-down van.  I seemingly went full-on “Unibomber”. I was a real life Jason Bourne.

Well, That’s what it certainly looked like to others.

I did not remember my meeting with the Base Commander. I did not remember my implantation, the egress portal, or any of the very pretty girls. I did not remember what happened at all. All that I remembered was exchanging my role as a Naval Aviator for one within MAJestic. Everything else was all forgotten. The big change to me, oblivious to everyone else, were the college-level educational sessions that I had during my dreams. On and on…

I was a lost orphan in the wilderness…

I want to believe
I want to believe. Yes, after all my schooling, education, training, and sacrifice… and it was a sacrifice. I did not do the “fun things” that everyone around me participated in. Instead I worked hard for my dream. And, I obtained it…only to forget everything and find myself wandering alone…aimlessly in America. WTF?

To everyone else I was absolutely certifiably bat-shit crazy, and even I had my doubts concerning my sanity.

Industrial Contractions

In was 1981.

At that time, America was suffering from the first of what would eventually become a sequence of many industrial contractions.  I entered the labor force at the same time as the (#1 employer in the nation) Steel Industry collapsed.

Collapsed isn’t the right word for it. Perhaps Armageddon, or maybe MOAB realignment would be better.

Industrial contractions are normal.  Yet, how it influences your life, depends on who is running the government at the time. But this wasn’t just closing a factory of two. It was the wholesale shuttering of an entire industry that employed hundreds of thousands of people.

Entire states were devastated. The “Industrial Heartland” was renamed “The Rust Belt”. And I, I was stuck straight down in the middle of it. Ouch!

A Story

Let me relate a little story.

This event took place about two centuries ago. It took place in France, which was at the time, one of the top developed nations on the planet. They possessed an enormous military, and was the center for art, culture and science. To support this, they employed an enormous bureaucracy of high-paid clerks and analysts.

According to Jacques Necker, everything was just fine. 

Jacques Necker was the fiance minister of France. He was the expert in the national budget, and he and his little army of "bean counters" monitored the movement of taxes, and outlays throughout the nation and their global empire. They were well-paid, experienced, and monitored the financial health of the nation.

In 1781 he published a report called Compte Rendu au Roi.

This was an amazing document. This report astounded everyone because it simply confirmed what all the French elite had been saying all along.  Despite extraordinary public services and military spending, France had a net credit position of +10 million livres. In other words, the country was in perfect fiscal health.”

Sounds great.  Sounds legit. (Sounds familiar…) Right?

You know what, though? 

It turns out that Necker had "cooked the books". Rather than being 10 million on the positive side, France had racked up 520 million livres worth of debt. This was a pretty serious problem as they could no longer afford to pay interest.

Yikes!

France had spent decades accumulating prodigious debts. 

[1] They built monuments, and parks.
[2] They constructed the splendid cities that still inspire awe today.
[3] They explored the world and expanded their empire. 
[4] They engaged in almost constant military conquest in far-away lands.

But you know what? This all came at great cost. 

However, it never seemed to matter. The French government knew they were the world’s dominant superpower. As such, they overspent their national income. For them, it was as if it were their divine privilege to do so.

When you are the dominant nation, you can spend money without consequence. 

As William Olphus describes in his book Immoderate Greatness: Why Civilizations Fail, the French “tended to see the natural world as cornucopian-- that is, as a banquet on which they were free to gorge without limit.”

Nearly all superpowers see the world in this way. “We’re #1 therefore we no longer have to be fiscally prudent.”

For those of you who are unaware of the fourth Turning, here are the origins of the theory. Sir John Glubb, having seen his own British Empire fade as the world’s superpower throughout the 20th century, wrote The Fate of Empires in 1978.

Glubb argues that great civilizations start with an Age of Pioneers-- those who work hard and build wealth.

It then progress rapidly through an Age of Commercial Expansion, Affluence, and Intellect.

Then, it falls and decays in an Age of Decadence. This is a decadence in which the entire society feels entitled to a level of wealth that they neither earned nor can longer afford.

Even when faced with obvious fiscal realities, they make no changes. Only when a crisis erupts does the society demand action. And of course, at that point, it’s too late. 

(All credit to Simon Black for the bulk of this story.)

We discuss this later on in how the <redacted> cultivate this nursery.

Anyways, the point herein is that our government fails us, the people that it is suppose to protect, when there are industrial downswings of a significant nature. Do not buy-into the explanation that it is “normal”. It is not. It is a failure of the government. Nothing less.

Back to the narrative

With the collapse of the steel industry, the “backbone” of American labor was broken.  (It would take many years to recover.) At that time, no one worked. Unemployment was rife. People could not comprehend what was happening.

  • Layoffs were new, and unheard of.
  • When confronted with a laid-off person, the assumption was that they were fired.
  • To be fired, you had to be a particularly super lazy person.

As until that point in time, most companies offered work FOR LIFE with generous pensions. In the larger companies, it was possible to retire in your middle 40’s, with retirement in your 50’s common-place.

Up until that time, work was everywhere, and people could obtain work as a High School drop-out. It was pretty much “known” that if you had a college degree, you were “set for life”. Meaning that you would always be employed, have a great income, and never have to worry about being unemployed. It was a different time. Only the most tardy and lazy would ever lose their jobs.

Up until the 1980’s, most employed Americans never worried about losing their jobs.

As such, being “laid off” was much harder at that time than it is today. You were looked upon with disdain. As such, and personally, it was a difficult time for me, indeed.

“In the dust of defeat as well as the laurels of victory there is a glory to be found if one has done his best.”

― Eric Liddell

Let’s wind back the clock somewhat. Let’s review what happened… (It’s my method, don’t you know…)

Found Work after the Navy

I left the Navy and after a (short) period of several months, I eventually found work elsewhere.  I managed to obtain numerous interviews, and found a position back in (good old) Western Pennsylvania.  At that time, the steel industry was still big and powerful.

I obtained a “management slot” in a small but growing steel company. (Small is relative. At that time, Edgewater Steel employed 6,000 people.) As such, I worked as an engineer for a number of months in a steel factory in a suburb of Pittsburgh. At that time, the nation was just beginning to feel the strain of International competition.  As such, many companies maintained their traditional industrial working models.

I went to work, did my job, and everything was pleasant.

A Need to Move to California

A person works at a stable job.  You fall into routines.  Life becomes predictable.  However, I started to feel a strong urge to go to California.  It began maybe six months into my new job. As time continued, the urge became more and more demanding.

It became truly urgent.

It began to become an obsession.  I bought a road atlas and started to look at the maps of the roads leading to California.  California was where I wanted to go.  California was where I needed to go.  California was on my mind night and day.  I could not shake the thoughts away.  I had to find work in California.  As a result, I started to apply for work at jobs in California, and I started to outfit a van that I turned into a small camper so that I could go there and look for work. It had to be California.

California was the place for me.

Prior to my experience with the Navy, I would have never adopted such a personality.  I was stable.  I was boring.  I was a “work horse”.  I was the person who never quit; who never complained.  I was a great follower.  I was an even greater worker.  I would have made a great lemming.  Now things were quite different; I was the restless adventurer.  I could not be satisfied with anything short of the gnawing of my soul.  My mind would latch on to the idea and the concepts haunting me.  They would not stop.  They were relentless.  I had to go.  It had to be California.  I had to leave as soon as possible.

However, luck or life opened doors to make things happen.

Is luck a truly random occurrence?  

Or is it, in this heavily extraterrestrial-monitored nursery environment, a particularly placed series of events purposely directed towards a set of achievable objectives?  

In hindsight, based upon what I understand, the truth is obvious.  

There is no luck, but rather pre-planned events initiated by quantum consciousness and implemented with help from our extraterrestrial benefactors.

Lay Off at my Factory

At exactly one year, to the month, of my (entering MAJestic) and leaving the Navy my life changed.  I was given a “pink slip” at my job where I was working as an engineer.  As luck, or fate, would intervene, I was suddenly laid off from my job. 

Pink Slip
I was discharged from my duties and left the company where I worked.  This was different from getting fired for poor behavior or poor work quality.  This procedure is also known as being “down sized”.  At that time, many companies were restructuring themselves to become more profitable.  In the process they often reduced the size of their labor force.

I was one of the first.

Which meant that only a precious few understood what was going on. Everyone assumed that I was lazy and was fired. They did not understand what a "lay off" was. That would not happen for another decade and another 500,000 laid off people.

Working as an Engineer
In the steel industry at that time, all “management” were engineer-educated individuals who would rotate through different departments and climb the (internal) corporate ladder. The idea of an MBA-led career path in a major manufacturing industry did not occur until a decade later.  (Though, I suspect that it began in the automotive industry a decade earlier.) 

The mere idea that a non-engineer could ever manage a manufacturing company was laughable (at that time).

Fate
The idea of free will may have arisen because it is a useful thing to have, giving people a feeling of control over their lives and allowing for people to be punished for wrongdoing.

When you lose our job unexpectedly (As mine was. As the “low man on the totem pole”, I was the first to be “let go”.) it is a surprise.  You become very disoriented.  People around you do not understand, and are very confused.

They often blame you. (At that time, the term “lay off” was relatively new.  Up until the the late 1980’s it was very, very rare for a person to be “laid off”. If you lost your job it was because you were fired. Exceptions abounded for “blue collar” workers, but “white collar” workers were never “laid off”.

So, when I was “laid off” early in my young career, I met a lot of misunderstanding and opposition. When mentioned to others the reaction was shock.  I just didn’t “look like” the kind of person who would be fired at his work.)

Lazy workers.
I did not look, act, or behave like the stereotypical image of a lazy worker who was long overdue to be fired. I was young, aggressive, willing to work and put in the necessary hours. Yet, there was no work to be found.

Low Man
Low man on the totem pole means that the person is at the bottom in a hierarchical system. A totem pole is a statue of carved faces stacked one on top of the other. The face at the bottom is the last of the stack. The carved faces above it, would be higher up in rank or authority.

Today, getting “laid off” is very common.  That was not the case in the early 1980’s. At that time, people tended to work one job for their entire lives, then retire on a company pension. It was a different time indeed.

From a Reference for Business…

“Many of the layoffs in the 1980s and 1990s stemmed from reengineering, restructuring, and downsizing efforts to make U.S. firms more efficient and profitable in the face of intensified international competition. 

Layoffs resulting from reengineering and restructuring were unique in that restructuring affected a large proportion of white-collar, managerial, executive positions. For example, the American Management Association found that two-thirds of employees laid off in 1994 were salaried, college-educated workers.

Growth of foreign and domestic competition, stagnant earnings, and a slow economy motivated the first round downsizing and layoffs in the early 1980s. As the U.S. economy improved in the mid-1990s and remained strong in the late 1990s, large-scale layoffs continued at about the same rate—even at highly profitable firms—marking a break with historical layoff patterns. 

During the late 1990s, many of the largest companies in the country underwent reengineering or downsizing, despite enormous profits. 

General Motors, for example, continued to reduce its workforce, announcing in 1998 that it would cut 50,000 jobs to remain competitive, even though the company's profits rose 35 percent in 1997.

AT&T led U.S. companies in 1998 layoffs with 18,000, followed by Compaq with 15,000, Motorola with 15,000, Raytheon with 14,000, and Xerox with 9,000. Furthermore, McDonald's Corp. laid off workers for the first time ever during this period as the company began to reduce its overhead and management personnel in an effort to increase productivity.

A flurry of bank mergers —more than 370 of them—in the late 1990s also led to additional layoffs. The top five mergers of 1998 alone resulted in 20,000 job cuts. According to Fortune, banking along with media/entertainment and utilities jobs were the most prone to layoffs in the mid-to-late 1990s because of mergers, accelerated competition, and government deregulation.

Layoffs resulting from downsizing continued throughout 1990s, despite low unemployment, a strong economy, and the lack of proven economic benefits from downsizing. 

According to a Wharton School report, downsizing typically failed to boost earnings or stock market performance consistently. Moreover, other studies indicate that downsizing tends to cause low employee morale and tarnish a company's image. In addition, some reports found that a number of companies eventually are forced to fill positions left open by layoffs by paying premium wages.”

Read more: http://www.referenceforbusiness.com/encyclopedia/Kor-Man/Layoffs.html#ixzz56rMhb3FA

I worked hard to find new employment.

However, I was quite unlucky.  No one was hiring.

Unemployment was rampant, and every week mass layoff announcements were being made all throughout Ohio, and Western Pennsylvania. 500 here, 3000 there, and an announcement of another couple of thousand.  The work force was being shredded before our eyes, and all of us had to compete against each other for the few precious jobs available.

It was a nightmarish time.

Rust belt.
The term “the rust belt” was coined after miles and miles of enormous steel factories and support structures slowly corroded and rusted away in silence. This is Pittsburgh today.

Media Ignored the Situation

The news media ignored the situation (as did our Congressional representatives and Senators).  Oh, eventually they managed to report on what happened…ten years later.

1985 Congressmen
Rich fat cat congressman. The world of the rich does not look like anything that the common, main stream American experiences.

However, at the time, they concentrated with the news from Washington, D.C., Hollywood, and the new millionaire entrepreneurs out of California (Steve Jobs and Bill Gates for example.).

Then as now, the media were elitists.

They only reported on what THEY considered important. As such, they would always inject their own biases in their reporting. We, normal and “regular” people,  were shunned and avoided by the mainstream press.  We were considered unimportant. We were on our own. Nobody gave a “rat’s ass” about us “common” working folk.

The only thing the local news would report on was the layoffs.  They seemed to ignore the causes and preventative measures. Instead they focused on a group of trapped whales up North in Barrow, Alaska.

Operation Breakthrough was an international effort to free three gray whales from pack ice in the Beaufort Sea near Point Barrow in the U.S. state of Alaska in 1988. The whales' plight generated media attention that led to the collaboration of multiple governments and organizations to free them. The youngest whale died during the effort and it is unknown if the remaining two whales ultimately survived. There is an absolutely great movie about this called “Big Miracle” made in 2012.  It is worth a watch.

They focused on attempts to rescue geese in Canada.

A great movie regarding this is “Fly Away Home”. Fly Away Home (a.k.a. Flying Wild and Father Goose) is a 1996 family comedy-drama film directed by Carroll Ballard. The film stars Anna Paquin, Jeff Daniels and Dana Delany. Fly Away Home was released on September 13, 1996 by Columbia Pictures.

Fly Away Home dramatizes the actual experiences of Bill Lishman who, in 1986, started training Canadian geese to follow his ultralight aircraft, and succeeded in leading their migration in 1993 through his program "Operation Migration." The film is also based on the experience of Dr. William J.L. Sladen, a British-born zoologist and adventurer, who aided Lishman with the migration.

They focused on how Ronald Reagan was going to cause World War III by insisting that the Berlin wall be torn down.

"Tear down this wall!" is a line from a speech made by US President Ronald Reagan in West Berlin on June 12, 1987, calling for the leader of the Soviet Union, Mikhail Gorbachev, to open up the barrier which had divided West and East Berlin since 1961. Glenn Beck has a decent write up on this at http://www.glennbeck.com/2017/06/12/87-reagan-challenges-gorbachev-to-tear-down-this-wall/

Instead, they focused on how much better the nation was run under a Democrat President, you know, like Jimmy Carter. And lectured us (peons) on how now the roads won’t be fixed, and bridges won’t be built because President Reagan wanted to cut taxes on the middle class.

Yup. The infrastructure was going to collapse because no taxes would be collected. We must… must… MUST demand Americans pay taxes! They screamed into the airwaves, 24-7.

They screamed. They demanded. They ordered.

Stop taxing businesses. It is Americans that must be taxed! It is a fiscal necessity! They screamed on the airwaves.

America will collapse if Taxpayers keep their own money
The progressive left is still at it (American Communists).  Here’s some links to their articles that essentially states that if the government is not allowed to take your (most Americans) money, the world will collapse. Go here to read this drivel; https://www.salon.com/2014/04/19/reaganomics_killed_americas_middle_class_partner/ 

and http://www.blogster.com/southwesterngrad/how-reagan-destroyed-america-the-middle-class .

Americans do not have access to their own money. Rich people and banks own the money. Not americans.
Democrat Senator Nancy Pelosi believe that Americans are not entitled to the money they earn. SHe believes that it is the property of the government, and use of the money is only granted to elected officials.

They hated the president, and they would offer all kinds of reports on his slightest mistakes.  They would make fun of his little personality quirks, and would attack him relentlessly. They never were as sycophantic as they are today with President Obama.

So many articles on this!  Go here; https://townhall.com/columnists/floydandmarybethbrown/2008/06/19/mainstream-media-love-for-obama-infects-news-coverage-n1014670 

and https://www.theatlantic.com/politics/archive/2013/02/why-does-the-media-go-easy-on-barack-obama/272807/ 

and http://www.aim.org/on-target-blog/the-obama-media-love-affair/ 

and https://rashmanly.com/tag/mainstream-media-in-love-with-obama/ 

and http://www.americanthinker.com/articles/2009/05/narcissus_and_echo_obama_and_t_1.html 

and https://townhall.com/columnists/calebparke/2017/03/15/the-mainstream-media-was-awol-during-the-obama-years-n2299307 .

Some quotes from the above;

“It is troubling that our president is a pathological narcissist caught up in the thought patterns of Darwin, Marx, and Alinsky. Even more troubling is the fact that the Mainstream Media (MSM), suffering from an Obama-inspired narcosis, shirks its duty, refusing to publish or even explore any aspect of Obama's dark side. 

There is room for much optimism, however. If the ancient story of Narcissus and Echo plays itself out as Ovid recorded it, and Obama and the MSM are their contemporary counterparts, both will fade away in the not too distant future.”

Ah, nothing ever changes, does it?

My unemployment support was quickly running out. The bank (Mellon Bank) repossessed my motorcycle, and my car payments were going to be exhausted in a few months.

After nine months it would all be gone. There wasn’t any work in my “neck of the woods”, and I needed to find work or starve.  For, as all American men can attest, if you are over 21 years old and are “able bodied” you are EXPECTED to find work and make your way in society.

Not so today.

Unemployment Support
The state government would pay a laid-off worker a fraction of their pay for a set number of months so that they would not starve. The amount depends on the state where you were living in.  Massachusetts and California were the most generous (in my experience) while Arkansas and Mississippi were the most pitiful.

Today, I read many stories of children living with their parents until they hit their 40’s.  Not so with my generation.  You were physically evicted and “kicked out” from the home when you hit your 20’s.

Because of this necessity, I was forced to travel elsewhere to look for work.  Of course, I was obsessed with going to California (for some reason, heh… heh…).  To this end, I decided to travel there to locate work that would employ me.

Fate

Again, I must give pause to contemplative endeavors.  Was this coincidence?  Was this an artificially manufactured event that would discharge me?  Was this part of a bigger picture that involved whole masses of people, events and staged events?

In my story, over and over again, there would be coincidences.

These coincidences would often times, on their own merits, appear to be logical and normal events.  But on the whole, when taken together and viewed in contextual alignment, they all appear suspiciously suspect.  They appear to be indicative of a grand scheme of manipulation.  Indeed, this was a manipulation of great forces and powers far beyond the control of any one person or group of people.

There is, in truth, no such thing as a coincidence.

Everything in our lives is planned and scheduled by a very advanced system of control.  It involves multiple dimensions, powerful energy states that reach well into the quantum sphere, and a degree of timing that is “timeless”.  However, as I know this to be the case, I still often have trouble grasping with this truth.  I still want to believe that I had a degree of control in the decisions that I made, and the actions that I followed.  The reader will see evidence of this quandary in this blog, but please realize that I do know (as much as I do not want to accept it) that our lives are fated.

During this time, the economy in the United States was in shambles.

America was crushed and its’ industry collapsed. For many of us, it seemed that there was nothing special about the United States any longer. The United States seemed like  just another nation-state, and, most unfortunately, one that’s become especially predatory toward its citizens.

At that time, the only thing that mattered was the lifestyle of the Congress-critters, the bankers, and the wealthy on their exclusive golf courses. How do I know? The media reinforced it to me daily.

JR Ewing
In a way, the America television series “Dallas” reinforced the notion of “Fat Cats” and rich and powerful people. This, of course, paved the way to acceptability of folks like the Clinton’s who actually lived the life portrayed on television.

Meanwhile, the Japanese had rebuilt their industries and were aggressively capturing American customers.  They offered cheaper prices, better quality and newer technology.  America couldn’t compete (though it made efforts to try.).

Japanese effort to rebuild its' Industry
World War II had devastated the industries of Europe, and Japan.  But, unlike the United States, which rested comfortably in the belief in continued economic mastery, the Japanese devoted all their energies to rebuild their industrial base.  The fruits of their labors were just beginning to be felt in the early 1980’s, as stubborn American industries began to feel the pressure of foreign competition.

Changing demographics & Industry
The US became an unsustainable service sector based economy from the 1970s onward when service sector employment diverged from manufacturing without a corresponding boost in productivity. 

This materialized as a galloping wallop of unemployment.  

The numbers, or more accurately, graphs show the effects.  When one looks at a graph of productivity growth over time the effects of this becomes clear.  Adjusting for the WWII anomaly (which tells us that GDP is not a good measure of a country’s prosperity) US productivity growth peaked in 1972 – incidentally the year after Nixon took the US off gold. 

Hum... could there be a correlation? I wonder...

Factories tried to recover, and they had sputtering bouts of success.  Yet, the overall productivity decline witnessed ever since is unprecedented.

Despite the short lived boom of the 1990s US productivity growth only averaged 1.2 per cent from 1975 up to today. If we isolate the last 15 years US productivity growth is on par with what an agrarian slave economy was able to achieve 200 years ago. (With hindsight we know that finance did more harm than good so we can conservatively deduct finance from the GDP calculations and by doing so we essentially end up with no growth per capita at all over a time span of more than 15 years.) 

In effect, US real GDP per capita less contribution from finance increased by an annual average of 0.3 per cent from 2000 to 2015.  In fact, from 2008 the annual average has been negative 0.5 per cent. 

In other words, we have seen an overall weakening of the US economy from the 1970s.  The reason is simple enough.  For we know that monetary policy broken down to its most basic form is a transaction of nothing (fiat money) for something (real production of goods and services). Thus, the true reason for the “recession” and the unemployment at that time becomes apparent.

While there were many things that the American companies could do, the most common reaction was motivated by profit concerns.  Thus, for most of the American industry the reaction was not logical and planned, but was reactionary.  The American reaction was to reduce the size of its work force.

The buzz word at the time was “efficiency”.

Efforts were made to stop the industry practices of “keeping people on” (Retaining employees in the belief that their skills and abilities could be used at a later date, even though there was no work for them to do at the present.) as “overhead”.

Workers were “laid off” and their responsibilities given to others.

Efficieny experts
Efficiency experts sorting out who to fire and who to retain.

What began as occasional layoffs soon became a flood of firings.  Companies started to expect their workers to do the work of those laid off.  Efficiency sky rocked, but only to a point.  It was, unsustainable in the long run.  Millions were unemployed, and work was difficult to come by.  Since I too lost my job, I found myself in the same situation as thousands of other unemployed Americans.  We were all out of work, and out of luck.

I needed to find work, and I needed to do so quickly.

It did not take me long to decide what to do.  I decided to go to California.  For, to me, California was the place for me.

California.

California.

California.

Outfitting a Vehicle

To this end, I outfitted an old van that I had bought.  It was an old white 1976 Dodge Tradesman 100.  Purchased for $2000.  It was empty inside, but had a decent engine and frame. It was a mini-van and very popular at the time.

I insulated it, and installed wood panels made from old shipping crates.  I placed a bed inside and added a partition behind the drivers and passengers seats.  I fixed the engine and the drive train, and set off to find work.  It was a great place to sleep in, and to haul stuff in, but without a bathroom, kitchen or shower it was rather inconvenient. It was, to put it bluntly, a mobile hotel room without a bathroom.

I equipped it with a bed and some rudimentary storage and set off to find work.

+ + +

I worked where I could find work.

I worked at many fast food restaurants.  These included McDonalds, Wendy’s, Hardees, Carl’s Junior, What-a-Burger, Burger King, etc.

I was not proud. If I could get paid, I did the work.

I also worked as a janitor.  I cleaned offices late at night, and places like the YMCA.  I also worked as a temp and performed tasks ranging from digging ditches, cleaning out industrial scraps at construction sites, washing windows, and moving boxes for a storage company.  When you are unemployed and hungry, you do what you need to do.  You don’t sit down and wait for something to come to you.

Sure, my stomach growled. But, when I worked fast food, I got a free meal on top of my pay. That helped a lot. I would save one half of my burger for my wife. I’d take it to the van after my shift. I would also get some of the packets of lemon juice in the condiments section. We could add that to water with some sugar and make some cheap lemonade.

Of course, I traveled to California, but once I arrived there I didn’t know where to go.

I lived in the van (a mobile “camper” that I had created)  and worked low wage jobs to make ends meet.  (It was insulated with a bed, but no toilet and water.  Good for an overnight sleep, but not so great for living in.)

Precisely because I lived in this manner, it was difficult for anyone to locate me.  Obviously contacting me was extremely difficult.  I would work in a town, and save enough money to repair the camper, and get enough gas and food for the next couple of months.  Then, I would continue on my journey.  It was a cautious adventure.

Dodge tradesman 100
Dodge Tradesman 100. Also known as a “mini-van”. It was big enough for a bed and some clothing storage, but little else. Mine was white, with plain tires and utility rims.

Driving Past Ridgecrest

Curiously, as I drove west I kept on driving towards a remote town in the middle of the desert west of Los Angeles.  The town was Ridgecrest and on numerous occasions I kept on finding myself driving towards it.  But I never stayed there to look for work.

Instead, I kept driving past towards more potentially promising places to be employed.  (The reader should recognize that while you might “feel” a “tug” or interest in a certain place, your mind will tell you to ignore those feelings. Instead your mind will instruct you what to do based upon what you are exposed to (news typically) and reason.)

The mind is in constant battle AGAINST your feelings.

The mind is in constant battle AGAINST your feelings.

Yet, for me it seemed that all roads lead to this obscure town.  I would get lost and find myself in the middle of a flat desert plain, with nothing nearby.  But looking up I would see a sign pointing to the desert city of Ridgecrest.  It sure was spooky.

A reoccurring theme during most of my life was how I would have “urges” to inspire me to go and do things.  These urges were nothing less than ELF directional commands sent to me.  That is; commands originating out of the “Core Kit” dialogues.  

More about that later.

On the road near China Lake
On the road near China Lake in California. There is flat desert as far as the eyes can see with distant blue desert mountains in the distance.

All in all, I traveled in circles trying to go to some point in California.  I felt “right” when I was driving in the direction to California, but I didn’t know where to go.  I had no set destination.

The mind is in constant battle AGAINST your feelings.

As such, I visited many of the cities and towns in the state, but none “felt” right.  While some were very beautiful (like Auburn, California) the urges would not let me rest.

The mind is in constant battle AGAINST your feelings.

It had been approximately two years since I had left the Navy.

The memories of what had truly happened there was completely erased from my mind.  I remembered joining the Navy, and leaving the Navy.  But I had no recall of what happened between me and the Commander at the base.  I had no active recollection of his words, nor did I have any active memories of the transportation portal.  It was all forgotten.

Like misplaced memories.

I had adopted a new life, and had accepted it.  Occasionally as I drove the camper, I would muse about what my life would have been where I to have stayed in the navy as a pilot, but my mind would always end up focusing on the issues directly at hand at the time.

The issues were always about existing.

Where can we park the van? 

How much money do we have, and how much gas will it purchase? 

Where can we sleep, without getting hassled by people, or the police? 

Where can I get work, and where will I be able to cash the check once I receive it? 

Where can we get a shower and wash off the stink? (A seriously big issue indeed.)

Looking for Work

The reader should understand that I moved into a van to leave an area of high unemployment (that would later become known as the “rust belt”) to an area where I could find work.

I was not “finding myself”, or “exploring the world” as a backpacker.

I was not a hippy, finding “free love” and adventures with a bong, and a box of contraceptives.

No. Not at all. I had a mission.  I had to find work in California. It was my one and only goal.

The Rust Belt
The Rust Belt is a region of the United States, made up mostly of places in the Midwest and Great Lakes. Rust refers to the deindustrialization, or economic decline, population loss, and urban decay due to the shrinking of its once-powerful industrial sector. The term gained popularity in the U.S. in the 1980s.

The Rust Belt begins in western New York and traverses west through Pennsylvania, West Virginia, Ohio, Indiana, and the Lower Peninsula of Michigan, ending in northern Illinois, eastern Iowa, and southeastern Wisconsin. 

Previously known as the industrial heartland of America, industry has been declining in the region since the mid-20th century due to a variety of economic factors, such as the transfer of manufacturing further West, increased automation, and the decline of the US steel and coal industries. While some cities and towns have managed to adapt by shifting focus towards services and high-tech industries, others have not fared as well, witnessing rising poverty and declining populations.

I could not afford to stay in hotels.

I did not have the money. I knew of very few people in the state, and thus had no connections to visit. I had no place to “crash at” while I was looking for work. Being low on money makes one creative.  I had to find a way to make it to California, and it had to be the cheapest way possible.  Short of being a hitchhiker, or hopping a freight train, the only thing that I could think of was driving there myself, and sleeping the car while I looked for work.

I did the “sleep in the car” bit a few times in the past.

It did not work out too well.  Cars are cramped, people can look into the windows, and you always wake up with a stiff neck and a sore back.  (Not to mention getting eaten alive by insects.) No, to travel, I would need a small van.  It did not need to be large.  It just needed to be big enough for a small mattress, and a place to store my clothes. It would need to have a good engine, and be insulated from the cold.  Aside from that, I could then be equipped with the necessities to find work in a place far, far away.

I did what I could on the basis of the little that I knew.  I was, after all, in my mid-20’s and still very young and “wet behind the ears”. My father insisted that I stay in Pittsburgh and try to find engineering work there. I disagreed. In this case, I was right. (Pittsburgh didn’t recover until around 2005, about twenty years later, and then it’s recovery required other talents instead of engineering.)

If I had stayed, like some of my classmates, I would be on a life path that was truly unlike anything that I had studied to be.

Later, as I began my journey, I discovered the importance of a small shower and bathroom.  I discovered the need for a small refrigerator and heater. I later bought myself a class “A” motor home about fifteen years later that possessed all these amenities. However, that is a story representative of another time.

Men need to work

I have strong opinions of people who do not work for a living.

This goes double for those who do not desire to contribute to society. Men NEED to work.  We need to contribute to society and we need to take care of our family.  It is a biological NEED. Rather than rant on, I prefer to let someone else rant on about this subject.

Here is a rant from a blogger in Thailand;

“Sitting at a regae bar last night with some mates I had an experience that happens quite a lot to me in Thailand and that's meeting backpackers. This guy comes to the bar and orders a drink and says hello to me. The American in me would never start a random conversation especially with this dude but the outgoing Aussie in me always has to say hello. I wish now I'd got a photo of this guy however the guy looks similar to the picture below.

[Photo of a heavily tattooed man with piercings all over his body, and shirtless. Not provided here because it is ugly.]

So the first thing I notice about this dude is the overly large earing he has stuck through his nose, the ear piercings that look like plates in his ears and the fact he is covered in tats. Not the kinda guy I'd hang with regardless of his personality because he'd probably scare the girls away, but whatever, I'm having a good time I say hello back and he starts to chat.

I don't have a problem with backpackers in general but I can only stand them so much, the conversation always goes something like this:

What's your name?

Where you come from?

Where have you been?

Where are you going?

After you've answered these questions the backpacker types start telling you how they've been to 18 countries in the past few months and how you should go here and have you been there "oh your missing out" that somehow I'm less than because I don't stick a bag on my back and sleep in $3 rooms with 10 other dudes. Inevitably after they tell you all about the world they have nothing left to say except how excited they are to put the bag on their back again and sleep in another shitty room.

Listening to this guy last night I'm being polite and interested when he got to that point where he'd rambled on about his travels enough that he didn't have much more to say so he asks me what I do. 

So I told him part of the truth, I own “Living Thai” a blog on Thai girls and Thai hookers and sex in Thailand. 

Should of seen this guys eyes, he looks at me with total horror like I'm a child molester or something and he exclaims "I don't like that, that's wrong" maybe he was lost for words? Not sure, but I'll never forget this guys eyes it was priceless.

So this guy is obviously offended by sex (looking at him you know he doesn't get much) or at least Thai hookers or the fact that girls sell themselves for money. So yes it's true, I'm a pimp, and this site is #1 for information about Thai hookers. I don't hide the fact or pretty it up in anyway and why should I? This is life dude, this shit happens and why should I make apologies for it?

This is how these Khao San Road types are like, they'll be quick to attack you if you judge them for looping a bull ring through their nose or having enough ink to kill a whale and then attack you again if you don't also ink yourself up with tribal tats and stab yourself with rings making dance circles spinning fire singing coombaya the world is a lovely place. It's not dude, you gotta get outta your fairyland and talking to "like-minded" people to find out it's not. Try to understand the world for what it is not just suck up the shit you like. Open your mind a little.

I don't need to travel around the world to know that the world is shit, it's dark, and there are terrible people doing terrible things. So many people pass through Thailand with their eyes closed believing if they ignore it or don't partake in it then it doesn't happen or that they are helping. 

Many expats are like this too, they think cause they spend a few thousand dollars a month in Thailand that they are "helping" Thai people and Thailand should reciprocate with an easy and cheap visa so they can keep spending 30-40 thousand baht a month in the country. You're contribution is so small, no you do not make a difference especially to the average Thai.

I don't normally talk to dudes that look like they just came out of a clown carnival (for reasons stated) but I'm not going to judge a guy off the bat because he looks like a freak-show either. 

Maybe the dude would of respected me more if when he spoke to me i said "I don't talk to clowns".

It was a fine rant.

However the point must be made clear.  When I moved into my van it was to look for employment.  I had a need, a desire, and an urge to find work.  I knew that I needed to find it in California, for at time all work was in California.  Isn’t that were Steve Jobs was making his fortunes?  Isn’t that were Bill Gates was raking in billions of dollars?  Isn’t that were Hollywood is, and Silicon Valley is? Isn’t that where all the military technology was that will defeat the Communist Menace in the Soviet Union? California was a mecca for engineers.

It was where I should go.

Bill Gates
Bill Gates in the 1980’s. The photo is a bit unusual in that he is sitting next to an Apple computer.

While Wall Street was the place to go if you had an MBA in Finance, California was where you should go if you were an engineer. California was where young, bright engineers such as myself, belonged.

I was of the generation of Steve Jobs and Bill Gates.

Steve Jobs
Steve Jobs in the 1980’s. He helped redefine what Silicon Valley was.

I outfitted a van.  I set it up as a comfortable sleeping quarters, so that I did not have to pay for expensive hotels.  I took an unused bed mattress, and some scraps of old (living room) rug scraps and decorated the interior.  (left over carpet from my parent’s TV room.) I used the Styrofoam from cheap (Wal-Mart) ice coolers as insulation, and then paneled over it with old hardwood from decades-old wooden freight pallets (I paid $15 for the lot.) . I put tinting on the interior windows, and installed a sunroof that I got for $5 from a local automobile junkyard.

I made sure that the motor and operation of the vehicle was perfect, and as such, I moved onward and outward.  I began my search for work with less than one hundred dollars to my name.

Living the life of unencumbered freedom.

“Those who do not move, do not notice their chains.”

-Rose Luxemburg

Obviously, I did not follow the typical career development of a Naval Aviator.   Instead I began, what I call, “The Big Adventure”.  It was my rite of passage. While I “should” have been on a carrier flying high performance aircraft, like the rest of my classmates, I was a homeless, penniless, nomad wandering aimlessly in the hinterlands of America.

Some days would be great with extreme beauty and a fine proper meal.  While other days were spend starving and avoiding the hot sun inside a sweltering metal box that I called “the camper “or old “urge”.  True travel is not glamorous.  Not at all.

Rite of Passage
Sociologists have identified three phases that constitute a proper rite of passage: separation, transition, and re-incorporation.

Separation: During this phase an initiate is separated in some way from his former life. In the case of the Mandan tribe, the young man was isolated from the village in a hut for three days. In other tribes, boys’ heads were shaved and they were ritually bathed and/or tattooed. In a more modern example, when a man has just enlisted in the military, he is sent away to boot camp. 

His former possessions are put aside, his head is shaved, and he is given a uniform to wear. During the separation phase, part of the old self is extinguished as the initiate prepares to create a new identity.

Transition: During this phase, the initiate is between worlds-no longer part of his old life but not yet fully inducted into his new one. He is taught the knowledge needed to become a full-fledged member of that group. And he is called upon to pass tests that show he is ready for the leap. 

In tribal societies, the elders would impart to the initiate what it meant to be a man and how the boy was to conduct himself once he had become one. The initiate would then participate in ritual ceremonies which often involved pain and endurance. 

In the case of the new soldier, he is yelled at, prodded, exercised, and disciplined to prepare him to receive a rank and title.

Re-incorporation. In this phase, the initiate, having passed the tests necessary and proving himself worthy, is re-introduced into his community, which recognizes and honors his new status within the group. For tribal societies, this meant a village-wide feast and celebration. 

The boy would now be recognized by all tribe members as a man and allowed to participate in the activities and responsibilities that status conferred. For the soldier, his boot camp experience would come to an end and both his superiors and his family would join in a ceremony to recognize his new status as a full-fledged member of the military.

During the all phases of the process, the men who have gone through the ritual themselves guide the young initiate on his journey. By controlling the rite of passage, the men decide when a boy becomes a man.

I had named my van after a story that I had read.  I named it after the name of a hippy van in a story that graced most of the pages in a book known as the “Last Whole Earth Catalog”.

The Whole Earth Catalog (WEC) was an American counterculture magazine and product catalog published by Stewart Brand several times a year between 1968 and 1972, and occasionally thereafter, until 1998.

Steve Jobs compared The Whole Earth Catalog to Internet search engine Google in his June 2005 Stanford University commencement speech.

"When I was young, there was an amazing publication called The Whole Earth Catalog, which was one of the bibles of my generation.... It was sort of like Google in paperback form, 35 years before Google came along. It was idealistic and overflowing with neat tools and great notions."

The last whole earth catalog
The Whole Earth Catalog (WEC) was an American counterculture magazine and product catalog published by Stewart Brand several times a year between 1968 and 1972, and occasionally thereafter, until 1998. The magazine featured essays and articles, but was primarily focused on product reviews.

Above is a page from the Last Whole Earth Catalog.  In the lower right corner, the reader can see a box with the image of an alligator or dragon and some words.  That is the hippy story where I obtained the name whereas I named my van “ol urge”.

An adventure consists of extremes.  You see the great beauty of life, and the depths of the abysmal.

After all of this, I became a “somewhat” normal person again. But, I (the truth) was anything but normal. Not only was I a highly trained, college educated, intellectual, but I was implanted with specialized probes; probes of unique abilities and secretive purposes.  I didn’t know why, but I suddenly found myself questioning everything around me.  I stared to ask questions about how humans lived in America and what my role was.

“This reminds me of when the Reagan Administration gave out blocks of cheese to Food Stamp recipients. Soon after the deliveries were made, bars around Philadelphia were serving grilled cheese sandwiches during happy hour.”

-Posted on 2/13/2018, 8:30:33 AM by Opinionated Blowhard

I wondered why I couldn’t qualify for all the “free” stuff that the government promised me.

It was true.  I could NEVER qualify.  

I went to a welfare office in upstate New York to apply for food stamps.  The woman behind the counter, a nasty prune face of a woman named Mrs. Slen (to this day, I will never forget her name) told me that she’d “just happen to know that engineers do not get laid off.”  (She knew, she said, because she was married to an engineer.) 

She said that I was just a lazy good-for-nothing person, and went out of her way to make my life extremely difficult in the pursuit of government benefits.  

She would misplace my paperwork, and I would need to come in and do them all over again. She would call me at 5:45 and tell me that I would need to come in before 6:00 and sign something or the other. She would do all kinds of nasty things and make my life a “red tape” nightmare.

That was my life…

“They hide income. Dirty little secret is that some groups with “favored nation status” are rubber stamped through these programs and the bureaucrats look the other way. 

Whereas some little old white lady will be put through the wringer and made to jump through several flaming hoops of administrative red tape only to be denied. 

I saw this with my very own eyes.”

-Posted on 2/13/2018, 8:10:58 AM by AbolishCSEU

So much for that little adventure…

I became Spiritual

One of the things that was constant during this period of time in my life were my night-time dreams. Once I fell asleep, my mind would rest, and after a while I would start to have these odd dreams. My consciousness sort of detached and I began to experience this “other” kind of existence.

It was really, really odd. Many of the “experiences” consisted of a kind of very…very…very…VERY vivid experience that communicated with my brain as an augmented dream. And they were always about going to school. I was always being taught things. I was constantly involved in education, and all sorts of  weird things. Then when I woke up, I would look at the world around me and what I experienced quite differently…

I had a totally different point of view.

I started to wonder why real nasty people seemed to be so successful, and the softer nicer people all seemed to be destitute.

I became spiritual and in this train of thought, I moved upon faith and belief.

I became inspired within by an inner confidence… and upon that confidence; I embarked upon a new adventure… a greater adventure.  An adventure fueled on … faith…

I became very spiritual and everything seemed, to me, to be connected.

The weather to the earth, and the movement of people to the movement of the moon.  Things all seemed so interconnected to me.  I began to question everything.  Maybe it was living in the van, or maybe it was my experiences.  Maybe it was due to the probes.  I do not know why.

Travel

“A huge forest it was; and I was glad and grateful beyond measure for the scent of roots and leaves, the thick smell of the fir-sap, that is like the smell of marrow. Only the forest could bring all things to calm within me; my mind was strong and at ease.”

— Knut Hamsun “Pan” 

I often see inspirational pictures on the internet showing a map with some kind of words to inspire confidence to travel.

Yeah, it’s all good. But mostly, the people who do this sit comfy in their own rooms, and live their mundane day to day lives.  They dream about travel, and they Photoshop about travel. They post articles about their travel dreams. They buy clothes that travelers wear, and expensive backpacks and gear.  They collect interesting books and read about travel.  But they don’t actually travel.

Joy of travel.

Typical Tumbler photo advertising for the joy of travel. These things can be found all over the Internet and serve to inspire us to leave our lazy-boy chair.

True and Real Travel

True and real travel is an adventure.

You leave your comfortable house with only $200 in your wallet, and you go. You just leave.  You go make friends, or you visit friends you just made. You don’t buy expensive or trendy backpacks and nice looking road maps. That looks great in advertisements, but real travelers don’t use them.

Real travel is not only about the wilds of the forests, and the smell of nature.  It is also about the dark and grimy stained gravel of a train yard, the back alleys behind centuries-old factories, the frighteningly-quiet cookie-cutter suburban neighborhoods with people peering out behind curtains.

It is all of this and more.

It is the empty quietness of an outlet mall at sunrise, the smell of a fresh pot of coffee being made at “Waffle House” at 4 am in the morning, and waiting outside on a grassy knoll while a “grease monkey” fixes your brakes. Travel is an adventure, and it isn’t always beautiful.

Real travelers, well, (for one thing) they stink. Showers are a once a week event. Their clothes are bought at Wal-Mart, or if they are really poor, the Salvation Army. Their note book is a $3 plain wire-wound affair. Their money is spent on the adventure itself. It is not spent on the trappings advertising the Dream-of-Adventure.

Today, like everything else, the “dream” has been packaged and marketed by corporate professionals.  Many of whom have never set a foot in a park let alone the far off wilds.

Ah.  The reader should not get confused. Backpacking serves a point if it is directed with set goals in mind.  You take a trip for “X” period of time, with the goal of obtaining “A”, and “B” with the strong possibility that unknown factor “C” will manifest. You do it with what you have and you make do.

At the time, our trip took far longer than we wanted. The period of time was longer than we ever expected, and the discomfort in the van was worsening each month. Our goal of obtaining “engineering or career-related work” in California did not manifest, and the best we could do was low-end labor positions. This lasted for an extremely long time. (Without getting into too much detail, I ended up getting married with a girl from my “home town” and we embarked on this adventure together.)

Back Story
We eloped, let it be known.  Her parents wouldn’t have anything to do with a “Pollack”. We got married at a midnight mass in an Assembly of God (aligned) church on a Halloween evening. For those of you who think it was a bit hasty, as we got married on our second date, we were married for over twenty years. 

We only ended up getting divorced as a consequence of her health issues.  These are complicated subjects and not really appropriate here at this time. Let it be stated that we started our adventure together, and it worked out just right.

Real Travelers

To my parents and my friends, they thought that I was just running around aimlessly.  Indeed, much the same way that I view many of these “backpackers” out and about today.

Ouch!

When in truth, I was being directed towards California by the probes in my skull. Situations that permitted my ability to travel, and luck manifested at the proper time and place to make sure that my guided actions were truly manifest. On the physical, it appeared that I was an aimless wanderer. No one ever knows the true motives of others.  No one really knows the situations of others, and the powers and forces that compel them to behave in (what appear to be) odd ways.

What is luck in a fated universe?

In fact, to see what true reality is, take away all the “on the surface conformity” and peer into the mechanisms that control and motivate people.  If one does this, they will find and discover just how different we all are.  In fact, even though others (relative to ourselves) are but “quantum shadows” of the reality of other souls, even their quantum supposed motivations are alien to what we know (as real) and what we expect.

State Park
State Parks are wonderful places for quiet reflection and calming peace. I urge the reader to take part and enjoy some of the many state parks that are all around us.

Real Travelers

“Real” travelers don’t wait for perfection. They go when the “calling” occurs.  Often they are not socially, and financially ready to make the trip.

“Real” travelers drink coffee at McDonalds ($1.25/cup), and shun Starbucks ($8.50/cup).  They go into small family diners at the crack of dawn as the fog is just starting to burn away by the morning sun.

From The Art of Manliness...
Many things in life are much better when done by hand in small quantities. Roasting coffee at home one or two pounds at a time produces just about the best coffee you’ll ever have. Most chains (Starbucks, notoriously) will actually over-roast so that every cup of coffee tastes the same, day in and day out. 

They take all the unique character out of the coffee. Roasting at home will give you a variety of flavors that you never even knew existed in coffee. 

Every man should know how to brew a decent cup of coffee. It’s an everyday skill that should be passed down from father to son, like shaving or mowing the lawn. It’s a manly ritual providing both utility and comfort.

Consider history. Out on the trail, coffee was a staple among cowboys. Piping hot coffee helped a cowboy shake off the stiffness from sleeping on the hard desert ground, and it was also a good beverage to wash down the morning sour dough biscuits.  However, cowboys didn’t have the luxury of fancy coffee brewers or French presses. They had to pack light, so all they usually had was a metal coffee pot, sans filter, to brew their coffee in. No matter. A cowboy could still make a decent cup of coffee. Here’s how.

Bring water to a near boil over your campfire.

Throw your coffee grounds right into the water. (That’s right. Filters are for city slickers.)

Stir the coffee over the fire for a minute or two.

Remove the pot from the fire and let the coffee sit for a minute or two to allow the grounds to settle at the bottom of the pot. (Add a bit of cold water to help speed along the settling process.)

Carefully pour the coffee into your tin cup so that the grounds stay in the pot.

Stand around the fire with your left thumb in your belt loop and your coffee cup in your right hand. Take slow sips and meditate on the trek ahead. Make sure you tip the brim of your hat slightly downward.

Real Travelers go to libraries to read the news, and relax.  (They dare not spend a quarter to buy the newspaper. They read it at the library instead for free.) They visit parks, use the bathrooms in laundromats, look for bargain food in grocery stores, and forage for food in orchards.

They buy day-old bagels, or nearly expired fruits and vegetables from grocery stores.  In a pinch they “dumpster-dive” and forage for food outside in the back of fast food restaurants.

“Real” travelers live on the edge.

The entire time that I was traveling and looking for work, I avoided begging.

The closest that we (I was married at the time) ever came to begging was asking a church if we could park into their parking lot.  Sometimes, we would accept the support they gave us.  However, what I really wanted was work.  I would have been just glad to get $10 to mow a lawn, rake some leaves, change someone’s oil, or helped till a garden.

I was young, in my 20’s, and I was willing to do anything.

Hard Life

In fact, the truth is that during our travels there were many times where we were actually starving.  We had run out of money, and with no gas, and no income, and no work ANYWHERE we would find ourselves going without eating for weeks at a time.  I would say that the longest that we ever went without food was three weeks.

The wife didn’t have a problem with it.

She thought she looked “good”.  I on the other hand, well I needed to eat. Typically however, we might have to go without food from three to five days.  Eventually we could find work at a restaurant and get a free meal as part of our work.  If only I worked, I would save half the food in a napkin, and bring it home to my wife.

Sometimes we would see a house with a fruit bearing tree in the yard. We would then knock on the door and ask to collect the fruit. The people were often very nice about this. It kept us alive. For three weeks in California, we lived off of lemons. Our teeth almost fell out... yikes.

Other times it was raw onions and mustard packets from the fast food restaurant.

Sometimes we would dumpster-dive for expired burgers in the trash bin behind the Fast Food restaurants. We did what we needed to stay alive.

This should not be confused with some “adventurers” who backpack around the globe “on the cheap” and ask for handouts along the way to support their travels.  Those people disgust me.  They really do.  They are “aimless” and “ill prepared”. They travel to a strange place to take pretty pictures, and meet a few people, so that they can have some “notch” in their belt of experience. They are not focused and directed with purpose.

Thailand is cracking down on shameless Western ‘beg-packers’ coming to Thailand on the cheap and begging.  http://www.news.com.au/travel/world-travel/asia/thailand-is-cracking-down-on-shameless-western-begpackers/news-story/7526b7fd1541fc4201b1f18c8142dcd8   and 'Gap yah' backpackers begging for money should be ashamed of themselves http://www.telegraph.co.uk/women/life/backpackers-begging-money-should-ashamed/  .

During my travels, we never begged.  Sure, we would ask for help like a place to exist and park the van.  We would ask for some water.  Many would give us more, but they did not need to.  We just wanted to pay our way.  Those that helped us were fantastic people.

Nevertheless, we never needed to beg.

Boomers

As opposed to (what is now known today as “backpackers”) begging, we were actually “boomers”.

Beggars are now referred to as "Backpackers".

Boomer is the term for migrants relocating to areas where work is plentiful.

The term comes from the idea that we would migrate to whatever area had work.  That area would be “booming” with jobs and opportunities.  Thus, we would move to that area, and thus became “boomers”. (Not to be confused with the deadly submarines of the same name.) The term originates from the California Gold Rush Boom.  In the 1980’s it also pertained to the American Gulf Oil Rig Boom, and the Boom related to the need for Air Traffic Controllers.

We were “boomers”, and not “beggars”.

Here’s some photos of beggars in Thailand.  Why in the world are they begging?  The Thailand law clearly states that they must post enough money in a bank to buy a plane ticket out of Thailand. So they obviously have a means out.  Even if that money was swindled, there are other options available to them.  Yet they are not taking those options.

They are begging.

Begging 1
Begging for money in Thailand. Everyone has the chance to come across an unfortunate event than can cause them to start begging on the streets. However, that should be a last resort, and not a source of income.

I know. Accidents happen.

People get swindled and tricked.  Situations occur where you lose everything.  I get it.  It has happened to me.  I know that it happens. However, the only conclusion that I can come to, as someone who HAS been in a foreign land with absolutely no money, is that these people are NOT willing to work for money to survive on.

This disgusts me.

This happened to me in China.  [1] Trapped in Hangzhou and had to labor to get airfare out.  Also happened to me in the Philippines.  Here [2] I was swindled and left for dead.  [3] Happened to me in Hong Kong where I was stranded in the International airport.  

Three times, I was stuck in a foreign land with no money.  Yet, I will tell the reader this… I NEVER begged. 

Look at the photos below. Why aren’t they doing something to earn money instead of begging? I understand that things can go wrong and you can actually need money in a state of emergency.  This happens, and is a realistic event.

It has happened to me.  So I do know.  That is why there are Salvation Army soup kitchens and beds. People can be hit with bad luck.  They can be swindled, and stolen from.  They can be hurt in a strange area where no one knows them, and due to circumstances they might need to turn to strangers for support.  

It happens. Yet, I must admit that I am very opinionated about the people in the above photos, because there are other options open to them.  Yet they are not following through on what they NEED to do.

But… but…

But, this is Thailand for goodness sakes!  Those gals could earn some quick money pulling a “short time” on their back (which is exactly what the local Thai people would think), and why the only ones giving them money were foreigners.

However, those girls should not need to even consider that, as those guys can work themselves. Indeed, they can dig ditches, and wash windows on the 34th floor of a downtown skyscraper.

I would do so, and have. I have done things that I did not want to do.  I did the ugly, disgusting and dangerous work.  I provided for my wife.  But these guys, what is their malfunction? Heck, they can sell their expensive cameras, and watches.

Another young group of beggars.
Another young couple begging in Thailand. By law, you have to post enough money for a return flight out of the nation. So what is going on here? Were both of them swindled?

And I did.  This is not some one-line justification, I actually did things.

I crawled under a San Louis Obispo restaurant into a vat filled with kitchen grease and emptied it out with a ladle into a drum, I had to crawl over dead rats, with swarms of cockroaches crawling over my body.  I was covered in spider webs.  It was hot, dirty, greasy, foul and putrid, but I did do it.

Other examples included crawling out to the end of a boom tower on a broken drag-line to fix a snagged cable.  These are dangerous tasks, but you do what you need to do.

Begging should be a “last resort” activity.

How we got by

We parked the van in highway rest stops, church parking lots, or state parks (and game-lands). Sometimes we parked in the parking lots of cemeteries.

In all cases, we needed to get permission to park and just “be”.

Sometimes, we were not wanted.  Neighbors or concerned citizens would call the police and they would come and “check out the situation”.  It might be a flashlight knock on the wall of the van at three in the morning, or a flashing light swarm around the van.  The police would come, handcuff us, and ask us some questions.  Sometimes they would escort us outside the town limits, other times they would drive us to a charity or church to help us.

The police were kind, for the most part, and respectful of us.

At that time, there were no cell phones or “smart” phones. If we wanted to communicate with others we needed to make a phone call (often from a phone booth), or write a letter. When you write a letter, you need to purchase “stamps” that you would lick and stick on the top right side of the envelope. The contents of the letter was private.  No one could open the letter aside from the recipient.  If anyone did, they would risk severe federal penalties. Of course, in those days, people actually cared about privacy. Letters were then mailed either in “mail boxes” or taken directly to the Post Office to mail out directly.

Then, just as now, theft of mail and opening mail that isn’t yours is a serious penalty.  I would have never thought that anyone would do such a thing.  However, as I was to soon discover, it is pretty common in the lower rungs of society.  Indeed, once you lose everything, or if you move to a new area where you know no one, you enter the ranks of the low and impoverished.

When I was in Syracuse University, I once saw my neighbor stealing my mail. I went to the Post Office, and complained.  They took it quite seriously. Privacy was considered an important part of one’s life back “in the day”. 

Of course, that seems so funny today. No American has any privacy. The Bill of Rights is meaningless.

You are preyed upon.

Everyone has an angle.  Those with money see your weakness so that they can profit from it.  Maybe use you for labor, sex, or for bait for a larger scheme that they have in mind.  There are all kinds of people and we met some really despicable people.  They came in all sizes and shapes.  Some were obvious, like a slime ball who was waiting outside the Salvation Army and who had an interest in my wife (at the time). He wanted us to go into the alley in the back of the store to show us a used kitchen-stove he wanted to sell.

Some were not so obvious, like a church (Baptist) elder who offered to hire my wife to work in his office at night. You know, after working hours, to help “sort personal things out”. Some were just a group of rowdy college youths acting like a rabid pack of dogs. Obviously they didn’t know the terror they were inflicting on others.

The world is filled with all sorts of people.

The Best Time to Travel

The best time to travel is before you are trapped.

That is to say, before you are trapped in a job, or a career, or in a life with children and their schooling.   Sure there are exceptions.   For instance, people who sail the world and home-school their children on board, and those whom were born into a nomadic life.  But for the vast bulk of Americans, the concept of travel is just that, a concept.   Most Americans have never left the region where they were born in.  Most Americans have traveled very little, and only one in five holds a passport (a dated reference).  The world of true and real travel is one that most Americans do not participate in.

Mailbox and phonebooth
Photo is obviously from the 1960’s while I was involved in the great adventure in the 1980’s. Never the less, the styles of the mailboxes and phone booths were identical. Notice, that unlike today, everything was in English. If you couldn’t speak English, and could not write in English, then you were at a distinct disadvantage.

Of course, not every job can trap a person.

If the person is college educated; being unemployed for more than three months is often a career-terminating move.  Thus, most college-educated people tend to become trapped in their jobs, professions and careers simply due to the fact that any extended leave would, in all probability, terminate their career and standard of living.

Other jobs; non-skilled, hourly or skilled are not so fragile.  They can handle an extended leave of absence.

Outfitted Van
The photo above is not a photo of what my van looked like. None of those photos survived over the years. It is a photo of an outfitted van done in a similar way to mine. In my case, I took old used wood pallets and lined the interior of the van with sanded down pallet wood over closed foam urethane insulation from cheap ice coolers. The rear of the van was just one large bed. There wasn’t a commode, kitchen, refrigerator or shower. It was (more or less) a mobile “roof over my head” where I could sleep in privacy, and store my gear. For food we would eat in restaurants, or cook on the grills in parks and roadside rest areas. For showers we would use gas stations, or pay $3 to take a shower at the YMCA.

Start small and simple.

Save one week’s pay. Map out a journey 4 states away. Go there. Take a tent, live in hostels, and eat cheaply on outdoor grills. Plan on a travel duration of just under two weeks. Return. Then… when you return, plan your next adventure. The idea is to go to a place that is strange and where you don’t know anyone. Then go there. The point is just to DO it.

The Ronald Reagan America

“I felt like lying down by the side of the trail and remembering it all. The woods do that to you, they always look familiar, long lost, like the face of a long-dead relative, like an old dream…”

- Dharma Bums by Jack Kerouac

For us, we began our “Great Adventure” during the 1980’s.  Let me take a moment to reflect what the 1980’s were like. (As well as to remove myself, and the reader, from the rewritten historical narrative. During the years of 2008 through 2016, there was an ACTIVE effort to rewrite history.)

I was married at the time.

Though I will not relate that story here, it is a significant part of my life with great influences and interesting insights into the relationships my wife and I had while I was <redacted>.  

While this manuscript is autobiographical in scope, it dwells primarily on the key focus of my relationship with the Martian exploratory group.  Relationships with my family are only tangential to this.  (Though there are some very curious cross-personality and cross-quantum influences that are mutually resonant.)

The “Great Adventure” refers to the period in my life that began with my layoff as an Engineer to when I returned to the naval base at China Lake to complete my ELF training and entanglement.

This period was one of travel and adventure.  It was a nomadic life that was heavily influenced in me being “summoned” to California by the ELF probes, and me resisting the calls because I had no recollection of what had transpired at the base previously.  This period was a period of excitement and adventure as no matter what we did; all roads lead to China Lake and my ELF entanglement.  I could not avoid my destiny.  My wife had no clue as to what was going on, but she did support me in my travels.

For they were different than the 1960’s and 1970’s that I “grew up” in.  It was a time that was quite unique and very, very different from what the reader might experience today.

At this time, there were no cell-phones, the phones were either mounted on the wall, or were attached to it with a long cord. Computers existed, but were text only as green letters on a black screen… and were expensive!   D&D was very popular, and people watched TV at home (“Where’s the beef?”) as their primary source of information and amusement.

Cameras used film, and they came in little polypropylene containers that looked like Barbie-doll size trash cans. You had to buy the film and it was expensive.  A roll of twelve pictures would equal the cost of two Burger King lunches.

D&D
Dungeons & Dragons (abbreviated as D&D or DnD) is a fantasy tabletop role-playing game (RPG) originally designed by Gary Gygax and Dave Arneson, and first published in 1974 by Tactical Studies Rules, Inc. (TSR).

D and D
The very popular role playing game known as Dungeons and Dragons.

Where's the Beef
"Where's the beef?" is a catchphrase in the United States and Canada. The phrase originated as a slogan for the fast food chain Wendy's. 

Since then it has become an all-purpose phrase questioning the substance of an idea, event or product. 

In the ad, titled "Fluffy Bun," actress Clara Peller receives a burger with a massive bun from a fictional competitor, which uses the slogan "Home of the Big Bun".

Record Club
Record club advertisement. These advertisements became very popular in the United States, when all the radio stations were being bought up by mega media-companies. Suddenly all the music dried up to only about 100 “favorite ” songs out of a limited roster of 500 songs. To listen to anything else you needed to join a club. What a racket!

The so called “Silicon Valley” was just starting to take form. America was building up to finish the “Cold War” once and for all.  We were going to out-produce those pesky Russian Communists until they would need to give up.  (And, it worked!). The walls (figuratively and literally) came down.

Media was experimenting with CGI.  Their early efforts were cautiously embraced. As a result, Max Headroom was terribly popular.

Computers

Computers were just leaving the hobby realm and entering the work force.  Few people, aside from “nerds” owned a computer. The ones that were available were terribly expensive.  For instance a “large capacity” hard drive would be 10MB and cost nearly $4000.  The screen was monochrome and only presented text. It ran on MS DOS and utilized a “Dot Matrix” printer. Everything was off-white ABS plastic.

Max Headroom
Max Headroom is a fictional artificial intelligence (AI) character, known for his wit and stuttering, distorted, electronically sampled voice. He was introduced in early 1984. The character was created by George Stone, Annabel Jankel and Rocky Morton in the mid-1980s, and portrayed by Matt Frewer as "The World's first computer-generated TV host," although the computer-generated appearance was achieved with prosthetic makeup and hand-drawn backgrounds. Preparing the look for filming involved a four-and-a-half-hour session in make-up, which Frewer described as "grueling" and "not fun," likening it to "being on the inside of a giant tennis ball."

ABS
Interesting bit of trivia; the ABS material tended to age when exposed to UV light.  Over time the white color plastic housings would turn into a disgusting dirty pee-yellow color.  To extend the life of the product appearance, computer manufacturers would dye the plastic an off-white color. One of the secrets to selling old or used computers was to remove the plastic housings and then paint them bright white.  People would snatch them up quickly, even though the electronics inside would be terribly obsolete. LOL.

CDROM’s had yet to be popular.

So people used “floppy disks” to store their work on. Music was available on records, 8-track tapes (Still available in the 1980’s, but their late 1970’s “hey days” were over.), and cassette tapes.

You could join “record clubs” that would send you a weekly catalog where you could purchase music on image alone. They would “pull” people in by offering them ten free tapes, then once locked in, you needed to make so many purchases a year. They also did this with books.

Go ahead make one more change.
An iconic image in EVERY Design office. “Go ahead make one more change.” From Boston to Los Angles and every place in between, every design office, had this photo (and words) taped to the file cabinets or pinned onto the bulletin board.

Cordless telephones were just being made available.  Each one was large, and typically had an extendable metal antenna that you would need to extend to obtain a half-decent signal. (Watch the movie “Risky Business” to see an example of this.)

This was the decade when the Nintendo NES came into our lives.

Indeed, many of us spent our time typing “cd games” into old IBM computers, loading some beeping game or another. But when you really think about it, that’s not much different from today. We still have consoles, we still have computer games, and we definitely still have beeping. The games themselves are different, the graphics are different (obviously much, much better), but the environment is still very similar.

You sit on a chair. You play.

The goonies
The Goonies. Mean old country-club Republican forces a nice middle-class white family on to the streets for corporate profits. Oh Hollywood!

“Must-see TV” (of course) meant “The Cosby Show”.

John Hughes movies were very popular and they were light, happy and full of 1980’s energy.  How can you forget the movies “Sixteen Candles”, “Ferris Buellers Day Off”,  “Weird Science”, or “Career Opportunities”.  Or what about John Cusack’s movies as “One Crazy Summer”, and “Better off Dead”.  All are classics.

“I want my two dollars!”

-Johnny the Paperboy

While I would dress for work, I would often pass by kids going to school and I was constantly surprised with what they were wearing.  Typical attire, in California, seemed to be neon spandex biker shorts with just a T-shirt. Really strange indeed.

(Of course, I was in the middle of extreme world-line switching at the time.)

Work office attire consisted of polyester everything.  No one wore jeans or polo shirts.  Men wore ties on collared shirts.  Both the ties and the collars on the shirts tended to be wide. The colors were all tans and browns with a distinct movement towards pastels. We all carried traditional briefcases. No one ever carried a backpack to work. If our bagged lunch would not fit inside the briefcase, we would carry it outside of it.

Office Coffee

All offices had a large tureen of coffee.  Typically it was this huge metal cylinder with a spigot at the bottom.  It would peculate coffee just like a conventional peculator. These tureens held maybe five gallons of water, and used up a sizable portion of a can of coffee to make.  The tureen would be on all working day. This was popular from my father’s generational period in the 1960’s thought the 70’s and 80’s up into the 1990’s.

Later on, into the middle 1990’s this was replaced by restaurant-style individual coffee pots cooking on burners.  Typically offices would use either two or four burner units. (Six burner units were rare outside of restaurants.) Unlike the tureens that were maintained by the company purchasing coffee and employees being responsible for making the coffee, the individual coffee pots typically came as part of a “service”. A person come come to the office every two weeks to make sure the coffee is stocked up properly and the machine was in working order. The cost for this service was much higher than just the cost of a coffee and tureen.

This added convenience for the workers came at a price. When the coffee came out of the tureen, it was free to the workers. Let me repeat. Work office coffee was FREE for the office workers.

Fast forward to the Bill Clinton presidency; the age of greed.

No one ever took up collections to fund the coffee.  That didn’t happen until much later in the 1990’s under President Bill Clinton.  (It wasn’t his fault.  He “inspired” everyone to go after money and become successful.  Everyone was trying to “find an angle”.

At that time everyone was trying to get rich.

Companies were trying all sorts of techniques to improve profits. The President at that time inspired CEO’s, who then implemented various “programs” to improve profits. I’m sure that there are a number of notable Dilbert cartoons on this subject.) In this environment, coffee became a “perk” that companies would use to “attract” talent and retain employees.  Prior to that, it was an accepted norm.  Everyone EXPECTED free coffee at work. (That, alongside with free health plans with no co-pays and no deductions from one’s paycheck.)

I was in my twenties.  The advantages of this was not appreciated until I was older. Ah, the generation before me had it so so good!

As an aside, as of 2018, coffee is still free to the office workers in the UK, Australia and China (that I know of).  It’s only in the USA that companies treat their employees as farmed cattle to exploit. (Indeed, I hear Google let’s all their employees drink for free or at low reduced prices, as long as you are not white or male…LOL.)

“(I) Was working 75 to 84 hours a week for years till they laid me off ... they call it the American Dream but I was trying not to fuck up while exhausted and never believed it. ... just ensuring ppl don’t die in with planes crashing ... nobody gives a fuck especially the assholes called our representatives.” 

-Vendetta Feb 6, 2018 3:29 AM Permalink 

I owned a Beta-Max player.

In fact, I upgraded to the “super” Beta-Max player prior to purchasing the core unit. I guess that I was a little crazy about electronics at the time. However, I felt that buy purchasing the upgrade before I purchased the player gave me advantage.

I was correct. However, the advantage only lasted five years. Sigh.

I would be able to run off to the video store and rent a tape or two for the weekend. Over time, the high quality of Beta was replaced by the low-quality but low-cost VHS players. I like everyone else, eventually made the switch. Ah, it was a sad day indeed.  For a while, unable to go to the store to rent videos, we would watch the old Beta tapes that we had at the house.  Pickings were slim. We had “Roxanne” (Not to be confused with the television series of the same name.), “Spaced Invaders”, and “Soapdish”.

Film container
35mm film container. These came in metal tins with a screw on top and later, during the 1960’s came the plastic versions.

Life in the 1980’s

Carl’s Junior served food on wooden plates with a hot metal pan centered on it.  They used real metal utensils, and a real serrated edge knife with a wooden handle.  Drinks were provided in reusable brown plastic coffee cups, or tall plastic glasses for soda. A newspaper waited outside in a vending machine, and you could smoke in every restaurant. At that time, they still maintained a more-or-less hybrid existence; part restaurant / part fast food.  (Sort of like Denny’s today.) Now, of course, they have devolved into just another fast food franchise.

Cross walk signs were in English.  They did not use an array of LED diodes to form a picture of a standing or walking pedestrians. I guess that people then were able to read English, where today in the USA, you just are not ever sure.

Cell phones did not exist.  Therefore, it was easy back then to isolate from the rest of the world, now you’re surrounded by world’s noise everywhere. Then you could isolate yourself.  A quiet walk was for contemplation and enjoyment. It was possible.

Restaurants served a free tall glass of ice water with every meal, even for children.  People used toothpicks and the ashtray on the table.  (You could smoke in the restaurants as well, and buy your cigarettes out of a vending machine in the lobby.)

People smoked at their work desks. In fact, most desks were issued a clear ash tray when a new hire came on board. In the supply cabinet were usually a small stack of extra ash trays.

The meeting rooms all had big ash trays. Men carried lighters, as did women. Though, the small pack of lighters were still commonly available everywhere. Typically they would have the name and phone number of the establishment where they came from.

Some (typically Sales and Marketing types) would smoke cigars that would pollute the entire office. There was no such things as “designated smoking areas”.  That was a creation of the Clinton administration to make work places safer (for the children), as well as to reduce the costs of insurance.

Thank you Mr. Clinton and your close buddies in the insurance agency. </sarcasm>

How the children, who were too young to work, be affected by secondhand office-smoke is beyond me. But you know, there is no logic in politics. It is just nonsense spewed out to control the masses through fear and confusion.

Smoking
Most men have a vice — some pleasure in life that isn’t necessarily safe or healthy, but can be partaken of in moderation. For many gentlemen that’s tobacco, usually in the form of a cigar or pipe. Sure, you can walk into the tobacco shop and grab whatever you recognize or is cheapest. Or you can become a bonafide connoisseur, understanding why one tobacco variety differs from another, where each comes from, and those you truly like. Go down to the local tobacco shop and have the tobacconist show you the ropes. And of course you need hands-on study! Smoke (and sip — tobacco always pairs well with whiskey) until you find the gems that leave you relaxed and smiling at the end of the day.

For the Children
“For the children” was a catch phrase of the Clinton Administration. Yet, it just boggles the mind how children would be affected by workplace smoke. You cannot work until you are 16 years old.

The connection between the Clinton's and the insurance Agencies
Don’t believe me?  Don’t know what I am referring? Think that I am just displacing blame? Do your homework.  Know your history. The Clinton's, and by extension, the DNC were conjoined at the hip with insurance companies. Then they started to diversify. You can well consider the high costs of drugs today to be directly related to their need for multiple mansions.  

They started increasing all their premiums dramatically, and the presidential administration helped them along magnificently with all kinds of supportive (pro-insurance) rules and regulations. 

This manifested in many forms.  One of which was the banning of smoking from the workplace. Another was the increase in insurance premiums. Yet another was the plethora of optional programs that people could implement to “lower” premiums.  Until the insurance agencies obtained political power, they were just a simple business providing a basic service. Now, today, companies are fearful of legal actions and increase in costs if they fail to do A, B or implement C.

Indeed, a typical work desk at that time would have a dial or push button phone, a little tiny calendar (given away by insurance agencies and the like), a large page-by-page day planner that you could write your appointments on, an ash tray (for your cigarettes or cigars), a desk lamp with an adjustable neck (to improve upon the piss-poor fluorescent ceiling lighting), and a large desk mat.

Men who were “white collar” and who worked in the office typically wore business jackets.  We would arrive and take off our hat and coat and sit at our desk wearing our white shirt (long or short sleeve) and tie. This all started to change in the middle of the 1980’s and I pretty much welcomed the change to a more relaxed and informal working environment. Though, I did lament the loss of my coat rack.

However, with the relaxation of work dress standards came a tightening of work place behaviors.

During the Bill Clinton presidency, we watched the erosion of office worker respect.  Culminating in cubicle work “farms” and impersonal bosses driven by Harvard MBA types.  Watch the movie “Office Space” to see what I am referring to here. It is not a coincidence that the Dilbert cartoon became so popular during this time.

“Office Space” is a 1999 American comedy film written and directed by Mike Judge. It satirizes the everyday work life of a typical mid-to-late-1990s software company, focusing on a handful of individuals fed up with their jobs. 

The film's sympathetic depiction of ordinary IT workers garnered a cult following within that field, but it also addresses themes familiar to white-collar employees and the workforce in general. It was not a big success at the box office, making $12.2 million against a $10 million production budget. It was well received by critics and sold well on home video, and it has become a cult film.

Street crossing light
During the 1980’s most Americans spoke English. This changed during the 1990’s when it became to absorb large numbers of assimilated immigrants. At that time, you did not need picturial images of people walking. You could just spell the words “Walk”, and “Don’t Walk” and people would understand what you meant.

People flew flags on their porches during the fourth of July and did not worry about some social justice warrior or black lives matter radical burning their house down. Additionally, the “American Stars and Bars” (Confederate flag), “Don’t tread on me”, State flags, and MIA (Vietnam missing in action) flags could be flown as well.

In my ENTIRE life, I have NEVER seen a “rainbow”, Antifa, or BLM flag flown on someone’s porch.

I guess, I need to be in “Lala land” (Hollywood) or Wall Street and mingle with the face of the oligarchy (Hillary Clinton, Harvey Weinstein, and George Soros) to experience that reality.

The movies of that time were actually (in my mind) pretty awesome. “Sixteen Candles” was pretty typical for the time. I preferred comedies as they were “up beat” and positive with a nice happy ending.

You go to a movie, and watch it.

Then afterwards, you go out for a “stuffed pizza” and a pitcher of beer. At the time, I was terribly fond of olive, mushroom and pork thick crust pizza.  Afterwards we would go and get a butterscotch milkshake on the way home.  We were regulars at movie theaters.  They were pretty cheap back then. Two people could go out and watch a movie and have a large pizza and a pitcher of beer for under $10.  Movies that we saw in the theater included “Better off Dead”, “Hot Dog the movie”, “Lost Boys” and “One Crazy Summer”.

“As someone born in '91, I've been brushing up on my '80s movies, damn would that have been a good time to be alive.”

- ThBurninator

Even McDonalds had tiny little disposable aluminum cigarette trays.  Republicans used the color blue, and Democrats used the color red.

Reading the morning newspaper was a popular pastime and every weekend restaurants would share multiple copies of the Sunday editions of the paper to various patrons to read.  Five dollars would fill your gas tank and it would last (almost) all week.  Drive-ins were still very popular, and malls were everywhere.  A price for two to watch a movie was under $5 on a Friday night.  Yes.  It was, a very… very different time indeed.

Democrats were Red

Democrats Used the Color Red

Globally, long-standing traditions dictate which colors represent specific political camps. Here, the assignment of red to the Republicans and blue to the Democrats is not a reflection of each group's ideology. Rather, this color designation is the supposed result of a collective decision made by major media networks.  

The general public had no say in the matter. Neither the Republican nor the Democratic Party has ever officially chosen a color to represent its organization.

Up until former President Bill Clinton came into office, the colors were “more or less” defined as Blue for Republicans and Red for Democrats.

Today, the official (rewriting of history) explanation is that the advent of color technology, television networks created their own identifying colors, often alternating with each new election to avoid any appearance of favoritism. 

It is an explanation that sounds plausible, but this is not really true.

The pledge
Everyone recited the pledge of allegiance at the start of every class, at the start of every game, at the start of every school event, at the start of every cub scout meeting, and at the start of every Rotary Meeting. Bet you didn’t know that? The oligarchy narrative falls apart when history becomes involved.

I grew up during the “Cold War”.  During this time, the political colors were set and established.  

I do not care what colors were used in the turn or the century, or during the Spanish-American war. Or what colors were preferred during the revolutionary war. All that is academic babble.

My concern, especially in regards to this narrative, was what the colors were during the 1960’s through the 1990’s. This was the time that I grew up in.

The United States was smack dab n the middle of a "cold war" with two communist nations; Russia and China. Both of whom used RED for their socialist ideals.

Red = Soviet Union (Communist)
Red = Red Chinese (Communist)
Blue = Liberty and Freedom. As were the fifty stars (states) on the flag.

The simple truth was that for the most part during the 1960’s, 1970’s and into the 1980’s, Republicans were blue and democrats were red at the top and blue on the bottom.  

(Oh yes, there were exceptions.  However, the reader need not be fooled.  The largest quantities of the most popular election buttons for Republicans were blue color.  In fact, when I went to college, I could not find any that were a different color, and I looked!)

Vintage Bill Clinton Tee-shirt
Vintage Bill Clinton and his Democrat party campaign tee-shirt. Red is the color of the “People’s revolution”. So it is very fitting that Democrat Bill Clinton would have a nice red tee-shirt for his political party.

Don’t believe me?  Go to an antique store and look for Ronald Reagan election pins and Jimmy Carter pins.  You simply cannot find red color Regan, Bush Sr, or Bush Jr election pins.  At best you might find red and blue, but no only-red buttons.  Neither can you find (too many) blue Jimmy Carter pins either.   There are some, but they aren’t common.  Far more likely is finding green color Jimmy Carter buttons.  Why is this the case?  

Why, you might ask.

Well, the answer is really quite simple.  During the “cold war”, red was the color of communism.  Both for communist Russia and for communist China.  Conservatives then, as today, hate communism as it is the opposite of individual freedom.  It is a collective society. 

Reagan Bush Tee-shite
Reagan and Bush Republican tee-shirt from 1981. The Republican color was blue.

During the cold war, red was the color of communism. Red was the color of communist China.  (Note the communist Chinese flag color.) Red was the color of the soviet union.  (Note the color of the soviet union flag before the breakup.)  Blue was the color of freedom and liberty.

However, a social collective society has been the bedrock of the Democrat party for most of the century so the Democrats never had a problem with the color red.  That is why that during the cold war, most Democrats wore Red and most Republicans wore blue.  

It is truly disingenuous for revisionist historians to use time periods around the time of the civil war to reflect the mindset of Americans during the cold war time period.  Or the limited small quantities of specialty buttons that were produced for limited market segments to reflect the vast bulk of the overriding color scheme.

We are discussing the cold war, and it is this time period that I am discussing here.  The reader must remember and must be reminded that the Democrats during this time period, for the most part, supported the efforts of Mao and his revisionist climate in China; totally ignorant of the mass killings. 

Carter pin.
Here is a nice campaign pin for Democrat Jimmy Carter and his VP candidate Walter Mondale. They were the “winning team” don’t you know.

They supported the “workers paradise” in Russia , though they officially deplored the military buildup.  During the 1970’s red was the color of Democrats.  Blue was the color of Republicans.  Go to a antique shop or go online and purchase a Reagan/Bush campaign pin.  

Read the articles in the 1972 Mechanics Illustrated magazines, and Men’s Adventure magazines of the 1960’s.  Do not use the Internet to check your facts.  The internet is all politically manipulated. The Internet is a blackboard that is continually being erased and rewritten.  Go to a old book store and read the articles and look at the advertisements yourself. Check for yourself.  Yes, there were exceptions.  However, for the vast bulk of the time during the 1970’s this was the case.)

Truthfully, up until the year 2000, it was never formally established what the colors would be, though it was clearly favored that Republicans were blue and Democrats were red; forcing then (famous) political commentator Rush Limbaugh to remark; “Has anyone else noted that the networks switched colors?”  

Thus, in 2000 for the first time, all the major news outlets agreed to use red for the Republican Party and blue for the Democratic Party.  A switching of the political colors.  I personally believe that this switch was mutually approved by both political parties, while it was initiated by the Democrat party for reasons unclear. 

Reagan Bush pins
Reagan-Bush campaign buttons. A nice Republican blue color. Too bad that the oligarchy and their media has decided to rewrite history.

My personal opinion is that the Democrat party embraced the current populist trends at the time; they adopted the New World Order (NWO).  

It is the overriding policy of what we call today “the globalist elite”. The color for this one-world-government was to be blue.  As such the EU adopted blue as their unifying color.  The color of the UN was light blue, and President Clinton (D) had all the Army insignia changed to match the baby blue color of the UN troops.  

It is only speculation on my part, but I sincerely believe that the adoption of the color blue for the Democrat party had more to do with a future agenda of a global nature than any localized nationalist policy platform.  The Democrats favor a global social world government.  There is nothing good or bad about it.  That is just the way it is.

Cigarette vending machine
Cigarette Vending Machine from the 1970’s and the 1980’s. Ah, back then you had the freedom to purchase cigarettes out of a vending machine. Ah, the good old days, when Americans were free.

From a web site titled “What do you miss about the 1980’s”;

“The 80s was a PC free culture for the most part. You can't have an open and honest discussion today because people will be more concerned about "how" their words will be misinterpreted vs. the content of what they are saying.”

- MAJ L. Nicholas Smith
“Saturday morning cartoons, Saturday afternoon cartoons, MTV with actual MUSIC, music with ACTUAL MUSIC, Bo Jackson, Wayne Gretzky, Hershel Walker, Empire Strikes Back, Return of the Jedi, Commando, Rambo, The A-Team, Air Wolf, Playing outside, free speech without the fear of being branded by some pussy who is offended by it, Yep pretty much to me, born in the mid 70's the 80's were the best decade ever.”

- SPC Andrew Griffin
“I miss the greatest president in my lifetime, Ronald Reagan, and the pride he instilled in America.”

- MSgt (Join to see)

Yes.  I too miss the ability to practice “free speech” and the Bill of Rights. I guess ol’ Bush and Obama pretty much ended all of that.  (Sigh.)

Ash tray from McDonalds.
Before the Democrats, led by Bill Clinton banned smoking, you could smoke everywhere in the Untied States. Here is a (once) very common disposable smoking ash tray from McDonalds.

Yes, the Progressive Democrat Liberals have pretty much fucked the nation all up.  (Don’t go PC on me.  They did actually FUCK it all up.) It’s not just me saying this from the point of view of an American, but from the point of view from someone in MAJestic.

Service to Self Demons

<rant>

Jesus-H-Christ. If you the reader are offended, then put this fucking manuscript down and walk away. The Democrats fucking strip-mined American culture. They made debauchery and looting of Americans a national pastime. (Not just Democrats, of course. There are many socialist-inspired politicians who became RINO Republicans so that they could have a comfortable life through lying and cheating their constituents.)

How in the heck can someone become a billionaire without hurting another in some way?

It’s not just Democrats, but many Republicans as well. So I will repeat the question. How, in a reality balanced upon individual thoughts that manifest into actions, can a person become a billionaire without negatively affecting others? How is it possible? How?

Ponder that profound statement for a second.

If they weren’t so busy trying to manipulate others, alter the lifestyle and time progression of others, fixated on carnal desires of sex, sloth, and the greed of money how could they possible accumulate wealth? The accumulation of wealth is not a given.  It is NOT an event that manifests for a handful of “lucky” people who happen to be at the “right place at the right time”.

Those are simplistic childish ideas and concepts.  They are rooted in a belief that the physical is all that there is.  It is one man (or woman) for themselves, and if they are aggressive enough, and have the right opportunities, and some skill in manipulation, they can take from others. They can take.  They can take, and take, and take.

If there is one thing that the reader can get from <my words of wisdom> is that the universe does not work that way.  Yes, you can alter your reality by thought. Yes, you can acquire wealth, and comfort and desirable relationships. Yet, everything comes at a cost.  To acquire a large volume of “stuff” will have a corresponding large “cost” elsewhere. Other people will be affected.

This is not politics. Do not be so naive that a socialist model is heavenly derived. It isn’t. In fact, it is a manipulative trick used by the skillful to fleece the ignorant. There are no easy answers and pristine solutions. Every decision, thought and action comes at a cost. Some people do not care what the costs are for their desires and their actions.  They only want the end results to manifest.

</rant>

Power corrupts
Billionaire Democrat Oprah Winfrey introduces a young starlet to Billionaire Democrat Harvey Weinstein. We all what happened that night, don’t we?

Indeed. Oh…my…The 1980’s were a time of expression and freedom. Those of us who lived through that time do remember what free speech was.

Ah “free speech”.  Don’t take it from me alone. Here’s some opinions from Reddit “What do you miss about the 1980s” we have these jewels…

“Kids being able to walk to the park without the cops being called. They also were immersed in social situations where things were scary, uncertain, and difficult allowing them to not have a nervous breakdown opening a bank account or saw a Halloween costume they didn't care for.”

- savemejebus0
“No cellphone, no problem.

It was nice to be able to leave a note at home with 'went to run some errands'. Whoever came home and saw that would have to wait for you to return. If the phone at home rang and they answered, they would take a message or the answering machine would.

You could leave, go do what you wanted and not have to explain yourself in the moment as to what you're actuality doing.

If you wanted a private conversation, you went to a payphone, especially one with the long cord so you could sit in your car and roll the window up.

It was bliss! Now you have your digital GPS tracking leash with you at all times, either volunteering everything you do on social media, replying to every request for your location and if you don't reply, you're chastised for it.

I miss the days of being able to just get lost.

Now your berated for 'turning your leash off'. Where were you, who were you with, why didn't you answer, what are you trying to hide, I'm not important enough to reply to, you could have been dead, had a car accident, kidnapped, cheating and so on.

You have to have lived in that time to understand it. If you didn't, you don't realize how bliss it was to be able to get lost on purpose. Turning off your phone is like ignoring stomach cancer.”

- Ennion

MTV actually played music videos.

It wasn’t political, and staffed with urban ghetto blacks. The same was true with the NFL.  They played football, and the networks didn’t run (what can best be described as) a negro version of a KKK rally during every single friggin’ game.

We had endured the horrific 1970’s where President Nixon (R) acted as a King.  We were very jaded by the resultant investigation of the wiretapping of phones.  Oh, how silly that looks today with 24-7 mass surveillance now, and the behavior of Eric Holder (DOJ) and Hillary Clinton.  We saw what happens when a good-honest man; President Carter (D) (who was ineffectual as a president) becomes president.

Ronald Reagan

We, like the rest of the nation, were ready for a real change, and we got it.  We lived during the presidency of Ronald Reagan (R). There will be many who have their own ideas about this time.  But I will tell it through the eyes that lived through that time.  Say what you will about “Ronald Ray-Gun” and the 1980’s, but the truth was that for me, it was a time of hope, and of adventure.

Ronald Ray-Gun

An enduring nick-name for the president during that time period.  And important, as we really understand now.  For not very well known is exactly how frighteningly close the world came to global thermonuclear war.  Historical revisionists seem to conveniently forgotten the dangers of that time.  

Thanks to a February 1990 report (National Security Archive Electronic Briefing Book No. 533 previously classified "TOP SECRET UMBRA GAMMA WNINTEL NOFORN NOCONTRACT ORCON") published by the National Security Archive at George Washington University after a 12-year Freedom of Information Act battle.  The US and Soviets were dangerously close to going to war in November 1983, the bombshell report found, and the Cold War-era US national-security apparatus missed many warning signs. 

That 1983 "war scare" was spurred by a large-scale US military exercise in Eastern Europe called “Able Archer”.  It was because of this military operation that the Soviets apparently actually believed that it was part of allied preparation for a real war.  (In part due to the very nature of Ronald Reagan’s public comments to that effect.)  

The Soviet military mobilized in response.  

US-Soviet relations had definitely plunged in the early 1980s, but since then experts have debated how close the US and Soviets had come to the abyss during Able Archer.  

Read it here and be horrified; http://nsarchive.gwu.edu/nukevault/ebb533-The-Able-Archer-War-Scare-Declassified-PFIAB-Report-Released/#_ftn3 .

Of course, we know how how all this was avoided, and how the USA and Russia became friends again.  However, the reader must realize the real and stark truth; we are now living in an alternate time line spawned from that event.  

A time line, that switched in part, through significant extraterrestrial intervention by the <redacted>.  (More detailed information about alternative world lines are addressed later on in the blog.)

Yes. Ronald Reagan may have had this nickname, but the truth is that he turned his back on the neoconservatives. 

He fired them, and had some of them prosecuted, and when his administration was free of their evil influence (for the most part, though other neocons such as Orin Hatch continued to promote efforts to create global nuclear conflagration), and President Reagan negotiated the end of the Cold War with Soviet President Gorbachev. 

The history is clear; the military/security complex, the CIA, and the neocons were very much against ending the Cold War as their budgets, power, and ideology were threatened by the prospect of peace between the two nuclear superpowers.

Everyone was optimistic. But you will not see that in any revisionist history books.

Ah, the rewriting of the past. Here’s El Rushbo on the rewriting of that decade by President Obama and his minions.

“President Obama micromanages the economy into the ground and tells the American people that our better days are behind us. He says the great days of America’s past were not really legitimate. They were built on phony policies, trickle-down economics from the Reagans. We stole resources from other nations around the world. Our superpower status was not deserved. We now must manage the decline. And I, Barack Hussein Obama, am the smartest guy in the world to manage the decline of the United States and its economy.

His replacement liberates the economy, unleashes the United States economy to the point in under a year it is growing at twice the rate it ever grew under Barack Obama. 

And yet we’re told Obama’s brilliant, he’s so smart, we can’t even stay in the same room with him. He’s so brilliant, we can’t keep up with the guy. He’s so brilliant, all we can do is bow at his feet and try not to be blinded by the light reflecting off him. Donald Trump is silly. He’s insane. He’s obsessed. His unfit. We need psychiatrists examining him. We need the 25th Amendment.”

The Iranians released the American embassy hostages, a large American “freedom” space station was going to be built.  Americans were returning to the Moon and then Mars(Plans later killed by President Obama (D). President Obama said that going to the Moon wasn’t worth it.  We were there already.  So we will go to Mars instead, he said.  Then he killed Mars exploration because it was too expensive, he said.  Then he goes around and gives $7 billion dollars to South Africa and $150 billion dollars to Iran. WTF? What world-line am I on?  Jesus… maybe it’s time to get off.)

Release of Hostages

Fifty-two American diplomats and citizens were held hostage for 444 days (November 4, 1979, to January 20, 1981), after a group of Iranian students, belonging to the Muslim Student Followers of the Imam's Line, who were supporting the Iranian Revolution, took over the U.S. Embassy in Tehran.

Space station Freedom

Space Station Freedom was a NASA project to construct a permanently manned Earth-orbiting space station in the 1980s. Although approved by then-president Ronald Reagan and announced in the 1984 State of the Union Address, Freedom was never constructed or completed as originally designed thanks to the efforts of Bill Clinton, and after several cutbacks, the project evolved into the International Space Station program.

1980’s Culture

Russia was tearing down the wall in Germany.  Companies began hiring again, and everyone was hiring everywhere.  MDMA was discovered, and the youth of the country learned to emote to each other. LSD was still being used, and everyone was questioning the roles that society fostered upon them.

Madonna had released her first of many albums, and she wasn’t such an aggressive asshole.   Pastels were popular and everyone was dancing to Wang Chung.

Michael Jackson was only a singer, and yet had to “Beat It”.

Tear Down this Wall.

" Tear down this wall! " was the challenge issued by United States President Ronald Reagan to Soviet Union leader Mikhail Gorbachev to destroy the Berlin Wall, in a speech at the Brandenburg Gate near the Berlin Wall on June 12, 1987, commemorating the 750th anniversary of Berlin.

MDMA

MDMA (3, 4-methylenedioxy-N-methylamphetamine) is an empathogenic drug of the phenethylamine and amphetamine classes of drugs. MDMA has become widely known as "ecstasy" (shortened to "E", "X", or "XTC"), usually referring to its street form, although this term may also include the presence of possible adulterants.

LSD

Lysergic acid diethylamide, abbreviated LSD or LSD-25, also known as lysergide (INN) and colloquially as acid, is a semisynthetic psychedelic drug of the ergoline family, well known for its psychological effects which can include altered thinking processes, closed- and open-eye visuals, synesthesia, an altered sense of time and spiritual experiences, as well as for its key role in 1960s counterculture. It is used mainly as an entheogen, recreational drug, and as an agent in psychedelic therapy.  LSD is non-addictive, is not known to cause brain damage, and has extremely low toxicity relative to dose.  (Though the DOJ would beg to differ on this.)

Madonna

Madonna is an American singer-songwriter, actress, and businesswoman. She has been one of the most prominent cultural icons for over three decades.  As such, she has achieved an unprecedented level of power and control for a woman in the entertainment industry. She attained immense popularity by pushing the boundaries of lyrical content in mainstream popular music and imagery in her music videos, which became a fixture on MTV. Madonna is known for continuously reinventing both her music and image, and for retaining a standard of autonomy within the recording industry.

Pastel interior design

Pastel colored interior design, inspired by a retro art-deco movement that was popular at that time.

Wang Chung

Wang Chung are an English new wave musical group formed in 1980. The name Wang Chung means "yellow bell" in Mandarin Chinese, and is the first note in the Chinese classical music scale.  The group found their greatest success in the United States, with five Top 40 hits in the US, all charting between 1983 and 1987, including "Dance Hall Days" (No. 16 in the summer of 1984), "Everybody Have Fun Tonight" (No. 2 in 1986) and "Let's Go!" (No. 9 in 1987).  In fact, the reader should note that many stereo stores, and clothing stores at this time, played his music endlessly during this time period.

Beat It

"Beat It" is a song written and performed by American singer Michael Jackson from his sixth solo album, Thriller (1982). The song was produced by Quincy Jones together with Jackson. Following the successful chart performances of the Thriller singles "The Girl Is Mine" and "Billie Jean", "Beat It" was released on February 14, 1983 as the album's third single. The song is also notable for its famous video, which featured Jackson bringing two gangs together through the power of music and dance.

It was a magical time, a heady time of life and adventure.  As a result, we experienced both the good and bad that life had to offer us.

David Lee Roth
David Lee Roth (born October 10, 1954) is an American rock vocalist, musician, songwriter, actor, author, and former radio personality. In 2007, he was inducted into the Rock and Roll Hall of Fame. Roth is best known as the original (1974–1985) and current (2006–present) lead singer of hard rock band Van Halen. He is also known as a successful solo artist, releasing numerous RIAA-certified Gold and Platinum albums.

Bad People

We were often taken advantage of (Many people saw us as weak and tried to capitalize on that weakness.) , and had many (close) encounters that were often quite ugly (This includes everything from robbery, manipulation, misuse, abuse and even violence.). But that is life. You just can’t hide away and expect happiness to come to you. You have to go out to it and get it.

For us, the 1980’s were an experience of life, lived as it were, through the eyes of young impressionable love. We saw both the good and the bad of life.  Not everyone who looks poor is poor.  Not everyone who looks rich is wealthy.  Not everyone who acts religious is spiritual, and you will find friends in the most unlikely places and enemies luring behind the kindest smiles.

Both the good and the bad confronts the traveler.  But most Americans that we met were good, and kind hearted.  But we did, actually, come across a number of exceptionally bad people.  That is always unavoidable.

“Love is the hardest thing in the world to write about. It’s so simple. You’ve gotta catch it through details, like the early morning sunlight hitting the gray tin of the rain spout in front of her house, the ringing of a telephone that sounds like Beethoven’s Pastorale, a letter scribbled on her office stationary that you carry around in your pocket because it smells like all the lilacs in Ohio.”

— Don Birnham (The Lost Weekend — Billy Wilder, 1945)

We needed to find work, and in the process, our van took us on many adventures.  We slept under the stars and hid in wide expansive parking lots. We worked at whatever jobs we could find.  Sometimes it was manual labor, while at other times, we cooked in the kitchen.

We did whatever it took.

We would travel as far as the van could go. Then broke down, out of gas and money, we would find work. Then live off the money. Then, after a month or two, we would go again. We were always on the move. We were always living life. Always grasping what came before us with an open heart. Though, often times the hearts of others lay closed to us…

I remember once…

...we hadn't eaten in 4 days. We had collected some change out of a pay phone, and bought a can of spam with it, and a loaf of day old bread. We were parked in a roadside rest area. And so we went to one of the BBQ grills sitting there next to a picnic table and made a fire and were cooking our spam on it.

When in the middle of it, a policeman came up to us. Apparently, a lady, driving a Buick, has seen us and called the police. The officer, then under her instruction, berated us for using the grills in the park. He told us that the grills were not to be used by us. But rather by people with families and children, and that they used charcoal, not sticks to make the fire... (You know) The whole time that he berated us, that old biddy watched on with a big smug smile on her face...

The policeman put out the fire and threw away our food. Then threatened us with jail unless we left...

Yes, I remember those days.

Fat, smug, bitch with a capital BITCH. She, were she still alive, would be a female social justice warrior trying to “protect” others by enforcing her ideas of perfection.

  • Never mind that she is overweight to the point of obesity.
  • Never mind that she is living off the money of others, as she herself is unemployed.
  • Never mind that her only accomplishment was written in her High School year book.

She tries to justify her existence though the control of others.  Especially those who, for one reason or the other, are unable to fight back.

Roadside grill
Roadside grill with unknown child playing nearby. This was the exact kind of grill that we (starving young kids) were using to cook our spam on. A policeman came over and told us that we can’t use wood in the grill because it was not designed for wood use. (That’s actually a lie.)

A life lived in fear is a life not lived

Everyone lives in fear.  Everyone, and I do mean EVERYONE, has warned us along the way to watch out for crazy people and to be weary of strangers, but I’ve found that to be largely unnecessary.

They were just warning us out of their own fears of the unknown.

Most of the people who threatened us were well-established, locals who saw us as a threat to their calm and stable way of life.  While we did meet some very dangerous people on the road, we were (typically) able to avoid them because, I think, we were just far too innocent and their hearts weren’t so cold as to corrupt the good that shined through our hearts.

Of course, we did meet some crazy and even evil people.  That is what happens when you step outside the walls of your safe enclave.  However, we were too kind, and too nice for anyone to really do anything bad to us.  But there were some close calls.  Truthfully, we found an equal proportion of bad people scattered about the population.

Some were obvious, and behaved stereotypically bad.  While others looked like the pinnacle of respectability; and held important positions in the church, society and government.  Yet, they were evil incarnate.

Yes, and we did meet some very bad people on the road.  There were times when we could have been hurt dangerously, but we did not permit that to happen.  When a person ventures out, it is natural to experience both the good and the bad in people.

Yes, we had SOME bad experiences.  You experience life when you travel; both the good and the bad.  But, most of our experiences were positive.

The vast, VAST, majorities of people in this country are good and were willing to help out however they could. Whether it’s by buying a meal or by letting you sleep in their house or at their business, people are more than willing to accommodate you in any way they can when they see you struggling. I figured this would be the case, but the extent is surprising to me, nonetheless. Americans are good people.  Most have kind hearts.  They are kind and understanding for the most part.

The only times that I’ve seen someone who wanted to help me out but couldn’t are when an individual has to abide by a chain of command. This includes almost all government services, and hierarchical organizations.

Sometimes it was a social service agency, that wouldn’t accept people without children, at other times it was a Church that wouldn’t help us (though, the woman behind the desk gave us $40 out of her own wallet). It was a lady at a gas station who wanted to let us sleep out behind their business but the company wouldn’t allow it. Or, a stranger who put an envelope on our front window contained $40 with the words ‘God bless you’.   These people were the angels that held our hands along the way.  These people did so in secret and told no one what they did.  These are the “real” Christians who tried to make a good and positive difference in our lives, even though they knew nothing at all about us.

In short, people who are free to help, will. While those who are forbidden from helping still wish they could but are unable to.

There are “Christians” and then there are Christians.  

Some are good and some not so.  We found that Baptists and Methodists were very helpful.  So were Catholics.  Lutherans and 7th Day Adventists; not so much.  

In general; charismatic Christian organizations were the most welcoming.  With Assemblies of God being, by and large, the most accepting.  

(Now, in the year 2016, the political landscape has changed substantially.  President Obama openly states that Islam has been the very fabric of American culture.  

What complete nonsense!  

We traveled the entire country and never, ever saw a mosque or met someone of the Islamic faith.  

Those that one sees today are fresh arrivals, usually less than ten years as a citizen.  At that time, the vast bulk of religions in the USA were of Christian denomination.  Do not let the media rewrite history. I say again; Do not let the media rewrite history.)

Ability

While we traveled about, we led a dangerous life in a rather “care free” manner. As such, we would find ourselves presented with “luck”.

Lucky 1

Luck presented itself to us.  Many times during our adventures were were “lucky” to find money.  Whether it was a $100 bill that would blow in front of our path, or a $20 bill that we would find under a rock.  We were lucky.

Lucky 2

We became “lucky” to get free help.  Once, our tire blew out in front of a house on a residential street.  The woman came out of her house and gave us five (nearly new) tires that she had sitting in her garage.  So much luck!

Lucky 3

For instance, I once was playing a game of backgammon.  During the game, people noticed that no matter when I rolled the dice, they would always come up “snake eyes” (two ones).  Therefore, they asked me to try to see how many times I could roll “snake eyes”.  I said “what the heck”, and tried.  Honest to God, I rolled 76 “snake eyes” in a row.  This is a statistical improbability.

However, the reader should be made aware, that (even though I could not control my “off-world” training) I could alter my world-lines to provide me benefit.  Somehow, in a way that I cannot vocalize, I was able to perform this “impossible” feat.

I simply moved my apparent world-line into the realm of one that provided auspicious favor to my cause. Perhaps it was the implants from the Navy… (More about this later…)

Or, maybe it was just luck.

Perhaps it was just my Faith…

This little event that I have just related is absolutely true.  The reader needs to accept it as truth and study just HOW it was possible.

Was it because there was an “angel” looking over me?  Maybe helping me along and providing little “guideposts” to tell me not to worry?

Was it simply because we had “faith”, and the faith altered our thoughts that manifested into the physical? How about that?

Or was it, as I will explain later on, the fact that the implants provided me with world-line dimensional switching ability. Since I was not yet “calibrated” (that would not happen <redacted> at China Lake), there wasn’t any control over how the world-lines would change.  They were like a sea that I was floating upon, and depending on my thought process at the moment, I slid into alternative realities very easily and simply.

Ponder these points. Some “pieces of the puzzle” will start to fall into place later on in the narrative.

Faith

“Faith is taking the first step even when you don't see the whole staircase.”

-Martin Luther King, Jr.

We acted on faith. We did everything on faith; that someday, somehow, everything would work out. Faith; that we would get food, showers, work, friends, and a hot meal. Faith; that there was a purpose to our wandering, and that our mutual love had direction. We did this even though, to others, we appeared aimless and without direction. And in doing, on this faith we often received random blessings.

Faith and belief are aspects of thought.  Thought manifests reality.

Lucky 4

While hiking in the deserts of Arizona, we found a $5 bill under a stone.  The money came to us exactly when we needed it.  Often we would get just what we needed, and it was always unexpected.

Lucky 5

Once, while we were driving in the middle of the hot Texas sun, we discovered that we were getting low on gasoline.  It was a serious situation.  As we drove on, we just could not see any gas stations at all.  The fact was that we were out of gas and only had $1.25 on us.  We were just about to run out of gas and be stranded in the middle of nowhere, when we finally saw a gas station.  So, in the middle of the desert, we pulled up to the only gas station for miles.

I got out, and with the precious handful of change in my hand, I walked over to the gas pump.  I gingerly unhooked the hose and started to put the precious fuel into the tank.  I knew that I only had what amounted to as spare change.  All of what we had was going to go towards this gas.  For us, literally every penny counted.

As I put the nozzle into the tank, and depressed the lever on the nozzle, there was a slight click.  Suddenly and to my astonishment, the gas hose exploded! Gasoline squirted about everywhere.  It poured out like a river.  This was no small water hose; this was a full fire-hose explosion of gas.  It sprayed everywhere.  It was like a long thrashing snake that spewed out a torrent of fuel.  The van and I were both flooded with gasoline. Gasoline flew out of the hose like a vomiting snake.  It was out of control and lashed and flayed about wildly.

The gas station attendant was horrified! He quickly ran to the master switch and turned off the pump.  But the damage was done.  I was completely soaked with highly flammable gasoline.  He ran up to me and quickly moved me to the side of the station.  He turned on the water hose there and quickly hosed off with water.

What did he do? What could he do?  He and the station manager were terribly apologetic and upset.  They didn’t know what to do.  Any other person might sue them.  Out of the kindness of his heart, he gave me a free full tank of gas. He gave it to me and helped me clean up.  I took a shower behind the station and we continued on our way.

One horrible event resulted in blessings beyond expectation.  We needed a full tank of gasoline, but only had enough money for a small cupful.  On faith, we were given just what we needed, exactly when we needed it. Sometimes what looks like a disaster is really a blessing in disguise.

Lucky 6

Yes. We had our trials. We once had a grey field mouse that move inside and lived with us.  It would perform amazing acrobatics to get at the food that we tried to put out of harm’s way. We tried everything we could think of to get rid of it.  All to no avail. We even dismantled all the woodwork on the inside (at a state forest somewhere in Georgia), and all that happened was that it just hunkered down inside of some of our clothes.

Ha! One day, while we were doing our laundry, a stray alley cat visited our van. It climbed in, rooted around, and left carrying that pesky mouse in it’s jaws. Now, why didn’t we think of a cat earlier?

At other times, our trials related to the weather. It was either too hot, too cold, too wet, or too humid. The reader should recognize that once the wood paneling and insulation was ripped out of the van, we were essentially living in a metal box.

Cold became frigid cold. Hot became torturous hot. Rain was impossible, as leaks started to form in the roof edging. We had to erect a tent on the inside of the van to keep the rainwater off of our food supplies and our bed. Nearing the end of our “tour”, we had become masters of the “jury rig”.

You know what?

We learned a lot about life. And, instead of comparing yourself to others, you need to stop. Just stop thinking about other people and what they think about you. You need to think about you, and the individual path that YOU are on.  You, and everyone else in the world, needs to stop judging themselves by the standards set by media, government, religion, social groups, and instead think of only judging ourselves.

Others are richer.
There will always be those who are richer or more prosperous. There is no use judging others.

Stop judging others.

Everyone has their own lives.  What we see is the shadows of their existence as it pertains to our reality.  So we need not get too worked up about how they live their lives.

When I carry on and rant about some SWJ or some corrupt individual in power, it is not because I am judging them in a comparative manner. I am relating my emotions related to that individual. 

Most people make judgments comparatively. That is to say; “If it were me, I would not do what they did…” 

That is different than getting angry with a person because he stole all of your grandmother’s life savings. The reader should understand that there are different ways of making judgments. These ways differ in thought intention. Unless you can control your thoughts, you will never be able to control your life.

How other people live their lives are not our business.

Though it is if it directly affects us. Indeed, there are many kinds of people on this planet, and many ways to live your life. Other people live other lives. Some have harsher lives, and some have easier lives.  Some have terrible lives, but they look like their lives are easy and nice.  Others have what appear to be absolutely horrid lives, and yet they are fine and happy.  You cannot make a comparison at all.

A person, and their true situation, is NEVER obvious to the public.

Talking about work.
Executioner talks about his work. Stop comparing ourselves to others. We are not in a race to see who accumulates more stuff.

Everyone on this planet is living a complex life that has invisible chains and entanglements far in excess than what we alone can bear.

It might look like they are doing well.  It might appear that they have a nice job, and a new car, and a beautiful wife.  It might appear that they are very successful.  But the amount of money that a person has is not a measure of success.  The appearance that a person has, or the clothes they wear are also not a sign of success.  They are only characteristics that provide the illusion of success.

Life can end quickly
Life can end quickly. Pay attention to how you run your life.

Don’t judge them because they look rich.  Don’t judge them because they seem poor.  Don’t judge them because they seem promiscuous, or engage in vices.  It’s no one’s business but theirs.

We must start to live our own lives.  Not vicariously through the lives of others.

You’ve got to accept yourself; who you are and what you are.

It does not matter what has happened in your past.  You are not what you have done or experienced.  You are not what is valued by the employer who hired you.  Your value is not your job. Your value is determined by only one person, and that is yourself.  You have to accept it, with all the good and bad that exist inside you.

You’ve got wake up at five in the morning, brew some deep black coffee, and listen to the birds singing their sweet song in the glowing darkness of the new dawn. You’ve got to sit next to the cute girl at the train station who’s reading your favorite book and start a conversation with her.

You’ve got to go to that local attraction that you’ve been meaning to visit but never got the chance to see.  You’ve got to start doing things, and stop thinking about them.  You’ve got to come home after a bad day and burn your skin from a shower until it is lobster red. Then cool down with a quick cool blast.  Then you’ve got to wash all your sheets until they smell of lemon detergent you bought for four dollars at the local grocery store.  You’ve got to play with the local dogs and cats in the neighborhood and give them a treat or two.

You’ve got to live life.

Go to that Attraction
I lived in Boston for almost a decade and never visited Salem. It’s a great historical place, but I just felt that I could go out and visit it some other time later on. Instead, I just raked my leaves. I just would get two cords of wood for the fireplace delivered by pickup truck. I would go about my daily routine and eat at the local diner. I did not go out and see what was available right in front of me. 

I wasted an opportunity.

Make it a point to better the world around you.  Smile more.  Complement people more.  Praise people, and complement a stranger that you like their hair or dress.  Be helpful.  Be nice.  Be kind.  You have this physical life to live; live it well.

Live your life well.

“…She calls me Raymond, and that’s all right with me.”

-Brett Eldredge, “She calls me Raymond”.

You, yes you, have got to stop taking everything so goddam personally. You are not the moon kissing the black-black sky.  You are not some “lone wolf” who is without a pack to travel with.  We are all interconnected.  We all need each other.

You’ve got to compliment someone.  You’ve got to help the old lady with her laundry at the laundry-mat.  You have to talk to people at art fairs and tell them that their eyes remind you of green swimming pools in mid-July.

Am I making my point?

You have got to complement someone.  Tell the girl that she looks good in that dress, whether she does or not.  You have to tell that person who has a smile that their smile just made your day.  You’ve got to help others; praise others; and do something with your life.

You’ve got to stop letting yourself get upset about things that won’t matter in two years.

You’ve got to sleep in on Saturday mornings and wake yourself up early on Sunday. You’ve got to stop worrying about what you’re going to tell her when she finds out. You’ve got to stop over thinking why he stopped caring about you over six months ago. You’ve got to stop asking everyone for their opinions.  You have to stop trying to control things…

You have got to be you.  Be the best you that you can be, and forget what everyone else thinks.

You’ve got to love yourself.  You have to live your destiny.  You have to do what you need to do, and not what other people thing you ought to do.  You must follow your heart and live life like it was the most precious thing in the world.  You must enjoy life, embrace life, grab life with both hands and gulp the golden nectar down your throat in sloppy splashes of foam.  Then wipe your face off with both hands and smile a big toothy smile.

Anything less is a disservice.

“They stood at the top to a little rise.

"Feel," said Driscoll, his hands and arms out loosely, "Remember how you used to run when you were it kid, and how the wind felt, Like feathers on your arms, You ran and thought any minute you'd fly, but you never quite did."

The men stood remembering, there was a smell of pollen and new rain drying upon a million grass blades.

Driscoll gave a little run. "Feel it, by God, the wind. You know, we never have really flown by ourselves. We have to sit inside tons of metal, away from flying, really. We've never flown like birds fly, to themselves, Wouldn't it be nice to, put your arms out like this —" He extended his arms, "And run." He ran ahead of them, laughing out his idiocy. "And fly!" he cried.

He flew.”

-Here there be Tygers
Full reprint of this fine Ray Bradbury story.

This was a great time for me and for my wife.  It was a great and important time.   We (I was married at the time) did it without money, often living way below the poverty line.  Many times, we lived without any money.

We would walk together in the malls of the country.   (The malls were commonplace at that time.  It seemed that every town possessed a mall.)  Inside the malls were an ever-changing smorgasbord of people.  Different people, different faces, but they were all the same.

Everywhere we went, we were surrounded by all the things that we couldn’t afford, and really didn’t need. We would walk the halls of lavish extravagance; the things that glittered and beckoned to us.  But, what we could not afford.

All we had was each other.  We had love.  We had food, and we slept in the van. Our needs and costs were low. This was our “Great Adventure”.

This period of time was an important one.  For me to accept the “training” that would occur later in NAS China Lake, I had to change my viewpoints on many things.  This meant that I had to learn new things and be exposed to different ways of thinking and different cultures.  I had to change in ways that were not obvious.  

This was intentional and it was absolutely mandated by our extraterrestrial handlers.

The basic choices in life

“Sometimes the only pay off for having any faith,

Is when it's tested again and again every day,”

-“Immortals” by the music group “Fall Out Boy”.

We discovered that life was a choice between two fundamental things.  You could either have true freedom, or you could have security.  It was always this.  It was always these two divergent choices.  You could work all the time and get money to buy what you don’t really need, but have a reasonable level of comfort.  Or you could have freedom to do what you want, but not really able to do anything that costs money.

Let’s face it; there is a price on everything in the USA.

Out of necessity, we traveled at will. We walked and explored many places that the average worker saved up months to be able to visit. We went everywhere in the USA (on the meandering path that continuously pointed us to California). We saw ocean beaches, mountaintops, national forests, urban cities, and long forgotten historical monuments. We ate at local diners, and swam at (long forgotten) local water holes. We explored. We read a lot. We learned how to play musical instruments. We learned how to paint, and just used the time to meditate and pray. It was a heady time for sure.

Local Diners
I have always enjoyed eating a diner.  I loved the “Airstream” shape and the shiny aluminum panels. It wasn’t until I moved to Massachusetts that I really began to appreciate them.  In fact, I would suppose that most of the few remaining diners could be found in the Northeast (United States) in the “New England” states. 

Now the food is basic Americana, of which you would see omelets, meatloaf, and hamburgers. What is so great is the “feeling” when you eat there.  We are so accustomed in eating “fast food” that we have forgotten the “dining experience”.  

Instead of a (Starbucks-style) paper coffee cup, you get a good solid (bang on the tabletop) coffee mug.  Instead of flimsy (McDonald-style) flatware, you get solid metal silverware of substance and utility.  Regarding this point, please read this interesting article found here; https://flavourjournal.biomedcentral.com/articles/10.1186/s13411-015-0036-y , which states…

“We report a study conducted in a realistic dining environment, in which two groups of diners were served the same three-course meal. The presentation of the starter (centred vs. offset plating), the type of cutlery used for the main course, and the shape and colour of the plate on which that dessert was served were varied.

The results revealed that the weight and type of the cutlery exerted a significant impact on how artistically plated the main course was rated as being, how much the diners liked the food, and how much they would have been willing to pay for it. The change in the shape and colour of the plate also affected the diners’ liking for the dessert.”

-Cutlery matters: heavy cutlery enhances diners’ enjoyment of the food served in a realistic dining environment

Local Water Holes
Here are some resources to get the reader started on this adventure; http://www.newyorkupstate.com/outdoors/2015/05/best_swimming_holes_in_upstate_new_york_ny_hidden.html and http://www.kcra.com/article/8-norcal-swimming-holes-you-need-to-check-out-this-summer/6347668 and http://www.onlyinyourstate.com/massachusetts/swimming-holes-ma/ and http://www.newenglandwaterfalls.com/swimmingholes.php . Enjoy!
“If we look at our world we are intellectually, technologically vastly overdeveloped with very primitive emotions, and that’s why the world is at risk.”

-Rick Doblin (Neurons to Nirvana)

Was it a waste of our time? (My father certainly thought so.) Should I have better put the time to develop a career? (Like my university classmates? They were all working for big companies like IBM. And, at the time of this writing, are still there! Never laid off.  Image that!) Should we have spent the time to save for a house, and then get a lawnmower, and joined a local church? (In other words, get “roots” and “raise a family”.) Was traveling alone together, and experiencing life as we did worthwhile?

YES. Yes, it was worthwhile. Absolutely!

Later on in my writings, I discuss in detail the feelings I have about my entire involvement in this program.  I do have many feelings and emotions.  They are complex ones.  However, the memories that I treasure the most were those where I was poor, with nothing except my wife by my side.

I cannot show a nice mansion or great sports car to the reader.  I cannot justify my lack of wealth and material comforts, but I can tell the reader that my life was enriched during this period.  I can say that it was enriched in ways that I cannot vocalize upon.  I can say that I was made a better, more caring and more understanding person because of those experiences.

However, this being stated, aside from the physical manifestation that I experienced, the reader must understand that I HAD to experience “American Life” in a typical fashion for that period of time.  That was the ONLY way that I could be an effective “Dimensional Anchor”.  I know that the reader (at this stage in the post and blog manuscript) has no idea what I am referring to, however what I experienced, and how I reacted to it, was an important part of my role in MAJestic.

“One of the bittersweet things about growing old is realizing how mistaken you were when you were young. As a young political leftist, I saw the left as the voice of the common man. Nothing could be further from the truth.”

-29JAN18 5:21PM Thomas Sowell

Social Media

“A human being should be able to change a diaper, plan an invasion, butcher a hog, conn a ship, design a building, write a sonnet, balance accounts, build a wall, set a bone, comfort the dying, take orders, give orders, cooperate, act alone, solve equations, analyze a new problem, pitch manure, program a computer, cook a tasty meal, fight efficiently, die gallantly. Specialization is for insects.”

-“Time Enough For Love”, by Robert A Heinlein

When I grew up there wasn’t any kind of social media.  None.  There was no Facebook, QQ, Snapchat, or anything like that.  There were no “mobile applications” because there were no mobile phones.  Our entertainment was limited to friends, movies, outdoor activities, and television.  No one had a cell phone, a PDA, or laptop.  Software games were simplistic pixilated arcade machines that resided in movie theater lobbies or game rooms.  For fun, if we were alone; we watched television.  If we were with friends, we would participate in some kind of outdoor activity.

However, all of this has since changed.

Since the late 1990’s social media has hit America with a great ferocity.  This is fine, and has it’s benefits, but one of the draw backs is that a person who has always lived in a world where social media dominates the culture cannot understand what it was like before social media existed.  For them, it is very difficult to understand why people acted and behaved as we all did in the 1980’s and 1990’s.

When I was in my 20’s we did something that was known as “hanging out”.  Rather than stay inside the house and watch television, or go to a bar, we would just go “hang out”.  This may or may not have included drinking.  It may or may not included drug use.  It may or may not included doing a sport or outside activity.  It basically involved being with friends together.

Examples of this (seemingly or not) boring activity can be seen in the movie “Dazed and Confused”, or in the music video “First Kiss” by Kid Rock.  Much of that time was involved in cruising the streets in a car of pickup. Often we were in some sort of inebriated state.

Truthfully, the best movie ever made regarding what it was like in my high school, during my Senior year was the movie “Dazed and Confused”.  While it took place in the upper great lakes region, I can affirm that it adequately and truthfully represented what my final year in high school was like in Western Pennsylvania.  We kids…well, we all “hung out”.

Sadly, I really don’t see that happening any more.

Instead, I see people glued to their smart phone, and playing games… even when they walk!  I can go to a restaurant, and the entire table is playing on their phones and no one is talking.  What the hell is going on?

Chillin
My generation worked and spent the money on our cars. I had a orange GTO and crused the streets in it with my stoner friends. LOL.

Heck, back in those days (before I got married) and well before I entered the US Navy, life was all about hanging out, being with friends, and “chillin’”. Some of the iconic scenes in the movie were so atypical that a failure to reproduce them here would be a great disservice to the reader.

Ah…

But I digress. Social structure back in my generation was quite different than what it is today.  We had friends and spent time with them.  Instead of going out to Starbucks any paying $10 for a caramel latte, and then sit down and use the WiFi to check our Facebook account, we would do something quite different.  Indeed, we would spend the $10 on a keg of beer, or maybe two, and a shit load of “munchies” (food) and enough gas to drive to California and back.  (Yeah. Prices were much cheaper then.)

Bruce Lee and Chuck Norris
Bruce Lee and Chuck Norris hanging out. Ah, the good old days.

Social reengineering did not occur with the ferocity that you see today.  No one talked about “Niggers” or “White Privilege”.  We just didn’t.  At least not in my circles, we didn’t.

Most of that racist bullshit that you read in the media is just made up bullshit.

Instead we talked about television shows, movies, and what we liked or didn’t like about them. We would discuss Charles Bronson (He grew up in Butler, Pennsylvania which was only a half an hour drive from my High School house. ) getting “justice”.  We would talk about Clint Eastwood and his many male-themed movies.

We also didn’t have so much taken out of our paychecks as you kids do today. We had money to spend, and time to enjoy life. We did not need to live in our parent’s basement, and live off them. We worked, even at minimum wage, and could afford our own place and our own car. My generation worked.  We earned our place in society.  We paid for our house by saving up for it.  We paid for our car by saving up for it. When we were not working, we relaxed.

So what did we do?  Why, we “hung out” and “chilled”.

Hanging out 1
Vincent Price and Alice Cooper Hanging out.

I ask the reader; how about testing your knowledge regarding the time period that I am referring to.  Do you know how to develop the film that you took with a 35mm camera?  Have you ever been to a “roller rink”, a “drive-in”, a stainless-steel “diner”,  or visited an automat, watched the “evening night news” (this was before the 24-7 all-news networks)?  Do you remember a twenty-five cent cup of coffee? Or, don’t you care, as nothing is better than smashing your piggy bank to buy a cup of Starbucks commercialized beverage?

Oh, and by the way…

“Real” coffee drinkers don’t drink corporate coffee.

Please keep that in mind.

Roller Rink

Having a roller-skating birthday party became something of a rite of passage for American children in the 1950s, 1960s, 1970s and 1980s. Roller rinks in the United States underwent significant changes in the 1970s. New plastics led to improved skate wheels—ones providing a smoother, quieter ride—and easier-to-maintain skate floors.  

The Disco craze from popular 1970s culture led to another increase in the popularity of roller rinks—or roller discos, as some became. 

Gone were the staid lighting and old-fashioned organ music as a generally older clientele were replaced by adolescents and twenty-something’s skating under mirror balls and special lights to disco beats. 

The end of the Disco Era and the advent of inline roller skates hit the roller rink industry hard, with many rinks closing.

Drive In Theater 

A drive-in theater is a form of cinema structure consisting of a large outdoor movie screen, a projection booth, a concession stand and a large parking area for automobiles. 

Within this enclosed area, customers can view movies from the privacy and comfort of their cars.  All teenagers from my generation went to drive-ins on Friday and Saturday nights.  

Contrary to popular contemporaneous conventions, we never stayed home and watched television marathons, or surfed the Internet.

A Diner

A diner is a prefabricated fast food restaurant building characteristic of American life, especially in New Jersey, Pennsylvania, New York, and in other areas of the Northeastern United States, as well as in the Midwest, although examples can be found throughout the United States, Canada, and parts of Western Europe.

Diners are characterized by offering a wide range of foods, mostly American, a distinct exterior structure, a casual atmosphere, a counter, and late operating hours. 

"Classic American Diners" are often characterized by an exterior layer of stainless steel—a feature unique to diner architecture. Diners share culture with drive ins, and car culture with hot rods and muscle cars.

Diners frequently stay open 24 hours a day, especially in cities, and were once America's most widespread 24-hour public establishments, making them an essential part of urban culture, alongside bars and nightclubs; these two segments of nighttime urban culture often find themselves intertwined, as many diners get a good deal of late-night business from persons departing drinking establishments.

Many diners were also historically placed near factories which operated 24 hours a day, with night shift workers providing a key part of the customer base.  

Two Sterling Streamliners remain in operation: the Salem Diner at its original location in Salem, Massachusetts and the Modern Diner in Pawtucket, Rhode Island. I urge the reader to visit a diner. They are still one of my “little” pleasures.

Coffee

Up until 1976, coffee was one of the cheapest food items that Americans could buy.  But sometime in the mid seventies, the producers discovered that they could raise the prices of coffee, and that Americans would pay.  At that time I worked as a stock clerk in a supermarket, and well remember the price increasing.  

First it increased 25%, then another 25%, then doubled.  Then doubled again.  Americans continued to pay the outrageous prices, because by that time, Americans were addicted to coffee. 

Coffee was a staple of the American culture.  

Every household had a coffee pot, that sat on the stove and was on all day.  Much later, sometime in the 1990’s Starbucks found out just how far one could push the American love of coffee.  They created a “coffee lovers” environment, and charged outrageous sums of money for what was nothing more than boiled beans. (Image how much a cup of boiled peas or boiled lima beans would cost. – The actual and real value of the cup of coffee you drink.) 

You, my dear readers, are all being taken for a nice long ride by service-to-self individuals and the companies that they surround themselves with.

Indeed, life has changed, and the differences are both subtle and clamorous. This is important for the reader to understand.  When I was involved in the MAJestic program, there wasn’t much of an Internet presence.  If you wanted to learn about extraterrestrials or conspiracies, you read the local newspaper, went to the local library, or watched television.

While most people had heard of ET, and UFO’s, their exposure to them was much more difficult to experience.  Today, with social media and google-style search engines it is effortless.  But, when I was involved in the program, very few people took the kind of activities that I was involved in seriously.  For them, the United States would have never been involved in that kind of activity.

Glass piggy bank
Glass Piggy Bank. I never did need to break it. It was filled with mostly small change. It was easy enough to empty if you just shook it hard enough. In those days, even well into the 1980’s, a dollar could by you’re a Whopper at Burger King. In fact, I well remember paying a $1 for a Whopper in 2001! (Though that was during a period of “burger wars”.)

We believed in the United States government because we were uninformed, gullible, and saw no need not to.  In those days, it was still possible to become a middle class statistic without obtaining a college education.  (Indeed the most ridiculous concept in the old 1960’s cartoon “The Jetsons” was the concept that a factory worker could support a middle class lifestyle for a family of four.)

Bagging Station
Grocery stores used paper bags. When I wasn’t working in the coalmines, I was a “box boy” at the local supermarket. I would stand there, in my apron and bow tie, at the end of the counter filling the customer bags with their groceries.

What was it like?  It was like THIS.

Work was everywhere, and the amount of government intrusion in one’s lives was minuscule compared to what is present today.  We believed that the United States was good, righteous and just.  (Even after the “Watergate” fiasco.)

We believed that the media would report the truth.  (Bwahhhh ha ha ha ha ha…)

We believed that those we voted into office would represent us.  (Gosh,…I am now lying on the ground, rolling, and laughing my ass off!!!)

We believed that our tax monies went to “just” purposes.  (Oh, stop…stop! This is just too rich!)

That was what we believed! As strange and as unlikely as it might sound today.

Stop buying into the lie that your vote matters. 

Your vote doesn’t elect a president. Despite the fact that there are 218 million eligible voters in this country (only half of whom actually vote), it is the electoral college, made up of 538 individuals handpicked by the candidates’ respective parties, that actually selects the next president. 

The only thing you’re accomplishing by taking part in the “reassurance ritual” of voting is sustaining the illusion that we have a democratic republic. 

What we have is a dictatorship, or as political scientists Martin Gilens and Benjamin Page more accurately term it, we are suffering from an “economic élite domination.”

We were very simplistic.

In every study of events prior to, say the year 2000, one must take into account that communication, activities and behaviors were fundamentally different than they are today.  That difference should be recognized and applauded.  Because (as the reader should be well aware by now) we are all connected in a quantum sense.  Group thought, amplified by social media, directs our behaviors whether we want to recognize it or not.

That being stated, I enjoy social media as much as the next guy.  Tumblr, QQ, WeiXin and Pinterest are my favorites, while fffound comes in a close number three. (Update fffound shut down a few years ago.)

“…a darkened auditorium with 264 silent people in the seats. on the stage, me, sitting on a stool, lit by a spotlight, the only light in the theatre. I hold up a photo of my cat, 10 people applaud, two or three hold up photocopies of the same photo, the rest do nothing, watching, waiting…

Meanwhile a lone masked person in the back heckles me and throws popcorn at the stage.”

-Unknown

I personally love Tumblr, as the quality of the pictures that you can find is outstanding.  It is also a great site to find porn.

The problem with this is that you don’t want to see porn all the time, 24-7.

Yet, if you find a porn blog on Tumblr that you like, you will bookmark it and get on it’s feed.  As a result it will “pollute” your normal and regular feed.  You will be like other Tumblr users, who when using their computer in public or at work would whisper under their breath “Please don’t be porn.  Please don’t be porn.” when checking their Tumblr feed. LOL.

You see, Americans have to pretend that they don’t like porn. We have to pretend that we are disgusted by looking at nude people. Yet the opposite is true. All men enjoy porn. At least soft-porn. Hard core stuff can get too ugly. And, that is the way it is, and no quasi-religious or SJW revisionist is going to erase that fact. Go explore the rest of the world. The rest of the world doesn’t really care. They DON’T CARE.

Anyways…

Americans have to be careful on how they express themselves on social media. The FBI, CIA, NSA and other government agencies are investing in and relying on corporate surveillance technologies. These technologies can mine constitutionally-protected speech on social media platforms such as Facebook, Twitter and Instagram.

It is done (supposedly) in order to identify potential extremists and to predict who might engage in future acts of anti-government behavior.

For instance, a decorated Marine, 26-year-old Brandon Raub was targeted by the Secret Service because of his Facebook posts.  As such, he was [1] interrogated by government agents about his views on government corruption, [2] arrested with no warning, [3] labeled mentally ill for subscribing to so-called “conspiratorial” views about the government, [4] detained against his will in a psych ward for having “dangerous” opinions, and [5] isolated from his family, friends and attorneys.

Reality sinks in…

“It was at a time when I didn’t seem to have much future.

I had no job and no money for the rent. I was living in the Hollywood Studio Club for Girls. I told them I’d get the rent somehow. So I phoned up Tom Kelley, and he took these two colour shots—one sitting up, the other lying down. …I earned the fifty dollars that I needed…

You’ll do it when you get hungry enough. ”

-Mona (Marylin) Monroe

But all adventures must end.

Or rather, take on a new dimension. As it was, we moved out of the van, and labored to become prosperous. In so doing, we experienced corporate life, and the gold chains that come with it.

Corporate life in the 1980’s through into the new century was a life of beige and light grey cubicles.  It was a fluorescent illuminated existence that combined the worst elements of greed with the stupefying aspects of social group behaviors.  The 1980’s while employed was much akin to dull grey cubicle farms, the worst of corporate life, and consumerism.

I will not dwell on that period too much. For me, it was a dull void. Scant vacations, great salary, but little to show for it except for the shiny babbles advertised on TV.

Gold Chains

There are many things that Americans haven’t a clue about.  One is how the American gold market is rigged in the favor of those who sell gold.  That should be no surprise, but it was for me. 

As it turns out, if you go to Hong Kong, or Dubai, and you buy a gold ring it is 100% gold.  It is the real deal.  

However, if you buy gold in the United States, it is 14 caret, or 7 caret, or “white” gold.  It is NOT gold.  

That's right. It is NOT gold. It is an alloy of gold (to make it “better”).  What are these names?  They are names for gold alloys.  Sure, what is the issue you may ask.  The issue is that in other nations when you buy 100 grams of gold it is all gold, but in the USA when you buy 100 grams of gold it is an alloy of only a small percentage of gold.  Often very low; maybe as low as 5%.  

So for a Dubai purchaser, 100 grams of gold is 100 grams of gold.  But, an American who buys 100 grams of gold only gets 5 grams.  This was a big shock to me, and I discovered it when trying to convert some of my gold rings that I had purchased in the USA to the equivalent (new style) in China.  Yikes!

Consumerism

As Chris Hedges writes in Empire of Illusion: “Corporations are ubiquitous parts of our lives, and those that own and run them want them to remain that way. 

We eat corporate food. 

We buy corporate clothes. 

We drive in corporate cars. 

We buy our fuel from corporations. 

We borrow from, invest our retirement savings with, and take our college loans with corporations and corporate banks. 

We are entertained, informed, and bombarded with advertisements by corporations. 

Many of us work for corporations. 

There are few aspects of life left that have not been taken over by corporations, from mail delivery to public utilities to our for-profit health-care system. These corporations have no loyalty to the country or workers. Our impoverishment feeds their profits. And profits, for corporations, are all that count.”

Scant Vacations

OK, I am going to get off in a tangent.  (What is this, the sixth tangent off this post? Jeeze!) Sorry folks, but this is important.

OK. The fact is this. If you are an American, you get a pitiful amount of vacation time. While “officially” most Americans are entitled to a minimum of two weeks vacations at “most” companies, it only applies to full-time employees who have worked at least five years.

However, most Americans typically don’t work at a company for more than five years.  In fact, for all the positions that I held as a “white collar” engineer and manager, I typically was only given one-week vacation.  In addition, often WHEN I was permitted to take this was mandated during either the Christmas holiday or during the mid-summer plant shutdown. Here’s some great articles and quotes on this…

“Let's be blunt: If you like to take lots of vacation, the United States is not the place to work. Besides a handful of national holidays, the typical American worker bee gets two or three precious weeks off out of a whole year to relax and see the world -- much, much, MUCH less than what people in many other countries receive."

And even that amount of vacation often comes with strings attached.

Some U.S. companies don’t like employees taking off more than one week at a time. Others expect them to be on call or check their e-mail even when they’re lounging on the beach or taking a hike in the mountains.

No legal obligation to offer vacation

So what’s going on here? A big reason for the difference is that paid time off is mandated by law in many parts of the world.

Germany is among more than two dozen industrialized countries — from Australia to Slovenia to Japan — that require employers to offer four weeks or more of paid vacation to their workers, according to a 2009 study by the human resources consulting company Mercer.

Finland, Brazil and France are the champs, guaranteeing six weeks of time off.

But employers in the United States are not obligated under federal law to offer any paid vacation, so about a quarter of all American workers don’t have access to it, government figures show. That makes the U.S. the only advanced nation in the world that doesn’t guarantee its workers annual leave, according to a report titled “No-Vacation Nation” by the Center for Economic and Policy Research, a liberal policy group.

For what ever it is worth, from 1988 to 2001, while I was employed as an engineer, I took no vacation. While I qualified for two weeks, I was never permitted to take them.

Most U.S. companies, of course, do provide vacation as a way to attract and retain workers.

But the fear of layoffs and the ever-faster pace of work mean many Americans are reluctant to be absent from the office — anxious that they might look like they’re not committed to their job. Or they worry they won’t be able to cope with the backlog of work waiting for them after a vacation.

Then, there’s the way we work.

Working more makes Americans happier than Europeans, according to a study published recently in the Journal of Happiness Studies. That may be because Americans believe more than Europeans do that hard work is associated with success, wrote Adam Okulicz-Kozaryn, the study’s author and an assistant professor at the University of Texas at Dallas.

“Americans maximize their… [happiness] by working, and Europeans maximize their [happiness] through leisure,”

So despite research documenting the health and productivity benefits of taking time off, a long vacation can be undesirable, scary, unrealistic or just plain impossible for many U.S. workers.

Maybe a chance for change

A recent report has found that the United States is the only advanced economy that does not require employers to provide paid vacation time. Almost 1-in-4 Americans do not receive any paid vacation or paid holidays, trailing far behind most of the rest of the world’s rich nations, according to the report.

“No-Vacation Nation Revisited,” released earlier this year by the Center for Economic and Policy Research reviewed the international labor laws impacting paid vacation and holidays in 21 rich nations. The countries included 16 European countries, Australia, Canada, Japan, New Zealand, and the United States, all major economies that are members of the Organization for Economic Cooperation and Development.

Some highlights of the report:

For the United States:

  • Workers have no statutory right to paid vacations.
  • The sum of the average paid vacation and paid holidays provided to workers in the private sector ― 16 in total ― would not meet even the minimum required by law in 19 other rich countries, the report notes.
  • The lack of paid vacation and paid holidays is particularly acute for low-wage workers, part-time workers, and for employees of small businesses. (Workers in  small businesses are less likely to have any paid vacation (69 percent) than those in medium and large establishments (86 percent); only 49 percent of low-wage workers have paid vacation, compared to 90 percent of high-wage workers; part-time workers are far less likely to have paid vacations (35 percent) than full-time workers (91 percent).
  • The gap between paid time off in the United States and the rest of the world is even larger when legal holidays are included. U.S. law does not guarantee any paid holidays, but most rich countries provide between 5 and 13 per year, in addition to paid vacation days.

For other rich countries:

  • Workers in the European Union are legally guaranteed at least 20 paid vacation days per year, with 25 and even 30 or more days in some countries.
  • Canada and Japan guarantee at least 10 days of paid vacation per year.
  • Five countries even mandate that employers pay vacationing workers a small premium above their standard pay in order to help with vacation-related expenses.
  • Most other rich countries have also established legal rights to paid holidays over and above paid vacation days.
  • Several foreign countries offer additional time off for younger and older workers, shift workers, and those engaged in community service including jury duty and for activities like union duties, getting married, or moving.
“The United States is the only advanced economy in the world that does not guarantee its workers paid vacation days and paid holidays,” John Schmitt, senior economist and co-author of the report, said in a statement. “Relying on businesses to voluntarily provide paid leave just hasn’t worked.”

American Average Work Hours:

  • At least 134 countries have laws setting the maximum length of the work week; the U.S. does not.
  • In the U.S., 85.8 percent of males and 66.5 percent of females work more than 40 hours per week.
  • According to the ILO, “Americans work 137 more hours per year than Japanese workers, 260 more hours per year than British workers, and 499 more hours per year than French workers.”
  • Using data by the U.S. BLS, the average productivity per American worker has increased 400% since 1950. One way to look at that is that it should only take one-quarter the work hours, or 11 hours per week, to afford the same standard of living as a worker in 1950 (or our standard of living should be 4 times higher). Is that the case? Obviously not. Someone is profiting, it’s just not the average American worker.

American Paid Vacation Time & Sick Time:

  • There is not a federal law requiring paid sick days in the United States.
  • The U.S. remains the only industrialized country in the world that has no legally mandated annual leave.
  • In every country included except Canada and Japan (and the U.S., which averages 13 days/per year), workers get at least 20 paid vacation days. In France and Finland, they get 30 – an entire month off, paid, every year.

But Hey! It’s the price for living in the BEST nation in the world! Right?

Working in America

Here’s a great write up by Ashley Fern titled “The 8 Reasons You Hate Your Job In Corporate America”. I think it says it all far better than I ever could.

“Corporate America: the place where the majority of post grads will find themselves, for better or for worse (but, for the most part, the worse). There is no college class or prep course that can help you prepare for the reality you are about to embark on for the rest of your life. There’s no smooth transition as you begin your life as a corporate slave. 

You go from having the best four years of your life into a world of misery and greed.

There will be highs and lows, ups and downs if you are going to devote yourself to this career path. Sometimes you will love your job but more often than not, this probably will not be the case. It’s hard to find the “right” job when you first exit college. Just because you had a certain major does not guarantee its respective career path will be right for you. Life in the real world versus what you learn in a classroom are two vastly different entities.
Office Space 2

Unfortunately in many cases, you will sacrifice your happiness and freedom for a paycheck; you become a slave to “the man”. What are the other complaints about working for corporate America…

 No Freedom

The lower you are on the office totem pole, the more people you have to listen to when completing tasks. You have a rigorous schedule filled with tasks that your manager most likely assigned you. You cannot choose which tasks you would like to perform nor which order you want to complete them in as you are most likely taking orders from someone else. Until you run your own company, you are always going to be listening to someone else’s directions.

 Office Bitch

For the abuse you take, you don’t make nearly enough money -- especially after taxes. You will take an endless amount of sh*t from upper management that can and will drive you insane. 

If your boss is having an off day, guess who is going to feel the worst of it? You are. 

You are at the bottom of the barrel and no feelings will be spared since you really do not serve an integral role in the company’s success.

 Obsession With Money

You think your first job will be an enlightening experience in which you will finally contribute something meaningful to the world. The problem is that upper management doesn’t want to waste their valuable time on someone that much below them. They would rather focus their attention on whatever task they have on hand. 

They don’t care about you, all their focus is on whatever can make them the next dollar. Though they will try to give you the impression that that isn't the case. They might issue you a pen with a logo, or arrange some pizza at a meeting.

 People Are Miserable

The majority of people care about one thing about their job and that’s the figure on their paychecks. This is one of the biggest reasons people settle into a career path that makes them miserable. Sure, having the ability to afford luxuries is great, but is it worth your happiness?


Wouldn’t you rather work in an industry that brings you happiness and comfort than work at a career you hate just because you make a lot of money? Of course a paycheck is important, without it you couldn’t live -- but at the end of the day, that paycheck isn’t going to bring you the fulfillment that doing what you love does.

 The People You Work With Suck

Chances are you aren’t going to be working with people you would choose to associate with outside the office. Sometimes the person closest to your age is 10 years older than you: #fail. It’s horrible to be stuck inside an office from 9-5 without one person you can talk to. Thank the lord for G-chat.

 You’re Bored

The repetitive, mundane life corporate America offers you is not one of excitement. Life is full of surprises and opportunities; this is where happiness will manifest. 

You know where it will not flourish? 

Within the restraints of a 4×4 cubicle, staring blankly at a computer screen. Routine behavior will numb your mind whereas unpredictability will engage it. “Happiness is a state of activity,” as Aristotle has so famously said.

Office Space 1
Your corporate life. This is why you obtained a nice four-year college degree.

 You Realize This Reality Is A Lie

Unfortunately, as a generation we were raised with the idea that if we go to school, get a typical job and make a lot of money, we will be happy. Happiness should be a reflection of your personal ambition and success, not by what kind of car you have in your driveway. I would rather be struggling to make ends meet, working towards something I love than relishing in money working at a company that makes me miserable.

 No Creative Outlet

How can you grow as a person if you are stuck doing the same meaningless tasks on a day-to-day basis? This type of environment will literally suck the soul out of you. Living your life in suspense is exhilarating; variety is what keeps things entertaining and exciting. Spending eight hours trapped in a small space reading over excel spreadsheets is not going to get your creative juices flowing.”
Office Space 4

Thank you Ashley Fern.

This is all pretty much well known to those of us who had to sit in those grey boxes and stare at computer screens all day long. We did this with absolute dictatorial watching of office hours and battles over the scant vacation and leave time.

Couple that with the ever present risk of losing your job and you end up with a very, very stressful situation.

This was quite prevalent in the white-collar world during the time when I was employed.  We lived the life shown in the movie “Office Space”. It was our reality. Welcome to the life that I lived (in the physical).

Peter Gibbons: You're gonna lay off Samir and Michael?

Bob Slydell: Oh yeah! We're gonna bring in some entry-level graduates, farm some work out to Singapore, that's the usual deal.

Bob Porter: Standard operating procedure.

Peter Gibbons: Do they know this yet?

Bob Slydell: No. No, of course not! We find it's always better to fire people on a Friday. Studies have statistically shown that there's less chance of an incident if you do it at the end of the week.

Office Space
Life as an engineer in 1990’s America. Still from the movie classic “Office Space”. Office Space is a 1999 American comedy film written and directed by Mike Judge. It satirizes the everyday work life of a typical mid-to-late-1990s software company, focusing on a handful of individuals fed up with their jobs.

There was always some kind of “workplace improvement program” going on. It might be [1] a mandatory blood collection effort (it was, of course they couldn’t say that it was mandatory, that would be against the law… but it was.  We all “knew” the consequences if we did not follow what was asked of us.

The government would make regulations to “protect” workers, and companies would either find ways around them, or simply ignore them.).

Consider my experience with [2] a mandatory weekend cleaning of the offices.  (The company fired the janitorial staff, and so we all had to come in over the weekend to clean up.)

Alternatively, it might be [3] two trashcans that we would use to separate our trash into.  (An on-going “green” effort that the company was promoting.) One for recyclables, and the other for non-recyclables. There were fines and punitive measures placed on us if we did not sort through our trash.

Here’s a true, and illustrative, story regarding this particular company initiative.

One night I had to work late.  After everyone else had left, I was working at my desk later at night.  It was perhaps 7pm.  As such, the janitors came in and began cleaning.  One of the first things that they did was empty the trash.  As I sat there, I watched them empty the trash.  What they did was pick up the trash and empty it into a big-wheeled bin. They took the blue color recycle bin and emptied it into a big-wheeled trash hopper.  Then they took the “regular” non-recyclable trash and emptied it in the same bin.  I watched for a minute or two, and then paused in reflection.

Innocently, I asked the janitor why he didn’t separate the trash, as he was “supposed to”. After all, we were being penalized for not separating the trash.  In fact, if you were to report on another coworker (for failing to separate) you would be rewarded with perks; a coupon for a discount coffee or a free movie ticket. And they, as violators, would “suffer” the consequences…

He responded that he didn’t need to.  

No one came to collect the sorted trash.  No one had set up a system to collect pre-sorted trash.  So what they did was just mix it all together.  They then would throw it all in the dumpster and it would be picked up by the garbage truck as was. What was going on was an illusion of company participation in a recycling program. However, there really wasn’t any actual effort to recycle the waste.

That was corporate America.

Life was simple.  Work all week, and look forward to Friday.  Beer and pizza at the local restaurant, and then come home and watch a movie.  Sleep in on Saturday, eat breakfast at a local diner, mow the grass and then go grocery shopping.  Go to church on Sunday, then take a drive and look at yard sales.  Go to sleep early because work started on Monday.

It’s not much of a life is it?  But that was my life.

OK, back to my story.

So, I am working in whatever capacity that I could find in California.  At that time, I was working various minimum-wage jobs. I worked as short order cooks, ditch diggers, roust-abouts, and janitors. It was unrewarding work, for little pay.  However, I was in California.

I “felt” that I was where I needed to be.

That all changed when I got a call from the Navy…

The Rest of the Story

My stint from whenever I left my role as a Naval Aviator to when I went into “phase two” of my “training”. This was a confusing time. It was not easy. I was alternatively employed as an engineer, and laid off, trying to find work…hand to mouth. It was a period of searching for work. Living hand to mouth. Opportunities that crop up and disappear, and the lucky employed taking advantage of the masses of unemployed.

As confusing as my story sounds, just imagine what it was like participating in it.

All adventures end, and this adventure came to a sudden end when the Navy tracked me down and put me back on track in my program. That part of my narrative is covered elsewhere.

Conclusion

This post was a rambling collection of memories of an extreme period in my life.

I had been implanted with strange probes for both MAJestic and our extraterrestrial benefactors, then I was left alone on my own prior to being trained on how to use them.

During that time, I was like a sheet in the wind during a hurricane.

My perceptions, exposure and understandings were all altered. My world-lines were constantly switching on and off, in and out, and through and backwards, and I adapted as best I could. I existed in a state of extreme 1980’s. Most of that time switched between being employed in difficult working conditions, and poverty. There wasn’t any stability.

In the meantime, the MAJestic membership were trying to locate me, and complete my training. I was like Jason Bourne, with no memory or ability to control my skills, yet cognizant that I had skills, and purpose. I was the real life Jason Bourne.

Jason Bourne is a fictional character played by a talented actor. I was the real deal, and what I experienced did not look like anything that Hollywood could conceive.

Take Aways

  • After acceptance into MAJestic, I was altered with medical procedures and probes. Then, released to the public.
  • I was not yet trained.
  • For a period of time, I existed as “actuated”, but unskilled in using my abilities.
  • This post describes that time.

FAQ

Q: Why do you say such bad things about corporate life?
A: I was trained, and pursued an education towards being a Naval Aviator. Out of necessity, I found work within related engineering fields. At this time the work culture was not of producing things. Instead, it was a culture of making profits for the owners.

This change in intention, and the resultant thoughts affected the world-line. Thus, employees became something else. They became drones that serviced the owners of the companies where they worked. I was thrust into this environment out of necessity.

Q: Why do you disparage Backpackers?
A: There are many travelers who go by the title “backpacker”. Instead of exploring, adventuring and acquiring experiences, they do something else. They travel to distant lands, and try to get experiences without accepting the local culture and integrating within it. That means, of course getting work, and spending a few years fully immersed in that culture.

They are neither acquiring meaningful experiences, nor helping their community. They are instead, completing a “bucket list” of travel destinations. All without meaningful quantum realignments of their garbonic structures.

Q: Why are you so anti-USA? What is your problem with vacations?
A: Comparatively, there is something seriously wrong with a nation that does not permit it’s workers time to live and relax.

Now, if you personally want to work in a stressful environment without a break that is fine. I KNOW that it is very unhealthy and results in terrible side effects. Americans should have much more time off than what they generally receive.

Q: What is the issue about the colors Red / Blue for Political Parties?
A: History has been rewritten. That is disturbing.

That being said, the decision for the United States to embrace a communist and socialist model happened a long time ago, and the implementation was visible in the early 1990’s.

MAJestic Related Posts – Training

These are posts and articles that revolve around how I was recruited for MAJestic and my training. Also discussed is the nature of secret programs. I really do not know why the organization was kept so secret. It really wasn’t because of any kind of military concern, and the technologies were way too involved for any kind of information transfer. The only conclusion that I can come to is that we were obligated to maintain secrecy at the behalf of our extraterrestrial benefactors.

How to tell...

How to tell -2

Top Secrets

Sales Pitch

Feducial Training

Implantation

Probe Calibration - 1

Probe Calibration - 2

Leaving the USA

MAJestic Related Posts – Our Universe

These particular posts are concerned about the universe that we are all part of. Being entangled as I was, and involved in the crazy things that I was, I was given some insight. This insight wasn’t anything super special. Rather it offered me perception along with advantage. Here, I try to impart some of that knowledge through discussion.

Enjoy.

Secrets of the universe
Alpha Centauri
Our Galaxy the Milky Way
Sirius solar system
Alpha Centauri
The fuselage embedded within the rocks of Victoria Falls.
The Hammer inside the rock.
The Hollow Moon
The Mystery of the Lapulapu Ridge.
The Mystery of the Baltic UFO.
Mystery of the bronze bell.
Mystery of the oil lamp found inside a block of coal.
Did extraterrestrials set up a colony in Pennsylvania?
The Oxia Palus Facility
Brown Dwarfs
Apollo Space Exploration
CARET
The Nature of the Universe
Type-1 Grey Extraterrestrial
The mysterious flying contraptions.

MAJestic Related Posts – World-Line Travel

These posts are related to “reality slides”. Other more common terms are “world-line travel”, or the MWI. What people fail to grasp is that when a person has the ability to slide into a different reality (pass into a different world-line), they are able to “touch” Heaven to some extent. Here are posts that  cover this topic.

Cat Heaven
MWI
Things I miss
How MWI allows world-line travel.
An Observed World-Line switch.
Vehicular world-line travel
Soul is not consciousness.

John Titor Related Posts

Another person, collectively known by the identity of “John Titor” claimed to utilize world-line (MWI egress) travel to collect artifacts from the past. He is an interesting subject to discuss. Here we have multiple posts in this regard.

They are;

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

What is the Color of Chartreuse; is it Red or Green?

How do you remember the color “Chartreuse”?  As a person who lived through the 1980’s, I clearly and most vividly remember it as a shade of reddish magenta.  How do I know?  Because it was popular at the time, dresses, furniture, wall paint, and brick-a-brack of various shapes and forms all used this color, and all were a deep wine-like color with a kind of pinkish glow. Yet, as much as my memory is clear on this, things have changed. An Alter-vús took place.

1980's American fashion
Typical dress during the 1980’s. The outfit sported bright and colorful colors that later toned down to pastels.

Alter-vús

How can the past change? Isn’t time a direct one-way arrow? A vector from which nothing can be undone? What is going on here, and why do my memories not jive up with history?

Good questions all.

Again, how do I know? Because I bought a complete living room set; a couch, love seat, and matching chair in chartreuse.  I bought them in the mid 1980’s from a furniture store in Ridgecrest, California. We were told by the saleswoman that the color was Chartreuse and was the hottest thing in the 1980’s.

We ended up buying a couch and a love seat. We didn’t buy the stand alone chair. (Which in hind-sight we should of.) But, you know, I was younger then, and it was a different time and my personality was quite different.

Anyways, Chartreuse that we bought back in the middle 1980’s  is not the color that it is associated with this world-line…

“The color chartreuse is broadly remembered as a shade of red. Some recall it as a maroon-ish red. Others describe it as a reddish magenta.

The fact is, in this timestream, the color is yellow-green. The color gets its name from the liqueur, Chartreuse.

However, I clearly recall a discussion with my mother, an artist, about the color chartreuse. I was a teen and used “chartreuse” to describe a magenta-ish dress. My mother couldn’t believe I was serious, and I remember looking in my childhood crayon box for a reddish crayon labeled “chartreuse,” but couldn’t find it.

It was a humiliating moment for me, because she was right and — in our household — that was like confusing Miro and Michelangelo. It just wasn’t done.

I didn’t think about it again until a comment about chartreuse appeared at this site. Then another did, and yet another. No matter how long I study this topic, I’m still astonished when a memory matches one of mine.”

-Mandelaeffect
Chartreuse
The color chartreuse is broadly remembered as a shade of red. Some recall it as a maroon-ish red. Others describe it as a reddish magenta. The fact is, in this world-line, the color is actually a yellow-green. The color gets its name from the liqueur, Chartreuse.

I am not the only one with different memories

Here are some comments from the web site. In September 2014, Stephanie said:

“I distinctly remember Chartreuse being a purple-pink color close to Magenta but a little darker. Less pink, more purple, but still too pink to be a true purple. I’m so confused??”

In Oct 2014, Misty said:

“…chartreuse was a dark red color…”
1980's ski fashion.
Winter ski fashion during the 1980’s. Notice the use of the Chartreuse, now called something all together different.

Rachel said:

“I used to think chartreuse was a dark red or burgundy color.”
1980's style kitchen.
Chartreuse was a big part of 1980’s fashion. Interior design, clothing and furniture all used this color. However, now, forty years later, we hear that it is now a greenish color. WTF?

Cameron said:

“Oh dear lord, i’m not alone. 

My whole life i thought Chartreuse was a deep red or purple. I considered it my favorite color for a long time. It wasn’t until my sophomore year in high-school that i found out it was a light yellow or green. My best friend was ordering her dress and wanted my opinion. She said that she was getting it in Chartreuse and i told her that was the one I thought would look nice, but the only picture she has was this gross pukey yellow and i said, “i’m glad you’re getting a different color than in the picture, because that is an awful color”. She then corrected me that the one pictured was the Chartreuse one. 

I guess, all along the color i thought i loved was actually Mauve?”

Donna said:

“Yes chartreuse was a maroon-red color. It was only a couple years ago that I saw a crayon marked chartreuse and it was this awful green-yellow color, and I thought that Crayola must have made a mistake!”

Cas said:

“I thought chartreuse was a rich sort of pinkish-magenta color?”

I really thought chartreuse was a shade of red? Not green or yellow at all? When I clicked the Wikipedia link to see what color it is, I was so confused. I’m glad other people share in this confusion as well.

Seems like too pretty of a name for “lime green”. Ick. Doesn’t sit right with me.”
Deco 1980's style
Chartreuse themed living room. Only that today, four decades later, this is now called something different. Why and how?

K. said:

“And yet the etymology makes perfect sense. Then again, that might be at the heart of the potential difference. So, if this Carthusian order, who’s liquor got the name associated with it, and lend itself to the name of the colour instead made a particular blend of red wine, perhaps Chartreuse would get a different colour association.

Honestly, without saying anything one way or the other on the matter, if I would have guessed without knowing, I’m certain I would have guessed it was a reddish colour. It does have the ring of a warm red drink to it.

(source: http://en.wikipedia.org/wiki/Carthusians)”

One of the JMs (we have two) said:

“Yeah the whole color changing business is a weird one.”

Conclusions – What is going on

The construction of our reality is complex.

There is a fundamental “reality template” that we all access. There are also various realities that are spawned off this template. These spawned realities are what we experience; what our consciousness experience within this life.

However, that “reality template” is also subject to change. After all, the combined thoughts of everyone contribute to the “reality template”.

Chartreuse issue
All thoughts combine to create a “reality”. This is a constantly changing baseline template. Which I refer to as a “reality template”. Our consciousness then feeds off this template and creates a customized reality for our soul to acquire experiences from. When large groups of people are manipulated and discordant thoughts are generated, the reality template changes significantly. Such is what happened with the Chartreuse issue.

However, there are individuals who (through the power of mass communications, television and the internet) can redefine our reality. This is very dangerous, but happens all the time. When this happens; when this redefinition of our reality occurs, we find ourselves in a situation where our memories do not match our reality.

Since reality is a time-less constraint, the past and the future can be altered at will, by individuals and circumstances that deem it necessary.

Such as the “chartreuse” situation.

Take Aways

  • All humans contribute to a reality template.
  • The contributions come in the form of thoughts.
  • Each human has a consciousness that uses the reality template.
  • The consciousness reacts to the reality template and creates a unique and individualized reality from the the consciousness exists within.
  • When disrupted thoughts or directed thoughts are powerful enough, then can disrupt the reality template.
  • The issue of the chartreuse color being a maroon-red color is an example of baseline reality template changes.

FAQ

Q: What color is Chartreuse?
A: Currently it is associated with a yellowish green color.

Q: Why do people associate that color with a dark red?
A: Because their memories reside outside the reality. Since the reality is constantly being updated by the consciousness, there will be “glitches” where memories will not match. There are different terms for this phenomenon.

Q: What causes changes in the baseline reality template?
A: There are many things that will cause changes to a reality template. Often the most substantive are related to mass directed thoughts. This is when someone contrives a new narrative and exposes a multitude of people to think about it. The mass thought disruption will alter the reality template, and in so so doing the individual world-lines that our realities inhabit will be influenced as well.

MAJestic Related Posts – Training

These are posts and articles that revolve around how I was recruited for MAJestic and my training. Also discussed is the nature of secret programs. I really do not know why the organization was kept so secret. It really wasn’t because of any kind of military concern, and the technologies were way too involved for any kind of information transfer. The only conclusion that I can come to is that we were obligated to maintain secrecy at the behalf of our extraterrestrial benefactors.

How to tell...
How to tell -2
Top Secrets
Sales Pitch
Feducial Training
Implantation
Probe Calibration - 1
Probe Calibration - 2
Leaving the USA

MAJestic Related Posts – Our Universe

These particular posts are concerned about the universe that we are all part of. Being entangled as I was, and involved in the crazy things that I was, I was given some insight. This insight wasn’t anything super special. Rather it offered me perception along with advantage. Here, I try to impart some of that knowledge through discussion.

Enjoy.

Secrets of the universe
Alpha Centauri
Our Galaxy the Milky Way
Sirius solar system
Alpha Centauri
The fuselage embedded within the rocks of Victoria Falls.
The Hammer inside the rock.
The Hollow Moon
The Mystery of the Lapulapu Ridge.
The Mystery of the Baltic UFO.
Mystery of the bronze bell.
Mystery of the oil lamp found inside a block of coal.
Did extraterrestrials set up a colony in Pennsylvania?
The Oxia Palus Facility
Brown Dwarfs
Apollo Space Exploration
CARET
The Nature of the Universe
Type-1 Grey Extraterrestrial
The mysterious flying contraptions.

MAJestic Related Posts – World-Line Travel

These posts are related to “reality slides”. Other more common terms are “world-line travel”, or the MWI. What people fail to grasp is that when a person has the ability to slide into a different reality (pass into a different world-line), they are able to “touch” Heaven to some extent. Here are posts that  cover this topic.

Cat Heaven
MWI
Things I miss
How MWI allows world-line travel.
An Observed World-Line switch.
Vehicular world-line travel
Soul is not consciousness.

John Titor Related Posts

Another person, collectively known by the identity of “John Titor” claimed to utilize world-line (MWI egress) travel to collect artifacts from the past. He is an interesting subject to discuss. Here we have multiple posts in this regard.

They are;

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Nations that an American Man could Travel and Relocate to – Part 2

What happens when you are given a five minute notice before your company lays you off? Then they hand you a NDA, and expect you to sign it, or else they will prevent you from getting food stamps and support. What then?

What options do you have? Another stab at getting yet another American job, and America boss in an American corporate environment? Is that what your options are? As an American man, just what are your options?

There are times when you just feel a screaming need to “bug out”.

But, let me tell you something. The world is yours once you have lost everything.  That includes nations, food, culture and girls. You can go anywhere and be anyone. This is especially true for an American man who faced a vindictive ex-wife, or had an encounter with any of the many, many American alphabet regulatory organizations such as the IRS, DHS, CIA, FBI, ICE, FDA etc.

People, there is an entire world out there. It is big, and filled with all sorts of interesting and colorful people.

I urge the reader to divest themselves from the notion that the United States offers the only decent living arrangements on the globe.  There are other places.  Many others.

Some are better and some are worst.  It is up to the reader to consider all of the options that lie before them and make determinations and judgments biased upon their own individual situation, preferences, and desires.  Not (to make them biased) on fear or lack of experience…

You do not have to endure a life that is defined by others…

Introduction

The world is filled with interesting people, wonderful places, and really different ways of doing things. many of which are a pleasant surprise to Americans who have grown up thinking that the “American Way” is the only way to do things (as well as being the “best” way to do things).

Korean Girl
Korea has a lot of very pretty girls. Personally, I prefer the lighter skin, the cute face and big eyes. I like the thin bodies and the long hair, not to mention the cute little behinds. And, let’s not even get started on the awesome food. OMG!

Multi-Part Series

There are so many nations and places to choose from. This post is part two of a multi-part post. My basic premise is that the world is a big place. Get out. Enjoy the differences. Savor them. Life is too short not to.

Written by a Man

Please note that this was written by a man. It is about things that might be of interest to a man. For similar posts written by women, I would suggest the Huffington Post, the Washington Post, or Salon.

Also note that this is a blog. It contains personal opinions. If you want to read something that is unbiased and doesn’t have opinions, try CNN.

LOL.

Finally, many of the things that are commonplace in other nations are either banned, censored or illegal in the United States. This post does not censor for American sensibilities. As there are people all over the world that reads it. I just can’t sanitize it for Americans. That includes…

  • Buying beer on Sunday.
  • Doing drugs in Holland.
  • Prostitution chain-bordellos in Germany.
  • Public nudity in Iceland.
  • Smoking in public in China.
  • Being able to eat in restaurants barefoot in Cambodia.
  • Traditional gender roles in Brazil.
  • The giant penis festival in Japan.
  • Buying ED medicine without a prescription. (Common everywhere.)

If you are an American, and you are too sensitive to the realities outside of America, then I would suggest you leave. Exposure to REAL freedom is not something to be taken trivially. Real freedom is not having to ask permission to do something. Real freedom is not taxed, monitored or prohibited.

Real freedom is not taxed, monitored or prohibited.

Brazil

Why not Brazil?  It’s a land of amazing women, awesome beaches, and delicious food. It’s a big country with amazing beaches, fantastic forest, and adventuresome mountains. Awesome!

Brazil map
Map of Brazil. Brazil is a very large nation. Like the United States, they have their own issues involving a poor underclass, a wealthy upper class and a squeezed middle class.

I once had a project at a company that I worked for that had a joint venture with CEV and GM.  The engineers from Brazil were pretty good guys and showed me around.  It was quite the experience for me at the time.  I never considered moving there though. Maybe the reader might have other ideas.

A nice article on what it is like for an American to move there can be found here.

Walking Down the Street: Brazil vs the US

You can see how Brazilians care more about the journey than the destination in the way that they walk down the street. They walk at a brisk pace, in a horizontal line so that everyone is at the same level, occasionally stopping to admire the scenery and talk with people that they pass by. 

They are not in any apparent hurry to get to where they are going and are more concerned with having a good conversation with the people they are walking with.

Brazil street
Bahia is the part of Brazil where most of the slaves from Africa originally landed. So the afro culture is very visible there, alongside many of the other faces that Brazil has. While the politics of the United States maintains the narrative that the USA is racist and terrible, Brazil by far took the vast bulk of slaves from Africa.
In the US however, you will rarely see people walking since everybody drives. 

If there are 5 people meeting up somewhere, they will likely take 5 cars. 

In the rare case that you do see a group of people walking they will probably be marching in a straight line towards their destination at a pace that borders on running. They don’t make eye contact with anyone along the way because they are focused on getting to where they are going.

Having Lunch: Brazil vs the US

Now this imaginary group of stereotyped Brazilians have arrived at a restaurant where they will be eating lunch. They’re looking at least a 2 hour commitment and might even spend as much as 4 hours having lunch. 

They are there to have good conversation with their lunch mates and will take their time eating their food. Even though they’ve got to get back to work after lunch they don’t show any signs that they are stressed out about that because they’re too busy enjoying the moment.

Salvador
Bahia is generally just a place with a lot of color. Not just on peoples skin. The buildings, in the nature and in the varied music culture that you find in this very interesting state.
Bahia is in many ways the image that many foreigners have of Brazil, with exotic looking people, lot’s of beaches and music everywhere. From a tourism perspective, it also has the greatest potential in all Brazil if you ask me. Bahia needs to have better air connections to the outside world, before the world starts flocking to the place. But i think this will happen in the future, as this is really a part of the world that has a lot to offer.
Our stereotypical group of Americans each took their own cars to get to the restaurant for lunch. Once they get there they are going to pull out their phones and get on Facebook to check in. 

They quickly order their food because they are in a hurry to get back to work. They might not have even stopped working and will have their phone in their hand as they are eating to keep up with the barrage of emails that they received, or they will be catching up on the latest articles from Buzzfeed. 

If someone wants to have conversation with their lunchmates they will have to compete with the cell phones for attention. Likely they will give up trying and will pull out their own cell phone so that they have something to do.

Socializing in Brazil vs the US

Now it’s after work and this group of Brazilian friends have decided to meet up somewhere to have a beer and continue the conversation from lunch. They’re there to have a good time and to enjoy each other’s company. 

If someone invites another friend, s/he will be received with open arms by the group, because in Brazil friends of friends are your friends too.

Pastel
If you’ve ever been to Brazil, you know they adore their pastel. You will find it at the feira (our farmer’s market), the pastelaria (shops that sell only pastel), beach kiosks and at bars. I have never met a Brazilian who doesn’t indulge in these bad boys every now and then. And they go great with either a glass of cold beer or with sweet caldo de cana (freshly pressed sugar cane juice).
Sweet and savory filling options are abundant, the three of the most popular ones are: beef, creamy hearts of palm and cheese.
In the US, after work everyone goes home and does their own thing. Maybe they have some productive activity to do, but just as likely they are going to sit in front of the computer or go watch TV. 

If they did manage to find some friends who weren’t doing something with, it wouldn’t be so easy to bring someone from outside that social circle along with them. They certainly wouldn’t be received as warmly and unconditionally as they would’ve in Brazil.
Beach in Brazil
Brazil has some amazing beaches. The sky is blue and the water clear. The people are nice and friendly and the locals really want to have a great time and party.

However, it seems that it might be a dangerous place if you want to cross the street. Here is an interesting excerpt from a Brazilian blog in regards to driving in Brazil;

“Brazil does have a Traffic Code, and it is based on the 1968 Vienna Convention on Road Traffic. There are extensive Rules of the Road, including long sections on Defensive Driving and First Aid. In order to receive Brazilian drivers licenses Mark and I had to study these rules, then take a sophisticated computerized test, a difficult psychological written exam and an eye exam. 

The Motor Vehicle Bureaus around the country perform rigorous annual vehicle inspections. There are radars and speed bumps everywhere, and heavy fines for scofflaws. It is not for lack of regulations, enforcement or will that Brazil has plunged so far down the traffic fatality slope, fatalities which last year alone totaled upwards of 40,000.

So what is it? 

Aggressive tailgating, reckless passing — on the right, on the left, into the oncoming lane — it just doesn't gibe with my sense of the Brazilian spirit of paz e amor. I was baffled until one white-knuckle ride into Rio, when it came to me in a flash. Futebol. 

Traffic
Traffic in Brazil can be a real nightmare. As can be shown by pictures such as this. If it was me, I’d try to get on a side road and get out and have a beer. Ugh!
The national sport, the national passion. They're all playing soccer. The drivers are forever cutting in front of each other to take any field advantage they can, they're passing with reckless abandon to get to the imaginary goal posts. One car scrapes against another? Just a rebound, the ball (or in this case the car) remains in play. Driving at breakneck speed on the shoulder? No worries, that's just an offside position, which is not an offense in itself.

Mandatory traffic rules I learned in high school Drivers Ed are treated by many drivers here as optional. 

Stop signs are just a  suggestion, observed by only a few. A Yield sign is observed by no one. Emergency Vehicle Priority? That's just a challenge for a driver to maneuver behind the emergency vehicle and ride its coattails. 
Trans-Amazon Highway
Here is the Trans-Amazon highway in Brazil. It’s a long highway that crosses the nation.
Pedestrian Priority? You're joking. And weren't we taught that to keep a safe distance from the car in front of you, you had to be able to see the car's back tires? Brazilian drivers tailgate close enough to smell the alcohol on the breath of the driver in front.

I've lost count of the number of near accidents I've witnessed. But since they were only nearaccidents, since the drivers maneuvered and veered and avoided and stopped just short of the actual accidents, there is an argument that can be made that Brazilians are excellent drivers. I even see the logic there. 

Apologists cite the poorly maintained roads, the bad or misleading traffic signs, and some blame the weather. Huh? The weather? But nobody is forced to put the pedal to the metal. That's cultural. After all, Brazil ranks second in the list of foreign-born winners of the Indy 500, and third on the Formula One list. They love speed. It's not for nothing that the soccer breakaway is one of the most exciting plays in the game.” 

Prostitutes for Teenage Sons

"Don Cesar and his son Juan walk through the brothel — protection in hand — in search of a prostitute for Juan’s first time. The choice is made, Juan enters a room and not long after emerges from behind the tin door with a big smile on his face and followed by his presumed “first”."

-Dad takes 16 year old son to a prostitute to have sex for the first time.

When I was in High School, we had a foreign exchange student from Brazil. He told us, and we were jaw-dropped amazed, that his father took him to a prostitute when he turned 16 years old. He explained that that was a duty of all fathers. To select a worthwhile prostitute for his son, so that he can become a “man”.

Beautiful ebony girl.
Look at this awesome beauty! Look at that amazing head of hair! Look at the tiny waist and hour-glass shape. Man! She must have all the guys chasing after her. Now pay attention. What an amazing smile. I’ll tell you what, the smile opens up my heart.

He explained that he thought it was a great thing. Later on, when I came home and sat at the dining table, I mentioned the conversation to my parents. Ugh. I did not expect the reaction. My father kind of chuckled and told me that it was probably just nonsense. Not my mother, though. My mother got all upset and started screaming. I was sent to the bedroom and instructed never to mention it ever again.

In hindsight, I should of finished my dinner before I mentioned it.

Anyways, many years later, when I met other people my age from Brazil, they all explained that to me. All of my friends and business associates lost their virginity between the ages of 14 and 16 years of age. Always to a prostitute, and always to one specifically selected by their father, or in one case, their uncle.

Aside from that event, it made it easier for the boys not to be so fearful of the girls. While I don’t think that any of them became “hound dogs” in High School, I can affirm that the Brazilian exchange student was not afraid at all to ask my classmates out for dates and fun. Meanwhile, I was very timid around girls.

In Brazil, it is very important that a boy grow into a strong man.

In Brazil, as well as throughout South America, the “ideal” Hispanic family is a patriarchy that includes a strong male figure as the head of the household:

“A stereotypical Latino male exudes the characteristics of strength, power and masculinity. Machismo, or a strong sense of masculine pride, encompasses this ideal. And in the family, these ideals are passed from father to son.”

"Losing one's virginity over here [El Salvador] is seen as a point where you become a man and you become more vivo. 

'Vivo' means 'alive' in Spanish, obviously, but over here, it means something like 'astute' or 'not immature.' Once you lose your virginity, you're supposed to get smarter around girls. You are supposed to be less interested in kids' stuff." 

-Cracked

Girls

The women of Brazil are all awesome. I really like their free and happy attitude about life. I love the food, and the environment. I most especially love that they are traditional and honor the family.

"Most Brazilian girls look like half-Middle Eastern and half-Western European, darker than Argentine girls but lighter than girls from other South American countries. Since Brazil is similar to the United States with its large immigrant influence, it’s harder to pin down their physical features as easily as the Argentine girl.

If the average girl in a US club ranks a 5, and in an Argentina club she ranks a 7, in Brazil she’d be somewhere between a 6 and 7. This means the average Brazilian girl is bangable, but what separates Brazilian girls from the others is their vibe. If you are a guy and you look at a Brazilian girl, your mind jumps to sexual thoughts much faster than usual. Since it is not because she is more attractive, I think it’s a combination of body and body language. "

-RooshV

Brazilian girls have a smile to die for and there is no guy alive that won’t fall for those smiles.  See what I mean?

Rose
Rose from Sao Paulo, Brazil. What a smile. Oh, my Lord! And that hair! Come one, guys! Look at this beauty. Brazil has wonderful people who are decent, and make great friends and traditional family members.
"Blessed with lustrous hair, dark eyes and skin the color of honey Brazilian women are without doubt, are some of the most gorgeous in the world. And what’s more they are not conscious or embarrassed about the fact. 

Here women are confident about their looks and bodies and if you like what you see, they will welcome your appreciation as well. 

At the same time though, theirs is a very feminine beauty even if not demure. You may see them in bikinis and dresses with plunging necklines, but not so much in pantsuits and masculine shirts. 

Indeed, women here are encouraged to spend a lot of their time on their looks-body, clothes and hair. Staying attractive is quite important in a culture that judges people by how they look."

-National Steriotype

One thing that I like about Brazilian women is that they are really, really friendly and outgoing in nature. Truthfully, if a girl happens to spot you in a bar or café and you meet her standards, she will not hesitate to come up and ask you for your phone number or invite you to buy a drink for her. They are quite easy to strike up a conversation with. They are quite interesting and talkative, and before long you may even find yourself sharing a joke or a song with one of them.

Big Butts

I have talked to numerous men who found that the Brazilian women tended to have very nice big asses. Personally, that is not my thing, but they were all agape over it. They just couldn’t control themselves.

It turns out that having a big butt is a considered beautiful in Brazilian culture. Girls that are slim, and shapely, but who’s behinds are more or less “normal” aren’t considered so good looking. In fact, there are stories of young girls injecting all kinds of things into their behinds to make them bigger, including animal growth hormones. While Brazil does have plastic surgeons, their primary practice seems to be related to increasing the size of the butt more than anything else. Read about it HERE.

Who’d figure?

Big asses on the beach.
Some Brazilian gals on the beach. In Brazil, it is considered attractive, and even “womanly” to have a nice big plump ass. They actually go to plastic surgeons to get this done, and young girls have been known to inject steroids in their butt cheeks to make them get bigger. Not my thing, but different strokes for different folks, don’t ya know.

Luckily, not every Brazilian  is like this. So those of us who find this a bit extreme can rest easy. We can hang out with girls with tiny behinds and help them feel beautiful. LOL.

Traditional Girls

Since the Brazilian culture is very traditional, Brazilian women like to be courted the traditional old-fashioned way. They look forward to be taken out to a fancy place and are happy if you wine and dine them in a stylish manner. They like to get all “dolled up”, and dress appropriately. Who doesn’t like that?

Like many other traditional societies, Brazilian culture has long laid down specific roles and duties for each gender. Women were mostly expected to keep house and raise children while men were the breadwinners in the family. This fact, is a critical one “in my book”. It is an important thing that matters to me immensely.

Family Culture

In many Latino families, the father is central to the nuclear family constellation as well as to the extended family network. It is his job to assume the responsibility for establishing extra-familial boundaries and maintaining these relationships. He is the head of the family and he must take on that role.

Father figure
A strong father figure. From the movie “A walk in the clouds”. In Latin nations, especially in South America, it is the job of a father to raise his boy to become a man.

The importance of extended family marks a unique aspect of Latino families—that of familialism. In addition to providing a relatively strong attachment to the nuclear family, the strong familial orientation provides a sense of solidarity while concurrently reinforcing the notion that the family is more important than the individual

Let’s begin with a story about an experience I had while I was working at GM. This story illustrates that different places has different cultures, and failure to understand and adapt to that culture can have serious consequences. In this case, the story revolves around the public display of a lack of respect of a wife towards her husband. This took place, of course in Brazil, Sao Paulo, to be exact.

I once was involved in some business in Brazil. As such, I had to travel back and forth between the United States and Brazil. I was, at that time, working for Delco Electronics (It's who we are), which was (at that time) a division of General Motors. I was involved in a Car computer project (ECM) for CEV, which is a pretty big Automotive company within Brazil.

One day, all of the foreigners on staff were invited to a big banquet with other white-collar workers at CEV. We had some pretty important people from GM there. It was held in a big auditorium within a equally impressively large restaurant and hotel complex. Everyone sat at these very large round tables with a nice table layout on a large white tablecloth. Each couple (for the most part, everyone came as a couple) would sit in groups of two at the table. Thus, maybe five to 6 couples would sit at the table.
Family scene
Another scene from the movie “A walk in the clouds”. The father is the head of the household and is the public face for the family.
At a given cue, all the ladies (the wives and girlfriends) got up and went to the buffet to get their man (husbands or boyfriends) dinner. They got up, went to the buffet, selected what their husbands would eat, and returned to the table. They would place the plate in front of their man with respect, and then go up and get their own food.

The men would accept the meal their wife chose for them, and began eating it. They would sit there and eat, while all the ladies were fussing about their food, and making sure that the man's plate was full.
Brazilian food
A plethora of cultures have had an influence on Brazilian cuisine including Portuguese, Dutch, Spanish, Moors, African and the Indigenous. The food in Brazil is awesome and fantastic. It is an amazing taste treat.
However, the local section manager, a man who came from Michigan, well his wife refused to go up. He kept on elbowing her. She refused. And everyone at the table noticed. In fact, people at the other tables were noticing as well. They started whispering and talking among themselves. But she was adamant.

She said things like "you're not my boss", "I'm not doing it, uh uh, absolutely not. No!", and "I don't care what other people think. Do it yourself.". She said loudly, and ended up having some people pause and stare, "You don't tell ME what to do!".
Bitch
A wife refuses to honor her husband in a public Brazilian dinner in front of all the supervisory management staff. She destroyed her husbands role, job and standing.
Eventually, he got up and joined the rest of the ladies at the buffet counter. He was the only man to get up. He was the only man to carry a plate back to the table. He was alone in the big hall that maybe held a few hundred key employees of the company. 

All of the key employees, the bosses and the supervisory staff, watched him do this. The President of CEV, the division managers, all the middle level managers, the supervisors, and all the engineers, and their secretaries all witnessed this. They all noticed and ate. Their local conversations at their tables became subdued and quieter.

Meanwhile, his American wife sat there smugly and proudly. They ate in silence. The wife, sitting proud and strong. Obviously she felt that she was the king of the entire event. Meanwhile, he sat there facing his plate and afraid to look up. This happened in front of everyone, while everyone else in the room kept glancing their way.

There were some speeches. Some gifts and door-prizes were exchanged.

The dinner ended. Everyone went home.
Soup in Brazil
The food in Brazil is so delicious. Here is a nice creamy soup. It goes just great with wine or an ice cold beer. I’ll tell you what.
While the American couple hadn't a clue as to what was transpiring. The rest of the room did. For them, dinner is a traditional and formal affair. It was a time when the man of the house can show off his family to the rest of his peers and his community. It is an opportunity to put a great face forward. Sort of like this...

The next workday, on Monday, he noticed that his parking space was being used by someone else. (Unless you have worked in GM, you don't know how important this is.) He went into the lobby, and the guards wouldn't look at him, and just waved him in. This was a big change from what he accustomed to.

It continued. His secretary didn't come in. Then, started coming in very late. She would not do anything that he asked. No longer would she make him a morning coffee. No longer would she answer him, or even talk to him.

No one responded to his emails. His work was getting piled up. Nothing was getting done. After a month, it got so bad, and I was sent down to look into the matter, as I held an important role in the joint-venture project. Our Division manager wanted me to look into this issue as it looked like the entire multi-million dollar project might collapse. That's right, millions of dollars of corporate investment was at risk.

So I flew down.

I talked to XXXXX. I talked to his secretary. I talked to the CEV Division Manager. I talked to the rest of the staff.

At first no one would open up. Oh, sure they were friendly to me. They showed me deference. They treated me well. But when it came to the subject about what was going on, everyone shut up. Obviously something was wrong. But no one told me anything.
Denise
Denise is a typical Brazilian girl. Don’t you just love her hair? I mean, it is completely awesome. Do you think that she would tolerate a man; her husband, disrespecting her family? I don’t think so.
Eventually, to make a long story short, I went out and started drinking with the CEV workers. That's always a great way to break down barriers and get to the heart of the matter. Of course, GM never approves of drinking, but this was back in the late 1980's and I was in another country and immersed in another culture.

Over some beers, the first person who let me know what was going on was his secretary. She looked at me straight in the eyes. She put out her cigarette. And she said in her broken English, loudly with defiance and pure hate;

"Why? You ask. Why? Because he's a fucking wimp. He's not, NOT, N-O-T a Man. He's castrado!"

Then she spit on the floor. Now granted, most ladies don't go to bars, smoke and spit on the floor. But she had a few beers, and was really agitated.
Angry woman at a wimp of a man
Being a wimp is very unattractive for a man. This is especially true if you are in a Latino country.
She wasn't just angry. She wasn't just pissed. She had this kind of deep burning ember of a rage that amazed me when it came out. I thought she was going to tear my throat out. She spoke viciously. She spoke in a way that the words were spit out venomously. 

It turned out that in Brazilian culture, the man must be the MAN of the house. It is a very traditional nation and has unspoken social rules. One of which is that the woman must look good for her man. She must do great things for their Man, and for her family. 

In Brazil, the Man is the titular head of the family. He controls everything. He is the "face" of the family. He is what everyone sees. However, the wife has full control over what goes on inside the house. She is the driving force that strengthens the man.

The Man is the head of the family, and he must LEAD. If he cannot be a Man; if he cannot act like a Man, and if he cannot control the behavior of his wife and family, then he is a loser.
Being older
In Brazil, you do not want to associate with losers. Not in the least. It is like being a leper.

The point in this is that he wasn't just a wimp to his wife. He was a wimp to society. From the secretary's point of view, she went from being a high assistant to an international boss, to the slave of a beggar. No, to someone worse than a beggar. Her status in the company fell right off the cliff.

Not only that, but that was true of everyone who associated with him. It was as if he had a serious contagious illness. no one wanted anything to do with him. No one would even talk to him.

It was like he was a child predator who had aids.

Shortly after that, I returned back to Indiana and talked with the Division Manager at Delco. We had a long and interesting talk. To cut down on all the details, let it be understood that my boss sent him back to the States. His two year stint in Brazil was cut short. 

He was only there for a total four months.

Now, this is important. The thing is, when he returned home, there was no role for him to fill. His old job and position was already filled. He was a high-priced expensive executive with no home. Yes, for a short while they put him on "overhead", but eventually he was told to leave. They gave him a severance package. And that was it.

Years later, I heard that he spent a few years unemployed. He could never go back to GM, and his experience was too specialized. Eventually he took up contract work at a much lower pay grade. I do not know what happened since then, except that I know that he had to give up his free car, and had to sell the house at a loss. I do know that he moved into a small apartment later on. And, well, that's about all I know about him and his situation.

He probably got a divorce. He should of, if he didn't.

What does this all mean?

A good wife can make a man into a strong leader. He can become important, successful and wealthy. His family would profit and benefit. His life, and the lives of all those around him would improve. A weak or poor wife would do the opposite. A bad woman can destroy the life of the man that she is with. This can be through destruction of his self-esteem (which needs to be maintained for career success) to improperly managing family finances, to everything in between.

Men, choose your wives carefully.

In my little story, a true one at that, Mr. XXXXXX ‘s wife not only destroyed his role (and great career opportunity) in Brazil, but also wiped out his stable career at GM. Unable to find work, he had to settle for a different kind of labor, one without a career, without any kind of advancement. I am sure that his piece-of-shit wife berated him the entire time. Telling him what a loser he was for his life, and not taking responsibility for all the destruction that she herself, wrecked.

People. This is real life. This is not a television show. This is not a movie. This is not all unicorns prancing under a progressive rainbow, where gay people, and LGBT folk are all living in united harmony. This is the real frigging’ deal.

Malaysia

It’s beautiful. The girls are stunning.  The food is delicious.  The weather is warm to hot, but it’s actually quite lovely once you get used to it.

Nipah Bay beach
The beach at Nipah Bay is hailed as one of the most beautiful beaches in Pangkor, and is frequented by foreigners and locals alike. There are uninhabited islands nearby such as Giam Island and Mentagor Island, surrounded by a rich diversity of corals and marine life. Snorkellers will find this beach a little haven.
“Kuala Lumpur has so much to offer.  You can enjoy city life to the fullest - there are a massive amount of shopping malls here, a variety of restaurants and cuisines, and people from all over the world.  

Tower
Along with the Petronas Twin Towers, Menara KL Tower is easily Malaysia’s most recognizable and popular landmark. Constructed in 1994, the tower stands at 421 metres and effortlessly trumps the Petronas Twin Towers with the highest and most spectacular view of the city. This gleaming tower’s spindle-like apex is visible from almost anywhere in Kuala Lumpur.
There are neighborhoods that offer their own unique character, adding a spice of life to the city that is rare to find. 

I also love how you can get away from city life pretty easily with hikes in local mountains or drives to nearby ports and beaches. 

What I love most is the diversity and acceptance - of people, of cultures, of religions, and of activities. The quality of life is amazing as  the opportunities are endless in what you choose to spend your time doing.
Muslim girl.
There is a huge Muslim population in Malaysia. This is true in all the cites such as Kuala Lumpur.
Healthcare is insanely cheap in Kuala Lumpur….at least in comparison to the USA! 

The doctors are all trained in Western cultures and are very knowledgeable.  I’ve gone to general and specialty doctors out here as well as dentists, and am now about to have a baby out here, and feel insanely comfortable!”

- Kimbra is an American expat who moved to Kuala Lumpur

Malaysia has a robust retirement visa, called the ‘Malaysia My Second Home’ program. Although it requires a sizeable deposit in a Malaysian bank, the visa is ten years and allows visa holders to purchase residential homes, as well as providing a national identity card. Any income received outside of Malaysia is not taxable.

Langkawi Islands
Langkawi is a group of 104 beautiful islands located in Andaman Sea which is about 30 kilometers off the coast of northwestern Malaysia. The Langkawi island is dominated by forest-covered mountains, hills and natural vegetation. Langkawi receives heavy rain annually which is more than 2,400 mm. From December until February there is a dry season in Langkawi and September is the wettest month on Island. Only four of the all islands are inhabited with about 99,000 people living there.

While there is a large Muslim population in Malaysia, they are not radicalized like the Muslims in the Middle East, Russia or Western China. As such, you can drink, smoke, go to bars, and have a good time. Women can go out and not be afraid that pack of rabid Muslim Syrians wouldn’t attack them. It’s a very safe place.

A few years back some radical Muslims tried to blow up a bunch of folk, and the government cracked down with zero tolerance. Today, there is hardly a peep from the radical Muslims in this nation. They were exterminated by the government when they reared their ugly head.

Night Life Girls
Kuala Lumpur girls having a great time at a bar. We can choose our reality. We can build and create the life we want. It’s just a matter of assembling it and making it happen.

England

England 1
London does not represent England. London is an “International” city located within England. England itself, is something else entirely. Here, we discuss the areas outside of London, but within the UK.

Why not England?  I had friends there, and they (no matter how friendly they are with the United States) always consider the USA to be the “backwater” insanely-political has-been.  At the time, prior to the UK break from the EU, I considered it a stepping-stone to global advantage.  However, I never pursued that avenue of thought.  Here’s an interesting article about moving to England from the United States.  It is titled “What is it like to move to England from the States?” and can be found here. Some excerpts;

“The old adage of "British food is great, if you like fish and chips, bangers and mashed or chicken tikka masala" is now a load of bollocks (bull$!@t). There are amazing restaurants to be found in London - some great restaurants and farmers markets (my favorite, bar none, is Borough Market). Once you learn to navigate the different supermarkets (Tesco, Sainburys, Waitrose, Asda, etc) and discover their online interfaces, you begin to appreciate the convenience. BUT, weekends are terrible when you consider that everything closes by five pm (1700) on Sundays.”

And…

“One thing you will miss is water pressure. Sadly, the Victorian mains and the age of many homes were designed with limited water and poor pressure. Some people say they have "power showers" which are often essentially electronic devices designed to add some pressure at the expense of a loud and powerful noise. Who needs leaf blowers when a power shower will do.”
Bibury England
England can be quaint and colorful. Here is a small village known as Bibury in England.

And…

“Everyone sits at their desk and bangs on their computer primarily, and depending on your role determines your clothing choices. Even in startups, sales is often suited and booted in sharp suits and thin trousers (not pants, as we say in America). Engineers or Developers are relatively shabby in t-shirt and trousers chic. And everyone else seems to dance to their own drum.

You can usually tell a person profession from their dress: finance and insurance people are in the dark suits and the only hint of color is their tie and shoes, which will not make sense to American fashion. Seniority is often determined by who is wear their jackets in the office -- you can tell who the junior manager is by their newer clothing and jumpers (sweaters) over their collared shirts.”
England 2
England can be very beautiful. It has a great countryside, miles of beaches and a long history.

And…

“Meeting others can happen as an American, since your accent provides no hint of geographic background that is within the English discerning. My favorite experience is saying hello to people in a locale I just joined and watch the turned heads and smiles that suddenly appear. Just remember not to be too loud and you will be well received.”

In the article are comments from a reader.  Her name is Dawn Rutherford Marchant, (or screen name) and had some very interesting comments that included;

“It is hard.  Just because people speak English, do not be deceived.  It is an utterly alien place from America culturally, and I found (and still find) the adjustments frustrating and I sometimes still get furious by the difficulties.
England 3
England is full of history. There are houses that are centuries older than the oldest homes in the United States. The countryside is wonderful.
One of the biggest realities is the drop in the material standard of living.  British wages are not as high as in the US and things are more expensive.  Obviously, this impacts on lifestyle.

Houses are very expensive and you will live in a house half the size you'd expect in the US, often attached to your neighbour and with a one car garage (if you are lucky).  There are no basements, so you feel cramped and everything is cluttered -- I've never seen a walk-in closet to date.  You will cram everything into a 'wardrobe' the size of your coat closet.  “
Pub 1
It’s intangible, but you can’t beat it. Historically, pubs (or “public houses”, to give them their full, point-backing-up name) have been a place geared towards social interaction, with the booze acting as a lubricant. Bars sometimes seem to be there to get you hammered first and foremost, with social interaction being an occasional, accidental by-product. The average Brit teen is fine in his/her local pub around 16yrs old. At a bar/the US in general, even being 21 is no guarantee, unless you have an ID, a birth certificate, and a letter from the president saying it’s cool for you to drink Buttery Nipples.

And…

“But there are pubs!  Pubs are an Englishman's refuge and the place where you meet up with mates for a quick pint,  as a routine part of 'friend maintenance.' 
English Pub 2
It’s extremely common for families, infant progeny included, to go out for a pub lunch on the weekend. When family & child go to bars for lunch, odds are that daddy will end up behind them at some point in his life. Plus there’s absolutely no tipping. COmpared to the USA, that automatically saves you 20% of the cost.
Our groceries are ordered on the internet and delivered to our front door -- as is typical for all supermarkets.  We live on the 'High Street' in our village -- bakeries, cafes, barber, grocery store and bus stop are located there, and three pubs of course.  The train station to London is an 8 minute walk.

My daughter is 14 and has 11 subject areas:  Latin, Greek, French, Chemistry, Biology, Physics, Advanced Maths, History, English, English Lit and Music (theory, performance and composition).  She anticipates fluency in French at 17.  The education system is exam based, there is no GPA.  She wants to study medicine and as med school begins freshman year, she has already begun pre-med coaching sessions at school.
Horwich Street
England is an explorer’s dream. There are so many places to explore and discover. You can walk, hike, bike, and travel via car.
Brits get a lot more time off -- 5 weeks as a rule -- and travel is a priority.  The cultural aspects of the country are fascinating - tiny it may seem, but it takes a lifetime to know this place, and with Europe, so close opportunities are expanded even further.

A massive advantage of living here is the National Health Service.  If an American could understand it, they would be amazed by its magnificence.  “

This is so good…

“The Brits have extremely strict zoning restrictions and there are no 'strip malls' -- not anywhere.  So you drive for an hour straight and won't see a petrol (gas) station or any commercial building sticking out like a sore thumb. 
English Pub the White Lion.
Here is the English pub called the White Lion. When a pub is called something hilarious/awesome (Dirty Dicks? The Hung Drawn and Quartered? Both real, both literally hundreds of years old), it’s not a cheap laugh, or a novelty, or a pun that gets old fast. Sorry, Amy’s Winehouse.
There is a deep love and care for the countryside that makes it compelling, and you can never tire of it.  It is the work of a thousand years -- a landscape built by man, layer by layer.  A masterpiece.”

As in all of these cases, I strongly advise the reader to read the original articles as there are many worthy things worth reading there.  What I have placed here are only some excerpts.  The comments are all pure gold.

Here’s a comment from one of the commenters that also lives in England from the USA;

“Beer. A pint of beer at a business lunch is totally acceptable.”

Moreover, that comment is worth Gold because it just says the world about what freedom, work and life is like once you leave the American Prison Gulag. (We never realize what our lives our missing until we step outside of our reality and start comparing with the realities of others.) No, it’s not as simple as being able to drink.  That is trivial.  It is the sum total of what you can and cannot do.

Are you, my dear reader really… actually free?

Are you the champion of your life?  Do you keep all of the money you earn?  Do you wear the clothes that you want 100% of the time, every single day, with the hair style that you prefer?  (All American companies have a “dress code”.) Do you and can you take a day off to have fun?  And, not have to ask ANYONE for permission?  (Don’t lie to yourself.  Think.)

Do you have a “boss”?  Yes. Then you need permission.

Most Americans, unless you are Bill Gates, Donald Trump or Hillary Clinton has a boss.  They tell you how to behave and how to live your life.

Once you realize that, you begin to understand the NEED to start to get a little bit more control back into your life.  Maybe you will still have a “boss”, but if you can start to reacquire the freedoms that your parents and grandparents enjoyed, then you will be on your way to happiness.

Woods
English can seem magical to an American. It is a great place for walking, hike and just travel.

Consider the drinking culture. Let’s compare.

When I was at Delco, the rule was that you could lose your job if the management found you to be hungover, drinking near or during the ten hour block of time associated with work, lunch and commute. They strongly policed this rule. It was “for our safety“, and “to become more competitive” were the excuses. However, if this was the case, then why did it ONLY apply to us “White Collar” workers and not the rank and file union staff?

In general, America has more of a bar scene than a pub culture. Particularly popular is the sports bar, where thousands of giant TV screens simultaneously broadcast billions of seemingly never-ending games. Such places are rowdy, loud and full of frat bros loaded on light beer.

British pubs are quiet, relaxing environments, and although there are pubs in America, they don’t serve the same function as they do in the U.K. This is because Brits occupy pubs in the same way Americans occupy coffee shops. Brits will happily go to a pub during the day (without fear of judgment) to work, read, take meetings or simply enjoy a drink.

"In every corner of every pub in every British town, you will find a fruit machine. This is not a vending machine from which punters may dispense fresh fruit for their consumption; rather it is a slot machine much like the ones found in Las Vegas and Atlantic City. Colloquially known as a “gambler,” the machine is a confusing clusterjam of flashing fruits and strange beeping that only the person with the lowest IQ in the pub can understand."

And…

"As we all know, the legal drinking age in the U.K. is 18, which means there’s a much younger clientele frequenting British pubs than there are American bars. Add to this some British lackadaisical door security, and you’ve got kids who couldn’t get into an R-rated movie without an accompanying adult buying drinks, making the pub look more like a youth club than a fully licensed taproom. 

In America, however, almost every bar will have a bouncer on the door who won’t let you in unless you have valid identification proving you’re 21 or over. 

Even if you’re 63 and look terrible for your age, you’ll still be asked for ID. 

Interestingly, in the U.K. it’s actually legal for someone as young as 16 to drink beer, cider or wine in a pub so long as it’s with a meal and they are accompanied by an adult over 18 who purchases the alcohol."

-BBC America

In fact, the pubs in England are “Family Friendly”. Most British pubs are family-friendly and even have a playground out back for the kids. Usually there’s a separate area inside the pub marked “Family Room” where children are permitted. This ensures the little tykes don’t displease the kid-loathing adults drowning their sorrows at the bar. Meanwhile, I don’t recall ever having seen a child in a bar in the United States.

I look back at the USA in this regard and lament the loss of freedom that the British obviously retained.

Finally, I want to throw my “two cents” into the ring about drinking…

Japan

Japan 1
Japan is very beautiful. The government sees to it that the natural beauty of the countryside is maintained. I really wish that the USA would devote some money to preserving buildings and planting trees like the Japanese do, instead of giving it all away to third world countries like Obama has done.

Why not move to Japan?  Indeed, Japan has always interested me.  I think it began with the Ultraman televisions series that I watched as a boy.  Maybe it was the pretty girls, the J-Pop music, or perhaps it was the cool gadgets that were always being invented there.

While everyone focuses on the absurd and crazy ways that the Japanese handle things, the fact and truth is that Japan is an exceptionally beautiful nation. It is intended to be that way. For instance, after World War II, most of the forests in Japan were all cut down for the war effort. Since then, the Japanese set forth to preserve which forests remain. This has resulted in amazingly beautiful woods, parks and natural areas.

Beautiful-Japan-2
Japan is very beautiful and people make it a point of pride to treasure it. They take pleasure in the colors, the arrangements, the scents and the atmosphere. The result is wonderment.

I visited, but never moved.  Never the less, other Americans have moved and they have some interesting things to say about the Japanese culture.

Cool and Crazy

きゃりーぱみゅぱみゅ - PONPONPON , Kyary Pamyu Pamyu - PONPONPON
きゃりーぱみゅぱみゅ – PONPONPON
“I'm guessing that one of the top reasons people want to move to Japan is because of how eye-poppingly insane everything here is. Japan is the patron saint of the Internet -- everything is either batshit crazy or adorable (or both), with the sole requirement of being impossible to explain in any conceivable context.

Man, I'm about to destroy a lot of illusions.

To start, let's talk a little about Japanese TV. You may have seen clips of some X-rated Japanese game show floating around the Internet wherein an audience full of young women eagerly compete for the privilege of having sex with some random guy on stage in front of hundreds of people (if not, you're probably searching for them right now). Here's the shocking truth behind those videos -- they are porn.”

Gasp!

“As in, they are professional pornographic videos dressed up to look like a game show, because ... you know, just because. Does porn need a reason?

There's a Simpsons porn out there featuring people sweatily groping each other in jaundiced yellow body paint, for Christ's sake. Nobody is posting clips of that on the Internet and claiming it's the new season ... well actually, somebody probably is, but nobody would seriously believe that.”

Oh, don’t be so sure about that…

“The porno game show I'm referring to is called Kobe Surprise, and it is every bit an actual game show as Walt Disney's Pocahontas is a historical documentary. Just like those goofy "true life" pornos MILF Hunter or Bang Bus, nothing about it even approaches reality, yet all you apparently need to do is tell everyone it's from Japan and suddenly people think it comes on every night after Wheel of Fortune.

Don't get me wrong -- there are some daffy game shows in Japan, and there is definitely erotic programming on late night TV, but the country isn't full of shamelessly sex-crazed lunatics who have stopped trying to measure the immensity of the shits they no longer give.

"So what about those infamous vending machines that sell used panties?" you might ask. Well, they do exist, but they were outlawed nearly 20 years ago. You can still find one from time to time, but they are illegal as fuck and usually hidden in sex stores or fetish clubs, and not in a bus station next to the Mr. Pibb machine.”

Another illusion shattered.

Must love cats.
Japanese television series titled “Must Love Cats”. The Japanese love cats. It’s all about going cat crazy in Japan.
“I shook my head particularly hard when I read about the Japanese fundraiser that allowed people to squeeze some hot girl's breasts if they donated money to AIDS research, because every website that reported on it wrote about it like it happens every Tuesday in Japan. It doesn't. The event was hosted by a freaking porn channel -- that's like if Hugh Hefner held a topless car wash at the Playboy Mansion and the BBC told the rest of the world that there was one next to every McDonald's in America.

But maybe you dream of living in Japan not because the porn flows like water (it doesn't, at least not any more so than in any other country where the Internet is a thing), but because of your love for anime, which is so popular and widely accepted among Japanese adults that you could enjoy your obsession in peace with the approval of your peers. Even though we've moved on from porn, don't put those tissues away just yet -- you may need them for the next paragraph.

After all these years in Japan, I can honestly say that animation (major studio productions notwithstanding) is still mainly considered kids' stuff. There is shockingly little anime on television, and most of it is unapologetically meant for children. The only adults who really get into it (referred to as otaku) are usually perceived by the media as overweight, unwashed weirdos who are probably child molesters. Sound familiar? You're bound to spend just as much time hiding your Trigun DVDs from company here as you would anywhere else.”

What about the Stuff…

“To me, Japan isn’t even a country. Japan is its own planet... completely different than anywhere else in ways that are incomprehensible to most westerners.”

-Simon Black

There is no doubt that Japan has it’s fair share of unusual (and creative) stuff. This runs the spectrum from dental floss to unusual automobiles, and everything in between. In fact, entire books and movies can be written about this one aspect of this culture. (Which is yet another one of the reasons why I oppose the effort to make everyone and everything “equal” and the same.  Such as what we see in the Obama administration, and in the EU from Brussels. Different is good. It is not bad.)

Potato Bug cell phone.
Japan has all kinds of crazy things. I particularly like this potato-bug styled cell-phone case. Can’t you just imagine using it to talk on the subway, or better yet putting it in your purse? Cool.

Japan is unique, and for me, I think it is just wonderful. They do things their way and on their terms.  They just don’t care what others think.  When President Obama wanted them to open up their borders to permit Muslim “refugees”, they politely declined.  As they expected that any immigrants to their nation, [1] assimilate, [2] do not try to change anything, and [3] observe THEIR customs (not the other way around).

I truly wish that America would be this way. You see, I strongly believe that it is our differences that make us all great. Not our similarities.

Japanese vegetables
How about some fine Japanese vegetables? Here we have some grown in molds and then hand painted. Cool idea. Now how about Donald Trump, or maybe pumpkins shaped like Hillary Clinton for Halloween?

That being said, the rigidity of the nation might be a little uncomfortable for many Americans.  As it has become stylish to cover oneself with tattoos, and promote multi-sexual trans-gender confusion.

The Japanese are a very traditional society, and as such they are conservative. Which is something that I favor. They might be odd, and beyond a little strange, but they are certainly traditional.

Japanes Tattoos
Tattoos are generally not tolerated in Japan. They are associated with dangerous gangs and unsavory types. You are not permitted to publicly display them, and you are most certainly not permitted to enter public pools with them.

Japan is a traditional nation. They take pride in their culture and in their traditions. For instance, gender roles are fixed and stable.

Learn English the right way
Learn English with proper gender roles. Japan takes pride in it’s culture and in it’s traditions. They absolutely do not welcome change, and like most other Asian nations, have a very dim view of SJW Social Justice Warriors.

Japan has all kinds of interesting traditions and holidays. This is something that I have always found quite interesting. If you go to another nation, you want to experience their culture. That is, instead of hiding away in Starbucks of McDonalds. You really need to go out and live life to it’s fullest.

Giant Penis
Only a selfie stick can pick up the vastness of this pink penis. Image is a snag capture from Tumblr including the text below it.

Working in Japan

From an article titled “The best and worst things about living in Japan” found here. The author sends some time discussing working in Japan.

“It's ridiculous that people show up 15 minutes early and stay 90 minutes late every day. It's expected that if you are sick, you use a vacation day rather than a sick day. And to be hire-able at your next job you need to show that you've used as few vacation days as possible.

It's also not too rare for people to have to take weeks or months off of work due to "mental illness" but it's actually because they are so #$!% overworked and stressed out.

Everyone pretty much realizes that the work-pace in Japan is rather arduous. What people don’t seem to realize is that the work culture in Japan goes hand-in-hand with the Japanese culture as a whole.

When my grandma died, my supervisors expected that I would follow the same rules as everybody else. You get one or two days off work for grieving and travel to the funeral, any other time is to be vacation time. So I had to pull out my contract and remind them that they agreed to give me a week in such an event. So yeah, moral of the story: Japanese people are overworked and under social pressure not to relax.

This all sounds pretty lousy to me. I mean, my job isn't very stressful at all, but I still really look forward to my days off and just having time to relax. I can't imagine living and working in Japan being so stressed out and then being discouraged to take time off. It sounds awful.”

The author of the article then goes on to compare the United States with Japan.

“Compared to American public transport at least, Japanese public transport is unbelievably awesome. In Europe and other countries, it's probably pretty good as well, but the Japanese have really got it down pat. Their subway and train systems are crazy convenient, accurate, and make getting around the country so much easier. Even their buses are awesome.

When I was in Japan, I never felt like having a car would have made my life more convenient. Having such a integrated system of public transport made getting around very easy and simple and it's one of the things I miss most. Japan sure does love its trains though.”

From a different article titled “5 Things Nobody Tells You About Living in Japan” found here and here are some interesting statements made in the article;

“Everything Is Frightfully Low-Tech.  Quick question: When was the last time you had to use a fax machine?  "What is a fax machine?" is an entirely acceptable response.

Well, you should try moving to Japan if you want to recapture the magic of the fax machine and other 1990s technology. I actually use one about twice a month to send out my work invoices, because many of the Japanese companies that employ me do not accept paperwork by email. The rare ones that do require that my Word/Excel files be compatible with their 1998 version of Microsoft Office, which is sort of like requiring your Avengers Blu-ray to play on a Betamax machine.

My point is, on the surface Japan seems like the closest thing Earth currently has to a moon base, what with their stock exchange being entirely computerized and wireless Internet literally coming out of their vending machines.

But the truth is, many things are still being done in painfully old-fashioned ways, a phrase which here means "by hand and on paper." Actually, having seen the amount of paper a typical Japanese office goes through, I feel safe in assuming that the entire country has declared a shadow war on both the information age and trees.

How can this be? Well, Japan is still mainly in the hands of the older generation: Over a fourth of the population is over 60, and they're in no particular hurry to adopt new technology (particularly not Apple products, because as far as Japan is concerned, Steve Jobs can go fuck himself). Institutions like banks, the postal service and government offices still keep all of their records on paper, maintained and filed by superfluous personnel who could easily be replaced by an old Soviet computer (which incidentally is more or less what a friend of mine at a Yokohama municipal office was using at his workstation as recently as 2010).

Many businesses still don't even accept credit cards. A Japanese airline can get you to any corner of the globe without a hassle, so long as you're paying in cash, even if the tickets come up to a few thousand dollars each (and I wish I wasn't speaking from experience). This is made even more difficult by the fact that I don't think I've ever seen a 24-hour ATM anywhere in Japan.

That's right; most banks in Japan keep their ATMs indoors, which means that once the banks close (typically around 6 p.m.), so do the machines, utterly defeating their entire purpose for existing. 

It's another extension of that technological resistance -- pretty much anywhere outside of Tokyo harbors a deep generational resentment for automation. They don't want the ATMs operational while there aren't any bank employees around to help in case something goes wrong (although outside of users being clubbed with a thermos and robbed, the list of possible mishaps is embarrassingly short). 

You can always try an ATM at a convenience store (the number of which currently exceeds the national population), if you don't mind the variable transaction fees that seemingly change at random. And that's only if your ATM card will even work in machines outside of your bank, which it almost certainly won't. “

And…

“The good news about health care in Japan is that your insurance is accepted pretty much anywhere. The bad news is that most hospitals keep shorter hours than a Blockbuster Video. 

Generally speaking, Japanese hospitals are only open from about 9 a.m. to 6 p.m., and are usually not open at all on the weekends. 

So if you lop off a digit at a family barbecue, you basically have to wrap your stump in a Pikachu towel full of ice, wait for Monday to roll around and hope your severed finger doesn't get freezer burn.”

Yikes!

Here is yet another article about living in Japan.  It is titled “What is it like living in Japan as a foreigner?” and it can be found here.  Here are some tidbits;

“Unnecessarily long work hours.  It's the norm in Japan that everyone needs to work long hours.  Employees won't leave the office until the boss does.  If it means surfing the internet, chatting with colleagues, or doing anything else other than work while waiting for your boss to leave then so be it.  It's not really a big deal unless you want to go home as early as possible to spend time with the family.

If you have a baby and need a stroller, what a hassle!  Space is a premium in Japan so most places where you need to push your stroller are narrow: doors, corridors, spaces between tables and chairs in restaurants, etc.  This causes inconveniences for you and others.  And others don't seem to hide their annoyance at you.  Forget about bringing your heavy duty stroller from abroad.  To get around, you're going to need a light and compact Japanese model that you can fold and keep in your pocket (ok, I exaggerate).

The subway system is excellent!  Unless you have a stroller (or are in a wheel chair for that matter).  Not all subway stations (in Nagoya) have elevators or even escalators.  We lived next to such a station so whenever my wife wanted to go anywhere not walking distance she had to managing going up and down flights of stairs with a stroller, a baby, and a young child.  Did she get any help?  Did I mention that people in Nagoya aren't very friendly?

Never mind about not being friendly.  Many are downright rude.  Imagine you get off the subway train and are now waiting for the elevator to take you up.  What many will do, especially teenagers and young adults, is as soon as the elevator doors open they'll just cut in front of you from the sides and fill up the elevator with you still standing outside the elevator waiting to get in.  And it's not because you're a foreigner.  I've seen them do this to others with strollers and even to old people too.

Too much cigarette smoke everywhere.  Even in restaurants, the non-smoking section is usually just a small corner in the back.  Yes, they make you walk through all the smoke to get to a not-very-isolated-from-smoke non-smoking section.  Out in public, it can be a challenge if you want your kids to avoid secondhand smoke.”

And in common with China as well, you can enjoy this comment…

“You get a lot of attention. Let's just say men tend to date girls one or two rank above what they would date "back home" by virtue of being a foreigner. But it's not just there you'll receive attention, it's in general life. In the street, you'll be ignored like everyone else, but in a social situation, particularly with beer involved, people want to talk to you. They are curious. They come out of their shell and ask you lots of questions. It can be a lot of fun.”

And…

“"Foreigner" is too broad of a term.  Your experience in Japan will differ wildly depending on what caste of foreigner you are. Your caste is determined primarily by your ethnic/national background, but your economic standing and personal charisma will play a role as well.

Here's the rough ranking, from most to least desirable:
  1. White Western European or North American/Australian/NZ
  2. White Eastern/Southern European, South American
  3. Developed East Asian (Taiwan, SK, Singaporean, HKer etc)
  4. Developing East Asian (mainland Chinese, Vietnamese)
  5. Southeast Asian
  6. Middle Eastern, South Asian (basically “brown people” to use the rough term)
  7. Blacks
It's also not a perfectly linear ranking of course, there are caveats to each caste. 

East Asians, especially ones from the Sinosphere, can be accepted almost as full Japanese after a while.  This is especially true with Taiwanese.  Among East Asians, Taiwanese are regarded particularly well. This is in contrast to whites, who while treated with more reverence, are almost never accepted as "Japanese".  So they will always be considered outsiders.

But by no means is it a bad deal to be Caucasian of any nationality in Japan.  The position is so comically worshipped that there's literally comics written specifically to address the issue: Charisma Man”

And welcome to the rest of the world, outside of the Western cultural bubble, you see this…

“There are no discrimination laws.  If you look at some job postings, you may see a listing for a flight attendant as "Light skinned attractive woman, less than 50kg, at least 167cm and between the ages of 22 and 30".  Once she hits 30, she will either be transferred or let go. 

Schools that do home stays for students have difficulties because the Japanese family may only want a blonde, blue eyed female student that's not fat but legally the school can't accept such a request.  Companies the same thing.  You may see an foreign recruiting agency trying to get around things by putting a requirement of "no more than 10 years work experience", which is their roundabout way of saying the Japanese client requested someone under 30. “

And, in common with China and the rest of Asia is this statement;

“Education and job are highly regarded in Japan, and most of Asia.  If you are well educated and have a great career, you will be treated well and respected even more so than in the US.”

Office Women

Nell provided some real “meat” in regards to details about what it was like to work in Japan as an American expat woman.  Interesting reading indeed;

“Japan was my life dream until I really lived there.

I chose Japanese language and culture as my major in university, I felt the sound of Japanese language was extremely attractive that every night I listened to my text book record as a¨lullaby¨ before sleeping.

After graduating, when I got an offer from a company in Japan, I felt like I won a lottery. So I started my Japan living experience as a foreigner.

The first shock was during the 3 days newbie training in Tokyo head office.

I learned a word 相槌 (aiduchi) which basically means when somebody (especially a senior in the company) talking to you, no matter what he/she talks, no matter you agree with him/her or not, you must keep on nodding, saying そうですね (soudesune)、なるほど(naruhodo)、はい(hai)、ええ(ee)、勉強になりました(benkyo ni narimashita) etc with a perfect smile, to show your respect. 

I understand and admire the social convention and manners like this, and actually it was a part of my "fancy elegant Japan" image, still when I had to do it nonstop for 8 hours, keep a polite sweet soft voice(which is not my usual, or, let me say, real voice), and keep those sincere "I admire you, senior" eyes... 

It did drive me crazy.
Office Woman
Japanese office woman. There are all sorts of traditional unwritten laws in Asia. There is also a kind of “uniform” that business women wear.
The second shock was in the “welcome party”. 

We newbies were the “main characters” who were supposed to give some special “show” as a tradition. I was lucky because I was both a female and a foreigner, so nobody asked me to do anything except for a short speech introducing myself. 

But my “douki”(the coworker who attended the company same year, so my newbie coworker) was a Japanese guy. Senior coworkers in my apartment forced him to drink a whole bottle of Tabasco in that party in front of everybody. It was a situation he had no way to escape. He did. Then he vomited terribly. 

I helped him cleaning and later we became friends- I realized why in Japan lots of “douki” coworkers have way better relationship with each other than with other coworkers- They kind of need a “union” to protect themselves from seniors in this strict “upper and lower relationship society”(縦社会 tatesyakai、上下関係 jyogekannkei).

I said I was lucky to be a female that no male coworker in the company “bullied” me that much to feed me a bottle of Tabasco. But soon I felt maybe to be a female was not that lucky.

In our office (and lots of traditional Japanese companies), there were 2 kinds of positions. “総合職 sougousyoku”(general position) and “一般職 ippansyoku”(common position).

Former is the positions you can get promoted step by step, usually require higher education background; and latter is the positions basically you can never get promoted, usually ONLY for women who wouldn’t work for a long time, would quit job after get married or give a birth. (I have never seen any male in a “common position” in Japan. But if there is please correct me). 

So in our office, all men were in “general position” and all women were in “common position” except me. I was the only female in a “general position”. At first I was kind of, a bit proud of myself. But soon I found it was a complicated situation. 
Japanese officer worker 2
Female workers in Japan have all sort of rules and regulations that they must follow. THis is true for mean as well. This often comes as a shock to American women as they are not used to such restrictions in dress, and behavior.
Every morning female coworkers make tea or coffee for the male coworkers who they were working with. But it’s hard to say it’s part of their work as assistants or it’s just kindness. Still I have never seen any male coworker making coffee for a female coworker or a male coworker. 

I was a junior, I had a senior male coworker as my trainer. So I very much hesitated if I should make coffee for him. Finally I did, still I felt it’s something I HAD TO do otherwise I would look not nice and would be DIFFERENT with other girls which would make me a difficult situation in the office.

Couples years later when I made some real Japanese friends, I tried to ask them why I always saw Japanese women pour tea, pass chopsticks and tissues when eating in restaurant for man, but seldom see men do such things for women? 

They answered me honestly “Hmmm…we got used to it… and the tea made by women is more delicious.”

I found some “benefits” to be a woman in Japan too. Though I needed to serve beer for male coworkers when eating in a restaurant like my other female coworkers do, girls usually pay less than guys when separating the bill. I guess it’s somehow a “balance” in this society.

Another shock is overtime work. In the first year I lived in Japan, I never finished work before 9pm, the average was 10pm. Sometimes I finished work earlier, or had nothing to do. But I dare not go home, because my boss and seniors were still working. 

It was too shame to let them know I was "free". 

Later I got to know sometimes my boss and seniors do the same, they don't leave the office because it's too shame to let their staffs know they are "free". And of course, the overtime is basically no pay.”

Jinji ido

In Japan, however, at the end of the fiscal year in April, they have what’s called “jinji ido” (“moving people around”). Basically it’s musical chairs within the company, where the bosses shift some employees around from department to department. Were you working in human resources for four years? Congratulations, now you’re in sales! Weren’t popular as a secretary? Well now you’re working in finance.

No one is safe from the “jinji ido” – some number of bosses, fresh employees, and veterans are all shuffled around every year. On the one hand, this makes working for the same company your entire life not a completely soul-crushing prospect, since you always have the hope that you’ll be moved somewhere else next year if you don’t like your current boss or department, but on the other hand, it helps justify Japanese people feeling chained to their jobs.

Either way though, it was always fun watching the results of the yearly Japanese office Hunger Games.

Oppai

 photo vlcsnap-00015-1.jpg

An oppai club in Tokyo is similar to many bars around Asia, but with some twists. All over this part of the world it is very common for guys to go to bars or KTV’s and effectively pay for the attention of girls.

Here is a writeup from Guys Night Life on the Oppai clubs in Japan;

“We don’t necessarily mean sex, just to have a sexy girl sit with you while you enjoy your drink. That is how things work at the oppai clubs in Tokyo, but as we mentioned there is a twist. Calling these a strip club certainly wouldn’t be correct, because when you think of a strip club you think of sexy girls dancing naked on stage. 

That doesn’t happen here.

Instead what happens at the oppai clubs is you pay to have a few girls sit with you, usually it is 3 girls for a little under 15 minutes each. When they sit with you they pull their tops down, and your hands are free to play with them titties.
Keep calm and oppai shibotai.
Keep calm and oppai shibotai.
Some girls may do some kissing (you have to brush your teeth when you enter lol) or will let you suck on their tits, but not all will. There are some set-in-stone rules at oppai clubs, and if you break them you will be asked to leave.

First off your hands are only allowed to play with the boobs, you can’t go down between her legs. Sometimes the girls might do some light cock rubbing through your pants, but you can’t pull your dick out and aren’t going to have sex or get a blowjob here. The most famous oppai club in Tokyo is Pretty Getter which has multiple locations. Another one you can try is Ooedo, and there are others around town as well.

How much does an oppai pub cost? It is roughly $60 to have the 3 girl rotation that lasts a little under 45 minutes. You will need to buy a drink as well.

So, in one way they are a lot like strip clubs in the western world because you are going to go there to get horny and blue balled. However unlike in the western world you can head to a blowjob bar right after to alleviate that concern.”

Yeah. You can go and sit down with three girls and play with their breasts for 15 minutes or so. Kinky, but cool.

Girls

Japanese girls are very similar to all Asian ladies. I find Asian ladies very attractive in a very kind and sweet way. Here is an interesting quote on this subject;

“Coming from a culture where the women are taught to be big-mouthed, rude, and disrespectful, the American visitor to Japan will at once be pleasantly surprised by the poise, demeanor, and courtesy of the Japanese girl.  

This to me is sexually attractive.  It is nice to be treated with respect wherever you go.  Even when your approach is rejected, it is done with such exquisite politeness that you feel nothing unpleasant at all.
Traditional female dress.
I find that traditional Japanese clothing is very visually appealing and attractive. The Japanese women do actually wear traditional clothing. It is not a rarity.
As I’ve gotten older, I have come to value courtesy and politeness very highly, perhaps because it is so rare in Anglo-American women.  

Obnoxiousness does not equal strength, as American women believe.  

Boundaries exist, and everyone knows what they are.  Japanese culture also teaches humility and self-sacrifice as positive virtues.  This ethic is extolled in countless historical dramas, soap operas, and other cultural contexts.  Japanese girls are genuinely humble and modest, for the most part; and this character trait I find very appealing.”

-Quintus Curtius

Fast Food Insanity

Finally, let me just say that the Japanese has taken the “Fast Food” engine and allowed it to run amuck (off the rails). Here, in Japan, you can see the most extreme versions of American staples.  Really, do the Japanese actually eat these creations?  I actually wonder.

Extreme burgers in Japan
Japan really does seem to enjoy the extreme side of living. I mean, who can actually eat such creations? They look great, but one burger would be enough to feed you for a week, don’t you think?

Another thing that I really like about Japan is that they like the gender roles to be clear and enhanced. Girls and women should be demure, cute, pretty and attractive. For they are the bedrock of the society.

As such, even the workers in McDonalds are cute and adorable.

Japanese fast food workers.
Japanese fast food workers. Aren’t these girls cute? I really like the girls in the fluffy dresses and the hair ribbons. It is so attractive. This is McDonalds in Japan. Bet you didn’t know that.

Links

Some interesting links;

Sweden

Mr Bernie Sanders opined about the benefits of living in Sweden (yet he never moved, I wonder why?) and because of that he wanted to transform the United States into some kind of socialist paradise modeled under Sweden. 

His ideas sounded stimulating to say the least. The kind of stuff that people who have never held a job, worked for a boss, or paid taxes would believe.

Imagine that… a place where everyone is equal and all contributes to the common good and everyone is equal, happy and content. What’s not to like? (At least that is what his followers thought.)

Personally, I disagree with this philosophy. In fact, I strongly believe that it is our differences that make us strong. Not our similarities.

Sweden on the coast.

Sweden has many nice and tight coastal communities up and down the nation. Each one is quaint with their own sense of belonging. Here is an interesting article titled “I’m an American living in Sweden. Here’s why I came to embrace the higher taxes.” Written by Tom Heberlein on April 8, 2016.  It can be found here.

“In Sweden, the four-page tax form comes in the mail already filled out. On a Saturday morning, Betty and I take our coffee to the couch and review the forms. Seeing they look reasonable, as they always do, we "sign" with a text from our phones. In 15 minutes we are done. We don't have to hire a tax consultant, and we avoid fights about whether a print cartridge bought at the drugstore is a business expense or not.”

And…

“When the conservative government, favoring lower taxes, came to power in Sweden in 2006 one of its first steps was abolish the property tax and replace it with a fixed fee. The real estate fee for services is 7,112 SEK per house ($825 at current exchange rates). This is the same for everyone no matter what the assessed value of the dwelling. The fee is $12 a month for our co-op apartment in Stockholm. If we owned the same property in Madison, our taxes would be $18,000 a year.”
Hiking Shelter.
A hiking shelter. In other nations, such as Sweden, the government has invested in parks, hiking trails and shelters for the citizens to use and enjoy.
Sweden is a very good example of “looking outside of the box”.  We, as Americans have become so accustomed to the “American way of life” (that is to say “the American way of doing things”) that it is actually impossible, and even shocking, to see that things are done differently elsewhere.  It is, to us, inconceivable. 

However, that is a real problem.  Given the size of the human population that there can NEVER be a “one size fits all” top down, centralized approach to social governance, no matter what the statists, and the progressive social democrats think.  Humans need and desire independence.  We need to find out what fits us and do things in a way that enables us to live our life in peace and harmony.

For some, this might be along the lines of what the Swedes do.  For others it might be more akin to what is practiced in North Korea.  For others it might be similar to how one lives in France.    Rather than look at the good, and at the bad in a foreign location, I propose that one conduct a full immersion in a different nation and decide themselves what is preferable to them.

It is the same as what I have done in China, and what most long-term expats have done as well.  Every place has both good and bad aspects. It is up to us to determine which aspects of our life is most signifigant to us, and then move to an area that best supports those ideals.  For me, America today seems to only be beneficial for the uber-wealthy in the large urban mega-cities on the American coasts, and not really beneficial in the rest of the nation.
Firewood
Fireplaces and snow. When Winter comes around you can bet that the Swedes keep warm and toasty in their wood-stove heated homes. When I lived in Wrentham, I had a wood stove and it kept the entire house (it was quite small) nice and toasty in the Winter.

In my mind, if you are able to be happy and satisfied in your labors and with your family, then the undesirable aspects of a place can be minimized in importance.

Some people, like my brother, love the mountains and they love the snow and the cooler weather.  I cannot blame them. There is something absolutely awesome about coming home after a good hard day’s work and firing up the wood stove, and having a nice warm beverage.

I used to live in a cabin on Lake Pearl in Wrentham, Mass.  The living room window overlooked the lake and it was surrounded with fresh pine trees.  In the wintertime, the snow would fall, and I would watch the squirrels going up and down the tree trunks while the fire crackled in the wood heater. 

Ah, good memories.

Cozy Cabin
A cozy cabin would be wonderful on a nice cold snowy day. Don’t you think? You do not need much. Just a wood burning stove – banned in the United States (thank you president Obama) and a rack to sleep on.

In Sweden, everyone is beautiful. 

Everyone. Sorry, no exceptions. In the back of your head, you’re thinking, Well, how beautiful can they be? And it turns out, really fucking beautiful. It’s weird. It’s almost like a new planet of hot people. According to Greg Poehler, a Swedish 5 is an American 12.

Greg Poehler is an actor who plays a celebrity accountant who relocates to Sweden. This actor moved to Sweden, from New York, in 2006.  He has strong opinions about Sweden.

And nudity is no big deal. (Like in Germany, and Iceland and the rest of the civilized world.)

That’s why you’ll see it on the Swedish show “Welcome to Sweden” (The Swedish version of course, it is censored in America.). Nudity’s not a thing for them.

I mean, it is a thing, but it’s a thing that’s constantly around, Poehler said that his kids swim naked all summer and then have to deal with their own culture shock when they come to the U.S. and have to cover up.

Poehler believes the nudity is actually a good thing:

"Even at a young age, you can just see how accepting they are of nudity and their bodies."

Friendship is largely based around dinner parties.

It can be difficult to make friends in Sweden, because most Swedes already have a strong group of friends that they’ve known for years. Good luck scoring a dinner party invite. “The party scene there is all about dinner parties,” Poehler explained. “So your friend selection is actually limited by how many seats you have around your dinner table. And unless somebody dies or gets divorced or moves away, you have no chance of getting invited to that table.” It’s an ongoing struggle: “I’m still trying to work my way through the dinner party scene.”

Television.

"In general, the Swedish viewing habits are much like it was in the U.S. 40, 50 years ago, where people are kind of all watching the same thing," Poehler said. That helped Welcome to Sweden get such impressive ratings. "The top 10 shows tend to get that type of number." And the "Friday night death slot" as it's known in the U.S. is actually a good thing for Swedish shows: "Our show was on Friday nights, which here would be a terrible night, but there is like the biggest night."

Log Homes

Log cabins have been traditionally built in this part of the European continent. Countries like Norway, Finland, Sweden, Russia and other Baltic regions were the regions where the log cabins have been built for centuries. The growth of coniferous trees in this part of the world is the primary reason for building these structures.

Wood cabin
The log cabin was “invented” in Northern Europe, and Sweden is perhaps the center of that trend. The idea of rustic homes bravely facing the harsh Winter weather came from Sweden.

The shape of these trees and the quality of wood obtained from them is ideal for the construction of log cabins. Migrants from the Scandinavian countries (especially from Sweden) who settled in America in the early part of the 17th Century are credited to have brought log cabins to Northern America.

Taxes

Sweden, as far as the United States is concerned, is a land of high taxation.  However, that might not be a bad thing. Yes, the taxes in Massachusetts were high.  Yes, everything is against the law in Massachusetts.  However, that does not take away from what I found most charming about it. (And to this day, I still miss my life in Massachusetts. I still consider it a wonderful place to live.)

One should NEVER make two-dimensional judgements about a region and a people until they first go out there and see it with their own eyes.

So, I say to everyone and most especially the readers herein, go to Sweden and make your own opinions.  Don’t fall for the Bernie Sanders narrative that Sweden is “Heaven on Earth”, nor should you fall for the Alex Jones narrative that all taxes are evil.  Find a middle-ground; a an area where you (personally) can find comfort.  Then go move there and live your life.

Below is a summary of the Swedish tax system.  I believe that I picked it up on Tumblr sometime during the 2015 – 2016 time period. I do not know who created this document, or whether of not it is accurate and reflective of the current taxiation system in Sweden today.  It is presented for the amusement and consideration of the reader.

Income Tax in Sweden.
Income Tax in Sweden. Off hand, I would say that the taxes seem prohibitively high. However, that might be offset by other issues. The only way to find out is to actually go to Sweden and find out for yourself.

Now, there are others who offer an opposing view toward Sweden. Here are some interesting quotes worth consideration…

“Higher education may be free but students in Sweden often have to take out loans to cover living expenses including rent and food while studying. 85 percent of students in Sweden graduate with debt and these fresh graduates also suffer from a high debt-to-income ratio once they land jobs. Sustaining oneself while trying to establish a career can be tough. Rent in centers like Stockholm can be both expensive and hard to come by for most young professionals.”

And…

“Sen. Sanders claimed that lessons on democratic socialism can be learned from the Nordics. However, Scandinavians were among those quick to correct Sen. Sanders saying that they aren’t socialist. They are technically market economies. Perhaps there’s this notion that a utopia is where citizens are provided everything and that everyone enjoys freedom and equality.

 For the most part, this seems to apply to Sweden since the government covers essential services and the people have an egalitarian outlook hence the reason they’re mistaken to be democratic socialists. Still, the reality is that people have to work hard, pay taxes, and deal with competition common in a market economy if they want to thrive in Sweden.”

Peru

Why not? I guess that I was inspired by the Phil Manzanera song “Big Day” and the lyrics about Peru.

Peru woman
Here is a nice woman from Peru. There are many beautiful women all over the world. Each one comes with their own traditions, society and ways of doing things. When we have become so accustomed to the American way of doing something, it is often a wonderful experience to find others who share our traditional view of the world.

This is one of those nations that no one really considers except to travel to (to look at ancient ruins). But that should be the exact criteria to give it a good long hard look.  Certainly something “off the beaten track” is what would be most desirable for a typical American expat today.

Cheap food, housing, and standard of living would factor high in where ever an expat might want to move to.  Not only that, but having a passport from Peru will not make you a target by Islamic radicals trying to purge the world of Americans…

Peru. Only in Peru.
Peru. Only in Peru. This is a very unassuming nation in South America. It is quite different from the United States and that makes it very interesting to me.

Here are some comments by an expat in Peru.  They have a blog that can be found HERE.

Driving

Apparently, it is pretty terrifying.

“The roads [in Peru] are pretty unforgiving. I once made a trip, well, tried to, from Trujillo to Otusco. I eventually turned around... those cliffs really humbled me. 

I have gone up and down the coast -- flat, no cliffs -- all the way to Tumbes at the Ecuadorian frontier with no problems. I could write a couple of chapters about the experiences with buses and cars in a hurry, passing on curves... single lanes on high passes... cliff collapses... buses passing you on curves drafting you first or meeting a bus head on coming at you lumbering and top-heavy around curves... YOU GOT NO PLACE TO GO. 

WEIGHT IS THE DOMINATING PRINCIPLE. THEY PUSH, HONK AND INTIMIDATE EVERYWHERE BUT ON THE CLIFFS. Life and death. I have frequently seen three people on a motorcycle with a kid sandwiched in the middle - all no helmets.”

Lima is a Cat City

From another blogger. Found HERE.

“The premiere Mall in Lima is Lacomar right on the ocean. It is an excellent mall, large and it could be in NY or any other major city and would be regarded as Excellent.

Also you can ask but in Lima is a park in a City Busy area that is a sanctuary for Cats. I mean,, the cats know it and there are a billion cats that hang there.. It is really a special place and quite an interesting phenomena.”

Cat city
In Lima, Peru is a cat city.

Internet

One Expat had this to say;

"More than six years of experience says that Internet is certainly reliable in Peru for remote sales and more. Video performance is choppy regardless of provider. Latency is an issue with video specifically. It really depends on from where you are planning on connecting and using what kind of services. There are Internet cafes in most cities and some small towns.

If you will be located in Lima and want a month to month wireless router service without phone hookup to support multiple devices in a home, then Olo can be recommended. But Olo has a delivery footprint and you need to check if your house is within that footprint. I have used that service for almost a year from San Juan de Lurigancho (Lima). I have also been a customer in the past of Nextel, Claro, Movistar, and Speedy. 

Claro/Movistar have a wireless dongle that works for a single computer. We had technical and customer service problems with Movistar. I used Nextel wide band with success for years. Speedy is fast, cheap, but good depends on the wiring in your part of the city and how much construction is happening near you.

If you are planning on setting up a high speed phone center in the provinces using existing services beyond Lima it is probably not going to happen, though I do know of a successful Skype-based salesman who did B2B appt setting daily and operated from Huanuco."

Climate

“Coastal Peru is really all desert. Where people live in urban areas with lots of houses it tends to be much greener but certainly never lush. It is dusty, but really I think all of Peru is dusty. I have never seen a screened window yet once you go outside an urban or city area unless you see farms and agriculture it is more desert like"

"Yes, coastal Peru is very much a desert. I found the scenery grows on you, especially next to the coast. I am most familiar with the Lima area (Miraflores) and the humidity is surprisingly high for a desert, but then I come from an area of very low humidity, Wyoming. I do seem to recall other places along the coast (e.g. Trujillo) seemed to be less humid,"

"Lima weather in the summer is fine, but it winter it is only gray. High humidity and lower temps... kind of uncomfortable. In Trujillo, the weather is always pleasant. In summer, when the skies are clear and blue, the humidity is high and just short of 100%, but it never rains. Rain is so unusual here that if you see drops it is a major downpour. Sometimes it is just misty and you can feel the lightest of drizzles... and even that is unusual. So, in the summer the humidity gets kinda uncomfortable. Generally summer is pleasant, but there is always a run of about 2-3 weeks where the humidity gets outtahand."

Safety

"It is possible that expats live in isolated clusters in several countries, but full disclosure, you should know that Peru has still active guerilla forces and that 17% of GDP is now from cocaine, according to National Geographic.

Apparently, Columbian 'interests' have decided to 'outsource' a bit of production and distribution to nearby countries, so I do not know how this bodes of stability or personal safety in Peru, but these are factors to consider."

"There is a particular area where Coca production prevails and there are some conflicts. Peru produces more cocoa than any other country in SA. That is production of raw material but not distribution of final product. Living in the area of Trujillo for a few years now I have had no visibility of any activities in that regard. It may go on in the background but who know. It does not affect daily living in any regard.

In all of Peru there are places known for safety and places known for danger. I have frequented Lima and flagged down many, many taxis without ever a hitch. Crime is not rampant and it is best not to exaggerate. Traveling through many parts of Peru I have never been accosted by a robber or thief. Of course, it is good to be sensible and wise, but I do not see an overwhelming or ever present threat of danger."

Conclusions

If you have a nice, comfortable life, then savor it. Appreciate it. Enjoy it.

However, if you are like one of millions of American men who have reached their 40’s and discovered that events, problems, and unforeseen disasters have decimated their savings, belongings, relationships and careers, perhaps you need to look elsewhere. Maybe you need to take a good hard look at your life and the decisions that you made decades ago that set you on the path that you now tread.

I suggest that there are many other nations and cultures out there, outside of the United States. There are good and bad things about all of them. But, given your situation, perhaps one or two might be more suitable than the progressive liberal “paradise” that the United States is turning into.

I urge you, or anyone who is asking the deep question “is that all that there is?”, to look outside the box, and consider the unthinkable; leave the United States and become an expat.

Take Aways

  • When things go wrong we often feel trapped. We become lost and directionless.
  • Part of the problem, and the cause for the lack of direction, is that we have automatically limited our options. We have, over the years, placed restrictions and limits on ourselves.
  • I suggest opening up ourselves to the reality that there are no limitations except those that we place upon ourselves.
  • A glance at a handful of other nations clearly shows that there are other places with other options for a man lost in the wilderness.

FAQ

Q: What if you cannot speak the language?
A: Well, you learn another language. If you want to be in a certain place with a specific lifestyle, then you will do what ever it takes. Most of the time, this will include learning another language and adapting to a different culture. Trust me, if you really want, or better yet, need to move and start all over again, then you will do what ever is necessary.

Q: What if I have a job, a family, children, but I am unhappy?
A: Then you need to find out what is making you unhappy.

Often it is things that have developed over a long period of time, that has taken a toll on us. Sometimes it is the little things, like having a wife that forbids you to drink cream with your coffee, or a work place that doesn’t allow you to smoke. Perhaps it is a constantly increasing  tax burden with no appreciable increase in your standard of living. When your soul starts screaming at you, it’s time to listen. Something is wrong.

You, as the man of the house, must do something about it.

Q: I think that I am going through a “mid-life” crisis, but my wife thinks I’m bonkers, what do I do?
A: Yell at your wife for discounting your emotions and your feelings. She should be better attuned to you, your feelings and your needs. Since she is disparaging you, then there is certainly something wrong; with her.

If you feel and think a certain way, then that has validity. No one, especially someone who is part of your family should ever disparage that.

You need to have a good sit down with your family and explain how you feel. It is not an issue about working out what to do, as it is a real need to state that your needs are not being met. That you are not being listened to, nor are your feelings being respected. You will be unable to continue in a life where these fundamentals are missing from your life.

Q: What criteria should be used to determine which nation to move to?
A: Safety, ease of access, and personal finances. Where ever you go, you will need to be open to making new friends, adapting to a strange culture, and change your way of life. For some it is way to shocking. Here in China, we have a saying that Americans can’t last more than three months in China. Plan, and be careful.

In my mind, the most important things are [1] the pace of life… and, [2] how hard you have to work to just live in a most basic way.

Multi-Part Series

There are so many nations and places to choose from. This post is part two of a multi-part post. My basic premise is that the world is a big place. Get out. Enjoy the differences. Savor them. Life is too short not to.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos
Mad scientist
Gorilla Cage in the basement
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Civil War
Travel
PT-141
Bronco Billy
r/K selection theory
How they get away with it
Line in the sand
A second passport
Paper Airplanes
Snopes
Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 7NOV18
  2. Completed 8NOV18.
  3. SEO review 8NOV18.

Nations that an American Man could Travel and Relocate to – Part 1

What happens when you are given a five minute notice before your company lays you off? Then they hand you a NDA, and expect you to sign it, or else they will prevent you from getting food stamps and support. What then?

What options do you have? Another stab at getting yet another American job, and America boss in an American corporate environment? Is that what your options are? As an American man, just what are your options?

There are times when you just feel a screaming need to “bug out”.

But, let me tell you something. The world is yours once you have lost everything.  That includes nations, food, culture and girls. You can go anywhere and be anyone. This is especially true for an American man who faced a vindictive ex-wife, or had an encounter with any of the many, many American alphabet regulatory organizations such as the IRS, DHS, CIA, FBI, ICE, FDA etc.

People, there is an entire world out there. It is big, and filled with all sorts of interesting and colorful people.

I urge the reader to divest themselves from the notion that the United States offers the only decent living arrangements on the globe.  There are other places.  Many others.

Some are better and some are worst.  It is up to the reader to consider all of the options that lie before them and make determinations and judgments biased upon their own individual situation, preferences, and desires.  Not (to make them biased) on fear or lack of experience…

You do not have to endure a life that is defined by others…

Introduction

The world is filled with interesting people, wonderful places, and really different ways of doing things. many of which are a pleasant surprise to Americans who have grown up thinking that the “American Way” is the only way to do things (as well as being the “best” way to do things).

Fun girls.
The world is filled with fun and interesting people. The cultures and societies are different, and in many ways there are changes that lead to a more relaxed and fulfilled life. You just need to go out and explore and venture forth. Now, come on, don’t these two gals look like they would be a lot of fun to hang out with?

There are so many nations and places to choose from.

Written by a Man

Please note that this was written by a man. It is about things that might be of interest to a man. For similar posts written by women, I would suggest the Huffington Post, the Washington Post, or Salon.

Also note that this is a blog. It contains personal opinions. If you want to read something that is unbiased and doesn’t have opinions, try CNN. LOL.

Finally, many of the things that are commonplace in other nations are either banned, censored or illegal in the United States. This post does not censor for American sensibilities. As there are people all over the world that reads it. I just can’t sanitize it for Americans. That includes…

  • Buying beer on Sunday.
  • Doing drugs in Holland.
  • Prostitution chain-bordellos in Germany.
  • Public nudity in Iceland.
  • Smoking in public in China.
  • Being able to eat in restaurants barefoot in Cambodia.
  • Traditional gender roles in Brazil.
  • The giant penis festival in Japan.
  • Buying ED medicine without a prescription. (Common everywhere.)

If you are an American, and you are too sensitive to the realities outside of America, then I would suggest you leave. Exposure to freedom is not something to be taken trivially. Real freedom is not having to ask permission to do something. Real freedom is not taxed, monitored or prohibited.

Real freedom is not taxed, monitored or prohibited.

Multi-part Post

This post is part one of a multi-part post. My basic premise is that the world is a big place. Get out. Enjoy the differences. Savor them. Life is too short.

Let’s start by talking about a tiny mountain nation smack dab in the middle of Europe.

Switzerland

Ah Switzerland.  Perhaps my number one ideal destination.  However, not my number one practical destination.

Switzerland
For a relatively small, landlocked country, Switzerland contains an exceptional amount of natural beauty and cultural diversity—with four official languages and all the variety they represent. These 10 towns spread across the country demonstrate the best Switzerland has to offer in terms of spectacular mountain scenery, flower-lined nature paths, lakefront beauty, and picturesque historic centers.

Here’s an interesting article titled “Living in Switzerland ruined me for America and its lousy work culture” written  by Chantal Panozzo on February 1, 2016.  Found here.

“During my Swiss career, I was employed by various companies from 25 percent to 100 percent. When I worked 60 percent, for example, I worked three days a week. A job that is 50 percent could mean the employee works five mornings a week or, as I once did, two and a half days a week. 

The freedom to choose the amount of work that was right for me at varying points of my life was wonderful and kept me engaged and happy.”

And…

“At my former American job, I received 10 days of paid vacation per year, and each of those days came with a sizable portion of guilt if actually used. 

But in Switzerland, my husband's company gave employees six weeks of vacation a year. 

Most of the Swiss companies I worked for gave four — the legal minimum is four. Moreover, everything shut down between Christmas and New Year's, giving most employees like me another guaranteed week off.”

Wow, it sounds like a great place to work. You can work at your own pace, and attend to your family life.  If you are too stressed, you can work out an arrangements where you work part time. If you have family issues, you can adjust things accordingly. If you need more money, you can work out an aggressive work schedule. This degree of corporate flexibility is a freedom that Americans do not possess.

Winter in the Swiss mountains…

Switzerland
Living in Switzerland would be awesome. You have the mountains, the seasons, the fresh air, and a more sensible pace of life. Spring is glorious and Fall is magical.
“About three years into my Swiss life, I lost my job. And I discovered that in Switzerland, being on unemployment meant you received 70 to 80 percent of your prior salary for 18 months. 

The Swiss government also paid for me to take German classes, and when I wasn't looking for jobs, I could afford to write a book.”

And…

“When I gave birth in Switzerland, I was encouraged to stay five days in the hospital. So I did. The $3,000 bill for the birth and hospital stay was paid in full by my Swiss insurance. As was the required midwife, who came to my apartment for five days after I came home from the hospital to check on both my health and my baby's. 

Had I been in the US for my delivery, the cost would have been much higher — and the quality of care arguably lower. The average price for a vaginal birth in the US is $30,000 and includes an average of less than a two-day hospital stay.”
Family life in Switzerland.
Switzerland isn’t just beautiful—it’s actually outrageously so. I guess it’s what I always expected. Switzerland, with its glacial lakes, the Alps and all the nature, it’s all very stunning. This kind of natural beauty in Switzerland is calming and cool. Despite the famous red color and cross of the Swiss flag, the only color that comes to mind for Switzerland is honestly and truly blue. The sky seems to be a constant shade of blue: bold and beautiful when the sun is out, and gloomy & grey under the clouds. The water, so fresh and readily available in the country, runs clear and reflects the blues in the sky. The glacial lakes shimmer. This country is blue. And it’s beautiful.

You know, working in Switzerland might be something to consider. And, of course, maybe having a child born in Switzerland wouldn’t be a bad idea. What do you think?

France

So many places to move to. Let’s talk about France.

Many people have said to me, “Oh Diane, you’re so lucky. You live in France…” And I stop them right there. Luck had nothing to do with it. I didn’t fall out of bed one day to find my suitcase packed, money in the bank, with a first class ticket on Magic Fairy Airlines, destination: France.

No. It never works like that.

My goal was to move to France and my choices leading up to that move got me here. This didn’t just happen to me while I idly stood by. I moved to France last year to be with Tom, but the first time I moved to France in 2009, Tom wasn’t even in the picture…

-Oui in France

Did you know that it is very easy to become a citizen of France? Yes it is. Look at all the uneducated Africans who now live there. However, I am not referring to that, I am referring to the French Foreign Legion. You serve, and you become a citizen. It’s a tough option, but a reasonable one for a younger man to consider.

It’s a great option if you burned your bridges in the United States, and want to start off new and fresh with a new identification, a new name, living in a new area. You just have to EARN it. That’s all.

Beautiful France
France has so many beautiful lesser-known little towns that are worthy of a visit. Whether you’re in the mood for sleepy beach towns, colorful villages, or icy slopes, there is a perfect French town for you.

When I was back in high school and planning to go to the Air Force Academy to be a pilot for the Air Force, I took French classes.  I took them for three years, as that was an Air Force Academy requirement at that time.  I never really used it except for many years later when I visited Canada, Vietnam and Zambia.

Moreover, an interesting blog about living in France can be found here.

Like the other entries here, it offers some glimpse of alternative realities that aren’t even considered possible in the United States, like for instance a Wine High School

“About this time a year ago, we had lived in France for only three weeks when an announcement on the city’s website regarding an event for that weekend caught our eye—Wine Fair! We knew that we had moved to the world’s largest grape-growing area but we didn’t realize that there was a high school devoted to the craft just a few minutes’ walk from the house. With the promise of 30 wines, 6 beers, and 2 ciders, we just had to go…for a taste, of course.
Wine and cheese
One of my favorite things to do is to drink wine whilst eating bread with cheese, olives and assorted goodies. Image that being an everyday event. OMG.
High schools in France give students the opportunity to decide if they want to pursue a university degree or prefer a more hands-on approach to a career be it industrial or laboratory science, health and social sciences, music or dance, or trades that require internships, to name a few.  

The Lycée Charlemagne, located not far from where we used to live by the castle, specializes in agriculture, so if you want to become any kind of farmer, including a wine grower, this is the place to be. 

Since Carcassonne is such a magnet for tourists, other high schools here offer specialization in hospitality and the restaurant industry. 

In contrast, we saw a TV program about Le Mans, the French city north of us that is famous for the Grand Prix road race, and is the city of choice for young people who want to learn all about automobile and motorcycle mechanics.”

A wine High School. How about that!

I well remember us complaining in High School (that was back in the mid 1970’s) that our peers in France and Europe were given wine with their school lunches. Meanwhile the Democrats in the United States were insanely active in raising the drinking age up from 16 to 21. They insisted on raising the drinking age…“For the Children”. Ugh! It was so frustrating being treated such that we were somehow stupider and immature than our peers elsewhere in the globe.

That’s Democrats for ya. Always thinking that they know what’s best… for YOU.

Until the democrats and progressive liberals took over state legislatures, boys and girls were free to drink and smoke (with parential permission).
Boys and girls were free to drink and smoke as they were growing up. This all changed when the Democrats took control of various state legislatures and enforced vice laws.

Iceland

Why not? Here is a nation that stays out of wars, throws bankers in prison when they try to bankrupt the nation, and is populated with (I hear) exceptionally beautiful women.  What’s not to like?

 On the subarctic island, consumer prices were on average 56 percent  higher than the rest of Europe in 2018, making Iceland the single most  expensive country, ahead of Switzerland (52 percent), Norway (48  percent) and Denmark (38 percent), according to Eurostat data.

In  order to avoid unpleasant surprises, Quint Johnson, had done "some  research," before travelling to Iceland from the United States for a  week's vacation with his family.

"But it's been a little bit of a  shock," the 22-year-old student told AFP, having discovered the chilling  rates for familiar items like a simple hamburger with fries and a beer.  

A glance at the menu at an Icelandic restaurant will tell you  that a plain cheese pizza will run you around 2,400 kronor -- almost 17  euros ($19) -- a glass of wine will be at least 10 euros and a pint of  beer costs about 7 euros.

"That's a big price jump compared to what I'm used to," Johnson said.

According  to the consumer price comparison site Numbeo, a dinner for two in an  average restaurant ends with a bill of about 85 euros, a bottle of wine  in a shop is priced at around 17 euros, and a dozen eggs cost up to five  euros. 

-France24

Not only that, but there are few countries in the world that can say they do not have any mosquitoes and Iceland is one of them!

Iceland 1
Beautiful Iceland. Sure it is cold, but when the sun comes out it is glorious. Not to mention that the environment lends itself to cozy comfort.

The following are excerpts from Larissa Kyzer who lived in NYC before she moved to Iceland.  Her comments can be found here.

“Forget lying about your birthday: if you’re one of those people who likes to fudge your age, be forewarned. Your Icelandic national ID, or kennitala, begins with the day, month, and year of your birthday, and you will be expected to recite it to everyone, everywhere, for pretty much everything. Get a library card, give your kennitala. Sign up for a cell phone plan, give your kennitala. Rent a video, give your kennitala. Unlike the American social security number, it is intended to be a very public number.”

And…

“…always bring a towel! You’ll find that people go to the pools a lot--if only to chat in the hot pots (the geothermal jacuzzis that are at every pool)--and even though you can rent a towel (and a suit for that matter), you’ll save a fair amount of money in the long run if you bring your own. Plus, if you carry your swim things with you, you’ll be ready at a moment’s notice for a trip down the water slide, or a gossip session or football debate in the hot pots.”

The People

Icelanders are proud, somewhat stoic people. Look, they are a race of people that sought to make a living on a cold, barren island 1000+ years ago. Pride and stoicism were necessary personal attributes. You know a country prides itself on individuality when it doesn’t subscribe to having a McDonalds. It did once upon a time before the economic crash in 2008 but has no desire to see the chain again in spite of its economic recovery.

Reykjavik
I really like the idea that the buildings are painted in bright colors and that the people try to make the most of the environment. It’s pretty darn cool, don’t you think?

Icelanders are not necessarily warm and emotive people. Get to know them though and you’ll have a loyal, lifelong friend.

Icelanders are educated and informed. The culture there expects education, many Icelanders have advanced degrees. They are up on politics and they tend to be very well-traveled.  Lastly, Iceland is a very progressive political country. For example, Iceland may be the most gay-friendly country on earth.

Financial Stability

97% of Icelanders identify themselves as upper-middle class, lower-middle class, or working class. Thus, the financial equality found in this culture, allows for a more stable society to raise a kid. Additionally, higher education is essentially free, so the cost of raising a child is much cheaper in Iceland.

Iceland city.
Scenic and beautiful, Reykjavik is a wonderful place. The people are great once you get to know them.

Weather

Iceland is a sub-Arctic country located out in the middle of the north Atlantic.  What can I say, other than the weather is cold, grey and rainy quite a lot.  You get long, cold and dark winters.

In the summer, you get long days – very long.

Basically, don’t expect good weather.  But when it comes – Oh Lord when it comes – Iceland is glorious. When the sun does come out it is simply spectacular. The blue of the northern sky is luminous and magnifies the vibrancy of the surrounding landscape by a thousand-fold.

Vacation

"In general, the pace of life was much slower than I was used to.  Icelanders work hard and they play hard, to use an old cliche.  Icelanders take long vacations, some up to 4 weeks in the summer!  

As an American, this is unheard of.  I submit to the average American, that if you had a 4 week vacation you wouldn’t (at first) know what to do with it. "

Pace of Life

"All of Iceland tends to start work at 9 and they stay till 5 or 6; City traffic follows this pattern without much deviation.  Weekends are slower affairs. Men watch soccer. 

People wake late - almost everyone.  Typical citizens would go visit friends for coffee in the afternoon. 

Coming from a country and large city where there was always a plethora of choice, Iceland was far more limited.  For example, an Icelandic visitor to the United States will be overwhelmed by the choices in US supermarkets.  She will say, ‘Why do you need 200 kinds of breakfast cereal?’"

Nudity

Again, this is a little strange for me as an American. Most of the rest of the world just isn’t as hung up on the human body as Americans are. It’s not just Germany, you know. It’s friggin’ everywhere.

Icelanders are very comfortable with their bodies, almost too comfortable if you ask most Americans. But once you are in their country, you must obey their strict public pool etiquette; no matter how uncomfortable you may feel. 

In addition to not allowing shoes in the locker room area, all men are expected to strip, and clean themselves in the public shower before heading out into the main pool area. 

I’m assuming this is also true for women in the ladies locker room, but I’ll just have to take their word for it.

Most public pools also have hot saunas, and the same behavior is expected regarding a post session wash. Icelander’s take these rules very seriously because they have natural geothermal swimming pools often heated by my mother nature. 

Due to this unrelenting desire to keep their pools and locker rooms clean, they will have no problem bluntly telling you to strip. 

Matt Eliason remembers his first time to the Laugardalur community pool in which he was scolded for not participating in the necessary public strip tease. However, the reader should relax that he has since adjusted to this uniquely “European” behavior and now show no shyness when following the public pool etiquette.

Walking out of a bar at 4am into daylight

It’s true, the geographical location of Iceland´s Nordic island situates itself in such a way that most summer days yield over 22 hours of sunlight. This presents an interesting dilemma when you are walking out of the club on a Saturday night (Sunday morning) and you take a step outside the dimly lit club into the broad daylight. 

A very interesting summary about the all-night party atmosphere, and the price of beer can be found HERE.

Lack of Big Restaurant Chains

With stores like Whole Foods, McDonald’s and Starbucks ingrained into the psyche of all Americans, being stripped of these cultural consistencies has an effect on your day-to-day life for good and for bad. What this means is that the convenience of going out at your own leisure and get a McBurger is just not going to happen.  This is both a positive and a negative.

Outside of Domino Pizza and a couple KFCs, Iceland’s economic environment encourages upscale classy restaurants, and forces out big box chains, due to the economics of importing cheap fast food. McDonald did have a brief spell in Iceland, but the business plan proved to be economical enviable.

Language

Everyone speaks English. 

While it’s always a good idea to learn a few words of the language where ever you travel everyone Brianna encountered spoke excellent English.(There was definitely a chuckle or two when she tried out her very limited Icelandic).

Chile

OK. That’s enough of the areas about and around Europe. Let’s take a spin to South America. Let’s look at Chile.

Chile? Why Chile of all places? You might ask.

Beautiful Chilean women.
Girls from Chile tend to be beautiful. Monica Godoy is a successful and one of richest actresses from Santiago, Chile. Monica was born in May, 1976. She has worked in more than 30 movies, some best being Sucupira (1996), Pepe Carvalho (2004), Hijos Del Monte (2008), 40 y Tantos (2010), El Laberinto de Alicia (2011), and Secretos en el Jardín (2013).

Mr Simon Black has a blog and organization where he urges people to obtain second passports, citizenship, savings accounts and banks.  He urges people to be more international so that they can keep their options open when things spoil in one geographical region or another. In his blog he suggest Chile as a destination for American expats to migrate to.

Good advice this. As I can personally confirm.

Chile town and city
The cities of Chile have a small town feel. The pace of life is slower and more relaxed. People enjoy life more, and spend more time with each other. They savor life.

While I do not agree with everything that he has to say, I do follow his suggestions on prudent preparation.  I dare say that many of the Jews living in Poland in 1938 would have not died under the Hitler military machine were they to be prudent and alert.

From Simon, an interesting article about leaving the United States and moving to Chile can be found here.

“Yesterday on the drive back to Santiago from one of our blueberry farms, I stopped to visit some friends who lived in the area.  About a year ago they bought some land in Chile’s incredibly fertile 7th region, which boasts a rare Mediterranean climate. It never gets too hot, and it never gets too cold. Plus, the rich, volcanic soil is packed with powerful nutrients. 

As long as you’re in the right spot to ensure ample water security, the place is an agricultural paradise (our agriculture company owns two large farms in the region). There are literally four other places on the planet with this combination– southern California, South Australia, the Western Cape of South Africa, and parts of the Mediterranean itself.”

And…

“Yet by comparison to those other places, land in Chile is remarkably cheap. 

Our agriculture company purchased several thousand acres in this prime region back in 2015 for about $1,700 per acre. Similar property in California, especially given how much water we have, would easily sell for 10x to 20x that price.

My friends bought several acres of land for themselves as a sort of homestead, and they’re now living in a gorgeous setting surrounded by mountains and multiple rivers with cool, crystal clear water and a steady supply of fish. They’re raising livestock and have a garden, plus I got them started with a gift of some baby trees which are already producing fruit in their first season.

It feels like lifetimes away from when they were living in New York City.”

And…

“My friends purchased the land outright (again, land is inexpensive). Then they paid about $55,000 to build their home. The house is quite nice– comfortably spacious with four bedrooms. And it’s all wired up with the latest gadgetry and home automation, with all sorts of sensors to control appliances and conduct routine tasks. 

So they now have a roof over their heads and plenty of land to do whatever they want, and they own it all outright… they don’t owe a penny on any of it.”

Continued…

“My friends had concerns. They were living in New York before and didn’t like the trends they saw in their home country. There was too much debt. Too much war. Too much money printing. Too many lies. Too much spying. Too much violence. Too much uncertainty. 

They wanted to distance themselves from conditions that made them uncomfortable. So they made a very deliberate plan and took steps that led them to where they are today– living in their own paradise. And they couldn’t be happier.

These guys aren’t hiding from the world. He’s still working in technology and she’s still producing art… exactly what they used to do.”

Unlike the United States, when you buy something like a house or a plot of land, you own it. That’s right. You do not have to pay taxes on “your” land or suffer it being seized. The smaller nation often has smaller regulations that you need be concerned about and taxes that are easily affordable.

Highway in Chile
Highway in Chile. Most of the world has nice highways. They have bridges, public transportation, railroads, toll-booths, and roadside rest areas.

Yes, other nations have highways, bridges and tollbooths. Often in far better shape than what is found in the United States.

Vietnam

As a boy, I would watch the news about the war in Vietnam.  It seemed so remote and so “third world”.  Which at the time, it actually was.

Vietnam 1
I, as a boy, enjoyed the fighting and the battles. I was totally unaware of the beauty, the people and the environment in Vietnam. Vietnam is very, very stunning.

Fast forward to today. Vietnam is a growing country that seems to possess all the attributes that seem to interest me. It has great food, beautiful women, long clean beaches, and totally isolated from the madness that the United States has become.

Here’s what an American expat who moved to Vietnam has to say about it;

“I’ve been living in Vietnam a while now, relatively speaking. Longer than I expected, in fact. When I tell anybody new to town how long I’ve been here for, they always raise their eyebrows and give me a suspicious look, just as I used to do when I first arrived. 

I never planned to still be here (over 6 years and counting…), though I have some friends who’ve stayed much longer. The first year here felt very long indeed. I did what most single foreign men do when they arrive in Vietnam. I became a sort of ‘playboy’. I thought it was cool then, but now I’m ashamed of that behavior, although it was a difficult habit to break.”
Vietnam bars
There are all sorts of great bars all over Asia. Vietnam has some nice ones. You can get reasonably priced drinks and munchies and have fine companionship for the night.

And…

“You only need one type of wardrobe in Vietnam, there is much less crime, it is cheaper (unless you shop at Vincom Center or something), scenic, and the cities have a frantic yet laid back atmosphere that I feel is unique to Asia, if not the world (and I’ve traveled most of it).

Zooming around on a motorbike can be dangerous but I’ve seen more accidents in England than here and it gives a real sense of freedom. Ho Chi Minh City in particular has a ‘small town’ feel (even though it’s huge) which I love. 

It’s like a friendly village but with millions of people you can bump into. My friend once likened hanging out here to being in prison (but in a good way), in that “nothing ever happens, but anything can happen!” I want to.

Halong Bay

Halong bay in Vietnam. The world is filled with many beautiful places. We need only pull ourselves away from our computers and go forth and explore the world around us.

No we do not want everything the same… a world where there is a Starbucks on every corner,or the same progressive laws that America has. We should go forth and explore the world and relish in it’s differences.

Being an expat, it’s easier to make a good life for yourself in Vietnam. I have almost too many friends, a nice apartment, a good job (I’m a ‘traveling teacher’, rather than a ‘teaching traveler’), lots of hobbies and everything feels quite easy. 

However, one thing I probably lack, and it’s a big thing, is a strong local connection. Even after all this time, I find the cultural divide quite exhausting to overcome, at least compared to other countries I’ve stayed in. I have very few Vietnamese friends and I am now living the lifestyle I used to frown upon, that of the ‘expat bubble’. Can I just blame my battle with the language for this?”
Vietnamese lady
Vietnam has many beautiful ladies. They are a lot like the Chinese, only with a little darker tan and speaks a different language. I find them alluring.

Compared to Thailand, Vietnam is different.  It is another animal altogether.

“For 95% of Westerners Thailand is probably a better choice, be it as a holiday destination or a new home. Downtown Saigon can be stressful, at times feeling like organized chaos where you're the only one who wasn't given the script. The never-ending stream of motorbikes makes something as simple as crossing the road a worry. People are less friendly, getting around can be a challenge and there's much less to see and do than Bangkok.

But where downtown Bangkok feels like just another international city with a Starbucks on every corner, movies opening the same weekend as the States and more signs in English than Thai, Saigon still feels distinctly like Asia. Vietnam fills me with a sense of adventure.”

-Stickman Bangkok
Siagon
Night can be really nice in Saigon, Vietnam. There is life and activity. There are things to do, places to be, drinks to drink and fun things to do with friends. Sure beats hanging out in front of the television while you wait for Friday to roll around.

As an expat, he hits upon something, that I think all us long-duration expats feel more or less…

“To be honest, one of the only things I truly dislike about life here is some of the expats! That might appear somewhat ironic, but the neo-colonial attitude of some foreigners here angers me, especially as many of them were clearly not ‘cool’ in any way before they came out here. Now suddenly they can be seen strutting about, taking advantage of local hospitality and often looking down on a culture that they don’t even try to understand.”
Vietnam bay

Ha Long Bay is a UNESCO World Heritage Site and popular travel destination in Quang Ninh Province, Vietnam. The name Hạ Long means “descending dragon”. Administratively, the bay belongs to Ha Long City, Cam Pha City, and is a part of Van Don District. The bay features thousands of limestone karsts and isles in various shapes and sizes. Ha Long Bay is a center of a larger zone which includes Bai Tu Long Bay to the northeast, and Cat Ba Island to the southwest.

Cambodia

Bar street
Here is a bar street on the beach in Sihanoukville in Cambodia. It is considered a nice place to visit because the sex is cheap, the beer is cold, and the weather is warm. I like it because of the history. All during the Vietnam war I wondered about this place and what it must have been like.
“Many men get starry-eyed over a goal, imagining how life would be so great upon achieving it, but fail to play out the movie to its completion. Unlike Hollywood, life goes on, and you come back down to a basal level of happiness, no matter how great your achievement was. This is very common with men who want to travel to shitholes to meet good women.

Imagine you visit a rough second-tier city in Colombia or Ukraine and meet a girl who is hotter than any other girl you’ve made love to before. And then what? Are you going to move to her city permanently? 

Are you going to bring her back to the West so she can get corrupted like the women you left behind? Are you going to move her to another location where any children you have won’t have the benefit of seeing extended family? Understand that as soon as you experience the upsides from achieving a goal, the downsides come pounding at your door so that the overall cost of a goal is balanced with its benefits.”

-“And then What?” by Roosh

Cambodia has always been a place that I was interested in.  That with Laos, I have mutually considered them mysterious and stimulating.

During the 1960’s and the 1970’s, I watched the news and followed the descent into darkness as Cambodia was taken over by progressive leftists who (in the interests of “equality”) went about torturing and killing everyone. Now, few Americans know the horrible saga. All that is left is a legacy of the “killing fields”. (Yet, the leftists in Berkley, California want to duplicate this in the United States of all places.  WTF?)

The Cambodian Killing Fields (Khmer: វាលពិឃាត, Khmer pronunciation: [ʋiəl pikʰiət]) are a number of sites in Cambodia where collectively more than a million people were killed and buried by the Progressive Socialist Khmer Rouge regime, during its rule of the country from 1975 to 1979, immediately after the end of the Cambodian Civil War (1970–1975).
Killing tree
The tree where little children were killed by progressive leftists in Cambodia.
The mass killings are widely regarded as part of a broad state-sponsored genocide (the Cambodian genocide). 

Analysis of 20,000 mass grave sites by the DC-Cam Mapping Program and Yale University indicate at least 1,386,734 victims of execution. Estimates of the total number of deaths resulting from Khmer Rouge policies, including disease and starvation, range from 1.7 to 2.5 million out of a 1975 population of roughly 8 million. 

In 1979, Communist Vietnam invaded Democratic Kampuchea. They toppled the brutal progressive liberals that comprised the Khmer Rouge regime.

Now, Cambodia is reviving. I have numerous friends (mostly Chinese, with one or two from Eastern Europe) who have told me tales of how wonderful Cambodia is. It certainly sounds enticing.

Cambodia 2
One thing that attracts me about Cambodia is that it has not yet been sanitized by Starbucks, McDonalds and hoards of business investors turning it into an upscale mall. In China, Shekou used to be quaint with it’s own flavor, cheap booze and friendly locals. Now it is a very nice, but expensive mall that serves tourists. The locals just sort of avoid it. Sad.

Here is a nice write-up by a man about my age who lives in Thailand, and thought that it might be a good idea to visit Cambodia. He begins with his impressions of Cambodia taken in early 2001.

“Dusty roads with what can only be called contraptions on wheels. 

Criss-crossing the city on the back of a motorbike after dark and seeing almost no traffic. 

Ultra-slow, virtually unusable Internet connections. 

Friendly, smiling children yelling “Hello”. 

Arriving back at the hotel at night to find the doors had been padlocked shut from the inside and you had to rattle them to wake up a young Khmer sleeping on the other side who would unlock the door and let you in. 

These are just some memories from my one and only visit to Phnom Penh, back in 2001.

In the 10 years since I first visited the Cambodian capital it has changed markedly as I discovered on a recent trip.”

He then decides to return.

Cambodia girls
A group of cute and happy Cambodian girls. I really like the smiles and happiness that radiates from these gals. You know, we owe it to ourselves to surround ourselves with happiness and contentment.

Only this time it is ten years later. The year is 2011.

“Getting around Phnom Penh is easy. Tuktuks in the guise of a motorbike pulling a carriage, motorbike riders as well as a few taxis make up the choices. You can walk and a number of the sights are in the riverfront area, just remember to look the other way when crossing the road if you're used to Thailand.

Someone seems to have told every tuktuk driver and motorcycle rider in town that foreigners don't like walking, for you simply cannot walk past one without being asked where you're going and if you'd like a ride. 

The hassles are relentless, many times worse than any vendor hassles in Thailand.”

And he talks about the food…

Traditional Cambodian Food
National food in Cambodia is similar, and at the same time is completely different from the tradition of the neighboring countries. It is possible to claim that the Cambodians eat everything! The dishes of the food in Cambodia also feature many wild fruits and locally grown vegetables. Widely used and popular in the national food in Cambodia are pickled and fermented vegetables and fruits, which are collected for pickling at different stages of their growth, which give the final product a completely phenomenal taste.
“Thai food might be more varied and diverse, but Cambodian food uses certain ingredients you don't commonly see in Thailand. 

Buffalo meat, for example, is found on many menus, and deep-fried tarantulas are sold alongside other creepy crawlies. 

Much of what is available in the fresh markets fell into the mystery meat category. Amongst the more unusual things we tried was beef with wild ants – and giant-sized ants they were. A good source of protein I am told!
Battambang
This is a scene of Battambang, Cambodia. It is a small community that has everything that you need, but not large enough to overwhelm you. It is certainly a small-town vibe about this place. It is calm. It is peaceful, and pleasant.
Revisiting places from that first trip a decade earlier, when the few popular bars were scattered around the city, the long-running Sharky just didn't do it for us. Similar in format to Bangkok's Woodstock, the American-themed bar and restaurant with a reputation for tasty Tex Mex food left us unimpressed. 

Sunrise Tacos it isn't.

Phnom Penh may not compare with Bangkok for high-end dining, but there is a huge number of mid-range eateries making it something of a foodie's dream. Many riverfront restaurants are chic without being pretentious.”

And the booze…

“Phnom Penh is an alcoholic's dream with ridiculously low prices. Stick with the perfectly drinkable local Angkor draft and you'll never have a hole in your wallet. 
Phnom Penh
This is the colonial section of the capital city; Phnom Penh. Notice that even in this big enormous city there is a nice small-town vibe that lingers and presents itself.
A handle runs around $1.00 – $2.00 in restaurants, $1.50 – $1.75 in the hostess bars and in some bars and restaurants, happy hour prices can be had for less than a dollar, 60 cents in some outlets. 

Pretty much everything, drink-wise, is considerably cheaper than Thailand. Cocktails in decent bars could be had for $3 or $4, $2 at happy hour. Beer Lao is widely available and cheap, and wine is considerably cheaper than in Thailand. And my pet hate, tax and service charges are nowhere to be seen!”

And (of course) the ladies of the “night” (but this is Asia, they work 24-7)…

“The vibe in the hostess bars is different to your typical Thailand bar. Upon entering, many of the girls scream "Hello" and once seated you'll find yourself surrounded by a half-dozen girls. 

They will be keen to chat, but unlike their Thai sisters they almost never ask for a drink. 

The lady drink hassles that mar the bar experience in Thailand are no issue in Phnom Penh. With that said, at what seemed to be an industry standard $3.00 for a lady drink – of which she gets $1.00 – it's hardly cost prohibitive. And if you do buy a lady a drink, she will never suggest you barfine her, and won't even hint about joining you at your hotel. 
Cambodian Club Girls.
Club girls in a Cambodian KTV. The girls are friendly and happy to please. It is a great environment for those who like to have a great time.
Many bars have pool tables and the girls often suggest challenging you to a game of pool, and request a lady drink if they win. Don't expect to see a Cambodian winning the world pool championships any time soon!

As far as the women in the Phnom Penh bars go, the prurient might say that the biggest difference between the Thais and the Cambodians is that there's no need for silicone bags in Cambodia. They tend to be dark-skinned, curvy and can have absolutely striking eyes. And yeah, they are busty.

Personality and attitude-wise, they are rather different to the girls working bar in Thailand. The Cambodian girls are much less hardened, much less mercenary and general chit chat tends to be more relaxed. They are generally more playful than the Thais, but without any real flirting or sexual innuendo. Thai working girls these days tend to be more professional; pleasant on the outside but rock hard on the inside."

Here’s a couple in a bar in Cambodia.

Cambodian couple.

Here is a typical man with a Cambodian girl in Cambodia. Most girls in Cambodia tend to be curvy with soft places where they belong. Many find them very attractive, and with dark eyes and great smiles they tend to be irresistible.

"The Cambodians might be more pleasant and the bars employ some pretty ladies, but in terms of looks at least, you don't see anything like you do in the likes of Rainbow 4 or Bacarra. 

What they may lose in the looks department they more than make up for personality-wise. There are few tattoos to be seen and while smoking is allowed in the bars in Cambodia, it is the customers who are puffing away. Very few Cambodian working girls smoke. 

Cambodian girls working in hostess bars make a point of saying that good girls don't smoke! In fact the girls in the bars don't even feel like bar-girls. Thai bar-girls with anything more than a month or two in the industry are for the most part, hard. Many of the Cambodian girls in the bars seemed quite sweet.”

And..

Cambodian Food
What is Khmer Food? Khmer food takes influences from a variety of countries. Cambodia was a French colony for many years and also has many Chinese immigrants, so both French and Chinese foods are widely found. In the west of the country, the cuisine is, naturally, influenced by the food of neighboring Thailand while in the east the flavors of Vietnamese cuisine are more evident. Coastal towns such as Sihanoukville in the southwest are famous for their seafood, cooked in many styles, including Japanese and European. Common ingredients in Khmer cuisine are similar to those found in other Southeast Asian culinary traditions – rice and sticky rice, fish sauce, palm sugar, lime, garlic, chilies, coconut milk, lemon grass, galangal, kaffir lime and shallots.
“What it costs for a night of these ladies' company, I don't know. Broaching the subject brought awkward looks from girls who seemed reluctant to discuss it. I was quoted $40 by ladies aghast that such should even be discussed, and they were probably more aghast when they realized I was only asking for the purpose of understanding how things work, not because I had any intention of being a naughty boy! 

Locals tell me that hostesses will happily spend the night for $20 – 30, which seems awfully cheap. Bar-fines run $10, and drop to $5 after midnight, in some bars.
Club Girls
Some of the friendly club girls in Cambodia. They do like to please. Look at those smiles. What’s not to like?
There's much debate whether young South-East Asian women are “forced” to work in the bar industry. It's an argument that really doesn't hold up in Thailand where even the least educated can get factory work, which with overtime will provide a livable income. A comfortable life perhaps not, but it's honest work that one can feel good about.

Cambodia is altogether different. 

Many of the girls in the bars seemed to have very limited options. One girl, a striking looker with an uncanny resemblance to an ex-girlfriend, really tugged on my heart strings as we struggled to communicate and tell me about her life. 

The oldest of 3 children, the 24-year old long-haired beauty couldn't read or write. She had never been to school and she had almost zero employment options. Her only real options were working on a farm, for which she would make the equivalent of about $60 a month. The salary alone from bar work was that much, and she would also get $1 for every drink bought for her, as well as whatever gifts customers rewarded her with in the morning.”

While Mr. Stickman’s comments were from the perspective of a visiting (horny male) expat from Thailand, it should not be discounted.  Most expats (judging from my trivial search on the Internet) to Cambodia seem to fall into a handful of categories;

  1. Backpacker / explorers. They just traipse around the world on a trivial level to collect experiences to “wow” others in an attempt to boost their already insignificant ego. I tend not to associate with them. In my mind they are not experiencing life in depth. They are collecting trivialities. To experience life, you have to do more than just have a low-budget vacation.
  2. Do gooders. These are often part of NGO’s set up by others with ulterior motives. They stay for the duration of their contract and then leave. They come with preconceived notions. (Usually related to sex, politics or religion.) They then try to implement their ideals, often fail, and head home afterwards.
  3. Bad Guys. Anyone who wants to exploit others for money, labor, sex or the like. You see an occasional news article about these individuals. I have encountered them… all too often. Which is why many long-duration expats do not associate with other expats often.
  4. Teach English. It’s always a good stepping stone into another culture. It’s also a necessity for those of us who have lost everything.

It would seem to be a great opportunity for someone to set up a factory or an industry in the area, but you would absolutely need to partner up with a local to be successful.  Getting to know a local for just that purpose takes planning and an investment in time. Just saying, but if you are young and have the drive and the stamina to make something happen, Cambodia might be right for you.

Think of the beer. Imagine drinking it while eating some Cambodian steaks with a pretty local gal…

Cambodian beer
Cambodia has some nice local beers. Always the beer is great when ice cold. There is something fine about a beer and a hamburger in a tropical paradise .

I arrived in China when it was in it’s growth phase, and it worked out for me.  Maybe Cambodia might be right for someone younger.

Attend University

There are some interesting takes on this “thing” about Cambodia.  Consider one enterprising student who decided to attend university in Cambodia. Why attend a university in Cambodia? Because you can and it looks interesting on your resume. Roy, an American expat, who is working his way towards a degree in political science at the University of Cambodia, tells his story;

“Attending university in Cambodia is an adventure and much more interesting than slogging through classes at a community college back home. Right now I’m finishing my second term at University of Cambodia. 

I love it here; the people are great. While there are a few students here from other ASEAN countries, I’m currently the only Westerner enrolled. Students and teachers all seem initially surprised, but quickly get used to having a barang in class. 

As a native English speaker, I get asked about pronunciations, definitions and grammar; it’s a bit like being a living dictionary. There are also opportunities where I try to explain the oddities of US politics.

The question I hear most often from my classmates is, “Why are you going to school in Cambodia and why did you decide to attend this school?” I explain that I love the country and the people. My choosing UC is based on instruction in English, the very helpful staff in registration and, if I’m going to travel halfway around the world to go to school, I want to be able to put something on my resume that makes it perfectly clear that I attended a university in Cambodia.

There are no “student visas” in Cambodia but as a student you can get a business/ordinary visa without a work permit.”

Dual Pricing

Dual pricing based on race is very common in Cambodia, from the bus companies who charge white faces more to the hospitals that have one rate for Khmers and another for foreigners. Even the government-owned airline has one fare for Cambodians and another, much higher fare for everyone else. This is frustrating on many levels, not least when it’s foreign-owned businesses that are perpetuating what is, fundamentally, a form of racism. When asked how they can justify dual pricing based on race, race-based pricing advocates scramble all over themselves to defend the practice.

Cambodian nationality is, for the most part, based on race (non-Vietnamese minority tribes are the exception). Any child of a Cambodian mother is granted Cambodian citizenship, regardless of where he or she is born. The child of non-Cambodians, though born and raised in Cambodia, is not granted Cambodian citizenship. Ethnically Vietnamese families who have lived in Cambodia for generations are, for all intents and purposes, stateless as far as the Cambodian government is concerned. So the argument that the dual-pricing system is not racist because it is based on nationality is flawed, because there is no attainable path to Cambodian citizenship for non-Cambodians (and I don’t call paying a $50,000 “facilitation fee” attainable).

Moreover, non-Cambodian Asians often benefit from the dual pricing plan, paying the local rate even when they don’t speak much Khmer and are citizens of Western countries. In practice, actual nationality seems unimportant; looking Khmer matters more. Khmer-Americans are also given the “local” price by many businesses, whether or not they have Cambodian passports.

Here is an expat’s comment on this;

“I am a simple man who has been living in Cambodia for about 7 yrs. I return to my country of employ for some months at a time as I have a small business there which needs a little personal love every now and then.

I have been to about 60 different countries and have lived for 3 months or more in about 15 of them. Some, for 1-3 years. I would say that on a humble scale I am reasonably worldly. I am definitely an adventurer.

I have experienced dual pricing in quite a few different countries. Here it can be quite strong, agreed.

The Chris with a capital C, made some good comments. Let us all think about this word racism. It’s a shocker isn’t it? Have I had better experiences in some countries than others, yes. Do I like the culture of some countries more than others, yes. Do I dislike the ego and blatant disregard for other nations well being that particular countries seem to display, yes. Do I like the smooth sound of some languages and not the guttural sounds of others, yes. Are there many examples like this, yes. Does this make me racist, maybe it does.

Does Cambodia invade other countries, like so many of the world powers, no. Does Cambodia produce a huge amount of mass marketed products that are killing humans, animals and the planet, no. Does Cambodia steal the oil of other nations, no.

I guess we all have our good and bad points.

I can hear some of you saying that Cambodia does not have the wealth or power to do such things. And yet many of our countries have the power and have completely abused it. Which is less excusable?

Expats, hmmm! That’s an interesting topic. We come here with more money than the average Khmer, can make more than the average Khmer, and have to pay more than the average Khmer… and in our own selfish way, that is apparently unfair. Seems like a fair enough tax system to me. How many of you pay full tax on your local wage? Maybe using local Khmer as an excuse for not paying full tax isn’t fair because that is as much a part of their culture as dual pricing.

All around the world I have seen people from richer, more dominating, more arrogant cultures demanding everything they can get their hands on from some poor local. We so often take all the good we can get and then quickly complain or blog about something we didn’t like. Suck it up Humans. Take the good with the bad.

Simple question… If the way of life in your own country is so good, then why are you here? To all the service men and women who are posted abroad without choice, please excuse this question.

I now have a loving Khmer wife and 2 beautiful Khmer daughters. My mother-in-law lives with us too. It is a complete family. I am very lucky.

Yes it is true that sometimes I pay more. Sometimes my wife and her friends laugh at me because I get better prices at the local shops and markets than they can. I speak quite a lot of Khmer but am not 100% fluent. I get some discounts because I have a Khmer family and sometimes my Khmer daughters get in free and I have to pay. Most importantly, I find gentle, wise respect gets me the best price, and it feels the nicest for me and others too.

3 people go to a movie. 1 loves it, 1 doesn’t really care and 1 hates it. Same movie, only the minds are different. Suffering and happiness comes from the mind. If you don’t like something try changing your mind.

I suggest that when you are troubled with the local ways that you go and enjoy an ice cold 50c beer, a $2 meal, or go for a free meditation at your local wat.”

-GreyEarth

A Narrative by Ian

Here is a pretty darn and comprehensive report by a fellow who went to Cambodia.  This is not the PC scrubbed Internet fare that is edited for millennial sensibilities and the PC rules (written or unwritten).  It is raw and fresh, just like I like my sashimi. It is titled “My Phnom Penh Trip Report or Phnom Penh for Newbies”. Written by Stick Reader Ian January 5th, 2015. Enjoy.

“I had that nervous energy you get when you do something for the first time. I'm 58. At my age I don't often get to do something new. I'm boarding a Bangkok Airways flight to Phnom Penh and I haven't been to Cambodia before. 

I've heard it's similar to Thailand of years past. I took the 2nd half of December off work so I could see my Thai partner for Xmas; I came all the way from Farangland to see her only to be told (several months ago) that she would go to the temple and thus be busy in the first week. OK, Cambodia here I come and I'll see her in the 2nd week of my vacation.

Translation; The man has been living in Thailand with a girl.  He is an expat from the West.  (Maybe from the UK or Australia. Ian is not a common American name.) His girlfriend will spend a week at a Temple, and he will go to Cambodia to see what it is like.  He will be comparing it to Thailand, initally, and to the West (slang; Farangland) ultimately.

Naturally she changed her mind sometime after I booked the Cambodia trip. I said that was no problem — I was going anyway. Then she asked if I was angry with her? No, I wasn't. My expectation in life is if you say you'll do something then you do it. She said she was busy; I said I was going to Cambodia and that's the way it would be. I think it's best to live by your principles.

Thailand girls have a reputation for being “wishy washy”.

The real attraction of Cambodia was the prospect of Snookyville (that's Sihanoukville but often referred to as Snookyville, which I will continue to use in this post because I like the name). I've heard it's like Pattaya 30 years ago.

Imagine that; a Pattaya of the early 1990’s. Everything cheap and fresh.

I'm old enough to remember that and I liked it; I wanted to experience it again and see the place for myself. But when I investigated I found it was too difficult to get there. To my surprise there was no transport from the airport to Snookyville (maybe by taxi, but not using an inexpensive means of transport) and with my itinerary it wasn't practical to get there on the same day. Ditto for the return trip. With only 5 nights in Cambodia and the need to spend 2 of them in Phnom Penh I decided Snookyville wouldn't be the focus of my trip; I'd try Phnom Penh and see how that went. Maybe I'd make a flying visit to Snookyville, but the focus would be Phnom Penh.

First lesson. Transportation access is not that easy.

I thought Cambodia would be about getting laid and not paying much for it. Without going into every detail I'm outlining some of the things I experienced. My primer for newbie visitors to Phnom Penh. My trip report.

Here is his trip report.  He expected to go to Cambodia for a lot of low priced sex. Here is what he experienced.

Arrival

I was worried about the visa on arrival process. I read about the dual currency economy. I knew I needed to bring US$ and while I don't have a problem with that I didn't think I understood how it would work. I figured this would be a cheap holiday so I budgeted $500 for the 5 days. (I budget that much for 1 day when I visit Bangkok, although I normally spend half of that on myself and give the other half to my partner. I'm Mr. Nice Guy; or maybe Mr. Dummy.)
French bread meals
Cambodian meal in Siem Reap. There is a mix of authentic Khmer cuisine, but also the local French influences and other international favorites. A couple of my own favorites include the stir fried beef loc lac and of course the french breads.
I needed $30 for the visa on arrival. I read I should have a visa photo with me when I arrive. I read it wasn't worth getting an e-visa, that it was just as easy to get the visa on arrival. That was bad advice. The visa on arrival process was frantic and disorganized; not exactly pushing and shoving but close to it. If I had an e-visa I could have simply gone to the Immigration queue and the arrival would have so much easier. Note that for next time. On the plane I met guys with similar plans to mine; one had been to Phnom Penh a few times before, the other was a newbie like me. Neither had an e-visa. The other newbie didn't have a photo and had to organize that in the arrival hall. I lost track of him in the Arrivals hall so I don't exactly know how things worked out for him. I saw him at the baggage carousel, so I know he survived. An e-visa would have cut 15 minutes and considerable stress out of the process.

If it were me, I’d pay the money. I would use an e-visa. You get what you pay for.

The taxi ride to the city was a microcosm of the visit. I read and was told (by the experienced traveler) that I should get a voucher for the ride that would cost $12. After looking around I managed to find the booth to get the voucher. But you don't pay for the voucher, you just hold on to it or maybe show it to the taxi driver. At the end of the journey you pay the guy $12. The voucher appeared to have no purpose except to reassure me of the price.

True, I felt better knowing what the price would be and I could always push it in the face of the taxi driver if I felt I was being ripped off; but that didn’t happen. I paid the taxi driver $12 when we arrived at the hotel — he was happy and there was no argument about the price.

And that's what the holiday was like. No stress; no fuss; prices are inexpensive so pay what is asked; obviously bargain where you can but everything seemed to be pretty well organized; if you didn't argue and accepted things as they were the whole process was low stress.

I'm an experienced expat. I know when I visit other countries they're designed for their citizens not for me. It's up to me to experience their lifestyle and conditions. If I like it, that's great. If I don't, catalogue it and move on. No fuss, no furore.

Sounds like he understands the way of the world. Reader take notes.

Hotel and Getting Around

Thank you, Agoda. I selected what turned out to be a good hotel in the Riverside area that had a decent rate ($45 per night). They described themselves as a 3 star hotel which I thought was harsh; maybe not 4 stars but close to it. If you're new to Phnom Penh I recommend staying at Riverside. It's close to everything; it's easy to walk anywhere. There are lots of restaurants, pubs and bars close by. If you want to go somewhere that's too far to walk there are lots of tuktuks or motorcycle (motos) to use.

In 6 days and 5 nights in Phnom Penh I must have said "no" 500 times to offers of transport. This is perhaps a downside of my visit; I can do that for a week without getting annoyed. If I was there for a month it would be different; although if I stayed for 3 months I'm sure I'd assimilate. Personally I like to walk. I get a better feel of the place, I'm in no rush and it was "winter" when I was there so it wasn't hot or unpleasant to be outside. (Around 25 – 27C every day with no rain.)

To put this in perspective, Southern China is nine months of 30 to 33C and very humid.  (For you Americans in readership land, that is 90 to 95F and 90% humidity.) That temperature sounds absolutely wonderful.

Eating and Drinking

I have 30 years of experience visiting Thailand and think I know prices there quite well. I thought everything in Cambodia would be cheap and I was right & wrong. I was looking forward to eating on the streets and especially looking forward to eating good bread. I live in a country with poor quality bread and Thailand isn't exactly the patisserie capital of the world.
Cambodian Bread
Street vendor selling bread in Cambodia. The bred is good and basic. It goes really good with butter and a nice strong local coffee.
I didn't like the look of the street food as much as I thought I would so I didn't eat much of it. There was bread everywhere but served with more fillings than I like. By "fillings" I mean pressed meats and a variety of vegetables. I didn't see as much plain bread as I expected and I had no ear for the Khmer language so had trouble asking for just a plain baguette. Even though I didn't like the fillings for the bread (served similarly to Vietnam rolls) I found they were good when I tried them. The baguettes were good quality, too, but I would have preferred to eat them plain.

As I didn't eat much street food I was "forced" into restaurants. They were more expensive than I expected. In general I found the cafe and restaurant prices (in downtown PP) to be the same price as or even more expensive than food in the suburbs of Bangkok. But there was more variety in the Phnom Penh food than in Thailand; more western food options and the Cambodians use less spice. That wouldn't suit everyone but it happens to agree with me.
Bread and beef
CAMBODIAN FRENCH BREAD WITH BEEF (NUM PANG ANG CHIA MUI SACH KO). Doesn’t this look great and delicious. I’ll bet that it goes great with an ice cold beer. I’ll tell you what.
Drinking was another matter. I can't remember being in a place where drinks were so cheap. In downtown PP, on Riverside which is a popular tourist and probably an upscale area for locals, it was easy to find happy hour with local draft beers for $0.75. Still on happy hour — bottles of local beer for $1; Beck's beer $1.25; Hoegaarden, Heineken, Guinness, Corona all $1.50; English cider (apple, pear or strawberry) $1.50; and every little hole in the wall bar and eatery has free wifi. As a visitor I didn't need or buy a local SIM card — free wifi everywhere worked well for me. Happy hour wasn't from 5-7 PM, it was generally all day and lasted until 8 PM, 9 PM or even 10 PM in some places.

Take note Americans. Even in Cambodia the Wifi is FREE.

Beer prices are very cheap.  Hell, even in China, you can expect to pay a minimum of 30 RMB for a Hoegaarden ($5).

The drink prices were fairly consistent at pubs in that part of town. There are also hostess bars (this is why you're reading the article, right?). Surprisingly the drink prices weren't a lot different. A bottle of local beer in the bars was generally $1.00-$1.50; lady drinks were between $2.50-$3.50

Hostess Bars

My research said the Cambodian ladies (in hostess bars) are less pushy than their Thai sisters and you could talk to them without being pressured into buying them a drink.

That's true.

You could ask them to come and sit with you. You could ask them to go away. You could buy them a drink. You could choose not to buy them a drink. Nothing seemed to be a problem. Having been around Thailand for a long time I don't feel it's right to string along the girls — they have to make a living — but they certainly didn't pressure me to buy drinks.

When I bought them a drink it was me who offered and they happily accepted but I don't remember any lady asking me to buy a drink for her. If I didn't buy them a drink I'd leave a tip when I left and they were grateful. I think they get $1 for each lady drink so if I didn't buy them a drink I'd leave $2-$5 depending on how long I spent with them and everyone seemed happy. (I'm sure the bar wouldn't be thrilled but I'm not on earth to please everyone.)

Short Time… (Single shot sex, often under two hours.)

My research for the price of ladies' company was probably out of date. From going around I found the going price of ST (short time) was about $30 – $40 depending on the lady and the quality of the bar.

Long Time… (Overnight sex. Multiple shots.)

I only asked about LT (long time) with one lady who wanted $50. On night #1 I thought that was too much and declined. By night #2 I thought that was a dumb decision and went back to secure her services. She was happy to see me back and honor the previously quoted price. I suggested to her that she would have to be "enthusiastic" for that price; she said she would be and lived up to her part of the bargain. After 5 nights in Phnom Penh and being quoted $40 for ST by a few ladies I felt I was lucky to get my LT arrangement for $50; and stupid to pass it up on the first night. But, hey, that's why they call it a learning curve.

Cambodia is not Thailand.

Again my research said that hostess bars in Phnom Penh (and probably all of Cambodia) are not the same as Thailand. They don't have shows like Suzy Wong in Soi Cowboy, or Angelwitch in Nana Plaza; they don't have go-go dancers or coyotes; they don't feature skimpy outfits or near naked ladies to entertain you. You go into a bar; you choose a lady; you chat — the best you can with the language difficulties; you make a deal or you don't; you leave. As far as I could see that was about it.

That is how it works, don’t ya know…

The closest thing I found to a racy bar was a place called Cyrcee (pronounced seer-say). The description I got of this place was the ladies would give you a blow job before you could order your first drink. Well, that was an exaggeration. But it was a rocking little place if you like to be naughty.
Haven hamburger
You can find hamburgers all over the world. Here is a burger and fires in the Cambodian city of Siem Reap. Where’s the beer?
It's a normal hostess bar — no fish bowl or wannabe massage parlor. It had lots of ladies (about 20) with good variety. They weren't shy about what they offered or how it worked.

There's a ST hotel across the road that costs $5 for the room; $10 for the bar fine and $30 for the lady.

I am no longer a young man and as such there are limits to my powers (I wish I had the energy of yesteryear); yet the girl I chose managed to convince me to take her friend as well. The honest truth is I have tried this twice before in my life and each time was a disappointment. Instead of every man's fantasy of combined action both of my experiences were sequential with one girl doing her business and the other one a bystander; then the first one left and the second one had her turn.

Again, here is the truth. What you see on porn movies is all make-believe. This is pretty much how it goes down. My experiences have always been sequential affairs involving two, three, and once four girls.

These are not my best memories so I was reluctant to try it now. I raised the concern with these two ladies and they assured me they would work in tandem so I figured "at this price, even if it isn't good, I don't have that much to lose".

Off I went with my two ladies and had a remarkably good time.

I only wish I was 20 years younger but I'll cherish this as a good memory — the first time it's worked out for me like it does in the movies. If you want to go to Cyrcee I can't give an exact address but walk along 130 St heading west towards Central Market; you'll see the sign in the side street on the left just before the market; it's hard to find during the daytime (why would you want to go during the day?) but it's easy to see at night even though the sign is small.

I just found out it's pretty easy to get Ceycee's address and a map if you Google it — let's leave it at that because the information is likely to be more current than anything I provide here.

PP Street Layout

Before going to Phnom Penh I was intimidated by the street numbering system and the advice on where to go. 136 Street; 130 Street; 148 Street; 51 Street…

When you get there it's much easier to figure out. Even numbered streets go from east-west; odd numbers go north-south. Street numbers jump in large numbers; it's not a grid like Manhattan. Because of the irregular shape of the downtown area many streets start and end in a short distance. So when you're at Riverside you'll see the streets go 118, 130, 136, 144 & 148. You'll find all the street numbers somewhere in the city but they don't necessarily extend all the way across the city. It's the same for the odd numbered streets. It's much easier to get around when you learn this.

Shopping

Believe it or not I wasn't fully occupied with my "meet & greet" of the ladies. I like a bargain as much as the next person and think shopping in Thailand is great value. Phnom Penh was better. Clothes and shoes are inexpensive. Shops on the street and stalls in the Central Market had clothes for 50% to 66% less than Bangkok. Before going to Phnom Penh I bought a pair of trousers in a Bangkok Tesco Lotus for the bargain price of $15. After a few days in Phnom Penh I thought that was positively expensive. While I didn't research this extensively it seemed the prices in the roadside shops were relatively fixed and bargaining was the norm in the market.

If you like a bargain you should visit the Central Market. They have lots of things — clothes, shoes, caps, hats, food — everything you could ask for. Many of the stalls had incredible inventory and selection of goods. The biggest challenge was choosing what to buy. And while I was happy with the prices I ran into some tourists who'd just spent a week in Siem Reap who complained the prices were double what they'd found there. So while I was happy they were turning up their noses saying everything was too expensive.

Currency and How It Works

I said earlier I was intimidated by the idea of bringing and using US$. It really wasn't a big deal. Prices for tourists are quoted in US$. You pay and get change in dollars. The approximate exchange rate is US$1 = Riel 4,000. When change is less than $1 they give you Riel. You can pay in Riel if you want; in line with the easy going nature of the people everyone accepted the rate of $1 = 4,000. The actual rate was about 4,080 but not once did anyone say "No, you need to pay me 20,400" as opposed to 20,000 when something was $5. Considering it's a relatively poor country and almost everyone you meet would value the extra Riel 400 it's surprising that no one insisted on the extra few hundred. I've been in lots of countries where they want that extra 10c but in Cambodia everyone seemed relaxed about it.

The one thing to be careful about is the quality of your US currency. I took money with me that I use in the US without a problem. But in Cambodia they're fussy. If a bill is ripped, torn, marked or too dirty they won't accept it. I had 2x $20 bills that no one would accept because one had a corner missing and the other had writing on it. No problem using them in the US but not acceptable in Cambodia. They are more forgiving of dirty $1 bills than 5s, 10s or 20s. I wouldn't recommend using 50s or 100s — they're too big for general use; it's better to stick to the smaller bills and use 20s as the highest denomination.

Gratuitous Phnom Penh Advice

Here are some tips for Phnom Penh. 51 St around 172 St has a lot of pubs, clubs and bars. The Walkabout Hotel is full of freelancers, seemingly at any time of the day or night.
Battambang, Cambodia.
Here is a typical street scene in Battambang, Cambodia.
A place near there on 51 St called Zeppelin Cafe is a great place to go for a drink and listening to 70s guitar-based rock music. The owner, who appeared to be a Cambodian national with a ponytail, sits at the back of the bar with 2 turntables. He has an extensive collection of vinyl records (not a CD in sight) and plays what he wants to hear. He looks like a grumpy bugger and I doubt he takes requests — but it's a great place to sit down, have a drink and listen to some music you might have forgotten about. It's not a pickup joint and it's not the kind of place you can talk much — it is, after all, 70s rock featuring loud guitars.

Just around the corner on 172 St is Pontoon, one of the most famous night places in Phnom Penh. It's a club or disco. Don’t go early. I went at 10:30 PM and it was dead. I heard later that it warms up around midnight. I was also told that it is "the" place to go in PP, has fabulous looking ladies (presumably freelancers) and lots of them. One of the challenges of advancing age is not having the staying power to roam the streets at 2 AM so I didn't get to find out; but people younger than me recommended it.

On the corner of 51 St and 172 St is a place called Le Bon Cafe — a nice place to have a coffee, snack or light meal. It features both outdoor and indoor seating. The owners (or managers) speak perfect English so there is no risk of your order being misunderstood or the wrong item coming to your table. I dropped in during both the day and night; no matter what time I was there the owner / manager or perhaps a family member was there with perfect communications.

Across at Riverside there are many cafes and pubs to choose from. The one I enjoyed most for a happy hour drink was on the corner of Sisowath Quay (the main river side road) and 110, 118 or 130 St; I didn't take note of the place's name and Google street view isn't helping me remember but the one at 110 St "looks" about right. Hint: it has this easily visible, non-illuminated Happy Hour sign at the front.

You can't go far wrong with any place around there, but this corner pub / cafe had friendly staff, nice atmosphere and good prices. It was a place you can just sit down and watch the world go by.

My hotel provided free breakfast which was quite OK. But I saw a place on Sisowath Quay called Camory Restaurant, near 110 St that offered breakfast for $3.95. They had a dozen choices for that price and I found I enjoyed it more than my hotel's free breakfast. Camory is also a hostel style guesthouse; they offer inexpensive accommodation and rooms with as many as 4 beds (presumably for a family or maybe for really good friends). If you stay there the breakfast is free.

Come on… How about the freelancers?

Going back to the ladies, another place known for freelancers is Sharky Bar on 130 St. I didn't find it interesting but I could have gone there at the wrong time — yet again. They have pool tables which seem to be popular. Note it costs Riel 1,000, all of 25c, for a game of pool. I read a ridiculous Internet post from some guy who complained he was aggressively confronted when he tried to leave without paying for 3 games of pool. He implied he was ready to fight the bouncers because he couldn't see the sign showing the price. It's amazing someone would be willing to go to hospital for a "principle" when all that's at stake is 75c. That's hard to understand.

Safety…

I walked around Phnom Penh by myself at all hours of the day and night. I was on main roads, quiet streets and dark back alleys. I didn't feel uncomfortable or threatened once. It was fine even on a quiet, dark street at night when I walked past 3-4 Cambodian men talking together and thought to myself "this might not be good". They kept on talking to each other and barely paid attention to me. I didn't feel there was any threat or safety issue in Phnom Penh.

Snookyville

I wasn't going to miss out on Snookyville. The original idea of going to Cambodia was to lose myself in multiple orgies in Snookyville. If I couldn't do that I was at least going to see it. Just like being in Pattaya 30 years ago — it was something I had to do.

What a disappointment.

Again my "research" suggested there are several parts of town. There's downtown, Victory Hill, Serendipity Beach and some other beach areas. The beach areas were described as backpacker havens. As I'm on the older side of the scale I figure that backpackers and places that cater to them really aren't my thing.

Victory Hill appears to be famous for a place called the chicken farm — a place with lots of freelancers who are supposed to cost as little as $5 a time. That didn't appeal to me either. And it seems the authorities have closed it down so even if it appeals to you it's unlikely you'll get what you want any more. So I figured it was a choice between Victory Hill and downtown. I plumped for downtown and chose an inexpensive hotel. It was a bad choice. The place was dead. There was virtually nothing around and very little entertainment.

I'd read of a place called Charlie Harper's Bar that was supposed to be a happening place. With a name like that and Charlie of Two and a Half Men being the ultimate mongerer I figured it had to be good. By nothing more than good luck it was diagonally opposite my hotel. I walked in at 7:30 PM and it was quiet. There were some expats having a drink; a few had what looked like steady girlfriends but there were no other ladies hanging around. I thought it was probably too early.

Drinks were similar prices to Phnom Penh. I noticed a sign that said "Lady Drinks $2". Not bad. I asked the woman at the bar when the ladies turn up so I could buy them a drink. She looked at me with complete surprise and said they have 4 ladies at the bar, they were all there right now and I could buy any one of them a drink. But they can't be barfined because they actually work at the bar.

What’s the point of that??

I can have a drink for myself and buy a higher priced drink for a lady who can't sit and talk to me or join me later? Who would do that? Not me.

I asked if there was anywhere around that offered female company; she accommodatingly pointed to the street corner and said "up there, go to Kangaroo bar". Consistent with my experience in Cambodia I have to say she was helpful.

It turns out Kangaroo bar is actually called Kangaroo Kitchen (even though it's a guesthouse and a bar). They did have ladies there; I couldn't figure out if they were freelancers or there was a bar fine involved. Of the 8-10 ladies I saw there was only one I found appealing. I spoke to her for a while but decided against taking things further and went back to my hotel alone.

Ah. It happens.

I also read that you shouldn't walk around by yourself at night in Snookyville. Be in a group of 3 or more people. If you're by yourself then go around by moto. I guess I could have gone by moto to Victory Hill or the beach area to have a look. 

But after exploring the underwhelming downtown area I wasn't motivated to try. It was probably my fault for not being more adventurous. Snookyville was underwhelming. Maybe I chose the wrong place to stay. Maybe Victory Hill would have been great. Maybe the beach areas were better and not targeted only at backpackers. 

I'll never know. 

I didn't enjoy my day / night there and I'm unlikely to go back. The positive things I'll say about Snookyville are that my hotel was clean, air-conditioned and cost $20 for the night. I can't complain about that. 
Mekong express bus
One of the first shocks to Americans who travel abroad is how much newer, cleaner and better buses are. America has only given lip service to public transportation. The rest of the world takes it quite seriously.
The bus fare to get there from Phnom Penh was all of $7 for a 5½ hour ride. Strangely the bus company wouldn't / couldn't sell me a return ticket. I figured I was being set up for a rip off on the return journey. When I bought my ticket in Snookyville to go back to Phnom Penh it cost all of $5.50 The real problem with Snookyville is the 5½ journey from Phnom Penh. 

If I went from Bangkok to Pattaya and had a disappointing experience I could always try again — it's only 1½ hours away. But 5½ hours each way means dedicating a full day by the time you get to and from the bus station. I won't do it again because I don't want to spend a full 2 days with the possibility of being disappointed. 

How Was My Budget? 

6 days and 5 nights in Cambodia. A budget of $500; 2x $20 bills I couldn't use so I effectively had $460. How did I do? I booked hotels using Agoda so paid for them on a credit card and therefore outside of the cash budget. 

I ate good but not extravagant food, sometimes on the street but mostly in cafes and restaurants. 

I'm not a big drinker but went out every night and drank more than I normally would in a year. I bought some shirts and a pair of basketball style sneakers. 

I had a road trip to Snookyville. I had one LT and several STs. Visa on arrival was $30; taxis to and from the airport cost $24; I even managed a meal at the airport Burger King before I left. And ended up with $6 change from my $460. 

I can't complain and I will go back. Subsequent trips will never be the same as the first one but I enjoyed my visit. And I realize that while I'm old and have lots of experience in Thailand I can still look forward to that nervous excitement of visiting new places because I haven't yet been to Laos, Vietnam or Burma. Oh, happy days.”

Panama

Here is an interesting article about moving to Panama.  I personally have some friends who have moved there.  They love it there.  They are not making a lot of money, but the trade-off in lifestyle more than offsets any other concern.  For me, nah, I don’t think that I would want to move there.  It is too close to the United States.  I would like to keep an ocean between myself and the kooky politics of the USA.

Panama
Map of Panama. This is a small nation with plenty of beaches, mountains, and water. It is tropical and full of life and adventure. What is not to love?

Simply by looking at the map, one would assume that that would possess both beautiful tropical beaches, and amazing mountains.  It just must be amazing!

To read the article go here. It is titled; “Panama is still the easiest place to obtain residency”.

“Expats here live very well. English is widely spoken, the medical services are excellent, a high standard of living is available at a reasonable cost, and the nightlife is fantastic—from top quality restaurants to more exotic adult adventures. Panama was one of the first countries in the world to establish a program specifically aimed attracting retirees.

They promised special discounts and a number of attractive benefits to anyone who could demonstrate a certain level of retirement income. The program worked, and foreigners showed up en masse. (Although many retirees are still waiting for some of those promised special discounts…)”

And…

“Over the next several years, the government established all sorts of immigration programs hoping to attract investors—from forestry to agriculture to finance. (With a whopping 52 ways to obtain residency, it’s clear the residency visa requirements in Panama are incredibly easy.)

Then in 2012, they created an even easier way to become a resident: simply letting people in. They called it the Friendly Nations Visa. And it’s just about the easiest way in the world to obtain residency in any country.
Panama City Beach
Panama City Beach Florida cityscape at night; Shutterstock ID 103240559; Project/Title: AARP; Downloader: Melanie Marin
The list now includes over 40 countries, including the US, Australia, most European countries, Israel, Japan, Hong Kong, Korea, Singapore, South Africa, and several Latin American countries. Citizens of any of these countries can obtain residency in Panama extremely easily by merely demonstrating ‘economic activity’ in the country.”

Poland

By my historical roots, I can become a citizen of Poland.  My grandparents on my father’s side emigrated from Poland to the United States.  You see, Poland has rules enabling relatives of former citizens to repatriate.

Krakow
Everyone that I have talked to have told me that Krakow, Poland is awesome. I get the impression of a European level college town with friendly people and fine excellent food. Public transportation is cheap as is the finer things in life.

It was something that I have considered, but ultimately chose not to do.  Not because I didn’t think it was an awesome place to live, but at the time, the Obama administration was getting too cozy with the EU.  (Later, I discovered that it was his love of Brussels, rather than his care or concern for Poland.) I did not want to live in an American “backwater”.

Here is an interesting article written by an American that moved to Poland.  It is called “Why living in Poland is like living in Hollywood” and can be found here. Some excerpts;

“Polish people often imagine America as a country where everybody’s  rich or at least richer than Poles and more sophisticated – take any TV show where an average family lives in a nice, spacious house and for 16-th birthday kids get their cars. 

Now when I am back in Florida I like to take day trips with my wife and daughter. When we were driving through Clay County I told my Polish spouse that it’s pretty nice out there to which she replied :

”Oh Mark please don’t make me move here just to prove how much I love you”. 

She said that not because the place is off the beach or it’s a country side, she said that because the place is far from civilization, houses are often mobile and if you don’t have a car there is no way to go anywhere.”
Łódź (Lodz) - capital of Central Poland
Łódź (Lodz) – capital of Central Poland. This city has a kind of old world feel as well as a kind of European version of London feel to it. Nice, exciting and charming.

And…

“Poland was a socialist country so the country has a large social system built in. There are unemployment benefits and food stamps etc and housing. But this is nothing compared to going to grandma’s in the village and eating fresh food, even if unemployed. 

It is a different reality. 

You can live in Poland for nothing and people’s expectations are different. My wife was making 500 dollars a month, lived on potatoes, and still had a few dollars to take French lessons. No gadgets or cable TV but reality is different. She did not have a gym membership but walked everywhere and was in better shape than the excesses of the west.”
Gdansk
Gdansk old town – Warszawa. Charming, unique and awesome all words that I would use to describe this city and the people.

Polish Food

Polish Hot Dogs
How about we start with some delicious Polish Hotdogs. Only they are made with fine Polish sausages. Cooked to perfection. Topped with all sorts of delicious toppings. Yum.

When you think about Polish food most people think of Pierogi. Pierogi are delicious, but there is much much more.

Bigos is a “Hunter’s Stew” that has rich flavoring from the meats and cabbage that are within the dish. Many cooks their Bigos stew for two days. Many Poles will use the largest pot available (can fit a large turkey) and let it simmer for around two days. During this time the house will have a delicious aroma. The color comes out a very dark brown almost black.

Another favorite dish in Poland is Paszteciki. My relatives have all made this.  I just cannot remember a holiday when we weren’t eating these during the holidays. They are wonderful little snacks that you can just pop into your mouth as other items are cooking. Pass the Paszteciki!

Below are a few honorable mentions.

  • Golabki – Cabbage roll stuffed with meat and rice with a red sauce.
  • Kapuska – Made different ways depending on the season. The one I enjoy has tomatoes and grounded up giblets.
  • Potato Pancakes – Very good, but have to be careful because of the starch and cholesterol.
  • Apple Pancakes – I never had apple pancakes like my Mother-in-law makes them here. We are surrounded by apple orchards and have time to make a lot of different apple dishes.
  • Paczki – Polish Donut. Known for the rose filling. Who knew that roses not only smell great but taste delicious as a dessert.
Delicious Polish Food.
Delicious polish food. My grandmother, and all my relative had perogries often. While not an everyday meal, it was common enough for me to miss when I left for university. These little puppies are cooked in butter with caramelized onions. Yum.

Polish Family Culture 101

  • Shoes off when entering a home – Completely understandable. Your home is your clean sanctuary. You’ve been walking around all day in those shoes… Bathrooms… Office spaces… Dog poo? Take your shoes off and let your feet breath.
  • Women’s role / Man’s role – There is a clear line in what is expected of both sides. However, where some feminist may find it offensive, many women love it! Sure no problem the woman can clear the dishes off the table and clean and put them away, and the man can go outside and pick up the dog poo, mow the lawn, and vacuum the stairs.
  • Fast Meal – It is always a good idea to keep bread, cheese, or fruits and veggies on hand to whip up a fast meal for expected and unexpected guest. Along with the meal make sure you have plenty of tea and coffee to offer as well. Don’t be rude offer guest in your home refreshments.
  • Tipping – Tipping is very popular in the United States. For the most part you’re expected to tip your servers, carpet cleaners, maids, etc. as a show of appreciation for the service given. In Poland and Germany it isn’t normal. The amount on your receipt is what is expected. Giving a tip is appreciated but don’t feel that it is necessary.

Germany

Germany, home of beer and fine German sausages.  It is a land with amazing forests, wonderful history, and a climate that is suitable to me.  Cars can drive without speed limits, prostitution is legal, and I could spend the rest of my life visiting all the historical world war II historical sites.

It’s a beautiful land with seasons, fine food, and a population that is attentive to their role in society.

Germany is beautiful.
Germany is one of the most beautiful countries in the world. Depending on where you go, you might find Roman ruins, medieval villages, sparkling new cities, or Baroque city centers. It’s just plain awesome.

Here is a nice article on some lessons that an American expat was exposed to as part of their new life in Germany. Some of them are amusing…

“Especially in the former East, freikörperkultur, or free body culture, is an important part of German identity.  Decades of oppression lead to a particular appreciation for the experience of freedom and nudity without a direct relationship to sexuality.

This can sometimes be difficult for Americans to buy, particularly when your coworkers casually invite you to the office’s nude sauna or suggest a naked swim in a nearby lake.  Adjusting to this culture without getting weird took some grit, finesse, and more than a few awkward encounters.”

Free body culture. Nudity with friends. I can see how it would take some time getting used to, but then again. Meh. So what?

Well, “Free Body Culture” is very, very taboo in the United States. You could easily be arrested and locked up for sexual deviance. Not only that, but you could easily be put on a Sex Offender registry because you didn’t want to wear pants and underwear. Yikes!

Americans would be arrested for things that people in other nations take for granted as normal behavior.

Germany has many charms. If you don’t mind the “free body culture”, there are many other things to like. Like for instance, the fine food, sausages and delicious beer. But it is more than that. It is…

“Moving to Germany meant an inexorable slowing of the pace of my life. 

Particularly in Saxony, there are strict rules about when stores can remain open.  Most businesses are closed in the evenings and all day on Sunday.  Additionally, Germans benefit from frequent holidays and typically at least a month of paid vacation.

This gave me some anxiety at first, particularly when I forgot to leave work early enough to get groceries or didn’t have time to go to the bank.  

Over time, however, I learned to both plan my days and to enjoy the break from chores rather than obsessing over lost time.  After a few months, I was occasionally leaving work at 3pm to go watch the football game with friends instead of trying to cram a few more hours of work.  I still got as much done as usual, but I felt much happier and less burned out.”

It sounds like a real welcome relief to me. I’ll tell you what.

Saarburg Germany
Saarburg is most beautiful town in of the Trier-Saarburg district in the Rhineland-Palatinate state of Germany. It covers an area of 20.36 km2 (7.86 sq mi). Saarburg is a medieval-themed town located in Germany. Despite the small number of people (around 6500) the city is a peaceful and beautiful place to live. There you have all the necessary things for a nice and comfortable life. In Saarburg has all kinds of schools, good shopping, a strong health care to the St. Francis Hospital etc.Saarburg is the major and largest center in Trier-Luxembourg region. The main feature of the city is beautiful river Saar.

There’s also another blog written by Amanda Schaefer .  She is an American expat living in Germany. Her comments can be found here.

“Be a good hausfrau. The sidewalk in front of your house is directly correlated to your social acceptance in the neighborhood. Shovel and salt it before 8am if it snows and make sure it's swept clean of minuscule dirt particles at all other times. 

And wash your windows, too.

If you are American, people know it. I don't know how they know; they just do. You can stop wearing Nikes everywhere, throw your elastic-waisted pants in the garbage, and take off your baseball cap but they will still see you coming from a mile away. Inexplicably, after several months of living here you will also be able to distinguish other Americans in a crowded place before hearing them speak.

Water is served in tiny glass bottles with their own special designer cup, typically at room temperature. Don't ask for tap water unless you want to be forever shunned. The good news is that beer is usually either the same price or cheaper than a dainty little bottle of water. This results in guiltless day drinking.

And what about holidays?

Carnival is as exciting as you imagine! It's like Mardis Gras and Halloween on steroids. There are endless parades with intricate floats, everyone wears painstakingly-crafted costumes, and all of the candy thrown from the floats is washed down with beer-- lots and lots of beer. 

There isn't a bad place to participate in the Carnival festivities. In fact, you can attend lots of different celebrations because there are so many villages and each has its own parade. Just be sure to use the right salutation: In and around Köln, it's, "Alaaf!" Almost everywhere else it's, "Helau!"”

OK. So it is traditional. Women must keep the home presentable for the neighbors. You have to be a good citizen and an acceptable resident. I am sure that that might ruffle up some pink hair on some militant American feminists out there.

Germany is interesting in other ways as well. Ways, that I am afraid will upset most sensibilities of your average American.

For instance, prostitution is legal and quite accepted in society. It is LEGAL. It is ACCEPTED. It is considered a societal need.

(Damn! Why did my dad decide to move to the USA when he could of just as easily stayed in Japan, or Germany?) So, if you are a man living in Germany, and need to have a little bit of sexual release, you just get up and go to one of the many thousands of establishments that cater to your manly needs.

Let’s talk about this for a while. After all prostitution in the United States get’s a bad rap. Of course, prostitution in the rest of the world doesn’t look anything like the sorry excuses found in the United States. It’s quite different. In Germany, it’s part of society, and everyone tends to visit them from time to time.

Visiting a Chain-Bordello, the FKK

Yes, in America we have chain fast-food restaurants like McDonalds, and KFC. We have chain restaurants like Red Lobster, and Denny’s. We have chain car-washes, car oil-changes, and chain laundry-mats. Well, Germany has chain-bordellos.

Yeah, I have a number 2 doggie-style with extra BJ, please.

This is one of those places that every guy really needs to see to believe before they die. To quote a blogger; “Having sex with prostitutes at FKK Oase in Frankfurt needs to be added to your bucket list right now.”

Many guys probably have no idea what an FKK is, so I will break that down first.

Not all FKK’s are created equal, but calling them a lavish brothel might be the best way to sum them up.  I would say that this is certainly the best way to describe it. It is like going to a Roman villa filled with all sorts of beautiful nude girls who are willing to provide you with pleasures on demand. Yikes! Nero, never had it so good! These are places where guys go to live out their fantasies and be pampered in every way possible.

I wonder why I never heard about this before?

Hum, let me check. Oh, yes. Google blocks much of this information. Why am I not surprised? Americans must be protected against foreign ideas and thoughts that might crush the progressive narrative by the ruling oligarchs. Americans must be dumbed down to meet the lowest IQ, and the shabbiest behaviors so as not to offend anyone.

You know, something exactly like this…

Harrison Bergeron
Harrison Bergeron is a 1995 cable science fiction television movie film loosely adapted from Kurt Vonnegut’s 1961 short story of the same name. The film takes place in a dystopian future in which the US government mandates total egalitarianism in all things, by having most people (those with high intelligence) attach wearable mind “handicapping” devices to their heads and showing only mind numbing shows on TV.
Harrison Bergeron
The story centers on a high school student named Harrison Bergeron whose brilliance makes him a pariah. He is recruited by a secret organization whose purpose is to operate the functions of society that cannot be handled by the unintelligent. 

While he thrives in this environment for a time and even falls in love with the girl who introduced him into the organization, he ultimately pays a personal price and comes to see the true social structure as unethical and immoral, and thus rebels, even if it means making a sacrifice. 

In this reality, it is mandated that all people be equal in all things. To this end, the social norm of this society has become egalitarianism. 

Citizens strive to be of equal wealth, intelligence, athletic prowess and social status to all around them. Through a process of selective breeding, mankind is perfecting the perfectly average human being. 

What is not accomplished through arranged marriages is made up for through technological means, the most prominent of which are only showing mind numbing shows on TV, and a headband device worn by all citizens which modulates intelligence, dialing up or down a person's IQ in order to arrive at a 'perfect' 100.

Cover your eyes now. Because I am going to describe it for you in reader-land. This knowledge might be harmful to you. It’s probably classified as dangerous “Hate Speech” by the liberals. Knowledge is power. Soak it up. It’s ok.

Take off your stupidity headsets. It’s ok. Do it now.

via GIPHY

Now let’s talk about what life is outside the artificial reality that the United States has become…

Contact and address of The FKK Oase Frankfurt

Adress:        Ober-Erlenbacher Straße 109 - 61381 Friedrichsdorf
Region:        Hesse
Country:       Germany
GPS:           50.242484, 8.675508
Phone:         +49 6007 930551
Site web:      FKK Oase

They can be called sauna clubs by some, you can get a massage, go for a swim, or have sex with any of the hundred hot women from around the world that work there. Pricing is different from place to place but generally you pay an entry fee and then pay for sex acts as you go.

As is typical in Germany, everyone walks around near naked or fully naked, including the guys. At some you can have public sex in full view of everyone else, others make you do the action in private rooms.

There are many different FKK establishments.  All with different decor and pricing arrangements. For example, let’s talk about FKK Oase in Frankfurt. The following is from a website called a guys night life. Enjoy…

“FKK Oase is located a bit out of the way. You can ask a taxi to take you there or save some euros by taking the S5 train to the Friedrichsdorf station and then getting a taxi from there.

When you arrive you pay a 69 euro entrance fee then go to the locker room to take a shower, lock up your clothes, and put on the robe. Guys wear a thin robe here, though some just walk around naked.

FKK Promotion
FKK in Germany. This is one of their promotional ads. They host nudity and sex for a fee at many scenic locations. Oh, for those of you readers who haven’t grown up past five years of age, cover your eyes because this chick isn’t wearing panties! Whoops! NSFW warning. Heh heh. (Nice Butt, eh?)
The girls generally are fully nude though some will wear sexy lingerie or extremely skimpy bikinis. There are multiple different areas to hang out here, one room has a stripper pole with couches.

Then there is a small movie theater that they call the kino where porn is on display. There are many couches and a couple of beds in here, don’t be surprised to see guys getting blowjobs on the couches and live sex shows on the beds.

If you want to be the one putting on the show just ask one of the girls around and she will likely be down. There is also a big backyard area with a pool and bar.

There are many pool chairs, hammocks, cabanas, and another place for people to have sex on an elevated area. There is a mirror on the ceiling of it so people underneath can look up and watch.

This is all done in a Roman theme with statues and the like. You can sit by yourself and take in the scene, move from one area to another, and pick a girl any time you want.”

Sounds pretty good doesn’t it? Here’s a photo of FKK with some of the girls there, showing their butts. LOL. (PS. If you are an American under the age of 21, you need to self censure. This might burn your retinas, and cause you to behave erratically.)

FKK
Promotional image from FKK. Photo credit to FKK. Love all the cute butts. Heh heh.
“As mentioned you pay a 69 euro cover when you enter and then from there everything is done between you and the girl. The standard price is 50 euros and that gets you a bbbj (blowjob with no condom) followed by covered sex.

69 euro = $79 USD

Certain things will cost extra and they won’t come cheap. For instance most girls will ask for an additional 50 euros to cum in their mouth, most won’t do anal sex but if they do you will have to pay a premium for it.

50 euro = $57.30 USD

Many of the girls will be fine with doing things anywhere in the facilities. You can head to the kino and get blown while watching a porn and then move to a bed and be the star of the show if you like. Just make sure to confirm with the girl that she is up for that before you begin.

There is no pressure to take a girl, you have already paid the cover so if for some reason you don’t want to have sex that is fine. You also are free to have sex with as many girls as you want and can afford.

Threesomes are definitely possible, but you shouldn’t expect too much interaction between the girls. Pretty much anything can be done here for a price.”

How about that?

A bordello treated like a buffet. Outstanding! What’s not to love?

“Looks can be subjective but ya they are pretty hot. They walk around naked all day so you are not going to see many that don’t have very good bodies.

Many of the prostitutes are from Eastern Europe and the vast majority of them are white. Every once in awhile you might see an Asian, Latina, or black girl.

As far as how many you don’t really need to worry as there will always be plenty to choose from. The girls have even been known to complain that they have too much competition there.

During peak hours there might be as many as 150, and even at slow times there should be close to 50. Since they are all in good shape you will have no problems finding one that suits your needs.

The sheer number of girls working here make it one of the best FKK’s in Germany. While this isn’t an extremely cheap way to monger it is definitely an experience that any guy would love to try. As many as 150 in shape naked girls around and you can get with any of them at any time."

Now some thoughts from a newbe who went there for the first time;

Newbie returns from FKK OASE Frankfurt

Hi All,

Finally got to Frankfurt and my first taste of an FKK recently. Got there about 08:30pm and left at 12:30am on a Friday night and this is my take on the night from a newbie perspective.

Getting there:

As I was staying in Friedrichsdorf, which I believe is the nearest town and train station. I walked down to the Train station and been as nervous as hell I got into a taxi there and as soon as I sat into it the driver turned and said FKK OASE and I was on my way (Did not even have to tell him). I

ts about a five to 10 minute drive and the club is out in the middle of the countryside so not to worry if you find yourself driven along a narrow road with no houses etc on it..

What to expect on entering:

Once inside the door there is a small reception area and the lady took my money and also put one band on my left arm to say I had paid and another on my right with key to both my lockers (Clothes and Money locker). Was offered a towel only but asked and was also given a robe. Note at reception just five feet to your left through the door it the bar area and my first glance at the girls and boy was I impressed.

The Club layout:

Using the reception as a certain point. From reception you first need to go to the locker room / changing area. Just enter into the bar area, turn right through the next doorway and on forward down the stairs and into a large modern locker room and find the locker with the key number to match change and back up the stairs, bring your money to your second locker which are in the bar area to the right of the doorway that let from reception. 

These lockers are only big enough for your wallet and the other lockers only your clothes so if you had a large bag you might be in trouble. Back at the doorway from reception to your left is a the bar on an L shape and beyond that is the main Room with a dancing poll in the centre and comfy sofas all around with some high chairs and tables. 

Enough to seat 40 and to the far right of the room you'll find the KINO again 3 rows of comfy sofas facing onto the large screen showing porn and also on a couple of occasions seen full on BJ action during the night and was offered it a couple of times myself but been shy declined. 

The bar / main room area would be the same size as a large pub in Dublin. Back to the door at the reception area and turn right though the doors for the locker area and instead of going down the stairs to your left are the rooms and to your right the Jacuzzi / sauna area which also has rooms to one side. 

This basically has a large Jacuzzi maybe holds up to 10 and some deck chairs around it and the sauna rooms to the far right side with the pro massage lady in a room in the corner to the far left. These guys is a legit massage and one of the girls tells me she is quite good. 30 euro apparently. Beyond the the sauna rooms it the entrance to the outdoor area and as it was dark did not see much of this but looks like a large pool with deck chairs all around reports of it been great in the good weather I'm sure are true.

The Girls:

Now for the most important bit. After putting my wallet away and at this stage to be honest I had been a little blown away by the quality of the girls and I had only gone to and from the locker room yet. Back up at the bar I got a free Fanta then sat down in the main room. 

As said many times before girls were all in high heels only a few with stockings. I'd estimate that there were about 50 girls in the club at 8:30 and this dwindled down to about 25 by 12:30. Seemed to be a slow night and this was confirmed by one of the girls. 

Of the 50 at least 35 were an 8 or above, another 8 I'd rate as 7 and the others I did not find attractive. High odds indeed. Almost all the girls are slim, with small B / A cup boobs and appear quite tall in the heals and 80%+ Romanian and the majority in the 18 to 25 year old bracket. Of the girls I'll pick out the 2 I found most attractive.

1: A blond that I immediately seem on entering the bar. But she left at 09:00 so did not speck to her. She has quite an incredible body, Jennifer Aniston is her prime. I just could not believe what I was seeing and had to stop and stare like an idiot just for a minute she was just that good.

2: Julie 18 Year old Romanian, This girl it so sweet and innocent and a drop dead looker to match. 170cm tall teeny body, back hair. Got her in the room for an hour and was very pleased. She talked away and as near as a GFE as your going to get. She but a smile on my face when she said without any hesitation the she was to young for a drink as she might regret what she would do like any other teenager, but I could only see the contradiction given the circumstance with a very large smile.

Oh Yes some girls will approach and will take no for an answer if you give it in a polite way and others will sit down or walk about expecting a wink or a node and the'll be over to you in a hurry. Some are sweet talking about where your from and what your doing in Frankfurt and more are full on whispering about the great BJ she'll give you in the KINO and the great fuck you'll have together. Its up to your own taste what you want really.

Newbie lessons learned:

1: When I go again and I will, I would go about 3pm, and leave at 10:30pm as to get the day and night shift together. After 10pm the crowd both girls and men dwindled abit and this took from the atmosphere I though.

2: Once you see a girl you like and want approach quickly as she will get taken or leave as happened above with the blond.

3: The space indoors in the club is not quite as large as I though from reports but it still quite big and if there was a large crowd I'm sure it would be very packed.

4: You kind of phase out the other gents that are there and anyway everyone kind of minds their own business. And just in case your wondering. All ages / sizes and mostly singe gents with a few small groups. All respectful of the situation and no loud and rowdy types.

Conclusion:

The best way of describing it would be to close your eyes and picture going to the local pub / bar / disco where the 18 to 25 year old set go and pick out all the attractive girls and have them nude in only their heels and then replace the guys with men of all ages/looks sitting about in robes / towels and that's the nearest picture your going to get without actually been there.

Will I go back YES YES YES and I plan to explore other FKK's as well.”

A listing of FKK clubs in Germany, can be found HERE.  It’s a very extensive list, my God!

While America has been all caught up with prohibition of drinking alcohol on Sundays, and display of woman’s breasts when breastfeeding, the rest of the world moved on without these silly restrictions. Men can go work, at their leisure, then come home and relax.  If the wife is too tired or busy for sex they can go out to a men’s club and get some release. Win – win.

In other nations, men are men, and women are women, and there is no such thing as anything else. I don’t care what the pseudo American intellectuals have to say about the 38 genders. They are only complaining because they were able to obtain funding via political organizations by keeping various narratives alive.

Finally, Let me end this discussion of what awaits you in Germany with this screen capture of a Twitter conversation. You see, in America the progressives are trying to make everyone equal, even though people are not equal at all. The differences are our strengths. Not our similarities.

But, you can’t explain that to the militant millennials.

Harrison Bergeron
Silly girl. So you want equality, eh? Image that THE YEAR IS 2081, and everybody is finally equal. They weren’t only equal before God and the law. They were equal every which way. Nobody was smarter than anybody else. Nobody was better looking than anybody else. Nobody was stronger or quicker than anybody else. All this equality was due to the 211th, 212th, and 213th Amendments to the Constitution, and to the unceasing vigilance of agents of the United States Handicapper General. A science fiction story…yes. Trying to be implemented in the Untied States today by progressives in 2018…absolutely YES.
George, while his intelligence was way above normal, had a little mental handicap radio in his ear. 

He was required by law to wear it at all times. It was tuned to a government transmitter. Every twenty seconds or so, the transmitter would send out some sharp noise to keep people like George from taking unfair advantage of their brains. 

Go read about this Science Fiction story (and movie) that has become the progressive dream, and is trying to be implemented today. Read HERE.

In short, we don’t want to treat everyone the same, because everyone is different. Some are smarter. Some are stronger. Some are taller. Some are hard-working. Some are lazy. Differences is what should be treasured. Not sameness.

IQ chart
Gaussian IQ spread of different nations. This graph tells us a lot about the differences between people in different nations.

Anyways, continuing on about Germany… Let’s talk taxes…

Germany is the economic powerhouse of Europe so for the ambitious I there's a lot of opportunity here. However taxes are high as fuck if you are comparing it to life in the US. I'm from NYC so I am used to paying high taxes but over here is another level. Sales tax of 19% is worked into prices of everything here. The only forgiving things here is that rent is SIGNIFICANTLY cheaper than in NYC and that's where you end up coming out ahead financially. 

-6 Years in Germany

Conclusions

We, as Americans, have a very retarded and corrupted view of the world outside the American borders. To us, it seems frightening and dark. We are constantly bombarded with “Save the Children” advertisements, and told that they need our money to support their infrastructure (isn’t that right, Barrack Obama?). The truth is something quite different.

In the movie “Forrest Gump”, there is a saying “Life is like a box of chocolates. You never know what you are going to get.” Well, that is true. But you know what? You can choose the box.

Thai love
The initiation of sex and relationships vary from culture to culture. In Thailand, for example, the relationships tend to be different than that of the United States. This is true for most of Asia.

Men, I am speaking as a man, need to recognize that we are not chained to the life that we have created. When things become uncomfortable, or untenable, we can change our life. We make a plan, and then execute the plan. These are my thoughts on this matter.

Best Regards.

Take Aways

  • Depending on your own individual situation, leaving America might be an option worthy of consideration.
  • There are many nations to choose from. Some have higher taxes, but great food. Some have lower taxes, and pretty girls. Some have great history, art and culture, while others are just fun places to be.
  • You are getting older with each hour. Spend some time thinking about your life and what you want out of it. It might include going to another area that is different from the United States.

FAQ

Q: What is the best nation to visit?
A: I do not know. It depends on the individual. Their money, interests and experiences. For me, I found that I actually found that I enjoyed the Asian nations. The United States is nothing like I grew up in. It is something completely different today.

Were I to live in the Untied States today, my life style would be a pale shadow of what it is currently.

Q: Why do you only think about men, what about women?
A: I think about women a lot. But I am not a woman. I can only relate my thoughts and experiences from my own point of view. That includes things that interest me as a man.

Truthfully, I am not interested in how a woman might think if she was in my shoes. In fact, it is impossible for a woman to be in my shoes. Woman do not have the ability to function as a node within my MAJestic role. It is physically IMPOSSIBLE for them. Call it sexist if you may. But that is the truth.

Part of growing up is throwing away our childhood fantasies and becoming an adult. You are placed within your reality, and it is up to you to make the best of it. You are either a success at it, or you fail. It’s pretty cut and dry.

Two Part Post

This post is part one of a multi-part post. My basic premise is that the world is a big place. Get out. Enjoy the differences. Savor them. Life is too short.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos
Mad scientist
Gorilla Cage in the basement
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Civil War
Travel
PT-141
Bronco Billy
r/K selection theory
How they get away with it
Line in the sand
A second passport
Paper Airplanes
Snopes
Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 3NOV18
  2. Completed 7NOV18
  3. SEO review 7NOV18.

The April Witch (Full Text) by Ray Bradbury

This is the full text of a very curious story (The April Witch) by Ray Bradbury. It is presented here under Article 22 of China’s Copyright Law.  Enjoy.

The April Witch

By Ray Bradbury

Into the air, over the valleys, under the stars, above a river, a pond, a road, flew Cecy. Invisible as new spring winds, fresh as the breath of clover rising from twilight fields, she flew. She soared in doves as soft as white ermine, stopped in trees and lived in blossoms, showering away in petals when the breeze blew. She perched in a limegreen frog, cool as mint by a shining pool. She trotted in a brambly dog and barked to hear echoes from the sides of distant barns. She lived in new April grasses, in sweet clear liquids rising from the musky earth.

It's spring, thought Cecy. I'll be in every living thing in the world tonight.

Now she inhabited neat crickets on the tar-pool roads, now prickled in dew on an iron gate. Hers was an adapt-ably quick mind flowing unseen upon Illinois winds on this one evening of her life when she was just seventeen.

"I want to be in love," she said.

She had said it at supper. And her parents had widened their eyes and stiffened back in their chairs. "Patience," had been their advice. "Remember, you're remarkable. Our whole family is odd and remarkable. We can't mix or marry with ordinary folk. We'd lose our magical powers if we did. You wouldn't want to lose your ability to 'travel' by magic, would you? Then be careful. Be careful!"

But in her high bedroom, Cecy had touched perfume to her throat and stretched out, trembling and apprehensive, on her four-poster, as a moon the colour of milk rose over Illinois country, turning rivers to cream and roads to platinum.

"Yes," she sighed. "I'm one of an odd family. We sleep days and fly nights like black kites on the wind. If we want, we can sleep in moles through the winter, in the warm earth. I can live in anything at all - a pebble, a crocus, or a praying mantis. I can leave my plain, bony body behind and send my mind far out for adventure. Now!"

The wind whipped her away over fields and meadows.

She saw the warm spring lights of cottages and farms glowing with twilight colours.

If I can't be in love, myself, because I'm plain and odd, then I'll be in love through someone else, she thought...

+++

Outside a farmhouse in the spring night a dark-haired girl, no more than nineteen, drew up water from a deep stone well. She was singing.

Cecy fell - a green leaf- into the well. She lay in the tender moss of the well, gazing up through dark coolness. Now she quickened in a fluttering, invisible amoeba. Now in a water droplet! At last, within a cold cup, she felt herself lifted to the girl's warm lips. There was a soft night sound of drinking.

Ceсy looked out from the girl's eyes.

She entered into the dark head and gazed from the shining eyes at the hands pulling the rough rope. She listened through the shell ears to this girl's world. She smelled a particular universe through these delicate nostrils, felt this special heart beating, beating. Felt this strange tongue move with singing.

Does she know I'm here? thought Cecy.

The girl gasped. She stared into the night meadows.

"Who's there?"

No answer.

"Only the wind," whispered Cecy.

"Only the wind." The girl laughed at herself, but shivered.

It was a good body, this girl's body. It held bones of finest slender ivory hidden and roundly fleshed. This brain was like a pink tea rose, hung in darkness, and there was cider-wine in this mouth. The lips lay firm on the white, white teeth and the brows arched neatly at the world, and the hair blew soft and fine on her milky neck. The pores knit small and close. The nose tilted at the moon and the cheeks glowed like small fires. The body drifted with feather-balances from one motion to another and seemed always singing to itself. Being in this body, this head, was like basking in a hearth fire, living in the purr of a sleeping cat, stirring in warm creek waters that flowed by night to the sea.

I'll like it here, thought Cecy.

"What?" asked the girl, as if she'd heard a voice.

"What's your name?" asked Cecy carefully.

"Ann Leary." The girl twitched. "Now why should I say that out loud?"

"Ann, Ann," whispered Cecy. "Ann, you're going to be in love."

+++

As if to answer this, a great roar sprang from the road, a clatter and a ring of wheels on gravel. A tall man drove up in a rig, holding the reins high with his monstrous arms, his smile glowing across the yard.

"Is that you, Tom?"

"Who else?" Leaping from the rig, he tied the reins to the fence.

"I'm not speaking to you!" Ann whirled, the bucket in her hands slopping.

"No!" cried Cecy.

Ann froze. She looked at the hills and the first spring stars. She stared at the man named Tom. Cecy made her drop the bucket.

"Look what you've done!"

Tom ran up.

"Look what you made me do!"

He wiped her shoes with a kerchief, laughing.

"Get away!" She kicked at his hands, but he laughed again, and gazing down on him from miles away, Cecy saw the turn of his head, the size of his skull, the flare of his nose, the shine of his eye, the girth of his shoulder, and the hard strength of his hands doing this delicate thing with the handkerchief. Peering down from the secret attic of this lovely head, Cecy yanked a hidden copper ventriloquist's wire and the pretty mouth popped wide: "Thank you!"

"Oh, so you have manners?" The smell of leather on his hands, the smell of the horse rose from his clothes into the tender nostrils, and Cecy, far, far away over night meadows and flowered fields, stirred as with some dream in her bed.

"Not for you, no!" said Ann.

"Hush, speak gently," said Cecy. She moved Ann's fingers out toward Tom's head. Ann snatched them back.

"I've gone mad!"

"You have." He nodded, smiling but bewildered. "Were you going to touch me then?"

"I don't know. Oh, go away!" Her cheeks glowed with pink charcoals.

"Why don't you run? I'm not stopping you." Tom got up. "Have you changed your mind? Will you go to the dance with me tonight? It's special. Tell you why later."

"No," said Ann.

"Yes!" cried Cecy. "I've never danced. I want to dance. I've never worn a long gown, all rustly. I want that. I want to dance all night. I've never known what it's like to be in a woman, dancing; Father and Mother would never permit it. Dogs, cats, locusts, leaves, everything else in the world at one time or another I've known, but never a woman in the spring, never on a night like this. Oh, please - we must go to that dance!"

She spread her thought like the fingers of a hand within a new glove.

"Yes," said Ann Leary, "I'll go. I don't know why, but I'll go to the dance with you tonight, Tom."

"Now inside, quick!" cried Cecy. "You must wash, tell your folks, get your gown ready, out with the iron, into your room!"

"Mother," said Ann, "I've changed my mind!"

+++

The rig was galloping off down the pike, the rooms of the farmhouse jumped to life, water was boiling for a bath, the coal stove was heating an iron to press the gown, the mother was rushing about with a fringe of hairpins in her mouth. "What's come over you, Ann? You don't like Tom!"

"That's true." Ann stopped amidst the great fever.

But it's spring! thought Cecy.

"It's spring," said Ann.

And it's a fine night for dancing, thought Cecy.

"... for dancing," murmured Ann, Leary.

+++

Then she was in the tub and the soap creaming on her white seal shoulders, small nests of soap beneath her arms, and the flesh of her warm breasts moving in her hands and Cecy moving the mouth, making the smile, keeping the actions going. There must be no pause, no hesitation, or the entire pantomime might fall in ruins! Ann Leary must be kept moving, doing, acting, wash here, soap there, now out! Rub with a towel! Now perfume and powder!

"You!" Ann caught herself in the mirror, all whiteness and pinkness like lilies and carnations. "Who are you tonight?"

"I'm a girl seventeen." Cecy gazed from her violet eyes. "You can't see me. Do you know I'm here?"

Ann Leary shook her head. "I've rented my body to an April witch, for sure."

"Close, very close!" laughed Cecy. "Now, on with your dressing."

The luxury of feeling good clothes move over an ample body! And then the halloo outside.

"Ann, Tom's back!"

"Tell him to wait." Ann sat down suddenly. "Tell him I'm not going to that dance."

"What?" said her mother, in the door.

+++

Cecy snapped back into attention. It had been a fatal relaxing, a fatal moment of leaving Ann's body for only an instant. She had heard the distant sound of horses' hoofs and the rig rambling through moonlit spring country. For a second she thought, I'll go find Tom and sit in his head and see what it's like to be in a man of twenty-two on a night like this. And so she had started quickly across a heather field, but now, like a bird to a cage, flew back and rustled and beat about in Ann Leary's head.

"Tell him to go away!"

"Ann!" Cecy settled down and spread her thoughts.

But Ann had the bit in her mouth now. "No, no, I hate him!"

I shouldn't have left - even for a moment. Cecy poured her mind into the hands of the young girl, into the heart, into the head, softly, softly. Stand up, she thought.

Ann stood.

Put on your coat!

Ann put on her coat.

Now, march!

No! thought Ann Leary.

March!

"Ann," said her mother, "don't keep Tom waiting another minute. You get on out there now and no nonsense. What's come over you?"

"Nothing, Mother. Good night. We'll be home late."

+++

Ann and Cecy ran together into the spring evening.

A room full of softly dancing pigeons ruffling their quiet, trailing feathers, a room full of peacocks, a room full of rainbow eyes and lights. And in the center of it, around, around, around, danced Ann Leary.

"Oh, it is a fine evening," said Cecy.

"Oh, it's a fine evening," said Ann.

"You're odd," said Tom.

The music whirled them in dimness, in rivers of song, they floated, they bobbed, they sank down, they arose for air, they gasped, they clutched each other like drowning people and whirled on again, in fan motions, in whispers and sighs, to "Beautiful Ohio."

Cecy hummed. Ann's lips parted and the music came out.

"Yes, I'm odd," said Cecy.

"You're not the same," said Tom.

"No, not tonight."

"You're not the Ann Leary I knew."

"No, not at all, at all," whispered Cecy, miles and miles away. "No, not at all," said the moved lips.

"I've the funniest feeling," said Tom.

"About what?"

"About you." He held her back and danced her and looked into her glowing face, watching for something. "Your eyes," he said, "I can't figure it."

"Do you see me?" asked Cecy.

"Part of you's here, Ann, and part of you's not." Tom turned her carefully, his face uneasy.

"Yes."

"Why did you come with me?"

"I didn't want to come," said Ann.

"Why, then?"

"Something made me."

"What?"

"I don't know." Ann's voice was faintly hysterical.

+++

"Now, now, hush, hush," whispered Cecy. "Hush, that's it. Around, around."

They whispered and rustled and rose and fell away in the dark room, with the music moving and turning them.

"But you did come to the dance," said Tom.

"I did," said Cecy.

"Here." And he danced her lightly out an open door and walked her quietly away from the hall and the music and the people.

They climbed up and sat together in the rig.

"Ann," he said, taking her hands, trembling. "Ann." But the way he said the name it was as if it wasn't her name. He kept glancing into her pale face, and now her eyes were open again. "I used to love you, you know that," he said.

"I know."

"But you've always been fickle and I didn't want to be hurt."

"It's just as well, we're very young," said Ann.

"No, I mean to say, I'm sorry," said Cecy.

"What do you mean?" Tom dropped her hands and stiffened.

The night was warm and the smell of the earth shimmered up all about them where they sat, and the fresh trees breathed one leaf against another in a shaking and rustling.

"I don't know," said Ann.

"Oh, but I know," said Cecy. "You're tall and you're the finest-looking man in all the world. This is a good evening; this is an evening I'll always remember, being with you." She put out the alien cold hand to find his reluctant hand again and bring it back, and warm it and hold it very tight.

"But," said Tom, blinking, "tonight you're here, you're there. One minute one way, the next minute another. I wanted to take you to the dance tonight for old times' sake. I meant nothing by it when I first asked you. And then, when we were standing at the well, I knew something had changed, really changed, about you. You were different. There was something new and soft, something..." He groped for a word. "I don't know, I can't say. The way you looked. Something about your voice. And I know I'm in love with you again."

"No," said Cecy. "With me, with we."

"And I'm afraid of being in love with you," he said. "You'll hurt me again."

"I might," said Ann.

No, no, I'd love you with all my heart! thought Cecy. Ann, say it to him, say it for me. Say you'd love him with all your heart.

Ann said nothing.

Tom moved quietly closer and put his hand up to hold her chin. "I'm going away. I've got a job a hundred miles from here. Will you miss me?"

"Yes," said Ann and Cecy.

"May I kiss you good-bye, then?"

"Yes," said Cecy before anyone else could speak.

He placed his lips to the strange mouth. He kissed the strange mouth and he was trembling.

Ann sat like a white statue.

"Ann!" said Cecy. "Move your arms, hold him!"

She sat like a carved wooden doll in the moonlight.

Again he kissed her lips.

"I do love you," whispered Cecy. "I'm here, it's me you saw in her eyes it's me, and I love you if she never will."

He moved away and seemed like a man who had run a long distance. He sat beside her. "I don't know what's happening. For a moment there..."

"Yes?" asked Cecy.

"For a moment I thought -" He put his hands to his eyes. "Never mind. Shall I take you home now?"

"Please," said Ann Leary.

+++

He clucked to the horse, snapped the reins tiredly, and drove the rig away. They rode in the rustle and slap and motion of the moonlit rig in the still early, only eleven o'clock spring night, with the shining meadows and sweet fields of clover gliding by.

And Cecy, looking at the fields and meadows, thought, 'It would be worth it, it would be worth everything to be with him from this night on.' And she heard her parents' voices again, faintly, "Be careful. You wouldn't want to lose your magical powers, would you - married to a mere mortal? Be careful. You wouldn't want that."

Yes, yes, thought Cecy, even that I'd give up, here and now, if he would have me. I wouldn't need to roam the spring nights then, I wouldn't need to live in birds and dogs and cats and foxes, I'd need only to be with him. Only him. Only him.

The road passed under, whispering.

"Tom," said Ann at last.

"What?" He stared coldly at the road, the horse, the trees, the sky, the stars.

"If you're ever, in years to come, at any time, in Green Town, Illinois, a few miles from here, will you do me a favour?"

"Perhaps."

"Will you do me the favour of stopping and seeing a friend of mine?" Ann Leary said this haltingly, awkwardly.

"Why?"

"She's a good friend. I've told her of you. I'll give you her address. Just a moment." When the rig stopped at her farm she drew forth a pencil and paper from her small purse and wrote in the moonlight, pressing the paper to her knee. "There it is. Can you read it?"

He glanced at the paper and nodded bewilderedly.

"Cecy Elliott, 12 Willow Street, Green Town, Illinois," he said.

"Will you visit her someday?" asked Ann.

"Someday," he said.

"Promise?"

"What has this to do with us?" he cried savagely. "What do I want with names and papers?" He crumpled the paper into a tight ball and shoved it in his coat.

"Oh, please promise!" begged Cecy.

"... promise..." said Ann.

"All right, all right, now let me be!" he shouted.

+++

I'm tired, thought Cecy. I can't stay I have to go home. I'm weakening. I've only the power to stay a few hours out like this in the night, travelling, travelling. But before I go...

"... before I go," said Ann.

She kissed Tom on the lips.

"This is me kissing you," said Cecy.

Tom held her off and looked at Ann Leary and looked deep, deep inside. He said nothing, but his face began to relax slowly, very slowly, and the lines vanished away, and his mouth softened from its hardness, and he looked deep again into the moonlit face held here before him.

Then he put her off the rig and without so much as a good night was driving swiftly down the road.

Cecy let go.

Ann Leary, crying out, released from prison, it seemed, raced up the moonlit path to her house and slammed the door.

+++

Cecy lingered for only a little while. In the eyes of a cricket she saw the spring night world. In the eyes of a frog she sat for a lonely moment by a pool. In the eyes of a night bird she looked down from a tall, moon-haunted elm and saw the light go out in two farmhouses, one here, one a mile away. She thought of herself and her family, and her strange power, and the fact that no one in the family could ever marry any one of the people in this vast world out here beyond the hills.

"Tom?" Her weakening mind flew in a night bird under the trees and over deep fields of wild mustard. "Have you still got the paper, Tom? Will you come by someday, some year, sometime, to see me? Will you know me then? Will you look in my face and remember then where it was you saw me last and know that you love me as I love you, with all my heart for all time?"

She paused in the cool night air, a million miles from towns and people, above farms and continents and rivers and hills. "Tom?" Softly.

Tom was asleep. It was deep night; his clothes were hung on chairs or folded neatly over the end of the bed. And in one silent, carefully upflung hand upon the white pillow, by his head, was a small piece of paper with writing on it. Slowly, slowly, a fraction of an inch at a time, his fingers closed down upon and held it tightly. And he did not even stir or notice when a blackbird, faintly, wondrously, beat softly for " moment against the clear moon crystals of the windowpane, then, fluttering quietly, stopped and flew away toward the east, over the sleeping earth.

Conclusion

This was a wonderful story. I hope that reprinting it here gave you, the reader, some pleasure in our crazy, mad and strange world.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Transcribed 24OVT18.
  2. Completed, SEO and Published 24OCT18.

The Most Important Things That a Man Looks for in a Woman

All over the internet you can see advice on what a woman looks for in a man, and what a man looks for in a woman. There are many such articles. Most are subjective and have cultural, regional and ethnic biases.  Here is what I, as an American man looks for in a woman regardless as to what her race is, what culture she is from, and her age….

Please kindly note that this post has multiple embedded videos. It is important to view them. If they fail to load, all you need to do is to reload your browser.

Introduction

When I was younger I didn’t really know what to look for in a woman. My ideas of beauty and relationships came from popular movies, television and the magazines of the time.

In fact, my uncles made fun of my first girlfriend because she was so very thin and petite. They told me “she’s too thin. Trust me, you want a girl with meat on her bones…“.  I didn’t believe them then, but I can totally see the point that they were  trying to make. Their wives (my aunties) were all hourglass-shaped with impressive chests.

My father told me once “Look at the girls mother to see what she will be like when she gets older”. Again, I had no clue what he was talking about. Now… well, let’s say that I fully understand the point that he was trying to make. No, it’s not a direct correlation, but there is a genetic component that cannot be ignored.

The List

Over the years I have learned and experienced various things. This has led me to come up with a few conclusions about relationships. Especially my own. When a man, such as myself, thinks about a woman we look at  number of key features. These features are important. In fact they are critically important.
 
  1. Appearance
  2. Sex
  3. Domestic Concern
  4. Companionship
  5. Personality
  6. Self Confidence
  7. Respect
  8. Family Devotion
  9. Spirituality
  10. Shared Values

Of course, there are many other factors that we could include here. But, this is not intended to be an exhaustive study, or some kind of PC narrative. Let’s consider what I, myself, look for in women. This is my list. The things in it are absolutely critical.  You take one item out from that list, and there will be no relationship. Period.

But, I’m not other people. So if you want to generate your own list and criteria for comparisons, go straight ahead. I’m not going to stop you. This is my list, and these are my comparisons…

[1] A Woman’s Appearance

A man looks for a woman that he is physically attracted to.

Is this a truth or what? I have read some websites on the internet, obviously from a woman’s perspective, and they don’t even list appearance as a criteria. Yet it is perhaps the most important, and the most common NO MATTER WHERE YOU LIVE. Girls in Zambia Africa will get all dressed up and perfect, as will women in Communist China.

All over the world, women have bodies that scream “look at me”.

Zambian wedding.
Here is a traditional Zambian wedding. Look at how beautiful everyone is. Don’t you just love it? So amazing! Look at those smiles. Look at how they take care of their appearance and the happy attitude.

Appearance is the first thing that a man looks for in a woman. This might sound so trivial in today’s modern progressive narrative, but it is a biologically proven fact. So, if you still want to believe in fantasies, Peter Rabbit, the Tooth Fairy and Santa Claus, you can leave.

Sorry, but it has to be said. The good news is, every man is attracted to a different type of woman and has his own personal tastes. Meaning, I am in no way suggesting a woman has to fit a certain image of ‘beauty’ in order to be considered ‘wife material.’ 

But, as is true for both men and women, there needs to be a physical attraction between two partners to kick off a relationship, which also plays an important part in holding it together.

-The Good Man Project

We men want a woman who we are attracted to.

Attraction has to do with a combination of [1] physical shape, [2] physical appearance, [3] personal grooming, and [4] behavior.

I have seen women who have “rockin’” bodies, who dress like trailer-park trash, and were a total turn off. I have seen women who look good and yet sound like a foul-mouthed sailor when they speak. I have seen women who didn’t know how to walk in high heels and went clunk-clunk-clunk as they walked down the street. Yuck!

Joy
The character “Joy” in the television series “My Name is Earl” is a good example of a beautiful girl who is not attractive. I am sure that in person, as an actress, she is really nice. But the person who she plays is rude, brash, unmannered and uncouth. It is not attractive.

This might be surprising, but us guys really like to look at women. I really don’t know why.

It’s not a sexual thing. Oh, I suppose that some assholes like to make cat-calls and holler at the ladies, but for the most of us we are just content to watch the girls come and go. In fact, if the restaurant has a lot of women inside, I am drawn to eating there. It’s a male quirk I guess.

That being said, some things often minor things can really detract from a woman’s appearance. For instance, I have seen beautiful women, who walked and carry themselves well, wearing black high heel shoes with the red under-sole. Only to have a big white price tag sticker on the bottom.

Instead of watching her, and the way she walks, you end up focusing on that stupid price tag on the bottom of her shoe. Talk about distraction away from the image form!

Label on shoe
Ladies please keep this in mind. Please take the labels off the clothes when you buy them. It’s terribly distracting. You see a beautiful woman walking down the road with nice dress, beautiful legs and all you can focus on is the white price tag on the bottom of the shoe. Ugh!

Remember, appearance is more than just physical shape. It is also about grooming and attitude. Here’s a Chinese girl dressed in a simple dress. She is clean and well-groomed. Her hair is clean.  Her overall appearance is positive and nice. Who wouldn’t want to be with her?

I am not talking about it in a sexual way either. I am saying that she seems so nice. I would just love to take her to a coffee house and share a cheese cake with her. She would be nice to stroll along the boardwalk with. Wouldn’t you like to play in a casino with her? Come on!

In general, men and women are about the same in this regards. A woman wants a man that takes care of his appearance. She wants him to be well groomed, clean, and neat. She wants him to be tidy; to wear clean clothes and have good manners.

I think both men and women are the same in this regard.

Men are the same. We look for a woman that also takes care of their appearance. We like the women in our lives to be well-groomed, clean and tidy. Look at this beauty. Man! What a kind smile. She looks right at you and that mouth is so sweet. What is not to love?

Beautiful
Here is a beautiful American girl. Look at that smile. Look at that amazing body. What a stunner! I tell you what! She has a nice figure, and is clean and well attired. She isn’t wearing a lot of makeup or jewelry.  Her attractiveness is her sweetness.

Now, let it be well known that there are a wide range of American female body styles that I personally find quite alluring. This includes tall leggy women, to short chubby cuties. I think that many would be amazed at the things that they do, act, dress, or look like that I find amazingly attractive.

So I am not going to bad-mouth any of the particularly awesome women that live in America. I tell you the truth, there are some American women that think that they aren’t that good looking, that I would die to be with. For instance…

When I lived in Boston, there was a 30-something woman who worked in a brick-a-brack store in Brookline.  

She was very curvy, and maybe wore a size 18. She had shaved her hair really short, and wore really red lipstick. Not my type. Yet, I had such the hots for her. OMG! Every-time I tried to talk with her, I would get so flustered. She hadn't a clue how mesmerized I was for her.

It is sort of like how a woman who looks at my shoulders (and arms) and wonders how nice it would be to rest their head there and be held. I too look at women in this way. However, I think more in terms of having my head resting on their chest softly, and their fingers in my hair.

Men and women are more similar than we will admit to in public.

Beautiful ebony girl.
Look at this awesome beauty! Look at that amazing head of hair! Look at the tiny waist and hour-glass shape. Man! She must have all the guys chasing after her. Now pay attention. What an amazing smile. I’ll tell you what, the smile opens up my heart.

All this being said, I don’t like to be with a girl that is heavier than I am. It’s a personal preference. I also am not really attracted to a woman who is taller than I am either. I don’t know why, it just doesn’t do anything for me. We all have likes and dislikes. Mine are strong, please do not be offended;

  • I don’t like to be with a woman that is heavier than I am.
  • I am uncomfortable with a woman that is taller than I am.
  • I also am a little skiddish about polydactylism. It’s not that I am revolted if the girl has seven fingers on each hand, but I’m a little freaked out about it.

I feel like this is similar to a woman that doesn’t want a man that is shorter than she is. Or that doesn’t want a man with a bald spot on the top of his head. Or, maybe a man that has a big scraggly beard that is full of crumbs and such. Or, maybe a man that chews chewing tobacco.

Men and women, we all have our preferences.

[2] Having Sex

Honestly, you have to be a fucking moron not to realize that men want sex.

Heck, it’s more that just that. We need it. It is genetically programmed into males and become the focus of everything that we do. From what career we enter, to what cars we drive, to how much money we make. The driving force behind it all is getting sex. Smart women understand this.

Twitter quote
Well, that is what we are genetically programmed for. Women are genetically programmed to have babies and to take care of them. This comment, found on Twitter, illustrates how silly some people can be about basic gender roles. It shows their ignorance. It shows that they will forever be destined to live alone or find a beta male to mate with. Sad. Sad. Sad.

Any man who says that he doesn’t need, like or want sex, is either lying or homosexual. It is never the truth because sexual needs, and preferences are genetically encoded by the male chromosome. If you do not understand this, study biology 101.

What? You think that the woman’s “biological clock” is imaginary? It’s a well-known fact of life that transcends society and national boundaries.

Men and males have the same thing. Except is is called “the need to reproduce”.

Pepe le Pew
The Loony Tunes character “Pepe Le Pew” is based on the raw instincts that all males have. When we were children and watched the cartoons we knew instinctively what what going on. Yet today in the SJW saturated American culture, we are supposed to ignore the basic facts of life and accept a reality of non-genders. Nonsense!

This is how it works. Once we find an attractive woman that raises our interest, the very next thing we wonder about is having sex with her.

That is the way it is, and no SJW rewriting of culture is going to change the biology of males. In a way we are just like dogs and are led about by our “pecker” all the time. Smart women know this. Smarter women use it, and profit from it in numerous ways.

Slime Porn
Different people have different things that get them aroused sexually. I like to believe that most men prefer the female body in it’s various forms and shapes. Sometimes they like, in my view, some rather strange manifestations in interest.

Online porn is not a multi-billion industry for nothing.

Prostitution still exists because men are men, no matter how hard society, religion and zealots try to stamp it out. Men are males with fundamental male interests and needs.

Sex in the car
The sexual desires that men have vary from individual to individual. Some men really love oral sex, others are “meh”. Some men must have anal sex, while other go “yech!”. Every man is different.

That being said, sex is an individual experience. What might be fantastic for one fellow, might be terribly boring for another. That is why there are fetishes.

Some men like big boobs, others like big asses, and still others like big feet. Some men are mesmerized by a nice set of legs, while others like strange and unusual sexual positions. And yes, some men really like huge women, and others like small tiny ladies. Everyone is different.

Friendzone
Now, isn’t this the saddest expression that you have ever seen? A man NEEDS sex. If he is married, he expects sex from his wife, and when he decides to marry her, it was an expectation that he had. For her to be so ignorant of his needs, and so very selfish about their relationship shows that he needs to leave her ASAP.

It doesn’t JUST vary from person to person, but from culture to culture.

Oh, and by the way, women like sex just as much as men do. It’s just cultural in how it manifests. For example, here is a cartoon discussing relationships between and man and woman in Thailand. LOL.

Thai love
The initiation of sex and relationships vary from culture to culture. In Thailand, for example, the relationships tend to be different than that of the United States. This is true for most of Asia.

[3] Domestic Care & Concern

Here is where I sound like an old foggy-head man. However, a woman who is control of her home, tends to be in control of her life.

A woman who is in control of her home is in control of her life.

When I see that she takes care of her clothes, makes sure that the house is well run, ordered and that she knows how to cook, I start to get really interested in her. You see, in my mind, a woman who is in control of her life, would also be able to take control of my life as well.

Men will give their LIFE, their MONEY, and their very BEING to a woman deserving of it.

I once went on a date with a woman. She was nice, and attractive. To get ready for the date, I of course was presentable and clean, and I made sure that the car was washed and detailed. I picked her up. I then opened the door for her and buckled her in. (This was America, I'd never do it in China.) And we went off.

During the drive she pulled out some chewing gum and was chewing it. You know, for a pleasant tasting mouth. But, you know, she did something disturbing to me. She threw the chewing gum wrapper on my nice newly cleaned floor...

Later, after dinner, she couldn't find her lipstick, and emptied her purse on the table, and had to sort through old scraps of paper, receipts and brick-a-bract. The date ended, and we went our separate ways. 

We had fun, but I never wanted to be back with her again. You know, she probably doesn't understand why.

Men need a companion that they can turn to, rely upon, and have a family with. This means responsibility. We need a good strong willed woman without baggage and problems. Seriously, isn’t that what women look for also?

Now, of course, most modern and "liberated" women don't think like this in the Untied States. They are "independent". They can get and have their own careers, and live their own lives. Sure they can. And, be childless and unmarried into their 40's. 

The cost of being a "liberated" American female is quite steep.

It is not reality.

It is an artificially constructed narrative to seduce people into certain set behaviors. If you want to see what works for couples, then look at how families are set up in Africa. Look at how families are run in Poland. Look at how families exist in China. Five thousand years of experience won’t lie.

Pleasantville.
The 1998 movie pleasantville depicted a sort of revisionist narrative of what might happen if a modern person were to step back into time and life life as it used to be in the 1960’s. Contrary to the popular narrative, there is nothing wrong with traditional marriage and a man giving everything to his wife. In return, the wife becomes domestic and cares for him, their children, their home and their finances. She makes sure that the man can work, be relaxed and strive to improve their life. That is the traditional method, and that is what many men search for.

A traditional life WORKS. Most men WANT a traditional long-term relationship. They will give everything for it.

I fear many men, especially those afraid "to make the leap" in marriage are not convinced or ready to allow a woman to take over part or all of his life.

For a man, this is a BIG commitment.

He is not only letting the woman into his life, but he is giving her access forever to all that he earns. He is allowing her to dictate and instruct him on behavior, dress, and recreation. If the man is truly in love, and if he believes that this woman can take on that domestic role; she will GET EVERYTHING he can offer.

Roles
A man who gives everything to his wife will never leave her. For she literally BECOMES everything to him. So, have you ever wondered why divorce was so rare prior to the 1970’s? Divorce became commonplace when traditional roles fell from popularity. So ladies, if you want a man that will be YOURS… forever and would never abandon you, then you should make a reappraisal of your value system. You won’t get it on a progressive ideology. You will ONLY get it with a Conservative Traditional ideology.

When a man gets married, he should be ready to share his life. This often means letting your wife take over portions of it so you no longer have to. A good, and strong, woman will be able to manage the home. If she can manage the home, she can help the man become a success.

We have a saying that goes something a little like this; “Behind every successful man is a strong woman.”

As I get older, I see how true this is. My friends who are all very successful, all have strong and well-organized wives. They all also have relinquished some things to the wife in exchange for her domestic support. This includes [1] all of the finances. [2] What he eats. [3] How he dresses. [4] His exercises, and [5] how they relate to family matters.

Family Meal

Oh, and please forget that nonsense Hollywood narrative of what a traditional conservative woman is. (Where a traditional woman wears Amish style hats, and lives a life right out of the “The Handmaids Tale”.) That is propaganda. Do you, yes YOU, personally know anyone that is really like this? You don’t. That’s my point. It is an artificial narrative. It’s all Bull Shit.

Today, a conservative wife might have a body covered in tattoos, ear and nose rings and purple hair.  She will wear leggings, take selfies on the smart-phone, and have multiple university degrees. My Lord, it isn’t about appearances. It’s about what is inside.

via GIPHY

That is true. It is about what is inside. It is the light that resides inside the woman’s body that that special man can see and can appreciate. yes it is. It is all about the energy that lies inside…

Chinese women, as well as African, Polish, Russian, and Indian women don’t sit around watching the boob-tube, or play games on the cell-phone all day long. They do what ever is necessary to make THEIR household a success.

  • The manage the fiances.
  • They budget the household.
  • They allocate resources to jointly improve their standard of living.
  • They make sure everyone is eating well and healthy.
  • They are a model for their community and familial relations.
  • They make sure that the husband has everything he needs.
  • They push and help the husband grow as a provider.
  • They instruct the husband on how to behave, and act.
  • They make sure that the husband is presentable and carries himself well.

While they do occasionally play games, take selfies and have fun, their primary role is as a family manager. Traditional women are like full-on lionesses.

Over the years, in America, this has become treated like some kind of joke on contemporary television, on collage campuses, and in female magazines. That is a real shame. Because when both the husband and the wife work together for their family anything is possible. I tell you the truth. This is a fact. All of my friends that are successful work hand-in-hand with their wives in this manner.

Their wives take care of them.

They (the wives) set the pace, they control the family fiances. They establish the diet. They determine where to live. They set the goals. They establish the direction. The man in turn, give his everything to his wife in the complete 100% loyal trust that she will get both of them where they both want to be. For if you really do this, anything is possible.

[4] Companionship

I always look for companionship when I see a woman who interests me. I wonder if they would they be fun and interesting to be with. I wonder if this woman would be THE woman who I can devote my time with.

via GIPHY

I always look for companionship when I see a woman who interests me. I wonder if they would they be fun and interesting to be with. I wonder if we could talk about really deep and interesting subjects. I wonder if they would be willing to share in my hobbies. I look for companions.

This is true for most men.

Time
Spend time, meaningful and precious time, with those you love. Make your time quality time. Buy an ice cream cone with your retired father. Take you mother out of a morning breakfast. Call up one of your friends and go to the beach or hike in a local park. Spend time together. Companionship.

Now, most women are confused with what this means. They search for romance. They could care less about companionship. This is sad, because romance comes from companionship.

Romance is spawned from companionship.

My wife and I took a trip to Thailand, and while on a drinking binge, the taxi driver drove us to the middle of no where and abandoned us there. We had to struggle and make our way back to the hotel. That bungle was an adventure, but my wife well remembers the rural village BBQ meal as the dawn broke through the clouds, and the orange light that shined on our toes in the sand. Romantic times are unplanned. They come from companionship.

A man wants a person to share his life with.

via GIPHY

Every man that I know (with cultural differences, of course) looks for a companion. We feel empty inside without a companion; a special friend that we can share our life with. This is so very important. Forget the James Dean Rebel narrative. All men need a special lady in his life. This lady is a person that he is very comfortable with and one that he wants to obtain experiences and adventures with.

The idea that men are worthless and useless, especially white traditional Americans, is a progressive narrative. It has been around since the late 1970’s, but has really picked up speed during the Bill Clinton years, and completely got out of hand during the Obama years. This narrative has been promoted in the American media and software for quite some time.  Check out this screen capture;

Screen Cap
This is a screen capture of a comment train taken on 16SEP18. Have your eyes open, you can easily see how true this is. Do not fall for the progressive narrative. It is a lie. Go ahead do a Google Image Search for “white women with white men”. Go ahead. The image result is completely out of touch with the racial demographics of the nations. It does not match. This disconnect strongly implicates a propagandized narrative.

[5] A Woman’s Personality

Another thing that guys look for in a woman is personality. We are attracted to kindness, softness, sweetness, and compassion.

This is such a true statement that I feel that I need to repeat it. We are attracted to kindness. We are attracted to softness. We are attracted to sweetness. We are attracted to compassion.

When I come across a particularly militant American woman, I am immediately repelled. Especially when that person wants to lecture me on “white privilege” or some kind of populist nonsense that other weaker men accept. Don’t be a ugly bullyish brute of a woman. It’s not becoming.

Become the ideal. Your life is within your hands.

Pretty girl
Look at this pretty American girl. I have to admit that I have a thing for short frilly dresses. This is true whether they are black, or colorful. There is something really attractive about them. Man, she does look great in polka-dots. Wouldn’t you just love to go out on the town and have a cup of coffee and a cheese cake with this woman? I would buy her a grinder (subway sandwich) and a coke any day of the year!

To be honest, when I meet a woman and I get to know them, I am looking for companionship. I look for kindness. I look for care. I wonder how they treat animals, and the waitress. I watch how they behave around others, and what they think about things.

When I meet a new woman, I wonder if they would like to accompany me for dinner, dancing, and any of the hobbies that I love to partake in. Since I love wine, a non-drinker and myself might not fit together well. Since I love animals, when I am talking to a woman, I wonder if they would also be part of my life with dogs and cats. Since I love tomatoes, bacon, and gardens I wonder what their thoughts are on these subjects.

The personality that a woman has eventually dominates a man’s interest. In other words, while a man is firstly attracted to a woman’s look, and sexual appeal, it is her personality that will keep him by her side forever.

Never forget this. A kind personality will be the glue when the stresses of life become too unbearable.

[6] Self Confidence

One of the most important traits for both men and women is self-confidence. This is something that is hard to describe, but is fundamental to success in life.

The truth is that I am not at all handsome, but women are interested in spending time with me. When I ask them why, they tell me that it is for other reasons. They just chuckle, and smile. They say I’m being silly. Sometimes they push me on my chest and say “oh, you know why!“.

I chalk up the reasons to being positive, happy, interesting and having good self-confidence.

Because that is exactly what turns me on in a woman.

When I take a woman out, I want to be able to talk about things. I want to be able to talk about tomato plants, favorite foods, dogs and cats, and thoughts about life. I want to be with a person that isn’t so fucking sensitive that I am afraid of being who I am. I want to be accepted for me, and if you don’t like it, to Hell with you. The same goes double for women.

I would NEVER tell a woman that she shouldn’t eat dessert because she needed to count her calories.  I would never order for a woman unless she specifically asks that I do so. I would never say anything hurtful to her in public, or in private. Any arguments that we might have would be honest, and intentionally scripted to avoid emotional out-lash. As such, I would not tolerate sitting down with a woman who wanted to lecture me on the injustices of the world. No one likes a scold. Really.

No one.

People with confidence typically try to help others. They don’t try to change anyone. This is because they are happy with who they are, and other people do not factor in their personalities. People with low self esteem are the opposite. They feel that they have to control everything around them.

Men and women want to be around people with high self confidence. They will be accepted by them as they are without question.

[7] Respect

I have dated American women who have berated me in public. I have seen them talk bad about me behind my back. I have seen them make jokes about me. I have seen them be rude to me to my face. I have seen them think it was fun making fun of me while I just sat there and took it.

That was years ago. Now I know better. Now I know my place in this world; good or bad, right or wrong. I just don’t tolerate that nonsense like I used to. No more.

Today, now; my tolerance for this nonsense is zero.

Let me explain. Let’s begin with a story about an experience I had while I was working at GM. This story illustrates that different places has different cultures, and failure to understand and adapt to that culture can have serious consequences.

In this case, the story revolves around the public display of a lack of respect of a wife towards her husband.

I once was involved in some business in Brazil. As such, I had to travel back and forth between the United States and Brazil. I was, at that time, working for Delco Electronics (It's who we are), which was (at that time) a division of General Motors. I was involved in a Car computer project (ECM) for CEV, which is a pretty big Automotive company within Brazil.

This event took place in Brazil.

One day, all of the foreigners on staff were invited to a big banquet with other white-collar workers at CEV. We had some pretty important people from GM there. It was held in a big auditorium within a equally impressively large restaurant and hotel complex. Everyone sat at these very large round tables with a nice table layout on a large white tablecloth. Each couple (for the most part, everyone came as a couple) would sit in groups of two at the table. Thus, maybe five to 6 couples would sit at the table.

At a given cue, all the ladies (the wives and girlfriends) got up and went to the buffet to get their man (husbands or boyfriends) dinner. They got up, went to the buffet, selected what their husbands would eat, and returned to the table. They would place the plate in front of their man with respect, and then go up and get their own food.

The men would accept the meal their wife chose for them, and began eating it. They would sit there and eat, while all the ladies were fussing about their food, and making sure that the man's plate was full. They, each one, was particularly careful in what they selected for their man's plate. Some wives selected mostly vegetables, while others made sure that the man had goodly portions of meat.

However, the local section manager, a man who came from Michigan, well his wife refused to go up. He kept on elbowing her. She refused. And everyone at the table noticed. In fact, people at the other tables were noticing as well. They started talking. But she was adamant.

She said things like "you're not my boss", "I'm not doing it, uh uh, absolutely not. No!", and "I don't care what other people think. Do it yourself.".

Eventually, he got up and joined the rest of the ladies at the buffet counter. He was the only man to get up. He was the only man to carry a plate back to the table. He was alone in the big hall that maybe held a few hundred key employees of the company. 

All of the key employees, the bosses and the supervisory staff, watched him do this. The President of CEV, the division managers, all the middle level managers, the supervisors, and all the engineers, and their secretaries all witnessed this. They all noticed and ate. Their local conversations at their tables became subdued and quieter.

Meanwhile, his wife sat there smugly and proudly. They ate in silence. The wife, sitting proud and strong. He sat there facing his plate and afraid to look up. This happened in front of everyone, while everyone else in the room kept glancing their way.

The dinner ended. Everyone went home.

The next workday, on Monday, he noticed that his parking space was being used by someone else. (Unless you have worked in GM, you don't know how important this is.) He went into the lobby, and the guards wouldn't look at him, and just waved him in. This was a big change from what he accustomed to.

It continued. His secretary didn't come in. Then, started coming in very late. She would not do anything that he asked. No longer would she make him a morning coffee. No longer would she answer him, or even talk to him.

No one responded to his emails. His work was getting piled up. Nothing was getting done. After a month, it got so bad, and I was sent down to look into the matter, as I held an important role in the joint-venture project. Our Division manager wanted me to look into this issue as it looked like the entire multi-million dollar project might collapse. That's right, millions of dollars of corporate investment was at risk.

So I flew down.

I talked to XXXXX. I talked to his secretary. I talked to the CEV Division Manager. I talked to the rest of the staff.

At first no one would open up. Oh, sure they were friendly to me. They showed me deference. They treated me well. But when it came to the subject about what was going on, everyone shut up. Obviously something was wrong. But no one told me anything.

Eventually, to make a long story short, I went out and started drinking with the CEV workers. That's always a great way to break down barriers and get to the heart of the matter. Of course, GM never approves of drinking, but this was back in the late 1980's and I was in another country and immersed in another culture.

Over some beers, the first person who let me know what was going on was his secretary. She looked at me straight in the eyes. She put out her cigarette. And she said in her broken English, loudly with defiance and pure hate;

"Why? You ask. Why? Because he's a fucking wimp. He's not, NOT, N-O-T a Man. He's castrado!"

Then she spit on the floor. Now granted, most ladies don't go to bars, smoke and spit on the floor. But she had a few beers, and was really agitated.

She wasn't just angry. She wasn't just pissed. She had this kind of deep burning ember of a rage that amazed me when it came out. I thought she was going to tear my throat out. She spoke viciously. She spoke in a way that the words were spit out venomously. 

It turned out that in Brazilian culture, the man must be the MAN of the house. It is a very traditional nation and has unspoken social rules. One of which is that the woman must look good for her man. She must do great things for their Man, and for her family. 

In Brazil, the Man is the titular head of the family. He controls everything. He is the "face" of the family. He is what everyone sees. However, the wife has full control over what goes on inside the house. She is the driving force that strengthens the man.

The Man is the head of the family, and he must LEAD. If he cannot be a Man; if he cannot act like a Man, and if he cannot control the behavior of his wife and family, then he is a loser.

in Brazil, you do not want to associate with losers. Not in the least. It is like being a leper.

The point in this is that he wasn't just a wimp to his wife. He was a wimp to society. From the secretary's point of view, she went from being a high assistant to an international boss, to the slave of a beggar. No, to someone worse than a beggar. Her status in the company fell right off the cliff.

Not only that, but that was true of everyone who associated with him. It was as if he had a serious contagious illness. no one wanted anything to do with him. No one would even talk to him.

It was like he was a child predator who had aids.

Shortly after that, I returned back to Indiana and talked with the Division Manager at Delco. We had a long and interesting talk. To cut down on all the details, let it be understood that my boss sent him back to the States. His two year stint in Brazil was cut short. 

He was only there for four months.

Now, this is important. The thing is, when he returned home, there was no role for him to fill. His old job and position was already filled. He was a high-priced expensive executive with no home. Yes, for a short while they put him on "overhead", but eventually he was told to leave. They gave him a severance package. And that was it.

Years later, I heard that he spent a few years unemployed. He could never go back to GM, and his experience was too specialized. Eventually he took up contract work at a much lower pay grade. I do not know what happened since then, except that I know that he had to give up his free car, and had to sell the house at a loss. I do know that he moved into a small apartment later on. And, well, that's about all I know about him and his situation.

+++

What does this all mean?

A good wife can make a man into a strong leader. He can become important, successful and wealthy. His family would profit and benefit. His life, and the lives of all those around him would improve. A weak or poor wife would do the opposite. A bad woman can destroy the life of the man that she is with. This can be through destruction of his self-esteem (which needs to be maintained for career success) to improperly managing family finances, to everything in between.

Men, choose your wives carefully.

In my little story, a true one at that, Mr. XXXXXX ‘s wife not only destroyed his role (and great career opportunity) in Brazil, but also wiped out his stable career at GM. Unable to find work, he had to settle for a different kind of labor, one without a career, without any kind of advancement. I am sure that his piece-of-shit wife berated him the entire time. Telling him what a loser he was for his life, and not taking responsibility for all the destruction that she herself, wrecked.

People. This is real life. This is not a television show. This is not a movie. This is not all unicorns prancing under a progressive rainbow, where gay people, and LGBT folk are all living in united harmony. This is the real frigging’ deal.

Learn, from my experiences, or don’t.

Magical Unicorn
There is a sizable percentage of Americans who believe that the progressive illusion can actually manifest. They spend their days glued to their electronic media to such a point that they are completely out of touch with reality. People, there is no such thing as unicorns, and rainbows will still have a cantankerous leprechaun guarding that big old pot of gold.

What does this mean?

Different societies have different roles for men and women. This is an important part of culture. When you come from one society where washing your ass with your left hand is acceptable, you might have trouble adapting to a society where you shake everyone’s left hand. Yikes!

Over the years, I have lived in numerous non-American societies where the man is treated very special. I had a girlfriend from Zambia, Africa who would prepare my dinner like I was the Head of State.

She would get dressed up after she cooked my meal, with makeup and attire, and feed me while I sat at the table like a King.

Zambian food.
Here’s some fine Zambian food. This is Nshima and beef relish. Doesn’t it look absolutely great. Again, as I have stated before, in the rest of the world you are typically free to drink beer at dinner without having to show your age or an ID. You are also permitted to smoke without fear of arrest.

After making sure that I was well fed, she would clean up afterwards. While I sat there drinking my after dinner coffee.

Once you experience first-rate care, love and concern, you no longer tolerate anything less.

I had a girlfriend from Mexico that always made sure that I was well fed, and insisted that I am comfortable in “my” chair. In fact she guarded it so that no one else would be able to use it. She was there for me, how can I say this, on demand (if you catch my drift).

Once you experience first-rate care, love and concern, you no longer tolerate anything less.

My Chinese wife selects the food I eat, the clothes I wear, and the exercise I do. She wants me to be better than everyone else. She makes sure that I am up to it. She is strong like a tiger in this regard.

She treats me like a powerful mob boss. And, when I leave the house, I act that way in public.

Once you experience first-rate care, love and concern, you no longer tolerate anything less.

Yet, when I visit the United States, I see women acting just horrible. It is as if there is a war on males in the United States. It is disgusting.

To me, it is actually horrifying, as typically the women doing this look like big white water buffalo’s to me, acting like mean and horrible white-trash. They look like they belong on Jerry Springer, more than walking on the public street.

OK people, listen up.

As someone who is used and accustomed to being treated well by extremely beautiful women, I do not tolerate being treated poorly. This is most especially true for any woman that is not up to par in my (personal) attractiveness scale.

Once you experience first-rate care, love and concern, you no longer tolerate anything less.

I am not alone in this. This goes for all Americans who have traveled outside the Untied States. So, in my world, and in my reality, you can either adapt or leave. There is no room for the lowest common denominator.

  • American women really need to “up their game”.
  • American men need to stay away from disrespect in all forms.

via GIPHY

[8] Devotion to the Family

When both men and women are single, their interests are directed towards other things. The woman wants to be attractive, have fun, and maybe work on a career. A man, working on a career, have fun, and meet girls. Once both get married that all changes. They now have a family and together their family needs both of their attention.

This ability to focus on a family is not something that you learn about on a first date. It is something you discover over time and over numerous dates. The woman discovers just how comfortable the man would be letting her run things. The man discovers whether or not this girl is THE one who can build up a family for him.

It is not only about raising children, working on career goals, a devotion to the elders in the family and a shared sense of adventure. It is also about every aspect of a family. Just how willing is the woman to devote to building up a family, creating a home out of a house and just how important she places a family life in her scale of things.

Here is a transcription of a woman lamenting her decision to forego building a family and instead having a career.  Read it and cry.

Every Wednesday, the second hour of my national radio show is the “Male/Female Hour.” A few weeks ago, a woman named Jennifer called in.

For reasons of space, I have somewhat shortened her comments. Every young woman should read them. This is precisely what she said:

“Dennis, I want to get right to it. I’m 50 years old with four college degrees. I was raised by a feminist mother with no father in the home. My mother told me get an education to the maximum level so that you can get out in the world, make a lot of money. And that’s the path I followed. I make adequate money. I don’t make a ton of money. But I do make enough to support my own household.

“I want to tell women in their 20s: Do not follow the path that I followed. You are leading yourself to a life of loneliness. All of your friends will be getting married and having children, and you’re working to compete in the world, and what you’re doing is competing with men. 

"Men don’t like competitors. Men want a partner. It took me until my late 40s to realize this.

“And by the time you have your own household with all your own bills, you can’t get off that track, because now you’ve got to make the money to pay your bills. It’s hard to find a partner in your late 40s to date because you also start losing self-confidence about your looks, your body. 

"It’s not the same as it was in your 20s. 

"You try to do what you can to make your life fulfilling. I have cats and dogs. But it’s lonely when you see your friends having children, going on vacations, planning the lives of their children, and you don’t do anything at night but come home to your cats and dogs. I don’t want other women to do what I have done.”

I asked, “Was it hard for you to make this call?”

She responded: “It was. I want to be anonymous because I don’t want people that I know to really know my true feelings. Because you do act like ‘My career is everything. I love working.’ But it’s a lie on the inside for me. It’s unfortunate. I didn’t realize this until it’s too late. I don’t know if it’s too late. I would like to find somebody to go on vacation with.

“You have other concerns when you get older and you live alone. Who’s going to take you to your medical appointments? If something should happen to you, there’s no other income there to help you. These are things you don’t understand when you’re in your 20s because you don’t think you’ll ever get old and have health problems.

“I’m stuck now because I go to work every day. I smile like I love it, but it’s very painful to not plan a vacation with someone. It’s painful to not have a Thanksgiving dinner with someone. You sit home alone and you do nothing. I avoid my friends now that have children because I have nothing in common with them.

“Somebody asked me the other day, ‘Why did you stay single and never have kids?’ There’s answers: Because I was brainwashed by my mother into this. But it’s hard and it’s shameful to tell people, ‘I don’t know. I ran out of time.’

“There’s not a good answer for it except: ‘I was programmed to get into the workforce, compete with men, and make money.’ Supposedly, that would be a fulfilling life. But I was told that by a feminist mother who was divorced, who hated her husband—my father.

“She tried to steer me on what she thought was the right path, but feminism is a lie. That’s what I want women to know.

“I didn’t realize this until late in life. I want to tell women: Find someone in your 20s. That’s when you’re still very cute. That’s when you’re still amiable to working out problems with someone. It’s harder in your 50s, when you’ve lived alone, to compromise with someone, to have someone in your home and every little thing about them annoys you because you’re so used to being alone. It’s hard to undo that, so don’t do what I did. Find someone in your 20s.”

I said, “I’m thinking of transcribing your call and making it a column.”

“Do that, Dennis. I want to help whoever I can,” she said.

-From the Daily Signal.

A man looks for a partner. He searches for someone to make his life COMPLETE. He looks for a life partner. It is biologically programmed into him.

A devoted woman will do whatever it takes to make the family work.

Here’s two micro videos showing hard-working, but poorer girls, supporting their families and building their homes. For in China, the man MUST work, and the woman MUST take care of the family. Many times, that means building of finishing up a home while the man works far away.

A woman does what ever it takes. She is fearless. She is capable. Help her and empower her. You will receive blessings on your life beyond compare.

Let me explain these videos.

Many times a couple will get married in a poor village. The husband would have to accept a job in a far away city, while the wife stays home. Many times the wife would get the paychecks from the working husband and use that money to build their home. This is not at all uncommon.

She would take this money and budget it.

First thing on the agenda would be building and making a house. Sure she might get help from uncles and classmates. However, ultimately, much of the work would be up to her. So many of those houses in rural China were physically made by wives in support of their families.

People! This is what a traditional family looks like.

The man works and the woman stays home and takes care of the family. For young families, the man works like crazy in far away cities and sends the bulk of what he makes to his wife. He, in turn, lives either in small dorms or barracks or, alternatively inside very tiny apartments like this one…

Chinese man.
This is how a young married Chinese man lives. He does what ever it takes to help his new wife build up their family. He will work long hours and every day. He will eat what his employer provides, and will sleep in the very smallest of spaces. He will do this for his wife. He will do this for his family. This is what a traditional man is.

All the money he makes goes to his wife.

Maybe he will only make a few hundred yuan ($30). All of it goes to his wife. The Chinese women that I know differ from the American women. A Chinese wife would rather have 100% of what her man makes – even if it is only $30, rather than a small portion, say 5% of what he makes. That’s true even if he makes a million dollars.

I don’t quite understand it. Really. Because the millionaire would give the wife more money than a mere $30. It doesn’t make sense economically nor financially. But, there you have it. That is the way it is.

  • Chinese traditional women demand 100% from their man.
  • American progressive women look to men as a resource.

Culturally, Chinese women are very different from American women.

It is not a scene out of progressive liberal propaganda out of the American urban enclave. These are not little waifs that huddle in fear, or scenes out of the Handmaid’s Tale. This is real life. This is how the rest of the world lives. Open up your eyes to the reality.

And for Pete’s sake, get your friggin’ nose out of the propaganda being spoon-fed to you by the American elite.

[9] Spirituality

Look for a spiritual woman. I always look for a woman who understands that the universe is bigger than we understand. I look for a woman who can feel the presence of God.

I am a Catholic, but I am not referring to a religious person. I am referring to a spiritual person. My first wife was a Baptist. My second (the one who retired me) was agnostic, but raised as a Catholic. My current wife is a Buddhist. Find a woman who is spiritual.

Check to see if she is really spiritual. Watch how she treats animals. Watch how he feels about tradition, families. Pay attention to the role that she has in her own family. Just how functional or dysfunctional it is. Pay attention.

[10] Shared Values

Finally, I look for a woman that has the same values as I do. We do not have to agree politically, but the fundamentals must be comparative. If I am going to give her 100% of all my money, I should be able to trust her that she won’t use it on coke, crack, and casino trips.

If I am going to devote my life to one singular woman, I expect her to do the same. If I am planning to have a family, I expect her to want a family as well. If I want to travel and have an adventurous life, then I would expect her to want it as well. Alternatively, if I want to have a quiet sedentary life in a rural cottage, I would expect her to want it as well.

Shared dreams, shared values, and shared life are fundamental to a couple’s success.

Conclusions

We, men and women, can be choosy in who we select to be our mate. It is important because your mate, the person you marry, will have the greatest influence in your quality of life.  Therefore, we need to choose wisely.

This is true for both men and women.

I live in friggin’ communist China and the women here are extremely attractive, with long beautiful hair, mesmerizing eyes, tight butts and astounding chests, and are very traditional at home. They take care of their man and their family. When I am with these wonderful ladies they treat me like I am a VIP and I am treated like a God. I cannot stress how wonderful being treated special is.  Most especially from an amazingly attractive woman.  I mean, it is just amazing.

Conversely, many (but thankfully, not all) the women in “free” America look like they belong on the set of Jerry Springer. Are rude, crass, selfish and treat me like a piece of nothing. The differences between women in China is just astounding. I mean, what the heck happened?

White Trash
An American woman who runs a business that she proudly calls “Trailer Trash”. I am sure that she is proud of it. How would you like to be married to this chick? How do you think she would treat you when you got home from work? Do you think that she knows how to cook? Would she make a good mother?

For me, and most men would agree, you pick the wife that is suitable for you. Let the rest of the world howl. All that matters is what you decide and the reality that you create.

  • You can get an ugly, fat, foul-mouthed woman who will constantly make fun of you.
  • You can be with an attractive, kind, caring and thoughtful woman. She takes care of herself, and will treat you like a king.

You choose. Red pill or blue pill.

via GIPHY

You can choose the lady that is most suitable for you. If you cannot find that woman in your town, go to a different town. If you cannot find her there, go else where. Eventually you will find that girl. I promise you.

Finally, here’s a little secret. If you are having trouble, do this. Go to church. Many of the most eligible women attending church. They are God-fearing, traditional women who would make fine, just real fine, wives.

Women in General

There are amazing women all over the world. That includes the United States. It is my belief that the vast bulk of American women are great and kind and wonderful. It is just that the bad ones are so very awful that it makes everyone look bad.

I now live in China. So what I am going to do is post some micro-videos of some attractive and sweet Chinese girls to help illustrate that there is no set “type” of lady that is perfect. Everyone comes in different shapes and sizes. Each one is different and each one has their very own personal charms.

Enjoy.

Women come in all sizes and shapes. They come in all kinds of attitudes and personalities. I love every single one. Please, I urge you the reader not to get too hung up on the media narrative of what is attractive, instead find ladies that appeal to your own sensibilities. You might be surprised how refreshing and pleasant it is…

Here’s another gal. Sorry, but I am in China. So this is all that I have to work with. Here’s another Chinese girl. Isn’t she just adorable?

I am a big sucker for a nice smile and feigned shyness…

The point here is that attractiveness comes in all kinds of shapes and sizes. There is no set standard. If I were to specify some idea on what is attractive, I would have to say that it is the sum total of what a particular woman is. For each woman has their own charms that are displayed uniquely.

I, for one happen to like so many different kinds of women. I like different body types. I like different kinds of attitudes, and I enjoy a big healthy smile. Here is a nice Chinese gal with an impressive chest jumping around and having fun…

Each woman has their own personality. This personality can be seen how they move, and the their selection of the music that they play. Personality is one of the key aspects of attractiveness. Be kind. Be happy. Smile a lot. Let your inner being glow.

The point that I am making here is that there is no set formula on what makes a woman attractive to a man. The woman must be strong, be themselves, be kind. Sooner or later the man that fits her personality will come around. It could be you. So, be the best you can be, and look for a mate that is the best that she is. Together you will have a life that will be marvelous.

Take Aways

  • Men look for a mate that will best match his needs as a man, and who will be fitting for his future family.
  • Women who cannot fit within his expectations will need to find other men to be with.
  • These men, the ones that do not have traditional values, will tend to be short-term affairs or long-term relationships that will not conclude in a marriage. That is because the men’s absolute needs are not being met.
  • This post lists ten needs that a man has.

FAQ

Q: What if men have different needs than what is listed here?
A: That is fine. There are all kinds of people and all kinds of men. It is the difference that are important. Not what makes us all the same. Personally, I don’t like going into a Starbucks in NYC and then going into one in Shenzhen. They are all the same. I like to go to a local pizza establishment in NYC and eating a New York style pizza. I also like going into a family restaurant in Shenzhen and eating some delicious dumplings. It is the differences that are important.

Q: So don’t you think that you are full of Misogyny? Aren’t you just defending the Patriarchy? Aren’t you Cisgender?
A: I don’t know. Maybe.

If so, what’s wrong with it? What is it YOUR business?

I don’t hate women. I love women. I love my wife. I love my mother and my sisters. I love my girlfriends, and their friends.  Besides, what is wrong with a Patriarchy? Can you explain that?

Your assumptions are all terribly faulted, and you discuss them as if they are proven and have merit. They don’t.

Q: What does “check your privilege” mean?
A:  In 1998, American feminist and anti-racism activist Peggy McIntosh wrote an essay entitled “White Privilege: Unpacking the Invisible Knapsack.” In McIntosh’s sense, privilege is a set of special provisions that a person acquires — or doesn’t — because of their identity.

If you are a rich, white, heterosexual man, then you’ll have it easier through life than a working-class, lesbian, woman of color. The exhortation to check your privilege became popular on internet blogs dealing with social justice themes as a reminder that we are not all dealt the same hand in life.

What the real truth is that it is an insult, and veiled threat that says “you had it easy in life”. I personally find it extremely insulting. As the person saying this has absolutely no idea what I had to do to get where I am now.

Q: What does Heteronormative  mean?
A: Heteronormative  was coined by the writer and academic Marina Warner in 1991.  It means “a world view which regards gender roles as fixed to biological sex. It treats heterosexuality as the normal and preferred sexual orientation.” Which is pretty much an accepted norm globally.

However the intention is to use distorted group think to redefine the narrative and to use this word as an insult. I am a traditional man, and I think that women are attractive to me. This fact, apparently, makes me “heteronormative”.

No problem. So was George Washington. So was Jesus Christ. So was Gandhi. So was Jimmy Carter. So was Bill Clinton. So was Ronald Reagan. So were both my parents and all of my grandparents.

So, a non-heteronormative person is a societal abnormality.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Why no High-Speed rail in the USA?
Link
Link
Tomatos
Link
Mad scientist
Gorilla Cage in the basement
The two family types and how they work.
How to manage a family household.
Link
Soups, Sandwiches and ice cold beer.
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back
Link
A womanly vanity
SJW
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

Posts about the Changes in America

America is going through a period of change. Change is good… that is, after it occurs. Often however, there are large periods of discomfort as the period of adjustment takes place. Here are some posts that discuss this issue.

Parable about America
What is planned for American Conservatives - Part 2
What is going to happen to conservatives - Part 3.
What is planned for conservatives - part 4
What is in store for Conservatives - part 5
What is in store for conservatives - part 6
Civil War
The Warning Signs
r/K selection theory
Line in the sand
A second passport
Link
Make America Great Again.
What would the founders think?

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Things I wish I knew.
Link
Travel
PT-141
Bronco Billy
How they get away with it
Paper Airplanes
Snopes
Taxiation without representation.
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
Democracy Lessons
A polarized world.
The Rule of Eight
Types of American conservatives.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Space Cadet (Full Text) by Robert Heinlein
Link
Link
Link
Link
Link
Link
Link
Link
The Last Night
The Flying Machine
A story of escape.
All Summer in a day.
The Smile by Ray Bradbury
The menace from Earth
Delilah and the Space Rigger
Life-Line
The Tax-payer
The Pedestrian
Time for the stars.
Glory Road by Robert Heinlein
Starman Jones (Full Text) by Robert Heinlein.
The Lottery (Full Text) by Shirley Jackson
The Cold Equations (Full Text)
Farnham's Freehold (Full Text) by Robert Heinlein
Invisible Boy (Full Text) by Ray Bradbury

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

What Authentic Chinese Food is Like

The entire world feasts on “Chinese Food” served in “Chinese Restaurants”.  This could be a Chinese take-out in Memphis, to a “Dim Sum” restaurant in New York City. As delicious as these establishments are, what is it like to eat real, authentic Chinese food, in China? Well, here we discuss that issue…

Introduction

“Tipping is not expected or encouraged. Anyway, I loved the market, it had everything from cooked duck to live pigeon. Whole pigs cut into pieces. Eels, etc. No dogs or cats. There is only once restaurant serving dog that I am aware of and have not seen cat anywhere except as pets.

On the way home I visited a coconut vendor and a sugar cane vendor. For the coconut they cut off the husk, make a whole and put in a straw. It weights about 2kg and I walked along sipping the milk through the straw. The sugar cane goes in one end of a machine and juice comes out the other end into a plastic bottle. It's all attached to an electric bike. The government does not allow petrol bikes anymore, only electric, due to pollution. Nice one!!!!”

-Expat.com

The Chinese have cultivated the practice and preparation of food to a high degree.  In China you can eat the most amazing food, and get exposed to fruits and vegetables that are simply not available in the United States.  When I used to travel back and forth to China, my colleagues and myself would prefer to eat at Western restaurants.  But we were sorely ignoring such a wide variety of culinary art.

If you want to eat well, you go to china.

Chinese gong bao ji ding
In the United States you can get something called “General Tso’s Chicken”. It’s pretty darn good. But, let me tell you, it pales in comparison tot he real thing. In China you can get authentic food. In China it is called gong bao ji ding, and it is friggin’ awesome.

There are some curious differences.

One such curiosity is that bottled soda and beverages are filled to the brim.  It is pretty amazing!  Truly, when one takes off the cap one must be careful not to spill any of the precious beverage.  That is completely different from a soda in the United States.  Often times, if not in every bottle, the American equivalent are filled to exactly the proper volume or slightly below it.  Or, perhaps more accurately just under the specified volume that is stated on the side.  Thus leaving a wide gap of carbonated air that exits the bottle when one twists off the cap.

Sichuan food
Sichuan food is very delicious. It is hot and spicy and has a kind of sweet tang to it. I absolutely love it and many a fine meal was enjoyed eating this wonderful style of food.

Anyways, I happen to love Chinese food. I really do. Which is kind of a bitter-sweet issue with me. As I also love American food. Ugh! Many of which is rather difficult to get in China.

A Tomato Sandwich

You know, one of the things that I truly miss in China is the home-made summer tomato sandwich.

“…hands-down, absolute favorite way of eating a tomato in summer is served sliced on white bread with mayonnaise. No chiffonade of basil or tender leaves of oregano. No artisan sourdough bread. No extra virgin olive oil. No hand-pounded garlic aioli. No hand-harvested sea salt. No lemon zest. Not even a slice of crisp, applewood-smoked bacon.”

-Virginia Willis

Indeed, one of the pleasures that I do actually miss are fresh, home grown, tomatoes. Fresh tomatoes are hard to come by.  Everything appears to be from large GMO farms, and finding heirloom tomatoes is a difficulty.  The result is that all those beautiful big, juicy red color tomatoes all taste like cardboard.  Growing tomatoes on the side of a high rise is out of the question, so most expats purchase the very tiny “cherry tomatoes” and make do the best they can.

“…during the height of tomato season, I don't believe in featuring them any way other than front and center--which means a tomato sandwich on white bread with mayo and salt…”

-Susanna Beacom

Tomato Sandwich
This is my idea of paradise, a nice heirloom tomato sandwich on fresh bread with miracle whip and salt and pepper. Yum! It can be had in China, but not with heirloom tomatoes. You can only have it with tomatoes that taste like a shipping carton.

Chinese Tomato and Eggs

However, aside from the trials and troubles of obtaining a decent heirloom tomato and hard crusty bread, China has other excellent substitutes. Let me introduce you (my dear reader) to the Chinese tomato and eggs dish.

“The first dish my Mom taught me. Since I immigrated to North America, I've tasted numerous omelets, scramble eggs, poached eggs, but this egg dish is unique in its own and is still my all time favorite.”

-MooseCall

This is an exceptional dish that is widely available in China. It is so delicious and easily adaptable to American tastes that it is a wonder that it is not available in the USA. This is a Chinese comfort food. It is also something children would learn to make at an early age. Well, maybe sort of the equivalent of tomato soup and a grilled cheese in the United States.

And… No, it is not simply scrambled eggs with tomatoes added. It is something else all together. It is a tomato sauce that is made with special seasonings, that the eggs are cooked within.

Oh, and here’s a hint for all you readers that are in the USA and want to try this dish. Go to your neighborhood Chinese restaurant that is run by real Chinese. If though this dish is not on the menu, ask for it. Ask them to make “authentic” Chinese eggs and tomato dish for you. They will do so and the price will be really reasonable to boot!

Chinese tomato and egg dish
This dish is a very big staple and found throughout China. It is the most delicious food that is commonly one of the top things foreigners find that they love about China.

The Food Is Prepared Differently

Chinese food is good; really, really good.  But they eat it quite differently than westerners do.  They eat everything.

Everything.

For instance, in the west we debone the fish, we throw away chicken heads and feet, we discard the fatty part of meat.  But in China they relish the differences.  They do not simplify their foods so that a child can eat it.  The foods will contain bones, and grizzle and the consumer is expected to know the difference what is editable and what is not.

Chinese food is cut up in small pieces and there is little need for the consumer to cut their food up.  Thus in the States, you would fillet a fish; remove the bones, tail and head.  Not so in China.  There, they simply take the entire fish.  Rip the guts out, and cut up what’s left into tiny chucks.  Bones, fins, scales and all.

This has manifested into various mysteries that puzzle me.

For instance, why will the Chinese eat insects and larva (Tastes like mini cabbages.), but not eat turkey?  (It is not popular at all.)  Or why will they absolutely love chicken feet, chicken gizzards, chicken head and chicken wings, but throw away chicken breast?  (It is considered to be too much meat.)

In fact one of the things that I liked about China most was the fact that chicken breast was so cheap there.  Speaking of turkey, it is one of the things I’ve missed the most in China (that, heirloom tomatoes and cheap cheese.).

Thanksgiving Turkey

“Many people that I met were curious about China, but their impressions of China would end up with words like ‘communist,’ ‘pollution’ and ‘no Facebook.’ “

-Awesome Daily

Celebrating Thanksgiving in China is like celebrating The Dragon Boat Festival in Omaha, Nebraska. It’s a barren wasteland for the traditional fare because, well, for one, most Chinese aren’t all that partial to the Turkey Day centerpiece. They find it too big. To a Chinese person, the tastiest food is the smallest food. Food that is large is just not as delicious.

Turkey
Scene from the movie “Honey, I shrunk the kids”. Here they are having a turkey dinner. In China, turkey is not considered a desirable food because it is too big. The best things to eat, the tastiest ones, are the small foods like shrimp, snails, pigeon, and minnows.

Oh, and by the way, most shared apartments lack ovens, or at least ones large enough to hold a six-kilo turkey (Available on the Internet. Why did it take me six years to find this out?).

Unless you want to grill your gobbler prison-style on the radiator, you’re out of luck. And many of those Thanksgiving packages offered by restaurants amount to glorified TV dinners. Oh, the shame! The shame! It’s sacrilege for a true gravy-blooded American to buy a set dinner anyway.  Ugh!  Not everything is all “peaches and cream” in China.

One Chinese restaurateur even asked me how a bird so morbidly obese can have so little fat.   Same goes for ham.  The Chinese eat pork, but eat ham in the form of spam that is grilled on a BBQ.  Ham is pretty unknown here, but not pork.  Pork can be found everywhere. Bacon is also a rarity, but that is changing.

Bacon

You can buy bacon everywhere, but typically the Chinese don’t know how to cook it. If you go to a restaurant you might find the bacon under cooked. You need to tell the waitress to cook the bacon so that it is hard and crunchy. However, once they find out how to cook it into the thin brittle wafers they become hooked. Today, my wife is a maniac for bacon. Ugh!

She’s a little like a female version of Ron Swanson.

via GIPHY

via GIPHY

via GIPHY

via GIPHY

via GIPHY

via GIPHY

via GIPHY

via GIPHY

via GIPHY

Surprise! Heh heh.

Did you know that the Chinese absolutely love pork and pork-related products? While hamburger (mince) is very popular and cheap in the United States, the same is true for minced pork in China. The Chinese absolutely LOVE pork.

Pork in China.
The Chinese love pork. This is a nation that loves bacon. They love pork chops, and all sorts of pork products.

This is something that both the Americans and Chinese share. It’s a love for pork products, especially for bacon. You know, here’s an interesting story. You cannot get “American style” pork chops in China. You can get pork chops for certain, but they cook them differently.

One year, I was working in Pago Pago, and the girlfriend of my buddy made us a meal of American style pork chops. Once my Chinese wife took a bite she was hooked. She said that it was the most delicious thing that she ever had (excepting for bacon, of course), and she just ate up all the pork chops to excess. To this day, she still raves about that day…

She looks up at the ceiling. Her eyes get all dreamy. He thinks for a second and says something along the lines of “do you remember when we ate those pork chops…” . Good times. Good times.

Breakfast in America
What many Chinese think how Americans have breakfast. It consists of “runny” eggs, buttered toasted bread, some bacon, a cup of coffee and a nice firearm.

Breakfasts

Getting a “decent” American style breakfast can be difficult. Good luck finding pancakes. Waffles are everywhere, though. American breakfast food is my comfort food. For me, I just love to have a nice cup of “real” (not Starbucks) coffee, over-easy style eggs, pork and beans, bacon, and crunchy rye toast with real salted butter.

It’s not that easy to get, I am afraid.

In China they eat a different kind of breakfast. Now, these are still pretty delicious things and I have (many times) wholly smunched on these delicious dishes in the early morning coolness. One of my favorites, available all over China, is meat filled Baozi. These are like a soft gummy roll filled with meat and vegetables.

One of my all time favorites for breakfast in China is Shanghai Shao Long Bao. These are little meatball-sized hard rolls filled with a soup and a meatball inside. They are so very delicious. When I have given them to some American friends that have visited from the states, they rave about this dish. My goodness, it is so delicious!

Shanghai Shao Long Bao
This dish is known as Shanghai Shao Long Bao. It is a delicious dish that is found throughout China. This is just one of those dishes that is absolutely too amazing to describe. You have to go ahead and eat it. Now, you really want to eat it hot. Warm, and cool Baozi’s are not really that good. Eat it hot and fresh. Yum!

Oh, my goodness! Let’s not forget Youtiao (this long baguette appearing deep fried totu) and a cup of nice hot Doujung (Sweetened soybean milk.). It is so very delicious. You can get youtiao everywhere. The best is made right on the street by a street vendor. I like to take the youtiao and dip it into my rice porridge (zhou) and eat it that way.

Youtiao
Youtiao, fried tofu, is a staple breakfast food throughout China. It is delicious with eggs and goes well with both Doujung and coffee.

While I am it, one of the basic staples for breakfasts in China is a warm soup. This can be either as a soup, or a bowl of noodles in a broth, or a bowl of rice porridge known as zhou. In Hong Kong, and in many “China Towns” around the globe this dish goes by the Cantonese name; congee.

Zhou
Congee is the Cantonese name for Chinese Zhou. It is a rice porridge that is flavored with meats, and spices. The most popular types are fish, pork, chicken and beef.

Make no mistake, I do love the rice soup, the dumplings, and youtiao (deep fried tofu bread). The Shanghai ShaoLongBao is truly awesome! However, I would often like to have some “over easy” eggs with bacon and toast.

Not so likely, I am afraid.  You can get it at “Hong Kong” style restaurants.  However they tend to steam the bacon (or fry it so little that it looks like it is steamed), and microwave rather than toast the bread (What?  Nobody ever heard of a toaster?).  Though, surprise surprise!, some actually do serve pork and beans with the eggs! (Really! Who would figure?  It just seems that coincidentally that the HK restaurants in this section of China serve pork and beans with eggs.  Wow!)

Could it actually be that my final world-line slide brought me to a place that had a small geographical region that fit my original world-line preferences? If so, cool!

No one knows what “over easy” eggs are.  The restaurant tends to “break the yoke” as a matter of process, and thus you have to specifically ask for Tai Yang Dan style if you want “sunny side up” eggs. I am not kidding. The gals and the chefs will intentionally break the yokes unless you specifically tell them not to.

However, Hunan restaurants make a hot and spicy poached egg dish that has yokes. It’s quite delicious too! You’ll be smiling, going “oh this is so so good”, while sweat is running down your forehead. Heh heh.

Human eggs
Photo by the author. These eggs are cooked over easy to over medium, and allowed to soak in a nice hot pepper sauce. It is terribly delicious, but oh boy oh boy will your stomach complain in a day or two. LOL.

Pizza

Pizza is “hit or miss”.  You can pretty much buy pizza all over the place, but “real” pizza comes from a Western restaurant that tends to cater to the expat community. Unless the chef has been to America, they will not know how to make pizza. The dough will be made out of the wrong type of flour. The sauce might be Heinz ketchup, and the cheese might end up being mayonnaise.

I once ate a “New Orleans” pizza at a Chinese pizza establishment in Tangxi in Dongguang. It was just corn, lots and lots of corn, on top of a pizza shell covered in ketchup. No cheese at all.

Chinese pizza hut pizzas
Here is a typical selection of pizzas found in a Chinese Pizza Hut. They are most certainly not your typical American pizza. Note that there are no simple cheese pizzas, pepperoni or sausage pizzas. These pizzas are different and tailored for the Chinese market.

Now, you can go to a Pizza Hut restaurant.  They are just as popular as KFC is in China.  However, they serve packaged dinner meal sets.  Pretty good, with real pizza crust, sauce and cheese, but the toppings are all Chinese.

For instance you can get a Duran and potato pizza, or a squid, snail and lobster pizza.  The deluxe pizza would have such toppings as corn, cut up hotdog, and spam slices. However, there will be real pizza dough, real pizza sauce, real cheese and options for American-style pizza toppings.

Pizza Hut set Fixed Meal Package
68 yuan for a fixed meal that includes a medium pizza, salad, a side of four chicken wings, a beverage, and a dessert. That is around $10 in USA currency. If you wanted steak instead of pizza it would run you only 49 yuan. Ah, around $8 for a steak meal. Notice the picture in the top right side. In China, all establishments can sell and drink alcohol. There are no limits or laws on that. If you do not want to buy it in the restaurant, you can bring your own. Ah, I love China.

Only Pappa Johns maintains a “real” pizza experience.

All that I can say, is that in China, the best place to get real authentic American-style pizza is at Poppa Johns. They are no where as popular as Pizza Hut is, but they do have a decent business presence here, and are worth going to. No matter what the progressive social justice warriors in the United States have to say about the matter.

That being said, I do go to the local Pizza Hut and enjoy their thin-crust seafood pizza. I ask for extra cheese and they are very willing to put it on in globs.  (Most Chinese are not big fans of cheese.)  For the longest time I wanted to go to Pizza Hut and order a large pepperoni pizza with a pitcher of coke.  However, it was not to materialize.  Instead, I had to settle for a packaged meal with iced tea, cream cheese cake, and sides of snails and octopus.

The reader should realize that Pizza Hut has adapted well to the Chinese market, and it tends to be standing room only, with lines for seating on the weekends. When I watch what the other Chinese people are buying they are all buying the packaged meals, with a heavy mix of spaghetti as a side, seafood pizza types and a large number of side dishes. It’s a fun outing for them, and a little bit exotic.  Good for them!

Pizza Hut Menu
15 yuan is roughly two dollars for a side of teriyaki octopus with quail egg. I am sure that my wife would love this, but me, I don’t think so. (Update. She did. I thought it was so-so.)

As an aside, the same company that owns KFC in China also owns Pizza Hut in China. Both are famously busy and popular.  It is good, but a little sad that that those are the only real outlets (aside from hamburger joints) where people can get “American Food”.

Yes.  China is the place that you can go to eat delicious food cheaply. If you want real good and tasty food, you can go to China or other nearby nations such as Japan, Thailand, and Vietnam. All provide delicious food.  However, you won’t find “authentic” Western food there.  The only possible way is to go into a Western enclave such as Shanghai, Singapore or Hong Kong.  Aside from that, you best bet would be in the expensive hotel restaurants.

For instance, in the Zhuhai Holiday Inn, is a Western restaurant, which offers an authentic American pizza, which is actually quite delicious. Sure, they don’t call a pepperoni pizza a pepperoni pizza; they give it some other exotic name.  However, let’s face it; a real pizza is a REAL pizza.

About pizza, though…

I mean, we all know that wacky toppings are the norm throughout Asia. Truly, who wouldn’t want to eat “European-style Abalone Mushrooms Bacon” pizza or “Chi Heart Crown Shrimp” pizza? Now, that is fine and good, but what about the really unusual stuff… like beef tongue, and chicken feet pizza?

So,if you want to get to the really wacky stuff, you’ve got to go to the custom order page on the Chinese Pizza Hut page. There among the Gentle Wind Vegetable Salad and the Mango Sago Sweet Soup, you’ll find gems like “Marinated Brain Dough,” and “Jew’s Ear.” Sorry, in case you were planning on ordering for a group, the latter is only available individual. Check out the order page here.

Aside from Pizza Hut, most local Chinese restaurants serving pizza would not use pizza dough.  Instead, they would make the crust out of regular bread dough.  They would skimp on the cheese, and maybe substitute cheese sauce (squirted over the top in a zig-zag manner), with hotdog, corn, and pineapple toppings. It’s a big disappointment, let me tell you.

Alcohol

It goes without saying, but the reader will be unaware of this, that you can drink alcohol in the restaurant.  China does not have beer and wine licensing requirements like the USA does.  You can either buy the wine or beer in the restaurant, or bring your own.  The restaurant doesn’t care. It’s one of the things that I love about China; the freedom to drink what you want, where you want and when you want.

You can’t do that in the USA.

Bringing in your own wine or alcoholic substance is very common. Many families and groups of friends bring a bag full of white wine to drink. BTW, white wine (Baijiu) is actually 53 degree “moonshine”. I have written elsewhere about booze in China, and a lot more can be said. For now, let’s leave it simple and just say that the Chinese love to drink alcohol in abundance.

Fake Wine

Sichuan Food

One of my favorite styles of food is the very, very, VERY hot and spicy Sichuan style Chinese food. This style uses a lot of hot peppers and certain hot spices (known as “ma“) that are generally not commonly available in the States. Typically, the restaurants are decorated in a kind of wooden / country style that immediately makes you feel at home.

Zhajiangmian
Zha jiang mian – A spaghetti like dish that is served with ground pork cooked like it came from a sloppy joe, only with very spicy Mexican-style sauce. This is a very wonderful dish. I could eat this in the morning or at the night.

The only thing about this style of food is that if you are unused to eating spicy food, your body might protest.

I love the food, but I can only take it in periodic meals. The people naturally from Sichuan eat like this all the time and to them, everything without spices is terribly bland. My Chinese friends tend to shy away from the food as well. Not because they don’t like it, but rather how the strong spices and hot peppers wreck their stomach and bowels.

Ma po dou fu
Ma po dou fu is a delicious Sichuan pork and tofu dish that is spicy, and warm. It is like eating sloppy joes with soft bread. It is very, very delicious and I just absolutely love eating it with rice and a nice cold beer. You haven’t lived until you try this most amazing dish.

The first time that I ate this style of food was on one of my first visits to China. The gals that I were with asked me if I liked spicy food. Well, I do, so I said yes, and they took me to a Sichuan restaurant in Shenzhen. You know, you could smell the delicious aroma drifting outside, and I was immediately lured inside.

The funny thing is that they warned me that the food was spicy and that we should order lightly spiced food. But, No NO NO! I wouldn’t have any of that, and I said that I wanted the real authentic experience. I said to give me the full-on “ma la” experience.

Oh, let me tell you, I most certainly got it too.

Mapo dofu 2
Here’s another picture of mapo tofu. As you can see, it is colorful and very delicious. You should try it with a ice cold beer. It is so wonderful.

Now, don’t get me wrong. It was so very delicious. I ate, and then I ate, and ate more. It was so tasty. The food was rich and full of flavor. It was tasty and had a blend of spices that warmed my stomach and filled my heart with happiness.

Anyways, all was fine until that night…

gongbao jiding
This is gong bao ji ding. This is the Chengdu city version of this dish. I love the spices, the chicken, the peanuts and the overall aromic flavors that waft upwards to the heavens. It is so darn delicious.

My body, most certainly was not used to the powerful mixture of explosive peppers and burning spices. I had to make an emergency detour to the nearest public bathroom stall, and there I found God…

Lordy!

That being said, never eat Sichuan food with a lot of spice unless you have been eating it for some time. Most Westerners cannot handle the strong spices and powerful peppers. When asked you say loudly “yi dian la“. This means “just a little bit of spice please.” If you feel up to it, you can ask for “zhong la“, which is “middle” or a normal amount of spices. Of course, if you have a stomach made of cast iron, go ahead and ask for “da la“. They will certainly oblige you.

Spicy beef
Here is some wonderfully spicy beef served Sichuan style. This dish is very wonderful and the peppercorns really make the beef flavor “pop out”. This is great to eat, and I really think that rice with this dish is mandatory.

Hunan Food

There are many Hunan restaurants all over China. I like the food because it is tasty and flavorful. It is also unique. They like to use a lot of spicy peppers in the dish, and a different arrangement of spices. The Hunan food that I have eaten has been deeply flavorful and wonderfully tasty.

Hunan Province is located in central China, surrounded by lakes, rivers and mountains, with a subtropical climate ideal for agriculture. A classic vegetable dish is string beans with cured meat, often ham or ground pork. It usually has a pickled or fermented tang not unlike olives that helps balance the hot-pepper punch.

When the chili plant first made its way to China from the West, the Hunanese were one of its earliest adopters of it back in the late 17th century. However, Hunan food sets itself apart from Sichuanese in two big ways: [1] It doesn’t use the tongue-numbing Sichuan peppercorn, and [2] savory dishes lack the sweetness of their Sichuan counterparts.

"So while the Sichuanese have all kinds of spicy-sweet-savoury combinations, including the 'fish-fragrant' and 'garlic paste' flavors, the Hunanese tend to go for bold savory tastes, chilli-hot tastes, and sour-hot tastes."

-Chef Dunlop

Us Americans are pretty confused about what Hunan food means and how it differs from other regional cuisines, even though “Hunan-style” restaurants in the U.S. date back to the 1970s.

Hunan style Chicken
Here is a chicken dish made in Hunan style. Notice the tasty peppers, the nice colorful and oily juices and the well cooked, but tender morsels. Wonderful!

Here is another dish. This one is pork belly. I like to think that pork belly is bacon that is cut into big fatty chunks.  What they do is braise the meat using a blow-torch so that the outside is tough, and the fat on the inside is soft and juicy, then they cook the entire together.  Personally, it took me a while to get used to this kind of meal because I wasn’t used to so much fat. But, let me tell you, once you try it, you will love it.

Pork Belly
This particular dish is called Chairman Mao pork belly. I have no idea why it is called this. However, I have read that This dish is so linked with Mao Zedong that today on menus in China and the U.S. alike it still bears his name. The Chairman is said to have loved the braised pork belly fragrant with star anise, ginger, chilies, and cassia bark so much he insisted his Hunanese chefs prepared it for him in Beijing.  
However, what ever it’s name, it most certainly is delicious. Yum.

Where Sichuanese cooks combine Sichuan peppercorns with chilies for the classic “numbing-hot” ma la flavor, the Hunanese prefer the sharp combination  of vinegar-pickled chilies with salt for something called duo la jiao. This hot, sour, and salty concoction is used as a relish for noodles and steamed fish heads.

Here is the real authentic version of “General Tso’s Chicken”. The story goes that it’s based on a dish called cu ji, or vinegar chicken.  This was a dish that was served way back in the Tang dynasty.

Its more modern legend is familiar to anyone who’s heard the origin stories of nachos and Buffalo wings: Some merchants paid a visit to a restaurant run by three old ladies who’ve just run out of everything in the kitchen. So the ladies went out back, slaughtered some chickens, added in some pantry staples, and called it dinner.

The merchants loved the dish and told everyone they knew about it, and thus that is how it was invented.

General Tso's chicken
Dong an zi ji (Dong’an chicken): One of Hunan’s most famous poultry dishes is this delicate creation of chicken flavored with chili and clear rice vinegar, which is said to have originated in Dong’an county.

One of the things that I ended up falling in love with is Hunan style potatoes. Here, the potatoes are neither mashed, or fried. Instead, they are cooked and sliced into real thin strands, and mixed with oils and spices. Anyone who loves potato chips, mashed potatoes and french fries would fall in love with this dish.

Hunan potatoes
Hunan potatoes. I just love the way that this dish looks and tastes. It is perfect companion with all other spicy Hunan dishes and has graced my table many times. Try it with an icy cold beer. OMG!

Seafood

Seafood
Seafood is very popular in China. This does not include fish. Instead “seafood” is a classification for all things living in the sea except for fish. That includes snails, shellfish, crabs, oysters, lobsters, mussels, and shrimp.

As an American, my exposure to seafood has been rather shallow. Up until the early 1970’s we would eat fish on a Friday. Eating shrimp was reserved for bars and diners. I never ate shellfish. Crabs and lobsters were far too pricey for my parents.

When the Catholic church announced that eating fish on Fridays would no longer be observed, but that you would need to have a good-thought fast all day, us kids just thought of it as “no more fish on Fridays”. Thus, my only exposure to fish and seafood were the deep fried fish fillet sandwiches that we would get out of McDonalds.

And, that was that.

When I got married to my Chinese wife, I was surprised at how different her diet was from mine. It wasn’t a matter of spice, sugar, or bread. It was something else. She was used to having meals that predominantly contained shellfish, snails and crabs. Whereas I was used to a diet that mostly consisted of hamburgers, pizzas and traditional American fare like Mac-n-cheese.

Over the years we both have adapted. Today, she eats bacon like a maniac, insists on cooking home made pizzas, and appreciates Parmesan cheese.  While I have adapted to insisting on fish twice a week and at least one meal of fresh seafood.

If you ever come to China, please kindly be advised that seafood is very popular with all Chinese. They love to eat shellfish, oysters, snails, shrimp, and other denizens of the deep. So, as a word of advice to anyone who is interested in moving to China or getting a girlfriend out here, you all had best be ready to eat some seafood, because that is one of the reasons why the Chinese are so thin, trim and healthy.

BBQ

While there are many, many kinds of foods and styles of food in China, we will keep the list rather short. I could write books on this subject.

One of the most common types of food is the Chinese BBQ, or Shao Kao. This is typically (but not always) an outside open flame pit where food is grilled. It is very cheap, and often served with beer of Chinese “white wine”.  Usually, the grill will not open until after 9 pm, at which time these really flimsy and cheap card-tables would be set up on the sidewalk. Along with them would be these super cheap polypropylene stools that you can sit on.

BBQ
Authentic outdoor shaokao in China. Usually it is a set up something like this where things are grilled over an oven fire at night. The costs are very reasonable. In the South of China, where daytime temperatures are very hot, a evening meal of BBQ is very welcome.

It’s a simple system. You order the food. It is cooked and served to you at the table where you sit down and drink beer.  The prices are very cheap.

Typical items are chicken wings, mutton, hotdogs, vegetables of all types, bread, mantou, corn on the cob, and fish. In general, most Chinese love to eat BBQ, but everyone considers it to be unhealthy because it is made outside in the smoke and cooked over a grill of questionable cleanliness.

GuangDong Style

Guangdong is in the South East of China. It is a large semi-tropical area that is the home of a major portion of the Chinese industry. Most Chinese restaurants in the West served largely Cantonese dishes. This type of food also goes by the name of Cantonese cuisine (廣東菜), or as Yue cuisine (粵菜).

To the people of Guangdong, everything that walks, crawls, flies, or swims is edible. Many of these strange foods no longer appeal to today’s refined tastes, and some have been eliminated out of respect for the eating habits of people in other areas, but some strange foods still remain.

The food that you find in Hong Kong is Guangdong style, with some serious Western influences. I, for one, love the egg sandwich and the Horlicks drink.

In Hong Kong , Horlicks is known better as a café drink than as a sleeping aid. It is served at cha chaan tengs as well as fast-food shops such as Café de Coral and Maxim's Express . It can be served hot or cold, and is usually sweetened with sugar.

-Horlicks

This style of cooking is very healthy. It is considered to be “light”. For instance the fish is steamed and then seasoned very lightly. The result is a very tasty and fresh dish. The vegetables are all lightly steamed and fresh. When eating this style of Chinese food, you cannot help but feel energized and healthy.

Many foods served in Chinese-American restaurants in the USA originated out of Guangdong. For instance…

Sweet and sour pork is probably the most famous of Hong Kong foods. As such it has made its way into Chinese take away menus around the world.

Sweet and sour pork.
Sweet and Sour Pork, or 咕咾肉, hails originally from Guangdong province. There are different versions of this dish, but the most common ingredients are pork tenderloin, pineapple and bell pepper. As might be guessed, this dish combines sweet and sour flavors with a hard to resist morsels of deep-fried pork.

Wontons are known as chāo shǒu (literally means “crossed hands”), added to a clear soup along with other ingredients, sometimes deep-fried. Several shapes are common, depending on the region and cooking methods. In general, these are tasty dumplings that are served inside a nice fragrant broth. Or, they can be deep fried. I happen to love both styles.

Come on! Who wouldn’t want to eat some of these right now?

Wontons
Crispy cream cheese wontons, more popularly known as crab rangoons or crab puffs, are a popular American Chinese appetizer with a crispy outside and a creamy, delicious inside filled with cream cheese.

Wind Sand Chicken. (“Wind Sand Chicken”風沙雞.) You can get this at most deli counters in Chinese supermarkets.  A whole chicken is flavored and put into the oven for about 20 minutes until the chicken’s skin turns brown.  What makes it so unique is that garlic pieces are added and it looks like wind-blown sand. The chicken is roasted and crispy on the outside and very smooth and tender inside. The smell of the garlic pieces is exactly to the right degree.

Wind Sand Chicken
Wind Sand Chicken is another favorite dish that is found in the South of China. The chicken is very delicious, though it often includes the head and the feet.

Shrimp and Chicken Balls.  Firstly, shrimp and chicken meat are chopped finely and kneaded into balls, then they are deep fried with bread crumbs. The balls are crispy and tender. Salad sauce is often used to provide a sweet and sour taste. If you like chicken, and meatballs, this is similar only very delicious when warm. Yum!

Shrimp and chicken balls.
Hong Kong style Chicken and shrimp balls. This is a staple in Dim Sum restaurants. It is extremely tasty and very delicious.

DongBei Style

Dōngběi 东北 refers to the north-eastern part of China which covers 3 provinces: Heilongjiang, Jilin and Liaoning. It used to be known as Manchuria.

Map of Dongbei
North East China – Dongbei.

东北菜
Dōngběi cài
Dongbei-style food

Dongbei-style food is perhaps one of the most underrated Chinese cuisines compared to Sichuan, Cantonese or even Xinjiang food. Dongbei cuisine consists of different types of pickled ingredients and mainly wheat and maize, instead of rice. So you will see more dishes with noodles, steamed buns or cornbread.

The best part about Dongbei food is they tend to be relatively cheap and come in big portions. Similarly, they also tend to have a big, extensive menu. We’re talking about 50-70 dishes at least! It could be quite overwhelming to look at the menu and try to decide what to order.

-Five Top Dongbei dishes

The food known as “DongBei” comes from the Northern section of China. It is based on wheat and corn, as opposed to rice in the rest of China. Because the weather is so cold during the Winter, the food is hearty with crusty bread, thick dumplings loaded with meat, and savory soups.

Dongbei bread
Dongbei has some nice and delicious food that adapts well to the American tastes. The food is rich and hearty and involves meat and rolls and breads. Most Americans love this style of cooking.

As such, it is considered to be very compatible with American and Western tastes. For instance, the chicken is cooked in a manner similar to how an American would cook chicken. It’s not lightly cooked like “GuangDong style” with the yellow fat still intact. Instead, the fat is cooked away and the chicken is juicy and moist. Dongbei chicken reminds me a lot of what “Rotisserie chicken” is in the states.

Dongbei-1
Dongbei food often consists of various types of flatbread. This flat bread is fried in a pan sort of like it is done in India and often contains spices and vegetables such as leek. This particular dish is sliced braised pork with spring onions in small triangular flat bread sandwiches.

This food style has many elements that are very delicious. For instance they have this kind of sloppy-joe style hamburger, only that the bun more resembles an English muffin. It’s full of tasty beef or pork filling.

They have a salad that would feel perfectly fine on any family table. Their dumplings (pot suckers) are large and filled with delicious beef, pork, mutton or chicken. Their noodle dishes use the same kind of noodles that American have been buying in stores for generations.

Three Treasures
地三鲜 (Di san xian): Commonly rendered on English menus as “triple delight vegetable,” this trifecta of stir fried potatoes, hot green peppers, and eggplant slicked in a slightly sweet soy sauce is a hearty Dongbei classic that warms both palate and body. The name loosely translates to “three delights from the earth.”

If you, as an American, wants to visit China, and you want to eat authentic Chinese food, but don’t want to be too overwhelmed by the differences, do this.  I would suggest you ask your Chinese sponsor for some authentic DongBei style Chinese food. Tell them that you heard a lot about it and would like to try some.

Dongbei Jelly
La pi (green bean sheet jelly): A cool tangle of wide, flat mung bean noodles served with various accouterments makes for a refreshing salad of sorts, and a test of chopstick skills. Photo by Robyn Lee.

Video Links

Some great video links;

Conclusion

If you like American style Chinese food, then you would absolutely LOVE the authentic versions in China. Just take note that the Chinese eat many things that have not ported well into America. Therefore, be open to experimentation and just have a great time eating away at all the delicious items found everywhere.

Take Aways

  • Chinese food is delicious.
  • American versions of Chinese food tend to be a little toned down for the American tastes.
  • Chinese love seafood, and that includes everything out of the ocean.
  • The Chinese can drink alcohol with all their meals everywhere. It is considered normal, and they are often amazed that Americans are unable to take part of this simple freedom.

Links about China

Business KTV

Dance Craze

End of the Day Potato

Dog Shit

Dancing Grandmothers

When the SJW movement took control of China

Family Meal

Freedom & Liberty in China

Ben Ming Nian

Beware the Expat

Fake Wine

Fat China

China and America Comparisons

SJW

Playground Comparisons

The Last Straw

Diversity Initatives

Democracy

Travel outside

10 Misconceptions about China

Top Ten Misconceptions

Learning About China

Pretty Girls 1

Pretty Girls 2

Pretty Girls 3

Pretty Girls 4

Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed and generated 16OCT18.
  2. Completed 18OCT18.
  3. SEO review 18OCT18.
  4. Published 18OCT18.

A Movie Tribute to the 1980’s When Ronald Reagan Ran America

For eight years, from 1981 until 1989, the United States had one of the greatest Presidents running the nation; Ronald Reagan. Of course, the media hated him. Of course, subsequent politicians and their media sycophants have rewrote the narrative, turning the amazing decade into one of “racism”, inequality, and a trampling of rights.  What a crock of bullshit.

It was no such thing.

You can tell simply by looking at pop culture from that time.

If everyone was sad, unhappy, unemployed and suffering, the pop culture would reflect it. Just like pop culture reflected World War II, and the 1950’s. Pop culture is a far superior barometer of the health of a nation than the nonsense spewed out from mainstream media. For if you listen to the mainstream media narrative, the United States today is completely unified and angry against Donald Trump. The media has us believing that Antifa and the BLM are “grassroots” organizations that spring up organically. They try to give the impression that it’s just normal everyday folks that hide behind the black masks. Don’t believe them or their narrative, as it is not an accurate reflection of American society today.

This is my tribute to the decade of Ronald Reagan.

This is my tribute to the years from 1981 until 1989. If you, the reader, DON’T want to hear what actually happened during this time from someone who experienced it, you can leave. You can read the politically correct revised narrative; one that is saturating the history books, and one that is repeatedly proclaimed over and over by the progressive mainstream media. Go ahead. That is your reality. Suck it up. Absorb the bullshit. Read the narrative. Hell, you need boots to traverse the sludge.

I guess that Americans must be friggin’ idiots not to want this kind of “success” to continue. Because, last I heard, the entire policy plank of the liberal progressive elite was in tatters by 2016. Everyone was so happy with the “new” Global-centrist Socialist America that they decided to tear it all up and go with Trump. Go figure.  So, yeah. Believe what you want in your reality.

This is mine.

Introduction

If you go on many internet websites, such as history.com, you will see the politics of that time. You will see the big issues that the newspapers printed. You will see what wars the United States were involved in. You will see the various political issues and the various spending initiatives. All of which was true.

Yes. That was the 1980’s, but that was NOT what you personally experienced.

That was what you read about in the papers. That was what you watched on the news. That was what the radio talked about. It was the reality that was TOLD to us. Then, as now, the media are completely out of touch with the vast bulk of America.

Well, it should be clear by now, that everything that comes out of the mainstream media is propaganda designed to manipulate. It was just as true then as it is today.  If the news-babe is talking, you pretty much can believe that it is a lie. Any facts and figures coming from a “blue ribbon panel” is nonsense. And, just about any polling data is simply fabricated baloney.

Prediction for Hillary.
Here is a screenshot taken from a mainstream media website in 2015 that was using polling data to predict the outcome of the 2016 election. They predicted, based on polling data, that the vast bulk of the population would vote for Hillary Clinton. They predicted a landslide win over Donald Trump. The liberal website “The Daily Kos made this prediction in 2015 based on polling data from Democratic pollster Tom Jensen . Their conclusion; Let Donald Trump try to win, it would be the biggest mistake the GOP ever made, and would destroy their party.

So, instead of a nice rehash of what we were told was important, you know, by the oligarchy that runs the propaganda, let’s talk about what it was like on a personal level. Let’s talk about the society and culture under a conservative presidency.

Oh, yeah, real conservatives are a rare breed. Neither of the Bushes were conservatives. They were globalists. They served the global oligarchy.

The last real and honest conservative in office was Ronald Reagan.

Let’s talk about the culture during a successful Republican (Conservative) presidency. It’s not a perfect analogy by any means. However, for those of you who seemingly enjoyed watching these movies, realize that they are a reflection of that time and that place.

Here are some highlights as described by the pop culture (movies) of that time…

Movies during this time

There were so many good movies during this decade. I believe that this was pre-progressive Hollywood. They really hit their stride then.

Not like today, where the case is always diverse, progressive, and female. Hey! How did you like the all-female remake of Ghostbusters? Oceans Eleven? The Rocketeer? Get ready, they plan an all-female remake of Goodfellas next year too. Groan!

The Mixed (And Misguided) Messages Of All-Female Movie Remakes

What's up with all the Female Remakes

Dear Hollywood, These All-Female Remakes Are Dumb, Boring, Childish, And Demeaning
The trailer for the new all-female “Ocean’s 11” reboot was unveiled this week. The Hollywood Reporter called it "the capstone 2017 needed." Most regular people called it awful and stupid.

What else could it be other than awful and stupid? It’s a reboot of a remake of a remake, which means it already has all the fun and freshness of dried vomit. The feminist twist just gives it an extra touch of preachiness and pandering, like a vomit stain that comes to life and lectures you about the patriarchy.

-The Daily Wire

The movies in the 1980’s didn’t have any of this progressive revisionism rammed down our collective throats. They were light-hearted fun and charming. Even the more serious ones. Here’s a trip down memory lane…

Criteria

There were so, so, so many great movies made during this time, that there is no way that I would be able to put them all here. I just added them as my memories permitted. The first one is “Spaced Invaders” because it is really great and really obscure.

Spaced Invaders.

I don’t know how anyone could hate this movie. I honestly and really don’t. It is so funny. It took a unique mind to come up with this story line. It’s not your typical alien movie. These aliens are so stupid and confused. They remind me of Eric Holder, Nancy Pulaski, and Mad Maxine Waters.

Characters so stupid that you wonder how they learned to walk.

I am not at all kidding. Just pay attention to their actions and listen to what they say and how these aliens react to situations. When you transpose a progressive mantle onto them, the movie takes on an entirely new meaning.

Spaced
After mistaking a Halloween re-broadcast of Orson Welles’ classic radio adaptation of WAR OF THE WORLDS for a real Martian invasion, a group of moronic Martians shows up on Earth looking to conquer only their plans go awry as they find themselves truly out of their element and in reality all alone. This really is often quite good and funny, with some decent lines to boot. It will most likely appeal to Sci-Fi fans. This has passed the test of time for me as seeing it again recently it proved much better than I expected it to be. Despite a cast made up of no-name stars, this may just be the funniest Martian invasion ever put to film. Interestingly enough, the Martians themselves seem to represent almost every classic Action Hero/Sci-Fi Hero stereotype there is (cool 50s teen, fighter pilot, fearless astronaut, brave soldier and kooky scientist). Fun for the whole family.

Twins.

The whole film is about the brothers and how they get along. De Vito plays the sluggish criminal Vincent who finds it difficult to accept his brother and Arnie plays the very enthusiastic brother Julius who is always willing to do everything he can.

Having two different types of characters allows the tension and excitement of the film to boil up and engages the audience into watching the humor but also the emotional ideas contained. It is very heart warming and will bring a smile to your face as some actions are completely ridiculous in a humorous sense but the ending is also very special for many people. There are many ‘brother’ conventions. De Vito is willing to help his brother into the world and teach him the things he knows as is Arnie.

twins
TWINS, Arnold Schwarzenegger, Kelly Preston, Danny DeVito, Chloe Webb, 1988, (c)Universal Pictures

War Games.

Historically-speaking, there are two movies that have shaped public opinion about computers: 2001 and WarGames. With 2001, there is the question of “What happens when an automated computer system makes decisions on its own?” In today’s world of automatic Windows updates and random error messages for no reason, it seems very prophetic. WarGames poses the question of “Who uses the computer and what do they do?” The consequences, as one can easily guess, are enormous. Even when hardware ages, the ethics remain.

Wargames
Wargames was a movie that was way ahead of its time. No one was making films about hacking into computer systems. The only computers used in movies were on space ships. No home computer has ever really been brought to the big screen. Wargames broke from the normal studio sci fi norm of either Earth being visited by aliens (E.T) or battles of Good and Evil in space (Star Wars, Star Trek). With the raise in hacker crime rate now, and seeing how Dependent we’ve become on computers, Wargames was a movie with it the eye on the future. Imaginative story, great cast (who, despite other reviews, do not phone it in) Wargames is a true gem, as it was recently listed by AFI as one of the top 100 sci fi movies of all time.

WarGames isn’t perfect. There are plenty of logic problems in the script, but it still presents its topic with a naive fascination. What the writers don’t know, they pretend they do. Matthew Broederick is, once again, the nerdy teen with social problems (Ferris Bueller had a different problem, though). Aside from Glory, he won’t be able to shake that image even now in his 40s.

Weird Science.

This is a great movie that contained the hit from the group “Tears for fears” where “Everyone want’s to Rule the World”.

Welcome to your life
There's no turning back
Even while we sleep
We will find you
Acting on your best behavior
Turn your back on mother nature
Everybody wants to rule the world

Real Genius
Mitch Taylor is one of the youngest students ever accepted to a university known for its programs for geniuses. He partners up with his roommate, science club legend Chris Knight, on a project to develop a high-powered laser. Together with their hyperkinetic friends, they employ their intellects in the pursuit of bigger blasts, practical jokes, and a deeper understanding of what real genius means. When they find out that their professor intends to turn their work over to the military for use as a weapon, they decide to get even

Tears For Fears and “Everybody Wants To Rule The World”. This is jet another 1980’s classic . It was also in the movie “Real Genus“, which in itself was a totally classic 1980’s flick.

Let’s talk about it.

It’s interesting to me that Revenge of the Nerds, while still full up of the nostalgia that the 80s lends us, is lately being rewritten as chauvinistic . What was viewed for many years as a bit of harmless fun that waved the banner for nerds everywhere is now being a called a movie full of racism and misogyny, and damages the image of geek culture more than it applauds for it.

Oh, give me a friggin’ break.

Real Genius was loosely (very very very loosely) inspired by actual events that took place when university students were working to crack laser technology. But in Real Genius, this is being done on the fictional Pacific Tech campus, where the students are unknowingly creating that laser for the CIA to use in government sanctioned hits from space. They don’t know this because their odious professor, Dr. Hathaway (played to a tee by William Atherton), obviously isn’t letting them in on the secret.

He’s too busy skimming off the funds that the government is providing to the project, so he can renovate his house.

Real Genius
Mitch Taylor is one of the youngest students ever accepted to a university known for its programs for geniuses. He partners up with his roommate, science club legend Chris Knight, on a project to develop a high-powered laser. Together with their hyperkinetic friends, they employ their intellects in the pursuit of bigger blasts, practical jokes, and a deeper understanding of what real genius means. When they find out that their professor intends to turn their work over to the military for use as a weapon, they decide to get even.

Weird Science.

This is yet another great 1980’s movie. Even when John Hughes makes a mediocre film such as “Weird Science,” the nature of his intent still shines through, to the point where you can’t necessarily fault him for anything except not making a better one.

“Weird Science” serves as the ultimate 1980s high school nerd fantasy, one in which babes see social awkwardness as a turn-on and bullies and extortionist older brothers help themselves to large servings of humble pie. The movie truly doesn’t need to be anything more, even in spite of the shallowness of its characters and general disregard for logic.

Anthony Michael Hall (in his fourth Hughes film in three years) and Ilan Mitchell-Smith star as two losers who determine that if they can’t get girls the “old-fashioned” way that they can use their computer smarts to play Frankenstein and create the ideal woman. After some illegal hacking they pack her with a high IQ (and an appropriate breast size) then hook a doll up to a machine. One crazy storm inside their house later and suddenly there’s Lisa (Kelly LeBrock), who’s every bit as stunning with a type A personality as a nerd could possibly imagine or desire.

Weird Science
Weird Science is the best 80’s comedy that was ever made. I’m saying it. Ghostbusters, Summer Rental, The Great Outdoors, Strange Brew, Gung Ho, Mr. Mom, all of these movies have their place, but none of them can match Weird Science for laughs or pure….eightiesness. Yes eightiesness is a quality. It’s a corny, dated quality but one that is tangible and valued by my fellow eighties loving brethren to this day. Come back with me if you will to a time when Anthony Michael Hall was still fielding calls and Kelly LeBrock was French for sexy. Weird Science has everything that an eighties comedy needs: A least one hot girl, a few actors who are no longer working or who do anything that will come along, plenty of dashing eighties threads, a corny as hell eighties soundtrack,(and Oingo Boingo is as corny and eighties as they get), and plenty of cheap funny jokes.

The Lost Boys.

There are many films about vampires, but one that is almost always remembered with a shy smile on the face is definitely “The Lost Boys”. As one of the best remembered films of the 80s, this movie has become part of pop culture and a defining film for that period.

The movie showcases most of what was cool or hip in that decade.

While its status as “horror classic” has a lot to do with the nostalgic factor, it’s an entertaining film on its own right and its modernization of the vampire myth along with its tongue in cheek humor still make for a charming and funny session of pure mindless entertainment.

Lost Boys
“The Lost Boys” is a movie that effectively combines a cool and attractive look with a simple but entertaining plot resulting in a successful and charming film. The story modernizes classic elements of the vampire myth and adapts it to its time with intelligence and definitely tongue in cheek humor. In fact, this self-aware comedy approach is what makes the film enjoyable, and it relies more on fun and laughs than in actual scares. The plot is rather simple, and lacks some character development, but it makes an interesting (although also quite simplistic) analogy between the troubles of youth and becoming a vampire.

Trading Places.

Trading Places” is a 1983 comedy that sees Murphy teaming up with fellow Saturday Night Live star Dan Ackroyd.  It’s a fantastic tale of two men whose fates are manipulated by the unscrupulous Duke brothers, a pair of stock traders who decide to take Winthorpe (Ackroyd), a high-powered executive and Valentine (Murphy),  a down and out beggar, and swap their situations.

They do this to see if they can turn Winthorpe to crime and turn Valentine into a successful trader, regardless of how the two men are affected by it. I’m not sure anyone tolerates blacking up in the politically correct Hollywood movies today, but we still love Ackroyd’s brief stint as ‘Lionel Joseph’ a rasta from Jamaica who has a short but glorious meeting with Eddie Murphy’s ‘Nenge Mboko.’

Louis Winthorpe III: Nenge? Nenge Mboko? It is me, Lionel Joseph!

Billy Ray Valentine: Lionel? From the African Education Conference?

Louis Winthorpe III: Yah, mon, I was Director of Cultural Activities at the Haile Selassie Pavilion.

Billy Ray Valentine: I remember the pavilion – we had big fun there!

Both: Boo-boo yah, boo-boo yah, boo-boo yah, hah! Boo-boo yah, boo-boo yah, boo-boo yah, hah!

Billy Ray Valentine: Oh, memories!

Denholm Elliott’s drunk Irish priest adds to the racist fun. I love how politically incorrect it is.

Trading Places
This is probably one of the funniest films of the 1980’s. It not only is a play on the classic nature vs. nurture theme, but it is also a somewhat comedic commentary on the “greed is good” decade of the 80’s. However, it is also a nice bridging of the generations as it features two of the biggest stars to come from “Saturday Night Live” Eddie Murphy does a fine job as con man Billy Ray and Dan Ackroyd is great as Louis. Jamie Lee Curtis is also wonderful as Ophelia. In fact, it was good to see her do something other than the “scream queen” roles which she became famous for during the early part of her career. She definitely shows the comedic timing that she would display later in her career and would make her one of the finest comedic actresses in film today. However, the one that really steals it for me is Denholm Elliot as Coleman. He delivers a very low key performance that is befitting for that role. This is a real gem.

Dragnet.

When was the last time you watched this movie? Heh heh. Maybe it might be time to dust off the ol’ BetaMAX and pop that puppy in and watch it again.

This film is a scream. It takes everything that the original series did, and does it with tongue firmly planted in cheek. Dan Ackroyd’s deadpan Jack Webb impersonation is a riot, as are the remarks he makes to Hanks’ Pep Streebeck.

A typical example would be after Joe ignores Sylvia Wiss’ advances, and Pep calls him on it. Joe looks him dead in the eye and says,

"Streebeck, there are two things that separate us from the animals. One, we use cutlery. Two, we can control our sexual urges. I don't know about you, but don't drag ME into your private HELL!"

That line is so Jack Webb-DRAGNET that I’m surprised it never showed up in the 1960’s version. Like the Austin Powers films, DRAGNET spoofs a cultural icon in such a way as to evoke the original. Ignore the silly plot, and just enjoy the ride.

Dragnet
Dragnet is a satirical version of the famous documentary style police show from the Fifties and the later color version from the sixties. Dan Ackroyd’s dead-on impersonation of the no-nonsense monotone Jack Webb that a generation of Americans grew up remembering is excellent. Like Webb he plays it completely straight or maybe I should say straight man.

Young Sherlock Homes

What if Sir Arthur Conan Doyle created a story where Sherlock Holmes and Dr. John Watson met as adolescents? What if he made it a very suspenseful mystery that explained may of the great sleuth’s character traits and stylistic characteristics?

What if…well, he did not, but screenwriter Chris Columbus, director Barry Levinson, and producer Steven Spielberg do bring us a fine film that does these things called Young Sherlock Holmes. Young Sherlock Holmes is the meeting of fantasy film and classic literature, and it is a meeting that coexists very nicely.

Young Sherlock
The plot is reminiscent of Raiders of the Lost Ark in that there is a lot of action and adventure, as well as exploration of the cult, which has its meetings in a cavernous place with a replica of a pyramid, where its members wear costumes and practice mummification. There are lots of special effects during the hallucination sequences as well as in other sections.

The great detective meets his future colleague and friend Dr. Watson in a London prep school amidst the mystery of what six men did many years ago in Egypt. Several of the men begin to die in horrible, inexplicable ways, and the young Holmes suspects mischief.

The film is a veritable treasure trove of Sherlock Holmes allusions. The film is fast-paced, fun, fantastical, and creates insights into why Holmes developed emotionally the way he did.

Overboard.

This was a great film. I enjoyed it thoroughly! The casting couldn’t have been better and it was hilarious! The kids were great and made me laugh! Kurt did another excellent job.

All those who are fanatics for edge of your seat thrillers, don’t even comment on this movie, go see Soldier or something, maybe you’ll like that better. “Typical 80’s trash” says the pompous Hollywood critics. Nonsense.  Just enjoy the story, I loved it.

Overboard
Overboard is a wonderful and delightful movie. Every aspect of this story is entertaining. Goldie Hawn, Kurt Russell and Edward Herrmann turn in great performances. But what bolsters this movie is the story about how a vain, selfish and spoiled woman undergoes a major transformation and in the process emerges as truly beautiful, not only physically but spiritually as well. The movie also has its share of amusing scenes, and in many respects it is a farce, but it’s a lot more than that – it is statement about home, family, devotion and love. The movie shows the spiritual emptiness of conspicuous materialism and the shallowness associated with unfettered consumption. This is is not to say that the movie is anti-wealth because it isn’t. Rather, it is an amusing foray into the quirkiness of relationships and how people with seemingly nothing in common can form strong personal bonds.

My Stepmother is an Alien.

Here is an oldie but goodie. This movie is great! It’s got a funny script and an interesting storyline…I don’t know if people were expecting some kind of “Close Encounters of the Third Kind” challenger, but you all need to see this movie for what it is…somehow I doubt it was meant to deliver some deep message about society or help you delve into your soul…it’s a comedy that is meant to entertain!

Lighten up, people….

Alien
I rate this film as “so bad, it’s kinda good”. It reeks of everything I feel the Eighties was trying to get away from when it became the Nineties, however nostalgia will forever hallow certain themes, in a “beauty is in the eye of the beholder” sort of way. Certainly by today’s standards this movie is very corny and lacks any real depth sought by movie lovers everywhere, but it has a lot of tongue in cheek charm that other movies lack.  As a summary, I couldn’t sit down and watch this film with any of my friends, but it would be a perfect companion on a slow evening when I’ve had a few beers and need my mind taken off things.

Top Gun.

How can we ignore this movie? I remember when it first came out. I was in ELF training at the China Lake Naval Weapons Center. We, my wife and I, went to the theater in Ridgecrest on a lovely Friday night. They were playing this movie, and I with my wife sat and watched it. There were other Naval Aviators in the theater, and everyone thought that the movie was outstanding. It was a great time, and afterwards, we went to the local pizza parlor and had a stuffed-crust deep dish with a pitcher of beer.

Top Gun
Top Gun is undoubtedly one of my favorite films, and one of those that has the ability to keep enthralling you even while watching for the tenth time. Director Tony Scott was chosen after the producers saw his work in advertising, and true to their judgement he has produced a visual masterpiece. It looks simply gorgeous, and the live action sequences have never been bettered. If there is one flaw in the film it is that it is somewhat shallow, with all of the people behind the film (and Tom Cruise) rather better at making visual spectaculars than an engaging story.

Ferris Bueller’s Day Off.

This movie exemplified what the 1980’s was. It was fun, positive and upbeat. People, for the most part, were optimistic. It was a big change from the doom and gloom of the Jimmy Carter years.

Remember that time? President Carter would hold these “fireside chats” and tell Americans that we have to accept a new reality, one where we are not as good as other nations. We, as Americans need to do with less. We need to stop dreaming. We, need to pay more, and more, and yet more taxes. It is to atone to our sins of the past (whatever the fuck they were…).

Yes. I remember that time.

I well remember this movie. I remember his sister, the crazed principal, and his secretary with the big bee-hive hairdo. I remember his carefree attitude, and who can forget the fantastic parade scene? Honestly!

John Hughes was believed to be THE scribe for teen angst. 

He wrote eloquently of it in "Sixteen Candles", "The Breakfast Club" and "Pretty in Pink". And with "Ferris Bueller's Day Off", he creates a person and a time in life that just about anyone who's ever been a teenager can relate to.

Who hasn't known someone like Ferris Bueller (Broderick)? Someone who always has a plan, someone who made loafing off an art form, someone who could fall in a barrel of you-know-what and come out smelling like a rose?

-Mister-6

Ferris
High school student Ferris Bueller wants a day off from school and he’s developed an incredibly sophisticated plan to pull it off. He talks his friend Cameron into taking his father’s prized Ferrari and with his girlfriend Sloane head into Chicago for the day. While they are taking in what the city has to offer school principal Ed Rooney is convinced that Ferris is, not for the first time, playing hooky for the day and is hell bent to catch him out. Ferris has anticipated that, much to Rooney’s chagrin.

Doctor Detroit.

With the democrats constantly harping that the 1980’s were a travesty with Conservatives running things, the popular culture did not reflect that at all. People listened to music that they could relate to.

They watched movies that they found happy, light and funny. The movie “Doctor Detroit” is one such movie. That is what they could relate to. Just like today, under Obama, people watched zombie survival movies. It’s all a reflection of the times.

Doctor Detroit
“Doctor Detroit” ranks in my top five comedy films ever. It is not so much an “intelligent” comedy, as seems to the trend recently. But it is definitely good old ’80s fun. This really just a fun, silly movie which will give you a 90-minute shot of escapism. It is worth seeing if for no other reason than the costume that Aykroyd dons as he becomes “Dr. Detroit.”

Airplane.

Yuppur, if everyone was so miserable, then why did they enjoy so many absolutely silly comedies? The liberal narrative just falls apart.

This is still one of funniest spoof movies ever made, Airplane is one of the first and one of the best around. Spoof movies definitely have there place in everyone’s collection, but this is probably the best you’ll ever see in the genre, if you have never seen it and you fancy a laugh, I can’t recommend a better film.

Airplane
“Airplane!” is, was and always shall be the master of spoof movies. It is single-handedly responsible for literally inventing a sub-genre of comedy. It is the ultimate Silly Movie. A satire of the disaster movies of the 1970s, particularly the “Airport” series, nothing makes sense and it doesn’t need to. There’s no real plot. Just laughs – and plenty of ’em.

Caddyshack.

This is a classic. When the world seems to be spinning out of control, I just download this torrent and get a smile on my face. What’s not to love?

Rodney Dangerfield is just like Trump in Washington DC. It’s friggin’ awesome. (Which is something that even Rush Limbaugh noted.)

You know another way to look at Trump? How about the movie Caddyshack? Here you have these phony club members led by Ted Baxter, and he's running around. They've got this little country club and everybody in it thinks they're the best of the best in town.

Rodney Dangerfield decides to join and gets in and blows the club up. He gets this gigantic golf cart, drives it on the greens, blows a big horn, has a gigantic golf bag. The leaders of the country club are beside themselves. They try to kick the guy out, and they can't. They lose every effort against him. That's Trump: Rodney Dangerfield in the Caddyshack, and the media thinks he's Governor William Le Petomane in Blazing Saddles.

They haven't the slightest idea who the guy is.

-Rush Limbaugh

Caddyshack
Some of the greatest comedic actors of that era, namely Bill Murray, Rodney Dangerfield, Ted Knight, and Chevy Chase, are firmly on their A Game here, and are absolutely sublime in this film. And with the help of the great Harold Ramis behind the camera, they raise these characters that should’ve been easily forgotten to some of the most quoted in the history of comedy. It shouldn’t have worked, but it did. This film is not for everyone. If you aren’t tickled by these performances, then there’s very little to hold your interest. And I get that. But that’s the way comedy works. It either hits you or it doesn’t. And this film still makes me laugh out loud every single time. And I imagine that it probably always will.

Better off Dead.

John Cusack was one of my favorite actors during the 1980’s. He had many films, especially the young romantic comedies. I loved them all. One of my favorite memories is the scene with the newspaper boy that keeps on wanting to get paid.

via GIPHY

Lane Myer: Johnny...

Johnny: Four weeks, twenty papers, that's two dollars. Plus tip.

Lane Myer: Gee Johnny, I don't have a dime.

Johnny: Didn't ask for a dime. Two dollars.

Lane Myer: Well... it's funny see... my mom, had to leave early to take my brother to school and my dad to work cuz...

Johnny: ...two dollars... cash.

Lane Myer: See... the problem here is that... my little brother, this morning, got his arm caught in the microwave, and uh... my grandmother dropped acid and she freaked out, and hijacked a school bus full of... penguins, so it's kind of a family crisis... so come back later? Great.

A look of the movies that he participated in and the years make a great statement that it would seem that his movies were a firm fixture and part of the Reagan years.

1984 Sixteen Candles Bryce
1984 Grandview, U.S.A. Johnny Maine
1985 The Sure Thing Walter Gibson
1985 Better Off Dead Lane Meyer
1985 The Journey of Natty Gann Harry
1986 Stand by Me Denny Lachance
1986 One Crazy Summer Hoops McCann
1987 Hot Pursuit Dan Bartlett
1987 Broadcast News Angry Messenger
1988 Tapeheads Ivan Alexeev
1988 Eight Men Out Buck Weaver
1989 Say Anything… Lloyd Dobler
1989 Fat Man and Little Boy Michael Merriman

Back to the Future.

Who hasn’t watched this? I have versions of this movie on BetaMAX, VHS, LaserDisc, and DVD. I remember the first time I saw this move, like Top Gun, on a Friday night in Ridgecrest after ELF training at China Lake NWC. Sit down and watch it again. It’s great!

Back to the future
Back to the Future is a 1985 American science fiction film directed by Robert Zemeckis and written by Zemeckis and Bob Gale. It stars Michael J. Fox as teenager Marty McFly, who accidentally travels back in time to 1955, where he meets his future parents and becomes his mother’s romantic interest. Christopher Lloyd portrays the eccentric scientist Dr. Emmett “Doc” Brown, inventor of the time-traveling DeLorean, who helps Marty repair history and return to 1985.

The Princess Bride.

Of course, this is such a classic that I am afraid to list it here. This is one of those rare films that gets better each time you watch it. With something for everyone, The Princess Bride combines romance, action, adventure and parody to create the perfect movie.

Princess Bride
I’m not exactly sure what exactly it is that makes The Princess Bride such a spectacular film. Or, at the very least, I just can’t pinpoint a single characteristic about it that really rises above all others as the main reason that no one should miss it. Indeed, it has a title that should prove to be remarkably uninteresting to the typical action adventure fan (okay, MALE action adventure fan), but even the most die-hard Die Hard fan would love this film. It is extremely important not to judge this film just because it has such a flowery and dangerously (at the risk of sounding shallow) girly name, because it is full of wonderful adventure and even some good killing and violence. Does this belong in this kind of romantic comedy? Absolutely! The cover box of the film looks like that of a cheesy romance novel, but the film is truly great from start to finish. And, indeed, the film is very aware of the superficial impression that it leaves, and it even presents it directly through Fred Savage’s initial response to his grandfather reading him the story. But as the film goes on, we begin to have the same reaction that Fred has. We can’t get enough.

The plot is rich, with adult innuendos the kids will miss all together and levels of wit to entertain the whole family. The dialogue is full of very funny catch phrases- many of which are still part of my repertoire more than a decade after I first saw the movie. If you like dry humor and fast wit, The Princess Bride will make your day.

Revenge of the Nerds. 

Revenge of the Nerds (1984) was a surprise hit. The film follows the adventures of two “nerds” as they go to college and try to join a fraternity. Oh the trials and tribulations they go through. Robert Carradine and Anthony Edwards are the two “nerds”who do everything they can to try and fit in with the rest of the college crowd.

Nerds!
What made Revenge work is that it didn’t try to pretend to be something that it wasn’t. This was an exploitative sex-fest and it worked well. What it also had was a funny script and one that we could all relate to. I mean, who hasn’t felt left out or relegated to the side lines while someone else gets all the glory? Besides being extremely funny, this film touched on something that we all can relate to.

This one is the first and best of the series. They should have left well enough alone. But since this was made during the 80’s, a mandatory sequel was made. I recommend this movie. It’s entertaining and worth watching.

Fletch.

Yet another forgotten gem. What really makes the movie is both Fletch’s imagination, his flair for developing different characters, and especially his speed talking. examples include “I love your body Larry (Geena Davis)” while on the phone in front of a hotel clerk, “Nugent, Ted Nugent” when asked by Alan what his name was, “What kind of a name is Poon anyways?” asks Mr. Boyd, to which Fletch’s reply is, “Comanche Indian”.

This movie totally rocks and you will love seeing it AGAIN and AGAIN!!! It is so much better than jay and silent bob strike back, you’ll be laughing for hours! enjoy!

Fletch
Class! just pure class, nothing long winded just short and sweet, made at a time when chase was top of his class with others like Steve Martin, as for the film its a good story with many many jokes most of which you will have to see the film again to catch as some as they are very fast such as name changes he comes up with, it still stands up well today even if some jokes are lost on people to young to remember certain peoples names, its a shame people like chase don’t get the films that made them so big in the 80s but times and tastes change.

The Goonies.

Here is just another fun movie. It’s now a cult movie, and over the years the house in the movie has had countless visitors to it wanting to relive the movie in their own way. I had this movie on both BetaMAX and LaserDisc, and when the production of the movies in this format dried up, I was left with these classics to watch on rainy days.

The Goonies
The Goonies was written by Chris Columbus from a story by executive producer Steven Spielberg. A band of kids who live in the “Goon Docks” neighborhood of Astoria, Oregon, attempt to save their homes from demolition, and, in doing so, discover an old Spanish map that leads them on an adventure to unearth the long-lost fortune of One-Eyed Willy, a legendary 17th-century pirate. During the entire adventure, they are chased by a family of criminals, who also want the treasure for themselves.

Bill & Ted’s Excellent Adventure.

This is classic. He and Alex Winter (an indie film dynamo) play Bill and Ted, a couple of 80’s California dudes who want to start their own rock band (Wyld Stallyons). However, both are flunking in high school and will be expelled unless they get an A+ in history.

To make matters worse, Ted will be sent to the military by his father, therefore squashing their dreams of rock stardom.

Enter Rufus (George Carlin), a man from the future who plays Clarence to the boys George (shades of It’s A Wonderful Life) who gives them a telephone booth. With it, they decide to use it to collect great historical figures and bring them to San Dimas, California to show them how mankind has evolved.

Bill and Ted
Bill and Ted are truly likeable boneheads and both Reeves and Winter deliver fine performances. Carlin is funny in his appearances, showing that he doesn’t need trash-talk to be entertaining. The dialogue is truly amusing, probably because no one talks like that any more. The only weakness is that it’s an 80’s film, so if you didn’t grow up in that era, you might not understand why there’s music playing throughout the film, or why everyone looks and dresses so weird (yes, that’s how everyone looked in the 1980’s).

Who’s Harry Crumb?

This is a forgotten jewel. John Candy’s best film, by far. Granted, he’s been in such classics like Uncle Buck, Planes, Trains and Automobiles, and Little Shop of Horrors, but this is a film where he had to completely stand alone as THE MAN. Campy, fun and entertaining, this film is a great find for anyone who loves old-school comedies.

Harry
Harry’s asinine comments make him look like a real ass but he isn’t. Once you get that, it’s easy to get into the humor. That’s John Candy’s genius; his ability to humanize a variety of unlikely or impossible characters and make us love them even if we don’t believe them. this is party because of the expressiveness of his face but also because of his indefinable comic spark.

Volunteers.

Before they started winning Oscars, struggling actors such as Tom Hanks and Robin Williams used to be… SURPRISE!! funny! volunteers is a great example of a movie that refuses to take its leading actors seriously and is all the better for it. this is not a “star vehicle” but is rather an irreverent tongue-in-cheek romp. it takes its cues from Saturday night live, SCTV, Monty Python, and cheesy off-broadway one-act plays.

In return, it is spoofed by one particular Hollywood blockbuster to follow, Austin Powers Goldmember. Mike Myers must have been as impressed with the “reading the subtitles” joke, as well as the “Asian guy on the toilet/being chased by sumo wrestler” schtick. but rather than beat the jokes to death, volunteers assumes we are smart enough to catch them on the fly.

Volunteers
“Volunteers” successfully spoofs more classic films than any other – from Casablanca, through Bridge over the River Kwai, to Lawrence of Arabia, they’re all there if you’re watching carefully enough. Volunteers is a very funny, well written, well acted film. It is so packed with humor it reminds me of the old Mad Magazines with the jokes written in the margins. Some of the humor is rather subtle and the dialogue rolls right over it. If you’re not paying attention, you might miss it.

Back to School.

Old Rodney Dangerfield never got the level of respect that he deserved. (Snicker…)

A funny post-Animal House college film with a pretty distinguished cast. In addition to Dangerfield, who is terrific as usual, we also get to see Sally Kellerman, Burt Young, Ned Beatty, and an introduction to the brilliance of Robert Downey Jr. who is unforgettable as Derek Lutz.

Although the movie is silly, lacking any convincing realism or plot, it is nonetheless wonderful fun…especially if you are a Dangerfield fan. His rendition of “Twist and Shout” is a classic. And the scene at registration where his chauffeur Lou, played by the redoubtable Young, is priceless when he holds up a sign by the limo with Bruce Springstein’s name on it and all the students rush out to mob the car while Dangerfield, his son, his son’s love interest, and Lutz have the pick of classes.

Back to school
I love Rodney Dangerfield. Always have. His one-liners, and all-around smartass attitude have made him a living legend and he never fails to crack me up. Back to School is a great example of what he can do and I never get tired of watching it. Keith Gordon is great as his son and Robert Downey Jr. is pretty hysterical as his best bud. The ever-reliable Burt Young is a lot of fun as Dangerfield’s limo-driver/best friend and he does his duty at supplying the laughs.

Fast Times at Ridgemont High.

This was made during the post-disco, pre-greed era of the 80s that was far too short. I’m surprised to find out this movie is still popular considering it is far from being politically correct. And, boys and girls, that is a very good thing.

I’ll admit, I wouldn’t want my kids to some of the things these high school kids did, but it is a nice reminder how much fun the era was.

Phoebe
It’s a film about a group of kids looking for love, status, or a good buzz. The film was nothing more than that, and it didn’t pretend to be more. In addition, Cameron Crowe’s script made you *care* about what these kids went through as if their individual situations were happening to us (as they may very well have). It’s almost a crime that a sequel was never made, although a mediocre TV series was spawned. Armed with a cast of characters that struck a chord with all of us (primarily because we knew someone in High School who acted just like them), and a soundtrack that reeked of the Mark Goodman-J.J. Jackson era of MTV, this film stands as a perfect portrait of a decade a lot of us say we’d like to forget….shortly before brushing the dust off an old Night Ranger cassette and indulging in a guilty pleasure.

Adventures in Babysitting.

Elizabeth Shue plays Chris Parker who is babysitting one night but due to certain circumstances ends up taking the kids out on the town in order to pick up one of her friends. What follows is all sorts of adventures involving thugs, crooks, thieves, the lot.

The film is totally funny to watch and has some really good scenes in. Two that come to mind involve a fight between two gangs on a train and one involving the god of Thunder Thor (well, not the Thor you would be expecting).

Adventures...
“Adventures in Babysitting” is a movie that I’ll never forget. One of the reasons why this film is so memorable is because of the Chicago locations. For the past 25 years or so there have been lots of good movies shot in and around Chicago (as well as the suburbs) such as “Risky Business”, “Ferris Bueller’s Day Off”, and “The Fugitive” just to name a few. But “Adventures in Babysitting” is probably my favorite movie to be made in Chicago. I saw this movie when it first came out in the summer of 1987 (a year after “Ferris Bueller” which “Adventures” bares somewhat of a resemblance to) and while I was watching the film it felt to me like I was part of the adventure. Today, this is still a very entertaining picture, and a lot of fun.

This is an exciting movie which was typical of 1980’s movies.

Boy, I wish they could make movies like that now. The 80’s has got to be the best decade ever for films of all genres and this movie is just one of many great comedy films of that era. Oh and by the way, I think Elizabeth Shue is beautiful and I believe this was her best role.

Pee Wee’s Big Adventure.

It’s terrible what the liberals did to Pee Wee. I guess you could say that he was “Borked” long before the democrats assaulted picks for the Supreme Court. Because they and the liberal media got away with it without consequence, it paved the way for all subsequent terror and mayhem that they implemented. Sigh.

Surprisingly, this is Tim Burton’s first movie and he did a great job. His unique directing, visual design, and dark comedy just work superbly as a whole. I can never remember being so affected by clowns in hospital smocks.

Let’s not forget Pee Wee as well. He was definitely a character who I enjoyed watching because he was so carefree, happy, and it was hard not to like him. There are so many great scenes in this one like breakfast time, the Alamo, Pee Wee’s nightmares, any scene with Francis “YOU’LL BE SORRY PEE WEE HERMAN!” Buxton, Mickey the con, the Warner Bros lot and so many more.

The characters are great too and from their performances, you can tell they had fun doing this film cause it shows. With a the limited budget, Pee Wee’s wacky nature, and Tim Burton’s imagination, it all adds up to a really entertaining film. Oops, can’t forget Danny Elfman’s great soundtrack which sets the tone rather nicely.

Kitchen
Some people may think that this movie is silly, pointless, and has ridiculous scenes. But I, however, find it to be entertaining, very funny, and a good family movie made back in the 80’s. It has a lot of jokes that are mostly the ones that are funny because they are weird and never seen anywhere else, but it does also have some one-liners that make even the most stern of audiences laugh. What I liked about this movie was that it had a lot of people involved in it. There were also a lot of locations that were shown in this film, even if the places were not the initial location that they intentioned of in the movie.

One Crazy Summer.

I love the atmosphere, the story line, and the black and white portrayals of Rich Country-Club Republicans.

Do you remember the monster suit where the zipper broke? The poor guy was trying to get the darn head off, and the rich millionaire threw his cigar in the mouth. The monster began streaking and demolishing the model community while smoke came out of his mouth! LOL.

One Crazy Summer
Watermarked photo for One Crazy Summer. I love the Nancy and Ronnie Lunch pail and the Generic Elementary School.

Spies Like Us. 

Chevy Chase and Dan Aykroyd were awesome in this film. It reflected the changing politics of the time, and how two nations can get together after a long, long cold war. What a great 1980’s movie.

Spies like us
Spies Like Us is a 1985 American comedy film directed by John Landis and starring Chevy Chase, Dan Aykroyd, Steve Forrest, and Donna Dixon. The film is an homage to the famous Road to … film series which starred Bob Hope and Bing Crosby. Hope himself makes a cameo in one scene.

Night of the Comet

Cheesy, but enjoyable satire with a big chunk of ’80’s vibe for flavor! Comet eliminates the worlds population, leaving surviving sisters to fend against a group of demented scientists.

Comet
Trapped in a hellish copyright limbo for over a decade, Thom Eberhardt’s “Night Of The Comet” is a film whose reputation is due for a serious rehabilitation. Generally–and wrongly–categorized with typical 80s teen horror films, “Comet” is in fact a smart, skillful parody of the low-budget sci-fi horror classics of the 50s, 60s and 70s–and a wry commentary on teen culture in the 1980s as well.

While many peg Night of the Comet as a zombie picture, and granted two or three zombies do show up along the way, this film really has much more in common with the old B sci-fi movies of the 1950s. In fact, one could make a good case that the film is meant to be a comical parody of those old schlock films, after all it does have a nice comic book feel! From any view, this is an entertaining film even with its silly moments. It manages to stir up a few chills along with the laughs.

Hot Dog. 

For a while, I worked as a movie theater manager in Corpus Christi Texas. We would get movies and then run them for one or two weeks. This movie “Hot Dog” ran for four weeks and was, in my mind, very typically 1980’s.

“Hot Dog” is a light-hearted comedy and served to inspire me to trek out to California later on that year. While there is no proof, I suspect that the movie “Hot Tub, Time Machine” is somehow a modern day incarnation of this movie.

The Harkin Banks character reminded me of my best friend in university, ol’ Peter. Heck, he’d probably think of me as the hard-drinking clown Dan O’ Callahan. LOL.

Hot Dog
Harkin Banks is a young Idaho farm kid who is also an ace at skiing. He is headed to the freestyle skiing championships in Squaw Valley, CA along with runaway Sunny. He meets his adversary in the form of Rudy, a self centered European skier who doesn’t take a liking to Harkin or his new found friends, The Rat Pack, led by veteran Dan O’ Callahan. Harkin has many misadventures with Dan and his buddies, but doesn’t lose focus in his attempt to get the World Cup.

An Officer and a Gentleman. 

This movie really seemed to seriously influence everyone during this time. It was almost as if the ideas of the knight in shining armor that would come and rescue the damsel in distress would actually come  true.

This was a central theme of many of the movie of the 1980’s; that the childhood fantasies of our childhood could really come true, we just need to see them in a new light.

Officer and A Gentleman.
In the Movie an Officer and and a gentleman, the AOC sticks through the training, and then at the end of the movie through all the hardship shows pure love and faithfulness to his love. He arrives at her factory and literally sweeps her off her feet and carries her away.

Flashdance. 

It wasn’t only the movie “An Officer and a Gentleman” that had the knight in shining armor rescue the girl. There were other movies of a similar theme. In Flashdance, a hardworking steel worker gets a big shot at her dream. Oh, don’t ever, ever let anyone steal your dream. It’s a story about hopes, dreams, and obtaining them.

When Flashdance first came out, all the New York, Chicago and LA critics despised the film. They thought it was a flop. That’s how far out of touch the liberal elites were back in the 1980’s. Yet, when the movie was released, it became an instant success.

Everyone in the heartland could relate to it.

Flashdance.
In Flashdance you see the only moment that matters. It all builds up. Everyone else is better than you. They are classically trained, and all you are is a steel worker; a welder. The chances of escaping from the mills of Pittsburgh is slim. You could end up like your sister. You have to give it everything that you have.

Risky Business. 

This is a great movie about being an entrepreneur. Of course, things don’t always go as you have planned.  The underwater 928 is a great case in point. But, what a great movie. Of course, it stars  Tom Cruise and Rebecca De Mornay which made the film even more magical.

I really love the job interview scene at the tail end of the movie. Heh heh.

Risky Business
A suburban Chicago teenager’s parents leave on vacation, and he cuts loose. An unauthorized trip in his father’s Porsche means a sudden need for lots of money, which he raises in a creative way.

The Witches of Eastwick. 

This is a great movie, but somehow it’s been kind of forgotten. I love the movie plot, three women, who have “powers”, conjure up a demon. Suddenly all sorts of interesting things happen. Yikes!

Witches
John Updike probably panicked when he saw what screenwriter Michael Christoper and director George Miller did to his book…..but this movie is all the BETTER for what they did! Careening wildly between scenes of light fantasy, full-bore horror and extreme gross-outs, this film is wildly imaginative and terrifically entertaining. With Jack Nicholson as the devil himself, things just don’t get any better than that! Actually, the whole cast is very well-chosen and all give great performances. Who can forget the cherry scene…?

Dirty Dancing. 

This movie inspired me to take up ballroom dancing. Which, I might add, became a serious love of mine and a significant hobby. It’s a great movie about summer, dancing, and many other things. Of course, the show point is the dancing. This is such a wonderful movie.

Oh, by the way, as great as the acting was with actress Jennifer Grey was, when she had plastic surgery on her nose, she was unable to get any other roles in Hollywood, she became unrecognizable.

Dirty Dancing

This is literally the original “feel good” dance film — which spawned dozens if not hundreds of imitations. The script is perfect. The acting is perfect. The casting is perfect. The direction is perfect. And the music score is to die for. Swayze’s career was unfortunately cut short. Jennifer Grey, who practically made this role a part of her DNA, had a nose job and (the cynics say) lost a lot of later roles because the casting directors were afraid no one would recognize her.

Explorers.

This is a charming little movie that has faded into obscurity. It’s all about a group of boys who start getting messages in their sleep. They listen to their dreams and build a space ship. It’s a fun and wild tale. I well remember this movie as yet again, I watched it the Ridgecrest movie theater after training at the ELF substation at China Lake.

Explorers
A boy obsessed with 50s sci-fi movies about aliens has a recurring dream about a blueprint of some kind, which he draws for his inventor friend. With the help of a third kid, they follow it and build themselves a spaceship. I loved the detail and imagination within this movie – like the one dream that the three boys all share, the sphere they create from their computer, the guard dog they give bubble gum to, the amusement ride seat they turn into their spaceship, with windows made from the fronts of washing-machines and TVs. And our three heroes make a great team. They are all very different in character, yet share that same dream of escape and adventure. Ethan Hawke is the main hero – the one that most viewers will relate to – the average 80’s kid hooked on television, daydreaming during school lessons and a huge crush on the girl next door.

Sixteen Candles.

This is a pure classic, and it is so well known that I would be surprised if anyone hasn’t heard of this movie.

I once taught English to a 16 year old Chinese gal who had a terrible crush on this other boy in her school. She would talk about it (in English with me) and expressed her frustration that no one understands. So I downloaded this movie on a USB and gave it to her. I can’t say that it changed her for the better or the worst, but I will tell you that she really appreciated it.

16 candles
These are the immortal words spoken by SIXTEEN CANDLES heroine Samantha Baker (Molly Ringwald) in the ultimate 80’s teen comedy. This movie has become a classic to those who grew up in the 60’s and 70’s, like myself, and I now consider it a “guilty pleasure”. Its a movie we all grew up with. Didn’t we all know a person like ‘Farmer Ted’, or a hot queenie like the blonde he hilariously gets. It was every young freshman’s fantasy. This funny flick is also a relic of the 80’s that is not all that dated.

Coming to America.

What an absolutely laugh out loud comedy. Again, this is yet another of the dreams come true fairy tales. This is a modern day story of the prince comes and rescues Cinderella from a life of drudgery. Only this time Cinderella lives in Queens, and the Prince is from Africa.

I watched this movie with my girlfriend from Zambia. She couldn’t control herself. She was rolling in the chair laughing, as many of the scenes in Africa were really, really exaggerated versions of Zambia.

Coming to America 1
An extremely pampered African Prince travels to Queens, New York, and goes undercover to find a wife who he can respect for her intelligence and will. It is the 21st birthday of Prince Akeem of Zamunda and he is to marry a woman he never saw before. Now the prince breaks with tradition and travels to America to look for the love of his life.

The movie is filled with gags and charming and funny situations. It’s a poke in the eye of barbershops, Queens, Black culture, relationships, McDonalds and just about everything else. However, as funny and charming as it is, the end where Cinderella gets married with the prince is most especially pleasing.

Coming to America 2
The first part of this movie, showing Eddie Murphy’s life as an African prince, is one of the funniest things I have ever seen. I loved it. The wedding ceremony alone was so funny, I almost fell out of my chair from laughing so hard. I thought this could be one of the funniest movies of all time. At about the halfway point, though, it stops being a laugh-a-minute riot, and kind of settles down into more of a quiet romance movie. The scene at the basketball game (with the man who worships Murphy) is the last really hilarious thing that happens. After that, it never again reaches the energy of the beginning. This is not really a bad thing, though: it is still enjoyable from start to finish, even during the quiet parts. It has good actors, and it is fun to watch, and that makes it a great movie.

Ghostbusters.

Here we talk about the very first movie. Not the sequel, and most certainly not the progressive politically correct all-woman remake. Yuck!

Like numerous other movies, I first watched this movie in Ridgecrest when I was in ELF training at China Lake. What a fun movie. I remember standing in line to buy the tickets and a son in front of us asks his father if this movie will be too scary for him. The father smiled and said that he didn’t think so. LOL.

Ghostbusters
What’s that you say? Ghostbusters, one of the most financially successful and over-hyped comedies of the eighties, underrated? Yes. Precisely because it was so over-hyped and made so much money, there has been a stigma attached to this film identifying it as a childish FX piece, when it is nothing of the sort. Most of the lines people remember(“He slimed me,” “OK. So? She’s a dog,” “When someone asks you if you’re a god, you say YES!”) are not its funniest or wittiest lines, which often are missed on first or even second viewing. I laugh every time I observe a gag or a quip that I somehow missed the other 20 times I viewed a scene; “Egon, this reminds me of the time you tried to drill a hole through your head, remember that?” “That would have worked if you hadn’t stopped me”, or, to the driver of a van from a loony bin, “Dropping off or picking up?” Brilliant.

Vacation.

I am not sure, but I think that all the “National Lampoon” Vacation movies were made in the 1980’s. What a great decade!  Who can forget this movie. I most especially love the new station wagon. LOL!

Vacation
The Griswolds are a gene pool of absolute disaster and nothing…NOTHING goes right…ever. The fun begins with Clark being forced to accept a disgusting metallic pea-green family truckster instead of a cool-blue sports model with CB and optional fun pack. It’s literally the most hideous car in the history of automobiles (and that includes the car that Homer Simpson made for his brother Herb).

Honey, I Shrunk the Kids. 

This is the charming comedy when a “mad scientist” accidentally shrinks the kids and they get stuck in the backyard. Not bad, eh? Well, it is if you are the size of an ant. Oh, remember that this was made in the 1980’s.

Today, in the hyper-protective, politically-correct America, there is a parental advisory for this movie (if you can believe it.) Why the friggin’ heck why?

WARNING: Husbands and wives kiss in several scenes! Two teens kiss passionately. The mother makes a reference to a boy being in the dark with her daughter. A boy says he learned to give mouth to mouth resuscitation in "french class". A teen girl talks about a boy she would like to date. A boy asks a girl for a date. A man tells another man that his wife has "plumbing trouble".

-Parents Advisory IMDb

Honey I shrunk the kids
The scientist father of a teenage girl and boy accidentally shrinks his and two other neighborhood teens to the size of insects. Now the teens must fight diminutive dangers as the father searches for them.

Labyrinth

By today’s standards “Labyrinth” may look dated and cheap but there’s something about it that makes it special. David Bowie makes it a stand alone movie in the weird ranking. The man is crazy but his performance is excellent!

Jennifer Connely (being 16 at the time) delivers a solid and tender performance. She was very, very cute and her performance totally gained the audience’s love.

laybrinth
This is a classic fantasy film from Jim Henson, in collaboration with George Lucas. There really is a magic about the film and that has helped it last as a firm family favorite all these years. As with many films aimed at younger audiences there are messages that the writers, in this case Henson himself, have tried to convey, such as `be careful what you wish for (as in the heat of the moment we are not always sure what is best for us) and also about not taking things for granted. These lessons not only relate to the major target audience of young children but also teenagers and adults alike. The film also has values and attitudes about growing up, the central character Sarah is in the awkward stage between child and womanhood, the stage of adolescence. She acts like a child in her self importance and possessiveness but she is perhaps starting to grow out of her young imagination. She does not want to, her room full of inanimate companions, dreams and fantasies, she feels are slipping away.

The tale is fantastic and keeps you interested from the beginning to the end. The musical moments are also great! The f/x were great for it’s time and I wonder how they came out with the idea for creating such original characters. The thing that I liked the most about the movie is it’s art direction. The world that is created is taken directly from everyone’s fantasy world idea. Colorful and dark at the same time.

Splash. 

This is a seemingly forgotten movie with the great John Candy and a mermaid. It is charming and funny at the same time. It is a shame that it has fallen into obscurity.

This movies, as well as many of the movies of the 1980’s were made long before the social re-engineering of the Bill Clinton presidency. People could smoke in offices, and have beer at lunch.  You could talk about porn openly, and no one would bat an eyelash. Ah, the days when Americans had freedom.

Splash
Over-achieving little film that was directed by Ron Howard and stars Tom Hanks as a young man who finds the woman of his dreams (Daryl Hannah). The only problem: she is really a mermaid. Splash is a 1984 American fantasy romantic comedy film directed by Ron Howard, written by Lowell Ganz and Babaloo Mandel, and starring Tom Hanks, Daryl Hannah, John Candy, and Eugene Levy. The film involves a young man who falls in love with a mysterious woman who is secretly a mermaid. The film was nominated for an Academy Award for Best Original Screenplay.

Riders of the Storm (The American Way).

This is a great little movie that kind of got lost over the years. It is a gleefully anarchic one fingered salute by the liberals in Hollywood to Eighties America, especially Ronald Reagan politics.

But, don’t let that scare you. It’s really obvious who the enemies are; the rich and wealthy elite that controls government and media… who are they? Yeah. They are progressive liberals. Not conservatives.

“The American Way” sees Hopper playing the leader of a bunch of technological pirates who continually broadcast anti-establishment anti-Reagan material over mainstream television channels.

The American Way
Riders of the Storm is a little known film starring Dennis Hopper, featuring him as the captain of an ex-USAF electronic warfare aircraft, now dedicated to filling the airwaves with pirate TV broadcasts. Politics, TV evangelism, the USAF, Transvestisism, public access TV: none can escape the cutting observations of this must see film.

Dennis Hopper and Michael J. Pollard (Doc Tesla) lead a crew of misfit Vietnam veterans highly trained in psychological warfare and armed with a B29 equipped as a flying pirate TV station creating havoc for the broadcast networks and authorities as they fly the country on a crusade to bring radical philosophies and classic audiovisuals to a conservative and media brainwashed viewing public.

On the verge of retirement they are compelled to mount one last campaign to destroy a demographically engineered presidential candidate (who looks to me a lot like a Conservative version of Hillary Clinton) who would see the country brought to war again.

Extensive explorations into concepts of non-violent defiance of authority and pursuit of justice, questioning conventional morality, exposition of criminal activities in the guise of righteousness by righteous people being classed as criminals by a corrupt establishment, existentialist themes, technology and rock music versus violence and force, nature of religious beliefs, political corruption and post Vietnam issues.

Some excellent 60’s and 70’s music in the soundtrack. Not to be missed.

Funny, heartfelt and with a serious bone to pick with the world in general, “The American Way” is something of a lost gem. Hunt it down if you’re in the mood for something different that really taps into what makes Hopper great.

Amazon Women on the Moon

This is a kind of odd-ball movie. It is simply a collection of comedy sketches. “Amazon Women on the Moon” is one of my all-time favorite movies, not because it is perfect, but because it effectively yet respectfully lampoons so many genres, including 50s movies, late-night television of all sorts, and even different styles of literature available throughout a good portion of the 20th century. Find a trend in literature of the 40s and 50s, movies of the 50s and 60s, or television of the 50s through the 70s, and it is somehow made fun of in this movie.

Amazon Women 1
Boy, do i love this movie! I’m not saying it didn’t have any flaws. Id say about 80% of it was very funny. The movie itself is built around a 50’s b-movie “Amazon women on the moon” which has some serious troubles while showing and the jokes are then presented as commercials etc. One of the most memorable moments IMO in this film include: “The blacks without the soul”, “Petmate of the month” and several others.

With a few exceptions, the film is about your typical late night television and the crazy ads that used to permeate the airwaves before all the infomercials started taking over in the 90’s. In this film, they make fun of those crazy ads and programming by doing outrageous spoof ads interspersed with an old 1950’s B movie with a lot of projection problems.

Amazon 2
Like Monty Python stuff, this uneven collection of comedy tidbits with several different directors and a huge cast will receive mixed reactions: some will love almost all of it; some will like some parts and loath others; and there will be those who find the whole thing to be sophomoric, humorless idiocy. For me, the best parts were the title skit, an almost too real parody of a ‘fifties space movie, the concluding social disease sketch (you have to have experienced the ‘thirties and ‘forties to get it, though), and some of the commercials, especially the ones by Don (The Man With No Soul) Simmons.

Any couch potato with a good sense of humor and a memory of the 70’s & 80’s television programming should enjoy this one!

Desperately Seeking Susan

In this very clever story, Roberta (Arquette) is a bored housewife with a rich fantasy life who envies a woman named Susan (Madonna). Susan’s boyfriend is always leaving her notes in the personals column about where to meet.

Before long, Roberta is showing up at the meeting places and actually starts stalking Susan. When Susan pays for a pair of boots that she wants with her unique leather jacket, Roberta buys it and starts wearing it.

All this could have been harmless fun except for three things: 1) Susan is a con artist, and she’s stolen Egyptian artifacts she mistook for earrings from a guy in Atlantic City, who ended up dead at the bottom of his hotel; 2) Susan was seen (from the back) wearing her jacket in the hallway of the hotel by a mobster who wants the artifacts; and 3) Roberta is in an accident, and when she wakes up, she has amnesia…

Desparate
“Desperately Seeking Susan” is the best kind of amusing: pleasant but not so much so that we become immersed in the fact that things aren’t as zany as they could be. The film is smartly amusing, after all, with the comic scenario bettering as it grows increasingly convoluted. Thank God for this little gem of a movie, in all its silliness. It takes me back to a time when everything was still possible, and I thought I knew everything although I really knew nothing. Well, now that I know, not everything but a great deal, I still find this movie really likable. It’s strange that it’s so old, and it still feels quite fresh and exciting. Maybe it’s the New York atmosphere, or the sense of excitement that Madonna brings to every one of her scenes. I think she’s good in this film because she doesn’t yet take herself too seriously and isn’t trying too hard.

This is such a fun movie, with much of the comedy being in the situation rather than in the dialogue. Not only that, it’s totally ’80s, right down to Madonna’s leggings, and brings back a lot of great memories.

Near Dark

I loved this movie when it first came out. It was able to portray a more “realistic” scenario for vampire outlaws. LOL. A young cowboy is literally bitten by a beautiful stranger and ends up joining a band of blood-suckers who roam the American heartland.

Although Near Dark is often over-shadowed by the ultra-hip vampire movie The Lost Boys (1987), Near Dark is a far more juicier treat for horror fans. Eric Red, who also wrote The Hitcher (1986), gives us a seemingly old-fashioned tale of struggle between human nature and savage lust with a nicely spun sense of modernism. Not to mention plenty of touches of dark humor.

Near Dark
This is one of the best vampire flicks I’ve ever seen. These aren’t your standard sharp fang having, flying, cool contact wearing, red cape sporting vampires. Rather these vampires look like nomads, leftovers from a roaming biker gang. They drive around in a trailer that has aluminum foil covering the windows to block out the sunlight. They drink blood. They spit out the bullets you shoot them with. They’re a tad different than your classic vampire but different enough to keep them interesting.

It’s a story that does well with avoiding the obvious clichés of the vampire genre. Director Kathryn Bigelow gives this film terrific style, not only adding scenic beauty but sharply creating plenty of intense action sequences. The entire sequence in the bar has became a favorite among many. Adding even more to the film is the beautiful music score by Tangerine Dream.

The Freshman.

OK, for you purists out there, this movie was released in 1990, but was in production in 1988. So I am including it herein. How many actors could have parodied their most classic roles without falling into caricature? Think about it: while it takes a certain talent to make a performance that elevates a character to a legendary status, overplaying enough to make it comical but not over-the-top is the ultimate proof of acting genius.

Only Marlon Brando could have got away with playing his most iconic character, the Godfather, and make it so damn believable. And it’s this very seriousness in his performance that makes “The Freshman” so delightful and naturally, hilarious.

The Freshman
Clark had no other choice than to say yes, after all, isn’t Vito Corleone, the man who makes offers we can’t refuse? The film’s funniest moments are driven by Sabantini’s aura and Clark’s incapability to control the situation or to say ‘no’. The script finds the perfect tone to show a guy screwed but in a way that inspires our sympathy without feeling antipathy toward Sabatini. And another triumph on the writing department is the way everything seems believable despite all the zany material it employs. Whether it’s a picture of Mussolini in an Italian Social club, an espresso that takes three spoons of sugar, the Mona Lisa painting in Carmine’s house, and a weird traffic involving a Komodo dragon, I wonder why I wanted to believe that the first time I saw it. Maybe I was just a 10-year old kid who just laughed at the gags without looking too much deeper into it. The irony is that after watching ‘The Godfather’ so many times, I believed in Sabatini even more.

Although not revolutionary, what makes “The Freshman” such a classic on its own is that it accomplishes a real miracle by resuscitating Vito Corleone, his name is Carmine Sabatini but the movie can’t fool us: the guy IS Vito Corleone.

As explained in the film, Sabatini’s the one who inspired Vito’s character, in other words, “The Freshman” is so confident over its comical premise, and rightfully so, that it doesn’t even hesitate to insert several references to “The Godfather”.

Yeah, and these are not just gratuitous ‘Godfather’ references thrown away for the sake of it, it’s important to know that it’s not a parallel world where the movie isn’t supposed to exist. On the contrary, not only it does, but whoever sees Carmine Sabatini has the most natural reaction by immediately thinking of Vito Corleone.

The movie, in a way, asks the question, how any of us would react in front of a movie character. How would I if I met my favorite character? I guess, probably like Clark Kellog, Matthew Broderick as a film college student, the titular “Freshman”.

Die Hard. 

This movie has a special place in my heart. But, aside from that, this is just a great flick. Period. It is all about the everyday, plain old man, who has (out of necessity) be the hero and do the uncomfortable and the job that no one else wants to do. Hey guy! How’s your friggin’ Christmas this year? Eh?

Die Hard
One could claim that 1988’s “Die Hard” is one of the most influential action movies ever made because it basically revolutionized one of the most copied (but never matched, at least in terms of quality) formulas: a loner, by some unique twist of fate, battles it out with an “x” number of terrorists in an enclosed environment. Willis was a wild card – an unlikely choice for the role of our hero “John McClane” – since he didn’t have any action credits on his resume’ and let’s face it: Bruce Willis just didn’t have the bulging biceps required for a role like this. But that’s the beauty of his performance in this movie: he’s an everyday guy, caught in a not-so-everyday situation. Certainly one of the best known action movies ever, “Die Hard” did receive the scorn of critics upon its 1988 summer release, but the audiences sung a completely different tune.

Rescues that Occurred and their Movies

There were numerous heart-warming events that happened during the 1980’s. Funny how the mainstream media has somehow forgotten these important events. Let’s discuss three of them. The first is the true story of how three trapped whales were freed by joint efforts of the United States, Russia and the local inhabitants of the icy North.

The rescue of the whales. 

Operation Breakthrough was an international effort to free three gray whales in 1988. The whales had become trapped in pack ice in the Beaufort Sea near Point Barrow. Point Barrow in the U.S. state of Alaska. The whales’ plight generated media attention that led to the collaboration of multiple governments and organizations to free them. The youngest whale died during the effort and it is unknown if the remaining two whales ultimately survived.

This event was made into a Hollywood movie titled “Big Miracle“. The entire nation, including myself, were riveted to the television screen trying to find out what was going on with the poor trapped whales.

Big Miracle.
The movie titled “Big Miracle” is a realistic portrayal of an actual rescue event during the 1980’s. In it, both the United States, led by Ronald Reagan and the USSR worked together to free three trapped whales.

The rescue of the geese and their breeding grounds. 

In 1986, Bill Lishman started training Canada Geese to follow his ultralight aircraft. As such, he succeeded in leading their migration through his program “Operation Migration.”  This event was made into a movie titled “Fly Away Home“. I have to admit that this move is a “tearjerker”. But that is in a good way.

Fly Away Home
The movie “Fly Away Home” is film rendition of a rescue effort that took place during the 1980’s to save geese and their breeding grounds.

The recovery of huskies abandoned at the South Pole.

Like the other two events, this was also made into a movie. The event itself took place in 1958, but the movie about it was very popular and released in 1983 and was a big hit. This movie was then remade later on. The remade  movie was named “Eight Below“.

In the extreme wilderness of Antarctica, three members of a scientific expedition -- Jerry Shepard, his best friend, Cooper and a rugged American geologist -- are forced to leave behind their team of beloved sled dogs due to a sudden accident and perilous weather conditions in Antarctica. During the harsh, Antarctic winter, the dogs must struggle for survival alone in the intense frozen wilderness for more than six months.

-Rotten Tomatoes

The 1958 ill-fated Japanese expedition to Antarctica inspired the 1983 hit film Antarctica, of which Eight Below is a remake. Eight Below adapts the events of the 1958 incident, moved forward to 1993. In the 1958 event, fifteen Sakhalin Husky sled dogs were abandoned when the expedition team was unable to return to the base. When the team returned a year later, two dogs were still alive. Another seven were still chained up and dead, five were unaccounted for, and one died just outside Showa Station.

Eight Below Movie
Huskies and Malemutes look at home in that element because they were bred to work and survive in like conditions. These two near primitives could really do what these actors did and survive. It was refreshing to me to see humans show the same dedication and love for the dogs that dogs give to their human family members every day. It would be hard for actors to really be able to show all that those of us who treasure our dogs would feel if we had to leave them behind but he did a credible job

Conclusions

These are just some vignettes of my snippets of memory from the 1980’s. I present them here as someone who had experienced them first hand. You know, for a time period that was so full of inequality, poverty and racism as the Democrats, and Obama constantly says, the popular media of that time did not reflect it.

Which is WHY the elites and the oligarchy has to rewrite history.

If the decade was truly one as described by the democrats, then the songs would be sadder, the movies darker, and the culture more subdued. Right? Look at the movies and the culture of America under Obama. Look at the movies that were made and the popular television shows.

Obama's America.
It was under the Obama presidency where end-of-the-world, and zombie movies really became popular. Why is this? While it is true that there were comedies, and all sort of progressive movies, the most popular movies reflected an interest in war, terror, and destruction. It reflected Obama’s America.

I am firmly convinced that Americans have been the most propagandized people in all of history. It doesn’t matter what the subject is; whether it is life in China to history three decades ago, the narrative has constantly been directed towards certain targets. The “goal posts” have constantly been moving. All to keep Americans ignorant, fearful and suspicious.

In short, the mainstream American media has spent the last fifty years trying to separate, divide and isolate Americans from each other. That is because, unified we are a serious threat to those who control the nation.

When your children look back at the presidency of Barrack Obama, a socialist democrat, what will they read and see? The history books will talk glowingly about his “many” successes, and repeatedly state how everyone was happy and dancing around with unicorns under rainbows…

Liberal dream
The liberal progressive dream. That if the world is ruled by one world government that we would all function in love and warmth because our leaders would care for us. They would hand us presents, and care for us. They would give us free food, free medicine, free housing, free education. They would be our overlords and we would worship them for the paltry scraps that they would provide to us.

Eh?

Well, maybe a look at the culture at that time will tell you all that you need to know about what life was under his sphere of influence…

Screen shot obama.
Screen shot of “popular movies from 2008 to 2016”. This is what culture was like under Obama. We see horror, zombies, police, drugs, mutations, and worlds being threatened with extinction.

Take Aways

  • History can be described by facts, numbers, charts and data.
  • However, the best measure of a period of time is how you felt living it.
  • The Obama rewritten narrative of the 1980’s does not resemble what it was like by those who experienced it.
  • I argue that to understand a period of time, you need to delve into the culture.
  • The culture of the 1980’s was strange and unusual compared to today.
  • A look at the movies of the 1980’s can illustrate what it was like living during that time period.

FAQ

Q: What is the purpose of this post?
A: I see many people who have not lived in the 1980’s try to tell me that it was a horrible and terrible time. They do so because that is what they were taught. Yet, these very same people also tell me that they love the movies and the people during that time. They wish that they could be cruising in a GTO and living the life that they can only dream of.

They wish that the movies of today would be of the same caliber as the movies of today. Their disconnect from the awareness that culture tracks reality is disturbing. They go hand-in-hand. A culture always is a reflection of the people in society.

Q: Is this a complete list of movies?
A: No, not by a long shot. Many movies are left off, but I really don’t have all day. Here are just a handful of movies that are reflective of this time. Honorable mentions include…

  1. Brainstorm (1983)
  2. Dead Heat (I love the animated butcher shop. LOL)
  3. Raiders of the Lost Ark
  4. My Best Friend is a Vampire
  5. Lean on Me.
  6. Blade Runner.
  7. The Terminator
  8. The Breakfast Club
  9. Platoon
  10. Blue Velvet
  11. Full Metal Jacket
  12. The karate Kid
  13. Earth Girls Are Easy
  14. The Burbs
  15. Red Dawn
  16. Big Trouble in Little China
  17. Aliens
  18. They Live
  19. Predator
  20. American Werewolf in London
  21. The Blues Brothers
  22. Gremlins
  23. The Money Pit
  24. Beetlejuice
  25. Howard the Duck
  26. Raising Arizona
  27. The Neverending Story
  28. The Adventures Of Buckaroo Bonzai Across the Eighth Dimension
  29. Ernest goes to camp
  30. The Last Starfighter
  31. The Blues Brothers
  32. Brazil
  33. Strange Brew

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Links about China

Business KTV

Dance Craze

End of the Day Potato

Dog Shit

Dancing Grandmothers

When the SJW movement took control of China

Family Meal

Freedom & Liberty in China

Ben Ming Nian

Beware the Expat

Fake Wine

Fat China

China and America Comparisons

SJW

Playground Comparisons

The Last Straw

Diversity Initatives

Democracy

Travel outside

10 Misconceptions about China

Top Ten Misconceptions

Learning About China

Pretty Girls 1

Pretty Girls 2

Pretty Girls 3

Pretty Girls 4

Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Compiled 12OCT18.
  2. Completed 14OCT18.
  3. Published 15OCT18.

What it was like being a kid in the early 1970’s

This is a walk down “memory lane” as I relate what it was like growing up as a young boy in the early 1970’s. I was in my early teenage years. I went to school, watched a lot of television, and played with my friends. Enjoy…

Introduction

As strange as it seems, there is very little on the internet about what it was like growing up in the 1960’s and 1970’s. It’s almost as if it was scrubbed from existence. In it’s place we now have the Obama narrative of a racist nation and terrible injustices. That narrative has nothing to do with reality. It is a scripted lie intended to manipulate people into believing something that just isn’t true.

Here, in my own little way, I would like to relate some stories of what it was like for me growing up as a kid.  For “shits and giggles” I have chosen the year of 1971. It was the last year that I had as a kid before I had to go out and work at 14 in the coal mines.

This narrative takes place in Western Pennsylvania. We lived in a small town about a two hour drive from Pittsburgh. It was a hilly and tree shaded world, with railroad spur lines that snaked in and out of the hills and crossed over viaducts and into tunnels.  I well knew those lines as I would often walk along them with my friends on hikes and adventures.

Allegheny hills
The Allegheny mountains are very beautiful. I miss the beauty of them in the fall, and the joys of canoeing on the river and fishing in the streams.

Visiting my Aunties

Many weekends my parents would drive into Pittsburgh to visit my relatives. Both were from Pittsburgh, though from different areas. We would take turns visiting the families. In the morning we would visit my father’s family, and in the afternoon we would visit my mother’s family.

Polish Hill at dusk.
A evening scene from Polish Hill. Polish Hill is a suburb of Pittsburgh, Pennsylvania. It is a community that was founded by Polish immigrants that went to Pittsburgh to find work in the Steel Mills there.

Often times, there would be other relatives that would come and say hi. I would see my grandparent’s brothers and sisters, my great aunts and uncles, if you will. And I might be persuaded to go with them to their homes. For some reason, the homes always smelled like bacon and cabbage.

There was always a pot of coffee on the stove. If it was cold they would either reheat it or make a fresh pot. The coffee pot was a percolator design. The water would start to boil and would be forced up through a metal straw into a container that held coffee grounds. You could control how strong the coffee was by the amount of ground in the upper container and how long your brewed the coffee. There was this glass bubble on the top of the coffee pot that you could watch to tell if the coffee was ready or not.

Coffee Pot
This is a very common way of making coffee in the 1960’s and the 1970’s. Every family seemingly had a percolator. This particular picture is very similar to the one that we used at home.

They would almost invariably offer me a cup of coffee and a bowl of what ever is cooking on the stove. There was something always cooking. Sometimes it was spaghetti sauce, sometimes it was chili. Sometimes it was “pigs in a blanket” (pork wrapped up in cabbage). Sometimes it was chicken soup. I could always eat my fill when I visited my aunties.

Of course, every single relative had this painting of “the last supper” on the wall in the kitchen / dining room.

The Last Supper
During my childhood every family had a painting of the Last Supper on their wall. My relatives all had it hanging in the kitchen, but many of my friends had it in the living rooms or the dining room instead.

Everyone also pretty much listened to the same radio station as well. Each kitchen had this little plastic radio (of vintage electronic tubes) that was perpetually tuned to the AM radio station KDKA. Popular Music would often be heard while we were visiting.

Pop Songs

While I was pretty much listening to Jefro Tull, Traffic and other rock groups, my relatives and classmates enjoyed the popular music of the time. In 1971 we were listening to the following. Notable songs are highlighted in BOLD.

Three Dog Night Joy To The World
Rod Stewart Maggie May / (Find A) Reason To Believe
Carole King It’s Too Late / I Feel The Earth Move
Osmonds One Bad Apple
Bee Gees How Can You Mend A Broken Heart
Raiders Indian Reservation
Donny Osmond Go Away Little Girl
John Denver Take Me Home, Country Roads
Temptations Just My Imagination (Running Away With Me)
Dawn Knock Three Times
Janis Joplin Me And Bobby McGee
Al Green Tired Of Being Alone
Honey Cone Want Ads
Undisputed Truth Smiling Faces Sometimes
Cornelius Brothers and Sister Rose Treat Her Like A Lady
Rolling Stones Brown Sugar
James Taylor You’ve Got A Friend
Jean Knight Mr. Big Stuff
Lee Michaels Do You Know What I Mean
Joan Baez The Night They Drove Old Dixie Down
Marvin Gaye What’s Going On
Paul and Linda McCartney Tom Jones
Bill Withers Ain’t No Sunshine
Five Man Electrical Band Signs
Tom Jones She’s A Lady
Free Movement I Found Someone Of My Own
Murray Head and The Trinidad Singers Jesus Christ Superstar
Jerry Reed Amos Moses
Grass Roots Temptation Eyes
Carpenters Superstar
George Harrison My Sweet Lord / Isn’t It A Pity
Donny Osmond Sweet And Innocent
Ocean Put Your Hand In The Hand
Daddy Dewdrop Chick-A-Boom (Don’t Ya Jes’ Love It)
Carpenters For All We Know
Gordon Lightfoot If You Could Read My Mind
Sammi Smith Help Me Make It Through The Night
Carpenters Rainy Days And Mondays
Cher Gypsy, Tramps And Thieves
Jackson 5 Never Can Say Goodbye
Lynn Anderson Rose Garden
Hamilton, Joe Frank and Reynolds Don’t Pull Your Love
Ringo Starr It Don’t Come Easy
Nitty Gritty Dirt Band Mr. Bojangles
Fuzz I Love You For All Seasons
Dramatics Whatcha See Is Whatcha Get
Carly Simon That’s The Way I’ve Always Heard It Should Be
Stevie Wonder If You Really Love Me
Aretha Franklin Spanish Harlem
Helen Reddy I Don’t Know How To Love Him
Osmonds Yo-yo
Aretha Franklin Bridge Over Troubled Water
Partridge Family Doesn’t Somebody Want To Be Wanted
Tommy James Draggin’ The Line
Ike and Tina Turner Proud Mary
Chicago Beginnings / Color My World
Bells Stay Awhile
Stampeders Sweet City Woman
Lobo Me And You And A Dog Named Boo
Paul McCartney Another Day / Oh Woman, Oh Why
Bread If
Marvin Gaye Mercy Mercy Me (The Ecology)
Brewer and Shipley One Toke Over The Line
8th Day She’s Not Just Another Woman
Freda Payne Bring The Boys Home
Rare Earth I Just Want To Celebrate
Delaney and Bonnie and Friends Never Ending Song Of Love
Freddy Hart Easy Loving
Three Dog Night Liar
Honey Cone Stick-up
Mac and Katie Kissoon Chirpy Chirpy Cheep Cheep
Andy Williams Love Story (Where Do I Begin)
Cat Stevens Wild World
Jerry Reed When You’re Hot, You’re Hot
Beginning Of The End Funky Nassau
Olivia Newton-John If Not For You
King Floyd Groove Me
Bobby Goldsboro Watching Scotty Grow
Matthews’ Southern Comfort Woodstock
Judy Collins Amazing Grace
Dave Edmunds I Hear You Knocking
Bee Gees Lonely Days
Fortunes Here Comes That Rainy Day Feeling Again
Who Won’t Get Fooled Again
Denise Lasalle Trapped By A Thing Called Love
Jackson 5 Mama’s Pearl
Buoys Timothy
Partridge Family I Woke Up In Love This Morning
Isaac Hayes Theme From “Shaft”
Gladys Knight and The Pips If I Were Your Woman
Neil Diamond I Am..I Said
Paul Stookey Wedding Song (There Is Love)
Wilson Pickett Don’t Knock My Love, Pt. 1
Doors Love Her Madly
Richie Havens Here Comes The Sun
Wadsworth Mansion Sweet Mary
Brenda and The Tabulations Right On The Tip Of My Tongue
Fifth Dimension One Less Bell To Answer
Doors Riders On The Storm
Perry Como It’s Impossible

The song “Maggie May” was played to death, and radio stations in central Indiana were still playing that song long into the 1990’s. Ugh!

Donny Osmond was terribly popular with my sister and all of her girl friends at the time. Her bedroom was covered in pictures of Donny, and she had her class room textbooks covered in “lunch paper” covers decorated with Donny Osmond related praises.

I first heard “The night they drove ol’ Dixie down” when I was riding with my dad in our car. He was involved in technical sales at the time. I would wait in the car and listen to the radio while reading the “Last Whole Earth Catalog“.

Last Whole Earth Catalog
The Last Whole Earth Catalog was a cultural landmark in the 1970’s. Kevin Kelly, who was editor-in-chief at Whole Earth was looking at an old Whole Earth Catalog came to the realization that it was a 1970s version of a blog.

Tom Jones was very popular with my mother and the mothers of my friends. He had a kind of sex appeal that really appealed to them.

Jesus Christ Superstar hit my generation hard. I cannot express how big an impact this movie made at my church and at my school. It seemed like every family had the album. I went and saw the play and it was really moving.

I saw Jerry Reed sing “Amos Moses” on “Laugh In” or “Sonny and Cher” I don’t remember which. Both were shows that hosted a mixture of singing, dancing and comedy routines that were quite popular in the day.

The song “Gypsy, Tramps And Thieves” was a big hit by Cher. Most people have forgotten about her today. You hear some blurb on the news every now and then, but she was a big thing back in the day. She was super popular.

The song “Don’t Pull Your Love” was very popular and got a substantial amount of airtime. You probably couldn’t get by a day without hearing that song at least once. Other heavily air-played songs included “Mr. Bojangles“.

Everyone in my school watched the Partridge Family . This was a television show about a family that toured schools all over the country and sang at them. Well, they also had a number of hits, as well as a had a following of fans.

The Partridge Family was a television show that was very popular int he 1960's and 1970's.
How can one talk about the 1960’s and 1970’s without mentioning the television show The Partridge Family?

The idea and concept of freedom to explore, of adventure and travel was very popular. The ideals of the 1960’s were fading away, and the 1970’s was a time where people wanted to just go forth and explore the world. The song “Me And You And A Dog Named Boo” was representative of this dream.

If there is one iconic song from that year (heck, for that decade), it is “One Toke Over The Line“. Everyone was listening to it, and everyone related to it. Even my mother who would make the “sigh” and gesture while saying “I guess I’m just one toke over the line…”.

This song “Never Ending Song Of Love” has fallen into obscurity. Yet it reflected the reality of the small town bars and the culture of friendship and love that was indicative of the era.

The song “Riders On The Storm” continued to be popular with me and my classmates long into our college years.

Barbershop

Next to my Father’s parents house was a barbershop. The shop was run by an old man, probably in his 90’s. He lived upstairs above the shop. The barbershop itself was a museum and probably hadn’t changed since the 1940’s.

Barbershop
A barbershop was a place and refuge for men to be men. We could talk about things that interested us , we could talk about sports, girls and life. All barbershops were smoker-friendly places where men could be themselves, free of political correctness and progressive rules.

My father would take me to the barber there and I would get a haircut. I really didn’t want to go because at that time, long hair was fashionable. I would go there and then pout the rest of the day. But, I’ll tell you what, those trips to the barbershop were some of the best memories that I have.

The barbershop was a “men’s only” establishment. On the tables were magazines about hunting, guns and adventure. On the walls were pictures of deer and ducks. There was a full length mirror on the wall that faced two very huge and ornate barber chairs. The barber wore an apron and wore his hair in a style that probably went obsolete in the 1950’s.

Men's magazines.
When we went to the barbershop we would read the men’s adventure magazines that would lie there, as well as the Playboy magazines that would be interspersed with the newspapers and the standing ashtrays.

The chairs were big and comfortable. He would often have friends hang out while he worked. They would sit there smoking cigarettes and watching him cut hair. A small radio would be on and often it would be tuned to a baseball or football game.

The place had it’s own kind of unique smell to it. It was a cross between aftershave and and old house. The barber lived alone as his wife passed on a decade earlier. He just ran the shop until he died. After he passed on, the place was boarded up and then demolished.

Hiking in the Woods

At that time in my life I spent a lot of time hiking in the woods. I would often ride my bike all over town and up and down the back roads and railroad spur lines. In the Spring the air would be fresh with the smells of lush forest canopy. In the Fall, it would be a time of warm “Indian Summers” with red and yellow leaves that would blow in the light breezes.

Railroad tracks.
I lived in a small town in Pennsylvania. The hills all around us were wooded and access to them was via back roads and rail lines. As a boy, I would spend a lot of time walking on these tracks and exploring the world around me.

I rode a gold Schwinn “banana seat” bike with “high bars” and a “drag strip” (non-tread) rear tire. Every one of my friends owned a bicycle. My sister had one with a white plastic basket in the front. My bike had these long streamers of plastic that plugged into the handles. I eventually tore those things off. But I would put a card (from a deck of cards) and attach it to the bicycle with a wooden clothes pin. That way my bicycle would make some “cool” sounds when I rode fast. It had a huge red circular red reflector on the back, right under the white “banana seat”. Like the GTO I would later drive when I was in High School, the bicycle was an orange color.

During the 1970s and 1960s all children rode bicycles. I had a banana seat bike that I rode.
We would all ride bicycles when we grew up. Which is different than kids today. Instead, today their parents drive them from event to event, instead of expecting them to get there on their own. A 1970s childhood. (Image Source)

My bike was a personal selection. When my father took me to a store to pick it out, I chose a really simple and rugged model. There were no front or rear brakes on the handlebars. To brake, you would just use the pedals. There also weren’t any gears. There was one gear only. It came with a rear view mirror, that soon broke off, and that was about it. My friends all had more complicated bicycles, and over the years, they were perpetually repairing their bikes and trying to fix them. For me, I never had that problem.

Television Shows

At that time the only television channels that we could watch were CBS, NBC, and ABC. We also had “channel 13” which was a government channel. All of our news, and our entertainment came from these three sources. Since we never had the kind of selection that we have today, we didn’t find anything wrong with it. It was normal for us.

Friday night TV
Here is the complete television selection for Friday night viewing in 1971. It is pretty sparse isn’t it. This is where all American got their news and found out about the world around them.

As sparse as the selection was, we were perpetually glued to the television set. There was usually a movie a night. They were often a few years old, after being shown in the movie theaters. If the movie wasn’t shown in the theaters it would be called a “World Premiere Movie”.

Television was rather primitive.

While we did have a color television, we still needed to walk across the room to change the channel. Imagine that! Remote controls were not available until the mid-1970’s. On top of it were “rabbit ears” until we were able to subscribe to cable in the late 1970’s. My grandmother had her “rabbit ears” with aluminum foil wrapped around it. She said that it improved her reception. Maybe it did. I don’t know, her reception really sucked, so it must have been really, really terrible.

My favorite after-school show was “The Flintstones”. All of my classmates watched it. There were many shows that I watched when I was growing up. It went from the black and white “Diver Dan” series, to the Fireball XL-5, Supercar, and included such staples as Gilligans Island, and the Man from U.N.C.L.E..

I would watch the news reluctantly. For me it was pretty boring.

However, I did follow the news about space. You couldn’t miss it. Everyone was talking about space, and the moon. That is all you heard about as a child of the 1960’s. The television shows also helped to maintain this theme.

As the news that played on the radio concerned our exploration of space and the Vietnam War.  Of course I didn’t know what was going on. It was a takeover of the United States government by dark forces embedded deep inside the United States government. When JFK was shot, my father insisted that I watch the television. He kept telling me that this was the most important thing to happen to the United States since the Civil War. He was a lifelong Democrat and he had real concerns that there was more to the story than what the government was saying. Later, after he died and President Trump released the transcripts, it turned out that my father was right after all.

The “Deep State” murdered our President.

“This fucker, johnson should be dug up and pissed on, and torn apart. Every modern ill can be traced to him.” 

-sowhat1929

On Sunday we watched Mutual of Omaha’s “Wild Kingdom”, and “The FBI” (Starring Efrem Zimbalist Jr) after the Walt Disney hour. If I wasn’t watching television, I was building plastic scale models, or experimenting on my Gilbert chemistry (and electrical) sets.

The A. C. Gilbert Company was an American toy company, once one of the largest toy companies in the world. It is best known for introducing the Erector Set to the marketplace. A chemistry set is an educational toy allowing the user (typically a teenager) to perform simple chemistry experiments.

During the Bill Clinton presidency (D) all sales of chemistry, electronics, and mechanical kits were put under investigation as possible routes for “home grown” terroristic activities, and were subsequently suppressed, if not outright banned. Over the Bush years (R), they resurfaced and eked out a small living.  However, by 2017 most hobby kit suppliers went out of business. Ramsey electronics, Heithkit electronics RIP.

At that time in my life, I like the rest of my classmates, watched shows like the Partridge Family and The Brady Bunch. These shows were about “us”. It was how we interacted with each other, and our families and our communities. This all began to change when the television media decided to change their programming towards minorities in urban areas. Television began a slow phase away from white families living in suburbia and began to concentrate on poor urban minorities.

The Brady Bunch
The Brady Bunch. The Brady Bunch is an American sitcom created by Sherwood Schwartz that aired from September 26, 1969, to March 8, 1974, on ABC. The series revolves around a large blended family with six children. Considered one of the last of the old-style family sitcoms, the series aired for five seasons and, after its cancellation in 1974, went into syndication in September 1975. While the series was never a critical or ratings success during its original run, it has since become a popular staple in syndication, especially among children and teenaged viewers.

Shows about black people were limited to “Stanford and Son”, and “The Jefferson’s”.

“The "rural purge" of American television networks (in particular CBS) was a series of cancellations in the early 1970s of still-popular rural-themed shows with demographically skewed audiences, the majority of which occurred at the end of the 1970–71 television season. In addition to rural themed shows, the purge also eliminated several high rating variety shows that had been on CBS since their beginning of television broadcasting. One of the earliest efforts at channel drift, CBS in particular saw a dramatic change in direction with the shift, moving away from shows with rural themes and toward ones with supposedly more appeal to urban audiences.”

-Wikipedia

The shows we watched were funnier than what you see on television today.  And, maybe, just maybe a little more innocent. “The Bob Newhart Show” was typical. The humor involved day to day situations and NEVER mentioned race (compare that to today), and had a real twisted surrealistic sense of humor. Consider “Mary Hartman. Mary Hartman”, or “Green Acres”. You can find out more here.

Hi my name is larry and this is my brother daryl and my other brother daryl.
Iconic characters from the Bob Newhart show that was popular in the 1970s and 1980s. Hi! I am Larry, and this is my brother Darryl and my other brother Darryl. (Image Source.)

Ah, you’ve got to hear about the three yokel brothers in the (very surrealistic) 80’s “The Bob Newhart show”. I loved these guys. They might have been the highlight of the show. Heck, they could have had their own show (hint. Hint.)

“…discovering that a witch is buried in the basement of their Vermont inn. They want to find out who she was, but they also want her 300-year-old grave dug up and removed. 

The silly-from-next-door tells him he knows some guys who`ll do anything for a buck.

Next thing, three goofy-looking, backwoods brothers from the genetically weak side of Vermont show up. “Oh, Lord!” says Bob, getting a whiff. Larry--the only brother who ever talks--hands Bob their card.

“We`ll do anything for a buck,” it says.”

- Larry, Darryl And Darryl Are `Newhart` Hits

Larry was the spokesman. Darryl and Darryl never spoke except in the classic final episode. No matter how many times Larry met you, he always started off by saying “Hello. I’m Larry. This is my brother Darryl and this is my other brother Darryl.

They were quite good hearted, and obviously lived a strange, strange life. Afterall, clubbed weasel was their idea of good eatin’. Larry’s totally deadpan delivery of some very bizarre lines was always a highlight of any Newhart episode. “We went to the bakery ’cause they were advertising ‘bear claws’, but it turned out to just be a come-on.

Ah. Good times. Good times.

Movies and television portrayed westerns (with “white men” taming the wilderness), war adventures (mostly involving world war II fighting the evil Nazi army), space exploration (such as Lost in Space, Star Trek, Fireball XL-5, Thunderbirds are Go and Land of the Giants), and Spy Adventures (against the Soviet Union or against fictional organizations such as T.H.R.U.S.H.).

Scale Models

One of my favorite hobbies was the building of plastic models. These were often of ships, airplanes and military hardware. I made a few models of cars, but my favorites were of military tanks and figurines.

I had a desk in my bedroom. It was an old desk inherited from my father with four drawers. I used a fold-up “card table” chair to sit at it with. On it was a 1940’s style desk lamp that my parents must have pulled out of the garbage at some time. I had books on the desk, a “multiband” radio where I could listen to FM radio, and a pencil holder made out of a decorated metal coffee cup tin.

At that desk, I would assemble, build and paint my models. It was an enjoyable pursuit. The desk faced the window in the bedroom, and I would often have the windows open, but the shade drawn down about half way. The shades were in the old 1960’s style and were meant to last. They had this kind of “life preserver” style ring hanging on a string that you could pull down to raise or lower the shade.

I needed the fresh air, as the odor from the glue was toxic and would tend to get me all flustered when I used it. I remember once, that my sister was watching her television show and they were really pushing the Rigley Chewing Gum-gum-gum… Rigley chewing gum-gum-gum commercial. It must have been running every ten minutes. I was about going out of my mind with the combination of the toxic glue odor and the subliminal programming of the chewing gum. Ugh!

airplanes hung from the ceiling
I would build the models and paint them. Then, I would carefully hang them from the ceiling. My room was filled with models of various sizes and shapes.

The airplanes I would hang from the ceiling with string. I would display my collection of tanks and military equipment on shelves alongside my collection of centuries-old bottles. (I was an avoid junk collector and was always on the lookout for discarded bottles that I would collect from ancient trash dumps in the nearby forests.)

I collected Tamiya 1/35 scale military hardware models. I had quite a collection of German vehicles and tanks. At that time, the Japanese model maker Tamiya made the best quality models. They had an innovative introduction process that added new model to the collection every few months.

Tiger I
This is a model of the German Tiger I tank. I had numerous models of this massive beast in various scales. I even had one so complete that the interior was all detailed.

Alas, when I graduated from university I discovered that my mother had thrown away all of my models. She didn’t want all the clutter in the house. I guess one person’s treasure is another person’s trash.

Science Fiction

I started reading Science Fiction avidly. With one of the first books being the “Mad Scientist Club”.

The Mad Scientist Club is a series of stories (and books) written in the 1960’s which fueled the imagination and adventures of us children in the 1970’s. (The son of the author has a website. You can visit the website HERE.) These stories inspired me. They inspired my dreams and led me down the path towards technical excellence.

The book cover to the Mad Scientists Club.
The cover from the first book of “The Mad Scientists Club”. This is a classic book for all young children entering their early teens.

The boys in the stories used science to create all sorts of pandemonium and mayhem in their little town. They applied themselves to using science to make devices and gadgets. They played pranks. The books showed how a boy could engineer a device from techniques that they learned in school. They made balloons, talked on ham radios, devised electronics, and they did it all on their very own.

The beauty about all this was that they never asked for help or permission. They took the initiative and did it on their own. They applied themselves.

Indeed, these stories are special. But, don’t take it from me. Read what others have to say.

“This is the best kids book ever.

… In a way it saddens me when I re-read it. I don't think our kids today have as much freedom as these did (or my generation). I remember staying out until dark, riding my bike EVERYWHERE, clubhouses on vacant lots...Or maybe it's responsibility. Kids today have freedom but little responsibility. I'm getting off my soapbox now. but this is a cool book and it will make your kids fall in love with science. I imagine the Mythbusters grew up like this- or maybe their dads did!! ”

-Holly commentary on the book. Found at Goodreads.

I am afraid that Holly is correct. American children (and adults) don’t have as much freedom as we all used to. (It’s our fault, you know.) These books are for kids and inspires them to accomplish things through study and action. These books are not about getting a group together and finding a group consensus. It’s not about how to cautiously speak so as not to offend anyone. Nope. It is about getting things done and raising hell in the process.

It’s books like these that inspired many of us to study science and engineering. It certainly affected me. It also affected others. I am not the only one who studied about rockets and space…

“This was simply a great childhood book for any inquisitive kid who likes science, haunted houses, dinosaurs, flying machines, etc. I read this book in about seventh or eighth grade and actually a couple of times since. I believe this book helped me on my career to being a rocket scientist but it also gave me many ideas as I was growing up.

Brinley managed to capture the perfect mid-west US town and the guys in the book were great caricatures of fun loving, science minded boys with a bit of good natured mischief up their sleeves. Then Brinley took this setting and boys and produced a series of wonderful stories capturing so many things that so many boys growing up find so intriguing.

I bought a copy recently for a nephew and he was enraptured by it. The follow-ups while good never really reached the level of this first book but were fun in their own right. It will always hold a special memory of growing up back in the '60s.”

-Robert commentary on the book. Found at Goodreads.

He’s right you know. The stories certainly inspired me.

I like to think that there is inspiration in stories where you find adventure, freedom and independence. These are things that are absolutely missing in the modern realm of politically correct stories. Which, by the way, is a very important point. By following a “Politically Correct” narrative, you retard the growthof young boys. To paraphrase Clint Eastwood, you turn men into pussies.

“We live in more of a pussy generation now, where everybody's become used to saying, "Well, how do we handle it psychologically?" In those days, you just punched the bully back and duked it out. Even if the guy was older and could push you around, at least you were respected for fighting back, and you'd be left alone from then on.”

-Clint Eastwood

A parent has a responsibly to PREPARE their children to venture out and grow. They need to go forth and carve a life out of the wilderness. But that is not what is happening today. Instead we have children that never leave the nest. Young men, in the United States, live at home until they are in their 30’s. Instead of investing their time in building, workings, making, and creating, they are too busy looking at cat videos on the Internet while they post their latest latté on Facebook. Boys must be taught to aspire to be Men, not to be a woman’s version of a sensitive man.

Pussies.

No amount of tattoos, unique hair or beard, or cool urban clothing style is going to make you into a Man. It comes from within. Education alone won’t do it. Money and wealth won’t do it. Polite conversation won’t do it. It comes from inside. It comes from deep down inside. It comes from a place that says “you can, and must do what you need to do”. You don’t ask for permission, or consensus. You go out and carve your life out.  Alone.

By clutching on to your children like over protective mothers, the children don’t grow up. Physically they might age, but the brain and the emotions are still that of a young child. How else can you explain the SWJ mentality that demands a protective overseer? Which is what they want, you know. They demand to be coddled and taken care of by a big parental government Bernie Sanders style. Because, that is all that they know. They don’t know how to be independent. We don’t teach that anymore.

These books break us out of that mold…

“A gem. Almost unknown; but one of the most hilarious and memorable laugh-out-loud books you could ask for. It's never mentioned by anyone; it's never recommended, placed on book lists or chosen by reading-groups. This just might be because it's a series of books, which represents a 'philosophy-of-parenting’, which has fallen out of favor. That's my suspicion, anyway.

I mean, just think about it. These stories are about kids who are unmonitored; who are allowed to just go off on summer afternoons and hang out on their own; and do whatever they want.... because they are trusted by their folks. Today, this is the last thing parents want to hear. No one in today's control-freak, micro-managing America wants to imagine that children can be trusted like this.

Books for very young children ('Little Prince' or 'Giving Tree') are in abundance on Goodreads. They're sweet and harmless. There's also a new genre called 'YA' ('young adult'). But guess what? They're all very sanitary, careful, cautious, and timid. Antiseptic. Content-supervised and Content-controlled. They always instruct youngsters on the 'correct' thing to do, the 'sensitive' thing to do, the 'courteous' thing to do...blah blah blah.

'Mad Scientists' is different. Instead of caution, the author praises problem-solving, solidarity, daring, and initiative. It's a book written for kids illustrating how NOT to follow the rules. It’s a book, which shows that rules are made to be flouted.

These stories are from a time when today's endless complexities and anxieties just weren't around. It’s a book that deals with kids just... having fun. I say, there need to be a LOT MORE books like this.

The gang of boys in Brinley's tales are pre-teens; somewhere between 11 and 14. This is a strange interval in a boy's matriculation, when they need to figure out a lot of things about life (and it’s also a time when adults have the least relevant advice to offer). This is the space Brinley plays in: the theme of personal responsibility.

Teens NEED to create a few genuine catastrophes in order to learn the weight of 'cause' vs 'effect'. 'Intention' vs 'outcome'. 'Actions' vs 'harm'. They need to learn the ins-and-outs of friendship and loyalty and paying-one's-dues.

The 'Mad Scientists Club' (this is the name carved on their clubhouse door) demonstrate these themes grandly. These young scamps are precisely in that age where you learn how to make a mess and how you clean it up afterwards. By yourself!

The crazy scenarios which afflict these affable 'troublemakers' reminds us--should remind everyone-- that this process can be fun. Making mistakes and learning from them. The best way --nay, the ONLY way--to shape character.

Far cry from today, huh? Yeah. Today, we don't let kids have 'secret clubs', 'hideouts', codewords, or 'mysterious friends'. We don't let them play with equipment or tools. They must not 'wreck' anything of ours. They're certainly not allowed to 'gallivant all over creation' (love that phrase).

Modern parents are rule-mongers and control freaks. When our kids want to play, we take them to 'Sesame Place' and we monitor their nutrition and we deck them in flashing sneakers and put them in helmets and on leashes. We place them in soccer, swim class, softball, karate, dance, gymnastics.

The result? Modern kids have no idea what real 'freedom' means. We never give it to them. They turn out to be vegetables.

But Brinley's kids show the other way it can be done. This boy's club makes their own fun. They don't 'ask for permission' to do stuff--they just do it! They embrace wildness, zaniness, and unpredictability. The outcome? Well, they aren't brought up on charges from the Department of Homeland Security, for the trouble they cause. That's for sure. This is a part of small town-Americana we've let slip away.

Just one example: in one of the adventures undertaken by the Mad Scientists, they build their own hot-air balloon (using scraps from a local junkyard) and they enter it in the town's annual homemade hot-air balloon race. With no adult supervision at all. Once aloft, (!!) they engaged in an air-battle with their arch-foes and fire potato-cannons and slingshots back'n'forth in mid-air. Finally, they manage to send the enemy gang's balloon into the lake! Can you stand it? I can't friggin' stand it, can you?

This book reminds us that children used to be perfectly capable of taking care of themselves if we let them...if we weren't all scared out of our wits by molesters and semi-automatic weapons and drugs and porn and stalkers and computers, we'd still remember the kind of America found in this hilarious read. It's to our shame that we can't.”

-Feliks commentary on the book. Found at Goodreads.

Let me simply posit this; to all those men (not to intentionally exclude women, but I am a man addressing myself to other men) who have made something of their life. Maybe you are a barber, a motorcycle mechanic, a car salesman, or a cook, isn’t it true? To make it in this world, you need to stretch your neck out. You need to take risks, bend the rules a little. You need to apply yourself.

Book cover from the New Adventures of the Mad Scientist club.
The cover to the book “The New Adventures of the Mad Scientist Club”. This is the sequel to the first book. It is also good, though personally, I really prefer the first book overall.

Those times when life got tough, did you go and get permission? Did you go and ask for consensus? Did you politely ask for others opinions, or did you just go out and do whatever it took to achieve your goals? Was it easy? Nope, I’ll wager that it was hard, or at least uncomfortable. You might have to make sacrifices. Right? Right???

Part of the need to accomplish these tasks were goals. These goals were like this golden orb that lay there, just out of reach that you needed to obtain. You would work towards those goals. You would keep those goals in mind while you fought and persevered. A goal might be a car. A goal might be the love of your life.

A man is nothing without a goal.

A goal might be something more honorable and important, like saving the world. As everyone can’t be an evil villain like George Soros. Or, a wealthy trillionaire like Bill Gates. Someone needs to wear the mask of a hero…

The Idols

My bedroom was decorated as any boy of my my age would have. It was festooned with models and collections of brick-a-bract and posters on the wall. I had a poster of Farah Faucett on my wall. She was smiling with this amazing smile, and her huge hair. We all had a crush on her. That as well as Loni Anderson and Rachael Welch . Look at her!

How can you not smile?

Farah Faucett was an attractive actress that was very popular in the 1970s.
Farah Faucett was every 1970s boy’s dream. Just about everyone had a poster of her on our wall or doors in our bedrooms. Farah Faucett was every boys’ dream. (Image Source.)

I had numerous posters on my wall. One was the mandatory “black light” poster on velvet. (It glowed under UV light.) One was a picture of Richie Blackmore (Deep Purple) performing a guitar solo. (I had super imposed a F-14 on it for combined imagery. After all, space and high-performance aircraft and rock n’ roll was my dream.) One was a Roger Dean poster (anyone remember the group “Yes”?).

Raquel Welch was a very popular 1960s and 1970s actress that made a big difference in the ideas of beauty and society during the 1960's and 1970's.
Raquel Welch was another popular actress that graced the bedrooms of many a boy during the 1960s and 1970s. (Image Source.)

I became a fan of Loni Anderson in her role in the television sitcom “WKRP in Cincinnati”. I think many of my friends did as well. We loved her and watching her on the show was always a highlight. That and the clueless manager who ran the office.

Loni Anderson
Loni Anderson played the role of the attractive secretary in the American sitcom “WKRP in Cincinnati”.

Telephones

There were no cell phones; indeed most phones hung on the wall, and fully 50% of them had dials instead of push buttons.  Our home had two phones. One was an old Bakelite black phone from the 1920’s hidden away in the basement. I loved the feeling of it. There was a weight to it that you just couldn’t get during the 1970’s. We also had a “main” phone in the kitchen. It had an extra-long cord. My sister was always “hogging it up”. So one year they bought her a phone for her room. She still spent most of her time on the phone, it’s just that she wasn’t talking in the kitchen all day.

Sunday mornings as a kid in the 1960s and 1970s was very much a time of newspapers, coffee and hot fresh buttered rolls.
Sunday mornings were very much the same during the 1960s and 1970s. This included the children in PJ’s, the coffee, and the pets. Sunday mornings were stereotypical.(Image Source.)

In the house we wore “house clothes” also known as PJ’s, with a robe. Mother would make sure that there was always a pot of coffee brewing, and us kids would always fight over who would get to read the comics section of the paper first.  Of course, our dogs and cats merrily participated in the morning ritual. Picture above is not the ideal, it was the actual.

Global Cooling and the “Green Movement”

Global cooling
We were terrified of the global cooling. Thousands of experts were constantly informing us of the up coming global ice age that would turn Florida into a Siberian wasteland.

In our schools we would go on field trips to clean up the environment. We would go on “collection drives” to collect money for our environment, and we ended up with absolute bushels of money. (Don’t know where it all went, though…) We attended classes on the environment and school rallies to lecture us on the up-coming global cooling that would soon turn the world into a snow cone.

We were terrified!

Every cold day was a sign that the world was plunging into another great ice age. Magazines, the media, and the news all had stories about the coming cold period and the need to raise taxes to save our environment. Experts were paraded on television to teach us the need to raise taxes, and fund more research.

Global Cooling
Here’s a selection of some covers from Time Magazine during the 1970’s. The big concern was about “global cooling” and ho that taxes had to be increased to fund studies so as to stop it.

The “Love Canal” fiasco acted as a terrible “kick start” to the “environmental movement”. Americans began to wake up that we had been really abusing our environment. As such, immediate action had to be taken. And thus the government took action in the only way that it knew how…

  • It set up the EPA, and…
  • Raised taxes.

Which was the entire purpose of the decade long propaganda push; To [1] increase the size and scope of the government, and [2] to raise more taxes to go to Washington D.C..

“What’s it mean, anyway? Do 97% of #Scientists agree that the climate is changing? Actually, everyone agrees with that – that the Earth’s climate changes over time is why we had an ice age. Well, we had an ice age a long time ago. We did not have one after the #Science people promised an ice age in the 1970s. But shhhh! We’re not supposed to mention that.

Want to know what the proposed solution for the ’70s ice age that never arrived was? Give the liberal elite more money and power. Overpopulation was another big crisis in the ’70s too. It never arrived either. The solution to that was to give the liberal elite more money and power. And when they were warning us about acid rain destroying us in the ’80s? The solution to that crisis was also to give the liberal elite more money and power. The ozone hole? Yep, more of our money and power to the liberal elite. Back in the 1990s, the Al Gores of the world were warning us that we had just 10 years left to save the Earth unless … wait for it … we gave the liberal elite more money and power.

Sense some themes?

Each of these crises all had two things in common. Thing One was that none of these doomsdays ever produced the promised doom. Thing Two was that each was a demand to give the liberal elite more money and power.”

Family Meals

One of the things that I have come to appreciate the most was the family meal  that we had when I was growing up as a child. During my early childhood we would hold formal “sit down” meals in the Dining Room. Us children each had our own roles / chores in regards to this. On Sunday we would have the largest and most elaborate meals. Mealtime was the opportunity when we could all talk about our day, our hopes and dreams, and things that interested us.

At the time, I didn’t realize how important it was.

Then, during the 1970’s everything changed. Both of my parents had to work. (You can thank the American Federal Reserve for the decline in the value of the dollar that necessitated the breakup of our families.) A formal family meal was replaced with “help yourself” fix your own meals, out of a pot on the stove, or “make yourself a snack” out of the refrigerator. We would then scrounge something up, and eat it alone watching television.

Communication was via notes on the refrigerator.

Now that I am much older, I can see clearly the value of a family meal as well as a community meal. As such, I now dictatorially enforce an observance of this tradition within my own home.

Fishing with my Father

I will conclude with this little narrative of my experiences in 1971 talking about my father. He used to spend the time and take me and my siblings out to the river to fish. He had a couple of rods and a tackle box that he inherited from his (favorite) uncle. Using it, he taught me how to fish, and how to gut and clean the fish.

While it is a great memory of mine, the best part, and the part that remember most clearly is how he would drive out to the lake or stream, and we would then troop down to the area to fish. He was always on the lookout for isolated and secluded areas to fish in. He yearned for the “perfect spot”. One with deep water and plenty of overhanging limbs and trees that fish can hide in.

Oh, I would go fishing with my friends. They had an assortment of remote cabins, canoes and secret places that always provided us a great deal of pleasure. But, it was the times with my father that mattered the most to me. My friends were always up to something.

via GIPHY

I once had a friend who placed plastic sheeting on his garage floor and dumped a dump truck full of soil on it. He, at age 13, had constructed a worm farm, and he somehow had this crazy idea that he would get “filthy rich” selling worms to the local bait and tackle shops. He did actually manage to sell some. I think he might have made $5 or so. Eventually, he gave up the idea and paid some one to haul the dirt away. His dream of instant millions went bust.

I never became an expert at fishing. I was, I guess you could say, an enthusiastic hobbyist. For me, the time with my father fishing were some of the best moments of my life, and moments that I will treasure until I too, will die.

Conclusion

This was just a little narrative that I wrote about what it was like for me in 1971. It’s a far cry from the United States today. The USA today saddens me. Every time I read the news, I get either depressed or angered. There’s not really too much that I can do about the slide into open civil strife that America is plunging towards, all that I can do is take care of myself and think good thoughts.

This includes what it was like growing up as a boy.

Take Aways

  • Global Cooling was a sham designed to trick Americans into permitting a larger government and giving away more of their money.
  • There were only four television channels that we American had access to in the early 1970’s.
  • The family meal is the most important part of having a family. It is important to nurture and cultivate relationships.
  • Television shows used to focus on American culture instead of bastardized urban minority culture.
  • My favorite memories of my father was when we were fishing together.
  • 1970's living life.
    This is how we rolled. We were allowed to experience life in all it’s ugly and beautiful glory. Life is about living.

Posted On Free Republic

This article was posted on Free Republic in the chat section and collected a number of interesting comments. Many of which, I really do need to write about. Particularly what it was like for my sisters at that time, the cars that we drove, and the cultural things going on in society at that time. You can read the comments HERE.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed first draft 9OCT18.
  2. Completed 10OCT18.
  3. SEO review 10OCT18.
  4. Published 10OCT18.

Robert Heinlein’s “The Long Watch” – Full Text

There are often things that inspire us. This is most especially true when you are young and looking for direction. In my case, I was greatly influenced by the books that I read. My favorites were short-length science fiction “pulps”. These were often paperback books that I could shove in the rear pocket of my bluejeans. I would read them, and often reread them. The authors of these stories varied, but my favorites included Ray Bradbury and Robert Heinlein.

Here is one such story.

This story illustrates that sometimes, it take one person to take a necessary action. Often that person doesn’t want the role. However, there is no one else who can do it. So that person, out of necessity, must become the hero. He must do the difficult and uncomfortable job because he is the only one who is available.

This story holds special meaning to me.

Introduction

This story was written appeared in the December 1949 American Legion Magazine by Robert Heinlein, and presented here under Article 22 of China’s Copyright Law.

“The Long Watch” is a science fiction short story by American writer Robert A. Heinlein. It is about a military officer who faces a coup d’état by a would-be dictator.

John McClane: Do you know what you get for being a hero? Nothin'. You get shot at. Pat on the back, blah blah blah. 'Attaboy.' You get divorced... Your wife can't remember your last name, kids don't want to talk to you... You get to eat a lot of meals by yourself. Trust me kid, nobody wants to be that guy. (I do this) because there is nobody else to do it right now. Believe me if there was somebody else to do it, I would let them do it. There's not, so (I'm) doing it. That's what makes you that guy."

Enjoy.

The Long Watch

Nine ships blasted off from Moon Base. Once in space, eight of them formed a globe around the smallest. They held this formation all the way to Earth. 

"The small ship displayed the insignia of an admiral-yet there was no living thing of any sort in her. She was not even a passenger ship, but a drone, a robot ship intended for radioactive cargo. This trip she carried nothing but a lead coffin and a Geiger counter that was never quiet." 

—from the editorial After 
Ten Years, film 38, 
17 June 2009, Archives of the 
N. Y. Times  

I

JOHNNY DAHLQUIST blew smoke at the Geiger counter. He grinned wryly and tried it again. His whole body was radioactive by now. Even his breath, the smoke from his cigarette, could make the Geiger counter scream.

How long had he been here? Time doesn’t mean much on the Moon. Two days? Three? A week? He let his mind run back: the last clearly marked time in his mind was when the Executive Officer had sent for him, right after breakfast—

“Lieutenant Dahlquist, reporting to the Executive Officer.”

Colonel Towers looked up. “Ah, John Ezra. Sit down, Johnny. Cigarette?”

Johnny sat down, mystified but flattered. He admired Colonel Towers, for his brilliance, his ability to dominate, and for his battle record. Johnny had no battle record; he had been commissioned on completing his doctor’s degree in nuclear physics and was now junior bomb officer of Moon Base.

The Colonel wanted to talk politics; Johnny was puzzled.

Finally Towers had come to the point; it was not safe (so he said) to leave control of the world in political hands; power must be held by a scientifically selected group. In short—the Patrol.

Johnny was startled rather than shocked. As an abstract idea, Towers’ notion sounded plausible. The League of Nations had folded up; what would keep the United Nations from breaking up, too, and thus lead to another World War. “And you know how bad such a war would be, Johnny.”

Johnny agreed. Towers said he was glad that Johnny got the point. The senior bomb officer could handle the work, but it was better to have both specialists.

Johnny sat up with a jerk. “You are going to do something about it?” He had thought the Exec was just talking.

Towers smiled. “We’re not politicians; we don’t just talk. We act.”

Johnny whistled. “When does this start?”

Towers flipped a switch. Johnny was startled to hear his own voice, then identified the recorded conversation as having taken place in the junior officers’ messroom. A political argument he remembered, which he had walked out on . . . a good thing, too! But being spied on annoyed him.

Towers switched it off. “We have acted,” he said. “We know who is safe and who isn’t. Take Kelly—” He waved at the loud-speaker. “Kelly is politically unreliable. You noticed he wasn’t at breakfast?”

“Huh? I thought he was on watch.”

“Kelly’s watch-standing days are over. Oh, relax; he isn’t hurt.”

Johnny thought this over. “Which list am I on?” he asked. “Safe or unsafe?”

“Your name has a question mark after it. But I have said all along that you could be depended on.” He grinned engagingly. “You won’t make a liar of me, Johnny?”

Dahlquist didn’t answer; Towers said sharply, “Come now—what do you think of it? Speak up.”

“Well, if you ask me, you’ve bitten off more than you can chew. While it’s true that Moon Base controls the Earth, Moon Base itself is a sitting duck for a ship. One bomb—blooie!”

Towers picked up a message form and handed it over; it read: I HAVE YOUR CLEAN LAUNDRY—ZACK. “That means every bomb in the Trygve Lie has been put out of commission. I have reports from every ship we need worry about.” He stood up. “Think it over and see me after lunch. Major Morgan needs your help right away to change control frequencies on the bombs.”

“The control frequencies?”

“Naturally. We don’t want the bombs jammed before they reach their targets.”

“What? You said the idea was to prevent war.”

Towers brushed it aside. “There won’t be a war—just a psy-chological demonstration, an unimportant town or two. A little bloodletting to save an all-out war. Simple arithmetic.”

He put a hand on Johnny’s shoulder. “You aren’t squeamish, or you wouldn’t be a bomb officer. Think of it as a surgical operation. And think of your family.”

Johnny Dahlquist had been thinking of his family. “Please, sir, I want to see the Commanding Officer.”

Towers frowned. “The Commodore is not available. As you know, I speak for him. See me again—after lunch.”

The Commodore was decidedly not available; the Commodore was dead. But Johnny did not know that.

* * *

Dahlquist walked back to the messroom, bought cigarettes, sat down and had a smoke. He got up, crushed out the butt, and headed for the Base’s west airlock. There he got into his space suit and went to the lockmaster. “Open her up, Smitty.”

The marine looked surprised. “Can’t let anyone out on the surface without word from Colonel Towers, sir. Hadn’t you heard?”

“Oh, yes! Give me your order book.” Dahlquist took it, wrote a pass for himself, and signed it “by direction of Colonel Towers.” He added, “Better call the Executive Officer and check it.”

The lockmaster read it and stuck the book in his pocket. “Oh, no, Lieutenant. Your word’s good.”

“Hate to disturb the Executive Officer, eh? Don’t blame you.” He stepped in, closed the inner door, and waited for the air to be sucked out.

Out on the Moon’s surface he blinked at the light and hurried to the track-rocket terminus; a car was waiting. He squeezed in, pulled down the hood, and punched the starting button. The rocket car flung itself at the hills, dived through and came out on a plain studded with projectile rockets, like candles on a cake. Quickly it dived into a second tunnel through more hills. There was a stomach-wrenching deceleration and the car stopped at the underground atom-bomb armory.

As Dahlquist climbed out he switched on his walkie-talkie. The space-suited guard at the entrance came to port-arms. Dahlquist said, “Morning, Lopez,” and walked by him to the airlock. He pulled it open.

The guard motioned him back. “Hey! Nobody goes in without the Executive Officer’s say-so.” He shifted his gun, fumbled in his pouch and got out a paper. “Read it, Lieutenant.”

Dahlquist waved it away. “I drafted that order myself. You read it; you’ve misinterpreted it.”

“I don’t see how, Lieutenant.”

Dahlquist snatched the paper, glanced at it, then pointed to a line. “See? ‘—except persons specifically designated by the Executive Officer.’ That’s the bomb officers, Major Morgan and me.”

The guard looked worried. Dahlquist said, “Damn it, look up ‘specifically designated’—it’s under ‘Bomb Room, Security, Procedure for,’ in your standing orders. Don’t tell me you left them in the barracks!”

“Oh, no, sir! I’ve got ’em.” The guard reached into his pouch. Dahlquist gave him. back the sheet; the guard took it, hesitated, then leaned his weapon against his hip, shifted the paper to his left hand, and dug into his pouch with his right.

Dahlquist grabbed the gun, shoved it between the guard’s legs, and jerked. He threw the weapon away and ducked into the airlock. As he slammed the door he saw the guard struggling to his feet and reaching for his side arm. He dogged the outer door shut and felt a tingle in his fingers as a slug struck the door.

He flung himself at the inner door, jerked the spill lever, rushed back to the outer door and hung his weight on the handle. At once he could feel it stir. The guard was lifting up; the lieutenant was pulling down, with only his low Moon weight to anchor him. Slowly the handle raised before his eyes.

Air from the bomb room rushed into the lock through the spill valve. Dahlquist felt his space suit settle on his body as the pressure in the lock began to equal the pressure in the suit. He quit straining and let the guard raise the handle. It did not matter; thirteen tons of air pressure now held the door closed.

He latched open the inner door to the bomb room, so that it could not swing shut. As long as it was open, the airlock could not operate; no one could enter.

Before him in the room, one for each projectile rocket, were the atom bombs, spaced in rows far enough apart to defeat any faint possibility of spontaneous chain reaction. They were the deadliest things in the known universe, but they were his babies. He had placed himself between them and anyone who would misuse them.

But, now that he was here, he had no plan to use his temporary advantage.

The speaker on the wall sputtered into life. “Hey! Lieutenant! What goes on here? You gone crazy?” Dahlquist did not answer. Let Lopez stay confused—it would take him that much longer to make up his mind what to do. And Johnny Dahlquist needed as many minutes as he could squeeze. Lopez went on protesting. Finally he shut up.

Johnny had followed a blind urge not to let the bombs—his bombs!—be used for “demonstrations on unimportant towns.” But what to do next? Well, Towers couldn’t get through the lock. Johnny would sit tight until hell froze over.

Don’t kid yourself, John Ezra! Towers could get in. Some high explosive against the outer door—then the air would whoosh out, our boy Johnny would drown in blood from his burst lungs—and the bombs would be sitting there, unhurt. They were built to stand the jump from Moon to Earth; vacuum would not hurt them at all.

He decided to stay in his space suit; explosive decompression didn’t appeal to him. Come to think about it, death from old age was his choice.

Or they could drill a hole, let out the air, and open the door without wrecking the lock. Or Towers might even have a new airlock built outside the old. Not likely, Johnny thought; a coup d’etat depended on speed. Towers was almost sure to take the quickest way—blasting. And Lopez was probably calling the Base right now. Fifteen minutes for Towers to suit up and get here, maybe a short dicker—then whoosh! the party is over.

Fifteen minutes?

In fifteen minutes the bombs might fall back into the hands of the conspirators; in fifteen minutes he must make the bombs unusable.

An atom bomb is just two or more pieces of fissionable metal, such as plutonium. Separated, they are no more explosive than a pound of butter; slapped together, they explode. The complications lie in the gadgets and circuits and gun used to slap them together in the exact way and at the exact time and place required. .

These circuits, the bomb’s “brain,” are easily destroyed—but the bomb itself is hard to destroy because of its very simplicity. Johnny decided to smash the “brains”—and quickly!

The only tools at hand were simple ones used in handling the bombs. Aside from a Geiger counter, the speaker on the walkie-talkie circuit, a television rig to the base, and the bombs themselves, the room was bare. A bomb to be worked on was taken elsewhere—not through fear of explosion, but to reduce radiation exposure for personnel. The radioactive material in a bomb is buried in a “tamper”—in these bombs, gold. Gold stops alpha, beta, and much of the deadly gamma radiation but not neutrons.

The slippery, poisonous neutrons which plutonium gives off had to escape, or a chain reaction—explosion!—would result. The room was bathed in an invisible, almost undetectable rain of neutrons. The place was unhealthy; regulations called for staying in it as short a time as possible.

The Geiger counter clicked off the “background” radiation, cosmic rays, the trace of radioactivity in the Moon’s crust, and secondary radioactivity set up all through the room by neutrons. Free neutrons have the nasty trait of infecting what they strike, making it radioactive, whether it be concrete wall or human body. In time the room would have to be abandoned.

Dahlquist twisted a knob on the Geiger counter; the instrument stopped clicking. He had used a suppressor circuit to cut out noise of “background” radiation at the level then present. It reminded him uncomfortably of the danger of staying here. He took out the radiation exposure film all radiation personnel carry; it was a direct-response type and had been fresh when he arrived. The most sensitive end was faintly darkened already. Half way down the film a red line crossed it. Theoretically, if the wearer was exposed to enough radioactivity in a week to darken the film to that line, he was, as Johnny reminded himself, a “dead duck.”

Off came the cumbersome space suit; what he needed was speed. Do the job and surrender—better to be a prisoner than to linger in a place as “hot” as this.

He grabbed a ball hammer from the tool rack and got busy, pausing only to switch off the television pick-up. The first bomb bothered him. He started to smash the cover plate of the “brain,” then stopped, filled with reluctance. All his life he had prized fine apparatus.

He nerved himself and swung; glass tinkled, metal creaked. His mood changed; he began to feel a shameful pleasure in destruction. He pushed on with enthusiasm, swinging, smashing, destroying!

So intent was he that he did not at first hear his name called.

“Dahlquist! Answer me! Are you there?”

He wiped sweat and looked at the TV screen. Towers’ perturbed features stared out.

Johnny was shocked to find that he had wrecked only six bombs. Was he going to be caught before he could finish? Oh, no! He had to finish. Stall, son, stall! “Yes, Colonel? You called me?”

“I certainly did! What’s the meaning of this?” “I’m sorry, Colonel.”

Towers’ expression relaxed a little. “Turn on your pick-up, Johnny, I can’t see you. What was that noise?”

“The pick-up is on,” Johnny lied. “It must be out of order. That noise—uh, to tell the truth, Colonel, I was fixing things so that nobody could get in here.”

Towers hesitated, then said firmly, “I’m going to assume that you are sick and send you to the Medical Officer. But I want you to come out of there, right away. That’s an order, Johnny.”

Johnny answered slowly. “I can’t just yet, Colonel. I came here to make up my mind and I haven’t quite made it up. You said to see you after lunch.”

“I meant you to stay in your quarters.”

“Yes, sir. But I thought I ought to stand watch on the bombs, in case I decided you were wrong.”

“It’s not for you to decide, Johnny. I’m your superior officer.

You are sworn to obey me.”

“Yes, sir.” This was wasting time; the old fox might have a squad on the way now. “But I swore to keep the peace, too. Could you come out here and talk it over with me? I don’t want to do the wrong thing.”

Towers smiled. “A good idea, Johnny. You wait there. I’m sure you’ll see the light.” He switched off.

“There,” said Johnny. “I hope you’re convinced that I’m a half-wit—you slimy mistake!” He picked up the hammer, ready to use the minutes gained.

He stopped almost at once; it dawned on him that wrecking the “brains” was not enough. There were no spare “brains,” but there was a well-stocked electronics shop. Morgan could jury-rig control circuits for bombs. Why, he could himself—not a neat job, but one that would work. Damnation! He would have to wreck the bombs themselves—and in the next ten minutes.

But a bomb was solid chunks of metal, encased in a heavy tamper, all tied in with a big steel gun. It couldn’t be done—not in ten minutes.

Damn!

Of course, there was one way. He knew the control circuits; he also knew how to beat them. Take this bomb: if he took out the safety bar, unhooked the proximity circuit, shorted the delay circuit, and cut in the arming circuit by hand—then unscrewed that and reached in there, he could, with just a long, stiff wire, set the bomb off.

Blowing the other bombs and the valley itself to Kingdom Come.

Also Johnny Dahlquist. That was the rub.

All this time he was doing what he had thought out, up to the step of actually setting off the bomb. Ready to go, the bomb seemed to threaten, as if crouching to spring. He stood up, sweating.

He wondered if he had the courage. He did not want to funk—and hoped that he would. He dug into his jacket and took out a picture of Edith and the baby. “Honeychile,” he said, “if I get out of this, I’ll never even try to beat a red light.” He kissed the picture and put it back. There was nothing to do but wait.

What was keeping Towers? Johnny wanted to make sure that Towers was in blast range. What a joke on the jerk! Me—sitting here, ready to throw the switch on him. The idea tickled him; it led to a better: why blow himself up—alive?

There was another way to rig it—a “dead man” control. Jigger up some way so that the last step, the one that set off the bomb, would not happen as long as he kept his hand on a switch or a lever or something. Then, if they blew open the door, or shot him, or anything—up goes the balloon!

Better still, if he could hold them off with the threat of it, sooner or later help would come—Johnny was sure that most of the Patrol was not in this stinking conspiracy—and then: Johnny comes marching home! What a reunion! He’d resign and get a teaching job; he’d stood his watch.

All the while, he was working. Electrical? No, too little time. Make it a simple mechanical linkage. He had it doped out but had hardly begun to build it when the loudspeaker called him. “Johnny?”

“That you, Colonel?” His hands kept busy.

“Let me in.”

“Well, now, Colonel, that wasn’t in the agreement.” Where in blue blazes was something to use as a long lever?

“I’ll come in alone, Johnny, I give you my word. We’ll talk face to face.”

His word! “We can talk over the speaker, Colonel.” Hey, that was it—a yardstick, hanging on the tool rack.

“Johnny, I’m warning you. Let me in, or I’ll blow the door off.”

” wire—he needed a wire, fairly long and stiff. He tore the antenna from his suit. “You wouldn’t do that, Colonel. It would ruin the bombs.”

“Vacuum won’t hurt the bombs. Quit stalling.”

“Better check with Major Morgan. Vacuum won’t hurt them; explosive decompression would wreck every circuit.” The Colonel was not a bomb specialist; he shut up for several minutes. Johnny went on working.

“Dahlquist,” Towers resumed, “that was a clumsy lie. I checked with Morgan. You have sixty seconds to get into your suit, if you aren’t already. I’m going to blast the door.”

“No, you won’t,” said Johnny. “Ever hear of a ‘dead man’ switch?” Now for a counterweight—and a sling.”

“Eh? What do you mean?”

“I’ve rigged number seventeen to set off by hand. But I put in a gimmick. It won’t blow while I hang on to a strap I’ve got in my hand. But if anything happens to meup she goes! You are about fifty feet from the blast center. Think it over.”

There was a short silence. “I don’t believe you.”

“No? Ask Morgan. He’ll believe me. He can inspect it, over the TV pick-up.” Johnny lashed the belt of his space suit to the end of the yardstick.

“You said the pick-up was out of order.”

“So I lied. This time I’ll prove it. Have Morgan call me.”

Presently Major Morgan’s face appeared. “Lieutenant Dahlquist?”

“Hi, Stinky. Wait a sec.” With great care Dahlquist made one last connection while holding down the end of the yardstick. Still careful, he shifted his grip to the belt, sat down on the floor, stretched an arm and switched on the TV pick-up. “Can you see me, Stinky?”

“I can see you,” Morgan answered stiffly. “What is this nonsense?”

“A little surprise I whipped up.” He explained it—what circuits he had cut out, what ones had been shorted, just how the jury-rigged mechanical sequence fitted in.

Morgan nodded. “But you’re bluffing, Dahlquist, I feel sure that you haven’t disconnected the ‘K’ circuit. You don’t have the guts to blow yourself up.”

Johnny chuckled. “I sure haven’t. But that’s the beauty of it. It can’t go off, so long as I am alive. If your greasy boss, ex-Colonel Towers, blasts the door, then I’m dead and the bomb goes off. It won’t matter to me, but it will to him. Better tell him.” He switched off.

Towers came on over the speaker shortly. “Dahlquist?”

“I hear you.”

“‘There’s no need to throwaway your life. Come out and you will be retired on full pay. You can go home to your family. That’s a promise.”

Johnny got mad. “You keep my family out of this!”

“Think of them, man.”

“Shut up. Get back to your hole. I feel a need to scratch and this whole shebang might just explode in your lap.”

II

Johnny sat up with a start. He had dozed, his hand hadn’t let go the sling, but he had the shakes when he thought about it.

Maybe he should disarm the bomb and depend on their not daring to dig him out? But Towers’ neck was already in hock for treason; Towers might risk it. If he did and the bomb were disarmed, Johnny would be dead and Towers would have the bombs. No, he had gone this far; he wouldn’t let his baby girl grow up in a dictatorship just to catch some sleep.

He heard the Geiger counter clicking and remembered having used the suppressor circuit. The radioactivity in the room must be increasing, perhaps from scattering the “brain” circuits-the circuits were sure to be infected; they had lived too long too close to plutonium. He dug out his film.

The dark area was spreading toward the red line.

He put it back and said, “Pal, better break this deadlock or you are going to shine like a watch dial.” It was a figure of speech; infected animal tissue does not glow—it simply dies, slowly.

The TV screen lit up; Towers’ face appeared. “Dahlquist? I want to talk to you.”

“Go fly a kite.”

“Let’s admit you have us inconvenienced.”

“Inconvenienced, hell—I’ve got you stopped.”

“For the moment. I’m arranging to get more bombs—”

“Liar.”

“—but you are slowing us up. I have a proposition.”

“Not interested.”

“Wait. When this is over I will be chief of the world government. If you cooperate, even now, I will make you my administrative head.”

Johnny told him what to do with it. Towers said, “Don’t be stupid. What do you gain by dying?”

Johnny grunted. “Towers, what a prime stinker you are.

You spoke of my family. I’d rather see them dead than living under a two-bit Napoleon like you. Now go away—I’ve got some thinking to do.”

Towers switched off.

Johnny got out his film again. It seemed no darker but it re-minded him forcibly that time was running out. He was hungry and thirsty—and he could not stay awake forever. It took four days to get a ship up from Earth; he could not expect rescue any sooner. And he wouldn’t last four days—once the darkening spread past the red line he was a goner.

His only chance was to wreck the bombs beyond repair, and get out—before that film got much darker.

He thought about ways, then got busy. He hung a weight on the sling, tied a line to it. If Towers blasted the door, he hoped to jerk the rig loose before he died.

There was a simple, though arduous, way to wreck the bombs beyond any capacity of Moon Base to repair them. The heart of each was two hemispheres of plutonium, their flat surface polished smooth to permit perfect contact when slapped together. Anything less would prevent the chain reaction on which atomic explosion depended.

Johnny started taking apart one of the bombs.

He had to bash off four lugs, then break the glass envelope around the inner assembly. Aside from that the bomb came apart easily. At last he had in front of him two gleaming, mirror-perfect half globes.

A blow with the hammer—and one was no longer perfect. Another blow and the second cracked like glass; he had trapped its crystalline structure just right.

Hours later, dead tired, he went back to the armed bomb. Forcing himself to steady down, with extreme care he disarmed it. Shortly its silvery hemispheres too were useless. There was no longer a usable bomb in the room—but huge fortunes in the most valuable, most poisonous, and most deadly metal in the known world were spread around the floor.

Johnny looked at the deadly stuff. “Into your suit and out of here, son,” he said aloud. “I wonder what Towers will say?”

He walked toward the rack, intending to hang up the hammer. As he passed, the Geiger counter chattered wildly.

Plutonium hardly affects a Geiger counter; secondary infection from plutonium does. Johnny looked at the hammer, then held it closer to the Geiger counter. The counter screamed.

Johnny tossed it hastily away and started back toward his suit.

As he passed the counter it chattered again. He stopped short.

He pushed one hand close to the counter. Its clicking picked up to a steady roar. Without moving he reached into his pocket and took out his exposure film.

It was dead black from end to end.

III

Plutonium taken into the body moves quickly to bone marrow. Nothing can be done; the victim is finished. Neutrons from it smash through the body, ionizing tissue, transmuting atoms into radioactive isotopes, destroying and killing. The fatal dose is unbelievably small; a mass a tenth the size of a grain of table salt is more than enough—a dose small enough to enter through the tiniest scratch. During the historic “Manhattan Project” immediate high amputation was considered the only possible first-aid measure.

Johnny knew all this but it no longer disturbed him. He sat on the floor, smoking a hoarded cigarette, and thinking. The events of his long watch were running through his mind.

He blew a puff of smoke at the Geiger counter and smiled without humor to hear it chatter more loudly. By now even his breath was “hot”—carbon-14, he supposed, exhaled from his blood stream as carbon dioxide. It did not matter.

There was no longer any point in surrendering, nor would he give Towers the satisfaction—he would finish out this watch right here. Besides, by keeping up the bluff that one bomb was ready to blow, he could stop them from capturing the raw material from which bombs were made. That might be important in the long run.

He accepted, without surprise, the fact that he was not unhappy. There was a sweetness about having no further worries of any sort. He did not hurt, he was not uncomfortable, he was no longer even hungry. Physically he still felt fine and his mind was at peace. He was dead—he knew that he was dead; yet for a time he was able to walk and breathe and see and feel.

He was not even lonesome. He was not alone; there were comrades with him—the boy with his finger in the dike, Colonel Bowie, too ill to move but insisting that he be carried across the line, the dying Captain of the Chesapeake still with deathless challenge on his lips, Rodger Young peering into the gloom. They gathered about him in the dusky bomb room.

And of course there was Edith. She was the only one he was aware of. Johnny wished that he could see her face more clearly. Was she angry? Or proud and happy?

Proud though unhappy—he could see her better now and even feel her hand. He held very still.

Presently his cigarette burned down to his fingers. He took a final puff, blew it at the Geiger counter, and put it out. It was his last. He gathered several butts and fashioned a roll-your-own with a bit of paper found in a pocket. He lit it care-fully and settled back to wait for Edith to show up again. He was very happy.

He was still propped against the bomb case, the last of his salvaged cigarettes cold at his side, when the speaker called out again. “Johnny? Hey, Johnny! Can you hear me? This is Kelly. It’s all over. The Lafayette landed and Towers blew his brains out. Johnny? Answer me.”

When they opened the outer door, the first man in carried a Geiger counter in front of him on the end of a long pole. He stopped at the threshold and backed out hastily. “Hey, chief!” he called. “Better get some handling equipment—uh, and a lead coffin, too.”

* * *

"Four days it took the little ship and her escort to reach Earth. Four days while all of Earth's people awaited her arrival. For ninety-eight hours all commercial programs were of} television; instead there was an endless dirge—-the Dead March tram Saul, the Valhalla theme, Going Home, the Patrol's own Landing Orbit.

"The nine ships landed at Chicago Port. A drone tractor removed the casket from the small ship; the ship was then refueled and blasted off in an escape trajectory, thrown away into outer space, never again to be used for a lesser purpose. 

"The tractor progressed to the Illinois town where Lieutenant Dahlquist had been born, while the dirge continued. There it placed the casket on a pedestal, inside a barrier marking the distance of safe approach. Space marines, arms reversed and heads bowed, stood guard around it; the crowds stayed outside this circle. And still the dirge continued. 

"When enough time had passed, long, long after the heaped flowers had withered, the lead casket was enclosed in marble, just as you see it today." 

Conclusion

When George Soros offers you millions of dollars and a lifetime of service by prostitutes as long as you do his bidding, would you do it? Don’t laugh. It happened. Check this out here;

Snopes

What if you could get a nice pension for not teaching High School students the United States Constitution? Or looking the other way, when bills are passed that violate the Bill of Rights? What if by not taking any action, you would get enormous lumps of money and prestige? All you need to do is “be a team player” and “go with the flow”? What if?

Well it has happened. Go here…

How they get away with it

What if you could get a position in government and collect all the top secret documents, and sell them to the highest bidding foreign nation? What if you could get away with it, and have all of the government support you? What if you could get away with it/ Would you do it?

It’s happened. Go here…

Line in the sand

Ultimately the life we live is do to what we do, or what we do not do. The world that we live in today is a direct consequence of our actions, or (in the case of many Americans) our inaction. I think it is high time that we reverse this trend and start standing up for ourselves.

Take Aways

  • Fictional stories are enjoyable to read, but have meaning in important ways.
  • This story was written after World War II, when the idea of a tyrannical government was fresh in the minds of Americans.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 3OCT18
  2. Completed 3OCT18.
  3. SEO 3OCT18.
  4. Published 3OCT18.

A Sound of Thunder (Full Text) A Story by Ray Bradbury

This story was written right after World War II by Ray Bradbury, and presented here under Article 22 of China’s Copyright Law.

A Sound of Thunder” is a science fiction short story by Ray Bradbury, first published in Collier’s magazine in the June 28, 1952, issue and Bradbury’s collection The Golden Apples of the Sun in 1953.

Ray Bradberry is one of my personal heroes and his writings greatly influenced me in ways that I am only just now beginning to understand.

Introduction

“There was this fence where we pressed our faces and felt the wind turn warm and held to the fence and forgot who we were or where we came from but dreamed of who we might be and where we might go…”

R is for Rocket

Ray Bradbury

For years I had amassed a well worn, and dusty collection of Ray Bradbury paperbacks that I would pick up and read for pleasure and inspiration.  Later, when I left the United States, and moved to China, I had to leave my treasured books behind. Sigh.

Ray Bradberry book colleciton
A small collection of well worn, well read and well appreciated Ray Bradbury books. My collection looked a little something like this, only I think the books were a little more worn, and a little yellower.

It is very difficult to come across Ray Bradbury books in China. When ever I find one, I certainly snatch it up. Cost is no object when it comes to these masterpieces. At one time, I must have had five books containing this story.

I have found this version of the story “A Sound of Thunder” on the Ray Bradbury library portal in Russia, and I have copied it here exactly as found. Credit to the wonderful people at the Ray Bradbury Library for posting it where a smuck like myself can read it within China. And, of course, credit to the great master; Ray Bradbury for providing this work of art for our inspiration and pleasure.

Full Text

Here is the full text of the masterpiece. I will let the reader read it and enjoy it.

A Sound of Thunder by Ray Bradbury

The sign on the wall seemed to quaver under a film of sliding warm water. Eckels felt his eyelids blink over his stare, and the sign burned in this momentary darkness: 

TIME SAFARI, INC.
SAFARIS TO ANY YEAR IN THE PAST.
YOU NAME THE ANIMAL.
WE TAKE YOU THERE.
YOU SHOOT IT.

Warm phlegm gathered in Eckels' throat; he swallowed and pushed it down. The muscles around his mouth formed a smile as he put his hand slowly out upon the air, and in that hand waved a check for ten thousand dollars to the man behind the desk.

"Does this safari guarantee I come back alive?"

"We guarantee nothing," said the official, "except the dinosaurs." He turned. "This is Mr. Travis, your Safari Guide in the Past. He'll tell you what and where to shoot. If he says no shooting, no shooting. If you disobey instructions, there's a stiff penalty of another ten thousand dollars, plus possible government action, on your return."

Eckels glanced across the vast office at a mass and tangle, a snaking and humming of wires and steel boxes, at an aurora that flickered now orange, now silver, now blue. There was a sound like a gigantic bonfire burning all of Time, all the years and all the parchment calendars, all the hours piled high and set aflame.

A touch of the hand and this burning would, on the instant, beautifully reverse itself. Eckels remembered the wording in the advertisements to the letter. Out of chars and ashes, out of dust and coals, like golden salamanders, the old years, the green years, might leap; roses sweeten the air, white hair turn Irish-black, wrinkles vanish; all, everything fly back to seed, flee death, rush down to their beginnings, suns rise in western skies and set in glorious easts, moons eat themselves opposite to the custom, all and everything cupping one in another like Chinese boxes, rabbits into hats, all and everything returning to the fresh death, the seed death, the green death, to the time before the beginning. 

A touch of a hand might do it, the merest touch of a hand. 

"Unbelievable." Eckels breathed, the light of the Machine on his thin face. "A real Time Machine." He shook his head. "Makes you think, If the election had gone badly yesterday, I might be here now running away from the results. Thank God Keith won. He'll make a fine President of the United States." 

"Yes," said the man behind the desk. "We're lucky. If Deutscher had gotten in, we'd have the worst kind of dictatorship. There's an anti everything man for you, a militarist, anti-Christ, anti-human, anti-intellectual. People called us up, you know, joking but not joking. Said if Deutscher became President they wanted to go live in 1492. Of course it's not our business to conduct Escapes, but to form Safaris. Anyway, Keith's President now. All you got to worry about is-"

"Shooting my dinosaur," Eckels finished it for him.

"A Tyrannosaurus Rex. The Tyrant Lizard, the most incredible monster in history. Sign this release. Anything happens to you, we're not responsible. Those dinosaurs are hungry."

Eckels flushed angrily. "Trying to scare me!"

"Frankly, yes. We don't want anyone going who'll panic at the first shot. Six Safari leaders were killed last year, and a dozen hunters. We're here to give you the severest thrill a real hunter ever asked for. Traveling you back sixty million years to bag the biggest game in all of Time. Your personal check's still there. Tear it up."Mr. Eckels looked at the check. His fingers twitched.

"Good luck," said the man behind the desk. "Mr. Travis, he's all yours."

They moved silently across the room, taking their guns with them, toward the Machine, toward the silver metal and the roaring light.

First a day and then a night and then a day and then a night, then it was day-night-day-night. A week, a month, a year, a decade! A.D. 2055. A.D. 2019. 1999! 1957! Gone! The Machine roared.

They put on their oxygen helmets and tested the intercoms.

Eckels swayed on the padded seat, his face pale, his jaw stiff. He felt the trembling in his arms and he looked down and found his hands tight on the new rifle. There were four other men in the Machine. Travis, the Safari Leader, his assistant, Lesperance, and two other hunters, Billings and Kramer. They sat looking at each other, and the years blazed around them.

"Can these guns get a dinosaur cold?" Eckels felt his mouth saying.

"If you hit them right," said Travis on the helmet radio. "Some dinosaurs have two brains, one in the head, another far down the spinal column. We stay away from those. That's stretching luck. Put your first two shots into the eyes, if you can, blind them, and go back into the brain."

The Machine howled. Time was a film run backward. Suns fled and ten million moons fled after them. "Think," said Eckels. "Every hunter that ever lived would envy us today. This makes Africa seem like Illinois."

The Machine slowed; its scream fell to a murmur. The Machine stopped.

The sun stopped in the sky.

The fog that had enveloped the Machine blew away and they were in an old time, a very old time indeed, three hunters and two Safari Heads with their blue metal guns across their knees.

"Christ isn't born yet," said Travis, "Moses has not gone to the mountains to talk with God. The Pyramids are still in the earth, waiting to be cut out and put up. Remember that. Alexander, Caesar, Napoleon, Hitler-none of them exists." The man nodded.

"That" - Mr. Travis pointed - "is the jungle of sixty million two thousand and fifty-five years before President Keith."

He indicated a metal path that struck off into green wilderness, over streaming swamp, among giant ferns and palms.

"And that," he said, "is the Path, laid by Time Safari for your use,

It floats six inches above the earth. Doesn't touch so much as one grass blade, flower, or tree. It's an anti-gravity metal. Its purpose is to keep you from touching this world of the past in any way. Stay on the Path. Don't go off it. I repeat. Don't go off. For any reason! If you fall off, there's a penalty. And don't shoot any animal we don't okay."

"Why?" asked Eckels.

They sat in the ancient wilderness. Far birds' cries blew on a wind, and the smell of tar and an old salt sea, moist grasses, and flowers the color of blood.

"We don't want to change the Future. We don't belong here in the Past. The government doesn't like us here. We have to pay big graft to keep our franchise. A Time Machine is finicky business. Not knowing it, we might kill an important animal, a small bird, a roach, a flower even, thus destroying an important link in a growing species."

"That's not clear," said Eckels.

"All right," Travis continued, "say we accidentally kill one mouse here. That means all the future families of this one particular mouse are destroyed, right?"

"Right"

"And all the families of the families of the families of that one mouse! With a stamp of your foot, you annihilate first one, then a dozen, then a thousand, a million, a billion possible mice!"

"So they're dead," said Eckels. "So what?"

"So what?" Travis snorted quietly. "Well, what about the foxes that'll need those mice to survive? For want of ten mice, a fox dies. For want of ten foxes a lion starves. For want of a lion, all manner of insects, vultures, infinite billions of life forms are thrown into chaos and destruction. 

Eventually it all boils down to this: fifty-nine million years later, a caveman, one of a dozen on the entire world, goes hunting wild boar or saber-toothed tiger for food. But you, friend, have stepped on all the tigers in that region. By stepping on one single mouse. So the caveman starves. And the caveman, please note, is not just any expendable man, no! He is an entire future nation. From his loins would have sprung ten sons. From their loins one hundred sons, and thus onward to a civilization. Destroy this one man, and you destroy a race, a people, an entire history of life. It is comparable to slaying some of Adam's grandchildren. The stomp of your foot, on one mouse, could start an earthquake, the effects of which could shake our earth and destinies down through Time, to their very foundations. 

With the death of that one caveman, a billion others yet unborn are throttled in the womb. Perhaps Rome never rises on its seven hills. Perhaps Europe is forever a dark forest, and only Asia waxes healthy and teeming. Step on a mouse and you crush the Pyramids. Step on a mouse and you leave your print, like a Grand Canyon, across Eternity. Queen Elizabeth might never be born, Washington might not cross the Delaware, there might never be a United States at all. So be careful. Stay on the Path. Never step off!"

"I see," said Eckels. "Then it wouldn't pay for us even to touch the grass?"

"Correct. Crushing certain plants could add up infinitesimally. A little error here would multiply in sixty million years, all out of proportion. Of course maybe our theory is wrong. Maybe Time can't be changed by us. Or maybe it can be changed only in little subtle ways. A dead mouse here makes an insect imbalance there, a population disproportion later, a bad harvest further on, a depression, mass starvation, and finally, a change in social temperament in far-flung countries. Something much more subtle, like that. Perhaps only a soft breath, a whisper, a hair, pollen on the air, such a slight, slight change that unless you looked close you wouldn't see it. Who knows? Who really can say he knows? We don't know. We're guessing. But until we do know for certain whether our messing around in Time can make a big roar or a little rustle in history, we're being careful. This Machine, this Path, your clothing and bodies, were sterilized, as you know, before the journey. We wear these oxygen helmets so we can't introduce our bacteria into an ancient atmosphere."

"How do we know which animals to shoot?"

"They're marked with red paint," said Travis. "Today, before our journey, we sent Lesperance here back with the Machine. He came to this particular era and followed certain animals."

"Studying them?"

"Right," said Lesperance. "I track them through their entire existence, noting which of them lives longest. Very few. How many times they mate. Not often. Life's short, When I find one that's going to die when a tree falls on him, or one that drowns in a tar pit, I note the exact hour, minute, and second. I shoot a paint bomb. It leaves a red patch on his side. We can't miss it. Then I correlate our arrival in the Past so that we meet the Monster not more than two minutes before he would have died anyway. This way, we kill only animals with no future, that are never going to mate again. You see how careful we are?"

"But if you come back this morning in Time," said Eckels eagerly, you must've bumped into us, our Safari! How did it turn out? Was it successful? Did all of us get through-alive?"

Travis and Lesperance gave each other a look.

"That'd be a paradox," said the latter. "Time doesn't permit that sort of mess-a man meeting himself. When such occasions threaten, Time steps aside. Like an airplane hitting an air pocket. You felt the Machine jump just before we stopped? That was us passing ourselves on the way back to the Future. We saw nothing. There's no way of telling if this expedition was a success, if we got our monster, or whether all of us - meaning you, Mr. Eckels - got out alive."

Eckels smiled palely.

"Cut that," said Travis sharply. "Everyone on his feet!"

They were ready to leave the Machine.

The jungle was high and the jungle was broad and the jungle was the entire world forever and forever. Sounds like music and sounds like flying tents filled the sky, and those were pterodactyls soaring with cavernous gray wings, gigantic bats of delirium and night fever.

Eckels, balanced on the narrow Path, aimed his rifle playfully.

"Stop that!" said Travis. "Don't even aim for fun, blast you! If your guns should go off - - "

Eckels flushed. "Where's our Tyrannosaurus?"

Lesperance checked his wristwatch. "Up ahead, We'll bisect his trail in sixty seconds. Look for the red paint! Don't shoot till we give the word. Stay on the Path. Stay on the Path!"

They moved forward in the wind of morning.

"Strange," murmured Eckels. "Up ahead, sixty million years, Election Day over. Keith made President. Everyone celebrating. And here we are, a million years lost, and they don't exist. The things we worried about for months, a lifetime, not even born or thought of yet."

"Safety catches off, everyone!" ordered Travis. "You, first shot, Eckels. Second, Billings, Third, Kramer."

"I've hunted tiger, wild boar, buffalo, elephant, but now, this is it," said Eckels. "I'm shaking like a kid."

"Ah," said Travis.

Everyone stopped.

Travis raised his hand. "Ahead," he whispered. "In the mist. There he is. There's His Royal Majesty now."

The jungle was wide and full of twitterings, rustlings, murmurs, and sighs.

Suddenly it all ceased, as if someone had shut a door.

Silence.

A sound of thunder.

Out of the mist, one hundred yards away, came Tyrannosaurus Rex.

"It," whispered Eckels. "It......

"Sh!"

It came on great oiled, resilient, striding legs. It towered thirty feet above half of the trees, a great evil god, folding its delicate watchmaker's claws close to its oily reptilian chest. Each lower leg was a piston, a thousand pounds of white bone, sunk in thick ropes of muscle, sheathed over in a gleam of pebbled skin like the mail of a terrible warrior. Each thigh was a ton of meat, ivory, and steel mesh. And from the great breathing cage of the upper body those two delicate arms dangled out front, arms with hands which might pick up and examine men like toys, while the snake neck coiled. And the head itself, a ton of sculptured stone, lifted easily upon the sky. Its mouth gaped, exposing a fence of teeth like daggers. Its eyes rolled, ostrich eggs, empty of all expression save hunger. It closed its mouth in a death grin. It ran, its pelvic bones crushing aside trees and bushes, its taloned feet clawing damp earth, leaving prints six inches deep wherever it settled its weight.

It ran with a gliding ballet step, far too poised and balanced for its ten tons. It moved into a sunlit area warily, its beautifully reptilian hands feeling the air.

"Why, why," Eckels twitched his mouth. "It could reach up and grab the moon."

"Sh!" Travis jerked angrily. "He hasn't seen us yet."

"It can't be killed," Eckels pronounced this verdict quietly, as if there could be no argument. He had weighed the evidence and this was his considered opinion. The rifle in his hands seemed a cap gun. "We were fools to come. This is impossible."

"Shut up!" hissed Travis.

"Nightmare."

"Turn around," commanded Travis. "Walk quietly to the Machine. We'll remit half your fee."

"I didn't realize it would be this big," said Eckels. "I miscalculated, that's all. And now I want out."

"It sees us!"

"There's the red paint on its chest!"

The Tyrant Lizard raised itself. Its armored flesh glittered like a thousand green coins. The coins, crusted with slime, steamed. In the slime, tiny insects wriggled, so that the entire body seemed to twitch and undulate, even while the monster itself did not move. It exhaled. The stink of raw flesh blew down the wilderness.

"Get me out of here," said Eckels. "It was never like this before. I was always sure I'd come through alive. I had good guides, good safaris, and safety. This time, I figured wrong. I've met my match and admit it. This is too much for me to get hold of."

"Don't run," said Lesperance. "Turn around. Hide in the Machine."

"Yes." Eckels seemed to be numb. He looked at his feet as if trying to make them move. He gave a grunt of helplessness.

"Eckels!"

He took a few steps, blinking, shuffling.

"Not that way!"

The Monster, at the first motion, lunged forward with a terrible scream. It covered one hundred yards in six seconds. The rifles jerked up and blazed fire. A windstorm from the beast's mouth engulfed them in the stench of slime and old blood. The Monster roared, teeth glittering with sun.

Eckels, not looking back, walked blindly to the edge of the Path, his gun limp in his arms, stepped off the Path, and walked, not knowing it, in the jungle. His feet sank into green moss. His legs moved him, and he felt alone and remote from the events behind.

The rifles cracked again, Their sound was lost in shriek and lizard thunder. The great level of the reptile's tail swung up, lashed sideways. Trees exploded in clouds of leaf and branch. The Monster twitched its jeweler's hands down to fondle at the men, to twist them in half, to crush them like berries, to cram them into its teeth and its screaming throat. Its boulderstone eyes leveled with the men. They saw themselves mirrored. They fired at the metallic eyelids and the blazing black iris,

Like a stone idol, like a mountain avalanche, Tyrannosaurus fell.

Thundering, it clutched trees, pulled them with it. It wrenched and tore the metal Path. The men flung themselves back and away. The body hit, ten tons of cold flesh and stone. The guns fired. The Monster lashed its armored tail, twitched its snake jaws, and lay still. A fount of blood spurted from its throat. Somewhere inside, a sac of fluids burst. Sickening gushes drenched the hunters. They stood, red and glistening.

The thunder faded.

The jungle was silent. After the avalanche, a green peace. After the nightmare, morning.

Billings and Kramer sat on the pathway and threw up. Travis and Lesperance stood with smoking rifles, cursing steadily. In the Time Machine, on his face, Eckels lay shivering. He had found his way back to the Path, climbed into the Machine.

Travis came walking, glanced at Eckels, took cotton gauze from a metal box, and returned to the others, who were sitting on the Path.

"Clean up."

They wiped the blood from their helmets. They began to curse too. The Monster lay, a hill of solid flesh. Within, you could hear the sighs and murmurs as the furthest chambers of it died, the organs malfunctioning, liquids running a final instant from pocket to sac to spleen, everything shutting off, closing up forever. It was like standing by a wrecked locomotive or a steam shovel at quitting time, all valves being released or levered tight. Bones cracked; the tonnage of its own flesh, off balance, dead weight, snapped the delicate forearms, caught underneath. The meat settled, quivering.

Another cracking sound. Overhead, a gigantic tree branch broke from its heavy mooring, fell. It crashed upon the dead beast with finality.

"There." Lesperance checked his watch. "Right on time. That's the giant tree that was scheduled to fall and kill this animal originally." He glanced at the two hunters. "You want the trophy picture?"

"What?"

"We can't take a trophy back to the Future. The body has to stay right here where it would have died originally, so the insects, birds, and bacteria can get at it, as they were intended to. Everything in balance. The body stays. But we can take a picture of you standing near it."

The two men tried to think, but gave up, shaking their heads.

They let themselves be led along the metal Path. They sank wearily into the Machine cushions. They gazed back at the ruined Monster, the stagnating mound, where already strange reptilian birds and golden insects were busy at the steaming armor. A sound on the floor of the Time Machine stiffened them. Eckels sat there, shivering.

"I'm sorry," he said at last.

"Get up!" cried Travis.

Eckels got up.

"Go out on that Path alone," said Travis. He had his rifle pointed, "You're not coming back in the Machine. We're leaving you here!"

Lesperance seized Travis's arm. "Wait-"

"Stay out of this!" Travis shook his hand away. "This fool nearly killed us. But it isn't that so much, no. It's his shoes! Look at them! He ran off the Path. That ruins us! We'll forfeit! Thousands of dollars of insurance! We guarantee no one leaves the Path. He left it. Oh, the fool! I'll have to report to the government. They might revoke our license to travel. Who knows what he's done to Time, to History!"

"Take it easy, all he did was kick up some dirt."

"How do we know?" cried Travis. "We don't know anything! It's all a mystery! Get out of here, Eckels!"

Eckels fumbled his shirt. "I'll pay anything. A hundred thousand dollars!"

Travis glared at Eckels' checkbook and spat. "Go out there. The Monster's next to the Path. Stick your arms up to your elbows in his mouth. Then you can come back with us."

"That's unreasonable!"

"The Monster's dead, you idiot. The bullets! The bullets can't be left behind. They don't belong in the Past; they might change anything. Here's my knife. Dig them out!"

The jungle was alive again, full of the old tremorings and bird cries. Eckels turned slowly to regard the primeval garbage dump, that hill of nightmares and terror. After a long time, like a sleepwalker he shuffled out along the Path.

He returned, shuddering, five minutes later, his arms soaked and red to the elbows. He held out his hands. Each held a number of steel bullets. Then he fell. He lay where he fell, not moving.

"You didn't have to make him do that," said Lesperance.

"Didn't I? It's too early to tell." Travis nudged the still body. "He'll live. Next time he won't go hunting game like this. Okay." He jerked his thumb wearily at Lesperance. "Switch on. Let's go home."

1492. 1776. 1812.

They cleaned their hands and faces. They changed their caking shirts and pants. Eckels was up and around again, not speaking. Travis glared at him for a full ten minutes.

"Don't look at me," cried Eckels. "I haven't done anything."

"Who can tell?"

"Just ran off the Path, that's all, a little mud on my shoes-what do you want me to do-get down and pray?"

"We might need it. I'm warning you, Eckels, I might kill you yet. I've got my gun ready."

"I'm innocent. I've done nothing!"

1999.2000.2055.

The Machine stopped.

"Get out," said Travis.

The room was there as they had left it. But not the same as they had left it. The same man sat behind the same desk. But the same man did not quite sit behind the same desk. Travis looked around swiftly. "Everything okay here?" he snapped.

"Fine. Welcome home!"

Travis did not relax. He seemed to be looking through the one high window.

"Okay, Eckels, get out. Don't ever come back." Eckels could not move.

"You heard me," said Travis. "What're you staring at?"

Eckels stood smelling of the air, and there was a thing to the air, a chemical taint so subtle, so slight, that only a faint cry of his subliminal senses warned him it was there. The colors, white, gray, blue, orange, in the wall, in the furniture, in the sky beyond the window, were . . . were . . . . And there was a feel. His flesh twitched. His hands twitched. He stood drinking the oddness with the pores of his body. Somewhere, someone must have been screaming one of those whistles that only a dog can hear. His body screamed silence in return. Beyond this room, beyond this wall, beyond this man who was not quite the same man seated at this desk that was not quite the same desk . . . lay an entire world of streets and people. What sort of world it was now, there was no telling. He could feel them moving there, beyond the walls, almost, like so many chess pieces blown in a dry wind ....

But the immediate thing was the sign painted on the office wall, the same sign he had read earlier today on first entering. Somehow, the sign had changed:

TYME SEFARI INC.
SEFARIS TU ANY YEER EN THE PAST.
YU NAIM THE ANIMALL.
WEE TAEK YU THAIR.
YU SHOOT ITT.

Eckels felt himself fall into a chair. He fumbled crazily at the thick slime on his boots. He held up a clod of dirt, trembling, "No, it can't be. Not a little thing like that. No!"

Embedded in the mud, glistening green and gold and black, was a butterfly, very beautiful and very dead.

"Not a little thing like that! Not a butterfly!" cried Eckels.

It fell to the floor, an exquisite thing, a small thing that could upset balances and knock down a line of small dominoes and then big dominoes and then gigantic dominoes, all down the years across Time. Eckels' mind whirled. It couldn't change things. Killing one butterfly couldn't be that important! Could it?

His face was cold. His mouth trembled, asking: "Who - who won the presidential election yesterday?"

The man behind the desk laughed. "You joking? You know very well. Deutscher, of course! Who else? Not that fool weakling Keith. We got an iron man now, a man with guts!" The official stopped. "What's wrong?"

Eckels moaned. He dropped to his knees. He scrabbled at the golden butterfly with shaking fingers. "Can't we," he pleaded to the world, to himself, to the officials, to the Machine, "can't we take it back, can't we make it alive again? Can't we start over? Can't we-"

He did not move. Eyes shut, he waited, shivering. He heard Travis breathe loud in the room; he heard Travis shift his rifle, click the safety catch, and raise the weapon.

There was a sound of thunder.

Comments

And that was that.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 27SEP18.
  2. Completed 30SEP18.
  3. SEO review 1OCT18.

Kaleidoscope (Full Text) A Story by Ray Bradbury

This story was written right after World War II by Ray Bradbury, and presented here under Article 22 of China’s Copyright Law.

“Kaleidoscope” is a science fiction short story by Ray Bradbury. It describes the last few moments of a space ship crew that survives a terrible explosion in space.

Ray Bradbury is one of my personal heroes and his writings greatly influenced me in ways that I am only just now beginning to understand.

Introduction

For years I had amassed a well worn, and dusty collection of Ray Bradbury paperbacks that I would pick up and read for pleasure and inspiration.  Later, when I left the United States, and moved to China, I had to leave my treasured books behind. Sigh.

It is very difficult to come across Ray Bradbury books in China. When ever I find one, I certainly snatch it up. Cost is no object when it comes to these masterpieces. At one time, I must have had five books containing this story.

I have found this version of the story “Kaleidoscope” on the “Scary for Kids” website, and I have copied it here exactly as found. Credit to the wonderful people at the “Scary for Kids” website for posting it where a smuck like myself can read it within China. And, of course, credit to the great master; Ray Bradbury for providing this work of art for our inspiration and pleasure.

Full Text

Here is the full text of the masterpiece. I will let the reader read it and enjoy it themselves.

Kaleidoscope by Ray Bradbury

The first concussion cut the rocket up the side with a giant can opener. The men were thrown into space like a dozen wriggling silverfish. They were scattered into a dark sea; and the ship, in a million pieces, went on, a meteor swarm seeking a lost sun.

“Barkley, Barkley, where are you?”

The sound of voices calling like lost children on a cold night

“Woode, Woode!”

“Captain!”

“Hollis, Hollis, this is Stone.”

“Stone, this is Hollis. Where are you?”

“I don’t know. How can I? Which way is up? I’m falling. Good God, I’m falling.”

They fell. They fell as pebbles fall down wells. They were scattered as jackstones are scattered from a gigantic throw. And now instead of men there were only voices-all kinds of voices, disembodied and impassioned, in varying degrees of terror and resignation.

“We’re going away from each other.”

This was true. Hollis, swinging head over heels, knew this was true. He knew it with a vague acceptance. They were parting to go their separate ways, and nothing could bring them back. They were wearing their sealed-tight space suits with the glass tubes over their pale faces, but they hadn’t had time to lock on their force units. With them they could be small lifeboats in space, saving themselves, saving others, collecting together, finding each other until they were an island of men with some plan. But without the force units snapped to their shoulders they were meteors, senseless, each going to a separate and irrevocable fate.

A period of perhaps ten minutes elapsed while the first terror died and a metallic calm took its place. Space began to weave its strange voices in and out, on a great dark loom, crossing, recrossing, making a final pattern.

“Stone to Hollis. How long can we talk by phone?”

“It depends on how fast you’re going your way and I’m going mine.”

“An hour, I make it.”

“That should do it,” said Hollis, abstracted and quiet.

“What happened?” said Hollis a minute later.

“The rocket blew up, that’s all. Rockets do blow up.”

“Which way are you going?”

“It looks like I’ll hit the moon.”

“It’s Earth for me. Back to old Mother Earth at ten thousand miles per hour. I’ll burn like a match.” Hollis thought of it with a queer abstraction of mind. He seemed to be removed from his body, watching it fall down and down through space, as objective as he had been in regard to the first falling snowflakes of a winter season long gone.

The others were silent, thinking of the destiny that had brought them to this, falling, falling, and nothing they could do to change it. Even the captain was quiet, for there was no command or plan he knew that could put things back together again.

“Oh, it’s a long way down. Oh, if s a long way down, a long, long, long way down,” said a voice. “I don’t want to die, I don’t want to die, if s a long way down.”

“Who’s that?”

“I don’t know.”

“Stimson, I think. Stimson, is that you?”

“It’s a long, long way and I don’t like it. Oh, God, I don’t like it.”

“Stimson, this is Hollis. Stimson, you hear me?”

A pause while they fell separate from one another.

“Stimson?”

“Yes.” He replied at last.

“Stimson, take it easy; we’re all in the same fix.”

“I don’t want to be here. I want to be somewhere else.”

“There’s a chance we’ll be found.”

“I must be, I must be,” said Stimson. “I don’t believe this; I don’t believe any of this is happening.”

“It’ s a bad dream,” said someone.

“Shut up!” said Hollis.

“Come and make me,” said the voice. It was Applegate. He laughed easily, with a similar objectivity. “Come and shut me up.”

Hollis for the first time felt the impossibility of his position. A great anger filled him, for he wanted more than anything at this moment to be able to do something to Applegate. He had wanted for many years to do something and now it was too late. Applegate was only a telephonic voice.

Falling, falling, falling…

Now, as if they had discovered the horror, two of the men began to scream. In a nightmare Hollis saw one of them float by, very near, screaming and screaming.

“Stop it!” The man was almost at his fingertips, screaming insanely. He would never stop. He would go on screaming for a million miles, as long as he was in radio range, disturbing all of them, making it impossible for them to talk to one another.

Hollis reached out. It was best this way. He made the extra effort and touched the man. He grasped the man’s ankle and pulled himself up along the body until he reached the head. The man screamed and clawed frantically, like a drowning swimmer. The screaming filled the universe.

One way or the other, thought Hollis. The moon or Earth or meteors will kill him, so why not now?

He smashed the man’s glass mask with his iron fist. The screaming stopped. He pushed off from the body and let it spin away on its own course, falling.

Falling, falling down space Hollis and the rest of them went in the long, endless dropping and whirling of silence.

“Hollis, you still there?”

Hollis did not speak, but felt the rush of heat in his face.

“This is Applegate again.”

“All right, Applegate.”

“Let’s talk. We haven’t anything else to do.”

The captain cut in. “That’s enough of that. We’ve got to figure a way out of this.”

“Captain, why don’t you shut up?” said Applegate.

“What!”

“You heard me, Captain. Don’t pull your rank on me, you’re ten thousand miles away by now, and let’s s not kid ourselves. As Stimson puts it, it’s a long way down.”

“See here, Applegate!”

“Can it. This is a mutiny of one. I haven’t a damn thing to lose. Your ship was a bad ship and you were a bad captain and I hope you break when you hit the Moon.”

“I’m ordering you to stop!”

“Go on, order me again.” Applegate smiled across ten thousand miles. The captain was silent. Applegate continued, “Where were we, Hollis? Oh yes, I remember. I hate you too. But you know that. You’ve known it for a long time.”

Hollis clenched his fists, helplessly.

“I want to tell you something,” said Applegate. “Make you happy. I was the one who blackballed you with the Rocket Company five years ago.”

A meteor flashed by. Hollis looked down and his left hand was gone. Blood spurted. Suddenly there was no air in his suit He had enough air in his lungs to move his right hand over and twist a knob at his left elbow, tightening the joint and sealing the leak. It had happened so quickly that he was not surprised. Nothing surprised him any more. The air in the suit came back to normal in an instant now that the leak was sealed. And the blood that had flowed so swiftly was pressured as he fastened the knob yet tighter, until it made a tourniquet.

All of this took place in a terrible silence on his part. And the other men chatted. That one man, Lespere, went on and on with his talk about his wife on Mars, his wife on Venus, his wife on Jupiter, his money, his wondrous times, his drunkenness, his gambling, his happiness. On and on, while they all fell. Lespere reminisced on the past, happy, while he fell to his death.

It was so very odd. Space, thousands of miles of space, and these voices vibrating in the center of it. No one visible at all, and only the radio waves quivering and trying to quicken other men into emotion.

“Are you angry, Hollis?”

“No.” And he was not. The abstraction has returned and he was a thing of dull concrete, forever falling nowhere.

“You wanted to get to the top all your life, Hollis. You always wondered what happened. I put the black mark on you just before I was tossed out myself.”

“That isn’t important,” said Hollis. And it was not. It was gone. When life is over it is like a flicker of bright film, an instant on the screen, all of its prejudices and passions condensed and illumined for an instant on space, and before you could cry out, “There was a happy day, there a bad one, there an evil face, there a good one,” the film burned to a cinder, the screen went dark.

From this outer edge of his life, looking back, there was only one remorse, and that was only that he wished to go on living. Did all dying people feel this way, as if they had never lived? Did life seem that short, indeed, over and done before you took a breath? Did it seem this abrupt and impossible to everyone, or only to himself, here, now, with a few hours left to him for thought and deliberation?

One of the other men, Lespere, was talking. “Well, I had me a good time: I had a wife on Mars, Venus, and Jupiter. Each of them had money and treated me swell. I got drunk and once I gambled away twenty thousand dollars.”

But you’re here now, thought Hollis. I didn’t have any of those things. When I was living I was jealous of you, Lespere; when I had another day ahead of me I envied you your women and your good times. Women frightened me and I went into space, always wanting them and jealous of you for having them, and money, and as much happiness as you could have in your own wild way. But now, falling here, with everything over, I’m not jealous of you any more, because if s over for you as it is for me, and right now if s like it never was. Hollis craned his face forward and shouted into the telephone. “If s all over, Lespere!”

Silence.

“If s just as if it never was, Lespere!”

“Who’s that?” Lespere’s faltering voice.

“This is Hollis.”

He was being mean. He felt the meanness, the senseless meanness of dying. Applegate had hurt him; now he wanted to hurt another. Applegate and space had both wounded him.

“You’re out here, Lespere. If s all over. It’s just as if it had never happened, isn’t it?”

“No.”

“When anything’s over, it’s just like it never happened. Where’s your life any better than mine, now? Now is what counts. Is it any better? Is it?”

“Yes, it’s better!”

“How!”

“Because I got my thoughts, I remember!” cried Lespere, far away, indignant, holding his memories to his chest with both hands.

And he was right. With a feeling of cold water rushing through his head and body, Hollis knew he was right. There were differences between memories and dreams. He had only dreams of things he had wanted to do, while Lespere had memories of things done and accomplished. And this knowledge began to pull Hollis apart, with a slow, quivering precision.

“What good does it do you?” he cried to Lespere. “Now? When a thing’s over it’s not good any more. You’re no better off than I.”

“I’m resting easy,” said Lespere. “I’ve had my turn. I’m not getting mean at the end, like you.”

“Mean?” Hollis turned the word on his tongue. He had never been mean, as long as he could remember, in his life. He had never dared to be mean. He must have saved it all of these years for such a time as this. “Mean.” He rolled the word into the back of his mind. He felt tears start into his eyes and roll down his face. Someone must have heard his gasping voice.

‘Take it easy, Hollis.”

It was, of course, ridiculous. Only a minute before he had been giving advice to others, to Stimson; he had felt a braveness which he had thought to be the genuine thing, and now he knew that it had been nothing but shock and the objectivity possible in shock. Now he was trying to pack a lifetime of suppressed emotion into an interval of minutes.

“I know how you feel, Hollis,” said Lespere, now twenty thousand miles away, his voice fading. “I don’t take it personally.”

But aren’t we equal? he wondered. Lespere and I? Here, now? If a thing’s over, if s done, and what good is it? You die anyway. But he knew he was rationalizing, for it was like trying to tell the difference between a live man and a corpse. There was a spark in one, and not in the other – an aura, a mysterious element.

So it was with Lespere and himself; Lespere had lived a good full life, and it made him a different man now, and he, Hollis, had been as good as dead for many years. They came to death by separate paths and, in all likelihood, if there were lands of death, their kinds would be as different as night from day. The quality of death, like that of life, must be of an infinite variety, and if one has already died once, then what was there to look for in dying for good and all, as he was now?

It was a second later that he discovered his right foot was cut sheer away. It almost made him laugh. The air was gone from his suit again. He bent quickly, and there was blood, and the meteor had taken flesh and suit away to the ankle. Oh, death in space was most humorous. It cut you away, piece by piece, like a black and invisible butcher. He tightened the valve at the knee, his head whirling into pain, fighting to remain aware, and with the valve tightened, the blood retained, the air kept, he straightened op and went on falling, falling, for that was all there was left to do.

“Hollis?”

Hollis nodded sleepily, tired of waiting for death.

“This is Applegate again,” said the voice.

“Yes.”

‘I’ve had time to think. I listened to you. This isn’t good. It makes us bad. This is a bad way to die. It brings all the bile out. You listening, Hollis?”

“Yes.”

“I lied. A minute ago. I lied. I didn’t blackball you. I don’t know why I said that. Guess I wanted to hurt you. You seemed the one to hurt. We’ve always fought Guess I’m getting old fast and repenting fast I guess listening to you be mean made me ashamed. Whatever the reason, I want you to know I was an idiot too. There’s not an ounce of truth in what I said. To hell with you.”

Hollis felt his heart begin to work again. It seemed as if it hadn’t worked for five minutes, but now all of his limbs began to take color and warmth. The shock was over, and the successive shocks of anger and terror and loneliness were passing. He felt like a man emerging from a cold shower in the morning, ready for breakfast and a new day.

“Thanks, Applegate.”

“Don’t mention it. Up your nose, you bastard.”

“Hey,” said Stone.

“What?” Hollis called across space; for Stone, of all of them, was a good friend.

“I’ve got myself into a meteor swarm, some little asteroids.”

“Meteors?”

“I think it’s the Myrmidone cluster that goes out past Mars and in toward Earth once every five years. I’m right in the middle. If s like a big kaleidoscope. You get all kinds of colors and shapes and sizes. God, if s beautiful, all that metal.”

Silence.

“I’m going with them,” said Stone. “They’re taking me off with them. I’ll be damned.” He laughed.

Hollis looked to see, but saw nothing. There were only the great diamonds and sapphires and emerald mists and velvet inks of space, with God’s voice mingling among the crystal fires. There was a kind of wonder and imagination in the thought of Stone going off in the meteor swarm, out past Mars for years and coming in toward Earth every five years, passing in and out of the planet’s ken for the next million centuries. Stone and the Myrmidone cluster eternal and unending, shifting and shaping like the kaleidoscope colors when you were a child and held the long tube to the sun and gave it a twirl.

“So long, Hollis.” Stone’s voice, very faint now. “So long.”

“Good luck,” shouted Hollis across thirty thousand miles.

“Don’t be funny,” said Stone, and was gone.

The stars closed in.

Now all the voices were fading, each on his own trajectory, some to Mars, others into farthest space. And Hollis himself… He looked down. He, of all the others, was going back to Earth alone.

“So long.”

“Take it easy.”

“So long, Hollis.” That was Applegate.

The many good-bys. The short farewells. And now the great loose brain was disintegrating. The components of the brain which had worked so beautifully and efficiently in the skull case of the rocket ship firing through space were dying one by one; the meaning of their life together was falling apart. And as a body dies when the brain ceases functioning, so the spirit of the ship and their long time together and what they meant to one another was dying. Applegate was now no more than a finger blown from the parent body, no longer to be despised and worked against. The brain was exploded, and the senseless, useless fragments of it were far scattered. The voices faded and now all of space was silent. Hollis was alone, falling.

They were all alone. Their voices had died like echoes of the words of God spoken and vibrating in the starred deep. There went the captain to the Moon; there Stone with the meteor swarm; there Stimson; there Applegate toward Pluto; there Smith and Turner and Underwood and all the rest, the shards of the kaleidoscope that had formed a thinking pattern for so long, hurled apart.

And I? thought Hollis. What can I do? Is there anything I can do now to make up for a terrible and empty life? If only I could do one good thing to make up for the meanness I collected all these years and didn’t even know was in me! But there’s no one here but myself, and how can you do good all alone? You can’t. Tomorrow night I’ll hit Earth s atmosphere.

I’ll burn, he thought, and be scattered in ashes all over the continental lands. I’ll be put to use. Just a little bit, but ashes are ashes and they’ll add to the land.

He fell swiftly, like a bullet, like a pebble, like an iron weight, objective, objective all of the time now, not sad or happy or anything, but only wishing he could do a good thing now that everything was gone, a good thing for just himself to know about.

When I hit the atmosphere, I’ll burn like a meteor.

“I wonder,” he said, “if anyone’ll see me?”

The small boy on the country road looked up and screamed. “Look, Mom, look! A falling star!”

The blazing white star fell down the sky of dusk in Illinois. “Make a wish,” said his mother. “Make a wish.”

Comments

I really enjoyed this story. It’s a little sad when we know that people have died in space and that space is really very unforgiving. If the reader enjoyed this story, then I would suggest reading “The cold equations”.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Released 28SEP18.

Dark They Were and Golden Eyed (Full Text) by Ray Bradbury

This story was written right after World War II by Ray Bradbury, and presented here under Article 22 of China’s Copyright Law.

“Dark They Were, and Golden-Eyed” is a science fiction short story by Ray Bradbury. It was originally published in the magazine Thrilling Wonder Stories in August 1949, under the title “The Naming of Names”. It was subsequently included in the short-story collections A Medicine for Melancholy and S is for Space. The story takes place in the near future on Mars, as is the case with many of Bradbury’s stories.

Ray Bradbury is one of my personal heroes and his writings greatly influenced me in ways that I am only just now beginning to understand.

Introduction

“There was this fence where we pressed our faces and felt the wind turn warm and held to the fence and forgot who we were or where we came from but dreamed of who we might be and where we might go…”

R is for Rocket

Ray Bradbury

For years I had amassed a well worn, and dusty collection of Ray Bradbury paperbacks that I would pick up and read for pleasure and inspiration.  Later, when I left the United States, and moved to China, I had to leave my treasured books behind. Sigh.

Ray Bradberry book colleciton
A small collection of well worn, well read and well appreciated Ray Bradbury books. My collection looked a little something like this, only I think the books were a little more worn, and a little yellower.

It is very difficult to come across Ray Bradbury books in China. When ever I find one, I certainly snatch it up. Cost is no object when it comes to these masterpieces. At one time, I must have had five books containing this story.

I have found this version of the story “Dark they were and Golden Eyed” on the Ray Bradbury library portal in Russia, and I have copied it here exactly as found. Credit to the wonderful people at the Ray Bradbury Library for posting it where a smuck like myself can read it within China. And, of course, credit to the great master; Ray Bradbury for providing this work of art for our inspiration and pleasure.

Full Text

Here is the full text of the masterpiece. I will let the reader read it and enjoy it themselves.

Dark They were, And Golden Eyed (The Naming of Names).

By Ray Bradbury

The rocket's metal cooled in the meadow winds. Its lid gave a bulging pop. From its clock interior stepped a man, a woman, and three children. The other passengers whispered away across the Martian meadow, leaving the man alone among his family.

The man felt his hair flutter and the tissues of his body draw tight as if he were standing at the centre of a vacuum. His wife, before him, trembled. The children, small seeds, might at any instant be sown to all the Martian climes. The children looked up at him. His face was cold. "What's wrong?" asked his wife. "Let's get back on the rocket." "Go back to Earth?" "Yes! Listen!"

The wind blew, whining. At any moment the Martian air might draw his soul from him, as marrow comes from a white bone.

He looked at Martian hills that time had worn with a crushing pressure of years. He saw the old cities, lost and lying like children's delicate bones among the blowing lakes of grass.

"Chin up, Harry," said his wife. "It's too late. We've come at least sixty-five million miles or more."

The children with their yellow hair hollered at the deep dome of Martian sky. There was no answer but the racing hiss of wind through the stiff grass.

He picked up the luggage in his cold hands. "Here we go," he said - a man standing on the edge of a sea, ready to wade in and be drowned.

They walked into town.

Their name was Bittering. Harry and his wife Cora; Tim, Laura, and David. They built a small white cottage and ate good breakfasts there, but the fear was never gone. It lay with Mr.Bittering and Mrs.Bittering, a third unbidden partner at every midnight talk, at every dawn awakening.

"I feel like a salt crystal," he often said, "in a mountain stream, being washed away. We don't belong here. We're Earth people. This is Mars. It was meant for Martians. For heaven's sake, Cora, let's buy tickets for home!"

But she only shook her head. "One day the atom bomb will fix Earth. Then we'll be safe here." "Safe and insane!"

Tick-took, seven o'clock sang the voice clock; time to get up. And they did.

Something made him check everything each morning - warm hearth, potted blood-geraniums - precisely as if he expected something to be amiss. The morning paper was toast-warm from the six a.m. Earth rocket. He broke its seal and tilted it at his breakfast plate. He forced himself to be convivial.

"Colonial days all over again," he declared. "Why, in another year there'll be a million Earthmen on Mars. Big cities, everything! They said we'd fail. Said the Martians would resent our invasion. But did we find any Martians? Not a living soul! Oh, we found their empty cities, but no one in them. Right?"

A river of wind submerged the house. When the windows ceased rattling, Mr.Bittering swallowed and looked at the children.

"I don't know," said David. "Maybe there're Martians around we don't see. Sometimes nights I think I hear 'em. I hear the wind. The sand hits my window. I get scared. And I see those towns way up in the mountains where the Martians lived a long ago. And I think I see things moving around those towns, Papa. And I wonder if those Martians mind us living here. I wonder if they won't do something to us for coming here."

"Nonsense!" Mr.Bittering looked out of the windows. "We're clean, decent people." He looked at his children. "All dead cities have some kind of ghosts in them. Memories, I mean." He stared at the hills. "You see a staircase and you wonder what Martians looked like climbing it. You see Martian paintings and you wonder what the painter was like. You make a little ghost in your mind, a memory. It's quite natural. Imagination." He stopped. "You haven't been prowling up in those ruins, have you?"

"No, Papa." David looked at his shoes.

"See that you stay away from them. Pass the jam."

"Just the same," said little David, "I bet something happens."

Something happened that afternoon.

Laura stumbled through the settlement, crying. She dashed blindly on to the porch.

"Mother, Father - the war, Earth!" she sobbed. "A radio flash just came. Atom bombs hit New York! All the space rockets blown up. No more rockets to Mars, ever!"

"Oh, Harry!" The mother held on to her husband and daughter.

"Are you sure, Laura?" asked the father quietly.

Laura wept. "We're stranded on Mars, for ever and ever!"

For a long time there was only the sound of the wind in the late afternoon.

Alone, thought Bittering. Only a thousand of us here. No way back. No way. No way. Sweat poured from his face and his hands and his body; he was drenched in the hot-ness of his fear. He wanted to strike Laura, cry, "No, you're lying! The rockets will come back!" Instead, he stroked Laura's head against him and said, "The rockets will get through, some day."

"In five years maybe. It takes that long to build one. Father, Father, what will we do?"

"Go about our business, of course. Raise crops and children. Wait. Keep things going until the war ends and the rockets come again."

The two boys stepped out on to the porch. "Children," he said, sitting there, looking beyond them, "I've something to tell you." "We know," they said.

Bittering wandered into the garden to stand alone in his fear. As long as the rockets had spun a silver web across space, he had been able to accept Mars. For he had always told himself: 'Tomorrow, if I want, I can buy a ticket and go back to Earth.'

But now: the web gone, the rockets lying in jigsaw heaps of molten girder and unsnaked wire. Earth people left to the strangeness of Mars, the cinnamon dusts and wine airs, to be baked like gingerbread shapes in Martian summers, put into harvested storage by Martian winters. What would happen to him, the others? This was the moment Mars had waited for. Now it would eat them.

He got down on his knees in the flower bed, a spade in his nervous hands. Work, he thought, work and forget.

He glanced up from the garden to the Martian mountains. He thought of the proud old Martian names that had once been on those peaks. Earthmen, dropping from the sky, had gazed upon hills, rivers, Martian seas left nameless in spite of names. Once Martians had built cities, named cities; climbed mountains, named mountains; sailed seas, named seas. Mountains melted, seas drained, cities tumbled. In spite of this, the Earthmen had felt a silent guilt at putting new names to these ancient hills and valleys.

Nevertheless, man lives by symbol and label. The names were given.

Mr.Bittering felt very alone in his garden under the Martian sun, bent here, planting Earth flowers in a wild soil.

Think. Keep thinking. Different things. Keep your mind free of Earth, the atom war, the lost rockets.

He perspired. He glanced about. No one watching. He removed his tie. Pretty bold, he thought. First your coat off, now your tie. He hung it neatly on a peach tree he had imported as a sapling from Massachusetts.

He returned to his philosophy of names and mountains. The Earthmen had changed names. Now there were Hormel Valleys, Roosevelt Seas, Ford Hills, Vanderbilt Plateaus, Rockefeller Rivers, on Mars. It wasn't right. The American settlers had shown wisdom, using old Indian prairie names: Wisconsin, Minnesota, Idaho, Ohio, Utah, Milwaukee, Waukegan, Osseo. The old names, the old meanings.

Staring at the mountains wildly he thought: 'Are you up there? All the dead ones, you Martians? Well, here we are, alone, cut off! Come down, move us out! We're helpless!'

The wind blew a shower of peach blossoms.

He put out his sun-browned hand, gave a small cry. He touched the blossoms, picked them up. He turned them, be touched them again and again. Then he shouted for his wife.

"Cora!"

She appeared at a window. He ran to her.

"Cora, these blossoms!"

She handled them.

"Do you see? They're different. They've changed! They're not peach blossoms any more!"

"Look all right to me," she said.

"They're not. They're wrong! I can't tell how. An extra petal, a leaf, something, the colour, the smell!"

The children ran out in time to see their father hurrying about the garden, pulling up radishes, onions, and carrots from their beds.

"Cora, come look!

They handled the onions, the radishes, the carrots among them.

"Do they look like carrots?"

"Yes... No." She hesitated. "I don't know."

"They're changed."

"Perhaps."

"You know they have! Onions but not onions, carrots but not carrots. Taste: the same but different. Smell: not like it used to be." He felt his heart pounding, and he was afraid. He dug his fingers into the earth. "Cora, what's happening? What is it? We've got to get away from this." He ran across the garden. Each tree felt his touch. "The roses. The roses. They're turning green!"

And they stood looking at the green roses.

And two days later, Tim came running. "Come see the cow. I was milking her and I saw it. Come on!"

They stood in the shed and looked at their one cow.

It was growing a third horn.

And the lawn in front of their house very quietly and slowly was colouring itself, like spring violets. Seed from Earth but growing up a soft purple.

"We must get away," said Bittering. "We'll eat this stuff and then we'll change - who knows to what. I can't let it happen. There's only one thing to do. Burn this food!"

"It's not poisoned."

"But it is. Subtly, very subtly. A little bit. A very little bit. We mustn't touch it."

He looked with dismay at their house. "Even the house. The wind's done something to it. The air's burned it. The fog at night. The boards, all warped out of shape. It's not an Earthman's house any more."

"Oh, your imagination!"

He put on his coat and tie. "I'm going into town. We've got to do something now. I'll be back."

"Wait, Harry!" his wife cried.

But he was gone.

In town, on the shadowy step of the grocery store, the men sat with their hands on their knees, conversing with great leisure and ease.

Mr.Bittering wanted to fire a pistol in the air.

What are you doing, you fools! he thought. Sitting here! You've heard the news - we're stranded on this planet. Well, move! Aren't you frightened? Aren't you afraid? What are you going to do?

"Hello, Harry," said everyone.

"Look," he said to them. "You did hear the news, the other day, didn't you?"

They nodded and laughed. "Sure. Sure, Harry."

"What are you going to do about it?"

"Do, Harry, do? What can we do?"

"Build a rocket, that's what!"

"A rocket, Harry? To go back to all that trouble? Oh, Harry!"

"But you must want to go back. Have you noticed the peach blossoms, the onions, the grass?"

"Why, yes, Harry, seems we did," said one of the men.

"Doesn't it scare you?"

"Can't recall that it did much, Harry."

"Idiots!"

"Now, Harry."

Bittering wanted to cry. "You've got to work with me. If we stay here, we'll all change. The air. Don't you smell it? Something in the air. A Martian virus, maybe; some seed, or a pollen. Listen to me!"

They stared at him.

"Sam," he said to one of them.

"Yes, Harry?"

"Will you help me build a rocket?"

"Harry, I got a whole load of metal and some blueprints. You want to work in my metal shop, on a rocket, you're welcome. I'll sell you that metal for five hundred dollars. You should be able to construct a right pretty rocket if you work alone, in about thirty years."

Everyone laughed.

"Don't laugh."

Sam looked at him with quiet good humour.

"Sam," Bittering said. "Your eyes -"

"What about them, Harry?"

"Didn't they used to be grey?"

"Well, now, I don't remember."

"They were, weren't they?"

"Why do you ask, Harry?"

"Because now they're kind of yellow-coloured."

"Is that so, Harry?" Sam said, casually.

"And you're taller and thinner -"

"You might be right, Harry."

"Sam, you shouldn't have yellow eyes."

"Harry, what colour eyes have you got?" Sam said.

"My eyes? They're blue, of course."

"Here you are, Harry." Sam handed him a pocket mirror. "Take a look at yourself."

Mr.Bittering hesitated, and then raised the mirror to his face.

There were little, very dim flecks of new gold captured in the blue of his eyes.

"Now look what you've done," said Sam, a moment later. "You've broken my mirror."

Harry Bittering moved into the metal shop and began to build the rocket. Men stood in the open door and talked and joked without raising their voices. Once in a while they gave him a hand on lifting something. But mostly they just idled and watched him with their yellowing eyes.

"It's supper-time, Harry," they said.

His wife appeared with his supper in a wicker basket.

"I won't touch it," he said. "I'll eat only food from our deepfreeze. Food that came from Earth. Nothing from our garden."

His wife stood watching him. "You can't build a rocket."

"I worked in a shop once, when I was twenty. I know metal. Once I get it started, the others will help," he said, not looking at her, laying out the blueprints.

"Harry, Harry," she said, helplessly.

"We've got to get away, Cora. We've got to!"

The nights were full of wind that blew down the empty moonlit sea-meadows past the little white chess cities lying for their twelve-thousandth year in the shallows. In the Earthmen's settlement, the Bittering house shook with a feeling of change.

Lying abed, Mr.Bittering felt his bones shifted, shaped, melted like gold. His wife, lying beside him, was dark from many sunny afternoons. Dark she was, and golden, burnt almost black by the sun, sleeping, and the children metallic in their beds, and the wind roaring forlorn and changing through the old peach trees, violet grass, shaking out green rose petals.

The fear would not be stopped. It had his throat and heart. It dripped in a wetness of the arm and the temple and the trembling palm.

A green star rose in the east.

A strange word emerged from Mr.Bittering's lips.

"Iorrt. Iorrt." He repeated it.

It was a Martian word. He knew no Martian.

In the middle of the night he arose and dialled a call through to Simpson, the archaeologist.

"Simpson, what does the word 'Iorrt' mean?"

"Why that's the old Martian word for our planet Earth. Why?"

"No special reason."

The telephone slipped from his hand.

"Hello, hello, hello, hello," it kept saying while he sat gazing out at the green star. "Bittering? Harry, are you there?"

The days were full of metal sound. He laid the frame of the rocket with the reluctant help of three indifferent men. He grew very tired in an hour or so and had to sit down.

"The altitude," laughed a man.

"Are you eating, Harry?" asked another.

"I'm eating," he said, angrily,

"From your deep-freeze?"

"Yes!"

"You're getting thinner, Harry."

"I'm not!"

"And taller."

"Liar!"

His wife took him aside a few days later. "Harry, I've used up all the food in the deep-freeze. There's nothing left. I'll have to make sandwiches using food grown on Mars."

He sat down heavily.

"You must eat," she said. "You're weak."

"Yes," he said.

He took a sandwich, opened it, looked at it, and began to nibble at it.

"And take the rest of the day off," she said. "It's hot. The children want to swim in the canals and hike. Please come along."

"I can't waste time. This is a crisis!" "Just for an hour," she urged. "A swim'll do you good." He rose, sweating. "All right, all right. Leave me alone. I'll come."

"Good for you, Harry."

The sun was hot, the day quiet. There was only an immense staring burn upon the land. They moved along the canal, the father, the mother, the racing children in their swimsuits. They stopped and ate meat sandwiches. He saw their skin baking brown. And he saw the yellow eyes of his wife and his children, their eyes that were never yellow before. A few tremblings shook him, but were carried off in waves of pleasant heat as he lay in the sun. He was too tired to be afraid.

"Cora, how long have your eyes been yellow?" She was bewildered. "Always, I guess." "They didn't change from brown in the last three months?"

She bit her lips. "No. Why do you ask?" "Nevermind." They sat there.

"The children's eyes," he said. "They're yellow, too." "Sometimes growing children's eyes change colour." "Maybe we're children, too. At least to Mars. That's a thought." He laughed. "Think I'll swim."

They leaped into the canal water, and he let himself sink down and down to the bottom like a golden statue and lie there in green silence. All was water, quiet and deep, all was peace. He felt the steady, slow current drift him easily.

If I lie here long enough, he thought, the water will work and eat away my flesh until the bones show like coral. Just my skeleton left. And then the water can build on that skeleton - green things, deep-water things, red things, yellow things. Change. Change. Slow, deep, silent change. And isn't that what it is up there!

He saw the sky submerged above him, the sun made Martian by atmosphere and time and space.

Up there, a big river, he thought, a Martian river, all of us lying deep in it, in our pebble houses, in our sunken boulder houses, like crayfish hidden, and the water washing away our old bodies and lengthening the bones and -

He let himself drift up through the soft light.

Tim sat on the edge of the canal, regarding his father seriously.

"Utha," he said.

"What?" asked his father.

The boy smiled. "You know. Utha's the Martian word for 'father'."

"Where did you learn it?"

"I don't know. Around. Utha!"

"What do you want?"

The boy hesitated. "I - I want to change my name."

"Change it?"

"Yes."

His mother swam over. "What's wrong with Tim for a name?"

Tim fidgeted. "The other day you called Tim, Tim, Tim. I didn't even hear. I said to myself, That's not my name. I've a new name I want to use."

Mr.Bittering held to the side of the canal, his body cold and his heart pounding slowly. "What is this new name?" "Linnl. Isn't that a good name? Can I use it? Can I, please?"

Mr.Bittering put his hand to his head. He thought of the rocket, himself working alone, himself alone even among his family, so alone.

He heard his wife say, "Why not?" He heard himself say, "Yes, you can use it." "Yaaa!" screamed the boy. "I'm Linnl, Linnl!" Racing down the meadowlands, he danced and shouted. Mr.Bittering looked at his wife. "Why did we do that?" "I don't know," she said. "It just seemed like a good idea."

They walked into the hills. They strolled on old mosaic paths, beside still-pumping fountains. The paths were covered with a thin film of cool water all summer long. You kept your bare feet cool all the day, splashing as in a creek, wading.

They came to a small deserted Martian villa with a good view of the valley. It was on top of a hill. Blue-marble halls, large murals, a swimming-pool. It was refreshing in this hot summer-time. The Martians hadn't believed in large cities.

"How nice," said Mrs.Bittering, "if you could move up here to this villa for the summer."

"Come on," he said. "We're going back to town. There's work to be done on the rocket."

But as he worked that night, the thought of the cool bluemarble villa entered his mind. As the hours passed, the rocket seemed less important.

In the flow of days and weeks, the rocket receded and dwindled. The old fever was gone. It frightened him to think he had let it slip this way. But somehow the heat, the air, the working conditions - he heard the men murmuring on the porch of his metal shop.

"Everyone's going. You heard?"

"All right. That's right."

Bittering came out. "Going where?" He saw a couple of trucks, loaded with children and furniture, drive down the dusty street.

"Up to the villa," said the man.

"Yeah, Harry. I'm going. So is Sam. Aren't you, Sam?"

"That's right, Harry. What about you?"

"I've got work to do here."

"Work! You can finish that rocket in the autumn, when it's cooler."

He took a breath. "1 got the frame all set up."

"In the autumn is better." Their voices were lazy in the heat.

"Got to work," he said.

"Autumn," they reasoned. And they sounded so sensible, so right.

"Autumn would be best," he thought. "Plenty of time, then."

No! cried part of himself, deep down, put away, locked tight, suffocating. No! No! "In the autumn," he said. "Come on, Harry," they all said.

"Yes," he said, feeling his flesh melt in the hot liquid air. "Yes, the autumn. I'll begin work again then." "I got a villa near the Tirra Canal," said someone. "You mean the Roosevelt Canal, don't you?" "Tirra. The old Martian name."

"But on the map -"

"Forget the map. It's Tirra now. Now I found a place in the Pillan mountains -"

"You mean the Rockefeller range," said Bittering.

"I mean the Pillan mountains," said Sam.

"Yes," said Bittering, buried in the hot, swarming air. "The Pillan mountains."

Everyone worked at loading the truck in the hot, still afternoon of the next day.

Laura, Tim, and David carried packages. Or, as they preferred to be known, Ttil, Linnl, and Werr carried packages.

The furniture was abandoned in the little white cottage.

"It looked just fine in Boston," said the mother. "And here in the cottage. But up at the villa? No. We'll get it when we come back in the autumn."

Bittering himself was quiet.

"I've some ideas on furniture for the villa," he said, after a time. "Big, lazy furniture."

"What about your Encyclopedia! You're taking it along, surely?"

Mr.Bittering glanced away. "I'll come and get it next week."

They turned to their daughter. "What about your New York dresses?"

The bewildered girl stared. "Why, I don't want them any more."

They shut off the gas, the water, they locked the doors and walked away. Father peered into the truck.

"Gosh, we're not taking much," he said. "Considering all we brought to Mars, this is only a handful!"

He started the truck.

Looking at the small white cottage for a long moment, he was filled with a desire to rush to it, touch it, say goodbye to it, for he felt as if he were going away on a long journey, leaving something to which he could never quite return, never understand again.

Just then Sam and his family drove by in another truck.

"Hi, Bittering! Here we go!"

The truck swung down the ancient highway out of town. There were sixty others travelling the same direction. The town filled with a silent, heavy dust from their passage. The canal waters lay blue in the sun, and a quiet wind moved in the strange trees.

"Good-bye, town!" said Mr.Bittering.

"Good-bye, good-bye," said the family, waving to it.

They did not look back again.

Summer burned the canals dry. Summer moved like flame upon the meadows. In the empty Earth settlement, the painted houses flaked and peeled. Rubber tyres upon which children had swung in back yards hung suspended like stopped clock pendulums in the blazing air.

At the metal shop, the rocket frame began to rust.

In the quiet autumn, Mr.Bittering stood, very dark now, very golden-eyed, upon the slope above his villa, looking at the valley.

"It's time to go back," said Cora.

"Yes, but we're not going," he said, quietly. "There's nothing there any more."

"Your books," she said. "Your fine clothes."

"Your Illes and your fine ior uele rre," she said.

"The town's empty. No one's going back," he said. "There's no reason to, none at all."

The daughter wove tapestries and the sons played songs on ancient flutes and pipes, their laughter echoing in the marble villa.

Mr.Bittering gazed at the Earth settlement far away in the low valley. "Such odd, such ridiculous houses the Earth people built."

"They didn't know any better," his wife mused. "Such ugly People. I'm glad they've gone."

They both looked at each other, startled by all they had just finished saying. They laughed.

"Where did they go?" he wondered. He glanced at his wife. She was golden and slender as his daughter. She looked at him, and he seemed almost as young as their eldest son.

"I don't know," she said.

"We'll go back to town maybe next year, or the year after, or the year after that," he said, calmly. "Now - I'm warm. How about taking a swim?"

They turned their backs to the valley. Arm in arm they walked silently down a path of clear running spring water.

Five years later, a rocket fell out of the sky. It lay steaming in the valley. Men leaped out of it, shouting.

"We won the war on Earth! We're here to rescue you! Hey!"

But the American-built town of cottages, peach trees, and theatres was silent. They found a half-finished rocket frame, rusting in an empty shop.

The rocket men searched the hills. The captain established headquarters in an abandoned bar. His lieutenant came back to report.

"The town's empty, but we found native life in the hills, sir. Dark people. Yellow eyes. Martians. Very friendly. We talked a bit, not much. They learn English fast. I'm sure our relations will be most friendly with them, sir."

"Dark, eh?" mused the captain. "How many?"

"Six, eight hundred, I'd say, living in those marble ruins in the hills, sir. Tall, healthy. Beautiful women."

"Did they tell you what became of the men and women who built this Earth settlement, Lieutenant?"

"They hadn't the foggiest notion of what happened to this town or its people."

"Strange. You think those Martians killed them?"

"They look surprisingly peaceful. Chances are a plague did this town in, sir."

"Perhaps. I suppose this is one of those mysteries we'll never solve. One of those mysteries you read about."

The captain looked at the room, the dusty windows, the blue mountains rising beyond, the canals moving in the light, and he heard the soft wind in the air. He shivered. Then, recovering, he tapped a large fresh map he had thumb-tacked to the top of an empty table.

"Lots to be done, Lieutenant." His voice droned on and quietly on as the sun sank behind the blue hills. "New settlements. Mining sites, minerals to be looked for. Bacteriological specimens taken. The work, all the work. And the old records were lost. We'll have a job of remapping to do, renaming the mountains and rivers and such. Calls for a little imagination."

"What do you think of naming those mountains the Lincoln Mountains, this canal the Washington Canal, those hills - we can name those hills for you, Lieutenant. Diplomacy. And you, for a favour, might name a town for me. Polishing the apple. And why not make this the Einstein Valley, and further over... are you listening, Lieutenant?"

The lieutenant snapped his gaze from the blue colour and the quiet mist of the hills far beyond the town.

"What? Oh, yes, sir!"

Conclusion

I do not remember when I first read this story, but I am pretty sure that I was in my early teens. Sometime around 1972 or so, I picked up a paperback without a cover and started to read it. I became enraptured with the book, and brought it home where I scarfed up every juicy morsel inside of it.

I later, cut the brown cardboard backing from a note pad and taped it to the front of the book, making an ugly, but functional cover. Carefully, I wrote the the title of the work “The Martian Chronicles” using a very yellow Bic Banana pen on the cover. Under it, I printed “By Ray Bradbury”.

Bookstores would often get credit for books that they could not sell. To do this, they would tear off the front covers and send them back to the publisher for credit. Behind the bookstores would be bins full of discarded paperback books. Though finding one that you would be interested in was remarkably difficult. You had to go through a couple of hundred books that could represent anything from romance novels, to Westerns, to books on the surviving the future snowball earth as a consequence of global freezing.

Global freezing
During the 1970’s there was a big push to fund efforts to prevent global cooling. I would attend school and we would go out and clean up the neighborhood, and go on fund raising drives to collect money for the cause. The money would be collected in huge apple baskets. There was so much money collected. Baskets and baskets of donated money to prevent global cooling. Now, I don’t know what ever happened to the money. But, I am sure that someone took it and spent it in some way. Anyways, afterwards, we would eat hotdogs at a barn-fire, and sing songs. Typical songs were “If I had a hammer”, and kumbaya.

What I would do is crawl up and into the huge metal dumpster, and dig through boxes and debris to get to the books.

You could get an inkling of what the book’s content would be by looking at the back cover, but it was typically a difficult endeavor. However, for a young boy, who liked to read, climb in and out of dumpsters and get into trouble, it was like mining a treasure trove.

I would typically find four or five books of interest and throw them into my backpack / satchel (that I got at an Army Surplus store) and ride my banana-seat bicycle home. Once at the house, I could read the books at leisure, and out of the collection, I might end up keeping two or three and tossing the rest.

I was the perpetual scavenger. From lost golf balls at the local golf club to digging through the rocks at the nearby pool to look for fossils. My bed room was a collection of all sorts of junk that I would lug home. I had everything from arrowheads to piles of “Mad Magazine”, and “Treasure Magazines” stacked up in the corner. My room had model airplanes hanging from the ceiling by string, to old maps that I liberated out of the ceiling rafters of an old car garage.

I was a typical boy, and Ray Bradberry was a major influence on my life.

Take Aways

  • Ray Bradbury wrote the short story “Dark they were and golden eyed”.
  • His works greatly influenced me was a young boy into my early teens.
  • He contributed to my desire to study aerospace engineering, become a pilot in the Navy, and join MAJestic.
  • His stories are not to be studied, they are to be enjoyed.

FAQ

Q:  What would you do after you read science fiction stories?
A: Typically, I would read at the house. I was a big fan of reading while I was in the bathroom. I would read on both the toilet and while soaking in the tub. I would read in my tree house, or on my bed, or in the living room. I would read on the porch, or in the car while my father was driving us about. I read everywhere.

However, when I wasn’t reading I was typically out walking or hiking. We had various spur lines for the coal-hauling railroad all around us. They would wind in and out of the hills. I would walk those railroad tracks. Often I would walk on top like a balance beam. If a train was near, I would pull out a penny to squash on the track. I would also pick up some of the millions of little black marble-sized dirty balls that were everywhere and throw them into the bushes or into the nearby river. Sometimes I would take out my trusty (blue) cub-scout knife and cut some branches off of a beech tree and chew on the branches as I walked.

I often would walk alone and ponder my life. I might go with a friend or two, or my trusty dog Belle (she was a Siberian Husky). We would walk the spurs and climb the hills. We would talk about televisions shows, the local football game, and things that mattered to us.

Q: Should Ray Bradbury and his works be taught in school?
A: Yes and no. Stories by Bradbury are not something that can used to achieve grades. It is something that has to be absorbed. Therefore, I believe that everyone should be exposed to his work, but it should not be used as a study aid. It’s like pizza. Many people like it, but not everyone. You can study how to make a pizza, but the best thing and the best utility for pizza is to eat it.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 26SEP18.
  2. Completed 28SEP18.
  3. SEO Review 28SEP18.
  4. Published 28SEP18.

The Rocket (Full Text) A Story by Ray Bradbury

This story was written right after World War II by Ray Bradbury, and presented here under Article 22 of China’s Copyright Law.

The Rocket” is a Science fiction short story (initially published under the name “Outcast of the Stars”) by American writer Ray Bradbury. It is also included in The Illustrated Man, a collection of short stories by Ray Bradbury.

Ray Bradbury is one of my personal heroes and his writings greatly influenced me in ways that I am only just now beginning to understand.

When Ray started out, the field of science fiction lacked respectability, to say the least. It was the province of the pulps: magazines printed on cheap paper, with lurid covers designed to catch the attention of immature boys. 

He was often dismissed, if not outright ridiculed, by mainstream writers, but quickly learned to ignore his critics. If they didn’t think rockets and dinosaurs were suitable subjects for literature, to hell with them. 

Ray loved that stuff, along with Martians and witches and things that go bump in the night, so that’s what he wrote about. His unique imagination was harnessed within vivid, lyrical prose, and after the publication of The Martian Chronicles in 1950, the literary elite were forced to acknowledge a striking new talent.

As Ray’s stories became more widely published and read, they fueled the imaginations of millions of young people over several generations, many of whom went on to cite his influence as a major reason they became scientists and engineers. 

His stories practically shouted that it wasn’t just okay to dream of rockets and space travel, it was wonderful, mythic, imperative—the highest accomplishment the human race could aspire to.

-The Space Review's tribute to Ray Bradbury

Ray Bradberry 1
I will ride up into space, into the stars…someday.

Introduction

“There was this fence where we pressed our faces and felt the wind turn warm and held to the fence and forgot who we were or where we came from but dreamed of who we might be and where we might go…”

R is for Rocket

Ray Bradbury

For years I had amassed a well worn, and dusty collection of Ray Bradbury paperbacks that I would pick up and read for pleasure and inspiration.  Later, when I left the United States, and moved to China, I had to leave my treasured books behind. Sigh.

Ray Bradberry book colleciton
A small collection of well worn, well read and well appreciated Ray Bradberry books. My collection looked a little something like this, only I think the books were a little more worn, and a little yellower.

It is very difficult to come across Ray Bradberry books in China. When ever I find one, I certainly snatch it up. Cost is no object when it comes to these masterpieces. At one time, I must have had five books containing this story.

I have found this version of the story “The Rocket” on the Ray Bradbury library portal in Russia, and I have copied it here exactly as found. Credit to the wonderful people at the Ray Bradbury Library for posting it where a smuck like myself can read it within China. And, of course, credit to the great master; Ray Bradbury for providing this work of art for our inspiration and pleasure.

Full Text

Here is the full text of the masterpiece. I will let the reader read it and enjoy it.

The Rocket by Ray Bradbury

Many nights Fiorello Bodoni would awaken to hear the rockets sighing in the dark sky. He would tiptoe from bed, certain that his kind wife was dreaming, to let himself out into the night air. For a few moments he would be free of the smells of old food in the small house by the river. For a silent moment he would let his heart soar alone into space, following the rockets.

Now, this very night, he stood half naked in the darkness, watching the fire fountains murmuring in the air. The rockets on their long wild way to Mars and Saturn and Venus!

"Well, well, Bodoni."

Bodoni started.

On a milk crate, by the silent river, sat an old man who also watched the rockets through the midnight hush.

"Oh, it's you, Bramante!"

"Do you come out every night, Bodoni?"

"Only for the air."

"So? I prefer the rockets myself," said old Bramante. "I was a boy when they started. Eighty years ago, and I've never been on one yet."

"I will ride up in one someday," said Bodoni.

"Fool!" cried Bramante. "You'll never go. This is a rich man's world." He shook his gray head, remembering. "When I was young they wrote it in fiery letters: THE WORLD OF THE FUTURE! Science, Comfort, and New Things for All! Ha! Eighty years. The Future becomes Now! Do we fly rockets'? No! We live in shacks like our ancestors before us."

"Perhaps my sons -" said Bodoni.

"No, nor their sons!" the old man shouted. "It's the rich who have dreams and rockets!"

Bodoni hesitated. "Old man, I've saved three thousand dollars. It took me six years to save it. For my business, to invest in machinery. But every night for a month now I've been awake. I hear the rockets. I think. And tonight I've made up my mind. One of us will fly to Mars!" His eyes were shining and dark.

"Idiot," snapped Bramante. "How will you choose? Who will go? If you go, your wife will hate you, for you will be just a bit nearer God, in spare. When you tell your amazing trip to her, over the years, won't bitterness gnaw at her?"

"No, no!"

"Yes! And your children? Will their lives be filled with the memory of Papa, who flew to Mars while they stayed here? What a senseless task you will set your boys. They will think of the rocket all their lives. They will lie awake. They will be sick with wanting it. Just as you are sick now. They will want to die if they cannot go. Don't set that goal, I warn you. Let them be content with being poor. Turn their eyes down to their hands and to your junk yard, not up to the stars."

"But -"

"Suppose your wife went? How would you feel, knowing she had seen and you had not? She would become holy. You would think of throwing her in the river. No, Bodoni, buy a new wrecking machine, which you need, and pull your dreams apart with it, and smash them to pieces."

The old man subsided, gazing at the river in which, drowned, images of rockets burned down the sky.

"Good night," said Bodoni.

"Sleep well," said the other.

When the toast jumped from its silver box, Bodoni almost screamed. The night had been sleepless. Among his nervous children, beside his mountainous wife, Bodoni had twisted and stared at nothing. Bramante was right. Better to invest the money. Why save it when only one of the family could ride the rocket, while the others remained to melt in frustration?

"Fiorello, eat your toast," said his wife, Maria.

"My throat is shriveled," said Bodoni.

The children rushed in, the three boys fighting over a toy rocket, the two girls carrying dolls which duplicated the inhabitants of Mars, Venus, and Neptune, green mannequins with three yellow eyes and twelve fingers.

"I saw the Venus rocket!" cried Paolo.

"It took off, whoosh!" hissed Antonello.

"Children!" shouted Bodoni, hands to his ears.

They stared at him. He seldom shouted.

Bodoni arose. "Listen, all of you," he said. "I have enough money to take one of us on the Mars rocket."

Everyone yelled.

"You understand?" he asked. "Only one of us. Who?"

"Me, me, me!" cried the children.

"You," said Maria.

"You," said Bodoni to her.

They all fell silent.

The children reconsidered. "Let Lorenzo go - he's oldest."

"Let Miriamne go - she's a girl!"

"Think what you would see," said Bodoni's wife to him. But her eyes were strange. Her voice shook. "The meteors, like fish. The universe. The Moon. Someone should go who could tell it well on returning. You have a way with words."

"Nonsense. So have you," he objected.

Everyone trembled.

"Here," said Bodoni unhappily. From a broom he broke straws of various lengths. "The short straw wins." He held out his tight fist. "Choose."

Solemnly each took his turn.

"Long straw."

"Long straw."

Another.

"Long straw."

The children finished. The room was quiet. Two straws remained. Bodoni felt his heart ache in him.

"Now," he whispered. "Maria."

She drew.

"The short straw," she said.

"Ah," sighed Lorenzo, half happy, half sad. "Mama goes to Mars."

Bodoni tried to smile. "Congratulations. I will buy your ticket today."

"Wait, Fiorello -"

"You can leave next week," he murmured.

She saw the sad eyes of her children upon her, with the smiles beneath their straight, large noses. She returned the straw slowly to her husband. "I cannot go to Mars."

"But why not?"

"I will be busy with another child."

"What!"

She would not look at him. "It wouldn't do for me to travel in my condition."

He took her elbow. "Is this the truth?"

"Draw again. Start over."

"Why didn't you tell me before?" he said incredulously.

"I didn't remember."

"Maria, Maria," he whispered, patting her face. He turned to the children. "Draw again."

Paolo immediately drew the short straw.

"I go to Mars!" He danced wildly. "Thank you, Father!"

The other children edged away. "That's swell, Paolo."

Paolo stopped smiling to examine his parents and his brothers and sisters. "I can go, can't I?" he asked uncertainly.

"Yes."

"And you'll like me when I come back?"

"Of course."

Paolo studied the precious broomstraw on his trembling hand and shook his head. He threw it away. "I forgot. School starts. I can't go. Draw again."

But none would draw. A full sadness lay on them.

"None of us will go," said Lorenzo.

"That's best," said Maria.

"Bramante was right," said Bodoni.

With his breakfast curdled within him, Fiorello Bodoni worked in his junk yard, ripping metal, melting it, pouring out usable ingots. His equipment flaked apart; competition had kept him on the insane edge of poverty for twenty years. It was a very bad morning.

In the afternoon a man entered the junk yard and called up to Bodoni on his wrecking machine. "Hey, Bodoni, I got some metal for you!"

"What is it, Mr. Mathews?" asked Bodoni, listlessly.

"A rocket ship. What's wrong? Don't you want it?"

"Yes, yes!" He seized the man's arm, and stopped, bewildered.

"Of course," said Mathews, "it's only a mockup. You know. When they plan a rocket they build a full-scale model first, of aluminum. You might make a small profit boiling her down. Let you have her for two thousand -"

Bodoni dropped his hand. "I haven't the money."

"Sorry. Thought I'd help you. Last time we talked you said how everyone outbid you on junk. Thought I'd slip this to you on the q.t. Well -"

"I need new equipment. I saved money for that."

"I understand."

"If I bought your rocket, I wouldn't even be able to melt it down. My aluminum furnace broke down last week -"

"Sure."

"I couldn't possibly use the rocket if I bought it from you."

"I know."

Bodoni hunked and shut his eyes. He opened them and looked at Mr. Mathews. "But I am a great fool. I will take my money from the bank and give it to you."

"But if you can't melt the rocket down -"

"Deliver it," said Bodoni.

"All right, if you say so. Tonight?"

"Tonight," said Bodoni, "would be fine. Yes, I would like to have a rocket ship tonight."


...

There was a moon. The rocket was white and big in the junk yard. It held the whiteness of the moon and the blueness of the stars. Bodoni looked at it and loved all of it. He wanted to pet it and lie against it, pressing it with his cheek, telling it all the secret wants of his heart.

He stared up at it. "You are all mine," he said. "Even if you never move or spit fire, and just sit there and rust for fifty years, you are mine."

The rocket smelled of time and distance. It was like walking into a clock. It was finished with Swiss delicacy. One might wear it on one's watch fob. "I might even sleep here tonight," Bodoni whispered excitedly.

He sat in the pilot's seat.

He touched a lever.

He hummed in his shut mouth, his eyes closed.

The humming grew louder, louder, higher, higher, wilder, stranger, more exhilarating, trembling in him and leaning him forward and pulling him and the ship in a roaring silence and in a kind of metal screaming, while his fists flew over the controls, and his shut eyes quivered, and the sound grew and grew until it was a fire, a strength, a lifting and a pushing of power that threatened to tear him in half. He gasped. He hummed again and again, and did not stop, for it could not be stopped, it could only go on, his eyes tighter, his heart furious. "Taking off!" he screamed. The jolting concussion! The thunder! "The Moon!" he cried, eyes blind, tight. "The meteors!" The silent rush in volcanic light. "Mars. Oh, God, Mars! Mars!"

He fell back, exhausted and panting. His shaking hands came loose of the controls and his head tilted back wildly. He sat for a long time, breathing out and in, his heart slowing.

Slowly, slowly, he opened his eyes.

The junk yard was still there.

He sat motionless. He looked at the heaped piles of metal for a minute, his eyes never leaving them. Then, leaping up, he kicked the levers. "Take off, damn you!"

The ship was silent.

"I'll show you!" he cried.

Out in the night air, stumbling, he started the fierce motor of his terrible wrecking machine and advanced upon the rocket. He maneuvered the massive weights into the moonlit sky. He readied his trembling hands to plunge the weights, to smash, to rip apart this insolently false dream, this silly thing for which he had paid his money, which would not move, which would not do his bidding. "I'll teach you!" he shouted.

But his hand stayed.

The silver rocket lay in the light of the moon. And beyond the rocket stood the yellow lights of his home, a block away, burning warmly. He heard the family radio playing some distant music. He sat for half an hour considering the rocket and the house lights, and his eyes narrowed and grew wide. He stepped down from the wrecking machine and began to walk, and as he walked he began to laugh, and when he reached the back door of his house he took a deep breath and called, "Maria, Maria, start packing. We're going to Mars!"

"Oh!"

"Ah!"

"I can't believe it!"

"You will, you will."

The children balanced in the windy yard, under the glowing rocket, not touching it yet. They started to cry.

Maria looked at her husband. "What have you done?" she said. "Taken our money for this? It will never fly."

"It will fly," he said, looking at it.

"Rocket ships cost millions. Have you millions?"

"It will fly," he repeated steadily. "Now, go to the house, all of you. I have phone calls to make, work to do. Tomorrow we leave! Tell no one, understand? It is a secret."

The children edged off from the rocket, stumbling. He saw their small, feverish faces in the house windows, far away.

Maria had not moved. "You have ruined us," she said. "Our money used for this - this thing. When it should have been spent on equipment."

"You will see," he said.

Without a word she turned away.

"God help me," he whispered, and started to work.

Through the midnight hours trucks arrived, packages were delivered, and Bodoni, smiling, exhausted his bank account. With blowtorch and metal stripping he assaulted the rocket, added, took away, worked fiery magics and secret insults upon it. He bolted nine ancient automobile motors into the rocket's empty engine room. Then he welded the engine room shut, so none could see his hidden labor.

At dawn he entered the kitchen. "Maria," he said, "I'm ready for breakfast."

She would not speak to him.


...

At sunset he called to the children. "We're ready! Come on!" The house was silent.

"I've locked them in the closet," said Maria.

"What do you mean?" he demanded.

"You'll be killed in that rocket," she said. "What kind of rocket can you buy for two thousand dollars? A bad one!"

"Listen to me, Maria."

"It will blow up. Anyway, you are no pilot."

"Nevertheless, I can fly this ship. I have fixed it."

"You have gone mad," she said.

"Where is the key to the closet?"

"I have it here."

He put out his hand. "Give it to me."

She banded it to him. "You will kill them."

"No, no."

"Yes, you will. I feel it."

He stood before her. "You won't come along?"

"I'll stay here," she said.

"You will understand; you will see then," he said, and smiled. He unlocked the closet. "Come, children. Follow your father."

"Good-bye, good-bye, Mama!"

She stayed in the kitchen window, looking out at them, very straight and silent.

At the door of the rocket the father said, "Children, we will be gone a week. You must come back to school, and I to my business." He took each of their hands in turn. "Listen. This rocket is very old and will fly only one more journey. It will not fly again. This will be the one trip of your life. Keep your eyes wide."

"Yes, Papa."

"Listen, keep your ears clean. Smell the smells of a rocket. Feel. Remember. So when you return you will talk of it all the rest of your lives."

"Yes, Papa."

The ship was quiet as a stopped clock. The airlock hissed shut behind them. He strapped them all, like tiny mummies, into rubber hammocks. "Ready?" he called.

"Ready!" all replied.

"Take-off!" He jerked ten switches. The rocket thundered and leaped. The children danced in their hammocks, screaming.

"Here comes the Moon!"

The moon dreamed by. Meteors broke into fireworks. Time flowed away in a serpentine of gas. The children shouted. Released from their hammocks, hours later, they peered from the ports. "There's Earth!" "There's Mars!"

The rocket dropped pink petals of fire while the hour dials spun; the child eyes dropped shut. At last they hung like drunken moths in their cocoon hammocks.

"Good," whispered Bodoni, alone.

He tiptoed from the control room to stand for a long moment, fearful, at the airlock door.

He pressed a button. The airlock door swung wide. He stepped out. Into space? Into inky tides of meteor and gaseous torch? Into swift mileages and infinite dimensions?

No. Bodoni smiled.

All about the quivering rocket lay the junk yard. Rusting, unchanged, there stood the padlocked junk-yard gate, the little silent house by the river, the kitchen window lighted, and the river going down to the same sea. And in the center of the junk yard, manufacturing a magic dream, lay the quivering, purring rocket. Shaking and roaring, bouncing the netted children like flies in a web.

Maria stood in the kitchen window.

He waved to her and smiled.

He could not see if she waved or not. A small wave, perhaps. A small smile.

The sun was rising.

Bodoni withdrew hastily into the rocket. Silence. All still slept. He breathed easily. Tying himself into a hammock, he closed his eyes. To himself he prayed. Oh, let nothing happen to the illusion in the next six days. Let all of space come and go, and red Mars come up under our ship, and the moons of Mars, and let there be no flaws in the color film. Let there be three dimensions; let nothing go wrong with the hidden mirrors and screens that mold the fine illusion. Let time pass without crisis.

He awoke.

Red Mars floated near the rocket.

"Papa!" The children thrashed to be free.

Bodoni looked and saw red Mars and it was good and there was no flaw in it and he was very happy.

At sunset on the seventh day the rocket stopped shuddering.

"We are home," said Bodoni.

They walked across the junk yard from the open door of the rocket, their blood singing, their faces glowing.

"I have ham and eggs for all or you," said Maria, at the kitchen door.

"Mama, Mama, you should have come, to see it, to see Mars, Mama, and meteors, and everything!"

"Yes," she said.

At bedtime the children gathered before Bodoni. "We want to thank you, Papa."

"It was nothing."

"We will remember it for always, Papa. We will never forget."


...

Very late in the night Bodoni opened his eyes. He sensed that his wife was lying beside him, watching him. She did not move for a very long time, and then suddenly she kissed his cheeks and his forehead. "What's this?" he cried.

"You're the best father in the world," she whispered.

"Why?"

"Now I see," she said. "I understand."

She lay back and closed her eyes, holding his hand. "Is it a very lovely journey?" she asked.

"Yes," he said.

"Perhaps," she said, "perhaps, some night, you might take me on just a little trip, do you think?"

"Just a little one, perhaps," he said.

"Thank you," she said. "Good night."

"Good night," said Fiorello Bodoni.

Some Thoughts

Today, I get curious glances from the youth that often ask me “why did you want to go into space?”. To them, it is dull and uninteresting. It is the realm of satellites to measure global warming. To them, it is a racist and bigoted environment that favored “white people”. To them, is is a big void that holds nothing of interest.

But they are wrong.

The future of mankind lies in space.

This story, and others, amply the describe the strong yearning that I had for space exploration, science and solving the mysteries of the universe. I didn’t want “someday” to go into space. No. Every single fiber of my body screamed the need. I could live, sleep or do anything without thinking of space, and the huge rockets that would someday carry me there.

No. Today it is a different time with different role models. I grew up in a world where Ronald Reagan was president. Today, children grow up in a world where Barrack Obama is president.

Obama on a bike
The presidents change with the culture. While I grew up and graduated (and entered MAJestic) under President Reagan, the youth of today have different role models to follow. Instead they follow President Obama as a role model. Everyone wants to be a Social Justice Warrior and correct the illusions that seem to hurt everyone’s feelings.

So it should be no surprise that an anarchism like myself would be misunderstood.

Conclusion

This story fed my dreams when I was a young boy. Sometime in my early teens in the 1970’s I first read this story. I am sure that I read it in one complete sitting. Perhaps it was on my bed with my cat Sedwick, or chilling in my tree-house next to the kitchen. In any event, I completely loved the story, and every few years or so, I crack up the story and read it again.

Ray Bradberry 2
Perhaps one day, my sons will ride off into space. Perhaps. One day.

This story meant a lot to me, and still does. I sincerely, hope that you, the reader, have derived as much pleasure from it as I have.

Take Aways

  • This story is about a father providing an experience for his children so that they can realize that it is possible to obtain their dreams.
  • No one wants to hear that something is impossible or beyond their ability.
  • Subsisting is not living.
  • Humans are creatures that must grow and advance.

FAQ

Q: Did you study about Ray Bradbury in school?
A: No. Instead I took hard science courses, language classes including Latin, and mathematics. My goal and dream was to become a spaceman. I wanted to be a Rocket Jockey. I had friends in university that took classes in English and Literature that studied Bradbury. I could not. I had a very heavy class load.

So, for recreation, around 12:00 midnight or later, after I would finish up my homework, I would read short stories in bed for a spell so that I could decompress and fall asleep.

Q: What significance does this story hold?
A: It depends on who reads it. People take different meanings from different experiences. For instance, my sister thought Robert Heinlein was a pompous chauvinist. However, I liked to read his stories. My mother thought that while it was good that I was reading, I should have instead read the “classics” and become a more rounded person. While I did actually read many “classics”, it was the stories of science fiction and prose that influenced me substantially.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 27SEP18.
  2. Completed 27SEP18.
  3. SEO review 27SEp18.
  4. Published 27SEp18.

The Fog Horn (Full Text) By Ray Bradbury

The Fog Horn” is a 1951 science fiction short story by American writer Ray Bradbury, the first in his collection The Golden Apples of the Sun. The story was the basis for the 1953 film The Beast from 20,000 Fathoms.

This story was written by Ray Bradbury, and presented here under Article 22 of China’s Copyright Law. Ray Bradbury is one of my personal heroes and his writings greatly influenced me in ways that I am only just now beginning to understand.

When Ray started out, the field of science fiction lacked respectability, to say the least. It was the province of the pulps: magazines printed on cheap paper, with lurid covers designed to catch the attention of immature boys. 

He was often dismissed, if not outright ridiculed, by mainstream writers, but quickly learned to ignore his critics. If they didn’t think rockets and dinosaurs were suitable subjects for literature, to hell with them. 

Ray loved that stuff, along with Martians and witches and things that go bump in the night, so that’s what he wrote about. His unique imagination was harnessed within vivid, lyrical prose, and after the publication of The Martian Chronicles in 1950, the literary elite were forced to acknowledge a striking new talent.

As Ray’s stories became more widely published and read, they fueled the imaginations of millions of young people over several generations, many of whom went on to cite his influence as a major reason they became scientists and engineers. 

His stories practically shouted that it wasn’t just okay to dream of rockets and space travel, it was wonderful, mythic, imperative—the highest accomplishment the human race could aspire to.

-The Space Review's tribute to Ray Bradbury

Introduction

“There was this fence where we pressed our faces and felt the wind turn warm and held to the fence and forgot who we were or where we came from but dreamed of who we might be and where we might go…”

R is for Rocket

Ray Bradbury

For years I had amassed a well worn, and dusty collection of Ray Bradbury paperbacks that I would pick up and read for pleasure and inspiration.  Later, when I left the United States, and moved to China, I had to leave my treasured books behind. Sigh.

Ray Bradberry book colleciton
A small collection of well worn, well read and well appreciated Ray Bradbury books. My collection looked a little something like this, only I think the books were a little more worn, and a little yellower.

It is very difficult to come across Ray Bradbury books in China. When ever I find one, I certainly snatch it up. Cost is no object when it comes to these masterpieces. At one time, I must have had five books containing this story.

I have found this version of the story “The Fog Horn” on the Ray Bradbury.RU  website (in Russian; Рассказ Рэя Брэдбери), and I have copied it here exactly as found. Credit to the wonderful people at the Ray Bradbury Library for posting it where a smuck like myself can read it within China. And, of course, credit to the great master; Ray Bradbury for providing this work of art for our inspiration and pleasure.

Full Text

Here is the full text of the masterpiece. I will let the reader read it and enjoy it.

The Fog Horn

By Ray Bradbury

Out there in the cold water, far from land, we waited every night for the coming of the fog, and it came, and we oiled the brass machinery and lit the fog light up in the stone tower. Feeling like two birds in the gray sky, McDunn and I sent the light touching out, red, then white, then red again, to eye the lonely ships. And if they did not see our light, then there was always our Voice, the great deep cry of our Fog Horn shuddering through the rags of mist to startle the gulls away like decks of scattered cards and make the waves turn high and foam.

"It's a lonely life, but you're used to it now, aren't you?" asked McDunn.

"Yes," I said. "You're a good talker, thank the Lord."

"Well, it's your turn on land tomorrow," he said, smiling, "to dance the ladies and drink gin."

"What do you think, McDunn, when I leave you out here alone?"

"On the mysteries of the sea." McDunn lit his pipe. It was a quarter past seven of a cold November evening, the heat on, the light switching its tail in two hundred directions, the Fog Horn bumbling in the high throat of the tower. There wasn't a town for a hundred miles down the coast, just a road which came lonely through dead country to the sea, with few cars on it, a stretch of two miles of cold water out to our rock, and rare few ships.

"The mysteries of the sea' said McDunn thoughtfully. "You know, the ocean's the biggest damned snowflake ever? It rolls and swells a thousand shapes and colours, no two alike. Strange. One night, years ago, I was here alone, when all of the fish of the sea surfaced out there. Something made them swim in and lie in the bay, sort of trembling and staring up at the tower light going red, white, red, white across them so I could see their funny eyes. I fumed cold. They were like a big peacock's tail, moving out there until midnight. Then, without so much as a sound, they slipped away, the million of them was gone. I kind of think maybe, in some sort of way, they came all those miles to worship. Strange. But think how the tower must look to them, standing seventy feet above the water, the God-light flashing out from it, and the tower declaring itself with a monster voice. They never came back, those fish, but don't you think for a while they thought they were in the Presence?"

I shivered. I looked out at the long gray lawn of the sea stretching away into nothing and nowhere.

"Oh, the sea's full." McDunn puffed his pipe nervously, blinking. He had been nervous all day and hadn't said why. "For all our engines and so-called submarines, it'll be ten thousand centuries before we set foot on the real bottom of the sunken lands, in the fairy kingdoms there, and know real terror. Think of it, it's still the year 300,000 Before Christ down under there. While we've paraded around with trumpets, lopping off each other's countries and heads, they have been living beneath the sea twelve miles deep and cold in a time as old as the beard of a comet."

"Yes, it's an old world."

"Come on. I got something special I been saving up to tell you."

We ascended the eighty steps, talking and taking our time. At the top, McDunn switched off the room lights so there'd be no reflection in the plate glass. The great eye of the light was humming, turning easily in its oiled socket. The Fog Horn was blowing steadily, once every fifteen seconds.

"Sounds like an animal, don't it?" McDunn nodded to himself. "A big lonely animal crying in the night. Sitting here on the edge of ten billion years calling out to the Deeps, I'm here, I'm here, I'm here. And the Deeps do answer, yes, they do. You been here now for three months, Johnny, so I better prepare you. About this time of year," he said, studying the murk and fog, "something comes to visit the lighthouse."

"The swarms of fish like you said?"

"No, this is something else. I've put off telling you because you might think I'm daft. But tonight's the latest I can put it off, for if my calendar's marked right from last year, tonight's the night it comes. I won't go into detail, you'll have to see it yourself. Just sit down there. If you want, tomorrow you can pack your duffel and take the motorboat in to land and get your car parked there at the dinghy pier on the cape and drive on back to some little inland town and keep your lights burning nights, I won't question or blame you. It's happened three years now, and this is the only time anyone's been here with me to verify it. You wait and watch."

Half an hour passed with only a few whispers between us. When we grew tired waiting, McDunn began describing some of his ideas to me. He had some theories about the Fog Horn itself.

"One day many years ago a man walked along and stood in the sound of the ocean on a cold sunless shore and said, 'We need a voice to call across the water, to warn ships; I'll make one. I'll make a voice like all of time and all of the fog that ever was; I'll make a voice that is like an empty bed beside you all night long, and like an empty house when you open the door, and like trees in autumn with no leaves. A sound like the birds flying south, crying, and a sound like November wind and the sea on the hard, cold shore. I'll make a sound that's so alone that no one can miss it, that whoever hears it will weep in their souls, and hearths will seem warmer, and being inside will seem better to all who hear it in the distant towns. I'll make me a sound and an apparatus and they'll call it a Fog Horn and whoever bears it will know the sadness of eternity and the briefness of life.'"

The Fog Horn blew.

"I made up that story," said McDunn quietly, "to try to explain why this thing keeps coming back to the lighthouse every year. The Fog Horn calls it, I think, and it comes...."

"But - "I said.

"Sssst!" said McDunn. "There!" He nodded out to the Deeps.

Something was swimming toward the lighthouse tower.

It was a cold night, as I have said; the high tower was cold, the light coming and going, and the Fog Horn calling and calling through the raveling mist. You couldn't see far and you couldn't see plain, but there was the deep sea moving on its way about the night earth, flat and quiet, the colour of gray mud, and here were the two of us alone in the high tower, and there, far out at first, was a ripple, followed by a wave, a rising, a bubble, a bit of froth. And then, from the surface of the cold sea came a head, a large head, dark-coloured, with immense eyes, and then a neck. And then - not a body - but more neck and more! The head rose a full forty feet above the water on a slender and beautiful dark neck. Only then did the body, like a little island of black coral and shells and crayfish, drip up from the subterranean. There was a flicker of tail. In all, from head to tip of tail, I estimated the monster at ninety or a hundred feet.

I don't know what I said. I said something.

"Steady, boy, steady," whispered McDunn.

"It's impossible! "I said.

"No, Johnny, we're impossible. It's like it always was ten million years ago. It hasn't changed. It's us and the land that've changed, become impossible. Us!"

It swam slowly and with a great dark majesty out in the icy waters, far away. The fog came and went about it, momentarily erasing its shape. One of the monster eyes caught and held and flashed back our immense light, red, white, red, white, like a disk held high and sending a message in primeval code. It was as silent as the fog through which it swam.

"It's a dinosaur of some sort!" I crouched down, holding to the stair rail.

"Yes, one of the tribe."

"But they died out!"

"No, only hid away in the Deeps. Deep, deep down in the deepest Deeps. Isn't that a word now, Johnny, a real word, it says so much: the Deeps. There's all the coldness and darkness and deepness in a word like that."

"What'll we do?"

"Do? We got our job, we can't leave. Besides, we're safer here than in any boat trying to get to land. That thing's as big as a destroyer and almost as swift."

"But here, why does it come here?"

The next moment I had my answer.

The Fog Horn blew.

And the monster answered.

A cry came across a million years of water and mist. A cry so anguished and alone that it shuddered in my head and my body. The monster cried out at the tower. The Fog Horn blew. The monster roared again. The Fog Horn blew. The monster opened its great toothed mouth and the sound that came from it was the sound of the Fog Horn itself. Lonely and vast and far away. The sound of isolation, a viewless sea, a cold night, apartness. That was the sound.

"Now," whispered McDunn, "do you know why it comes here?"

I nodded.

"All year long, Johnny, that poor monster there lying far out, a thousand miles at sea, and twenty miles deep maybe, biding its tune, perhaps it's a million years old, this one creature. Think of it, waiting a million years; could you wait that long? Maybe it's the last of its kind. I sort of think that's true. Anyway, here come men on land and build this lighthouse, five years ago. And set up their Fog Horn and sound it and sound it out toward the place where you bury yourself in sleep and sea memories of a world where there were thousands like yourself, but now you're alone, all alone in a world not made for you, a world where you have to hide.

"But the sound of the Fog Horn comes and goes, comes and goes, and you stir from the muddy bottom of the Deeps, and your eyes open like the lenses of two-foot cameras and you move, slow, slow, for you have the ocean sea on your shoulders, heavy. But that Fog Horn comes through a thousand miles of water, faint and familiar, and the furnace in your belly stokes up, and you begin to rise, slow, slow. You feed yourself on great slakes of cod and minnow, on rivers of jellyfish, and you rise slow through the autumn months, through September when the fogs started, through October with more fog and the horn still calling you on, and then, late in November, after pressurizing yourself day by day, a few feet higher every hour, you are near the surface and still alive. You've got to go slow; if you surfaced all at once you'd explode. So it takes you all of three months to surface, and then a number of days to swim through the cold waters to the lighthouse. And there you are, out there, in the night, Johnny, the biggest damn monster in creation. And here's the lighthouse calling to you, with a long neck like your neck sticking way up out of the water, and a body like your body, and, most important of all, a voice like your voice. Do you understand now, Johnny, do you understand?"

The Fog Horn blew.

The monster answered.

I saw it all, I knew it all - the million years of waiting alone, for someone to come back who never came back. The million years of isolation at the bottom of the sea, the insanity of time there, while the skies cleared of reptile-birds, the swamps dried on the continental lands, the sloths and saber-tooths had their day and sank in tar pits, and men ran like white ants upon the hills.

The Fog Horn blew.

"Last year," said McDunn, "that creature swam round and round, round and round, all night. Not coming too near, puzzled, I'd say. Afraid, maybe. And a bit angry after coming all this way. But the next day, unexpectedly, the fog lifted, the sun came out fresh, the sky was as blue as a painting. And the monster swam off away from the heat and the silence and didn't come back. I suppose it's been brooding on it for a year now, thinking it over from every which way."

The monster was only a hundred yards off now, it and the Fog Horn crying at each other. As the lights bit them, the monster's eyes were fire and ice, fire and ice.

"That's life for you," said McDunn. "Someone always waiting for someone who never comes home. Always someone loving some thing more than that thing loves them. And after a while you want to destroy whatever that thing is, so it can't hurt you no more."

The monster was rushing at the lighthouse.

The Fog Horn blew.

"Let's see what happens," said McDunn.

He switched the Fog Horn off.

The ensuing minute of silence was so intense that we could hear our hearts pounding in the glassed area of the tower, could hear the slow greased turn of the light.

The monster stopped and froze. Its great lantern eyes blinked. Its mouth gaped. It gave a sort of rumble, like a volcano. It twitched its head this way and that, as if to seek the sounds now dwindled off into the fog. It peered at the lighthouse. It rumbled again. Then its eyes caught fire. It reared up, threshed the water, and rushed at the tower, its eyes filled with angry torment.

"McDunn!" I cried. "Switch on the horn!"

McDunn fumbled with the switch. But even as he flicked it on, the monster was rearing up. I had a glimpse of its gigantic paws, fishskin glittering in webs between the fingerlike projections, clawing at the tower. The huge eye on the right side of its anguished head glittered before me like a caldron into which I might drop, screaming. The tower shook. The Fog Horn cried; the monster cried. It seized the tower and gnashed at the glass, which shattered in upon us.

McDunn seized my arm. "Downstairs!"

The tower rocked, trembled, and started to give. The Fog Horn and the monster roared. We stumbled and half fell down the stairs. "Quick!"

We reached the bottom as the tower buckled down toward us. We ducked under the stairs into the small stone cellar. There were a thousand concussions as the rocks rained down; the Fog Horn stopped abruptly. The monster crashed upon the tower. The tower fell. We knelt together, McDunn and I, holding tight, while our world exploded.

Then it was over, and there was nothing but darkness and the wash of the sea on the raw stones.

That and the other sound.

"Listen," said McDunn quietly. "Listen."

We waited a moment. And then I began to hear it. First a great vacuumed sucking of air, and then the lament, the bewilderment, the loneliness of the great monster, folded over and upon us, above us, so that the sickening reek of its body filled the air, a stone's thickness away from our cellar. The monster gasped and cried. The tower was gone. The light was gone. The thing that had called to it across a million years was gone. And the monster was opening its mouth and sending out great sounds. The sounds of a Fog Horn, again and again. And ships far at sea, not finding the light, not seeing anything, but passing and hearing late that night, must've thought: There it is, the lonely sound, the Lonesome Bay horn. All's well. We've rounded the cape.

And so it went for the rest of that night.

The sun was hot and yellow the next afternoon when the rescuers came out to dig us from our stoned-under cellar.

"It fell apart, is all," said Mr. McDunn gravely. "We had a few bad knocks from the waves and it just crumbled." He pinched my arm.

There was nothing to see. The ocean was calm, the sky blue. The only thing was a great algaic stink from the green matter that covered the fallen tower stones and the shore rocks. Flies buzzed about. The ocean washed empty on the shore.

The next year they built a new lighthouse, but by that time I had a job in the little town and a wife and a good small warm house that glowed yellow on autumn nights, the doors locked, the chimney puffing smoke. As for McDunn, he was master of the new lighthouse, built to his own specifications, out of steel-reinforced concrete. "Just in case," he said.

The new lighthouse was ready in November. I drove down alone one evening late and parked my car and looked across the gray waters and listened to the new hom sounding, once, twice, three, four times a minute far out there, by itself.

The monster?

It never came back.

"It's gone away," said McDunn. "It's gone back to the Deeps. It's learned you can't love anything too much in this world. It's gone into the deepest Deeps to wait another million years. Ah, the poor thing! Waiting out there, and waiting out there, while man comes and goes on this pitiful little planet. Waiting and waiting."

I sat in my car, listening. I couldn't see the lighthouse or the light standing out in Lonesome Bay. I could only hear the Horn, the Horn, the Horn. It sounded like the monster calling.

I sat there wishing there was something I could say.

Conclusions

I spent much of my childhood being inspired by science fiction works. My favorite authors included Ray Bradberry and Robert Heinlein. The works of Robert Heinlein suited my juvenile belief structures at the time, but Ray Bradberry evoked my emotions.

While I cannot recall when I first encountered this story, I can positively state that my father wanted me to read it. He gave me a collection of Ray Bradberry short stories and told me to read this one in particular. And, so I did. I went into the living room, plopped myself down on the chair (not a lazy-boy) and started reading. I think that I read it non-stop and then went into the kitchen and made a “Dagwood” sandwich, and a big glass of ice-cold milk.

As a young boy I readily consumed every science fiction story that I could get my hands on. I loved reading about spaceships, rockets, strange adventures, time travel and dinosaurs. These were the things that shaped my life. These were the things that made me who I am today.

Take Aways

  • The short story “The Fog Horn” was written by Ray Bradberry.
  • While the story is about the confrontation of a sea beast and a fog horn, it is about much more that that. It is about loneliness and frustration.

FAQ

Q: Why does the sea monster come to the lighthouse?
A: The lighthouse calls to the monster. Somehow it hears the call, and somehow it answers the call. We do not know why it comes forth, nor do we know the motivations of the monster. We can only guess.

Q: What appeal does this story have for the reader?
A: Everyone has experienced loneliness. Everyone has experienced frustration and rejection. Thus, everyone can find compassion and understanding in the emotions of the sea monster.

Q: What makes this story so different from the Godzilla monster movies of the 1960’s?
A: Godzilla, and other monster stories, while they would often have a back-story to explain what they were doing and why, they typically did not explore the emotional aspects of the creature. This story does.  In comparison, instead of being a story about destruction of Tokyo or the collapse of a light-house, this story is one of raw emotion. It is a story that haunts.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 27SEP18.
  2. Completed 27SEP18.
  3. SEO completed 27SEp18

The Long Rain (Full Text) – Ray Bradbury

The Long Rain” is a short story by science fiction author Ray Bradbury. This story was originally published in 1950 as “Death-by-Rain” in the magazine Planet Stories, and then in the collection The Illustrated Man. The story tells of four men who have crashed on a planet where it is always raining. As they try to reach the safety of the Sun Domes, they end up being driven insane by the endless rains.

The story was republished in several collections and was incorporated into a film also titled The Illustrated Man.

This story was written by Ray Bradbury, and presented here under Article 22 of China’s Copyright Law. Ray Bradbury is one of my personal heroes and his writings greatly influenced me in ways that I am only just now beginning to understand.

When Ray started out, the field of science fiction lacked respectability, to say the least. It was the province of the pulps: magazines printed on cheap paper, with lurid covers designed to catch the attention of immature boys. 

He was often dismissed, if not outright ridiculed, by mainstream writers, but quickly learned to ignore his critics. If they didn’t think rockets and dinosaurs were suitable subjects for literature, to hell with them. 

Ray loved that stuff, along with Martians and witches and things that go bump in the night, so that’s what he wrote about. His unique imagination was harnessed within vivid, lyrical prose, and after the publication of The Martian Chronicles in 1950, the literary elite were forced to acknowledge a striking new talent.

As Ray’s stories became more widely published and read, they fueled the imaginations of millions of young people over several generations, many of whom went on to cite his influence as a major reason they became scientists and engineers. 

His stories practically shouted that it wasn’t just okay to dream of rockets and space travel, it was wonderful, mythic, imperative—the highest accomplishment the human race could aspire to.

-The Space Review's tribute to Ray Bradbury

Ray Bradberry 1
An artistic rendering of the sun dome in the distance. Venus in this story, is a planet of continuous rain, dark and deep clouds, and lightening storms. Humans have tried to colonize Venus, but they can only do so in safe enclosures called “sun domes”. There, they survive and live safe against the rainy onslaught of torrential and never-ending water.

Introduction

“There was this fence where we pressed our faces and felt the wind turn warm and held to the fence and forgot who we were or where we came from but dreamed of who we might be and where we might go…”

R is for Rocket

Ray Bradbury

For years I had amassed a well worn, and dusty collection of Ray Bradbury paperbacks that I would pick up and read for pleasure and inspiration.  Later, when I left the United States, and moved to China, I had to leave my treasured books behind. Sigh.

Ray Bradberry book colleciton
A small collection of well worn, well read and well appreciated Ray Bradbury books. My collection looked a little something like this, only I think the books were a little more worn, and a little yellower.

It is very difficult to come across Ray Bradbury books in China. When ever I find one, I certainly snatch it up. Cost is no object when it comes to these masterpieces. At one time, I must have had five books containing this story.

As an side, I would sometimes help Chinese students with their English. At times, I would "assign" them a book to read. One student bought the book, and waited two full months before it arrived, and the first story that he read was this one; "The Long Rain".
When I asked him to describe what it was like, he was all over himself trying to describe an impossible world; a wet world where everything you do was soaked and wet. It was a world where you had to trudge through water, currents, mud and bog to find this elusive sun dome. To this day, years later, he still talks about this story.

I have found this version of the story “The Long Rain” on the wiki.spaces website in PDF format, and I have copied it here exactly as found. Credit to the wonderful people at the Ray Bradbury Library for posting it where a smuck like myself can read it within China. And, of course, credit to the great master; Ray Bradbury for providing this work of art for our inspiration and pleasure.

Full Text

Here is the full text of the masterpiece. I will let the reader read it and enjoy it.

The Long Rain

Ray Bradbury

THE rain continued. It was a hard rain, a perpetual rain, a sweating and steaming rain; it was a mizzle, a downpour, a fountain, a whipping at the eyes, an undertow at the ankles; it was a rain to drown all rains and the memory of rains. It came by the pound and the ton, it hacked at the jungle and cut the trees like scissors and shaved the grass and tunneled the soil and molted the bushes. It shrank men’s hands into the hands of wrinkled apes; it rained a solid glassy rain, and it never stopped.

“How much farther, Lieutenant?”

“I don’t know. A mile, ten miles, a thousand.” “Aren’t you sure?”

“How can I be sure?”

“I don’t like this rain. If we only knew how far it is to the Sun Dome, I’d feel better.” “Another hour or two from here.”

“You really think so, Lieutenant?” “Of course.”

“Or are you lying to keep us happy?” “I’m lying to keep you happy. Shut up!”

The two men sat together in the rain. Behind them sat two other men who were wet and tired and slumped like clay that was melting. The lieutenant looked up. He had a face that once had been brown and now the rain had washed it pale, and the rain had washed the color from his eyes and they were white, as were his teeth, and as was his hair. He was all white. Even his uniform was beginning to turn white, and perhaps a little green with fungus.

“Don’t be crazy,” said one of the two other men. “It never stops raining on Venus. It just goes on and on. I’ve lived here for ten years and I never saw a minute, or even a second, when it wasn’t pouring.”

“It’s like living under water,” said the lieutenant, and rose up, shrugging his guns into place. “Well, we’d better get going. We’ll find that Sun Dome yet.”

“Or we won’t find it,” said the cynic. “It’s an hour or so.”

“Now you’re lying to me, Lieutenant.”

“No, now I’m lying to myself. This is one of those times when you’ve got to lie. I can’t take much more of this.”

They walked down the jungle trail, now and then looking at their compasses. There was no direction anywhere, only what the compass said. There was a gray sky and rain falling and jungle and a path, and, far back behind them somewhere, a rocket in which they had ridden and fallen. A rocket in which lay two of their friends, dead and dripping rain.

They walked in single file, not speaking. They came to a river which lay wide and flat and brown, flowing down to the great Single Sea. The surface of it was stippled in a billion places by the rain.

“All right, Simmons.”

The lieutenant nodded and Simmons took a small packet from his back which, with a pressure of hidden chemical, inflated into a large boat. The lieutenant directed the cutting of wood and the quick making of paddles and they set out into the river, paddling swiftly across the smooth surface in the rain. The lieutenant felt the cold rain on his cheeks and on his neck and on his moving arms. The cold was beginning to seep into his lungs. He felt the rain on his ears, on his eyes, on his legs.

“I didn’t sleep last night,” he said.

“Who could? Who has? When? How many nights have we slept? Thirty nights, thirty days! Who can sleep with rain slamming their head, banging away. . . . I’d give anything for a hat. Anything at all, just so it wouldn’t hit my head any more. I get headaches. My head is sore; it hurts all the time.”

“I’m sorry I came to China,” said one of the others. “First time I ever heard Venus called China.”

“Sure, China. Chinese water cure. Remember the old torture? Rope you against a wall. Drop one drop of water on your head every half-hour. You go crazy waiting for the next one. Well, that’s Venus, but on a big scale. We’re not made for water. You can’t sleep, you can’t breathe right, and you’re crazy from just being soggy. If we’d been ready for a crash, we’d have brought waterproofed uniforms and hats. It’s this beating rain on your head gets you, most of all. It’s so heavy. It’s like BB shot. I don’t know how long I can take it.”

They crossed the river, and in crossing they thought of the Sun Dome, somewhere ahead of them, shining in the jungle rain. A yellow house, round and bright as the sun. A house fifteen feet high by one hundred feet in diameter, in which was warmth and quiet and hot food and freedom from rain. And in the center of the Sun Dome, of course, was a sun. A small floating free globe of yellow fire, drifting in space at the top of the building where you could look at it from where you sat, smoking or reading a book or drinking your hot chocolate crowned with marshmallow dollops. There it would be, the yellow sun, just the size of the Earth sun, and it was warm and continuous, and the rain world of Venus would be forgotten as long as they stayed in that house and idled their time.

The lieutenant turned and looked back at the three men using their oars and gritting their teeth. They were as white as mushrooms, as white as lie was. Venus bleached everything away in a few months. Even the jungle was an immense cartoon nightmare, for how could the jungle be green with no sun,with always rain falling and always dusk? The white, white jungle with the pale cheese-colored leaves, and the earth carved of wet Camembert, and the tree boles like immense toadstools— everything black and white. And how often could you see the soil itself? Wasn’t it mostly a creek, a stream, a puddle, a pool, a lake, a river, and then, at last the sea?

“Here we are!”

They leaped out on the farthest shore, splashing and sending up showers. The boat was deflated and stored in a cigarette packet. Then, standing on the rainy shore, they tried to light up a few smokes for themselves, and it was five minutes or so before, shuddering, they worked the inverted lighter and, cupping their hands, managed a few drags upon cigarettes that all too quickly were limp and beaten away from their lips by a sudden slap of rain. They walked on.

“Wait just a moment,” said the lieutenant. “I thought I saw something ahead.” “The Sun Dome?”

“I’m not sure. The rain closed in again. Simmons began to run. “The Sun Dome!” “Come back, Simmons!”

“The Sun Dome!”

Simmons vanished in the rain. The others ran after him.

They found him in a little clearing, and they stopped and looked at him and what he had discovered. The rocket ship. It was lying where they had left it. Somehow they had circled back and were where they had started. In the ruin of the ship green fungus was growing up out of the mouths of the two dead men. As they watched, the fungus took flower, the petals broke away in the rain, and the fungus died.

“An electrical storm must be nearby. Threw our compasses off. That explains it.” “You’re right.”

“What’ll we do now?” “Start out again.”

“Good lord, we’re not any closer to anywhere!” “Let’s try to keep calm about it, Simmons.” “Calm, calm! This rain’s driving me wild!”

“We’ve enough food for another two days if we’re careful.”

The rain danced on their skin, on their wet uniforms; the rain streamed from their noses and ears, from their fingers and knees. They looked like stone fountains frozen in the jungle, issuing forth water from every pore. And, as they stood, from a distance they heard a roar. And the monster came out of the rain.

The monster was supported upon a thousand electric blue legs. It walked swiftly and terribly. It struck down a leg with a driving blow. Everywhere a leg struck a tree fell and burned. Great whiffs of ozone filled the rainy air, and smoke blew away and was broken up by the rain. The monster was a half mile wide and a mile high and it felt of the ground like a great blind thing. Sometimes, for a moment, it had no legs at all. And then, in an instant, a thousand whips would fall out of its belly, white-blue whips, to sting the jungle.

“There’s the electrical storm,” said one of the men. “There’s the thing ruined our compasses. And it’s coming this way.”

“Lie down, everyone,” said the lieutenant. “Run!” cried Simmons.

“Don’t be a fool. Lie down. It hits the highest points. We may get through unhurt. Lie down about fifty feet from the rocket. It may very well spend its force there and leave us be. Get down!”

The men flopped.

“Is it coming?” they asked each other, after a moment. “Coming.”

“Is it nearer?” “Is it nearer?” “Nearer?” “Here she is!”

The monster came and stood over them. It dropped down ten blue bolts of lightning which struck the rocket. The rocket flashed like a beaten gong and gave off a metal ringing. The monster let down fifteen more bolts which danced about in a ridiculous pantomime, feeling of the jungle and the watery soil.

“No, no!” One of the men jumped up. “Get down, yon fool!” said the lieutenant. “No!”

The lightning struck the rocket another dozen times. The lieutenant turned his head on his arm and saw the blue blazing flashes. He saw trees split and crumple into ruin. He saw the monstrous dark cloud turn like a black disk overhead and hurl down a hundred other poles of electricity.

The man who had leaped up was now running, like someone in a great hall of pillars. He ran and dodged between the pillars and then at last a dozen of the pillars slammed down and there was the sound a fly makes when landing upon the grill wires of an exterminator. The lieutenant remembered this from his childhood on a farm. And there was a smell of a man burned to a cinder.

The lieutenant lowered his head. “Don’t look up,” he told the others. He was afraid that he too might run at any moment.

The storm above them flashed down another series of bolts and then moved on away. Once again there was only the rain, which rapidly cleared the air of the charred smell, and in a moment the three remaining men were sitting and waiting for the beat of their hearts to subside into quiet once more.

They walked over to the body, thinking that perhaps they could still save the man’s life. They couldn’t believe that there wasn’t some way to help the man. It was the natural act of men who have not accepted death until they have touched it and turned it over and made plans to bury it or leave it there for the jungle to bury in an hour of quick growth.

The body was twisted steel, wrapped in burned leather. It looked like a wax dummy that had been thrown into an incinerator and pulled out after the wax had sunk to the charcoal skeleton. Only the teeth were white, and they shone like a strange white bracelet dropped half through a clenched black fist.

“He shouldn’t have jumped up.” They said it almost at the same time.

Even as they stood over the body it began to vanish, for the vegetation was edging in upon it, little vines and ivy and creepers, and even flowers for the dead.

At a distance the storm walked off on blue bolts of lightning and was gone.

They crossed a river and a creek and a stream and a dozen other rivers and creeks and streams. Before their eyes rivers appeared, rushing, new rivers, while old rivers changed their courses—rivers the color of mercury, rivers the color of silver and milk.

The Single Sea. There was only one continent on Venus. This land was three thousand miles long by a thousand miles wide, and about this island was the Single Sea, which covered the entire raining planet.

The Single Sea, which lay upon the pallid shore with little motion . . . “This way.” The lieutenant nodded south. “I’m sure there are two Sun Domes down that way. “While they were at it, why didn’t they build a hundred more?” “There’re a hundred and twenty of them now, aren’t there?”

“One hundred and twenty-six, as of last month. They tried to push a bill through Congress back on Earth a year ago to provide for a couple dozen more, but oh no, you know how that is. They’d rather a few men went crazy with the rain.”

They started south. The lieutenant and Simmons and the third man, Pickard, walked in the rain, in the rain that fell heavily and lightly, heavily and lightly; in the rain that poured and hammered and did not stop falling upon the land and the sea and the walking people.

Simmons saw it first. “There it is!” “There’s what?”

“The Sun Dome!”

The lieutenant blinked the water from his eyes and raised his hands to ward off the stinging blows of the rain. At a distance there was a yellow glow on the edge of the jungle, by the sea. It was, indeed, the Sun Dome.

The men smiled at each other.

“Looks like you were right, Lieutenant.” “Luck.”

“Brother, that puts muscle in me, just seeing it. Come on! Last one there’s a son-of-a-bitch!” Simmons began to trot. The others automatically fell in with this, gasping, tired, but keeping pace.

“A big pot of coffee for me,” panted Simmons, smiling. “And a pan of cinnamon buns, by God! And just lie there and let the old sun hit you. The guy that invented the Sun Domes, he should have got a medal!”

They ran faster. The yellow glow grew brighter.

“Guess a lot of men went crazy before they figured out the cure. Think it’d be obvious!  Right off.” Simmons panted the words in cadence to his running.  “Rain, rain!  Years ago.  Found a friend.  Of

min.  Out in the jungle.  Wandering around.  In the rain.  Saying over and over, ‘Don’t know enough

to come in outta the rain.  Don’t know enough, to come in, outta the rain.  Don’t know enough –‘  on and on.  Like that. Poor crazy bastard.”

“Save your breath!” They ran.

They all laughed. They reached the door of the Sun Dome, laughing.

Simmons yanked the door wide. “Hey!” he yelled. “Bring on the coffee and buns!” There was no reply.

They stepped through the door.

The Sun Dome was empty and dark. There was no synthetic yellow sun floating in a high gaseous whisper at the center of the blue ceiling. There was no food waiting. It was cold as a vault. And through a thousand holes which had been newly punctured in the ceiling water streamed, the rain fell down, soaking into the thick rugs and the heavy modern furniture and splashing on the glass tables. The jungle was growing up like a moss in the room, on top of the bookcases and the divans. The rain slashed through the holes and fell upon the three men’s faces.

Pickard began to laugh quietly. “Shut up, Pickard!”

“Ye gods, look what’s here for us—no food, no sun, nothing. The Venusians—they did it! Of course!”

Simmons nodded, with the rain funneling down on his face. The water ran in his silvered hair and on his white eyebrows. “Every once in a while the Venusians come up out of the sea and attack a Sun Dome. They know if they ruin the Sun Domes they can ruin us.”

“But aren’t the Sun Domes protected with guns?”

“Sure.” Simmons stepped aside to a place that was relatively dry. “But it’s been five years since the Venusians tried anything. Defense relaxes. They caught this Dome unaware.”

“Where are the bodies?”

“The Venusians took them all down into the sea. I hear they have a delightful way of drowning you. It takes about eight hours to drown the way they work it. Really delightful.”

“I bet there isn’t any food here at all.” Pickard laughed.

The lieutenant frowned at him, nodded at him so Simmons could see. Simmons shook his head and went back to a room at one side of the oval chamber. The kitchen was strewn with soggy loaves of bread, and meat that had grown a faint green fur. Rain came through a hundred holes in the kitchen roof.

“Without food, sir?” Simmons snorted. “I notice the sun machine’s torn apart. Our best bet is to make our way to the next Sun Dome. How far is that from here?”

“Not far. As I recall, they built two rather close together here. Perhaps if we waited here, a rescue mission from the other might——”

“It’s probably been here and gone already, some days ago. They’ll send a crew to repair this place in about six months, when they get the money from Congress. I don’t think we’d better wait.”

“All right then, we’ll eat what’s left of our rations and get on to the next Dome.”

Pickard said, “If only the rain wouldn’t hit my head, just for a few minutes. If I could only remember what it’s like not to be bothered.” He put his hands on his skull and held it tight. “I remember when I was in school a bully used to sit in back of me and pinch me and pinch me and pinch me every five minutes, all day long. He did that for weeks and months. My arms were sore and black and blue all the time. And I thought I’d go crazy from being pinched. One day I must have gone a little mad from being hurt and hurt, and I turned around and took a metal trisquare I used in mechanical drawing and I almost killed that bastard. I almost cut his lousy head off. I almost took his eye out before they dragged me out of the room, and I kept yelling, ‘Why don’t he leave me alone? why don’t he leave me alone?’ Brother!” His hands clenched the bone of his head, shaking, tightening, his eyes shut. “But what do I do now? Who do I hit, who do I tell to lay off, stop bothering me, this damn rain, like the pinching, always on you, that’s all you hear, that’s all you feel!”

“We’ll be at the other Sun Dome by four this afternoon.”

“Sun Dome? Look at this one! What if all the Sun Domes on Venus are gone? What then? What if there are holes in all the ceilings, and the rain coming in!”

“We’ll have to chance it.”

“I’m tired of chancing it. All I want is a roof and some quiet. I want to be alone.” “That’s only eight hours off, if you hold on.”

“Let’s eat,” said Simmons, watching him.

They set off down the coast, southward again. After four hours they had to cut inland to go around a river that was a mile wide and so swift it was not navigable by boat. They had to walk inland six miles to a place where the river boiled out of the earth, suddenly, like a mortal wound. In the rain, they walked on solid ground and returned to the sea.

“I’ve got to sleep,” said Pickard at last. He slumped. “Haven’t slept in four weeks. Tried, but couldn’t. Sleep here.”

They lay out full, propping their heads up so the water wouldn’t come to their mouths, and they closed their eyes.

The lieutenant twitched. He did not sleep.

There were things that crawled on his skin. Things grew upon him in layers. Drops fell and touched other drops and they became streams that trickled over his body, and while these moved down his flesh, the small growths of the forest took root in his clothing. He felt the ivy cling and make a second garment over him; he felt the small flowers bud and open and petal away, and still the rain pattered on his body and on his head. In the luminous night—for the vegetation glowed in the darkness—he could see the other two men outlined, like logs that had fallen and taken upon themselves velvet coverings of grass and flowers. The rain hit his face. He covered his face with his

hands. The rain hit his neck. He turned over on his stomach in the mud, on the rubbery plants, and the rain hit his back and hit his legs.

Suddenly he leaped up and began to brush the water from himself. A thousand hands were touching him and he no longer wanted to be touched. He no longer could stand being touched. He floundered and struck something else and knew that it was Simmons, standing up in the rain, sneezing moisture, coughing and choking. And then Pickard was up, shouting, running about.

“Wait a minute, Pickard!”

“Stop it, stop it!” Pickard screamed. He fired off his gun six times at the night sky. In the flashes of powdery illumination they could see armies of raindrops, suspended as in a vast motionless amber, for an instant, hesitating as if shocked by the explosion, fifteen billion droplets, fifteen billion tears, fifteen billion ornaments, jewels standing out against a white velvet viewing board. And then, with the light gone, the drops which had waited to have their pictures taken, which had suspended their downward rush, fell upon them, stinging, in an insect cloud of coldness and pain.

“Stop it! Stop it!” “Pickard!”

But Pickard was only standing now, alone. When the lieutenant switched on a small hand lamp and played it over Pickard’s wet face, the eyes of the man were dilated, and his mouth was open, his face turned up, so the water hit and splashed on his tongue, and hit and drowned the wide eyes, and bubbled in a whispering froth on the nostrils.

“Pickard!”

The man would not reply. He simply stood there for a long while with the bubbles of rain breaking out in his whitened hair and manacles of rain jewels dripping from his wrists and his neck.

“Pickard! We’re leaving. We’re going on. Follow us.” The rain dripped from Pickard’s ears.

“Do you hear me, Pickard!”

It was like shouting down a well. “Pickard!”

“Leave him alone,” said Simmons. “We can’t go on without him.”

“What’ll we do, carry him?” Simmons spat. “He’s no good to us or himself. You know what he’ll do?

He’ll just stand here and drown.” “What?”

“You ought to know that by now. Don’t you know the story? He’ll just stand here with his head up and let the rain come in his nostrils and his mouth. He’ll breathe the water.”

“That’s how they found General Mendt that time. Sitting on a rock with his head back, breathing the rain. His lungs were full of water.”

The  lieutenant  turned  the  light  back  to  the  unblinking face.  Pickard’s  nostrils  gave  off a  tiny whispering wet sound.

“Pickard!” The lieutenant slapped the face.

“He can’t even feel you,” said Simmons. “A few days in this rain and you don’t have any face or any legs or hands.”

The lieutenant looked at his own hand in horror. He could no longer feel it. “But we can’t leave Pickard here.”

“I’ll show you what we can do.” Simmons fired his gun. Pickard fell into the raining earth.

Simmons said, “Don’t move, Lieutenant. I’ve got my gun ready for you too. Think it over; he would only have stood or sat there and drowned. It’s quicker this way.”

The lieutenant blinked at the body. “But you killed him.”

“Yes, because he’d have killed us by being a burden. You saw his face. Insane.” After a moment the lieutenant nodded. “All right.”

They walked off into the rain. It was dark and their hand lamps threw a beam that pierced the rain for only a few feet. After a half hour they had to stop and sit through the rest of the night, aching with hunger, waiting for the dawn to come; when it did come it was gray and continually raining as before, and they began to walk again.

“We’ve miscalculated,” said Simmons. “No. Another hour.”

“Speak louder. I can’t hear you.” Simmons stopped and smiled. “By Christ,” he said, and touched his ears. “My ears. They’ve gone out on me. All the rain pouring finally numbed me right down to the bone.”

“Can’t you hear anything?” said the lieutenant. “What?” Simmons’s eyes were puzzled. “Nothing. Come on.”

“I think I’ll wait here. You go on ahead.” “You can’t do that.”

“I can’t hear you. You go on. I’m tired. I don’t think the Sun Dome is down this way. And, if it is, it’s probably got holes in the roof, like the last one. I think I’ll just sit here.”

“Get up from there!” “So long, Lieutenant.”

“You can’t give up now.”

“I’ve got a gun here that says I’m staying. I just don’t give a damn any more. I’m not crazy yet, but I’m the next thing to it. I don’t want to go out that way. As soon as you get out of sight I’m going to use this gun on myself.”

“Simmons!”

“You said my name. I can read that much off your lips.” “Simmons.”

“Look, it’s a matter of time. Either I die now or in a few hours. Wait’ll you get to that next Dome, if you ever get there, and find rain coming in through the roof. Won’t that be nice?”

The lieutenant waited and then splashed off in the rain. He turned and called back once, but Simmons was only sitting there with the gun in his hands, waiting for him to get out of sight. He shook his head and waved the lieutenant on.

The lieutenant didn’t even hear the sound of the gun.

He began to eat the flowers as he walked. They stayed down for a time, and weren’t poisonous; neither were they particularly sustaining, and he vomited them up, sickly, a minute or so later.

“Another five minutes,” he told himself. “Another five minutes and then I’ll walk into the sea and keep walking. We weren’t made for this; no Earthman was or ever will be able to take it. Your nerves, your nerves.

He floundered his way through a sea of slush and foliage and came to a small hill. At a distance there was a faint yellow smudge in the cold veils of water.

The next Sun Dome.

Through the trees, a long round yellow building, far away. For a moment he only stood, swaying, looking at it.

He began to run and then he slowed down, for he was afraid. He didn’t call out. What if it’s the same one? What if it’s the dead Sun Dome, with no sun in it? he thought.

He slipped and fell. Lie here, he thought; it’s the wrong one. Lie here. It’s no use. Drink all you want. But he managed to climb to his feet again and crossed several creeks, and the yellow light grew very

bright, and he began to run again, his feet crashing into mirrors and glass, his arms flailing at diamonds and precious stones.

He stood before the yellow door. The printed letters over it said THE SUN DOME. He put his numb hand up to feel it. Then he twisted the doorknob and stumbled in.

He stood for a moment looking about. Behind him the rain whirled at the door. Ahead of him, upon a low table, stood a silver pot of hot chocolate, steaming, and a cup, full, with a marshmallow in it. An beside that, on another tray, stood thick sandwiches of rich chicken meat and fresh-cut tomatoes and green onions. And on a rod just before his eyes was a great thick green Turkish towel, and a bin in which to throw wet clothes, and, to his right, a small cubicle in which heat rays might dry you instantly. And upon a chair, a fresh change of uniform, waiting for anyone—himself, or any lost one—to make use of it. And farther over, coffee in steaming copper urns, and a phonograph from which music was playing quietly, and books bound in red and brown leather. And near the books a cot, a soft deep cot upon which one might lie, exposed and bare, to drink in the rays of the one great bright thing which dominated the long room.

He put his hands to his eyes. He saw other men moving toward him, but said nothing to them. He waited, and opened his eyes, and looked. The water from his uniform pooled at his feet and he felt it drying from his hair and his face and his chest and his arms and his legs.

He was looking at the sun.

It hung in the center of the room, large and yellow and warm. It made not a sound, and there was no sound in the room. The door was shut and the rain only a memory to his tingling body. The sun hung high in the blue sky of the room, warm, hot, yellow, and very fine.

He walked forward, tearing off his clothes as he went.

Some Considerations

This story, like most of the science fiction works that I read in the 1960’s and 1970’s greatly influenced my life. I believe that I first read this story on a lazy fall weekend in late September. The leaves were crisp and just beginning to fall. It was warm, but not hot. It was calm and I was enjoying reading this story on a porch glider that we had on our porch. I just laid there, swinging back and forth, reading this masterpiece.

Early fall
I spent my boyhood in the hills of Western Pennsylvania. It was a place of hills, forests, rivers, and coal mines. I came from a small town. It was peaceful and quiet and everyone knew everyone else.

Conclusions

Today, students pay tuition at colleges and universities to read these stories. They pay enormous amounts of money, and are given tests and handouts to analyze the work. It seems like a fool’s errand to me.

You read for enjoyment, and if it evokes emotions within your very being then it is a work of art. Cherish it.

That’s never going to happen if you read a cliffs notes version so you can get a grade on a test. Life is about living. You can live, or you can follow the herd. I would suggest that you make the most out of your life. I would suggest you start doing it now.

Take Aways

  • The Long Rain is a short story by Ray Bradbury.
  • It is classified as Science Fiction.
  • It takes place on a fictional Venus where it is continuously raining.
  • The story evokes feelings of desperation, strife, fear and longing. Finally culminating in relief.

FAQ

Q: What is this story “The Long Rain” about?
A: The story takes place on a fictional Venus where there is a continuous rain. However, that is not what the story is about. It is about emotions that play when situations are encountered. When I read the story, I am reminded about a time when I was in second grade and walked home from school in the rain. I came home and my mother dried me off, and set me to the table and got me a big hot cup of coca with marsh-mellows in it and a nice warm bowl of tomato soup with grilled cheese sandwiches. The story, by Ray Bradbury, evokes those same feelings.

Q: Why is this story in your blog?
A: I used to bookmark websites that I liked, and I would return to them periodically to read and enjoy. Over time, the websites would disappear, or turn into something else. The search engines, such as Google, would prioritize other (often profit motive) websites before the ones I was interested in. They would also block others that I enjoyed. China blocks many websites, and slows internet traffic to a crawl on others. I no longer have the luxury to simply bookmark something I like. I need to preserve it’s access. Thus I place it herein for my own personal use.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 27SEP18.
  2. Completed 27SEP18.
  3. SEO Review 27SEP18.
  4. Publish 27SEP18.

Here There Be Tygers by Ray Bradbury

This story was copyrighted in 1951 by Ray Bradbury, and presented here under Article 22 of China’s Copyright Law. Ray Bradbury is one of my personal heroes and his writings greatly influenced me in ways that I am only just now beginning to understand.

Introduction

For years I had amassed a well worn, and dusty collection of Ray Bradbury paperbacks that I would pick up and read for pleasure and inspiration.  Later, when I left the United States, and moved to China, I had to leave my treasured books behind. Sigh.

It is very difficult to come across Ray Bradbury books in China. When ever I find one, I certainly snatch it up. Cost is no object when it comes to these masterpieces. At one time, I must have had five books containing this story.

I have found this version of the story “Here There be Tygers” on The Mother Earth News, and I have copied it here exactly as found. Credit to the wonderful people at Mother Earth News for posting it where a smuck like myself can read it within China. And, of course, credit to the great master; Ray Bradbury for providing this work of art for our inspiration and pleasure.

Full Text

Here is the full text of the masterpiece. I will let the reader read it and enjoy it.

Here There Be Tygers by Ray Bradbury

"You have to beat a planet at its own game," said Chatterton." Get in and rip it up, kill its snakes, poison its animals, dam its rivers, sow its fields, depollinate its air, mine it, nail it down, hack away at it, and get the blazes out from under when you have what you want. Otherwise, a planet will fix you good. You can't trust planets. They're bound to be different, bound to be bad, bound to be out to get you, especially this far out, a billion miles from nowhere, so you get them first. Tear their skin off, I say. Drag out the minerals and run away before the nightmare world explodes in your face. That's the way to treat them."

The rocket ship sank down toward planet 7 of star system 84. They had traveled millions upon millions of miles; Earth was far away, her system and her sun forgotten, her system settled and investigated and profited on, and other systems rummaged through and milked and tidied tip, and now the rockets of these tiny men from an impossibly remote planet were probing out to far universes. In a few months, a few years, they could travel anywhere, for the speed of their rocket was the speed of a god, and now for the ten-thousandth time one of the rockets of the far-circling hunt was feathering down toward an alien world.

"No," said Captain Forester."I have too much respect for other worlds to treat them the way you want to, Chatterton. It's not my business to rape or ruin anyway, thank God. I'm glad I'm just a rocket man. You're the anthropologist-mineralogist. Go ahead, do your mining and ripping and scraping. I'll just watch. I'll just go around looking at this new world, whatever it is, however it seems. I like to look. All rocket men are lookers or they wouldn't be rocket men. You like to smell new airs, if you're a rocket man, and see new oceans and islands."

"Take your gun along," said Chatterton. "in my holster," said Forester.

They turned to the port together and saw the green world rising to meet their ship."I wonder what it thinks of us?" said Forester.

"It won't like me" said Chatterton "I'll see to it 'It' won't like me. And I don't care. you know, I'm out for the money. Land us over there, will you. Captain; that looks like rich country if I ever saw it."

It was the freshest green color they had seen since childhood.

Lakes lay like clear blue water droplets through the soft hills; there were no loud highways, signboards or cities. It's a sea of green golf links, thought Forester, which goes on forever. Putting greens, driving greens, you could walk ten thousand miles in any direction and never finish your game. A Sunday planet a croquet-lawn world, where,you could lie on your back, clover in your lips, eyes half shut, smiling at the sky, smelling the grass, drowse through an eternal Sabbath, rousing only on occasion to turn the Sunday paper or crack the red-striped wooden ball through the wicket.

"It ever a planet was a woman, this one is/"

"Woman on the outside, man on the inside," said Chatterton. "All hard underneath, all male iron, copper, uranium, black sod. Don't let the cosmetics fool you."

He walked to the bin where the Earth Drill waited. Its great screw-snout glittered bluely, ready to stab seventy feet deep and suck out corks of earth, deeper still with extensions into the heart of the planet Chatterton winked at it"We'll fix your planet, Forester, but good"

"Yes, I know you will," said Forester, quietly,

The rocket landed.

"It's too green, too peaceful," said Chatterton. "I don't like it" He turned to the captain. "We'll go out with our rifles."

"I give orders. If you don't mind"

"Yes, and my company pays our way with millions of dollars of machinery we must protect; quite an investment."

The air on the new planet 7 in star system 84 was good. The port swung wide. The men filed out into the greenhouse world.

The last man to emerge was Chatterton, gun in hand.

As Chatterton set foot to the green lawn, the earth trembled. The grass shook. The distant forest rumbled, The sky seemed to blink and darken imperceptibly, The men were watching Chatterton when it happened.

"An earthquake!"

Chatterton's face paled. Everyone laughed.

"It doesn't like you, Chatterton!"

"Nonsense!"

The trembling died away at last.

"Well," said Captain Forester." It didn't quake for us, so It must be that it doesn't approve of your philosophy."

"Coincidence," Chatterton smiled weakly, "Come on now, on the double, I want the Drill out here in a half hour for a few samplings."

"Just a moment," Forester stopped laughing. "We've got to clear the area first, be certain there're no hostile people or animals, Besides, it isn't every year you hit a planet like this very nice; can you blame us if we want to have a look at it?"

"All right," Chatterton joined them, "Let's get it over with."

They left a guard at the ship and they walked away over fields and meadows, over small hills and into little valleys. Like a bunch of boys out hiking on the finest day of the best summer in the most beautiful year in history, walking in the croquet weather where, if you listened you could hear the whisper of the wooden ball across grass, the click through the wicket, the gentle undulations of voices, a sudden high drift of women's laughter from some ivy shaded porch, the tinkle of ice in the summer tea pitcher.

"Hey," said Driscoll, one of the younger crewmen, sniffing the air, "I brought a baseball and bat; we'll have a game later. What a diamond!"

The men laughed quietly in the baseball season, in the good quiet wind for tennis, in the weather for bicycling and picking wild grapes.

"How'd you like the job of mowing all this?" asked Driscoll.

The men stopped.

"I knew there was something wrong!" cried Chatterton, "This grass: it's freshly cut!

"Probably a species of dichondra: always short."

Chatterton spat on the green grass and rubbed it in with his boot, "I don't like it, I don't like it. If anything happened to us, no one on Earth would ever know. Silly policy: if a rocket fails to return, we never send a second rocket to check the reason why."

"Natural enough," explained Forester, "We can't waste time on a thousand hostile worlds, fighting futile wars. Each rocket represents years, money, lives. We can't afford to waste two rockets if one rocket proves a planet hostile. We go on to peaceful planets, like this one."

"I often wonder," said Driscoll, "What happened to all those lost expeditions on worlds we'll never try again."

Chatterton eyed the distant forest,"They were shot, stabbed, broiled for dinner, Even as we may be, any minute. It's time we got back to work, Captain!

They stood at the top to a little rise.

"Feel," said Driscoll, his hands and arms out loosely, "Remember how you used to run when you were it kid, and how the wind felt, Like feathers on your arms, You ran and thought any minute you'd fly, but you never quite did."

The men stood remembering, There was a smell of pollen and new rain drying upon a million grass blades.

Driscoll gave a little run. "Feel it, by God, the wind. You know, we never have really flown by ourselves. We have to sit inside tons of metal, away from flying, really. We've never flown like birds fly, to themselves, Wouldn't it be nice to, put your arms out like this —" He extended his arms, "And run." He ran ahead of them, laughing out his idiocy. "And fly!" he cried.

He flew.

Time passed on the silent gold wristwatches of the men standing below, They stared up. And from the sky came a high sound of almost unbelievable laughter.

"Tell him to come down now," whispered Chatterton. "He'll be killed."

Nobody heard. Their faces were raised away front Chatterton: they were stunned and smiling.

At last Driscoll landed at their feet.

"Did you see me?" "I flew!"

They had seen.

"Lets get down, oh, Lord. Lord." Driscoll slapped his knees, chuckling. "I'm a sparrow, I'm a hawk, God bless me. Go on all of you, try it!"

"It's the wind, it picked me up and flew me!" he said, a moment later, gasping, shivering with delight.

"Let's get out of here." Chatterton started turning, slowly in circles, watching the blue sky. "It's a trap, it wants us all to fly in the air. Then it'll drop its all at once and kill us. I'm going back to the ship."

"You'll wait for my order on that," said Forester,

The men were frowning, standing in the warm cool air, while the wind sighed about them. There was a kite sound in the air, a sound of eternal March.

"I asked the wind to fly me." said Driscoll. "And it did!"

Forester waved the others aside. "I'll chance it next. If I'm killed, back to the ship, all of you."

"I'm sorry. I can't allow this, you're the captain," said Chatterton. "We can't risk you." He took out his gun.

"I should have some sort of authority or force here. This game's gone on too long; I'm ordering us back to the ship."

"Holster your gun," said Forester, quietly.

"Stand still you idiot."

Chatterton blinked now at this man, now at that.

"Haven't you felt it'! This world's alive, it has a look to it, it's playing with us, biding its time."

"I'll be the judge of that," said Forester. "You're going back to the ship in a moment, under arrest, if you don't put up that gun."

"If you fools won't come with me, you can die out here. I'm going back, get my samples, and get out."

"Chatterton!"

"Don't try to stop me!"

Chatterton started to run. Then suddenly, he gave a cry.

Everyone shouted and looked up. "There he goes," said Driscoll.

Chatterton was up in the sky.

Night had come on like the closing of a great but gentle eye. Chatterton sat stunned on the side of the hill. The other men sat around him, exhausted and laughing. He would not look at them, he would not look at the sky, he would only feel of the earth, and his arms and his legs and his body, tightening in on himself.

"Oh, wasn't it perfect!" said a man named Koestler.

They had all flown like orioles and eagles and sparrows, and they were all happy.

"Come out of it, Chatterton, it was fun, wasn't it?"' said Koestler.

"It's impossible." Chatterton shut his eyes, tight, tight. "There's only one way for it to do it; it's alive. The air's alive. Like a fist it picked me up. Any minute now, it can kill its all. It's alive."

"All right," said Koestler. "Say it's alive." "And a living thing must have purpose. Suppose the purpose of this world is to make us happy."

As if to add to this, Driscoll came flying up, canteens in each hand. "I found a creek, tested and found pure water, wait'll you try it!"

Forester took a canteen, nudged Chatterton with it, offering a drink. Chetterton shook his head and drew hastily away. He put his hands over his face. "It's the blood of this planet. Living blood. Drink that, put that inside and you put this world inside you to peer out your eyes and listen through your ears. No thanks!"

Forester shrugged and drank.

"Wine!" he said.

"It can't be!"

"It is! Smell it, taste it! A rare white wine!"

"French domestic." Driscoll sipped his.

"Poison," said Chatterton.

They passed the canteens around.

They had idled on through the gentle afternoon, not wanting to do anything to disturb the peace that lay all about them. They were like very young men in the presence of great beauty, of a fine and famous woman, afraid that by some word, some gesture, they might turn her face away, avert her loveliness and her kindly attentions. They had felt the earthquake that had greeted Chatterton, and they did not want earthquake. Let them enjoy this "Day After School Lets Out", this fishing weather. Let them sit under the shade trees or walk on the tender hills, but let them drill no drillings, test no testings, contaminate no contaminations.

They found a small stream which poured into a boiling water pool. Fish, swimming in the cold creek above, fell glittering into the hot spring and floated, minutes later, cooked, to the surface.

Chatterton reluctantly joined the others, eating.

"It'll poison us all. There's always a trick to things like this. I'm sleeping in the rocket tonight. You can sleep out if you want. To quote a map I saw in medieval history: 'Here there be tygers.' Some time tonight when you're sleeping, the tigers and cannibals will show up."

Forester shook his head. "I'll go along with you, this planet is alive. It's a race itself. But it needs us to show off to, to appreciate its beauty. What's the use of a stage full of miracles if there's no audience?"

But Chatterton was busy. He was bent over, being sick.

"I'm poisoned! Poisoned!"

They held his shoulders until the sickness passed. They gave him water. The others were feeling fine.

"Better eat nothing but ship's food from now on," advised Forester. "It'd be safer."

"We're starting work right now." Chatterton swayed, wiping his mouth. "We've wasted a whole day. I'll work alone if I have to. I'll show this infernal place!"

He staggered away toward the rocket.

"He doesn't know when he's well off," murmured Driscoll. "Can't we stop him, Captain?"

"He practically owns the expedition. We don't have to help him, there's a clause in our contract that guarantees refusal to work under dangerous conditions. So . . . do unto this 'Picnic Ground' as you would have it do unto you. No initial-cutting on the trees. Replace the turf on the greens. Clean up your banana peels after you."

Now, below, in the ship there was an immense humming. From the storage port rolled the great shining Drill. Chatterton followed it, calling directions to its robot radio. "This way, here!

"You fool."

"Now!" cried Chatterton.

The Drill plunged its long screw-bore into the green grass. Chatterton waved up at the other men. "Watch this!"

The sky trembled.

The Drill stood in the center of a little sea of grass. For a moment it plunged away, bringing up moist corks of sod which it spat unceremoniously into a shaking analysis bin.

Now the Drill gave a wrenched, metallic squeal like a monster interrupted at its feed. From the soil beneath it slow bluish liquids bubbled up.

Chatterton shouted, "Get back, you fool!"

The Drill lumbered in a prehistoric dance. It shrieked like a mighty train turning on a sharp curve, throwing out red sparks. It was sinking. The black slime gave under it in a dark convulsion.

With a coughing sigh, a series of pants and churnings, the Drill sank into a black scum like an elephant shot and dying, trumpeting, like a mammoth at the end of an age, vanishing limb by ponderous limb into the pit.

"Fool. Fool," said Forester under his breath, fascinated with the scene. "You know what that is, Driscoll? It's tar. The fool machine hit a tar pit!"

"Listen, listen!" cried Chatterton at the Drill, running about on the edge of the oily lake. "This way, over here!"

But like the old tyrants of the earth, the dinosaurs with their tubed and screaming necks, the Drill was plunging and thrashing in the one lake from where there was no returning to bask on the firm and understandable shore.

Chatterton turned to the other men far away. "Do something, someone!"

The Drill was gone.

The tar pit bubbled and gloated, sucking the hidden monster bones. The surface of the pool was silent. A huge bubble, the last, rose, expelled a scent of ancient petroleum, and fell apart.

The men came down and stood on the edge of the little black sea.

Chatterton stopped yelling.

After a long minute of staring into the silent tar pool, Chatterton turned and looked at the hills, blindly, at the green rolling lawns. The distant trees were growing fruit now and dropping it, softly, to the ground.

"I'll show it," he said quietly.

"Take it easy, Chatterton."

"I'll fix it," he said.

"Sit down, have a drink."

"I'll fix it good, I'll show it, it can't do this to me."

Chatterton started off back to the ship.

"Wait a minute now," said Forester.

Chatterton ran. "I know what to do, I know how to fix it!"

"Stop him!" said Forester. He ran, then remembered he could fly. "The A-Bomb's on the ship, if he should get to that . . . ."

The other men had thought of that and were in the air. A small grove of trees stood between the rocket and Chatterton as he ran on the ground, forgetting that he could fly, or afraid to fly, or not allowed to fly, yelling. The crew headed for the rocket to wait for him, the captain with them. They arrived, formed a line, and shut the rocket port. The last they saw of Chatterton he was plunging through the edge of the tiny forest.

The crew stood waiting.

". . . That fool, that crazy guy."

Chatterton didn't come out on the other side of the small woodland.

"He's turned back, waiting for us to relax our guard."

"Go bring him in," said Forester.

Two men flew off.

Now, softly, a great and gentle rain fell upon the green world.

"The final touch," said Driscoll. "We'd never have to build houses here. Notice it's not raining on us. It's raining all around, ahead, behind us. What a world!"

They stood dry in the middle of the blue, cool rain. The sun was setting. The moon, a large one the color of ice, rose over the freshened hills.

"There's only one more thing this world needs."

"Yes," said everyone, thoughtfully, slowly.

"We'll have to go looking," said Driscol. "It's logical, The wind flies us, the trees and streams feed us, everything is alive. Perhaps if we asked for companionship . . . ."

"I've thought a long time, today and other days," said Koestler. "We're all bachelors, been traveling for years, and tired of it. Wouldn't it be nice to settle down somewhere! Here, maybe. On Earth you sweat just to save enough to buy a house, pay taxes; the cities stink. Here, you won't even need a house, with this weather. If it gets monotonous you can ask for rain, clouds, snow, changes. You don't have to work here for anything."

"It'd be boring. We'd go crazy."

"No," Koestler said, smiling. "If life got too soft, all we'd have to do is repeat a few times what Chatterton said: 'Here there be tygers. Listen!'"

Far away, wasn't there the faintest roar of a giant cat, hidden in the twilight forests?

The men shivered.

"A versatile world," said Koestler dryly. "A woman who'll do anything to please her guests, as long as we're kind to her. Chatterton wasn't kind."

"Chatterton. What about him?"

As if to answer this, someone cried from a distance. The two men who had flown off to find Chatterton were waving at the edge of the woods.

Forester, Driscoll, and Koestler flew down alone.

"What's up?"

The men pointed into the forest."Thought you'd want to see this, Captain. It's eerie." One of the men indicated a pathway. "Look here, sir."

The marks of great claws stood on the path, fresh and clear.

"And over here." A few drops of blood. A heavy smell of some feline animal hung in the air.

"Chatterton?"

"I don't think we'll ever find him, Captain."

Faintly, faintly, moving away, now gone in the breathing silence of twilight, came the roar of a tiger.

The men lay on the resilient grass by the rocket and the night was warm. "Reminds me of nights when I was a kid," said Driscoll. "My brother and I waited for the hottest night in July and then we slept on the Court House lawn, counting the stars, talking; it was a great night, the best night of my life." Then he added, "Not counting tonight, of course."

"I keep thinking about Chatterton," said Koestler.

"Don't," said Forester. "We'll sleep a few hours and take off. We can't chance staying here another day. I don't mean the danger that got Chatterton. No. I mean, if we stayed on we'd get to liking this world too much. We'd never want to leave."

A soft wind blew over them.

"I don't want to leave now." Driscoll put his hands behind his head, lying quietly. "And it doesn't want us to leave."

"If we go back to Earth and tell everyone what a lovely planet it is, what then, Captain?' They'll come smashing in here and ruin it."

"No," said Forester idly. "First, this planet wouldn't put up with a full-scale invasion. I don't know what it'd do, but it could probably think of some interesting things. Secondly, I like this planet too much; I respect it. We'll go back to Earth and lie about it. Say it's hostile. Which it would be to the average man, like Chatterton, jumping in here to hurt it. I guess we won't be lying after all."

"Funny thing," said Koestler. "I'm not afraid. Chatterton vanishes, is killed most horribly, perhaps, yet we lie here, no one runs, no one trembles. It's idiotic. Yet it's right. We trust it and it trusts us."

"Did you notice, after you drank just so much of the wine-water, you didn't want more? A world of moderation."

They lay listening to something like the great heart of this earth beating slowly and warmly under their bodies.

Forester thought, I'm thirsty.

A drop of rain splashed on his lips.

He laughed quietly.

I'm lonely, he thought.

Distantly he heard soft, high voices.

He turned his eyes in upon a vision. There was a group of hills from which flowed a clear river, and in the shallows of that river, sending up spray, their faces shimmering, were the beautiful women. They played like children on the shore. And it came to Forester to know about them and their life. They were nomads, roaming the face of this world as was their desire. There were no highways or cities, there were only hills and plains and winds to carry them like white feathers where they wished. As Forester shaped the questions, some invisible answerer whispered the answers. There were no men. These women, alone, produced their race. The men had vanished fifty thousand years ago. And where were these women now? A mile down from the green forest, a mile over on the wine stream by the six white stones, and a third mile to the large river. There, in the shallows, were the women who would make fine wives, and raise beautiful children.

Forester opened his eyes. The other men were sitting up.

"I had a dream."

They had all dreamed.

"A mile flown from the green forest a mile over on the wine stream . . . ."

". . . by the six white stones," said Koestler.

". . . and a third mile to the large river," said Driscoll, sitting there.

Nobody spoke again for at moment. They looked at the silver rocket standing there in the starlight

"Do we walk or fly, Captain?"

Forester said nothing.

Driscoll said, "Captain, let's stay. Let's never go back to Earth. They'll never come and investigate to see what happened to us; they'll think we were destroyed here. What do you say?"

Forester's face was perspiring. His tongue moved again and again on his lips. His hands twitched over his knees. The crew sat waiting.

"It'd be nice," said the captain.

"Sure."

"But . . ." Forester sighed. "We've got our job to do. People invested in our ship. We owe it to them to go back."

Forester got up. The men still sat on the ground, not listening to him.

"It's such a fine, nice, wonderful night," said Koestler.

They stared at the soft hills and the trees and the rivers running off to other horizons.

"Let's get aboard ship," said Forester, with difficulty.

"Captain . . . ."

"Get aboard," he said.

The rocket rose into the sky. Looking back, Forester saw every valley and every tiny lake.

"We should've stayed." said Koestler.

"Yes, I know."

"It's not too late, to turn back."

"I'm afraid it is." Forester made an adjustment on the port telescope. "Look now."

Koestler looked.

The face of the world was changed. Tiger, dinosaurs, mammoths appeared. Volcanoes erupted cyclones and hurricanes tore over the hills in a welter and fury of weather.

"Yes, she was a woman all right," said Forester. "Waiting for visitors for millions of years, preparing herself, making herself beautiful. She put on her best face for us. When Chatterton treated her badly, she warned him a few times, and then, when he tried to ruin her beauty, eliminated him. She wanted to be loved, like every woman, for herself, not for her wealth. So now, after she had offered us everything, we turn our backs. She's the woman scorned. She let us go, yes, but we can never come back. She'll be waiting for us with those . . ." He nodded to the tigers and the cyclones and the boiling seas.

"Captain," said Koestler

"Yes."

"It's a little late to tell you this. But just before we took off, I was in charge of the air lock. I let Driscoll slip away from the ship. He wanted to go. I couldn't refuse him. I'm responsible. He's back there now, on that planet."

They both turned to the viewing port.

After a long while, Forester said. "I'm glad. I'm glad one of us had enough sense to stay."

"But he's dead by now!

"No, that display down there is for us, perhaps a visual hallucination. Under all the tigers and lions and hurricanes, Driscoll is quite safe and alive, because he's her only audience now. Oh, she'll spoil him rotten. He'll lead a wonderful life. He will, while we're slugging it out up and down the system looking for but never finding a planet quite like this again. No. We won't try to go back and rescue Driscoll, I don't think 'she' would let us anyway. Full speed ahead, Koestler, make it full speed."

The rocket leaped forward into greater accelerations.

And just before the planet dwindled away in brightness and mist, Forester imagined that he could see Driscoll very clearly, walking away down from the green forest, whistling quietly, all of the fresh planet around him, a wine creek flowing for him, baked fish lolling in the hot springs, fruit ripening in the midnight trees, and distant forests and lakes waiting for him to happen by. Driscoll walked away across the endless green lawns near the white stones, beyond the forest, to the edge of the large bright river . . . .

Conclusions

Often we are given opportunities that will transform our life. But, out of ignorance, fear, or habit, we ignore the opportunity. It passes us by. Once gone, it is gone forever. We end up regretting our life. We look back with nostalgia for what could have been.

This is the story of mankind and how we have abused the world we live in. This is the story of me, and you, who have passed up wonderful companions, opportunities and adventures, for some trivial reason or the other. This is the story of the bane of our educational system that focuses on goals instead of appreciation of the moments we live.

Appreciate what you have. Be aware of opportunities and take them when they present themselves to you. For only YOU can control your life. This reality is YOURS. Please don’t squander it.

Take Aways

"Here There Be Tygers" is a short story by American writer Ray Bradbury, originally published in the anthology New Tales of Space and Time in 1951. It was later collected in Bradbury's short story collections R is for Rocket and The Golden Apples of the Sun. It deals with a rocket expedition sent to a planet to see whether or not its natural resources can be harvested for the human race. They discover a paradise which seems to provide for them whatever they desire even as they think of it. They ultimately decide to leave the planet and report that it is hostile and of no benefit to humans. 

-Wikipedia
  • The Wikipedia entry above is a pale reflection of the content of the story.
  • Cliff Notes should never be used for short stories. Just read the stories yourself and come to your own conclusions.
  • I hope that this story was as enjoyable for you the reader as it was for myself.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Generated 22SEP18
  2. Conclusion 22SEP18.
  3. SEO REview 22SEP18.
  4. Published 22SEP18.

Comparisons Between American and Chinese Girls

Here’s a fun exercise. Let’s compare American girls with Chinese girls. Of course the comparisons would be terribly general and horribly biased. It would also be a reflection of my own personal preferences, which are admittedly terribly dated and old fashioned. Anyways, I would like to give my opinions and my impressions on the differences between American and Chinese girls…

Introduction

“...several months ago, I had a Thai short-time(girl) on the back of my Kawasaki, buzzing along. If you are planning on heading to SE Asia, save your life (and others) by learning to handle a motorbike in (the) USA. (It’s) Safer (that way)…

Little Ms 39 kg Hottie leans into my ear as we are passing a Burger King and coos "....khun Somchai, where is the KING?" (Ooooh) Once addicted to Asian hotties, sorry American chicks----game over.”

- buttmint (reply to) UmbilicalMosqueSweeper Aug 12, 2017 11:05 AM

China is a big country.  There are 80+ cultural and racial groups and every one was different.  While there were some who were small in stature, most Chinese were rather average and comparable with Americans in size, skin color and statue.  (Though they are decidedly not fat at all.)  They did not walk around barefoot, but tended to be very cosmopolitan in demeanor and wore the latest fashions and styles.

They were well educated; China is a nation of nerds.  (There is no question about that.  I was floored when I once saw an eleven-year-old studying differential calculus.)  All were very responsible, smart and beautiful.  Compared to them, and their families, Americans are poor.

(Chinese have saved money for centuries.  They have money to spend, and Americans do not.  When you see a Chinese driving a Range Rover, you can guarantee that they bought it in cash.  However, an American, nah, he is just making bank payments on “his” car.  For Americans, it is just a long-term rental.)

Asian women are beautiful, they take care of themselves, and honor their families.  They are very protective of their families and will do everything in their power to maintain their role in the community.

This is quite different from what is seen in the United States.  In the United States, since the 1960’s cultural revolution, every woman can be “her own” woman and “do her thing”. This sounds good on the surface, but unfortunately it often times equates into being a fat slob.

Now, don’t get too hot and bothered. It’s the same with men as well.  The men also can become to be fat, lazy pigs. It’s what the popular American culture has led everyone toward. Of course, things become quite different when you live for a greater purpose; when you live for your parents, and your spouse and your children.  You have a reason and a purpose.

“Women here in the US act like you fucking own them half of everything and then some. If you look at their fat asses, then you should be labeled a harasser and tarred and feathered. Their fat bellies sticking out from underneath shirts that are way too small kills it for me. And these dumb whores think they look great ! Most women here look like some kind of farm animal unless they are in grade school. The double chins, the huge feet from them weighing well over 170lbs, and the fucking attitude is enough to repel any man. I'll take a foreign girl any day of the week.”

- I am Groot Top Hat posted on Zero Hedge on Jan 11, 2018 5:49 PM Permalink

Different Culture

Chinese girls come from a different culture than America.

They do not know what “original sin” is; they do not know what guilt is, or shame.  They are not afraid to look pretty or to dress cute or provocatively.  They think differently.  To them one must honor their family and that means the concept of “face”;  How one looks.  How one acts.

Original sin, also called ancestral sin, is the Christian doctrine of humanity's state of sin resulting from the fall of man, stemming from Adam's rebellion in Eden. This condition has been characterized in many ways, ranging from something as insignificant as a slight deficiency, or a tendency toward sin yet without collective guilt, referred to as a "sin nature", to something as drastic as total depravity or automatic guilt of all humans through collective guilt.

They know that they have a family responsibility to maintain, and that they would do whatever is necessary to honor their parents.  Moreover, by the way, becoming a prostitute is not one way to do it.

Girl in the mall wearing boots.
Here is a lovely Chinese girl in a mall in the winter. The Chinese ladies love these big boots in the winter months. My wife has boots that reach all the ways up to her crotch, with the most common being the ones that go to the knee caps. I think that it is terribly attractive. The dress is pretty typical, being short and showing off the great legs that the Chinese women possess. The Chinese girls just don’t understand why us men would be so excited about these fine leather boots. I don’t know either, but it sure turns me on. I’ll tell you what.

What Chinese Girls are Like

If you want to see, what a Chinese girl looks like watch a K-pop video.  Sure, they are (mostly) Korean, but you know what?  The bodies, the shapes, the clothing, the makeup and the mannerisms are the same.  (They are all Han Chinese, which is the dominant racial characteristic in China today.)

If you want to stick to making broad, sweeping judgments that the Chinese are all flat chested, short, brown skinned, then go to Hong Kong, or Shanghai.  They are not.  They are decidedly absolutely not.

The typical Chinese girl wears a “B” or a “C” cup bra with a significant percentage of the population wearing larger bras (see below).  The typical Chinese girl is neither small, nor fat.  They are strong and beautiful.  They are independent, versatile, and responsible.  They are smart, intelligent and can run circles around most Americans.  They are tigers disguised as cute kittens. (At least my wife certainly is.  You do not want to get on her bad side. Let me tell you!)

I like Chinese women.  After all, I married one.

Underwear brand Triumph has published the percentage ranking of Asian bra sizes in its Lingerie White Paper, which indicates a continuing trend towards larger busts. Sales for its A-cup bras have fallen from 58.6% in 1980 to just 4.1% in 2016 The results for the last two years are tallied below: 

A-cup sales (2015): 4.7% A-cup sales (2016): 4.1% 

B-cup sales (2015): 19.5% B-cup sales (2016): 19% 

C-cup sales (2015): 26.1% C-cup sales (2016): 25.6% 

D-cup sales (2015): 24.8% D-cup sales (2016): 25% 

E-cup sales (2015): 16.7% E-cup sales (2016): 26.3%

Chinese girls interviewing
Chinese girls interviewing for a stewardess position with a Chinese airline company. In China, your appearance, and manners are all considered important attributes. You are viewed on your appearance, and ranked appropriately. This differs substantially from how the United States is. Having potential stewardesses wear bikini’s and interview on appearance is illegal in America. It might offend someone. It is discriminatory.

Chinese girls are many things, but as adults appearing as children is a gross oversimplification rooted in ignorance of the worst type; the “Ugly American” type.

Girls in China are quite different from girls in the United States. If I were to make broad sweeping generalizations about Chinese girls, I would say that they are very conservative.  They really do not buy into all that woman-career compared to woman-housewife nonsense that you see in the United States.

Typically, they go to school well into their mid-20’s. Yes, you could characterize them as most “book nerds”, then they go work.  Typically, girls get married around 25 to 28, and after age 30 they are considered to be “old maids”.

Fat percentage
Here is some Asian girls with comparative body fat percentage. It’s an interesting photo.

I find ALL Chinese girls to be special, wonderful and just outstanding. They are lovely, cute, professional, kind, and beautiful.

This includes the world-worn grandmother, to the tottering cleaning lady. There is a certain specialness that words cannot convey, but it is something that has to do with their culture, and how they are raised. They honor their parents.  They care about their family.  They support their husbands.  They keep fit and thin. They work and do what ever is necessary to build their business.

Seriously, what is not to admire?

7-11
Here is a Chinese girl in the local 7-11. And yes, you will see many Chinese girls dressing up to go out. This was more or less a pretty common scene in any of the larger cities in China. Note that many Chinese girls, especially in Southern China do not wear panty hose. It’s just far too hot.

Chinese girls know what they want, and they have the skills to go about getting it.  This is a true of a statement that I can ever make.

“My Chinese girlfriends, by contrast, seemed to know exactly what they wanted, and made it happen. Two women I knew had "the talk" with their American boyfriends of 5 or more years, and when the men confessed they still weren't sure, broke up with them and within a year were happily pregnant and married to someone else.”

-Your Tango

And, the men in their lives appreciate it. They show this appreciation in other ways. Ways that are very strange when viewed from the eyes of an American;

Several years ago, I moved from New York to Beijing. Within a few months of my arrival, I started to notice the differences between Western- and Chinese-style dating. 

For one thing, men carried their girlfriends' purses—little fake-Chanel pocketbooks, black leather sling bags, enormous pleather numbers with ruffles and rhinestones and tassles. 

No matter how ridiculously girly it looked, it was always slung over a male shoulder, or dangling from his fingers; with the other, he held his girlfriend's hand. 

The funniest moments were always when you spotted a guy momentarily alone: maybe waiting for his girlfriend outside a shop, or the women's bathroom. Then what you'd see was a Chinese guy in unassuming clothing—t-shirt, button-down shirt, jeans—holding a frilly bright-pink purse. 

-Your Tango

Here is a GREAT video that describes the differences between a girlfriend from the North of China compared to one from the South of China.  I laughed so hard watching it!

Some Pretty Chinese Girls

Here are some pretty Chinese girls dancing to the pop music that is all the craze out here. You can get a general feel for what the Chinese girls are like just by watching the videos…

One thing that I like about Chinese girls is that they are not fat. I really don’t know what has been going on in the United States, but all the American girls are really on the chunky side, with many absolutely and positively fat. When they come here to China, they look like enormous fat pigs or water buffaloes.

Most girls in China look a little like this young lass…

I like that girls come in all sorts of sizes and shapes. They can be short, or tall and thin. They can have nice legs, or a nice butt, or a great rack. They can have large eyes that your soul could melt into, or soft skin and really nice enormous manes of hair.

One thing that I really appreciate in China is that many Chinese girls have really nice boobies. You know, as an American, I was under the impression that all Asian girls were flat chested. I guess it is just one of those American stereotypes. You know, some of these gals have some amazing racks let me tell you!

But, you know, it is more than that. There are many, many Chinese girls that like to exercise and keep in shape. Whether it is in the daily dance routines, or going to a gym, everyone seems to exercise.

Here’s a Chinese girl exercising…

American Girls

But what about American girls you might ask?

Well, I also like American girls as well. In fact, there are many, many attractive American girls. What I am disturbed about is that the food in America has been poisoned by GMO’s and selective genetic breeding loaded with hormones and the such. Both American men and women are now much stouter then they were in the past.

That included myself (when I lived in the States).

So, while there are some very attractive American women, they tend to be smaller in number than their counterparts in other nations. With many American women heavier than what they should be.

A recent study revealed that the average American woman wears a size 20W.

The average American woman wears a size 20 wide! WTF? An American woman today weighs as much as a man did in the 1960’s. There is even a Buzzfeed article that thinks that this is a great thing! I disagree. I think that they are smoking too much of that dreaded devil-weed and are very confused.

 

via GIPHY

Seriously. Please understand my point of view. There is nothing appealing with someone being out of shape.

Findings suggest that, contrary to popular assumptions, the average American woman’s (AAW’s) clothing size is larger than anticipated. The AAW wears between a Misses size 16–18, which corresponds to a Women’s Plus size 20W, with greater distinctions found when considering race and ethnicity.

I think that Americans, men and women, should eat fresh food and locally grown vegetables. They should eat more fish and seafood. They should cut down on snacking and fast food. It’s a sacrifice, I know. I know.

Look what I had to cut down on…

  • Limit fast food to once a month.
  • No after dinner snacking… ever.
  • Full breakfasts, lunches and dinners.
  • No Doritos, potato chips, or deep fried anything.

Here are some women of size 20W. It is now the American average size for a woman… Yikes!

Big American woman
The average American woman now wears a size 20W. This is certainly celebrated in many American websites and posts as avoidance of “fat shaming”. Personally, I think it is disgusting and alarming. Men and women need to keep their BMI within reasonable limits.

What a Man looks for in a Woman…

With all that being said, let’s look at the things that men look for in a woman. After all, it is relationships that drive our passions. From that we can derive comparisons between women from China and women from the United States.

When a man, such as myself, thinks about a woman we look at  number of key features;

  • Appearance
  • Sex
  • Domestic Concern
  • Companionship
  • Personality
  • Self Confidence

Of course, there are many other factors that we could include here. But, this is not intended to be an exhaustive study, or some kind of PC narrative. Let’s consider what I, myself, look for in women. This is my list.

Other people might have a different list. Maybe something like this…

  • Environmentally friendly.
  • Fashion trendy.
  • Hip with the latest APPS.
  • Has many followers on Twitter, or Facebook.
  • Is wealthy and successful.
  • Has a huge enormous ass. (Yikes!)

But, I’m not other people. So if you want to generate your own list and criteria for comparisons, go straight ahead. I’m not going to stop you. This is my list, and these are my comparisons…

[1] A Woman’s Appearance

A man looks for a woman that he is physically attracted to.

Sorry, but it has to be said. The good news is, every man is attracted to a different type of woman and has his own personal tastes. Meaning, I am in no way suggesting a woman has to fit a certain image of ‘beauty’ in order to be considered ‘wife material.’ 

But, as is true for both men and women, there needs to be a physical attraction between two partners to kick off a relationship, which also plays an important part in holding it together.

-The Good Man Project

We men want a woman who we are attracted to.

Attraction has to do with a combination of [1] physical shape, [2] physical appearance, and [3] personal grooming. I have seen women who have “rockin'” bodies, who dress like trailer-park trash, and were a total turn off. I have seen women who look good and yet sound like a foul-mouthed sailor when they speak. I have seen women who didn’t know how to walk in high heels and went clunk-clunk-clunk as they walked down the street. Yuck!

I have seen beautiful women, who walked and carry themselves well, wearing black high heel shoes with the red under-sole. Only to have a big white price tag sticker on the bottom. Talk about distraction away from the image form!

Appearance is more than just physical shape. It is also about grooming and attitude.

In general, men and women are about the same in this regards. A woman wants a man that takes care of his appearance. She wants him to be well groomed, clean, and neat.

Men are the same. We look for a woman that also takes care of their appearance. We like the women in our lives to be well-groomed, clean and tidy.

Beautiful
Here is a beautiful American girl. Look at that smile. Look at that amazing body. What a stunner! I tell you what!

There are a wide range of American female body styles that I personally find quite alluring. This includes tall leggy women, to short chubby cuties. I think that many would be amazed at the things that they do, act, dress, or look like that I find amazingly attractive.

So I am not going to bad-mouth any of the particularly awesome women that live in America. I tell you the truth, there are some American women that think that they aren’t that good looking, that I would die to be with.

When I lived in Boston, there was a 30-something woman who worked in a brick-a-brack store in Brookline.  She was very curvy, and maybe wore a size 18. She had shaved her hair really short, and wore really red lipstick. Not my type. Yet, I had such the hots for her. OMG! Every-time I tried to talk with her, I would get so flustered. She hadn't a clue how mesmerized I was for her.

It is sort of like how a woman who looks at my shoulders (and arms) and wonders how nice it would be to rest their head there and be held. I too look at women in this way. However, I think more in terms of having my head resting on their chest softly, and their fingers in my hair.

Men and women are more similar than we will admit to in public.

Beautiful ebony girl.
Look at this awesome beauty! Look at that amazing head of hair! Look at the tiny waist and hour-glass shape. Man! She must have all the guys chasing after her. Now pay attention. What an amazing smile. I’ll tell you what, the smile opens up my heart.

That being said, I don’t like to be with a girl that is heavier than I am. It’s a personal preference. I also am not really attracted to a woman who is taller than I am either. I don’t know why, it just doesn’t do anything for me.

I also am a little skiddish about polydactylism. It's not that I am revolted if the girl has seven fingers on each hand, but I'm a little freaked out about it.

I feel like this is similar to a girl that doesn’t want a man that is shorter than she is.

[2] Having Sex

Honestly, you have to be a fucking moron not to realize that men want sex.

It is genetically programmed into males and become the focus of everything that we do. From what career we enter, to what cars we drive, to how much money we make. The driving force behind it all is getting sex.

Any man who says that this is not the case is either lying or trying to find favor in order to obtain sex.

Pepe le Pew
The Loony Tunes character “Pepe Le Pew” is based on the raw instincts that all males have. When we were children and watched the cartoons we knew instinctively what what going on. Yet today in the SJW saturated American culture, we are supposed to ignore the basic facts of life and accept a reality of non-genders. Nonsense!

Once we find an attractive woman that raises our interest, the very next thing we wonder about is having sex with her.

That is the way it is, and no SJW rewriting of culture is going to change the biology of males. In a way we are just like dogs and are led about by our “pecker” all the time. Smart women know this. Smarter women use it, and profit from it in numerous ways.

Online porn is not a multi-billion industry for nothing. Prostitution still exists because men are men, no matter how hard society, religion and zealots try to stamp it out. Men are males with fundamental male interests and needs.

Need for sex.
The sexual desires that men have vary from individual to individual. Some men really love oral sex, others are “meh”. Some men must have anal sex, while other go “yech!”. Every man is different.

That being said, sex is an individual experience. What might be fantastic for one fellow, might be terribly boring for another. That is why there are fetishes.

Some men like big boobs, others like big asses, and still others like big feet. Some men are mesmerized by a nice set of legs, while others like strange and unusual sexual positions. And yes, some men really like huge women, and others like small tiny ladies. Everyone is different.

[3] Domestic Care & Concern

Here is where I sound like an old foggy-head man. However, a woman who is control of her home, tends to be in control of her life.

A woman who is in control of her home is in control of her life.

When I see that she takes care of her clothes, makes sure that the house is well run, ordered and that she knows how to cook, I start to get really interested in her. You see, in my mind, a woman who is in control of her life, would also be able to take control of my life as well.

Men will give their LIFE, their MONEY, and their very BEING to a woman deserving of it.

I once went on a date with a woman. She was nice, and attractive. To get ready for the date, I of course was presentable and clean, and I made sure that the car was washed and detailed. I picked her up. I then opened the door for her and buckled her in. (This was America, I'd never do it in China.) And we went off.

During the drive she pulled out some chewing gum and was chewing it. You know, for a pleasant tasting mouth. But, you know, she did something disturbing to me. She threw the chewing gum wrapper on my nice new floor...

Later, after dinner, she couldn't find her lipstick, and emptied her purse on the table, and had to sort through old scraps of paper, receipts and brick-a-bract. The date ended, and we went our separate ways. We had fun, but I never wanted to be back with her again. You know, she probably doesn't understand why.

Men need a companion that they can turn to, rely upon, and have a family with. This means responsibility. We need a good strong willed woman without baggage and problems. Seriously, isn’t that what women look for also?

Now, of course, most modern and "liberated" women don't think like this in the Untied States. They are "independent". They can get and have their own careers, and live their own lives. Sure they can. And, be childless and unmarried into their 40's. 

The cost of being a "liberated" American female is quite steep.

It is not reality.

It is an artificially constructed narrative to seduce people into certain set behaviors. If you want to see what works for couples, then look at how families are set up in Africa. Look at how families are run in Poland. Look at how families exist in China. Five thousand years of experience won’t lie.

Pleasantville.
The 1998 movie pleasantville depicted a sort of revisionist narrative of what might happen if a modern person were to step back into time and life life as it used to be in the 1960’s. Contrary to the popular narrative, there is nothing wrong with traditional marriage and a man giving everything to his wife. In return, the wife becomes domestic and cares for him, their children, their home and their finances. She makes sure that the man can work, be relaxed and strive to improve their life. That is the traditional method, and that is what many men search for.

A traditional life WORKS. Most men WANT a traditional long-term relationship. They will give everything for it.

I fear many men, especially those afraid "to make the leap" in marriage are not convinced or ready to allow a woman to take over part or all of his life.

For a man, this is a BIG commitment.

He is not only letting the woman into his life, but he is giving her access forever to all that he earns. He is allowing her to dictate and instruct him on behavior, dress, and recreation. If the man is truly in love, and if he believes that this woman can take on that domestic role; she will GET EVERYTHING he can offer.

Roles
A man who gives everything to his wife will never leave her. For she literally BECOMES everything to him. So, have you ever wondered why divorce was so rare prior to the 1970’s? Divorce became commonplace when traditional roles fell from popularity. So ladies, if you want a man that will be YOURS… forever and would never abandon you, then you should make a reappraisal of your value system. You won’t get it on a progressive ideology. You will ONLY get it with a Conservative Traditional ideology.

When a man gets married, he should be ready to share his life. This often means letting your wife take over portions of it so you no longer have to. A good, and strong, woman will be able to manage the home. If she can manage the home, she can help the man become a success.

We have a saying that goes something a little like this; “Behind every successful man is a strong woman.”

As I get older, I see how true this is. My friends who are all very successful, all have strong and well-organized wives. They all also have relinquished some things to the wife in exchange for her domestic support. This includes [1] all of the finances. [2] What he eats. [3] How he dresses. [4] His exercises, and [5] how they relate to family matters.

Family Meal

Oh, and please forget that nonsense Hollywood narrative of what a traditional conservative woman is. (Where a traditional woman wears Amish style hats, and lives a life right out of the “The Handmaids Tale”.) That is propaganda. Today, a conservative wife might have a body covered in tattoos, ear and nose rings and purple hair. It isn’t about appearances.

It is about the energy that lies inside…

Chinese women, as well as African, Polish, Russian, and Indian women don’t sit around watching the boob-tube, or play games on the cell-phone all day long. They do what ever is necessary to make THEIR household a success.

  • The manage the fiances.
  • They budget the household.
  • They allocate resources to jointly improve their standard of living.
  • They make sure everyone is eating well and healthy.
  • They are a model for their community and familial relations.
  • They make sure that the husband has everything he needs.
  • They push and help the husband grow as a provider.

[4] Companionship

via GIPHY

I always look for companionship when I see a woman who interests me. I wonder if they would they be fun and interesting to be with. I wonder if we could talk about really deep and interesting subjects. I wonder if they would be willing to share in my hobbies. I look for companions.

This is true for most men.

Time
Spend time, meaningful and precious time, with those you love. Make your time quality time. Buy an ice cream cone with your retired father. Take you mother out of a morning breakfast. Call up one of your friends and go to the beach or hike in a local park. Spend time together. Companionship.

Now, most women are confused with what this means. They search for romance. They could care less about companionship. This is sad, because romance comes from companionship.

Romance is spawned from companionship.

My wife and I took a trip to Thailand, and while on a drinking binge, the taxi driver drove us to the middle of no where and abandoned us there. We had to struggle and make our way back to the hotel. That bungle was an adventure, but my wife well remembers the rural village BBQ meal as the dawn broke through the clouds, and the orange light that shined on our toes in the sand. Romantic times are unplanned. They come from companionship.

A man wants a person to share his life with.

via GIPHY

[5] A Woman’s Personality

Another thing that guys look for in a woman is personality. We are attracted to kindness, softness, sweetness, and compassion.

When I come across a particularly militant American woman, I am immediately repelled. Especially when that person wants to lecture me on “white privilege” or some kind of populist nonsense that weaker men accept. Don’t be a ugly bullyish brute of a woman. It’s not becoming.

Become the ideal. Your life is within your hands.

Pretty girl
Look at this pretty American girl. I have to admit that I have a thing for short frilly dresses. Man, she does look great in polka-dots. Wouldn’t you just love to go out on the town and have a cup of coffee and a cheese cake with this woman?

To be honest, when I meet a woman and I get to know them, I am looking for companionship. I wonder if they would like to accompany me for dinner, dancing, and any of the hobbies that I love to partake in. Since I love wine, a non-drinker and myself might not fit together well. Since I love animals, when I am talking to a woman, I wonder if they would also be part of my life with dogs and cats.

The personality that a woman has eventually dominates a man’s interest. In other words, while a man is firstly attracted to a woman’s look, and sexual appeal, it is her personality that will keep him by her side forever.

[6] Self Confidence

One of the most important traits for both men and women is self-confidence. This is something that is hard to describe, but is fundamental to success in life. the truth is that I am not at all handsome, but women are interested in spending time with me for other reasons. I chalk up the reasons to being positive, happy, interesting and having good self-confidence.

Because that is exactly what turns me on in a woman.

When I take a woman out, I want to be able to talk about things. I want to be able to talk about tomato plants, favorite foods, dogs and cats, and thoughts about life. I want to be with a person that isn’t so fucking sensitive that I am afraid of being who I am. I want to be accepted for me, and if you don’t like it, to Hell with you. The same goes double for women.

I would NEVER tell a woman that she shouldn’t eat dessert because she needed to count her calories.  Nor would I tolerate sitting down with a woman who wanted to lecture me on the injustices of the world. No one likes a scold. Really.

No one.

General Comparisons

The thing is that Chinese food is healthier than American food. The culture is also healthier, and everyone likes to dance. Many Chinese like to ride bicycles and walk. There are sidewalks everywhere in China. Thus, many Chinese girls and women are thin and healthy.

  • Chinese eat healthier food.
  • Chinese have smaller food portions.
  • Chinese prefer green tea to soda.
  • Chinese have opportunities to dance every day.
  • Culturally, being out of shape is a terrible taboo.
  • Bike riding is supported and subsidized by the government.
  • Sidewalks are everywhere.

Fat China

To elaborate further, being unhealthy is frowned upon. A fat Chinese woman is considered to be someone who is causes her family to lose face. It is not tolerated.

In Chinese culture, eating is seen as a form of affection and commitment to the family, so I always ate every meal, every single kernel of rice in my bowl. But I also felt fat and unfit to be the “perfect” Asian girl, as I compared my body to those of my fellow Asian American girl friends. When we would go out to eat and drink -- a group of petite Asian girls -- I knew I had to work out more and eat less the next day to make up for the amount I ingested with my friends. I’ve spent countless Friday nights in college, feeling completely inadequate because every single Asian girl I met was thin and beautiful with porcelain smooth skin, like Asian girls are supposed to be. I started to wonder if I was the only Asian girl who felt this way.

-XOJane

In America, being a fat woman is considered to be very hip and progressive. It is very much anti-fat-shaming. As such it is promoted as applauded. Also, Americans tend to love piercings, tattoos and all sorts of body adornments.

American girls.
Typical college-age American girls having a good time during spring break in Corpus Christi Texas. These are typical girls. Covered in Tattoos. They are having a great time, and they have most excellent smile. None of them are fat.

Also Americans tend to have a different lifestyle. We drive everywhere. If our car breaks down, we rent a car rather than walk. Unless you are in a city, there just aren’t any sidewalks. We like to eat fast food. Our food portions are enormous. While American food is certainly tasty, we usually opt for fast and easy food instead of the food that is better for us like oatmeal and fish.

So, we have a situation where Chinese girls tend to be thinner and healthier than American girls. I find that being healthy is enormously attractive.

Comparisons between Chinese and American Girls

Here are my opinions concerning Chinese girls and American girls. Of course it is only my own opinion.  You are free to agree or disagree as you choose.

We will start with my first criteria; Appearance.

Appearance

Both American women and Chinese women tend to take care of their appearance. However, culturally, what is attractive differs. In America, having “bronze” or dark skin is considered to be attractive. While in China, having white or pale skin is considered to be attractive.

In China, it is desirable and even necessary to appear healthy and fit. In America it is trendy to be fat and overweight.

While I find that both China and America have attractive ladies, I have discovered that there is a higher percentage of them in China compared to America. This is not determined by the vast numbers of Chinese compared to the much smaller number of American, but rather the percentage of attractive women within society.

Taking the regional and cultural differences aside, and ONLY making a determination based on my personal opinions, I would find the following to be true…

America might have 30% of the women to fit my own biased, and old fashioned, ideas of beauty and attractiveness. While in China, I find a much higher percentage of women, easily over 80%, that I would consider attractive in one way or the other.

Sex

Based upon my own experiences, and only on my experiences, I find that both China and America are about on par with providing enjoyable sexual adventures for the pleasures of the man of the species. While there are individual differences, I think that a man in either America or in China would tend to have reasonably enjoyable sex with their partner.

via GIPHY

There are a couple of sexual trends that seem to be promoted in the American media at this time, that are not promoted in China. These include…

  • Sexual ambiguity.
  • Female ejaculation / squirting.
  • Sexual promiscuity.
  • Role reversal.

Aside from these differences, for me I could care less about the latest in sexual trends going on today. Therefore…

Based on my experience, sex in either the United States or China is about the same. It varies from person to person and relationship to relationship.

Domestic Concern

I am afraid that I am going to have to shock all the readers in Internet-land out there on this consideration. However, the fact is this; the Chinese women are traditional and Conservative. The American women are progressive and liberal.

Chinese women are conservative. American women tend to be liberal.

A Chinese wife (or girlfriend) would wash, starch, iron and fold your clothes. An American wife, highly unlikely.

A Chinese wife would make a meal for her husband, provide him with clean house clothes and slippers when he gets home, and make him a cocktail. An American woman wouldn’t even consider it.

A Chinese wife would make sure that her husband was eating healthy meals, not doing unhealthy things, and would select his clothes and make sure that the man was presentable for work. An American woman would never pick out her husbands clothes, let alone iron, starch, and fold them to lay them out for him to wear when he got out of the shower.

A Chinese wife would shower, get dressed up and put on makeup to make a run to the local grocery store to get some supplies. An American woman, probably would not.

A Chinese wife would budget the household for the month. She would plan and prepare the family meals, even if it was just for two people. An American woman might do this, however, planning a family meal has become a lost art that has disappeared sometime during the Bill Clinton presidency, when Hillary Clinton became the role model for many a young woman.

All, in all, culturally the Chinese woman is far more suitable than an American woman is for a family life. 

However, for relationships that are not tied to a family or domestic issues, such as one-night stands, and promiscuous sexual adventures, the American woman is preferred. As her behavior towards a long term mutually-respectable relations can best be considered as disposable.

Companionship

Again, I would say that both American and Chinese women would make fine companions. Though, culturally it would take on different manifestations.

In public, a Chinese wife treats me with great respect. She would never belittle me. She would never, ever… EVER say anything bad about me to anyone. I am her family. I am HER family. I am HER’s. To bad-mouth me is to admit that she is a terrible wife.

Culturally, the wife, the family and the husband loses face if she is not supportive of him. In fact, he could lose his job, or be placed in demeaning and compromising work situations as well. The wife has an important role, and she must make sure that the husband is respected and promoted. Can you imagine the woman in this video saying bad things about her husband…?

Chinese girls are fiercely defensive of their families and their husbands.

In comparison, an American wife has no problem with treating a husband terribly. In fact it is even promoted in the American media and Hollywood. This has manifested with a terrible lack of respect in public, bounding on terrible disrespect of the worst kind. Heck, even the President of the United States allows people to point their finger at him and belittle him in public.

You would NEVER see this in China.

Asshole President being belittled.
FILE – In this Jan. 25, 2012, file photo, Arizona Gov. Jan Brewer points during an intense conversation with President Barack Obama after he arrived at Phoenix-Mesa Gateway Airport, in Mesa, Ariz. In the summer of 2010, race and politics collided when Arizona Republicans passed an immigration law that critics said would lead to racial profiling of Hispanics. Jose Lozano, vice president of the Massachusetts Association of Minority Law Enforcement Officers, remembers Brewer wagging her finger in the president’s face, which he thought was ugly and hadn’t seen before. “There’s no way that would have ever happened to a white president,” Lozano said. (AP Photo/Haraz N. Ghanbari, File)

Knowing what I know of today, I can understand why some American husbands beat their wives up when they get home. If they would attack and beat up a male stranger that treats them that way, what makes their closest confidant get a free-pass?

That being said, there are other minor cultural differences…

A Chinese wife would have the husband carry her purse, all the bags of the things that she bought, and tote the dog around in a kennel. While she would walk in the mall in all her glorious beauty. However, the husband would control what they did for the day.

An American wife would bound ahead and do her things without asking the man what he wants to do. She would go to the stores she wants, and if the man comes fine. Otherwise, it’s too bad. In America, the husband has taken on a kind of pet-role. Go. Fetch. Sit. Obey. Roll-over.

Of course, there are exceptions. However, and this is important, culturally how a wife treats her husband differs between China and America.

  • In China, the husband must be treated with full respect or the family suffers.
  • In America, treating the husband poorly is an accepted norm.

Thus…

I have discovered that I am treated better, adored more, appreciated, and honored in China. It is at a level of respect that is way, way, WAY beyond anything than I ever experienced in America. Therefore, the Chinese culture greatly favors a relationship consisting of companionship between a man and a woman.

Personality

I am attracted to kindness. When I see a great wide smile, I gravitate towards it. There are kind people all over the world. Just like there are evil people. I personally believe that there is an equal mixture of kind, personable women in both China and America.

I like the fact that many people smile at strangers. You won’t see this in China. In China, people only smile to friends.

I like the fact that many people in America attend church. I think that it helps keep us grounded to a higher purpose. You know, many Chinese are quite religious as well. We NEED religion. We NEED to constantly be reminded of our role and our purpose in this life.

President Trump in Church.
President trump attends church and feels the spirit of God. Of course, this was reported differently. The American mainstream media reported this as protestors marched around and around the church. Whatever, get the attention away from the good. Focus on the trivial. There is nothing more important within this reality that our purpose and our relationship with our maker.

A kind and caring person can be found anywhere. You just need to push aside the differences in culture and look at the person within. I have found that both America and China has people with attractive personalities.

Self Confidence

I am attracted to people with self confidence. This is true for both men and women.

The thing about this, and I do not know why, the women in the United States with good self-confidence are ugly arrogant monsters. While the women in China tend to be lovely and well poised. Ok, here is what I am trying to express. Here is a Chinese woman with high confidence…

Now, for comparison, here is an American woman with high confidence…

American woman with high confidence
Here’s an American woman showing that she has high confidence. She is proud of who she is and accepts her body just as it is. No one is going to tell her how to eat, and what to eat. No one is going to try to sell her hair lotion or shampoo. She is her very own woman. Good for her!

You can find many such pictures where absolutely beautiful women sabotaged their appearance and posted pictures of them with their new self-found self-confidence. I am NOT saying that it is bad. What I am saying is that you do not have to adopt extreme behaviors to be the very best that you are.

I think that it takes high self-confidence to avoid the seduction of tattoos when everyone else is sporting them. It takes high confidence to let your hair grow long and refuse to cut it for any reason. I think that real high confidence is when you do things your way, and not the trendy and popular way.

I think that everyone needs and should have high self-confidence. However, I don't think that we need to destroy our appearance to obtain it. Just because people are suddenly are looking at you and giving you attention does not mean that that they admire and like you. They just might be gawking like people do at animals in a zoo.

Self-confidence alone is not attractive. It is the sum total of all the characteristics that a person has. Self-confidence puts everything together into a nice neat package.

r/K Theory

The trend in America for fat females, and for promiscuity is a valid species survival vector. It occurs when you have creatures living in abundance. In America we have a situation where there is abundance.

Studies on mice, rats and rabbits have affirmed that when there is an unlimited supply of resources, the species take on the behaviors that we witness in the United States today. If you are interested in finding out more about this theory, please go here…

r/K selection theory

Conclusion

When I first came to China I was floored by the prodigious numbers of attractive, sexy and self-confident women. Not only that, but they were traditional. They believed in marriage. They believed in roles. They believed in the importance of man being a man, and a woman running the family.

China is communist, but here all the people were traditionally American-style conservative. I was amazed and stunned. Then, when I started to live and work in China, I began to understand it better. I saw that modern China follows the free-market values of American style conservatism. We can all thank Mr. Deng for that.

Here in China, when I would try to talk to a woman, they would smile and come up to me. They wouldn’t scow or make some kind of dismissive remark. They looked into my eyes and I had their full attention.  I have since come to appreciate women who take care of themselves and honor their family. I fell in love with one and I did go ahead and marry her.

If the woman is from America, and they take care of themselves and honors their family, then you should not let that person escape. They are a prize. They ARE remarkable and ABSOLUTELY deserving of your attention and admiration. Appreciate them. For they are special, unique and deserving of a “knight in white armor”. Don’t let them down.

Take Aways

  • There are beautiful women all over the world.
  • In China, beauty is defined by tradition.
  • In America, beauty is defined by popular culture.

That being said…

  • A traditional man would find that China would have more beautiful women than in America.
  • A trendy progressive, liberal man would find that America will have large numbers of attractive women that fits his ideas of perfection.

American Woman in China

Sometimes a picture tells a story. Here is a micro video of an American in China taking selfies on the beach. I will let the reader come to their own conclusions.

FAQ

Q: Which type of woman is more attractive? An American or a Chinese woman?
A: Both have their charms. It really depends on the person who is the observer. For me, with all my crazy old-fashioned old-school ideas about family, and appearance, China is the land of beautiful women. But my ideas are not popular at all.

In America today, Michelle Obama is considered one of the most attractive women in the world. Hillary Clinton is the 6th most attractive woman. So, many people have different ideas about beauty than I have. You, the reader, should never take my tastes and attitudes as your own. You should instead NOT be influenced by my out of style thoughts and opinions.

Q: Is there any female feature that is more important in attractiveness?
A: While I am certainly fond of a nice chest, and sensuous lips, I have to be honest on this. Nothing gets me stunned into stupefied silence than a mixture of kindness and self-confidence. That chick I mentioned earlier from Brookline in Boston, was so tender but strong! Oh, my gosh!

Q: What is wrong about tattoos and piercings?
A: Absolutely nothing. You need to understand, I represent a different generation. In my world, it is typically unusual for a woman to have excessive tattoos and piercings. That does not mean that I am not attracted to women with these decorations, it is just that it falls outside what I have considered to be attractive. I have to be reeducated on this subject.

Q: Is a woman who smokes and drinks attractive?
A: I think so, but according to the typical Chinese culture, it is considered unwomanly if not taboo. In fact, the reader would be surprised at how broadly I consider attractiveness. Which is why I often tell everyone to be the very best that they can be, and stop listening to the advice of others. Attractiveness is a combination of factors. Just be the best you can be, and let who you are shine through.

Links about China

Business KTV

Dance Craze

End of the Day Potato

Dog Shit

Dancing Grandmothers

When the SJW movement took control of China

Family Meal

Freedom & Liberty in China

Ben Ming Nian

Beware the Expat

Fake Wine

Fat China

China and America Comparisons

SJW

Playground Comparisons

The Last Straw

Diversity Initatives

Democracy

Travel outside

10 Misconceptions about China

Top Ten Misconceptions

Learning About China

Pretty Girls 1

Pretty Girls 2

Pretty Girls 3

Pretty Girls 4

Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 15AUG18.
  2. Completed 17SEP18.
  3. SEO review 17SEP18.

The Hazing of New Employees – A Lost Tradition

Up until the 1980’s, the “hazing” of new employees was a time-honored tradition. Here, the new employees would be given the crappiest jobs, told to do the hardest things, and treated horribly. This all seemed to disappear in the middle 1980’s. This article is dedicated to all those older workers who had to endure the “hazing” period and what it was like…

Introduction

Today, little remains of the old days of Hazing. You can see it on College campuses and universities when people “rush” to join a sorority or a fraternity. That’s about it. The hazing during High School has pretty much been eliminated. With the only vestiges of it being the movie “Dazed and Confused“.

Dazed and Confused.
In the movie “Dazed and Confused”, High School Seniors were shown “hazing” middle school students who had graduated into High School.

People have forgotten that “hazing” was an important part of life. You went through it numerous times in your life, and one of the most harrowing was when you started work at a new job. Here, we look at this aspect of life. In it, I describe the hazing rituals that I experienced in Western Pennsylvania in the 1970’s.

Hazing in the Coal Mines

One of the first jobs that I had was in the coal mines when I was 14 years old. My father believed that the role of a man was to work, and to earn enough to provide for a family. That was what “being a man” was. Now, the law put limits on the hours and the conditions that I would work under. Never the less, I was introduced to work on the rock crusher at 14.

tipple
Here is a typical tipple in Pennsylvania. The trucks or trains would carry huge chunks of coal from the mine to the tipple. They would be dumped into a hopper that fed into a rock crusher. Then the broken coal would be scanned for debris and loaded into hoppers below. My first job was to stand over the rock crusher and make sure none of the coal would get hung up. I would have to crawl above the “jaws of death” and bash the rock with a hand sledge to break it up.

The job itself was pretty darn straight forward. I would stand above the rock crusher on metal slats. These were steel flat bars that formed a grid over the crusher. The goal was to stand on top of them, and not slip between them. They were spaced about a yard apart to left the huge chunks of coal to fall down below. I was given a large pole to help push the coal into place, and a hand sledge to break up the rocks.

Many a time I would slip on the slippery mud covered slats. I would fall down between the slats and have to climb my way back up to the top again as the coal would be moving towards the crushing death below. I would often lose my helmet as it was ultimately ground up into tiny busted up flakes of plastic.

Coal miners
Coal miners Rodney Blankenship (L), Roger Vanatter (C) and an unidentified colleague prepare for the start of their afternoon shift in the locker room of a coal mine near Gilbert, West Virginia May 22, 2014. Blankenship, 53, a coal miner for 30 years, said “You go in there, hope to have good productivity on your shift, and get out safely.” With coal production slowing due to stricter environmental controls, the availability of natural gas and a shift to surface mining, the state’s coal country has been hit hard with job losses and business closures. Picture taken May 22, 2014.

That was my normal job. Now, let’s talk about the hazing aspect of it…

Sure, I took a lot of gruff from the older workers. Most people that I worked with were in their 20’s and 30’s. The real older men were over their 40’s and tended to work at other roles in the company. I was doing the “grunt work” that pretty much didn’t pay well, and that no one wanted to do.

There were “independent” haulers that would drive their dump trucks to the mine and dump the ore into the hopper. These tended to be grizzly old truck drivers, and they all wanted to give me a hard time while I weighed out their load (they were paid by weight). They would love to call me names like “fucking-dumb pollack” and “pecker dick-boy” all the time berating me for “cheating” them out of a few pounds of ore. All nonsense. It was just a bunch of harassment that I would have to endure as they would pull in and I would need to weigh their loads. Other than that it was harmless.

Mining community
I grew up in the hills of Western Pennsylvania. Like nearby West Virginia, the area was amazingly beautiful and wooded, with small communities of homes and mobile homes along winding roads that went in and out of the mountains.

However, nothing was like the shit storm that I dealt with by the older kids, only slightly senior to me. There, they would steal my helmet and throw it into the hopper and I would have to dive in and retrieve it. You know, if I lost the helmet it would be deducted out of my salary.

They would, for instance, take my lunch and hide it in the tipple somewhere. Or, lock me in the outhouse, or out of it and put ex-lax in my coffee cup.

My supervisor would make me crawl into the trash cans and scrub them out with a hose and a brush. He would also try to cheat me out of my salary. In those days we were paid in cash. Sometimes, instead of the $45 that I was due, I would find a $5 and some change inside the envelope.

Other tricks of the trade included flattening my tires so that I would have to drive real slow to the nearest gas station, rolling down my windows (in the car) so that the inside would be soaked in an afternoon rain, and putting grease on the handle of the hand sludge so that it would slip out of my hand when I used it. The worst was putting an empty can of oil on the hood. After a 16 hour day in full sunlight, the ring impression it made could never be buffed out.

Hazing in the Steel Mills

The steel mills were a little bit different than the mines. Once I turned 16 years old, I was able to work full time. This meant that I could get a “starter job” at one of the local steel mills. I was fortunate. Edgewater Steel needed someone to stand under the ladle and hold the ingot molds in place while the hot steel poured into it.

Stell mill 1
Of course, I was given protective suits and equipment to wear. We worked a tough shift, where we were provided a rest area where we could cool down. The temperature near the steel was brutal, and the radiation burned our skin.

The work was necessary. You had to use these long poles to hold the ingot molds in place. If you didn’t do a good job, one of the sides of the ingot mold could come loose and the liquid could flow out, covering you and killing you instantly. We would work two people per ingot. Typically, we might be able to come up with ten ingots of steel from each poured ladle.

The work was hot, tiring and very dangerous. Never the less, it didn’t stop the older folk from “hazing” us newbies.

Steel mill
Here is a scene from the movie “The Deer Hunter”. It was filmed not too far from where I grew up. This is pretty much a snapshot of my youth.

I suppose it was all in good fun, but at the time I thought that it was mean and cruel. Some of the tricks included shitting into the boots that we had to wear on the shop floor, taking carbon dust and spraying it on to our lunch (sandwiches),  turning off the lights (at the breaker) when we were getting set up under the ladle. Dangerous stuff this. Though, on the other hand, no one ever got really hurt. That I know of.

Pouring steel
Here we see molten steel being pours into small ingots. Where I worked, we poured them in huge tower-like molds. They were about two yards tall and perhaps two feet in diameter. We held them in place with large hooked rods. And, we stood there while the liquid molten steel was being poured into them.

Other tricks included stealing our time (punch) card, dropping pallets (off of a fork lift) from three feet up, making a terrible racket and startling everyone. I’ve had my car keys dipped in paint, my motorcycle helmet (outside) filled with urine, and my locker door removed.

This kind of hazing would continue for months until the guys “felt” that you had “earned your place” in the “pecking order” and could be left alone. There were modifiers of course. Say you had an older relative working there, or you were close friends with some of your buddies.  All of this would modify how long the hazing treatment would last. Though, in my case, they always called me the “token pollack” at the company. That never ended.

Hazing in the Grocery Stores

For a while, I worked as a stock boy for a local grocery store. The kind of work was quite different. I wore a short sleeved white shirt, with a bow tie and a large apron that I tied around my waist. As different as it was, the hazing continued unabated. In fact, each time you started to work at a new place, you would have to go through the hazing procedure all over again.

Bagging station
Here is a typical bagging station. We all worked as “bag boys” that would put the groceries in paper bags, and then load them to the cars of the people who shopped at the store.

The hazing depended on the person who did it. The other “bag boys” would play tricks and “jokes” on the new-comer. The department managers would give you a hard time, often assigning the most terrible and awful jobs for you to do. The female cashiers would pester you mercilessly and do little things that would make your life harder than it should have been.

Hazing tricks included hand delivery of groceries to the wrong house. Having you do the hardest clean up jobs in the store; like honey, or olive oil. It would include such things as constant call-ups to bag groceries, and not being able to get your core tasks assigned, which always resulted in a bitch-out session with the floor manager.

Some of the tricks seemingly got out of hand. Like fire extinguisher soak downs as you started a long day of work. Or, scrub downs of the inside of a freezer, while it was still running. We would have to do things like move the two ton safe, scrub the floor under it and then move it back. Other tasks included parking lot cleanup in the pouring rain or snowing blizzard. It was all harmless and innocent hazing for the most part.

bag boy
As a grocery bag boy, we would perform the relatively easy job of bagging groceries, and carrying them out to the car for the customers.

Of course, everything would eventually die down. This was especially true when there were new employees to bear the brunt of all the hazing. Thank goodness.

Hazing on the Drag Lines

A drag line is a huge machine that eats into the earth, and processes it into rubble from which ore is then extracted. They are common all over Pennsylvania. They tear into the hills and extract the precious coal and ores from the land.

Dragline
A dragline excavator is a piece of heavy equipment used in civil engineering and surface mining.  The much larger type which is built on site is commonly used in strip-mining operations to remove overburden above coal and more recently for oil sands mining. The largest heavy draglines are among the largest mobile land machines ever built.

For a while, I worked on a dragline. Being the new kid on the block, I of course, became the “go-fer”. Which means “hey, kid! go fer that…”.

My first “go-fer” task was to get a hook brace located at the top end of the dragline boom. So, yeah you guessed it, I had to climb all the way up to the end of the boom and retrieve the part. Only to find that I got the wrong part, and had to go back up a second time and get it again. Being the “new kid on the block” really did suck.

Dragline bucket.
There are many parts involved in a dragline operation. Each one needed to be cared for and maintained. This is a task that is usually reserved for the new kids working the mining site.

Conclusion

Many times I lament “the good old days”, but not with this. I am happy to say “good riddance”.

Hazing in High School.
Hazing in High School.

I really do not know why humans require any type of “hazing” activities. I am sure that there must be scientist or two who can explain the phenomenon. I, for one, would like to hear why we all seem to utilize hazing as a passage into adulthood. I know that it is used in Zambia, China and Japan. What’s the point?

"In my research I've found that group benefits that could quickly accrue for newcomers –– automatic benefits –– predict people's desire to haze," he said.

"This isn't the only variable that matters –– there's some effect of age and sex, for example –– but the effect of automatic benefits suggests that potential vectors of group exploitation alter people's treatment of newcomers in predictable ways," 

-Cimino

Today, I think that most of this type of hazing is now absent in the work environment today. I haven’t seen it. However, it is possible that it has taken on new form, and has manifested in other ways, like at Google, for instance…

Take Aways

  • Hazing was an important part of American culture up into the 1980’s.
  • Schools hazed the new students.
  • Workers in industry hazed the new employees.
  • Since the 1980’s and into the 1990’s people stopped hazing the new employees at work.
  • Younger workers today have no idea or concept that hazing was a rite of passage that they would need to deal with when they started a new job.

FAQ

Q: Why isn’t hazing permitted in American industry any longer?
A: I really do not know. I think it is partly due to the rise of HR standardization of policy, the merging of government laws, and HR enforcement, and a rapid swinging volatile labor force. I would guess that any hazing today would be grounds for dismissal of an employee.

Q:  Why you think that hazing was an important part of industry?
A: The work environment consists of a tiny microcosm of society. They structure themselves in a tribal manner and creature written and unwritten rules of behavior. New members to that society must prove their value prior to them obtaining membership in the group.

Q: Are there any formal policies against hazing?
A: Yes.

Some Comments

Posted on Free Republic on 10SEP18. The post can be found HERE. Some highlights…

I recall reading about how when Mario Lemieux was a rookie with the Pittsburgh Penguins in 1984, even he did not escape that bit of hazing as when he fell asleep on an airplane in the midst of a road trip, a teammate snuck up and covered his head in shaving cream. And also the well known tale of Bobby Orr in about 1966 being dragged into the dressing room shower, covered in liniment oil, and shaved from head to toe.

- OttawaFreeper

The retired Naval aviation guys I work with tell stories about sending the noobies for a bucket of “prop wash”. lol

- V_TWIN

20+ years ago my daughter was in an award winning marching band that had a time honored "hell night" for new members. That was until one newbie went psycho and has stayed that way. The band director, school, and boosters are likely still paying off the seven figure judgement.

- buckalfa 

There's no hazing at all in the Navy these days. I remember making 3rd and then 2nd Class Petty Officer and getting my crows "tacked" on (getting punched in the arm). My arm was black and blue but I wasn't any worse for the wear. I was proud. Of course, there's always some asshole who takes it too far and someone winds up LLD with a broken arm after his arm was jumped on.

Around 2010 or so, about the same time as DADT was repealed, the Navy cracked down hard on hazing. It had long been officially banned but still tolerated. The Navy sent a message in the form of several publicized career ending NJPs that the days of hazing were over. When I made First Class, there were no punches to my arm. We got the message loud and clear.

-Drew68

Steam blanket. Prop wash. Key to the sea chest. Metric crescent wrench. Fetch a henway. And on and on and on......

- rktman 

There’s hazing, and then there’s hazing. When I stood my first messenger watch as a US Navy Seaman Apprentice, I was sent to a location on the ship to see a particular petty officer and obtain a container of red running light oil. That petty officer sent me to another location and another person, and so on for a number of contacts. Of course, there’s no such thing as running light oil...but the travels seeking it helped familiarize me with the ship’s layout and some of our senior petty officers.

Then there was the “Sea Bat” ploy. A number of sailors were gathered on the fantail around a cardboard box partly covered with a towel, and were peeking inside at it. A couple of others were doing some desultory sweeping nearby. One of my shipmates asked what was in the box and was told it was a Sea Bat. He bent over and lifted the towel to have a look, and one of the broom wielding swabbies swatted him on the rear as all the others yelled “SEA BAT”!

A new junior officer was the next victim; his swat was a bit less aggressive than the other received.

- JimRed 

One of my first summer jobs was at an amusement park. The tradition there was to be sent to hunt for a bucket of steam. Some of them are still looking.

Then there was my first “real” job at a huge factory complex. I was taken to the remotest part of the place and left to find my own way back. Took all afternoon.

- Some Fat Guy in L.A.

Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. First written 10SEP18.
  2. Completed 10SEP18.
  3. SEO Review 10SEP18.
  4. Published 10SEP18.

The Top Ten Misconceptions Chinese have about America

When the Chinese come and visit the United States, they are often spellbound in shock and amazement. They find that they LOVE, absolutely L-O-V-E the United States. I have many friends who have told me this. Another thing that they have told me is that it was not what they expected.

Here are some of the misconceptions that Chinese have of America…

1. Donald Trump is despised and is going to prison if not executed outright.

Well, this is what you would expect if all you watched was CNN and MSNBC.

In fact, most “Western media” that the Chinese see is the main-steam American media. While most Americans, not all, understand that the American media has a fatally liberal bias, the Chinese are unaware of this. Thus, the impression that they get is that the American media represents the hearts and minds of the American people.

Donald Trump
A smiling Donald Trump eating KFC on one of his airplanes. Looks good. I sure could use some mashed potatoes, gravy and coleslaw as well.

As such, they are astounded that Donald Trump is able to get away with so much!

“1. Donald Trump is despised and is going to prison if not executed outright.” 

A few months ago I had a chance to talk with an engineering student from India who was visiting the US, and she had much the same impression. She asked me why the American people hadn’t risen up and removed Trump from office since he was so universally despised. 

She was genuinely surprised when I told her that Trump was probably the most popular American president since Reagan and would surely be re-elected in 2020. 

I realized from this conversation how much the Mainstream media’s endless propaganda war on Trump is diminishing America’s prestige globally. People in other countries mistakenly think of America’s news media as being legitimate, and believe what they hear. (Even far-left Americans realize that most of CNN’s reporting is bullsh*t.) 

We look like a third-rate banana Republic on the verge of collapse to the rest of the world. I doubt the current Supreme court confirmation hearings are helping matters. 

- Junk Silver

They are amazed that he is not being hung from scaffolding or being lynched. They, thus believe that he must be a most capable politician and a supreme businessman; a man not to be trifled with. The reactions that I see from my fellow Chinese friends is a mixture of awe, admiration, and fear.

Just WHO is this man, they muse.

The Chinese believe the main-stream media and are impressed with President Trump for his ability to avoid hanging from a noose.

2. Everything is Free!

After nearly a decade of President Obama being televised giving away free things, most Chinese have the impression that that is what America represents. Free cars. Free cell-phones. Free citizenship. Free meals. Free housing.  They do not realize that nothing is free, and ultimately someone must pay a price for it.

Obama giving away money.
Obama has given away more money, more things, and more cash than any other president. The only problem is that it wasn’t his money to give away. It was yours.

Today, when I mention that America used to be the “Land of the Free”, they respond with “What, you no longer get free healthcare, free education, free cell-phones and free housing?” They think that the current President is changing America away from a “Free” nation and moving towards something more practical.

Somehow, and I really do not know how, they believe that the government has an endless supply of money to spend. As such, they think that it was quite magnanimous of President Obama to spend that money on the American people. Now, they are afraid that the money will be spent elsewhere, like on wars.

The way the American government works is a mystery to the Chinese. But, that is ok. It is a mystery to most Americans as well.

3. Americans are Rich.

It goes to think, don’t you agree, that if all Americans are driving nice cars and living in nice houses that Americans MUST be rich. What the Chinese do not realize is that in America everything is rented. Very few people pay in cash to buy a car or a house.

McMansion
Most Chinese live in an apartment. The apartment is tiny by American standards, so when a Chinese person comes to America, they become amazed at the sizes of the homes, the cars and the portions of food. They think, indeed, America is a rich country full of rich people.

Oh, certainly there are those who are driving older cars that we paid off years ago. That there are those who paid off their thirty year mortgages ten years ago, however, they are a minority. Most Americans pay both a mortgage and a car payment, with a sizable number now paying school loans.

In China, most people pay in cash. They save like crazy, sort of like the Amish, and then spend the money where necessary. While credit is available to the citizens, most maintain a traditional attitude towards frugality and savings. Thus they think that Americans must be the same.

Which is why many Chinese believe that Americans are quite wealthy.

The Chinese believe Americans are very wealthy, because by Chinese standards, you need to be a millionaire to be able to live like an American does.

4. All Americans carry guns and are Armed.

American things.
Sometimes when a Chinese person visits America, they come back with all kinds of stories of their adventures. They take pictures, and often ask me what is the story behind the photos. As an American, I think nothing of the images, but then when I think about it, it becomes hilarious.

I chuckle at this one.

Nope, not at all true. But if all you watch is the American main-stream media, of course you would have this impression. From the “debates” on CNN, to the discussions on the Huffington Post, everyone talks about the free access to firearms. Of course, if that is all you have access to, the impression would be of an overly and unfairly armed American populace.

Breakfast in America
What many Chinese think how Americans have breakfast. It consists of “runny” eggs, buttered toasted bread, some bacon, a cup of coffee and a nice firearm.

This puzzles the Chinese to no end. “Why does Americans need so many guns?” they ask. “The police will protect you”. Well, in China the role of the police is quite sedentary. Most of China consists of hard-working nerds. The police are there to deal with an occasional victim or crime. However, they never police behavior. Not like in America.

Anyways, when asked, I tell them how I miss my P99 and S3. They always ask me about the big caliber Smith and Wesson handguns, and ask if I ever owned a Glock.

I have to disappoint them, but I do tell them that I really enjoyed my old AK-47 clone (that I bought in California before they were banned). And I would get started on a conversation about the joys of black-powder (think Dixie Gun Works) and compound bows. It’s a world that really interests them. I’ll tell you what.

American breakfast two
Of course there are many Americans that are offended by this meme. I happen to believe that my breakfast is certainly a little different.

The Chinese are often surprised that Americans don't carry guns everywhere.

5. America is Full of Excitement and Happiness.

By watching shows such as “Friends” the Chinese have come to consider Americans as nice friend and family oriented folk; people who have come to believe that life should be lived to it’s fullest. It’s a nice illusion.

Something happened between 1975 and today. Americans have become less of what they once were. It’s kind of sad. We lost something.

Maybe it’s all the restrictions at the workplace, or the over-reliance on portable media for enjoyment. I don’t know why, but many Americans look forward to mowing the grass and playing on the computer instead of fishing with an ice cold six pack of beer and being with friends. I lament that.

Quiet town
Here is a typical American town. It’s peaceful and quiet. In contrast, all of China is noisy, with people doing all sorts of things with all kinds of flashy lights and signs.

Yet when they come to America, their first impression is quite different. “It is so, so, so very quiet” a friend told me.  Businesses close before 9 pm, and residential streets seem abandoned like a post apocalyptic ghost-town.

The Chinese visitor often finds that America is a very quiet and peaceful place. It's a bit of a surprise.

6. Everyone is your Friend.

Just because someone smiles, does not mean that they like you and are your friend. In America, smiling is just a polite way of interaction with strangers. In China, however, smiles are something that is reserved for special people. People, mind you, who have earned their place in your heart.

American Street
This is a typical American street on a fine day. Note the proud American flag flying and the what must be a nice crisp day. If you walked into any of these stores, the people would greet you with a smile. To a foreigner, it seems odd, but really comfortable.

When a Chinese person visits the United States, they are overwhelmed at how friendly everyone seems. Everyone smiles, opens up the doors for you, stands out of the way and is helpful. That being said, they are shaken to the core when they try to get into a conversation and practice their English. Aside from a polite minor conversation, most Americans just want to be left alone.

To a Chinese person this is like a best friend turning their back on you.

In America, a smile does not mean someone is your friend. It just means that the person who smiles is polite. In China, people normally do NOT smile. If they do, you know that they are a friend. Smiles are reserved for people who have a place in your heart.

The Chinese are often very comfortable in the United States, but find that making "real" friends is often very difficult to do.

7. Everything is Fair and Just.

Well, isn’t that what America stands for?

Ah, yes. The rule of law. But hey, when there are two standards of law; one for the super rich, and one for the rest of us,  it isn’t fair. In fact, it is more than that. It is not a rule of law. It is a rule by favoritism, and there isn’t any real laws.

The Chinese understand this instinctively.

However, they are surprised that it exists in America. As they have been taught, and under the impression, that America was immune from this kind of judicial corruption. As a Chinese friend once told me, a nation that has two sets of laws; one for the powerful and one for the rest of us, is not a nation of law. It is a dictatorship.

HIllary Clinton.
Lock her up by Ben Garrison at Grr Graphics. All credit to the artist. Hillary Clinton sure has managed to get away with a lot. This is a characteristic of a third world banana republic. It is not the characteristic of a functioning Republic.

The Chinese are often surprised that America works the same way as China often does. It's the "golden rule"; the one with all the gold makes all the rules.

8. The Government works for the People.

America was set up as a place where the government serves the people. This is part of American history. As such, it is taught that way. Even in China.

Now it does not work that way. Now, in America it is not taught that way in schools either.  However, it is still taught that way in China.

A casual visitor to the United States thinks nothing of this. It is until they are pulled over by a police car for a minor infraction, stopped at a check point for “inspection”, or told to hand over their cell phone for scanning. These things are unheard of in China. And, thus, are a real big shock to a Chinese citizen.

American police.
In America the police have been weaponized into a military force. This can come as a shock to the Chinese who see the police as the “keepers of order and stability”. Not as a militarized force that is used to enforce laws.

Other shocks include;

  • Open container laws
  • Paying for internet access
  • Taxes on everything
  • Money that is always complicated ($9.98 instead of $10)
  • Huge portions of food
  • Lack of public transportation (or insufficiency of)

In China the police are used in much the same way as they are used in America. However there is a great divergence in philosophy behind their use. In China, the police are used to go after criminals who create victims. In the Untied States, the police are used to enforce behavior.

Chinese police.
Chinese police arresting a criminal. The job of the Chinese police is to maintain the peace and harmony of an enormous society. Their most active role is to pursue and catch criminals who have hurt others and created victims. You can see some of the police cars in the background.

By the way, here is an unobstructed view of the police cars used in the arrest above. Pretty cool huh? Quite different from the military-grade hardware used by the American police…

Chinese police car.
This is a Chinese police car. The Chinese have all sorts of vehicles that are used to perform their duties. This varies from Segways, to cars and vans. In a pinch, the Chinese do have SWAT teams that are used very sparingly. They also often use these vehicles to patrol and interact with the people.

The Chinese are surprised that America has such a large police and military presence.

9. There is Crime Everywhere.

While the militarized police is a bit of a surprise, the fact that they exist are not. Everyone in China “knows” that America is a land full of crime and corruption.

They know this, of course, from Hollywood. It doesn’t matter if it is white-collar crime like “The Wolf of Wall-street”, or street gangs from any one of a thousand movies. The Chinese tend to believe that America is a dangerous place.

Thus their surprise that they don’t see any crime.

Safe neighbood
Most of America is very safe. America, is a great place to raise children, contrary to what Hollywood and the mainstream news might lend one to believe. Most of America looks something like this. The exceptions lie in heavy minority communities.

Or course, if they were to visit Chicago, Detroit or Baltimore, their opinion might be quite different. When the Chinese visit, they see how beautiful and clean America is. They see police patrolling in nice new squad cars, and Americans behaving in polite and ordered ways.

They tend to be a little surprised that America is much safer than what they have been led to believe.

10. Everyone Speaks English.

I always get a big laugh out of this. Yet, it is a common misconception.

No, I am not talking about people speaking Spanish in Texas or California. Rather I am talking about what happens when a Chinese person goes into a area where people speak a heavily accented English. Like, for instance Arkansas, Louisiana, or Mississippi.

Accents
Here’s a pretty good map of regional American English accents.

"My grandmother said things like, “over yonder,” “rightchere,” (right here), “likeyat,” (like that), and “haint” (spook). Any non-sleeping dog had a “wild hare up its ass,” and if Grandma was angry, she was “some kinda burnt up.” She was prone to redundant modal verbs (“I done told you before”) and a big fan of the circumfix “a-in”–such as an owl “ahootin’ and ahollerin’.” Similar to Barry Hannah and Singleton’s backwoods characters (consider Hannah’s use of “sumbitch” or “You’re all wore out from being nice,”

-Trying to write in a Southern Accent

My favorite story is when some Chinese stopped and asked for directions in Kentucky. The instructions went something like this…

Yall  done drive past wheer dat old tree used to be, hang a left. You’ll recon Auntie Suzie’s house dere. Cruze a few miles til you see the spot whare da town had dat fair last spring, and then swing a right. Be mindful now. There’s that old viaduct. You should be fixin’ to gander it around ten minutes or so later.”

Map of English dialects.
I guess this is why I still say “Youse guys”, LOL. Americans do not speak “standard” English. We all have regional dialects. Some are easy to understand. Some are quite difficult.

The Chinese visitors are often surprised that many Americans speak differently than what they have been taught, and what is shown on television.

Other Posts

This is the second of two part post. You can visit the other post; ” The Top Ten Misconceptions Americans have about China”. It can be found HERE.

Conclusions

The Chinese, when they visit America, come back with tales of adventure and great stories that they tell to their friends. Their overall opinion and picture of America is a positive one. They tell glowing stories of how absolutely beautiful America is and how friendly Americans are.

They come back to China saying “America wasn’t at all what I expected. It was better.”

“America wasn’t at all what I expected. It was better.”

Take Aways

  • The Chinese believe the main-stream media and are impressed with President Trump for his ability to avoid hanging from a noose.
  • The way the American government works is a mystery to the Chinese. But, that is ok. It is a mystery to most Americans as well.
  • The Chinese believe Americans are very wealthy, because by Chinese standards, you need to be a millionaire to be able to live like an American does.
  • The Chinese are often surprised that Americans don’t carry guns everywhere.
  • The Chinese visitor often finds that America is a very quiet and peaceful place. It’s a bit of a surprise.
  • The Chinese are often very comfortable in the United States, but find that making “real” friends is often very difficult to do.
  • The Chinese are often surprised that America works the same way as China often does. It’s the “golden rule”; the one with all the gold makes all the rules.
  • The Chinese are surprised that America has such a large police and military presence.
  • They tend to be a little surprised that America is much safer than what they have been led to believe.
  • The Chinese visitors are often surprised that many Americans speak differently than what they have been taught, and what is shown on television.

FAQ

Q: What do most Chinese think of America?
A: Most Chinese, that I know of, think favorably of America. The ones that have visited the big cities such as New York, and San Francisco absolutely love it. The ones that have toured America in cars, and have visited the United States rave about how great America is. Their biggest complaint is that their trip wasn’t long enough.

Q: What areas should a Chinese person visit?
A: There are so many places to choose from. But, were I to make a travel plan, I would suggest a trip to the deep south like New Iberia, LA and a visit to the French Quarter in New Orleans. I would suggest a trip to Savanna, GA. I would advise a visit to Boston in the middle of October when all the leaves change. I would suggest a visit to some civil war battlefields.

Q: What can I do to entertain some visitors from China?
A: I would suggest a BBQ with some ice cold beer. Cook chicken and hamburgers and American hot dogs.  The Chinese LOVE American hot dogs. It would be a real treat for them. Take them out and shoot some guns. Go swimming and if you have a boat go out and ride about in it. Go fishing, they would absolutely love it. If you have a compound bow, let them try their skill shooting it.

Q: Would the Chinese eat my dog or cat?
A: Heavens! No! Most Chinese love animals, and both dogs and cats have a special place in the hearts of most Chinese. The problem is that if you introduce your dog or cat to them, they might end up spending all the time with them instead of with you. If you dog can play frizbe, then show the Chinese visitor how to throw it and everyone will have a great time.

Links about China

Business KTV

Dance Craze

End of the Day Potato

Dog Shit

Dancing Grandmothers

When the SJW movement took control of China

Family Meal

Freedom & Liberty in China

Ben Ming Nian

Beware the Expat

Fake Wine

Fat China

China and America Comparisons

SJW

Playground Comparisons

The Last Straw

Diversity Initatives

Democracy

Travel outside

10 Misconceptions about China

Learning About China

Pretty Girls 1

Pretty Girls 2

Pretty Girls 3

Pretty Girls 4

Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Drafted 20AUG18.
  2. Completed 7SEP18.
  3. SEO review 7SEP18.
  4. Published 7SEP18.

The Song “Baby Got Back” Translated into Latin

Baby Got Back“, also known as “I Like Big Butts”, is a hit rap song written and recorded by American rapper Sir Mix-a-Lot, from his album Mack Daddy. The song samples the 1986 Detroit techno single “Technicolor” by Channel One. This is a translation of it.

Translation

Here, we have it translated into Latin by Quislibet. There was additional support and clarity offered by Ukelele. I have found it hilarious, and thus have reprinted the translation here. Enjoy.

Text Content

De clunibus magnis amandis oratio
Mixaloti equitis

mehercle! 
(By Hercules!)
Rebecca, ecce! tantae clunes isti sunt!  
(Rebecca, behold! Such large buttocks she has!)

amica esse videtur istorum hominum rhythmicorum. 
(She appears to be a girlfriend of one of those rhythmic-oration people.)
sed, ut scis, 
(But, as you know)
quis homines huiusmodi intellegere potest? 
(Who can understand persons of this sort?)
colloquuntur equidem cum ista eo tantum, quod scortum perfectum esse videtur. 
(Verily, they converse with her for this reason only, namely, that she appears to be a complete whore.) 
clunes, aio, maiores esse!
(Her buttocks, I say, are rather large!)
nec possum credere quam rotondae sint.
(Nor am I able to believe how round they are.)
en! quam exstant! nonne piget te earum?  
(Lo! How they stand forth! Do they not disgust you?)
ecce mulier Aethiops! 
(Behold the black woman!)

magnae clunes mihi placent, nec possum de hac re mentiri. 
(Large buttocks are pleasing to me, nor am I able to lie concerning this matter.)
quis enim, consortes mei, non fateatur, 
(For who, colleagues, would not admit,)
cum puella incedit minore medio corpore
(Whenever a girl comes by with a rather small middle part of the body)
sub quo manifestus globus, inflammare animos
(Beneath which is an obvious spherical mass, that it inflames the spirits)
virtute praestare ut velitis, notantes bracas eius 
(So that you want to be conspicuous for manly virtue, noticing her breeches)
clunibus profunde fartas esse
(Have been deeply stuffed with buttock?)
a! captus sum, nec desinere intueri possum.
(Alas! I am captured, nor am I able to desist from gazing.)
o dominola mea, volo tecum congredi 
(My dear lady, I want to come together with you)
pingereque picturam tui. 
(And make a picture of you.)
familiares mei me monebant
(My companions were trying to warn me)
sed clunes istae libidinem in me concitant.
(But those buttocks of yours arouse lust in me.)
o! cutis rugosa glabraque! 
(O skin wrinkled and smooth!)
dixistine te in meum vehiculum intrare velle?
(Did you say you wish to enter my vehicle?)
in arbitrio tuo totus veni
(I am entirely at your disposal)
quia non es mediocris adsecula.
(Because you are not an average hanger-on.)
vidi illam saltantem.
(I have seen her dancing.)
obliviscere igitur blanditiarum! 
(Forget, therefore, about blandishments!)
tantus sudor! tantus umor!
(Such sweat! Such moisture!)
vehor quasi in curru quadrigarum!  
(I am borne along as if by a four-horse chariot!)
taedet me in diurnis legendi 
(I am tired of reading in the gazettes)
planas clunes gratiores iudicari. 
(That flat buttocks are judged more pleasing.)
rogate quoslibet Aethiopes: responsum erit
(Ask any black men you wish: the answer will be)
se libentius expletiores anteponere. 
(Rather that they prefer fuller ones.)
o consortes (quid est?) o consortes (quid est?)
(O colleagues [What is it?] O colleagues [What is it?])
habent amicae vestrae magnas clunes? (certe habent!)
(Do your girlfriends have large buttocks? [They certainly have!])
hortamini igitur ut eas quatiant (ut quatiant!)
(Encourage them therefore to shake them! [To shake them!])
ut quatiant! (ut quatiant!)
(To shake them! [To shake them!])
ut quatiant illas clunes sanas!
(To shake those healthy buttocks!)
domina mea exstat a tergo!
(My mistress stands out behind!)

[Etc.]

via GIPHY

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Link
Link
Link
Tomatos
Link
Mad scientist
Gorilla Cage in the basement
Link
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back
Link
A womanly vanity
The Warning Signs
SJW
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Link
Civil War
Travel
PT-141
Bronco Billy
r/K selection theory
How they get away with it
Line in the sand
A second passport
Paper Airplanes
Snopes
Taxiation without representation.
Link
Link
Link
Make America Great Again.
Link
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
Democracy Lessons

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Link
Link
Link
Link
Link
Link
Link
Link

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed, reviewed. 30AUG18.
  2. SEO review 30AUG18.
  3. Published 30AUG18.

Learning about China by Looking at Pretty Girls (5)

This is the fifth of a series of post on Chinese cute girls and Chinese culture.

You know, China is a very, very big nation. Many times I am asked what it is like. Honestly, there is so much to say, that I end up going on some tangent or the other. However, one thing that I noticed when talking to other men, is that what they really want to know is what Chinese girls are like…

Wow! That is in itself a huge subject. 

Here I try to compose  a series of micro-videos of Chinese girls taking little vignettes of themselves being cute, dancing, eating, and doing girl things. It is in no way comprehensive, but it should give you a general idea of what Chinese girls are like. It will also help you to get a better idea of what China is like as well.

We need to do this. No one else is.

Here is a quote from JobTubeDaily;

"Popular U.S. media perpetuates constant negative narratives about China: ghost cities, real estate bubbles, pollution, corruption. While many of these problems are real, the predominately negative coverage drowns out the positive elements of life in China and its abundant economic opportunities. In doing so, the media dissuades America’s rising students, as well as business and political leaders, from learning more about the country."

Here is a quote from LinkedIN;

"And the western media aren't particularly helpful in explaining the real China of 2018.  They're serving so much bias and prejudice about China, it is nearly impossible for the general public to get in touch with the real China."

Here we look at ten micro-videos…with another few more to illustrate some points…

Shanghai

For starters, we are talking about China. Real, honest-to-goodness, China. We are talking about the “real deal” and not the cardboard “cut out” caricature that is defined by the American media. Here, we will not discuss eating dogs, smog filled cities, and a population of millions that just can’t wait to come to America to live.

That is all fabricated nonsense.

Instead we are going to talk about what China is RIGHT NOW, today. In so doing we are going to have to shatter some terrible misconceptions that many people, especially Americans, have about the place. First of all, it is not a third-world shit hole. It is modern, state of the art, and growing larger every day.

The city of Shanghai…

Chinese cities tend to be NEW. They tend to be WELL TAKEN CARED OF. They also tend to be on the little ultra-modern high-tech side. They all have modern infrastructure, state of the art high-speed internet (that blows American speeds away), and a growing, well-educated, population.

When you move away from the cities, the quality of the buildings, and the overall appearance tends to decrease. Towns will have paved, but dirty, roads. Houses will have internet, plumbing and electricity, but no elevators. People will drive around in older cars, maybe ten year old vehicles.

It’s a lot like America, don’t you think?

Highways

When you leave the city, you will tend to take a high-speed train or airplane. China has the fastest high speed trains in the world, and also has the bulk of them. They tend to be modern, spacious, new and silent. They are a joy to ride.

There are other methods of travel, of course. You might want to take a train, a bus, or your own car and drive. China has a modern and well taken cared for system of highways. All the highways are well maintained. It is a point of pride in China to have the roads pristine, and new. Maybe that is why they tend to plant new trees and gardens all around them.

Some of the roads are spectacular. Consider this in Southern China…

I urge the reader to look for bits of discarded McDonalds and other fast food debris at the side of the road. You won’t find any.

In China, poor people do not get free welfare. Instead, they are given a job. One of which is to plant trees, flowers and pick up trash.

Hotel

Of course, if you are going to drive, you will want to stay in a hotel on the way. Hotels are everywhere in China. They range from one-star nightmares to five-star complexes. In general, the quality of hotels has greatly increased over the years. Today, many hotels are at least a three-star rating. Westerners will not have any trouble sleeping in a three-star hotel.

As always, the hotels are nice and well-taken cared for. In China, it is cultural expedient to have everything look new, clean and pristine. Part of it is pride, but part of it is marketing. No Chinese person wants to stay in a dingy hotel if they can avoid it.

Here’s a pretty lass in a hotel going to her room…

You will notice that the decorations favor white and gold. These are very auspicious colors that the Chinese find especially alluring. It is a sign of prosperity.

Exercise

She looks good doesn’t she? yeah. I most certainly think so. I would not mind at all going out and having a nice dinner, and some wine, with her. Maybe we could walk outside afterwards and enjoy the night air and chat about the glowing stars at night…

Anyways, she takes care of herself. that is for certain. Many Chinese do. There are free exercise venues all over China. Not to mention that many companies require the employees to exercise and dance at the start of each work day. Those who want more exercise can certainly attend a gym. They are everywhere and are great to work out. However, I find that they are not air conditioned. The Chinese do not believe in air conditioning and exercise. The believe the idea is to sweat out the bad qi so that the body can replenish itself.

Here is a gal who has most certainly exercised well. Her body shows it and she is going to the lockers for a shower and a change in clothes. If you want to meet some exceptional Chinese women, maybe you can join a gym.

Notice that the gym equipment is all new, the interior is well decorated, and the floor is a nice rubberized mat. This is very typical and can be seen at any of the gyms that I have been members of.

Speaking of exercise…

One of the tenets of Chinese exercise, maybe unofficial as it is an observation of mine, is that the Chinese like to exercise to music. I used to do so myself, you know. I used to lift weights in my your years, when I was in my twenty’s. I would always listen to music. You concentrate on the music, and it enables you to live and endure.

The Chinese like to incorporate music with exercise. So, for instance, instead of all the school children doing boring sit-ups, push ups and jumping jacks in the morning, they have them dance to prescribed dance routines. Here is a elementary school going through a morning exercise around 7am…

Isn’t it great?

Now, of course, the Chinese parents won’t ever say that this is good enough. The idea is to have your children be better than everyone else. NOT be equal. So the child will be expected to study harder, learn more and have a stronger and better life. Parents, might for instance, have them attend further extracurricular activities outside of the school. Like a dance school for exercise and dance lessons for instance…

I’ll bet those are happy and HEALTHY kids.

Life is what you make it. You take advantage of the opportunities as they are presented to you and you do the best with what you are given. There is no excuse for my American friends to allow their children to lay on a sofa all day, watching Netflix and playing video games. Life is meant to be lived, not plugged into a virtual reality.

Hey Greedy Don’t Fret, What you see is what you get…

Which brings me up to the various line dances and dancing grandma phenomenon that is all over China. The Chinese love to dance. They love to sing. They love to drink. They love to go on dates and have fun together. In many ways, they are just like Americans.

Here we have a line dance of a pretty famous Chinese song. I can’t transcribe the Chinese as it will be meaningless. You can listen to the song, read the lyrics and learn about the singer HERE. You can watch the Music Video HERE.

They are in a public area. You can see a subway station in the background. Notice that a crowd of people are standing around and watching. Welcome to China. This is exactly how it is done, and exactly what happens.

Note that this is in a city center. You can see the various stores and restaurants and mall access points. You can see a McDonald’s.  Hummm…

The girl in the blue pants looks like one of the backup dancers and singers from BoA. I wonder if it is her? You can compare HERE. She is the backup girl on the right wearing a black tank-top. Yeah, I know I know, BoA is Japanese. Well, surprise… people travel back and forth between japan and China like people travel back and forth between Dallas and Fort Worth. Not a big deal.

So, yeah… all this nonsense about island dispute between Taiwan, China and Japan is just a lot of hot rhetoric used by the American media to drum up support for a war. It’s just a lot of hot air. It is nonsense.

Dancing outside on the Street

All this dancing gets me thinking. You know, many Chinese like to dance and post a micro-video of them dancing on the internet.  That is where I get most of these micro-videos to begin with. Here, we have another dance video of a cute girl in a public area.

She is dancing in a very typical public square. China has thousands of these places. You can see typical Chinese stores and restaurants in the background. Notice that many have English signs as well as signs and menus in Chinese. Just about all Chinese people under the age of twenty can speak English to some degree. After all, you cannot attend university unless you can pass an English proficiency test.

I wonder if America will ever do that? You know, make sure that American students be able to pass a Chinese proficiency test in order to attend UCLA, MIT or Drexel University…

Oh, such a great “knee slapper”! As if…

OK. Here is the video of the girl dancing. She is dancing to one of the most popular dance-songs of the year. I have another post about this craze HERE. And, if you want, you can watch the original Music Video HERE.

Notice that in the background is a father taking his daughter out for a walk. This is just like fathers used to do back in the 1960’s. Also notice the girl taking her dog out for a evening walk. What? She’s not eating it! My word. Someone better had best tell the New York Times or CNN about this strange development!

Rural Kitchen

You know, many people in China live outside of the cities. They own homes and when they are not working they come back to their homes. Often the homes are not as nice as the apartments in the city. They tend to be basic. They have walls, windows, and doors, electricity and running water. However, they tend not to have nice wallpaper, fancy interior lighting or any interior decoration.

Update.
I was later informed that this was not in a rural kitchen, but rather in a test lab in a factory. That "dorm sized" refrigerator is really a heat-soak oven. Sorry.

They remind me of summer cottages that the parent’s of my friends would work on during the weekends. They would live in Pittsburgh, and then on the weekends come to the country and work on their “summer home”. Often it would have a roof and walls, but would still need all kinds of “finishing touches” to make it into a home.

Here we have a pretty country lass dancing in a rural kitchen. She is attractive and just having a good time of it all. You go girl.

The kitchen does not have custom countertops, fine faucets and center “island”. It consists mostly of some shelving with wide open spaces to clean and cook food. The girl is obviously not starving. I am sure that she eats well, but the kitchen is Spartan. She has a big waste garbage can, and a small “dorm sized” refrigerator.

She is young. She is chewing with her mouth open. Yuck!

Girl, no matter what you wear, and no matter how good your makeup is, if you cannot act like a lady, you will never be treated as one. Life is all about confidence, attitude and manners.

Doors and Keys

In China, both the doors and the keys are different than what you get in the Untied States.  I know, I have been somewhat involved with the export of doors to America. The American market wants the cheapest quality doors for the most part. The more expensive doors, are available, of course, but they tend to be made in the USA. Large volume exports of cheap interior doors is the norm to America.

Hey, don’t blame the Chinese. They make great quality doors. Many of which go to Europe and Dubai. It’s just that the American market is focused on the cheapest products to sell to Americans in bulk at huge profits.

American door composition
Door panel types. Here is a general reference of some door panel types that are available. Note that most of them have a fake core of some kind of expandable polystyrene inside. In China the doors are metal. You need a bulldozer to break one in.

It doesn’t have to be that way. However, that is just the way it is. In China, the houses and apartments wouldn’t come near those doors. Image that; flimsy hollow core doors with cheap low-quality laminate. Never happen in China.

The keys are also different as well. The keys in China are made in different ways and techniques. That is because, not so long ago, crime was rampant in China. You need good and strong locks that were difficult to break into. America is different. Most American keys are based on simple flat key blanks that can easily be duplicated.

This girl is dancing in her living room in front of a bedroom door.

The door is heavy gauge steel with very sturdy and hefty hinges. The girl is cute and dancing wearing a cute Chinese outfit that is very popular this year. She is wearing white stockings and small white shoes. She is typical with long dark hair and light, pale skin.

I will say that the style of door that she has is exactly the same style that is in my house right now.

Speaking of doors, here is yet another video of a girl dancing in front of a door…

Another Interior Door

In this example, we have a different girl in a different city.  She is dancing in what appears to be her living room. The door looks like a entrance way door. As such, it will have a real wood facing that is laminated on top of a heavy gauge steel foundation. The door will be stout and difficult (if not impossible) to crash through. Which is one of the reasons that criminals in China would rather break through the cement walls to get into a house than to go through the front door.

This is nice looking girl. She is thin and slender with nice legs, a happy smile and great eyes. She has an attractive face with a nice pointy chin.

Note that she is standing on carpet. I am sure that it is not wall-to-wall carpet. That is a rarity in China. The wall is white which is normal here. All in all, this is a pretty typical apartment. The problem is that in this video you cannot see the entire apartment.

With that being said, let’s look at an entire apartment…

A Chinese Apartment

In this video we have a Chinese girl dancing in her apartment. She is dancing from one end to the other and goes from the kitchen / dining area into the living room area. It gives a nice view of what apartments are like in China.

You can see that this is a typical apartment with white walls, a white marble tiled floor (no linoleum here, the Chinese NEVER use it), and heavy gauge metal doors. You can see her furnishings are sparse, but in no way is there any clutter. China is not a consumer nation like the Untied States is.

Conclusion

Everyone likes to look at pretty girls. Even other pretty girls. I, as a man, think that pretty girls liven up our lives and make it more interesting and fun. I would not mind taking any one of these girls out and having a nice meal or playing around in a park or some other place. Having good companionship, great conversation, and enjoying a wonderful meal would be awesome with any of these women.

For instance, I will be willing to bet that this girl would just be wonderful to spend some time with.  I would buy her a coffee and a cheesecake any day.

Today it is difficult to see what other places are like, if you are an American. Our news is heavily propagandized.

  • Those on the liberal side have the impression that China eats dogs, is full of poor waifs that sell their bodies for sex, and is full of dirty smog filled air.
  • Those on the conservative side, have the impression that China is a great war-mongered beast who wants to take over the Pacific, that they are ruthless businessmen, and want to keep their citizens imprisoned in a communist gulag.

Neither impression is correct. They are both terrible distortions.

China is a huge nation, bigger geographically than the United States, and with an enormous population far larger than America’s. In fact, there are more people in China that speak English than there are Americans in the entire world.

They live life. They work. They study hard. They try to do their best. They have fun. They raise families. They are, in many ways, like “old fashioned” Americans around 1950. While America has moved toward a progressive socialist paradise ruled by an oligarchy, China has moved in a different direction. It has moved toward free-market policies originating out of a central government. China is not at all what we think it is.

This post is my little way to help introduce China to the readership.

Take Aways

  • Chinese women are attractive.
  • Many of the buildings in China are new, and have a modern supporting infrastructure.
  • Chinese people like to dance, sing, and have fun with their friends.
  • Chinese women DO NOT look like pre-adolescent children. (Here’s a nod to the idiots in Arkansas who were absolutely convinced that Chinese women look like little pre-adolescent girls.)

Beverly Hillbillies
Here is a scene from the 1960’s era situation comedy called the “Beverly Hillbillies”. I really loved that show. Then, later on, when I went to Arkansas to be retired from MAJestic, I met actual hillbillies. It was painful. Imagine the worst aspects of the movie Idioticracity combined with an evil FBI director trying to “get Trump”. Ugh. Anyways, their understanding of life outside of Little Rock was pathetic. They thought that Memphis, Tennessee was another nation. They were that pathetic.

FAQ

Q: Why do you only have beautiful Chinese girls? What about being more diverse and inclusive? Why do you objectify girls?
A: Well, for one, this article is about attractive Chinese girls. It wouldn’t do to have non-Chinese girls in it. Nor would it be appropriate to have men, homosexuals, fat and ugly girls, children or LGBT creatures in the article.

If you want to purge beauty out of your life, no problem. Go ahead and do it. Just like American beauty pageants are no longer about beauty. Just like American airlines have purged themselves of age and beauty standards. Just like race-cars have purged themselves of attractive women. But you won’t see that in China.

Now here, I like to surround myself with beauty. I like to surround myself with things that I like and I love. That includes dogs, cats, pizza, bacon, tomatoes, friends and of course my loved ones.

Q: Why do you use micro-videos in this post?
A: I think it does a nice job of giving a snapshot of what China is like. Many of the videos have Chinese songs. They all feature beautiful Chinese girls in China doing things that beautiful Chinese girls like to do. Of course, if you would rather go to you-tube, go ahead. You won’t find very many Chinese girls there. You-tube is banned in China because it, like Facebook, Google, and Tumblr, all collect user information and pipe that information directly to the NSA for American government’s use.

Q: Why do you think these girls are beautiful?
A: Beauty is in the eyes of the beholder. What constitutes for beauty in China is different from what is beautiful elsewhere. I chose these videos because I happen to think the girls are cute, beautiful or attractive. Of course, if you disagree with me, you can leave.

Now, you shouldn’t be too upset there are some rocking girls in the United States. You should see them in sweatpants. My Lord!

Links about China

Business KTV

Dance Craze

End of the Day Potato

Dog Shit

Dancing Grandmothers

When the SJW movement took control of China

Family Meal

Freedom & Liberty in China

Ben Ming Nian

Beware the Expat

Fake Wine

Fat China

Chinese apartment houses

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 29AUG18.
  2. Completed 29AUG18.
  3. SEO review 29AUG18.
  4. Published 29AUG18.

How China deals with Obesity

One thing that I really like about the world is that everyone is different. I really like that. I like that ice cream comes in different flavors. I like that there are all kinds of trucks and cars to select from. I like that there are all kinds of girls, some small and tiny, and some big and voluptuous. I really like that.

This post is a tale of two different ways of solving a problem. The problem is obesity. With high-fat foods commonly available everywhere, there is a strong propensity to everyone to get fat and put on weight. What is a nation going to do about this? Allow their citizens to get fat, and die early?

In America, you make laws to control behavior. So the American solution to obesity is to ban things. Ban super-sized drinks. Ban high-calorie meals in schools. Ban pork in prisons. America is all about control. You tell the people what to do. You make laws to enforce your wishes, and you use the police to assure compliance.

In China, to provide solutions. If everyone is eating high-calorie foods, then you provide them outlets to burn those calories off. What China did, was provide public dance forums. Now, these forums have already existed in one form or the other for years now. What Beijing did was standardize them.

Let’s talk about this…

Introduction

Over  the last few decades, groups of grandmothers would gather in the open plazas and open areas and dance. They would pull out a “boom-box” and play music that they would dance to. This was all impromptu, and often you would have competing groups of dancers in the same square with a cacophonous sound that rattles doors, windows and teeth.

For years, these grandmothers would come out and dance. They would do it in the morning and in the evening. In fact, I even have a POST on how rude they often were. For some of them would push out rock-concert speakers and aim it at my house. Ugh!

“The line dancing craze has swept the nation over the past decade, with informal groups of primarily female retirees gathering at dusk and dawn to dance on any spare piece of pavement. Chinese media has estimated that up to 100 million people take part in the activities — known as “square dances,” for the public squares and plazas where many gatherings take place.

The loosely associated groups often have a leader who hauls out a boombox blaring pop hits and folk classics. The women work through a handful of dance routines, with grizzled veterans usually taking up positions at the front and beginners tentatively following along in the back rows.”

- Matt Sheehan

In 2016, Beijing put their collective foot down and established community guidelines that defined noise levels, music to play, and dances to do.

This was welcomed by the dancing grandmothers as a good thing. After a few months of fits and starts, the program was implemented throughout China.

Only…

Now it was a little different.

Organization

Now, you have a set selection of music to dance to. No longer is the music only fifty year old pop songs. The music is contemporary and upbeat. No longer do random grannies define the dance steps, but they follow defined dance routines set by trained physical therapists and trained professional dancers, designed for most people to exercise to.

Now you have paid organizers who are trained and authorized to lead the dances. Now, you have music that you can dance to, with defined dance steps. Now you have start and end times, and music noise levels that must be adhered to.

But…

But… something happened.

Everyone Started to Dance

What began as a venue for grannies to exercise, soon became a venue where everyone can participate. There are contemporaneous pop songs that are played along traditional favorites. New songs are always added to the mix, and unpopular songs are dropped. The dances are all led by trained instructors (for the most part) and everyone can now participate…

Dance Instructor getting the crew dancing…

Something else started to happen as well. As soon as the instructors started to dance, they would bring their classes (for most of them owned dance schools) and their entire class would dance in public as well…

This action attracted many other things. Suddenly you now have high-school and college aged girls wanting to dance in the venues. After all, these dance venues are in the centers of all the civics areas. Some girls would be walking by the mall, and there is this big group of dancers, and they would put their gear down, and join them. It was all impromptu.

And, it’s not just pretty girls.

You have people of all ages and walks of life… And, yes also people who need to lose a few pounds or two. Now they find it easier to dance along with everyone else, and lose some pounds in the process. It’s a win-win. Beijing figured that if you provide people with options, fun options, they would take advantage of them. In this case, they were right.

Here’s a guy burning off some weight.

What this has done was merged pop culture and pop music with the Chinese dancing forum.  It has created it’s own popular venue on it’s own merits. As a result, you can often see and meet attractive Chinese girls dancing and singing along in the public squares together.

Here’s a group of girls. I particularly like these girls. I watch them hypnotically mesmerized by their moves and jiggling bodies.

The dance steps are often simple, but the dancer can add their own flourishes to them. We have dancers that dance as couples and others that prefer the line-dance routines. Here is a group that does a nice spin-and-stomp move…

The dances are organized twice daily. In the morning it is generally from 7am to around 9am. In the evening, it usually starts around 6pm and often lasts to 10pm. If you ever go to a mall, or shopping center you will see these people dancing up a storm. It’s all free.

Typically, you find a space in the back and try your best to follow. Eventually, over time you will get it, and there will be many who will offer to help teach you the steps. This is a great way for a shy boy to meet some attractive girls. Let me tell you what…

In China, many stores and restaurants have their staff line up for a daily briefing, and company dance. It seems strange, but it is true. However, what if your company was right next to one of these plazas? After all, they are always at major shopping and city centers. What about having your staff do a morning dance before work? Wouldn’t that be nice?

And, that is exactly what happened…

They probably all work in front of the beef noodle restaurant that they are dancing in front of. Of course, the company would provide them with an exercise uniform, though the shoes would be their responsibility.

By providing this venue, the Chinese have taken advantage of it and are enjoying every moment of it. The result is that there are no laws to enforce. No behaviors that need to be policed. The people are having fun, and they are exercising and losing weight int he process. It seems to work quite well. Don’t you think?

Here are some girls dancing as a couple. It’s all a sort of free-style within a dance routine.

Here’s some “free style” improvisation. The girls shake their tushes, and the boys do their thrusts. Check it out. In China you can be yourself.

Watch the boy first, you will see him do a thrust, then move your eyes to the girls next to him. They will do a shake of their cute behinds. Ah, you’ve got to love it.

Obviously there are numerous songs that are played with numerous dance routines. I played this same singular song to make a point; all the videos are taken all over China. They were taken on different days, with different people, at different times. Some were at night. Some were in the morning.

The music is the same.

American media reports… or NOT

What amazes me is just how friggin’ out of touch the American media is about all of this. They haven’t a clue about any of this. You would figure that if their job was to report on the news, that something like this would be reported on. Nope.

Instead they are “reporting” on other things. They are not reporting on events. They are providing their opinions of things. They package the opinions of others, and what people think, on what they heard, from others who lie all for a concocted narrative who’s objective is to keep Americans living in fear. Ugh.

With that being said, here is a final micro-video of some dancers. Here they are dancing in a kind of moving forward and sideways motion that looks like a lot of fun. It really does look like fun.

I used to do some country & western line dancing (when I lived in Mississippi) that I had a ball with. This is the same kind of thing.

If you look closely, you can see the young man in the back who is trying to learn from the girls by copying their moves. Good going, son. You will eventually get it. Just concentrate on the foot work like you are doing. It’s all just a matter of time.

Conclusion

The world has an obesity problem. With the advent of fast food, the world has been getting fatter, heavier and unhealthy. This is most prevalent in West with American and Europeans leading the pack. In fact, it is such a problem, that America has written many laws to control the behavior of Americans…

  • Laws on what you can eat.
  • Laws on the sizes of food portions.
  • Taxes on food to discourage eating.
  • Limitations on the types of food that you can eat.
  • Restrictions on portion sizes in schools.

In order to enforce these laws, more laws were written, and additional police were hired to enforce these laws.

Meanwhile, in China, they took a different approach. While in American, laws are written to enforce behavior, in China laws are designed to prevent victims. They couldn’t write a law forcing all Chinese to act in certain ways. Their government is not set up like that. All that they could do is provide healthy alternatives to an existing Chinese lifestyle.

So what they did is make it possible for everyone to exercise. They tied pop-music and pop-dance to public square. Now, everyone can dance. You don’t have to. It’s not a law, but it is available to you if you want to take advantage of it.

I think that this is a superior solution to enforcing behavior from a central all-knowing, all-powerful American government.

Take Aways

  • Obesity is a world-wide problem.
  • Different nations have different approaches.
  • In America, behavior is enforced through laws.
  • In China, solutions are provided to the citizenry.
  • China has provided an avenue for easy access to fun exercise.
  • America writes laws and enforces compliance with armed police.

FAQ

Q: Low long has this dancing venue been established?
A: The grandmothers have been dancing for decades. In the last five years they have gotten unruly and out of hand. In an effort to regain control, the dance venue was standardized and now provides opportunities for people of all ages to dance. The dances are established with health in mind. The new format was implemented sometime in 2016.

Q: Why doesn’t America provide access to dance, instead of making laws?
A: Power. Those elected and in the American government do not want to lose control of any of their power. They want to dictate behavior. They do not want solutions. They want power.

Q: What if you don’t want to dance?
A: Then you don’t dance. It is not the duty of the Chinese government to force you to do things or to adopt new behaviors. It is their role to provide solutions. It is up to you, as a citizen, to take advantage of the opportunities or not. In China, citizens are free to live life on their terms. In America, you must obey the law and fit into the prescribed behaviors established by the government.

Q: What if I don’t know how to dance?
A: No body know how to dance to the songs. They need to be instructed by the leader. Some learn fast, and some learn slow. If you watch the videos you will see old grandmothers shaking to the music even though they are not as lively as the other dancers. You just need to get up and TRY.

Links about China

Here are some links about my observations on China. I think that you, the reader, might find them to be of interest. Please kindly enjoy.

Popular Music of China
Chinese weapons systems
Chinese motor sports
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
How I got married in China.
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

As an American, I cannot help but compare what my life was in the United States with what it is like living in China. Here we discuss that.

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Who doesn’t like to look at pretty girls? Ugly girls? Here we discuss what China is like by looking at videos of pretty girls doing things in China.

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Parks in China

The parks in China are very unique. They are enormous and tend to be very mountainous. Here we take a look at this most interesting of subjects.

Parks in China - 1
Pars in China - 2
Parks in China - 3
Visiting a park in China - 4
High Speed Rail in China
Visiting a park in China - 5
Beautiful China part 6
Parks in China - 7
Visiting a park in China - 8

Really Strange China

Here are some posts that discuss a number of things about China that might seem odd, or strange to Westerners. Some of the things are everyday events, while others are just representative of the differences in culture.

Really Strange China 1
Really Strange China 2
Rally Strange China 3
Really Strange China 4
Really Odd China 5
Really Strange China 6
Really Strange China 7
Really Strange China 8
Really Strange China 9
Really Strange China 10
Really Strange China 11
Really Strange China 12
Really strange China 13
Really strange China 14

What is China like?

The purpose of this post is to illustrate that the rest of the world, outside of America, has moved on with their lives. That while they might not be as great as America is, they are doing just fine thank you.

And while America has been squandering it’s money, decimating it’s resources, and just being cavalier with it’s military, the rest of the world has done the opposite. They have husbanded their day to day fortunes, and you can see this in their day-to-day lives.

What is China like - 1
What is China like - 2
What is China Like - 3
What is China like - 4
What is China like - 5
What is China like - 6
What is China like - 8
What is China like - 8
What is China like - 9

Summer in Asia

Let’s take a moment to explore Asia. That includes China, but also includes such places as Vietnam, Thailand, Japan and others…

Summer Snapshots 1
Summer Snapshots 2
Summer Snapshots 3
Summer Snapshots 4
Snapshots Summer 5
Summer Snapshots 6
Summer Snapshot 7
Summer Snapshots 8
Summer Snapshots 9
Summer Snapshots 10
Summer Snapshots 11
Summer Snapshot 12

Some Fun Videos

Here’s a collection of some fun videos taken all over Asia. While there are many videos taken in China, we also have some taken in Thailand, Vietnam, Cambodia, Korea and Japan as well. It’s all in fun.

Some fun videos of China - 1
Fun Videos of Asia - 2
Fun videos of Asia - 3
Fun videos of Asia - 4
Fun Videos of Asia - 5
Fun videos of Asia - 6
Fun videos of Asia - 7
Fun videos of Asia - 8
Fun videos of Asia - 9
Fun videos of Asia - 10
Fun videos of Asia - 11
Fun videos of Asia - 12
Fun videos of Asia - 13
Fun videos of Asia - 14
Fun Videos of Asia - 15
Fun videos of Asia -16
The best way to cook marshmallows.

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles sequentially by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How to tell if a wine is fake when in China

One of my favorite “hobbies” is to drink wine. I have developed quite a taste for this simple libation. It makes me relax, feel talkative, and helps me appreciate the food that I eat with it. It is a wonderful, and most excellent, beverage to share with friends and family alike.

That being said, you really need to be careful in what you drink. For, I live in China, and there are all kinds of “fake” products that will tempt you and poison you if you are not careful.

Don’t misunderstand. The Chinese police take making fake wines quite seriously and if you are caught making and selling fake wines the punishment can be severe. It can vary from a few years in jail to “death plus three”.

"Death plus three" is a criminal sentence that means that you are sentenced to death. However, you will live in a hard labor prison for three years where your organs may be harvested as needed by society.

Let’s talk about this for a while and the techniques that I have used to avoid bad and dangerous wines…

Introduction

This post is an elaboration of a response that I gave to a fellow expat who asked how to select wines in China. It was a simple enough question. It went something like this; “How do I choose a wine in China?“.

Well, as a wine drinker, as opposed to a wine connoisseur, I responded in the only way that I knew how. I simply told the lass that you need to be careful. I told her that the high prices for wine in China has led to an environment whereas there can be a sea of fake wines that you must avoid.

She responded;

Oh wow I am completely shocked at this answer! I had no idea some of the wines were fake -- I thought since it's not a heavy liquor, I would be safe! 

I did have a headache for about 2 days last weekend and I wondered why... probably bad wine at Coco Park!! 

So let’s get started…

China is a nation of drinkers. Unlike the United States where there are prohibitions on behaviors, and various rules and laws making it increasingly difficult to drink and socialize. China has none of that. You are free to socialize and drink and smoke to your heart’s content.

In China you can drink alcohol without restraint.

They do not care if you kill yourself in the process. It is not the business of the government to protect you from yourself. If you are going to drink yourself to death, the government simply says “what ever you want, just don’t hurt anyone else in the process“.

This is quite different from the United States.

In the United States, laws have become tools to enforce behavior. All sorts of things are enforced. From having to wear a seat-belt, to having “child-resistant” caps on medicine bottles. America is a land of policed behavior with severe punishments for non-compliance.

Liquor sign.
In Pennsylvania, you can only buy your alcohol from a “state store”, or from a licensed Beer Distributor. This is because in Pennsylvania, only the government has the ability to tell you when, where and how much alcohol you can purchase. We don’t have those restrictions in communist China. You can buy it any time, at just about any place, by any one. Even a child.

Of course, all “vices” are restricted. Alcohol, being a vice, is restricted, taxed, and used as a control vector to guarantee mass compliance.

Some of the restrictions that are placed on Americans, in regards to alcohol, are;

  1. Road sobriety check-points, mandatory breathalyzer tests, and road-blocks.
  2. Companies that fire and fine you for being hungover. Such as GM.
  3. HR Set aside rules that require smoking off the property, or drinking during lunch hours.
  4. Insurance plans that penalize social behavior such as drinking.
  5. Requirements for proof of age to purchase.
  6. Laws on “open container carry”.

China doesn’t have any of these restrictions on behavior. It does not force people to behave in a structured manner “for the good of the nation“. Rather it’s laws are similar to British Common Law.

Instead of enforcing behavior, they seek restitution for victims. It is a completely different mind-set.

The history of hard alcohol in China

China has over 5000 years of drinking hard, playing hard and working hard.

That is not going to stop by some progressive busybody with a catchy slogan, a nice song and attractive television personalities. In fact, there were times when Chinese SJW’s tried to do exactly this…

SWJ in America compared to China.
SJW is not about what they talk about. They are following the same formula. It is the overthrow of the status quo by powerful interested people who wish to remain hidden.

These social justice warriors tried to take over. They tied to make a nice progressive utopia where a new way of acting, dress, and behavior were implemented. They did this numerous times in the past. Perhaps you, the reader, have heard of some of the attempts…

Each time, the movement by Social Justice Warriors fell apart. In their wake was left ruin and destruction. The Chinese government would have none of that. It no longer will accept Social Justice Warriors to disrupt social harmony.

Shame and torture of anyone opposing the SJW movement.
Anyone who did not agree with the SJW was denounced publicly. They were humiliated, and tortured. The youth ran the nation into the ground.

Here are some interesting posts on the subject if you wish to explore this venue further…

If the government suspects that you are a SJW, they will come after you. Trying to upset the social order, one that has been perfected for over 5000 years, is considered a serious crime. It is a crime against everything that China and the Chinese people stand for. You are thus considered a criminal of the highest order; a social misfit, with distorted views of your role in society, and a dangerous threat to those around you.

Ask how the SJW’s captured during the “Democracy Movement” have to say about their life today in 2018…

Felons in China.
Prisoners in China. No easy life for those whom upset the social harmony. These blokes are considered too dangerous to be set among society. They must be segregated as their ideas of change to a progressive social utopia is a cancer that must be extracted from society. I wonder how they are enjoying their life sentence in prison.

How wine is drunk

The Chinese do not drink wine like people in the West drink wine. They do not sip it, and enjoy the texture and flavor. No. Instead they drink it like they drink traditional 53° white wine; they gulp it down. They drink it down glass, by glass in big gulps.

I of course, only do so as part of business, and other Chinese social occasions. For instance like when I am with family, or with friends. At home, I drink wine like a normal person. I sip it. I taste it. I inhale the aroma and sample the complex textures.

In China, both red wine and baijiu is quaffed down.

Gan Bei
Everyone who has every been to China knows about Gan Bei. This is a fundamental part of Chinese culture, and if you are unaware of it, then you really have never visited China nor participated in the culture there.

The key here is an understanding that the Chinese culture places a great deal of importance in your ability to handle yourself when “shit face” drunk. Thus, the taste and aroma of a wine is of far less importance than the ability to get you staggering drunk.

In Chinese culture, Western wines opened up a new avenue to business and social get together’s. Here, instead of drinking 53° white wine we can drink 11° red wine. We can get drunk slower, and thus expand our time together for better fellowship and more time for social bonding.

It’s a win-win.

China opens to the West

China has always been partial to alcohol. It really doesn’t matter what it is. People have been drinking everything from beer to snake wine for many centuries. Long, long before the formation of the United States, Chinese poets were rowing out on lakes and offering drunken toasts to the moon.

Xi jinping
Xi kin ping drinking red wine. To be a businessman in China, mandates the ability to be able to drink strong alcohol.

There isn’t any political correctness here. If you are an adult, or a businessman, you drink. It’s pretty simple.

The Chinese have made all kinds of different types of wine and libations to suit their desire for strong alcoholic beverages. They have snake wine, frog wine, and all kinds of exotic herbal beverages that vary in taste and complexity.

Snake wine
Snake wine is very common in China. You can find it almost everywhere that wine is sold. Some of the snakes look frightening inside of the various jars and bottles.

Chinese adapt to Western wine

China has long been a friend to Western alcohol. Whether it was Shanghai in the 1920’s or Hong Kong and Macao, the Chinese love for Western wines and alcohol has continued unabated. When the United States had prohibition and forced everyone to hide their love of alcohol, the Chinese were merrily drinking themselves under the table.

For the most part, the Chinese have had access to alcohol from all over the world for a long time. This includes the leadership of Mr. Mao who implemented hard-core communist progressive laws, rules and behaviors. While the revolutionary zeal was taking hold on university campuses all over the nation, and inspirational slogans were being painted on large flowing red banner, the average Chinese family was happily quaffing down alcohol in spectacular amounts.

For the most part, these moments were “white wine” moments. Or to use a more appropriate term “moonshine”.

Moutai
The best white wine in China is Moutai. It is pure moonshine and comes in 53%. Ugh!

However, China underwent a drastic change when Mr. Deng started to implement his reforms.

You know, Americans have no idea. Absolutely ZERO idea about what Mr. Deng did. Oh, maybe one in a thousand heard of “Mr. Deng reforms”, but that is a rare person indeed.

What Mr. Deng did was threw out all the social policies, and economic policies of communism. That’s right. He implemented, what could best be termed “American Hard-right Conservative Free-Market” policies. Though you will NEVER hear the left-leaning mainstream American media ever talk about this.

Mr. Deng memorial
In downtown Shenzhen is a park. It is next to the stock exchange building. There, were every single stock trader, and visitor to Shenzhen can see, is a huge bill board dedicated to that man that revolutionized China and make Shenzhen happen; Mr. Deng. He believed, really believed in the American conservative process and felt that the Chinese communist government should embrace the elements that have worked so well in America for the last two hundred years. Many in Beijing fought him. They wanted a central government controlling the economy. They wanted a central government controlling and dictating the social behaviors of the Chinese citizens. However, Mr. Deng was proven right. Modern China is the direct result of his adoption of conservative American economic and free-market policies.

One of the reasons why China is so successful is simply because of Mr. Deng. Every school child in China knows about the important role that Mr. Deng had. Everyone in China knows this. Though, of course, they don’t call it “American brand of conservatism”. They call it “Communism with Chinese systems”.

A name is just a name.

A demand is created

upermarket in China.
Here is a typical wine isle in a supermarket. You can go to smaller wine and tobacco stores if that is your preference. i tend to stick to the big grocery stores and only buy wines that I know of, and I can trust from that store. You can never be too careful when buying wine in China.

Once China “opened up” and free-market trade was promoted, a middle class of people suddenly appeared. Under a socialist nation, whether it is socialist-lite or hard-core full-on communist, there are only two classes of people. This is the [1] government ruling class, and [2] the workers that serve them. (Which pretty much explains the mentality of those in the American oligarchy.)

However, under a free-market society, society does not stratify. It blends. There becomes bands of society.It isn’t a homogenized society under a central government where everyone is”equal”. It is a society that is an aggregate of different people, different cultures, and different lifestyles.

Seemingly over-night a middle class appeared in China.

This middle class had an enormous appetite for Western goods. People started to dress better, enjoy the finer things in life, and strive to build up their lives from a socialist utopia where they could never be given the opportunity. For once the government has you in it’s little box, the odds that you will ever leave it, are increasingly remote.

The Chinese saw the opportunity and seized it.

Suddenly a demand for all things “Western” was created. The Chinese wanted to act, dress and be American. They started to copy American clothing, fashions, and ways of doing things.  The desire was intense, and everyone wanted to be like Americans.

McDonalds
American chain restaurants opened up in China. The most famous are KFC, Pizza Hut, McDonalds and Burger King. The Chinese took to American culture like a starving dog chomps on a steak. For decades, the demand was insatiable.

Which is why outside elements (non-Chinese) started to instigate the “Pro-Democracy” movement. here, Chinese social justice warriors, with financial backing from outside of China, tried to force China to implement “democracy” inside of China. The only thing was, of course, that they did not want democracy, they wanted an authoritarian socialist government with the name of democracy with them in charge.

Ok. Ok, I’m getting off the subject again. But to know China, you need to know what has been going on for the last number of decades or so…

Fake wine flourishes

Ah wine. It is good with everything.

A walk in the clouds
The romance movie “A walk in the clouds” has this grandfather in it that appeals to my sensibilities, now that I am a retired gent. You need to live life to the fullest and appreciate what you have WHILE you have it.

With the middle class, came the desire for red wine. Not only will the Chinese enjoy white wine, but they will also enjoy red wine. And what a demand that it created! The Chinese demand for red wine was off the charts! Everyone was drinking it.

And…

And, with demand came fakes. People started to create fake wine, made out of all kinds of ingredients. They discovered that they could recycle imported bottles, and even have local factories make look-alike bottles. The ability to make a copy of a wine label was child’s-play. They could  take the cheapest white wine, mix it with grape juice and sell it under some kind of American, French or Spanish brand and sell it for 1000x the cost to make it.

Communities share fake wine recipes

First off, let me make one thing perfectly clear; China has some most excellent locally produced wines. You can get a nice ChengYu or a GreatWall, both very common throughout China and enjoy a good budget bottle of wine. You can also get wines from smaller independent Chinese wineries that are quite magnificent.

I don’t buy wines by price tag. I look for a good, and real wine. I look for local or well known wines that are difficult to fake. I drink wine for the taste. So, with this being said, I am certainly not a wine connoisseur. Instead, I am a consumer of good real wine.

I like a good real wine. If it tastes good, than that is good enough for me.

Good Chinese wines
Above, a selection of Chinese wines that have received praise from critics and made it into a shopping basket. They include wines from 1421 Winery, Kanaan, Grace Vineyard, Leirenshou and Chateau Nine Peaks.

But, you know, fake wines are a real problem. Here in China, fake goods are everywhere. Oh, yes. It was much worse a few years back. The Corruption Police out of Beijing has really clamped down on all this nonsense. They are going after all the evil doers. They are armed, trained and go after them like the IRS goes after an American taxpayer. They are that ruthless!

The thing is that there are entire communities that share recipes on making fake wines, and a network of distributors that purloin these fake goods to the consumer. This is quite an elaborate organization and consists of all sorts of people doing all sorts of questionable activities.

The police step in

Wine section.
This is a typical wine and booze section of a grocery store. Here we see folk buying their preferred libation in the North in the Winter.

In China, the police have a duty to protect the people. This is different than in the United States where the police have the duty of enforcing behavior.

So instead of arresting a five year old girl for selling lemonade, trying to seize someone’s children because the 11 year old was walking alone from school one day, and fining you for having dangling plastic “horse balls” on the hitch of your pickup truck, the Chinese will devote their time towards making China safe.

They have a long road ahead of them.

I will say this, In the United States we NEVER have to worry about fake wines. We never worry about fake beer, fake cigarettes, and fake cigars. We have come to accept this as normal, without giving the American culture the credit it deserves. People, America is what it is because of the people who live there.

Good or bad, right or wrong. It is the people that determine how a country works.

Fake Wine
Huge stockpiles of fake premium spirits and wines are tracked down during a campaign against fakes, Nanning, southwest China’s Guangxi Zhuang Autonomous Region, 6 November 2011.
Nearly 200 mainland cities publicly destroyed tens of millions of fake and substandard goods on 6 November as part of a major publicity drive intended to rein in the country’s booming counterfeiting industry. More than 25 million items were confiscated by police as part of Operation Draw the Sword – a campaign which, according to Xinhua, is also aimed at thwarting the production of imitation designer clothes, fake cosmetics and foodstuffs.

The underground market persists

Like it or not, this ability to make fake wine is a profitable venture. There are many who will happily concoct a few hundred cases of fake wine so that they can buy a new car or two. It’s difficult to eradicate.  However, I can honestly say that the situation is much better than it was just five years ago.

Fake wine being destroyed.
Here we have some more fake wine being destroyed. Notice the cases upon cases lining the wall behind the officer.

Back then, you could not tell at all the difference between a fake wine and a real wine. Often most of the wines in a store might be completely fake. That all started to turn around in 2014 by the massive police crackdowns and raids in Dongguang.

Fake wines being destroyed.
Chinese police destroy cases upon cases of wine seized by police on a raid in a warehouse.

The dangers of fake wine

The people who make these fake wines do everything from relabeling cheap local wine to concocting a toxic mixture of factory chemicals, and rat poison. You really don’t want to put any of this nonsense into your body. It could hurt you terribly.

The problem with fake wine is that you don’t know what is in it. Many times the chemicals that are put inside are done so for color, appearance, and to create the illusion that the liquid is real wine. I have heard of all kinds of things being added to the mixture. I have heard of rancid Duran fruit, I have heard of locomotive degreaser, and rubbing alcohol added to the “wine” to give it that rosy glow when sipped. You do not want to put any of these chemicals in your body. You could develop cancer, get a brain tumor or have your dick fall off.

What ever you do, stay away from fake wines.

How to avoid fake wine

Here’s some rules of thumb that I use…

Yellow tail is a fine import and it is real. It is from Australia. You can get it at the “D” supermarket. Prices fluctuate. Expect to pay at least 60 RMB a bottle. Normal prices are 75 RMB a bottle. Of course, I drink by the case, so I am always looking for real wine at decent prices. You can always find 350 RMB bottles of wine, and I think that you are just throwing your money away at that.

Great Wall has a good dry red wine. But there are many fakes out there. Expect to pay from 65 to 75RMB a bottle at a large name supermarket such as ren ren le. It’s the real deal and pretty good as well.

Great Wall look
Great Wall is a famous brand of wine in China. As such, you can buy it everywhere. The winery has often purchased small regional farms and sell the local wines under their catch-all name brand. In general, stick to Great Wall bottles that have this appearance. There are many fakes of Great wall out there. I only buy Great Wall wine that comes in either this bottle and appearance of an older and plainer form…

This is what a bottle or REAL “Great Wall” wine  looks like.  Note the top of the wine. When you remove the cork, you will notice that the cork is plastic synthetic. It is not real cork. Real cork is cheap and it is used in fake wines.

Corks
There are different types of wine corks. You can look at the wine cork to determine the overall quality of the wine. In general, the better wines have better corks, with both the plastic corks and the twist-off- tops becoming more popular.

Great wall has many types of wines. They have bought up smaller vineyards, and often sell the cheaper wine under their logo. You have to be careful.

Basic Great Wall wine
I call this bottle the “old style” appearance of the Great Wall. It is a good basic wine, and I have yet to find a fake version. Typically you can buy it for around 45 yuan, or roughly $7 USD.

You can tell a fake bottle by the following guidelines… If you are sharing a bottle with another, a real bottle will make you feel warm. Two bottles will make you feel good, but not drunk. You will feel drunk on the third bottle.  A fake bottle of wine will be quite different.

You will get drunk on the first bottle when you are sharing it. By the second bottle if your partner is sitting up with drool coming out of their mouth it is certainly fake. The next day both of you will have splitting headaches, and really bad fake wine will result in messed up stomach and body for at least a week.

Real wine will NEVER give you a headache the next day even if you drink four bottles yourself. Although, you might “potato head” for the duration of the morning.

ChengYu bottle top.
If you ever find a bottle of Great Wall with this kind of top, run away. Typically the brand uses a straight sided top. This top style is common on ChengYu, not on Great Wall.

If you buy in quantity, you can get real wine down to 200 RMB/case. Which is around 33 RMB/ bottle. But you will need to drink a few bottles first before you can tell the difference. In china, price has no bearing on the quality of the wine. Only the store’s reputation does.

Ten days ago I paid 71 RMB for a bottle of “Great Wall” that I felt funny about, but I figured that it must of been ok because of the name and the price. I was wrong. It took two days to get rid of the headache.

Fake wines are a REAL problem in China. If you drink like I do, you can really mess up yourself really bad by drinking that poison. Lord only knows what goes in it. I have read stories that they use rubbing alcohol, automobile antifreeze, oven cleaner, and horse tranquilizers to get the desired flavors. They take grape juice, and add the chemicals. and age it in a kind of heated tub in the back of a pig farm, and then bottle it in reused foreign wine bottles. YUCK!

Great Wall choices.
Great Wall wines are known to come in different sizes and shapes. This makes it very difficult to distinguish between real and fake wines. I don’t try. I only stick with the types that I know are good, and do not take a risk with bad wine or fake wine.

Great wall has many types and shapes and sizes. But remember, only go to a good reliable grocery store, and buy the real stuff. Not the fake stuff. This is why most Chinese prefer either Beer or White Wine. You cannot fake either of them, but you can fake red wine.

A “copy cat” fake is a fake wine dressed up to look like a real wine. As most Chinese wines are unknown wines with made up names, labels and points of origin. You can not tell from the bottle shape. You cannot tell from the bottle labels, or seals. You cannot tell from the boxes that the wines come from. The problem is that all of the international wines get their labels, bottles, corks, and boxes out of China. So it is easy for the Chinese to make doubles.

Anyways, I once got some fake “Sharaz” that was Yellow Tail from a good store. So even if you know what you are doing, you can get tricked. You have got to be careful.

Always remember the cork. If the cork is made out of plastic or a synthetic, then it is probably good. If it is a made out of a real cork, then it is a fake. The reason for this is that anyone can by cork. It is cheap and easy to get. Synthetic cooks are expensive, and regulated. There is also a MOQ (minimum order quantity) with is often much larger than the volume of the fake wine batch.

If you want to get a good bottle that won’t cause any of your internal organs to collapse, then stick to beer, JinJiu, or white wine. Beware, some of the fakes are REALLY good and taste quite good. You might not realize it, but there are complete families that trade the secrets of good fake tasting wines. They improve their mixture over time, and some of the best can sell some very good tasting products that can bring them a lot of money. … Provided that only one or two bottles are drunk by non-drinkers.

Some rules of thumb. All wine from bars, or from the Internet are fake.  All wines that come from Spain are fake. All wines that are in a store (even a good store) that are “on sale” and they only have one pallet of it (maybe fifty cases) are fake…fake…fake.

Stick to what you know. Stick to known brands, reputable stores and sellers.

Levels of fake

The quality of fake products are stratified.

  • Level 1 – The best fakes. Real wine from a local producer is placed in expensive bottles and sold as the expensive brand.
  • Level 2 – Awkward nastiness. Real cheap local wine is diluted down with water, and dangerous non-potable alcohol is added to increase the potency of the beverage. This stuff can make you sick, and give you headaches that can last for days.
  • Level 3 – Horrible shit. Very little real wine is used. Instead a concoction of different local medicines, and liquids are compiled together. This can consist of such things as cantaloupe rinds and liquidized horse feed mixed with cheap denatured alcohol. This stuff will make you physically sick, and can cause you to be hospitalized if you binge drink with it.

Summary

Fake wine takes away from the beauty of life. Some assholes, and they really are assholes, are trying to profit at your expense. Fake wine is a problem.

Keep in mind the most important rule of wine.

The Rule of Wine
Wine should be drank with friends and family alongside some fine delicious food. The most important aspect is happy times together.

Wine should be enjoyed with friends and family. I think a nice song, and maybe a dance is also appropriate. Drink wine while eating food. I like fresh crunchy loaves of bread. I like nice chunks of cheese and a spread of olives, cutup fresh heirloom tomatoes, onions, lettuce, and peppers. Life is too short not to appreciate the better things in life.

And what is better than spending time with your friends and your family? Not too much else, I am afraid.

Wine to be enjoyed
Wine should be shared. It should be shared with friends and family. It should be enjoyed with good morsels of tasty food. It should we shared with the ones that you love and who holds meaning to you personally.

I think that wine goes great with food. When you are together with friends and family, the wine really makes the times cheerful. Our faces get red and rosy and  we talk about our days, our opinions, and our dreams. I especially think that foods with sauces go great with wine. I really enjoy a nice “Italian style” dinner with a few bottles of wine. Oh baby, yes!

Garlic bread
I really like to eat garlic bread with my wine. The crunchy bread is awesome with the wine. What I like to do is get some olive oil. Then, I heat the olive oil up, then I dip the bread into the hot olive oil, then i take the olive oil soaked garlic bread into a shallow bowl of Parmesan cheese. The cheese ends up completely covering the soaked bread. It is delicious. You take a nice bite of that tasty crunchiness, and then a nice sip of wine afterwards.

There are all kinds of foods that go well with wine. I like to think that sandwiches go best with an icy cold beer, but there are many, many foods that go well with wine. For instance,  How about an Italian Grinder?

Italian grinder
Wouldn’t you like to have a nice bite of this sandwich? In Boston these are called “Grinders”. They are just an Italian hoagie. However, I really like mine with some Italian cold cuts, a nice selection of cheese and some drizzled olive oil and spices. Then I like it toasted briefly in a toaster oven. You take a nice bite of this, and then have a sip of nice red wine. Ah, so nice.

Fun Links

Life is about friendship.
Life is far too short not to enjoy it. Wine is not expensive. You can get a decent bottle for $5 USD. I suggest that you get a bottle of wine, and get a cheap pepperoni pizza and call up some friends and have a pizza and wine party. Why not? What is stopping you? Heck, what about your father or mother? What about your brother or sisters? Life is too short not to enjoy it TODAY.

Take Aways

  • As I get older, I come to appreciate the importance of wine.
  • Wine is wonderful with food.
  • Wine is served best when it is served with friends.
  • Fake wine is a problem in China.
  • There are precautions that a person can take to avoid fake wine.
  • Remember the Rule of Wine.
Friends drinking wine
Life should be spent with your friends. They are very important. How good is watching a football game, unless you have your friends nearby? How much fun is going on a hike in the woods unless you have a hiking companion? Friends are important. Make the moments together special… drink wine.

FAQ

Q: Why are there so many fake products in China?
A: This is because it is profitable. Many of the fake products are quite good and functional. For instance, there are “grades” of a fake product. You can have a fake LV handbag that is made from the same material, and the same suppliers as the real LV. This is a top grade fake. Then you can have a terrible cheap knock off that doesn’t look anything at all like a LV bag. It’s all a matter or degree.

Q: Can you drink a fake bottle of wine and not get sick?
A: Yes. There are many fakes that are just simply local wines repackaged into expensive bottles, expensive labels and expensive price tags. The buyer is thus cheated. He is paying steak prices for dogfood.

Q: What is the Rule of Wine?
A: Wine should be drank with friends and family alongside some fine delicious food. The most important aspect is happy times together.

Q:  What if I don’t want to drink wine?
A: That is fine too. You can go ahead and call some friend over and do something else. The important thing is to spend time together with people who are meaningful to you.  Make a difference and appreciate the time that you all are spending together.

Links about China

China

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Created 26AUG18.
  2. Content completed 27AUG18.
  3. SEO review 27AUG18.
  4. Published 27AUG18.

Allow your Children to Play and Grow (Part 1)

This little post was inspired by a little article titled “Doctor’s Orders; Let children just play” posted in the hot dog-days of summer, August 2018.

The article reinforces a notion that I have that “play is the work of children”. It is how they learn to become an adult. It doesn’t matter if you are a dog, a cat, an elephant, or a monkey, all animals learn from playing.

However, it is more than that, play is individualized free-roaming periods of children playing without supervision. They need to learn to be autonomous. They need to be able to use trial and error. They need to explore the idea of actions have consequences. When a child does not have this environment, they are often retarded in some fundamental areas.

The boy is a natural spectator; he watches parades, fires, fights, football games, automobiles and planes with equal fervor. However, he will not watch a clock.

A boy is a piece of skin stretched over an appetite. However, he eats only when he’s awake.

Boys imitate their Dads in spite of all the efforts to teach them good manners.

Boy’s are very durable.

A boy, if not washed too often and if not kept in a cool quiet place after each accident , will survive broken bones, hornet’s nests, swimming holes and five helpings of pie. Boys love to trade things. They’ll trade fishhooks, marbles, broken knives and snakes for anything that is priceless or worthless.

-Herbert Hoover

Introduction

In the United States today, I see a matriarchal tide that has emasculated men, and have pampered children to a point where they grow up spoiled without discipline. It does not matter if the child is a boy, or a girl, or considers themselves something in between. That is something that is not desirable for the children, families, and society as whole. Children are young and they need to learn basic rules to fit into society. After all, a puppy that is not litter trained, will deposit feces all over the house. A horse that is not “broken” will never let you ride it.

Parental Duty

A parent has a duty to teach their children and not outsource that responsibility to others. Whether it is a babysitter, a community government, or a church, a parent must provide adaptive skills and rules of behavior to their children. Otherwise, the child will become a “misfit”. They will not be able to fit into society.

Yet, a worrisome as this can be, too much supervision is just as dangerous. Too much protection is equally bad. When parents are overly protective of their children, they essentially outsource all of their offspring’s risk management to themselves. Part of growing is learning to judge risk behaviors.

Is that river to wide to swim across? Is the ice too thin to walk on? Is that tree too high to climb? Can I jump off the third story into a kiddy pool of water below?

Children need to be able to make these decisions on their own without reliance on others. Otherwise, the operating assumption is that mom and dad will always be around to keep them from harm. They will grow up expecting others to make those fundamental decisions for them.  They will believe that society and the government, can best decide and tell them how to live.

Children need to be Self Reliant

Rather than making kids dependent on you to keep them safe, prepare them to face and manage risks themselves. This doesn’t mean totally shoving them into things without a safety net. Like how my old school mates learned how to swim – their father simply threw them into the pool. No. I don’t believe in that. Rather, they need a set a staged instructions.

In fact, this system was promoted by Gever Tulley.

Gever Tulley is an American writer, speaker, educator, entrepreneur, and computer scientist. He is the founder of the Brightworks School, Tinkering School, the non-profit Institute for Applied Tinkering, and educational kit maker Tinkering Labs. 

His more recent work centers around the concept of students learning through building projects. He has delivered multiple TED talks on his work, published the book 50 Dangerous Things (You Should Let Your Children Do), and has contributed articles for many online media outlets.

Gever refers to this system as a “scaffolding” of “planning, practicing by steps, and taking reasonable precautions.” Obviously, the robustness of this scaffolding should be adjusted to your children’s age and level of maturity. You certainly do not want a toddler who can barely stand up trying to cross a city street. As they grow, you (as the parent) can then progressively withdraw the support “scaffolding”.  In this way, they can gain confidence and competence and become able to fend for themselves.

The great Mr. Rogers stated that “play was the work of children”. He was so correct about that. That is how youth learns. It is through play. Little girls learn how to raise babies through play. They play with dolls, they feed the dolls, they play “house”, and they hold “tea parties”. Little boys learn how to work together in group sports. They learn how to build cabins, tree houses, and “forts”. They tear things apart and put things together. Some girls like to do “boy activities”. Some boys like to do “girl activities”. That’s all both ok too.

The point is that play is how children learn.

The term “free play” is permitting children to learn under minimum supervision. Not only is there no supervision, but that the child knows that they are “on their own”. They know and realize that they can do what they feel like doing, but that if something goes wrong, they will be on their own. It is an adventure in risk…

“Free play has little in common with the “play” we give children today. In organized activities, adults run the show. It’s only when the grown-ups aren’t around that the kids get to take over. Play is training for adulthood.”

-The Fragile Generation

You have to teach the children to be independent.

That is not going to happen with you sitting off to the side or within earshot. You need to teach them how to judge risks, and then the decision process on how to take them. I personally believe that the best way to do this is to take these little steps with them together, first.  Then, over time, gradually let them take the risks without you being nearby.

Staged Risks

The keys to engaging in this process in a way that will not only benefit your children, but allay your own anxiety. After all, if you don’t teach your children well, you will get sick over the huge anxiety that you will need to endure. The solution is to introduce risk in graduated phases.

It’s a basic and simple process. The first step in allowing your kids to engage in a “risky” activity is to identify what exactly the risks are. For instance if you fall off of the first step in a ladder, the fall isn’t so bad. If you fall off the fourth step it is worse. They will not want to fall off anything higher. They will not WANT to.  They have learned that risks have consequences.

That’s not going to happen, if you don’t allow your child to get on the ladder. It’s not going to happen when you are there to catch them. They need to experience the consequences. It needs to be visceral.

Once you’ve identified the risks of an activity, you can figure out how to mitigate them. It should be natural for most children.  You fall down from skating on the ice; you will feel bruised and maybe have some torn skin. Let it happen!

My children do not wear arm and knee pads when they go ice skating (though, neither do the other Chinese children either). Let them fall down. Let them learn what happens and the consequences of it.

Falling down is an important part of growing up. Do not coddle and deprive. They must experience the benefits and risks together.

History

Know your history.

Up through the early 20th century, children, even very young ones, worked. They got up early in the morning and did their chores.  They washed up and trudged off to work. Often they worked 12 hours a day in the mines and the factories. They hawked newspapers on grimy street corners, or like my father, shined shoes in front of businesses downtown.

The reader should not misunderstand. There’s nothing really romantic about such child labor. They were not learning. They were not engaged in play. They were doing what they needed to do to survive. They did what they had to do. It was dangerous.

It was dangerous, and yet they survived.

Imagine that!

Consider the youth of the past. When he was seventeen, Jack London (remember him? He wrote the book “The Call of the Wild”.) Signed on to sail with a gaff-rigged schooner bound for seal hunting in the icy Bering Sea. I dare say that if a child did that today, the parents would be locked up in jail. Imagine that! Not even old enough to shave. He walks down to a port, talks to the ship’s mate and gets a job bound for icy North! What balls! Yet those types of things are what build character and makes a parent proud.

Gaff Rigged schooner
Jack London, with his belongings in a satchel walked to the bay and got a job on a gaff-rigged schooner bound for points unknown. He shook hands with the master and signed on. He just did it. What moxie! That is what self-reliance is all about.

This was not someone who grew up around boats. This was not someone who’s father was a fisherman, and who’s classmates all knew how to sail. No. Not in the least. This young man knew absolutely nothing. He knew positively zero. Yet, he knew what he wanted to do. So one day, he packed his bags and left and did it.

It sure beats getting a trophy for coming in 10th place in a sack race.

When he was thirteen, Andrew Jackson (Remember him? He was a President, don’t you know?) served as a courier for American militias fighting in the Revolutionary War. He was thirteen years old. Yet here he was going back and forth between battles and regional headquarters. He carried messages, and if he was ever caught, he would have been tortured and killed. Yet, he did so. At the tender age of thirteen.

Aim small, Miss small.
Here’s a scene from the movie “The patriot” that stunned many of the liberals in the audiences that watched it. They were surprised that small boys would be able to shoot and handle firearms. People, this is a natural rite of passage for young men. It is only recently that r-survivalist strategy has been adopted by the United States government. Boys are not girls. Treat them as the genetically programed humans that they are. Aim small, miss small.

Do you allow your thirteen year old to ride a bicycle unsupervised?

When he was twelve, Louis Zamperini left home to spend the summer living on an Indian reservation and running around in the mountains.  He lived in a wood cabin with a friend the same age and killed his own dinner each night with a rifle.

Louis Silvie "Louie" Zamperini (January 26, 1917 – July 2, 2014) was a US prisoner of war survivor in World War II, a Christian evangelist and an Olympic distance runner. 

Zamperini took up running in high school and qualified for the US in the 5000m race for the 1936 Berlin Olympics. He finished 8th in the event. 

In 1941 he was commissioned into the United States Army Air Forces as a Lieutenant. He served as a bombardier in B-24 Liberators in the Pacific. On a search and rescue mission, mechanical difficulties forced Zamperini's plane to crash in the ocean. 

Survive a plane crash
Louis Zamperini crashed in the South Pacific. he survived, but was captured by brutal Japanese forces. He survived the crash, and then he survived his imprisonment. He would have never been able to do so, were he coddled as a child and told not to take risks.

After drifting at sea for 46–47 days (island spotted on the 46th, and arrived on 47th) he landed on the Japanese occupied Marshall Islands and was captured. He was taken to a prison camp in Japan where he was tortured. 

Following the war he initially struggled to overcome his ordeal. Later he became a Christian Evangelist with a strong belief in forgiveness. Zamperini is the subject of two biographical films, the 2014 Unbroken and the 2015 Captured by Grace.

Can your twelve year old child do the same? Do you dare let them live alone in a cabin in the woods? Do you dare let them have and use a gun? Do they know how to survive in the wilds?

If these kids can sail the oceans, serve on the war-front, and live by themselves, then our kids can ride their bikes to school. Maybe, you the reader, disagree with me. Maybe you think that it is just fine to raise your children as “pussies”. After all, I have heard the arguments; it is the new progressive reality. The society has changed, and I am but an old dinosaur. Never the less…

Unfortunately, the landscapes of play and exercise for children have been both literally and metaphorically flattened, if they exist at all in the United States. As many as 40% of schools have either eliminated one or all of their recess periods, not simply to gain more classroom and testing time, but also because of liability concerns. For the same reason, climbing ropes and dodge ball games have been removed from gym class. Can the reader believe this? It’s true! The risk of someone getting hurt is too high; the risk of physical ineptitude doesn’t rate, even though it’s correlated with the risk of obesity.

To prevent my children from turning into emasculated serfs being harvested by the American elite, let me present some things that I permit my children to do…

Take a Train

I really don’t know why I personally think this is a big deal.  Yet, it is.  Every single child that I know, get really excited when they are told that they are going to take the train. There is something far different and exciting about a train.  Yes, I am aware about the excitement in taking an airplane ride for the first time.  Yet, a train is something more than that.  A train ride is special.

Locomotive
Look at this beauty. Observe the lines, the enormity of the great complex mechanical monster. Look at how small the workers look around it. Look at the environment. Absorb what it must have been like, the smells, the muggy air, and the hustle and bustle of the people on the platform in the early morning air.

From the point of view of a child, a train gives you the full (end) experience of travel. They can see what it is like. You buy a ticket, you ride in a seat, and you arrive in your destination. It is easy to understand. It is easy to conceptualize.

That isn’t so clear to a child when they fly. To a child, they have to wait in huge lines, often hours long, to pass through TSA. They have to sit on board, which at least in America is becoming more akin to herding cattle than it is to taking a trip.

Keep an eye on perspective. My father took a train to attend my nieces wedding in New York City. It had been nearly thirty years since he taken a train. His opinion? It was marvelous. They had wide and spacious seats. Plenty of legroom. They could read, play cards, and just nap. He loved it. His wife, enjoyed knitting and listening to the radio. It’s a different way of traveling.

If you, my dear reader, do not understand what I am discussing here then you obviously haven’t rode in a train lately.

Make a Fire

In China you can do just about anything, but finding a place in the woods to build a campfire is not all that easy.  However, it can be done.  Why is this important?  Because building a making a fire is a fundamental requirement of all children since the age of written history.

Young girls learned how to keep the hearths burning.  Not only to keep the household warm, but also to make sure that the food was prepared.

Young boys learned how to survive outside, far away from their home, and that included providing warmth and nourishment through cooking game that they caught.

In America, these are no longer considered important.  As there just isn’t any such thing as gender. Alternatively, societal roles, or the need to live “off the grid” and away from society. The all-knowing American police state will take care of you, don’t you know…

I strongly disagree with the progressive direction of the Obama Presidential mandates. While every other child is being groomed for slavery (or at least serfdom), my children will have the necessary skills to survive away from the American Progressive madness.

For me, I let them play with matches and light candles when they’re really young. Indeed they are pre-school age. This can be done in your house. Buy a set of candles. It might set you back a whole $1. Then, let them practice lighting it. Do it until they are bored. Then try it again and again. Soon, they will tire of it, and not want to play with fire any more.

When you ask them, they will say “Awww, not again!”.

They’ll learn quite a bit. They will learn that fire indeed burns and it hurts. However, with a flame so small, it won’t hurt too much if it glances their skin. When they get to a little older, let them build a fire all by themselves (still with your supervision, of course). A campfire is the best, but if you are in an urban environment, teach them by making candle experiments.

Candle Experimentation

You take an old can; put corrugated cardboard inside so that the spaces (holes) in the can face up.  Pack the cardboard in. I like to wrap them in a circular shape. Line the inner side (of the tin) and then add pieces until there just isn’t any room left. Then melt wax (very cheap) on a stove and pour it in the holes in the cardboard.

Let your child make this candle device. Then allow them to experiment with wax, with cardboard, with fire, with other discarded tins. The cost will be minimal, but if you allow them to do it in the safety of your supervision, it will be beneficial to them.

DIY wax candle kit
You can buy a DIY candle kit to start off with. You can get cardboard everywhere. You have scissors, and matches. Then all you need an open and airy place that is safe and secure. Then let the kids go to town.

Teach them by showing them. Then allow them to make their own.  For a campfire, gather the wood. Cut the branches. Build up tinder. Get it started burning. Children can learn this. Teach them at an early age.

Campfires

Campfires are great, and I just cannot imagine a childhood without one.

Campfire
Fire is a fundamental part of every human child’s life. We should teach and allow the child to explore this most important of elements. We need to take the time out of our day to help them to explore and learn. Let them smell the burning, hear the crackling embers, and enjoy the smoke and embers as they float upwards into the heavens.

Teach your children about how to make a fire. You gather wood. You gather tinder. You clean out a fire pit, and you surround it with rocks. You select the rocks carefully, so no “river rocks” are used. Then you arrange the wood, and start it by tinder. They can participate and help.  In no time, they will be starting the fire on their own.

Let them add sticks and wood to the fire. Let them learn how to make the fire hot, and see the benefits of the hot embers.

It need not only be about making the fire.  It can include such activities as cooking cut-up potatoes in aluminum-foil in the embers. (Easy to make and delicious.  Cut up a potato (after washing it), and an onion. Add salt and pepper and a pad of butter.  Wrap the entire thing in aluminum foil and place it in the embers and let it cook a spell.  It is delicious.)

campfire embers
One of my fondest memories is roasting hotdogs over a evening fire. We did this often as a child. This included such events as cub-scouts, school outings, and trips with my parents. Childhood needs to include campfires as a fundamental element of growing up.

It can include roasting marshmallows on a stick, or hotdogs until they are black and crunchy (the best kind).  My first roasted marshmallow occurred when I was six. It was before first grade. It can be earlier than that, but make sure that you supervise the youngster, as they do need training. Otherwise, they can burn their mouth, or fall into the fire. Yikes!

Fires are a great venue for bonding. It can include talking and telling stories, especially family lore, or hopes and dreams of the children.  You can be a great parent or uncle in these events. Don’t let them pass away. Don’t let them fade into obscurity simply because there is a new app in your cellphone.

By the way. I strongly urge all campfires to be a cellphone free zone.

make coffee on a campfire
Let your children see how easy it is to make tea or coffee on the campfire. Show them how it is done. You can also open up a can of pork and beans and teach them how to cook it on a fire in the embers (opened up) of course. Let them feel some independence, and let them do all the work. This is their experience, don’t hog it all up.

Let them participate in stories, or just allow them to stare into the burning embers and daydream. Let your child know the differences between wet wood, and dry wood.  Let them understand the differences between a pine and a hard wood.  Let them see the difference in making tinder, and how coal can make a fire much hotter. Let them learn what happens to a hotdog on a stick when you cook it deep down inside the hot embers of a fire, and what happens when you don’t.  Let them learn through experience.

I personally find it absolutely laughable that many American parents are so fearful that they will not allow their children to play with fire.  Certainly, no one wants the children to burn up a house or set a field on fire.  Yet, the knowledge of what a fire is, and how it can be controlled is an important learning exercise for children.

“If a 10-year-old lit a fire at an American playground, someone would call the police and the kid would be taken for counseling. At “the Land”, spontaneous fires are a frequent occurrence. The park is staffed by professionally trained “playworkers,” who keep a close eye on the kids but don’t intervene all that much. Claire Griffiths, the manager of the Land, describes her job as “loitering with intent.”

-The Land. The Land is an “adventure playground,” though it sounds a little too much like a amusement park. 

In the U.K., such playgrounds arose and became popular in the 1940s, as a result of the efforts of Lady Marjory Allen of Hurtwood, a landscape architect and children’s advocate. 

Allen was disappointed by what she described in a documentary as “asphalt square” playgrounds with “a few pieces of mechanical equipment.” She wanted to design playgrounds with loose parts that kids could move around and manipulate, to create their own makeshift structures. 

But more important, she wanted to encourage a “free and permissive atmosphere” with as little adult supervision as possible. The idea was that kids should face what to them seem like “really dangerous risks” and then conquer them alone. That, she said, is what builds self-confidence and courage.

Although the play-workers almost never stop the kids from what they’re doing, before the playground had even opened they’d filled binders with “risk benefits assessments” for nearly every activity. (In the two years since it opened, no one has been injured outside of the occasional scraped knee.)

Here’s the list of benefits for fire:

“It can be a social experience to sit around with friends, make friends, to sing songs to dance around, to stare at, it can be a co-operative experience where everyone has jobs. It can be something to experiment with, to take risks, to test its properties, its heat, its power, to re-live our evolutionary past.”

The risks?

“Burns from fire or fire pit” and “children accidentally burning each other with flaming cardboard or wood.” In this case, the benefits win, because a playworker is always nearby, watching for impending accidents but otherwise letting the children figure out lessons about fire on their own.”

-The Overprotected Kid

There is something primeval about fire, the smell of burning wood, and the weight of a metal lighter in your hand. (Disposable lighters are like paper cups of coffee; discardable and plain. To get the “full” experience, do it right.)

Teach them how to make a fire from tinder, and scraps.

Lighter
I personally believe that every father should buy their son a nice traditional lighter. make it memorable and let the son choose the style that best fit’s their personality. This is an important part of growing up.

Show them how to make a fire pit, chop wood, and select the best wood.  Let them know the difference between green-wood, soft-wood, and hard wood. Let them poke the fire and watch the sparks fly. Let them blow on the fire and watch the embers glow and grow.

Alternatives

One of the more memorable events in my life took place during my college years. I was out riding in the “boondocks” with a friend of mine (Sid Custer) in his pickup truck. We were having a great time, and we stopped in the middle of the dirt road for a smoke (not a cigarette) and pee. Neither of us had a lighter. So my friend siphoned some gas out of the tank, put it on a rag he scrounged out of the glove box, and used his pocketknife to create sparks, which quickly set the rag on fire.  We lit up, and continued our merry way. Yes. Men, need to be able to adapt to survive. They need to know, that in a pinch, they can “make do” and adapt.

I never forgot that event.

Later on during other stages of my life, I saw interesting improvisations that enabled people the ability to light up cigarettes. Here are some additional ways to start a fire.  I would suggest that the reader also make a point to their children that fire can be made easily and is not something to fear.

Teach them how to create fires on demand. Teach them how to control fires, and what to do, when a fire gets out of control.

  • Gum Wrapper Fire. This is a very easy method, and commonly used in prison. You take a pack of chewing gum. You remove the silver foil. Then you get a battery (any working battery, but I’ve seen type AA or AAA used effectively), and put the foil so that it touches both ends. Now the fire might start at any point along the foil, so you need to tear away some of the foil to make a narrow gap. That will focus the fire to start there. Make sure you have some tinder ready, as the fire will be short lived. It might last two to three seconds, tops.
  • Ramen Noodle Stove. Take a pack of Ramen noodles. (Remove it from the plastic wrapping.) Pour gasoline, kerosene or any other flammable liquid on the uncooked noodles. Put it on a brick, a rock or other safe surface. Then light carefully (making sure that no gasoline is on anyone’s hands or splashed on the ground nearby). It will act like a “fire starter log”. As such, it will burn for a good spell. Maybe 20 minutes to a half an hour. It makes a great kindling or fire starter, but is also a very good exercise to expose your children to.

All of the suggestions that I have provided here come with a level of danger.  There should be no doubt about that.  However, the point is that danger should be a friend and as the child grows, they can become more familiar with danger and best be able to tame it. You need to teach your children how to confront life, and not shy away from it in fear.

To quote an age-old Peruvian saying; “A life lived in fear is a life not worth living”.

Also quoted in the movie “Strictly Ballroom” (1992). Strictly Ballroom is a 1992 Australian romantic comedy film directed and co-written by Baz Luhrmann. If the reader is confused about why this quote is located here in this manuscript, and what it means in regards to raising children and exposing them to new ideas and skills, then you should watch the movie “Strictly Ballroom”.

Sail a boat

"There is nothing -- absolutely nothing -- half so much worth doing as simply messing about in boats. In or out of 'em, it doesn't matter. Nothing seems really to matter, that's the charm of it. 

Whether you get away, or whether you don't; whether you arrive at your destination or whether you reach somewhere else, or whether you never get anywhere at all, you're always busy, and you never do anything in particular; and when you've done it there's always something else to do, and you can do it if you like, but you'd much better not."

- Spoken by Ratty to Mole in Wind in the Willows a children's book by Kenneth Grahame (1859-1932).

This might be a surprise to some readers. It need not be.

gaff rigged cutter
This is a nice photo of a gaff-rigged cutter. A cutter is a boat with a single mast. A gaff-rigged boat is one that has the mast broken into two sections; a top and a bottom. The top section has a smaller sail known as a top-sail. It is useful to get the smallest and tiniest breezes of wind on calm days.

Sailing introduces your child to art, beauty, nature, and teamwork.  You will find them wanting to help furl the sails.  You will find them steer the boat with pride.  You will watch with pride as they point out when the sails are luffing. There is something very freeing about sailing.  You glide along the water, it is almost like flying.  It is soft, quiet and peaceful.

Sails on larger vessels are typically left in place, while it is easier to remove sails from the rigs of smaller vessels. Furling a sail simply means to put the thing away after use. Sails are commonly folded and covered, or rolled into a tubular shape by an onboard mechanism.

In sailing, luffing refers to when a sailing vessel is steered far enough toward the direction of the wind ("windward"), or the sheet controlling a sail is eased so far past optimal trim, that airflow over the surfaces of the sail is disrupted and the sail begins to "flap" or "luff" (the luff of the sail is usually where this first becomes evident). This is not always done in error; for example, the sails will luff when the bow of the boat passes through the direction of the wind as the sailboat is tacked.

A sailboat can also be "luffed" slightly without completely de-powering the sails. Often this occurs on the point of sail known as close hauled, this is sometimes referred to as pinching or "feathering" and is sometimes done deliberately in order to make a more direct course toward an upwind destination (see: "beating to windward"), or to "de-power" a sail on a windy day to maintain control of the sailboat. "Luffing" can also be used to slow or stop a sailboat in a controlled manner. To offset luffing at the top of the sail one should move the sail "lead" forward until the point where the "telltales" break evenly.

In comparison, a household with young children is a noisy and clamorous affair.  There is always noise and contention.  Young children cry and demand.  Sugar and other children exacerbate this situation. However, on the ocean (or in a bay), there is none of this.  The children will calm down and start to fit into the routine and the rhythm of the boat.  Oh, they will get the “sea legs” soon enough.

“The sea hates a coward.”
-  Eugene O’Neill

If the parent is so inclined, they can help the child with sailing lessons.  In each and every case, the parent should make sure that the child knows the basics of swimming (not included in this list, as it is a MAJOR fundamental requirement for all of my children.  They learn how to swim early on.). When in the boat, all children wear life preservers, and all of them must know how to “turn a boat around” to rescue a person during a “man overboard” drill. Try it.  Your children would love it!

gaff-rigged schooner
Here we see a gaff-rigged schooner overtaking a cutter. A schooner is a vessel with two masts. The mast at the stern of the ship is the tallest. Therefore, the vessel has the largest sail area towards the stern of the ship.

Others have written about the beauty of sailing.  Consider Christopher Cross for example.

For those readers who have never sailed, I would seriously suggest that you take the opportunity to do so.  I am not talking about a little puddle boat, but a large sailing vessel with a decent beam and some real size.  It is achievable, as many boat owners offer rides in their sailboats for a very reasonable price as a way to make extra money.

For those of you who have, let me suggest that you invest in a simple boat rather than a new cellphone. In my household, tools and clothing that helps the children learn hold far greater value than what everyone else is doing. You, dear reader, should realize that your High School days are over. Those who have succeeded in life, we NOT the ones who were average and “went with the pack”. Do not allow that temptation to mold your child’s thought process.

Here’s some great links for the convinced and interested reader;

The joy of sailing
The joy of sailing on the Britannia. Everyone should have the opportunity to sail. Everyone should be able to enjoy their life on the water, with friends and companionship.

“There is a special moment in sailing after clearing a harbor and setting the sails, when you turn off the engine and feel the boat lean into the wind and silently pick up speed. In that single quiet moment, all the joys of sailing come to me in a rush: freedom from the work-a-day life ashore, the thrill of travel, the challenge of pitting myself against the forces of the sea.”

- Stephan G. Regulinski

Ride Your Bike Off a Ramp

This was something that I did when I was a kid, and something that horrified my mother. Never the less, I don’t know of anyone who ever died from it.

Bike ramp
A forest bicycle ramp. Obviously a person using this ramp should have some practice and experience first. I have had many friends who would ride their dirt-bikes (motorcycles) in the abandoned strip mines and forests of Western Pennsylvania who had been in accidents, some of which required hospitalization.

It’s a thrill and a brush with danger that is still possible in this too-sterile world. Building and riding off ramps will teach your kids some basic physics and even some construction skills. Let them be kids, for goodness sakes. Don’t end up like that joke-of-a-President Obama who rides a bicycle with helmet and protective padding. Good God!

But…

But… Let’s not leave it at that.  Riding a bike through the woods can be a great adventure.  I certainly enjoyed it as a kid growing up.  Today, in China, bike ride-share has taken the nation by storm, but these bikes are all for urban transport from one location to another.

That is not what I am writing about.

Instead I suggest aggressive bike adventures in the woods.  If you are an American, there is no reason why you can’t explore the old trails and country railroad access trails.  There is no reason why you can’t ride the deserted industrial sites and explore the “off the beaten” path adventures just waiting for there for you.  There is no reason why you can’t ride along long disused railroad tracks, ride up and down abandoned urban complexes, or explore old sections of cracked highway.

“beginning in 2011, Swanson Primary School in New Zealand submitted itself to a university experiment and agreed to suspend all playground rules, allowing the kids to run, climb trees, slide down a muddy hill, jump off swings, and play in a “loose-parts pit” that was like a mini adventure playground.

The teachers feared chaos, but in fact what they got was less naughtiness and bullying—because the kids were too busy and engaged to want to cause trouble, the principal said.”

-The Overprotected Kid

Which brings up another subject…

Explore an Abandoned Building

Ouch!  This is a painful admission. Abandoned sites are dangerous.  You can get hurt or more.  When I was five I walked on a rusty nail protruding from a board in an abandoned house near our place. I had to get some painful shots as a result.

Never the less, I explored many an abandoned building, and went in and out of local railroad tunnels throughout the Western Pennsylvania hills where I lived. I cannot say that I would promote my children doing so, but if I found out about it, I wouldn’t lambast them either. The key is preparation. The children need to know about the dangers before hand, and then with the basic tools and knowledge just let them explore a bit.

When I was in second grade I learned that if you jumped off the second floor of an abandoned building that your feet and legs would hurt.  I learned that old pipes had water, but the water was thick and red with rust.  I learned that nails were everywhere and if you weren’t careful you could step on one and need to go to the doctor and get an injection.  I learned that broken glass is everywhere in an old building and you could get hurt if your touched it.

urban exploration
There is a sub-culture called urban-exploration where young adults enter into abandoned structures for the purpose of photography and exploration. They do not deface, steal or vandalize. I see nothing wrong with this as long as they are careful and not breaking any laws. I would encourage your children to explore…

A good parent allows the child to learn.

A good parent will rather have the child experience a bruise or two rather than live a life in fear, or worse yet, walk straight into danger unawares. As a child, I was petrified of spiders, snakes, frogs, and bees. Over the years, through close contact, I have learned not to be fearful of these things. Let your child learn early. Equip them with the knowledge to live and survive in an often-unfriendly world.

Climb a Rope

Climbing is one of the crucial physical skills everyone should develop. In America, from what I gather, climbing a rope is something that is frowned upon. As is climbing stairs higher than four feet. Have you looked at what constitutes a playground these days?

Now, if schools won’t provide the opportunity for a little physical exercise, then parents ought to. I am way too old to climb, but it doesn’t mean that I don’t make the effort to show how it is done.

In the old days (about 100 years ago), every community had a playground.  These playgrounds consisted of “monkey bars”, swing sets, seesaws, and other simple outdoor childhood entertainments. Typically, they had sand at the bottom of the metal (and often concrete) structures to mitigate any cuts, scrapes or broken bones.  There was sand at the bottom of the monkey bars, sand at the bottom and end of the slides, and sand below the swing sets.

Monkeybars
Children playing on “monkey bars”. Now, pretty much banned throughout the USA by Democrat well-meaning busybodies.

This continued into my parents’ generation and mine as well.  However, over time, the playground equipment became more standardized and mass-produced.  By the time the 1980’s rolled around, there were small community organizations forming to make playgrounds “safer”, “better” and (perhaps) more “educational”.  These “improvements” resulted in making the playgrounds nice and safe and very boring.

Often centering around a “community activist”. This “activist” often had no source of financial income except what manifested as a result of litigation. Litigation was the fruit that justified their activism. Many “well intentioned” changes were just creative ways for an individual or group of individuals to make money without physical labor. Don’t like my opinion? Prove me wrong.

1900 style American playground
Old American playground around 1900. Only the strong survived recess, obviously.

For instance, slides became lower. Instead of two stories high during my parents’ generation, and one story high (8 feet) in my generation, they became four feet high. “Monkey Bars” became smaller and lower to the ground. Even seesaws and swings became smaller, or eliminated all together. These “improvements” were welcomed by all the protective parents in their respective communities, or at least by the most vocal ones.

There was only one problem.

The structures were boring and did not challenge the children.  They were instead only suitable for mentally retarded and handicapped children, infants under the age of two, and overweight mothers. My gosh! Children should be challenged while in a safe environment, not coddled until they become an adult.

Bubble wrap was intended for the protection of inanimate objects. Not for human beings. Protective attire is necessary for close combat, hazardous work, and working with tools. It should not be necessary for transportation, play or dining.

My experience, in life, has been that once the father leaves (dies or simply abandons the household); the mother tends to clutch on to the child. She becomes hyper protective. If the child is unable to go out on their own, this terrible behavior (and self-serving behavior) by the mother completely messes up the child. They become “retarded” in normal development.

Those of you readers who have accomplished anything know exactly what I speak of.

I strongly believe that children, like cats and puppies, should be encouraged to climb, fight, sing, dance, and do other tasks that involve a moderate level of risk.  It is better to climb in a park near your house than on a mountain side hours from a nearby hospital.

Children are permitted to be safe while playing in Chinese playgrounds.
All Chinese playgrounds are supervised to allow the children to play in safety.

I was reminded of this by an event in a local park here in China. There were some children being taught repelling and climbing skills by a small group of instructors.  It turns out that many malls and store complexes in China have these huge climbing complexes of ropes, and netting that children are permitted to go “nuts” over.

They are very popular here, and are well maintained and monitored. They also offer excursions in local parks.  I strongly advise all parents to utilize this resource.

Chinese mall playground
Many Chinese malls have enormous gym arrangements that are supervised for safety. The children can climb and explore. They can climb rope ladders often going up seven or eight stories. They can rappel down ropes and swing from tires hanging by a rope.

These arrangements are a multi-colored mixtures of beams, ropes, hoops, ladders, bars, and free hanging containers. The maze towers upward. Often they are five or six stories high. There is one in GuoMao in the LouHu section of ShenZhen that towers seven stories high. There is one in the JiDa section of ZhuHai that goes all the way up to the fifth floor.

You can watch the children high up, five stories above, walking a balance beam. The only thing saving them is a tethered harness so if they lose their footing, they will not fall (and die). I have seen many a petrified first and second grader carefully exploring this maze of rope and bars. Too bad American parents are too fearful to expose their children to adventure.

Safety for children
In China, safety is always a concern. No one can enter these areas without supervision and protection. For this supervision, there is a small fee that needs to be paid.

The Chinese provide their children with a fine place to climb and explore.  Sure there is an element of risk, but there are trained instructors and safety harnesses and helmets provided. Compare that to a modern American playgound.  There isn’t any risk.  There isn’t any opportunity to explore and have adventure.  It is typically in one or two colors, it consists of a few fences and railings, and some stairs to climb up.  It is also very safe.  It is the opposite of what is available in China.

American playgrounds are perfect for handicapped children and imbeciles. American playgrounds are safe at the expense of play.
Safe American playground is suitable for the most incompetent children and retarded idiots that America can produce.

American playgrounds are designed for idiots. They are ridiculously safe. Soon, someone will complain (I am sure a SJW looking for a financial award) about rain, and demanding that they be shaded and protected from the weather. Maybe the metal components are too hard, and so they will now need to be completely padded, and let’s add a paid child monitor to the mix (paid for with your tax dollars).

Notice that there are no swings, seesaws, slides, monkey bars or merry go-rounds. Too dangerous the SWJ’s (busybodies) state.

Use a Pocket Knife

I never gave any consideration to the importance of a pocket knife. I was just something that I thought all boys had and used. That is, until I saw a Chinese boy looking at them in the (underground Zhuhai) mall…

Learning to use a pocket knife with grandfather
Learning to use a pocket knife with grandpa. What a great opportunity to bond with your children, or grand children, or even great-great grand children. You go out. You sit on the porch. You pick up a twig or stick, and you start carving away.

Shortly afterwards, I read an article titled “The Complete guide to Pocket Knives”. That served as my inspiration, and cracked up a wallet just a little bit…

There’s something manly about your first pocket knife.  It doesn’t have to have 100 blades and a corkscrew, but it should have at least two different blades and maybe a file.

My (second) pocket knife was a red Swiss Army knife with maybe five different blade combinations including a can opener, and rasp. It replaced my boy scout knife that I had, as well as just about every other boy in my school, one Christmas.  It was a gift from my father.

“One day last year, a citizen on a prairie path in the Chicago suburb of Elmhurst came upon a teen boy chopping wood. Not a body. Just some already-fallen branches. Nonetheless, the onlooker called the cops.

Officers interrogated the boy, who said he was trying to build a fort for himself and his friends. A local news site reports the police then “took the tools for safekeeping to be returned to the boy’s parents.”

-The Fragile Generation

What? A boy cannot cut up wood? What planet ware we on? And the Chicago police promptly relied him of the tools and escorted him to the safety of his parents? This is friggin’ unbelievable!

“Elsewhere in America, preschoolers at the Learning Collaborative in Charlotte, North Carolina, were thrilled to receive a set of gently used playground equipment. But the kids soon found out they would not be allowed to use it, because it was resting on grass, not wood chips. “It’s a safety issue,” explained a day care spokeswoman. Playing on grass is against local regulations.”

-The Fragile Generation

Playing on the grass is against safety regulations!

Let me repeat as an underline; “Playing on the grass is against safety regulations.”

This is America.

“And then there was the query that ran in Parents magazine a few years back: “Your child’s old enough to stay home briefly, and often does. But is it okay to leave her and her playmate home while you dash to the dry cleaner?”

Absolutely not, the magazine averred: “Take the kids with you, or save your errand for another time.” After all, “you want to make sure that no one’s feelings get too hurt if there’s a squabble.”

-The Fragile Generation

By all means, protect the child’s feelings…(!)

“The principle here is simple: This generation of kids must be protected like none other. They can’t use tools, they can’t play on grass, and they certainly can’t be expected to work through a spat with a friend.

And this, it could be argued, is why we have “safe spaces” on college campuses and millennial's missing adult milestones today. We told a generation of kids that they can never be too safe—and they believed us.“

-The Fragile Generation

Ah, it’s a generation of wusses. But, my children will not be part of it. They are taught how to [1] measure the unknown, [2] put aside fears, and [3] utilize tools to accomplish their goals.

Pocket knives are great tools, and all children, boys and girls should learn to use one.  Nothing is better than using it to cut up an apple, or pear.  Use it to cut away branches to make a sling-shot, or make a fine walking stick (a teenage necessity).

If you give your child a knife with different blades, please remember to show them how each blade is used.  Do not simply expect them to understand it by osmosis. (Like my father did.) Show them the screw driver, and the can-opener blade, and let them open a can or two of pork and beans and let it cook on a campfire once opened. The corkscrew won’t come in handy until they are in college.. heh, heh.

Knives have many uses.
Knives have many benefits. This is an important point. Having a knife, being able to explore without fear, making a fire, and being able to climb a rope are things that all of our distant relatives did when they were children. These were things that they were allowed and permitted to do in a Free Society. Today, America is NOT a free society. It is a prison, increasingly populated with people and children bread to act and behave as cattle-serfs. I refuse to let my children become cattle for the oligarchy. Moo.

This being stated, I do not advise knives being brought into American schools.  The days of cub scouts having a pocket knife and bringing it into class is long, long over. Incidentally, a cub-scout pocket knife would be an ideal knife for your child int his regard. I had one when I was a boy. I had it for a while until it was replaced by a red (maybe fake) Swiss army knife.

“As a kid in the 1970’s, almost every boy carried a pocket knife. It wasn’t a weapon or for showing off, unless it was new. Sometimes you had to actually cut something and scissors just wouldn’t do.

When you were bored, you’d whittle a stick or a piece of wood with it. I have a simple walking stick carved by my great grandfather, and I recall the mystique of watching and helping as he sharpened his knife. In my keepsake box, I have a pocket knife of my father and grandfather. Interestingly, along with a Confederate $5 bank note, Lincoln had one in his pocket the night he was shot.”

-CR Smyth

Get a good knife.

You do not need to get the biggest, or the most elaborate knife. A simple knife is the best thing. make sure that it is rugged. Children are difficult on things. Make sure that it has two to three blades that the child can master. Make sure that it fits well in his hand, and that it is beautiful enough so that he will want to carry it around with him.

Explore a Construction Site

China is filled with construction sites. While I don’t advocate kids climbing about on the 60th floor of some new skyscraper, many build sites offer great opportunity for exploration and adventure.  That is fun.  Moreover, dear reader, fun and play is HOW children learn.

While I was growing up, the subdivision I lived in was still under construction, so there were always plenty of partially-built houses to explore. After the construction workers left for the day, my boyhood pals and I would cruise down the street on our bikes to check out their work and poke around the skeletal structures rising from the muddy lots. The ones that were the most fun to explore were the two-story houses. You’d have to climb up the railing-less, unfinished stairs and when you got to the top, you were able to walk to the edge of the second story’s framing and throw stuff down on your buds. What great fun!

One of the first things I learned, I was in second grade at the time, was that if you jumped from the second floor to the ground, it hurt! Ouch!

In America today, kids are not permitted “free range” play. They are constantly under observation and supervision. They are coddled and are not given the opportunity to learn some “hard knocks”. I consider this a very troubling situation, and I do not allow my children to be coddled in this way. It wasn’t always  this way.  Children used to be permitted to play.

Here is a great write up on why public playgrounds became so sterile;

“In 1978, a toddler named Frank Nelson made his way to the top of a 12-foot slide in Hamlin Park in Chicago, with his mother, Debra, a few steps behind him. The structure, installed three years earlier, was known as a “tornado slide” because it twisted on the way down, but the boy never made it that far. He fell through the gap between the handrail and the steps and landed on his head on the asphalt.

A year later, his parents sued the Chicago Park District and the two companies that had manufactured and installed the slide. Frank had fractured his skull in the fall and suffered permanent brain damage. He was paralyzed on his left side and had speech and vision problems. His attorneys noted that he was forced to wear a helmet all the time to protect his fragile skull.

The Nelsons’ was one of a number of lawsuits of that era that fueled a backlash against potentially dangerous playground equipment.

Theodora Briggs Sweeney, a consumer advocate and safety consultant from John Carroll University, near Cleveland, testified at dozens of trials and became a public crusader for playground reform. “The name of the playground game will continue to be Russian roulette, with the child as unsuspecting victim,” Sweeney wrote in a 1979 paper published in Pediatrics. She was concerned about many things—the heights of slides, the space between railings, the danger of loose S-shaped hooks holding parts together—but what she worried about most was asphalt and dirt. In her paper, Sweeney declared that lab simulations showed children could die from a fall of as little as a foot if their head hit asphalt, or three feet if their head hit dirt.

A federal-government report published around that time found that tens of thousands of children were turning up in the emergency room each year because of playground accidents.

As a result, the U.S. Consumer Product Safety Commission in 1981 published the first “Handbook for Public Playground Safety,” a short set of general guidelines—the word guidelines was in bold, to distinguish the contents from requirements—that should govern the equipment. For example, no component of any equipment should form angles or openings that could trap any part of a child’s body, especially the head.

To turn up the pressure, Sweeney and a fellow consultant on playground safety, Joe Frost, began cataloguing the horrors that befell children at playgrounds.

Between them, they had testified in almost 200 cases and could detail gruesome specifics—several kids who had gotten their heads trapped or crushed by merry-go-rounds; one who was hanged by a jump rope attached to a deck railing; one who was killed by a motorcycle that crashed into an unfenced playground; one who fell while playing football on rocky ground. In a paper they wrote together, Sweeney and Frost called for “immediate inspection” of all equipment that had been installed before 1981, and the removal of anything faulty. They also called for playgrounds nationwide to incorporate rubber flooring in crucial areas.

In January 1985, the Chicago Park District settled the suit with the Nelsons. Frank Nelson was guaranteed a minimum of $9.5 million. Maurice Thominet, the chief engineer for the Park District, told the Chicago Tribune that the city would have to “take a cold, hard look at all of our equipment” and likely remove all the tornado slides and some other structures. At the time, a reader wrote to the paper:

“Do accidents happen anymore? … Can a mother take the risk of taking her young child up to the top of a tornado slide, with every good intention, and have an accident? Who is responsible for a child in a park, the park district or the parent? … Swings hit 1-year-old children in the head, I’m sure with dire consequences in some instances. Do we eliminate swings?”

But these proved to be musings from a dying age. Around the time the Nelson settlement became public, park departments all over the country began removing equipment newly considered dangerous, partly because they could not afford to be sued, especially now that a government handbook could be used by litigants as proof of standards that parks were failing to meet.

In anticipation of lawsuits, insurance premiums skyrocketed.

As the Tribune reader had intuited, the cultural understanding of acceptable risk began to shift, such that any known risk became nearly synonymous with hazard.

Over the years, the official consumer-product handbook has gone through several revisions; it is now supplemented by a set of technical guidelines for manufacturers. More and more, the standards are set by engineers and technical experts and lawyers, with little meaningful input from “people who know anything about children’s play,” says William Weisz, a design consultant who has sat on several committees overseeing changes to the guidelines.

The handbook includes specific prescriptions for the exact heights, slopes, and other angles of nearly every piece of equipment. Rubber flooring or wood chips are virtually required; grass and dirt are “not considered protective surfacing because wear and environmental factors can reduce their shock absorbing effectiveness.”

“Reasonable risks are essential for children’s healthy development,” says Joe Frost, an influential safety crusader.

It is no longer easy to find a playground that has an element of surprise, no matter how far you travel. Kids can find the same slides at the same heights and angles as the ones in their own neighborhood, with many of the same accessories.

I live in Washington, D.C., near a section of Rock Creek Park, and during my first year in the neighborhood, a remote corner of the park dead-ended into what our neighbors called the forgotten playground. The slide had wooden steps, and was at such a steep angle that kids had to practice controlling their speed so they wouldn’t land too hard on the dirt. More glorious, a freestanding tree house perched about 12 feet off the ground, where the neighborhood kids would gather and sort themselves into the pack hierarchies I remember from my childhood—little kids on the ground “cooking” while the bigger kids dominated the high shelter.

But in 2003, nearly a year after I moved in, the park service tore down the tree house and replaced all the old equipment with a prefab playground set on rubber flooring. Now the playground can hold only a toddler’s attention, and not for very long. The kids seem to spend most of their time in the sandbox; maybe they like it because the neighbors have turned it into a mini adventure playground, dropping off an odd mixing spoon or colander or broken-down toy car.”

-The Overprotected Kid

Well-wishing “do gooders” “busy-bodies” with a profit angle and political influence ruined the educational benefits of pay for nearly two generations of American children.

There is not too much that I can do about it, except for what I do with my children. And, my dear reader, kindly note that they are permitted to play in a fundamentally non-sterile environment.

Shoot a Slingshot

In a time not too long ago, the archetypal boy had a handmade slingshot dangling from the back of his pocket. Today, most boys have never touched one. Which is a shame because slingshots can provide hours of fun and they’re a great way to introduce firearm safety to your young ones (e.g., only point at what you plan on hitting). Yes, you could just buy your kid a fancy manufactured slingshot on Amazon, but how about exposing them to even more positive danger by letting them make their own? They’ll learn how to handle a saw safely and get to practice some knife wielding skills to boot.

However, depending where you live, possession of a slingshot might be problematic.

Some busybody might call the ATF and insist that your child’s slingshot meets the all the regulations for a projectile weapon, such as barrel length, whether or not it has a “bump stock”, magazine size, and whether or not it appear paramilitary.

If you live in in an area where they shut down lemonade stands run by five year olds, then you might want to reconsider the activities that you permit your child to participate in.  If you live in the USA, I would suggest moving to a place where there are not such idiotic regulations, like Communist China or Thailand. Here you can do anything you want within reason.

Read about this sad state of affairs;

Today, americans can be arrested for the slightest cause. America is no longer free. Policemen and cops will arrest anyone, even children.
Here is an American police officer frisking a child on the television show “Cops” showing how important it is for Americans to obey the law.

This is Part 1 of a Two Part Post

This is part one of a two part post. You can go to the other post HERE. This post is rather long. I have exceeded the “industry norm” (Google SEO advisement) by a significant word count. As is my prerogative. You can visit the rest of this post HERE.

Conclusions, “Take Aways” and FAQ can be found on the second post.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Link
Link
Link
Tomatos
Link
Mad scientist
Gorilla Cage in the basement
Link
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back
Link
A womanly vanity
The Warning Signs
SJW
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Link
Civil War
Travel
PT-141
Bronco Billy
r/K selection theory
How they get away with it
Line in the sand
A second passport
Paper Airplanes
Snopes
Taxiation without representation.
Link
Link
Link
Make America Great Again.
Link
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
Democracy Lessons

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Link
Link
Link
Link
Link
Link
Link
Link

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 23AUG18.
  2. SEO review 23AUG18.
  3. Published 23AUG18.

Learning about China by Looking at Pretty Girls (2)

This is the second of a series of post on Chinese cute girls and Chinese culture.

You know, China is a very, very big nation. Many times I am asked what it is like. Honestly, there is so much to say, that I end up going on some tangent or the other. However, one thing that I noticed when talking to other men, is that what they really want to know is what Chinese girls are like…

Wow! That is in itself a huge subject.

Here I try to compose  a series of micro-videos of Chinese girls taking little vignettes of themselves being cute, dancing, eating, and doing girl things. It is in no way comprehensive, but it should give you a general idea of what Chinese girls are like. It will also help you to get a better idea of what China is like as well.

Here we look at ten micro-videos…

Suburb Section of a city

Pi Feng. ( 牌坊 memorial archway). A memorial gate or memorial archway.

These are structures that can be found in just about any city in China. Even my wife cannot explain to me what they mean. However, fundamentally, they are representative of a gate or an area that commemorates some person or event in the distant past.

The gates typically lead to an area, for which (I as an American) identify as a suburb or sectional variation of a regional community.

Here we have a cute Chinese gal singing and showing off her rocking body. Note the “normal” Chinese people behind her. Note the woman walking her dog. What? She isn’t eating it, like what is promoted in the UK press. Oh my God! Why this must be propaganda! The nerve of me!

Notice that many Chinese drive modern and and contemporary vehicles. You can see some of this in the video. You can also see a “ride-share” bicycle at the side of the gate structure. This is normal and everyday phenomenon in China. Everyone rides “rent a bicycle” in China. They come in different colors. For example, OFO is yellow, and Hello Bike is blue.

By the way, she looks rockin’ in her nice cute top and cute cut-off jean shorts. I’d love to have a nice hot-pot with her and spend some time singing in a KTV. I’d bet that she would be interesting as Hell.

Mall Platform

Here we have a cute girl dancing to a song in front of a platform in a mall. In China, the malls always have events. Sometime the events are product sales. At other times the events are sponsored events that are designed to bring people in. Like children, and photo opportunities.

Here we have a girl dancing in front of a mall presentation in support of a dance or similar organization. In malls they always have one or two open areas that are used to set up stages and other events. We used to have this in the United States when malls existed. You might have a fashion show one week, and then a dance troupe a week later.

Here, the girl is dancing in front of just such a presentation screen. This is “Deesha”, which I gather  is a female dance group or school. They are pretty darn common in China. In fact, I have two on my floor in my office building. They dance to a lot of KPOP, and CPOP.

She is pretty darn cute. She really is. The man in her life is a really, really lucky guy.

Arabic Culture

Typically, since the Chinese Muslims from the Western sections of the nation has been involved in all kinds of “radical” anti-society events, most Chinese limit their exposure to Chinese Muslims. Never the less, the Muslim culture has permeated into Chinese society.

Here we have a girl dancing in some sort of Islamic dance club or some such thing. They have them. Not so much in my city, but they do exist.

Rock that stomach girl. You have it going on.

Apartment Parking Garage

Underneath ever single building in China is a huge network of tunnels and parking garages.  This video is pretty much typical of an underground parking garage. I like the ability for her to dance and be herself in this environment. It is exactly so typical. I have seen this environment a million times before. Any American expert in China who is unaware of this aspect of China is a fucking liar, and knows jack-shit about China.

Unlike the USA, the parking garages in China are well-lit, with nicely painted walls and clear well defined pipe and utility access. One thing that surprised me when I went back to the states for a visit was just how friggin’ dungy and dirty American parking garages were. They were bare cement, often sooty. The lighting was sparse and it was typically a very dim environment.

Not so in China.

This is what just about any parking garage looks like in China. It doesn’t matter if it is up North or in the deep South. All parking garages in China are well lit, nicely taken cared for and safe.

For the purposes of comparison, let’s have a look at a typical American parking garage. As stated previously, it is almost like American parking garages are an afterthought. You will spend thousands of dollars on your car, but you end up parking them in these dingy and dismal spaces.

American parking garage
Typical American parking garage. It is typically poorly lit. The walls are often unpainted cement and never, ever washed. The floor might have parking spaces and an identification number, but that is about the extent of it.

Here’s another girl in yet another micro-video in a different parking garage…

Mall Platform #2

OK. Here we have another girl dancing in front of a mall platform. I can’t help but get a smile when I see this chick do her thing. She reminds me so… so very much of all the girls that I know in China. They are all so intrinsically happy. OMG… Oh, My God. They have nothing to worry about.

They do not have to report to the IRS. They do not have to make sure that they meet the requirements of the FCC or eat that meets FDA requirements. They do not have to worry about ICE, the CIA, or the NSA. They are so very free.

It is this attitude and this freedom from worry about the government that I have found so refreshing when I moved to China. In the United States, was I drove the car I would always look down at my speedometer when I drove past a police car. Here in China, I never need to do so, or are even concerned. The police here are friendly.

Ah, she is wearing the little white shoes so popular in China; xiao bei xie.

I know, I know, I have such a jaded view of the United States. Well, maybe you would too if the government seized everything you owned, closed your bank accounts, and destroyed any hope and change to build up a life from the ashes that remain. So I am just calling it as I see it.

I never see any American girls randomly dancing on the streets or sidewalks. When was the last time that you saw this happen spontaneously? Yet it happens in China. It happens in Brazil. It happens in Cambodia. What’s the disconnect? Maybe it has something to do with the people who are in charge of the American government…

Our rulers
The rulers of the United States. Here are the people who operate the enormous bureaucracy in the United States. You know, the ones that say one things and do the other. John Brennan. James Clapper, and their ilk.

They look like “fun” people, huh?

In her bedroom…

You know, you can kind of tell a lot about a girl by looking at her bedroom. Typically, and I do not know why this is so, unmarried girls tend to have a very messy bedroom. Well, at least in the states they do.

This girl seems to be Americanized. I would guess that she is an ABC (American-born-Chinese). If you look at the ceiling you will see that the house has central heating and cooling. This is unusual in China. Most of Asia has individual air conditioning units in each room. These AC units are called Kong Tiao which is  what you call them in China.

She has a nice oval face with a small cute pointy chin. This is considered very attractive in China. The only thing going against her is that her skin color is a little dark. She almost looks Spanish.

It looks like she has carpeting or wood panels on the floor. In China, most houses do not have carpet. It is a cultural thing. The Chinese like a solid tiled marble or granite surface, often white. They keep it immaculate. In the United States, most houses (unless the house is over fifty years old) is a simple particle-board floor covered by carpet.

You have to admit she is a very attractive young lady. I also like the way that she has manipulated the video. It is a funky joy to watch her dance.

Living Room

This girl also looks like an ABC. However, the home is genuine Chinese. The floor is tiled marble, and the curtains and decorations are very typical for China. Judging from the trees outside here window she is either on the third or fourth floor.

In China, the apartment buildings are set up with a basic floor plan. Typically the third floor has huge floor layouts and wide open porches. The largest houses in the building would be on the third floor. The reason for this is simple. The building is typically divided into towers. Often two, three or more. Each tower has it’s own elevator. So, that way, there really isn’t a serious need to wait a long time for the elevator to arrive. Especially if the building has sixty or so floors in it.

So, a house on the third floor would not be in one of the towers. Instead, it would be on one of the wide platforms at the base of the tower. It would be large, and spacious. The porch would be enormous.

What a fun girl.

Typical day in Guangzhou

I like this little micro-video. The view, the day, and the overall feeling has been duplicated a thousand times here in Southern China. It doesn’t matter if you are in Dongguang, Guangzhou, Zhongshan, or Forshan this is what it looks like. You have a wide sky with clouds. You have mountains in the distance and skyscrapers dotted here, there and everywhere.

This gal is dancing on her balcony in her house I would assume. This is all very typical. Many of the products that are exported from China to the United States are made in this area.

I suppose that if you read American or British news reports you will hear stories of nets being placed to keep workers from jumping off the buildings, rampant abuse of child labor, and  working people until they collapse from exhaustion. Well, that’s all nonsense. It’s just propaganda that is fed to Americans (and Brits) to keep us living in our isolation bubble. Here’s some links to the propaganda that I am referring to…

This video is what it is really like. Not that every worker is attractive and dancing on a balcony, but that the reality that is spoon fed to us in the West is far, far removed from what is actually going on.

She is on a typical porch. It has a glass balustrade with stainless steel or powder-coated  profiles. This is very common throughout China.

You go girl.  I could watch you dance all day long.

Look at the view. You see all those trees? If you read Western media, you might be under the impression that China doesn’t have any trees, just smoke, smog, grit and dust. Check out all that nice water, and look at the surrounding buildings. Why, I thought that all the buildings in China were crumbling and that the infrastructure was all falling down.

How can this be?

Walking on the street

Ah, my favorite time of the day is dusk. Here, in the Southern part of China, the temperatures are very hot, and the humidity is very high. When it gets around dusk, people go outside to dance, eat, and chill out with their friends. China is filled with activity. Here is a typical scene.

It looks like it was filmed in a small town or community. The buildings are very, very low by Chinese standards. But, it is China. It has the handicapped-disabled-assist-pavement tiles. It has the standard recyclable twin trash bins. The cars all have Chinese license plates.

The family-owned and run businesses are all open. And I am sure that you could smell the wonderful aromas wafting down the street. While not shown in the video, I am sure that tables are being set up and those cheap plastic chairs are being placed around them for people to sit down, have a frosty beer and place an order.

These girls are very typical.

The girls are wearing tight jeans and low open shoes. They are wearing midriff baring tops, and have nice long and straight hair that all Chinese women possess. They are carrying smart phones, which are probably either iPhones or Huawei. If I were to take a guess, they might on the way to have some seafood (Hai Xian), or maybe a hot pot. The evening BBQ (Xiao Kou) won’t get started until the sun goes down. Maybe around 9PM.

Notice that the street is tree-lined. In China it is considered very important to have green areas everywhere. At times, to me as an American, this seems ridiculous. Ever two months or so, the Park team of workers is tearing up the grass and re-planting the trees. It’s almost like they treat them like furniture instead of trees. never the less, it is great to have all the flowers and trees along side the road.

Parking Lot

Here is a video of a cute chick walking in a parking lot. There is a lot that can be learned here. For starters, notice the trees all have these poles to hold them up. the reason is simple. In the Southern sections of China we have typhoons. When they hit they can wipe out a forest in a few hours. The poles help keep the trees in place when the torrential wind and rain hits.

Notice the ancient wall. This wall looks like it is quite old. Maybe at least fifty years old. Behind it is a tree filled enclosure. Walls in China tell you a lot about the history of the region. If the wall is part of a new building then it would consist of a nice well made wall with wrought and welded gates. The fencing would be welded, cleaned and PPT coated. Older buildings would not have this, and the walls would be distressed concrete, often with some well-rusted barbed wire or even glass shards.

Also take notice of the parking spots. They are paved differently than the road surface. In fact, many parking places outside are made with these funny lattice-shaped brick tiles. This is so that grass can grow up inside and offer a nice semi-lawn on which the cars can park upon.

You can also notice that the lawn is landscaped and well maintained. It is very cheap in China for manual labor. The costs to maintain lawns and gardens are very cheap and inexpensive. Thus, most areas in China are well-maintained, clean and well-tended.

Bonus

There are many reasons to love China. I think that China is awesome. I love the food. I love that they are trying to do something about the environment. I like that they have declared war on corruption in office, and have even set up task teams of “Corruption Police” that ferrets out bad guys and executes them on the spot. And, of course, I love the girls.

Here’s a hypnotic bonus video. Enjoy.

Conclusion

Everyone likes to look at pretty girls. Even other pretty girls. I, as a man, think that pretty girls liven up our lives and make it more interesting and fun. I would not mind taking any one of these girls out and having a nice meal or playing around in a park or some other place. Having good companionship, great conversation, and enjoying a wonderful meal would be awesome with any of these women.

Today it is difficult to see what other places are like, if you are an American. Our news is heavily propagandized.

  • Those on the liberal side have the impression that China eats dogs, is full of poor waifs that sell their bodies for sex, and is full of dirty smog filled air.
  • Those on the conservative side, have the impression that China is a great war-mongered beast who wants to take over the Pacific, that they are ruthless businessmen, and want to keep their citizens imprisoned in a communist gulag.

Neither impression is correct. They are both terrible distortions.

China is a huge nation, bigger geographically than the United States, and with an enormous population far larger than America’s. In fact, there are more people in China that speak English than there are Americans in the entire world.

They live life. They work. They study hard. They try to do their best. They have fun. They raise families. They are, in many ways, like “old fashioned” Americans around 1950. While America has moved toward a progressive socialist paradise ruled by an oligarchy, China has moved in a different direction. It has moved toward free-market policies originating out of a central government. China is not at all what we think it is.

This post is my little way to help introduce China to the readership.

Take Aways

  • Chinese women are attractive.
  • Many of the buildings in China are new, and have a modern supporting infrastructure.
  • Chinese people like to dance, sing, and have fun with their friends.
  • Chinese women DO NOT look like pre-adolescent children. (Here’s a nod to the idiots in Arkansas who were absolutely convinced that Chinese women look like little pre-adolescent girls.)

FAQ

Q: Why do you only have beautiful Chinese girls? What about being more diverse and inclusive? Why do you objectify girls?
A: Well, for one, this article is about attractive Chinese girls. It wouldn’t do to have non-Chinese girls in it. Nor would it be appropriate to have men, homosexuals, fat and ugly girls, children or LGBT creatures in the article.

If you want to purge beauty out of your life, no problem. Go ahead and do it. Just like American beauty pageants are no longer about beauty. Just like American airlines have purged themselves of age and beauty standards. Just like race-cars have purged themselves of attractive women. But you won’t see that in China.

Now here, I like to surround myself with beauty. I like to surround myself with things that I like and I love. That includes dogs, cats, pizza, bacon, tomatoes, friends and of course my loved ones.

Q: Why do you use micro-videos in this post?
A: I think it does a nice job of giving a snapshot of what China is like. Many of the videos have Chinese songs. They all feature beautiful Chinese girls in China doing things that beautiful Chinese girls like to do. Of course, if you would rather go to you-tube, go ahead. You won’t find very many Chinese girls there. You-tube is banned in China because it, like Facebook, Google, and Tumblr, all collect user information and pipe that information directly to the NSA for American government’s use.

Q: Why do you think these girls are beautiful?
A: Beauty is in the eyes of the beholder. What constitutes for beauty in China is different from what is beautiful elsewhere. I chose these videos because I happen to think the girls are cute, beautiful or attractive. Of course, if you disagree with me, you can leave.

Now, you shouldn’t be too upset there are some rocking girls in the United States. You should see them in sweatpants. My Lord!

Links about China

Pretty Chinese Girls

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 19AUG18.
  2. Released 20AUG18

Learning about China by Looking at Pretty Girls (1)

China is a very, very big nation. Many times I am asked what it is like. Honestly, there is so much to say, that I end up going on some tangent or the other. However, one thing that I noticed when talking to other men, is that what they really want to know is what Chinese girls are like…

Wow! That is in itself a huge subject.

Here I try to compose  a series of micro-videos of Chinese girls taking little vignettes of themselves being cute, dancing, eating, and doing girl things. It is in no way comprehensive, but it should give you a general idea of what Chinese girls are like. It will also help you to get a better idea of what China is like as well.

Here we look at ten micro-videos…

The Elevator

Here is a micro-video of a Chinese girl who is waiting at an elevator. It looks like this elevator is in some kind of business building. Many business buildings in China have advertisements in and around the elevators. In residential buildings, the elevators are much nicer and tend to have advertisements in picture frames.

You may notice that she is carrying two plastic containers. They are clean, which leads me to believe that her lunch was in those containers, and that we took the elevator to the next floor’s restroom to clean the dishes, and now she is returning to her office.

Notice that she is dressed in office attire suitable for a small business. This might be anything from retail to housing, to some kind of internet operation. She is wearing low heeled shoes that is suggestive of a middle class office complex.

The background music is a well known song that is heard throughout China.

Girl in Subway

Here is a short video of a girl waiting for the subway. Most of China has really modern and state of the art subways. While the subways in Beijing tend to be small and crowded, the rest of the nation if filled with the latest in high-tech, Japanese assisted designs with a very modern infrastructure. Notice that all signs have English underneath. In Shenzhen, the subway announcements are in three languages; Chinese, English and Cantonese.

She is wearing cute, white tennis-shoes which are called xiao bei xie (little white shoes). She has a tattoo of a line around her thigh which is important to her for some reason. She is standing on disabled-people tile. This is a special tiled floor that people who cannot see can use to get around with. All sidewalks and walking areas have this special tile. China is disabled-friendly.

She is a typical Chinese girl in that she has a purse, a small tattoo or two, she wears a short pair of shorts and a nice clean white (open back) top. You will notice on the floor are some yellow footprints. This is where people stand when they want to board the train.

Residential Area

Here is a cutie who is dancing in a residential area. The Chinese have areas zoned for business and areas zoned for residences. This is just like the United States. People who travel to China to look at a factory reflect how ugly the area looks, and then they fly home. Factory areas tend to be dirty and nasty, while residential areas are quiet, tree lined and softer. China is an area where it is considered important to have areas where people can live and experience life.

Chinese girls like to wear tight jeans, as has been the fashion for the last few years. They also like to wear light and lose fitting upper clothing as parts of China can get to be quite hot and sweaty.

Hallway

Many buildings in China are quite new. In fact, any building older than ten years old is considered to be “old”. Many buildings, in order to keep the illusion that it is new, keep the walls plain and unadorned with fresh white paint.

This is a cute girl. She is dressed typically.

In China, the color of the skin is considered to be a significant contributor to whether or not a person is attractive. In general, the lighter the skin you have, the more attractive you are. This girl has beautiful eyes, and nice shaped face, and sweet tasty lips.

Riding on a Boat

Riding on a boat is a typical pastime for many young ladies. Many of the larger cities in China are on the coast. There are significant opportunities to go out riding on the boat, having fun with friends and enjoying the views. I like this video because it reminds me that you have control of your life, and the life that you live is up to you. Live it well.

Since she is on a boat, she is wearing sunglasses, a light and loose top and comfortable clothes. This is pretty much a common scene around Hong Kong, Macao and other coastal cities.

KTV Restroom

KTV’s are very big and popular in China. There are many types. They range from business KTV’s to family KTV’s and everything in between. Here, we have a girl that is photographing herself in the shared washroom outside of the gender-separated bathroom stalls in a KTV. You can hear the various music from the various KTV rooms wafting through the hallway.

I find this girl very attractive as she has curves in all the right places, a nice face and a soft disposition. I would consider her as “cute as a button”.

By looking at the surroundings you can see some typical characteristics about many family-style KTV’s that involve sparkling tiled wall surfaces, and a kind of subdued lighting. The older people behind her gives the location away as a family KTV establishment.

Tennis Court

Here is a Chinese girl dancing in a tennis court. You will notice that she is wearing her hair up in a ponytail as the temperature tends to be warm. She is sporting a nice large tattoo which suggests that she is living in one of the larger cities. She is also wearing xiao bei xie (little white shoes).

She can dance, and she has a nice body. I like to believe that dancing is a fine way to keep fit and to keep your weight down.

Getting out of the Car

This is more my speed. Here we have a successful (or apparently so) man getting out of his fine, fine ride along with a stunning Chinese woman. Man! Now that is a Wo-man! She is certainly a stunner, let me tell you.

The venue and the situation suggests a high-end bar, club or KTV.

This is common in China, as there are many successful people in China. They are nerds who have worked hard and are rewarded by the culture and society for their efforts. This is what happens when you work hard and prosper.

Residential Street

Here we have a Chinese girl in a residential / mixed industry street. These are very common in China. As many people have and own businesses that they live inside. The front of the building is a shop and they sleep and bathe in the room(s) in the back. You can often see their children studying in the shop with the products, or making food alongside the store merchandise.

The reader should not that this is the exactly the same girl (in the same outfit) that was in the KTV micro-video above. I like her rocking body. She has a face, that by Chinese standards is quite beautiful and on the cute side. As such she is being cute for the camera in a very girly way. She has an oval face as opposed to a round face, dark Chinese black hair and beautiful eyes.

Notice that behind her is a street with various shops and residences. This looks like, to me, the southern section of China. You can see the scooters and motorized trikes that are everywhere here.

Department Store

It appears to me that this is a smaller department store in one of the smaller cities or outer towns. It is very typical. We have a cute Chinese girl dancing to one of the top popular songs of this year in an open area in the store. She is dressed in fresh cute clothes that is suggestive of a young girl still in high school.

These department stores can have some signification bargains. They typically service smaller towns and people who only make just a little bit of money. Like all stores, they can be found everywhere from big cities to small rural areas.

Conclusion

Everyone likes to look at pretty girls. Even other pretty girls. I, as a man, think that pretty girls liven up our lives and make it more interesting and fun. I would not mind taking any one of these girls out and having a nice meal or playing around in a park or some other place. Having good companionship, great conversation, and enjoying a wonderful meal would be awesome with any of these women.

Today it is difficult to see what other places are like, if you are an American. Our news is heavily propagandized.

  • Those on the liberal side have the impression that China eats dogs, is full of poor waifs that sell their bodies for sex, and is full of dirty smog filled air.
  • Those on the conservative side, have the impression that China is a great war-mongered beast who wants to take over the Pacific, that they are ruthless businessmen, and want to keep their citizens imprisoned in a communist gulag.

Neither impression is correct. They are both terrible distortions.

China is a huge nation, bigger geographically than the United States, and with an enormous population far larger than America’s. In fact, there are more people in China that speak English than there are Americans in the entire world.

They live life. They work. They study hard. They try to do their best. They have fun. They raise families. They are, in many ways, like “old fashioned” Americans around 1950. While America has moved toward a progressive socialist paradise ruled by an oligarchy, China has moved in a different direction. It has moved toward free-market policies originating out of a central government. China is not at all what we think it is.

This post is my little way to help introduce China to the readership.

Take Aways

  • Chinese women are attractive.
  • Many of the buildings in China are new, and have a modern supporting infrastructure.
  • Chinese people like to dance, sing, and have fun with their friends.
  • Chinese women DO NOT look like pre-adolescent children. (Here’s a nod to the idiots in Arkansas who were absolutely convinced that Chinese women look like little pre-adolescent girls.)

FAQ

Q: Why do you only have beautiful Chinese girls? What about being more diverse and inclusive? Why do you objectify girls?
A: Well, for one, this article is about attractive Chinese girls. It wouldn’t do to have non-Chinese girls in it. Nor would it be appropriate to have men, homosexuals, fat and ugly girls, children or LGBT creatures in the article.

If you want to purge beauty out of your life, no problem. Go ahead and do it. Just like American beauty pageants are no longer about beauty. Just like American airlines have purged themselves of age and beauty standards. Just like race-cars have purged themselves of attractive women. But you won’t see that in China.

Now here, I like to surround myself with beauty. I like to surround myself with things that I like and I love. That includes dogs, cats, pizza, bacon, tomatoes, friends and of course my loved ones.

Q: Why do you use micro-videos in this post?
A: I think it does a nice job of giving a snapshot of what China is like. Many of the videos have Chinese songs. They all feature beautiful Chinese girls in China doing things that beautiful Chinese girls like to do. Of course, if you would rather go to you-tube, go ahead. You won’t find very many Chinese girls there. You-tube is banned in China because it, like Facebook, Google, and Tumblr, all collect user information and pipe that information directly to the NSA for American government’s use.

Q: Why do you think these girls are beautiful?
A: Beauty is in the eyes of the beholder. What constitutes for beauty in China is different from what is beautiful elsewhere. I chose these videos because I happen to think the girls are cute, beautiful or attractive. Of course, if you disagree with me, you can leave. This is what constitutes beauty in the United States today…

American women
After eight long, long years under Obama, the American ideal for female attractiveness looks radically different from what it was a few decades ago. Women have become fatter, plumper, heavier and not as healthy. I attribute this trend to be due to numerous factors which include GMO’s in the food and a culture that has become fat, negro-centric.

Links about China

Pretty Chinese Girls

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 18AUG18.
  2. SEO review 19AUG18.
  3. Conclusions and Publish 19AUG18.

 

 

Links about China

Popular Music of China
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Life Lessons from Working within the Corporate Dream (Part 1)

You know, many of us work for a living. As such, if you have a university degree, the chances are that you migrated to a corporate job in a corporate environment. You probably received a decent salary, a nice (if bland and sterile) working environment, and considered yourself well on the way towards corporate greatness in a solid career.

It’s a nice fantasy. It’s all a big lie.

Most Americans waste their lives working in this environment. They get up and go to work. They deal with traffic, an uncaring boss, and suffer through the various rules that HR implements. They endure mindless and mind-numbing meetings, answer a pile of round-and-round email trains, and fill out form after form.

That’s not a life worth living.

A life worth living is one with purpose, direction, participation and fulfillment. Within the now-popular “corporate model” we have the worst elements of 1920’s-style progressive social-engineering intermixed with 1980’s-austerity. It is a most horrible mixture. Couple that with modern surveillance technology, unified HR standards, and the sterile ideal, you have a nightmare on your hands.

Here are some thoughts on corporate life, particularly American corporate life, now that I moved on elsewhere. These thoughts are just general ramblings, and the reader should not get too offended by them. We are all different.

Those of you who own your own companies and who set them up can ignore this post. This is not written for you. This is written precisely for the people who have to work in the “corporate” environment. One that often springs up out of the model you created.

This is a Two-Part Post

This is the first of a two part post. To see the second part, please kindly go HERE.

Anyways, for starters…

The more hoops you jump through to get the job, the worse you will be treated.

To start, I would like to make this astute observation. The companies that treated me the worst during the interview process, also treated me terribly at work as an employee.

Now, I am not talking about the inconvenience of waiting an hour in the lobby, or having to park at the end of a very large parking lot. No. I am talking about a structured interview process that treats you as a commodity instead of a person.

Corporate board
The hiring process in a corporate environment is oven a laborious affair. The candidate must perform and go through many test, hoops and interviews.

These were the companies that gave me ten face-to-face interviews; a marathon interview day, made me take written exams, and required a peer assessment. They all uniformly treated me poorly when they employed me. Do you really need to interview with ten people to be assessed properly? I mean it. Interviewing by committee can be terribly limiting.

The more you need to do to get hired, the worse you will be treated when hired.

I well remember one interview. I arrived at eight in the morning. After HR gave me some documents to fill out and sign, I was whisked off to go through my rounds of interviews. I had fifteen minutes to interview a person, followed by another one, and then another one, and then another one. That day I had been interviewed by ten people before lunch.

Then, I was given a break where I could eat a sandwich and a coke that they gave me, followed by a continuation of another six interviews, a timed test, and a presentation that I had to make in front of some committee. Then, finally, I was scheduled to meet the person who I was supposed to work for, only to find that he was out, and I would have to come back at a later date.

You are often treated as your perceived value is worth.

You should never equate that relief in finally passing battery after battery of tests to be the same as an appreciation of your value. It isn’t. Instead it is an indicator that the company is far too bureaucratic and too lacking in common decently to treat anyone like a human. We are not machines, pets, or clothing articles at Target. We are unique.

Smaller companies, or even large ones, with a single interview made by the lone person who makes the hiring decision will be the one that will treat you decently.

[1] If you must work for a company, make sure that you report to only one person. Be wary of hiring by committee. Be wary of any company that needs to test, evaluate, or investigate you.

Value does not equate with money.

We are often under the impression that if a company pays us a decent chunk of money, that they value us. This is false. Your value comes from what you can do towards the team that you work with. It does not come from a HR policy or budget analysis made by accounting.

Once we learn to separate value from money our life becomes simpler.

dollar value
When we trade our time and skills for money, we place an “apparent” value on it. Our goal is to keep the value as high as possible over time.

I once had an employee who was stealing from the company. Over a two year period of time he stole a sizable chunk of money right from under our noses. He thought that stealing the money from the company made him richer. In the short term, perhaps it did…

But, do not look at it that way.

Instead of stealing money, he stole someone else’s value. His value did not increase by taking away the value of someone else. It only appeared to be the case.

Once we let him go, his value on the job market was severely handicapped. By trying to reduce the value of others, he ended up reducing his own value and worth.

For us to be happy, we need to separate out “money” and replace it with “value”. Is having a two hour commute with a $150,000/year salary as good as a five minute commute and a $75,000/year salary? Is being expected to be on call 24-7 as good as being able to take a half of day off to see your son play in the local game?

[2] Never, ever, make decisions only on money. The most valuable thing you possess is time. Do not squander it for pieces of paper. Do not confuse your value with a paycheck. They are not the same.

You are only valuable for what you can provide.

Speaking of value…

If you cannot provide a value for your role, then you are useless to the company. Why pay a boy to mow your lawn if you don’t have one? Why buy that great deal on dog food when you don’t have a dog? If you cannot help the company, then there is no need for you to be employed.

In the “old days” employees without an active project would be put on “overhead” to keep them employed until a new contract came through. In those days, it was considered important to keep “knowledge” workers around for the next big project. Not so today. If the work is over, then you are out the door.

This big march to remove employees once their direct usefulness is over was led by Kodak back in the 1980’s. They got rid of most of their knowledge workers and started to rely on cycles of employees that they would hire and fire to meet the market swings…

…perhaps that is why many people today are unaware of how big that company used to be.

Broken Door
To better appreciate the corporate work environment, we need to scale it down to a manageable size. Imagine that you would hire someone to fix your broken front door. Would you keep him employed once he finishes repairing the door? No, of course not.

Think of a guy that you want to fix your broken front door. You look in the classified and you find a guy to do it. You tell him what needs to be done. You watch him fix the door and then you pay him and he leaves. There is no need for him to stick around. Your door is fixed. Nothing else needs to be repaired.

Corporate life is like that too.

The truth is that today, in many a corporate environment, you would be sacked faster than a soggy Doritos chip if you couldn’t contribute to the company bottom line.

Doritos
Nobody wants to eat a soggy Doritos chip. Though, I would if it was part of a taco salad. I would also eat them if they were in a nice bowl of chili. In fact, I think that I would eat them if I was drinking a frosty cold beer. Now that I think of it, I am not corporate, so of course I would eat Doritos chips. However, soggy Doritos would not go over well in the corporate environment.

You are only as valuable as long as you can produce something.  In fact, the rarer of what you provide is, the more valuable you are. This is certainly more applicable for many other fields in life, personal relations, friendships and yes, girls as well.

Your value is tied to what you can produce.

[3] Remember, that our value is what we can produce or the benefit that we can provide to others. Never forget that. If we cause more problems than the benefits we give, then our relationship(s) will end. This is true with both companies and with relationships.

Companies often operate above the law

Many do, but not all.

Do you think that Facebook is playing by the laws? Do you think that Exxon, Kerr-McGee, and Google are? What about the biggest company in your city? How is it that corporations always seem to get away with things?

Big Business.
American corporations have become enormous entities often dwarfing small nations. They can align themselves with other corporations and work together for their combined mutual profit. Often times this is done in deference to the “little guy”.

This is true. Here are three examples;

  1. Don’t follow the law. When I worked at (name deleted) in Boston, they paid me once a month. Yet the law stated otherwise. The law stated that they must pay bi-weekly. When I confronted the HR about this, they were not happy. They said that they would take it under consideration. They made the necessary arrangements and I was fired two weeks later. Naturally, I went to the State government in Boston to resolve the matter. They told me that I was correct, and the company did wrong by firing me. Yet no action was taken either way.
  2. NDA’s are used to keep let-go employees complaisant. One of the things that White Collar professionals sign are NDA agreements. A NDA is a document that restricts the disclosure of confidential information. That is why it is called a Non-Disclosure Agreement. These agreements make it difficult to work at another company or find further work if the company decides to black list you. When it is time to be laid-off or let go, the company will use the NDA and tell you that if you sign it, and not contest their actions, that they will allow the State Government to give you unemployment benefits. This is a very common thing and every company from California to Massachusetts does this.
  3. Patent transfer is a joke. Under the law, if you work on a patent, you get title to the patent, even if you do so under the roof of a company. To get around this, the companies make you sign a “transfer of patent rights” form. The transfer is not complete unless they give you a one dollar amount to show that there was a monetary transfer that took place. Truth be told, I have yet to ever see any individual financial compensation at all from any of my patents.

via GIPHY

Now, the reader should not get confused. I am not particularly bad-mouthing any one company. However, we should all be made aware that organizations that have many employees, operate in the millions of dollars and who pay into the State Revenue banks, have capabilities that are larger than what any one of us has individually. We should be aware of this. We should accept this. As it is a fact of life.

[4] We all have a place on the food chain. It’s not fair. It operates within it’s own set of rules and laws, and is separate from us. We need perspective, and we need to keep that in mind.

Meetings typically are a waste of time

One truth is that meetings often are poorly planned and often poorly executed. Meetings between key players in a given project is very important and necessary. For business is based on people relationships and interactions. However, the weekly meetings, and odd-ball meetings that seem to include everyone are often a waste of time that adds to the inefficiency of a given organization.

Meetings should be kept at a minimum, and replaced with face-on-face individual communication.

Boring Meeting
It’s a typical scene and well known in corporate environments. Businessman speaking before inattentive colleagues at meeting.

In short, learn this. Meetings are a waste of time. Always. Nothing good has ever come out of them, really. Most people aren’t listening, and the ones talking are far away from reality.

[5] Get into the habit of talking to people one on one. Stop having meetings. Talk to people personally, face to face. When you do, give them every attention. Business relationships are based on people. They are not based on spreadsheets and reports.

via GIPHY

Everyone everywhere basically wants the same thing

While we all come from different backgrounds and different interests, we are human with basic human needs. We want to be productive. We want to perform a good day’s labor. We want to be appreciated fro our contribution. We want to provide for our families. We want to have some down time to play.

The problem comes in when we go corporate. There, we fit into a role. We become a cog in a machine with other cogs. We all become the same color, the same size, and the same image. When we go corporate, we start to separate our work-life from our personal-life.

That is a great danger.

life-work
A nice PPT slide from IBM that captures the significance of this statement. By now, everyone pretty much realizes the importance of a life-work balance. The big problem is that is is difficult to integrate into a traditional corporate business environment.

For a truly happy man is one who can merge his industrious pursuits with that of his interests and family. We become whole. Work and labor is what we do. No one questions it. We work, and then we provide for our family. It is the traditional, time honored, family model that has held up for at least 10,000 years.

When we separate work and labor from family and happiness, we dilute what we can give.  We give eight hours to the company. We give four hours to ourselves in prep for the company. The balance is split up between family and survival needs. This model is different from the traditional model.

We can’t give 100% of what we have. We end up in this grey area, this grey zone of not good enough.

The key to success in the corporate world is to be who you are totally. Do this whether you are in a corporate work environment, or if you are running your own company. Do not fall into the trap of segmenting yourself into various roles. In doing so , you dilute who you are.

[6] Do not dilute yourself. When we start separating ourselves into small specialized pieces, we dilute the whole. Don’t do that. Be true to who we are. Give and devote ourselves 100% of who we are.

Deferring your happiness to the future is a terrible idea

I have seen this time and again. In fact, even I have done this myself. I would accept a job “for now” to achieve some monetary gain or advantage, so that later I could… do something else.

Group meeting.
When there is an important announcement at your company, does it look something a little like this? Well, if it does, then like it or not, you are in a corporate bubble. If you are not careful, you will have difficulty leaving it. Be careful.

Indeed, this is a terrible truth. Too many people assume that when they have that one thing they can work towards for years then “everything will be alright”. Indeed, there will come a time when you will take up a job just for the money and nothing else.

This is delusional. It is nonsense. It is bullshit.

I think this comes from our educational system. You go to Elementary School to strive to go to High School. You go through High School to go to College or University. You go through University to “Get a Good Job”.

There isn’t an alternative.

After some 14 to 16 years or more of this mind-set it becomes ingrained within your very being. By the time you hit your early productive years, you are firmly fixated in a goal-oriented life. This runs in direct opposition to an integrated life.

Unless you have no money, and no savings and you need money RIGHT THEN and NOW, don’t do it. We are not one-dimensional cardboard cutouts. Our life is colorful and dynamic with many, many aspects to it. We cannot take a part of it and set it aside while we nurture other aspects of  our life. We just cannot.

When you finally get it, they’ll be something else missing in your life.

We need to think of ourselves as a unified whole. We need to think of balance and happiness. Instead of pulling a piece here and then working on it, and then pulling out a piece there and then working on it.

Really, that is crazy. I fundamentally believe that long-term pure happiness comes being content with what we have, live in the now, all while enjoying the progress and changes we are making.

Motivational saying on life.
There is more to life that going to the “next step”. You weren’t born to just pay bills and die. You are a purpose. You are a role. You were granted with who you are. Do not let and allow others to bleach it out from you. Be who you have been meant to be.

Instead of working for a decade to eventually get a vacation in Florida, how about packing up and moving there? Instead of saving and saving for a nice Lamborghini, how about getting a job in a Lamborghini store as a salesperson? Instead of wanting to go out and date that buxom blonde in the office, how about going up and asking her out for coffee?

[7] We need to change the mindset ingrained in us by the educational system. We all need to stop deferring our happiness.

You need game 

If you really want to make it in the business world, whether it is a corporate environment, or your own “shoe-string” business, you will need “game”. You will need to “up your game”. You will need to push and strive to be better than your peers or anyone else.

Success does not favor the average or the bland, and mundane. Success favors the unique and exceptional.

Barry White
Consider Barry White. Many people today haven’t heard of this man. Yet he was a true man, full of confidence, and “game”. Perhaps we all need to tear a page out of the Berry White playbook and emulate him.

We know this. We know that we need to fight to get a job at a company when there are a thousand applicants that we must fight against. We know that we need to be the best. However, what usually happens is that we stop once we have achieved our goal.

Yeah. That’s what happens. Usually, we just stop when we reach our goal. This is because a goal-oriented mindset is one with objectives.

Don’t let that be you.

We must keep on striving to be the best at whatever we do. We need to be the best and stay at it, even when our goals have been obtained. Instead of a goal, we should strive continuously. If we achieve various achievements, good for us. But, that won’t stop us. Instead we will keep on going on.

By having and maintaining “game” we will obtain confidence in the process. Confidence is a powerful tool that can open many doors. Unsurprisingly, people in positions of power often have a great deal of confidence; this means that they can easily recognize when confidence is genuine and when it is posed.

This same advice applies to girls; you are far better off being genuinely confident, thus projecting a natural vibe of superiority that no faking can attain.

[8] Do your best. Smile. Be confident. Be you, and be the best YOU that you can achieve.

Efficiency is a lie

The more efficient you are at your work, the more you will be burdened with it. You work hard, your supervisor will give you more to do. When someone sees you taking a break, they will give you more work to fill in the “gap” in workload.

To many people, a lack of busy-work is a sign of inefficiency. To them, the cure for inefficiency is more work, more responsibilities, and more tasks.

Additionally, there will be others that will try their best to convince you that you are not doing your best, that you are not giving your best to the company, and that you could do better. Is it really the truth, or is it something that they say to get you to alter and change your behavior?

Water test in Japan
Dr. Masaru conducted a very famous test on the power of thought. He placed written words on jars of water. He then placed them all together in an isolated room. The only difference from any of the jars is the words written on the jars. He then, after a period of time, tested the water , froze it, and took photographs of the results. The jars with the negative and hurtful words created the worst shaped crystals, while those with the nicest words had the best shaped crystals. The only difference between the crystals are the thoughts associated with the words on the jars. Since humans are mostly composed of water, what do you think a critical work environment would do to the human body? What about the words “You are not good enough”?

Forget what others say. Remember that they are not with you 24-7, nor do they know your past and your day to day interactions. No one knows your life better than you do.

So, let’s break this down. Only one to two situations come into play;

  1. They are right, and you are not giving your best.
  2. They are wrong, and you are doing your best.

Depending on the situation, the following is what will happen in a corporate environment.

If you are not giving your best, you risk losing your job. Therefore, you need to up your game and improve. What this means is that you must improve, AND also look like you are improving. That is where corporate politics come into play. It can get uncomfortable.
If you are doing your best, then the perception is out of alignment with reality. You could risk losing your job. Even if you exceed your current known abilities, then you will still need to fight the political battles that will rage around you. In any event things will get uncomfortable.

Thus, this is a catch-22 and a no-win situation. Being in these situations are the bane of the corporate environment.

[9] When the world around you complains, forge on anyways. Listen to what others say under advisement. Remember that your “best” will change from day to day. No one aside from yourself will know what your peak efficiency is. So forge on…

Nobody Cares

I hate to break this to you, the reader. But you know what? Nobody cares about your individual progress in the corporate world. All that matters is what you contribute to the company.

Most of the smiles you get are fake. Most of the platitudes are empty ones.

via GIPHY

We must realize that unless you are close to a person… close enough to get shit-faced drunk with them… when your guards are down… and there both of you have to trust each other…

Otherwise, it’s all a lie.

Which is why in China, business meetings are conducted in such a way that “face” is maintained and large quantities of heavy alcohol is consumed in enormous quantities. Business, real productive business, is conducted when the interpersonal barriers are down and weak. My father once told me a saying that his grandfather taught him’ “Never trust a teetotaler.”.

via GIPHY

Shared strife… shared experiences… shared understandings… can break down walls and build long lasting friendships. When we try to go corporate, and when we try to be good, we lose the importance of rough-house bonding.

If you don’t have shared experiences, the chances are that you don’t have anything.

[10] We can only trust those whom we have shared events and deeply bonded with. Other than that, it’s all just a facade, a “white wash”, and nonsense.

Be practical.

People seem to have a strange concept of how luck works. I think maybe this is due to the local lottery and the news media announcing yet another multi-million dollar winner. We watch television shows where people are living in mansions, and driving around in expensive cars. Do you remember the television show “Miami Vice”? How can two cops get to drive around in all those expensive cars, live in all those mansions, and meet all those hot chicks?

Hollywood is the great lie.

via GIPHY

People seem to think that somehow that their luck will come because “they deserve it”. They want to believe that one day things will eventually fall into place for them. In other words, you are “due” to win the lottery or you will get swept away by prince charming any day now. “You deserve it” (as if others don’t).

This is terrible misunderstanding of how the world actually works.

Do not wait around for luck to materialize. You must put things in place for things to happen. You MUST put things in PLACE for your dreams to manifest.

Don't misunderstand, there are cycles in this world that we live in and a reality that has aspects of auspiciousness, and unfavorable climates. Never the less, nothing is going to manifest unless we plan and do things about it.

Do you want the pretty girls to smile back when you open the door for them? Lose ten pounds, and practice making the happiest and biggest smile that you can think of. Dress well. Have a nice hair style. Be clean…

…opportunities will manifest.

Do you want to get a raise at work? Then play the political game, work harder than everyone else, and be friends with your boss. Start taking credit where credit is do, and come in earliest and leave late. Play the game…

…opportunities will manifest.

sandwich and palm trees.
Instead of spending time dreaming a a fine sandwich and beer, maybe we should get up off the chair and go make it happen. It’s not that hard to do. However our level of comfort is what often prevents us from doing things.

Do you want to have a turkey sandwich and a ice cold beer while watching the sun set over an ocean, then you need to set yourself up so that materializes. We have the ability to physically manifest change in our life. Go to a store, buy the ingredients. Get some beer and put it in the freezer. Then make it all up and go out to the beach. Don’t put it off… Oh, and by the way, ask that pretty girl that you have been thinking of to join you. I’d bet she’d love it.

[11] Be the master of  your life. Do not rely on fate to control your life.

There is no such thing as “Destiny”

In the corporate world, you are expected to accept things as they are presented to you, while in your private world you act within the terms that you have grown accustomed to. Both are lies.

We exist within this reality with the POWER to change it. Never forget that basic fact.

While it is nice to change and cycle through the MWI using external technology, it really isn't all that necessary on a personal level. We have the inherent ability to do so naturally.

We live within a preset and pre-programmed reality. We do not like to believe in fate, and destiny and other “superstitions”. Yet there is some truth to our existence. There is some purpose. There is a reason why YOU are reading this.

As such, we need to accept the fact that while things appear to be fated, pre-ordained, or just destined. That is not the case. We have the ability to make changes to our reality.

Desk work
Is the life that you live within your company the life that you really thought that you would get after university? Is this the ideal? Do you live for the paychecks, or are the paychecks something that supports your lifestyle. If there is any discomfort in your life, then you do need to change it.

In short, the life you live is like a highway. You are placed in the middle of it, and cars come and go. You can obey the signs and follow the highway. That is the easy way to live your life. However, it is not destined.

I suggest that you do not ride the life that is laid before you. You can change it.

You can drive fast or slow. You can change lanes. You can ride on the curb. You can stop, and even go into reverse, if you wanted to. Heck, you can even go off road, for the “bumpy” experience.

via GIPHY

So there is no such thing as destiny. There are only your thoughts and your desires. I suggest that you start following them…

The first step in changing things is to change our thoughts. The second step is to change our actions. The third step is to change our habits.

By making these changes we can change our life.

Your limitations are not set by who you know, where you were born, what genes you have, how much money you have, how old you are right now, what you did before or other things that you can claim are your stamp of failure for life. If you are determined enough, there can be opportunities in life that are totally achievable with minimal cash, regardless of who you are.

[12] We can control our reality to obtain advantage in our life.

Caretaker cottage.
Groundskeeper cottage. I found this wonderful image on tumblr. Image that you would work on a huge estate, and you would be provided with this cute little, tight home to live in. How cozy. So I wonder, what’s stopping you? Why not live in a house, or a place, at a job, with a family that you determine? Why do we always seem stuck with the life that those around us decide for us? Why is it? It doesn’t have to be that way.

Desk Jobs will kill your creativity

I’ll bet that you, the reader, were all full of ideas, spunk and optimism when you graduated from university. Weren’t you? Well, what happened? Where are they now? What killed them off?

Office working environment
Here is a still from the genius movie “Joe vs the volcano” starring Tom Hanks. It accurately portrayed the plight of many an office worker who toils in a terrible office work environment, and drinking coffee that is best described as “lumpy”. Not to mention those energy-saving piss-poor lights that serve to illuminate most of our productive hours.

Was it your friends? Your family? The grocer down the street? Your barber? Or, better yet, was it your workplace, and your boss? Has your boss or any of your supervisors ever given you any hope and cheering on for your dreams? Have they? For if they have, then you are a rare and lucky person, indeed.

There is nothing so absolutely mind-numbing than working in a sterile neutral-color cubicle under fluorescent artificial lighting that will sap the life and drain the energy out from you.

Poor lighting
Any company that will not install natural lighting in a place that you will work for eight hours a day, just to save a few pennies, is not a company that cares about your well being. Humans are creatures that need, yes need, a healthy environment to work. It amazes me that they will ban smoking and install various dress codes, but they will refuse to install natural lighting for the employees.

Unless you are thriving in this now-standard working environment, you will need to seriously reconsider the career choices that you have made. Or, at least, reconsider your work place environment.

[13] Take seriously the environment that surrounds you. Know that it will affect your thoughts and actions. This influence is dangerous as it can influence your life for better or for worse.

Motivational saying.
Never change your originality for the sake of others. This is because no one can play your role better than you. So be yourself and be your best.

Avoid “Echo Chambers”

An “echo chamber” is a place, a group of friends, a work environment, a series of websites, a news media that all says the same thing. By staying within that “echo chamber” you get the FALSE impression that everyone around you thinks like you do.

That is false.

Even within an “echo chamber”, your mind will think and operate quite differently from those around you.

We all know examples of “Echo Chambers”.

  • The main-stream American press.
  • College universities.
  • HR polices in a corporate environment.
  • An NFL football team.
  • The BLM membership.
  • The Liberal Narrative
  • The Conservative Narrative
As much as I tend to believe strongly in traditional conservative values, I find that if you completely close your mind to only those outlets you get a distorted view of reality. I'm not bad-mouthing conservative values and principles. However, we need to understand that many times what we want to hear is repackaged to us as an echo chamber.

This hasn't been so obvious as when I moved to China.

I was expecting enormous crowds. Well, I saw that. I was expecting a kind of dingy world. Well, indeed there are some pretty ugly areas. I was expecting ancient and ruinous infrastructure, millions of blue clad workers riding bicycles, a police and military force everywhere, and hordes of poverty ridden poor from the countryside clamoring to be led into the mega-cities. NOT FUCKING TRUE.

It's not even remotely true.

I was expecting a scene from a "Save the Children" commercial, and instead what I experienced was a futuristic Tokyo. 

Trust me. You need to get out of whatever echo chamber you are in now, and look around you. It's a new world, with new rules. Not just in the corporate world, but in life as well. Realize it. learn from it. Get out of the echo chamber. Go out and see for yourself.

You will discover that many of the people are just repeating what they have read and heard from others. Others who have been repeating what they, in turn, have read and heard. From others who are repeating what they have read and heard.

Modern China…

Modern China…

We have to be careful… always. Today in our digital age, it is so easy to be led into a “echo chamber”. Those on university campuses today have a terribly distorted view of what America is like. heck, many of them cannot even name one Right listed in the Bill of Rights. They think that everyone outside of their city is a racist bigot. What amazing ignorance.

Do not fall into the “echo chamber” trap.

What happens is that when you meet someone with a very different belief system to yours, it’s better to get along than to try to “convert” them. This is as true for how the world works as it is for anything else. We tend to want to be part of the herd. We do not want to stand out, or be ostracized. We want to belong.

Echo chamber
The social media in America is one huge “echo chamber” that is dominated with progressive liberal socialists. They have made it so that anything other than what they want to hear is withheld. They offer all kinds of excuses, usually the same tired old lame excuses… hate, deplorable, racist, bigot…etc. Yet, the fact is that this is exactly against what America stood and stands for… the freedom to say your peace. Don’t live in the echo chamber.

When someone is sure about something and has believed it for many many years, then you cannot convince them with a few cleverly picked words.  We tend to call this person a “close minded” person. But, yet is it really that way? Maybe it’s us that is the close minded one. Indeed, maybe it’s important to acknowledge that maybe we are actually the wrong one in this case.

The world is much more fun with people of varying interests and beliefs.

Spending time exclusively with people who agree with you on everything would never challenge you or allow you to learn so much more. We need challenge. We need exposure to different things. We need to be with others who are different, who are colorful, who have ability, and who are themselves.

The problem with the corporate environment is that conformity is policed. When you reach the boundaries of conformity and step outside them, you will be considered to be undesirable, and termination is only a short and brief paycheck away.

I once had a friend who lived in North Carolina. He told me that the key to happiness is to be unique on your own terms, but hide it from everyone else. You must conform, and not make waves, but in the privacy of your house and your life, you can live the life on your terms.

Now that I am older, I can see some benefit in his advice. Yet, I do not wholly convinced to agree with him. I say, instead, that a real man is himself no matter what and pretends for no one.

[14] Expose yourself to as many different things as possible. Go outside your “echo chamber”. Never take anything said by anyone at face value. Get multiple sources, and make sure they are isolated from each other. Beware of the corporate one-company is one-mind trap.

As an aside, it is well known that you do not want a person who has worked some twenty years in a singular role within a large company. They tend to be too inflexible and too rigid in their thinking process. I wonder why that is?

Living a good life is the best way possible to convince people

Have you ever spent time and time again, just arguing with people? They have their view, and you have yours. They don’t want to hear your view, for some reason. You do not want to hear theirs. You and they are both in a dead lock.

So what?

Enough with the words and enough with the arguing. Just live by example and soon you’ll have people on your side when they see your results. Over time they will come around. They will see your passion, and your earnest belief in who you are.

They will find that their assumptions about you, who you are, the life you live, and your values are not what they thought. They will come around. Don’t argue with them. Show them.

via GIPHY

There is no longer a need to convince them of anything. You just be who you are and show them. Eventually they will see that you weren’t so crazy after all.

Now, in the corporate world, there is only one answer. That is the answer that your boss tells you it is. Nothing else matters. Which is, when you think about it, terribly limiting. In China there is a saying…

There are many ways to get to Beijing.

Which of course, means that you can eventually make it to your objective. You need to select the way that is the best one. For some, the quickest and most expensive, might be the best method. For others, the cheap and slow might be best. So, whether you fly, walk, hitch-hike, take a train, or ride a donkey, eventually you will make it to Beijing.

The problem with the corporate culture is that all these various answers and solutions can be withheld from you. Unless you are in the vaulted decision-making process to make these decisions, you will start to rely on the decisions of others. And, that my friends, is a great trap. Be careful.

[15] You need to start living your life on your terms. As such, your success will speak for itself. There will not be any question that you were doing something right. Though others might chalk it up to luck or fate, they will invariably be wrong.

Nobody has the Answer

We have grown accustomed, through school, through society, through the news media, and through the legions of statists, that there is a “perfect” truth. That there is a perfect reality and singular solution. We mistakenly follow them through our 16 years of educational schooling. We are constantly searching for the ideal mate. We are constantly searching for the ideal job. We are constantly searching for the “secrets of the universe”.

It’s all a big lie.

There is no singular answer. No, let me be the first to crush this fantasy; the NWO, one global government is not going to be the solution to all the world’s ill’s. Nope. No way. No how. I know… just, listen I know. I mean I really, REALLY know.

Truth
There is no universal truth, at least nothing that we would recognize as such. There are, instead, relative truths that vary from observer to observer. This is quantum mechanics at it’s primeval level.

You have to get a grasp on the reality you live is yours and yours alone. It is not a shared reality. No matter how real that appears to you now.

Stop comparing yourself to others. They look richer. They seem happier. They appear to be smarter. They seem to have more fun. They seem to have all the luck. It’s all illusion. Almost everyone has problems and puts on a brave face in spite of it

Everyone is living their reality. They are living their life, and within that life are collapsed dreams, hardship, hurt, terror, worry, sadness and sacrifice. They all had it. Not only you. Never casually dismiss them as being more fortunate than you are. You do not know their story. So stop comparing yourself.

Which brings me around to the corporate environment. The business and corporate environment is one that that mandates appearance. Some companies permit you to put a picture or two on your desk. Some are even so bold as to allow you a potted plant and a different colored pen to use from time to time. Corporate workers are expected to be drones that work in a state of bland sameness.

Be careful.
We need to be careful of a set cultural, societal, or corporate environment. They expect followers to act as drones for the collective good. Often they will discard you when you are no longer useful, or even worse, they could demand that you do dangerous things for the good of the company. Be careful.

Over time, this attitude affects us. We start to believe it. We spend all day with our coworkers and believe that we are all the same. Then we go home and see the life as portrayed on the television, the internet and the movies. We yearn for that excitement and that closeness. But it’s a fiction. Everything outside of your personal experience is a fiction.

Nothing exists until you PERSONALLY experience it.

[16] There is no singular answer or solution. All there is is an “answer” for YOU and the reality that you live.

It is fine to say “I made a mistake”

We have grown up over the years to be ashamed of making mistakes. Our school would punish us for making mistakes. Our parents would punish us for making mistakes. Indeed, our spouses would punish us for making mistakes.

Hey! Here’s a news flash for you. You are an adult. You are not a child.

You are an adult. You are not a child.

Making mistakes is how we grow. You learn through mistakes. What do you think Hillary Clinton will do next time she wants to set up a hidden secretive computer server to suck up Top Secret emails to give to Pakistan? I’ll bet you that she would do things much differently. Next time, oh at the rate AG Sessions is going yeah there will be a next time, it will be more secretive, better guarded and better protected.

HIllary Clinton.
Lock her up by Ben Garrison at Grr Graphics. All credit to the artist. Hillary Clinton sure has managed to get away with a lot. This is a characteristic of a third world banana republic. It is not the characteristic of a functioning Republic.

A true and real person is not afraid to say that they made a mistake. You don’t even need to justify it. You don’t have to come up with reasons. All you need to say, is that you understand that you made a mistake and that you are putting systems in place to prevent that mistake from happening again.

If the other(s), whomever they are, do  not accept that, then they can FUCK themselves. Seriously,  in business or in your family, you must be a man. You set the standards that you live by. No one else does. Be a man, or be a child. There is no in between.

[17] Be honest with yourself, with those around you, and with your loved ones. You are no longer a child that has to lie and make up falsehoods to avoid confrontation.

via GIPHY

At some point you are going to need to work very hard.

I have got to say, after years and years of working hard, finally I was eventually able to secure some senior level positions. Now, let it be told, that although having an senior position is a fantastic way to earn a living, it is really hard work.

Don’t buy into that Hollywood lie. You don’t get into management by drinking coffee at the local restaurant. You cannot automatically drive a Lamborghini by being a police officer, and you won’t become a billionaire by writing some code. The route to success is often paved with hard work.

And… sacrifice.

And… pain with misery.

I do not mean to scare the Dejesus out of anyone, but I really do want to make a point. You need to plan, and devote your time to doing and performing useful labors. Often you will not see any results. Or maybe what you are doing won’t pay for your rent and you will need to do something that you don’t like.

That’s just the way life is. That is the story of your reality.

[18] If you want something, you will need to put in the time to get it. You will have to think about it. You will need to imagine it, and you will need to do real physical work to cause it to manifest.

More money will NEVER solve your problems

Somehow, in America, many people believe that only if they had more money all their problems would go away…

Nope. It doesn’t work that way. Instead, more problems will materialize. Not only that, but the problems will balloon to a level that you are unaccustomed to. The size of the money you have is exponentially proportional to the problems associated with it.

Now, I am not advocating poverty. However, I have to say that many of the things… the baubles and the latest iPhone, and that nice car are not germane to your happiness. Personally, I have done a lot of thinking, and my fondest memories, the ones that I treasure the most, occurred when I really didn’t have much in the way of money.

In fact, what I had at that period in my life was TIME and COMPANIONSHIP.

Time
Spend time, meaningful and precious time, with those you love. Make your time quality time. Buy an ice cream cone with your retired father. Take you mother out of a morning breakfast. Call up one of your friends and go to the beach or hike in a local park. Spend time together.

As long as you are not living in the street or going hungry, then you do not “need” more money. When you spend enough time with people who are actually living on next to nothing, but having a full life, then you will truly understand this. Everything that is wonderful about life doesn’t cost a penny, and the rest is way cheaper than you think it is.

[19] Think about the people that surround you. Think about spending time with them. Take your mind off the need to make money to purchase things. Concentrate on relationships and spending time with those you love. Remember, you can always make more money, but you can never make more time.

Respect is earned, not demanded.

Work is mostly a meritocracy.

Yes there are exceptions, and the higher up you get, the sillier and strange the effects manifest. However, in general, the more you work, the more skills you acquire. The more “war stories” you can elaborate on, and the more money that you can command.

Holding an executive position has levels of respect and even reverence that are intrinsic to it. I am often looked after and praised. But much like in the military you salute the rank and not the man. In the corporate world often time it is very much like this—people care about the position you hold instead of you.

Nothing is truer than in China. Where I am giving a “God Like” status as a vaulted Boss.

Never the less, one false move and you could lose all that respect in a heart-beat. Status and respect, especially in China, is tied to the concept of “Face”. It’s a difficult concept to grasp, but it is an important one.

Face is very visible in the Chinese business environment and plays an important role in inter- and intra-company communication, business negotiations, and the development and maintenance of relationships. 

In China, company hierarchy is much more important than in many Western countries. Not only are leaders  and managers placed on a higher pedestal, but the distinction between different levels of management is much clearer and more important. 

Many Chinese leaders and managers expect respect from their subordinates and in many cases expect to be obeyed without question, no matter the rationality or fairness behind a request.  

Not obeying “the will” of a Chinese leader or manager does not give them the perceived necessary prestige they (and others) feel is deserved. Indeed, survival in a Chinese company depends on knowing one’s place, and Face plays a very important role in facilitating that function.

-China Culture Corner

[20] You need to earn your place at the table. You cannot demand it.

This is a Two-Part Post

This is the first of a two part post. To see the second part, please kindly go HERE.

Posts about Life and Happiness

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE. If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Began writing and composition 7AUG18.
  2. SEO check and release 9AUG18.

The Dance Craze that is Sweeping China

You can tell how much BS is in the American news media when they report as “experts” in China, yet fail to mention even the most basic trends. You go on CNN, MSNBC, WaPo, and the Huffington-Puffington Post and it’s all about Trump, and China tariffs. Article after article is reporting on the troubles about the tariffs. They report all kinds of nonsense. They report as if they are experts. Oh, the world is falling apart! Oh, China has fallen to it’s knees! Oh, my goodness!

Well it isn’t. The world is not falling apart. China is bowing down, and the people are not shuffling back into their state-mandated hovels.

China takes the tariff issue seriously, but they are not killing themselves in mass suicides over it. You do know that exports from China to the United States isn’t as large as Americans think. While the perception is that it is maybe 80% of everything they export, the truth is that it is much smaller than that. Exports to America from China is actually around 11% of all Chinese exports.

You’ve got to be an idiot to believe some of the nonsense that is written in the American mass media. The news that Americans read is all just packaged propaganda used to manipulate. That’s it.

Anyways, let’s talk about what is actually going on in China. Yes. The “real deal”.  Don’t you know…

The Dance Craze

If you travel to China, and only spend one week in China, you would be made aware of certain trends and behaviors that are totally absent from the “experts” in the American main-steam media. You might be exposed to state of the art subway systems that look like they came out of a science fiction movie. You might ride in a high-speed train that looks like a rocketship. You might be amazed how everyone uses WeChat to pay for things.

For the last few months, China has been “on fire” with a dance craze that has swept the nation. Bet ya didn’t know that! Did you?

Capture-1
Girls all over China are dancing to this stupid Chinese pop song.

I think that they most especially like the part where he goes all “Tarzan”. and the girls duplicate it by leaning back and shaking their chests out.

Boys, girls, adults, children, and maybe even pet dogs can all dance to this stupid and silly song.

et, it has taken the nation by storm. Really! Who’d figure?

Going crazy over the song.
The Chinese youth are going crazy over this song. Who would figure. Eh?

If you cannot see the embedded player, then please go to this link to see a compilation of crazed Chinese fans dancing to this stupid song…

It’s sort of like how the “Gangham Style” video and song took over the United States, and late the world. Only instead of a fat Korean, we have pretty Chinese girls dancing to it…friggin’ everywhere.

Capture

Where to Watch

I first became aware of this craze by the 抖音短视频 website. You can get the APP online HERE. It consists of home made mini videos all to Chinese (and some Western) pop music. Imagine a twitter version of movies.

Funny MV
Here is a scene from the MV of the music video. It is pretty silly with a bunch of Chinese boys and girls dancing about and giving Tarzan yells.

The funny thing is this; if you just go to any mall in the city and give a Tarzan yell, you will be answered by girls and boys shaking their chests and yelling AH-ya-ya-ah….

Regarding the application, often the movies only last ten seconds or so. All in all, it is a great way to see what China is like, and what the people are like. You see it all. From bedrooms to state parks, to city-scapes to cute girls dancing.I got hooked watching dog and cat videos. You know the Chinese really love their pets.

Later, I became hooked on watching cute Chinese girls doing cute things. Sure beats fat negro American women shaking their enormously fat asses that passes for entertainment in America today.

Anyways, I find it a welcome respite form the non-stop barrage of bullshit narrative from the American mainstream media about China. I get on this APP and within a minute, I can see ten videos made by normal and average Chinese from all over China.

You look at the people. You look at their clothes. You look at the roads and infrastructure. You look at the backgrounds, and the people and what they are doing… It sure beats the nonsense out of silicon valley and Washington D.C.

First Taste

Here is just a sample of the kinds of things that you will see in China today. This is the song that is driving all the girls crazy…

I have been having trouble posting the embedded player for the video. So All that I can post is fan videos. You can watch the video yourself. Here are various direct links;

 

The Chinese love to Dance

One of the things that the American media likes to keep secret is how human the Chinese people are. In many, many ways, they are exactly like Americans. At least they really seem to relate to us. They think that the television show “Friends” is real, and they all yearn to become friends with Americans. They like to have fun. They love to fish, play basketball, and just have fun with each other eating and drinking. The American deep (state) swamp wants to keep everything two dimensional. To them, they picture the world outside of the United States as a ugly dark and frightening place. They make cardboard cutouts of people outside. They make two-dimensional characters of them. It’s easier to control us, if our rulers (owners) keep us living in a dark fear. But, you know, that’s really not the way it is.

The Chinese love to dance and have a good time. They are just like us. Only on a much grander scale…

The Chinese are just like us. We are both human. We have needs and desires. We feel emotion; sadness and happiness. We fall in love, we raise families and take care of our elders. We work hard, and try to do our best. We like to have fun. Some of us can sing, and some cannot. We we accept everyone anyways. The Chinese are just like us…

They like to pay with their children, and they love their pets. You all probably aren’t aware of that, with the Western oligarchy in full control of the American media. All the Western media ever talks about is how dogs are eaten in some rural villages in the back hills of remote China.

They play this narrative over and over and over and over. It’s all a manufactured lie.

The Chinese love their pets.

In fact some of the largest industries in China are those that cater to pets. In China the pets are treated like little humans. I really mean it. They have dog socks, dog underwear. They have dog sweaters, eyeglasses, back packs and special nutrition dog-food. It is not unusual to have a family spend more money on their dog than on their own children.

China is the largest economy in the world. If the trade issues can be resolved between Donald Trump and Xi Ping, Americans and their counterparts in China can thrive and prosper.

I see a future where the Chinese and Americans both work diligently towards a mutual agreeable goal. Everyone works. Everyone profits. Americans goods are sold to China, and Chinese goods are sold to Americans. Only this time, instead of the corrupt oligarchy benefiting, it will be everyday Americans who benefit. Trust me, the Chinese want this.

I believe Donald Trump wants this. I believe his followers want this. There is only one problem. The deep state, and all their globalist minions; the American media, the corrupt DOJ and FBI, and the rich software billionaires don’t.

The Chinese middle class has been growing over the last four decades. It is not what Americans think at all. Much of that growth has been the direct result of piss-poor trade policies that benefit the wealthiest few in the Untied States.

That era has ended.

Now it is a time when Americans can market our fine (and superior)  products to a willing and welcome Chinese market. What are we waiting for? Nothing, just until the oligarchs in the (swamp) Washington D.C. retires or steps out of the way.

They will do EVERYTHING in their ability to stop it. They do not care, and if it take world war III to realize their dreams, they will cause it.

Don’t believe me? Just read their mouth-pieces; the New York Times, The Washington Post, and CNN.

We are now at a threshold where Americans and Chinese can both profit handsomely by throwing all the evil oligarchs to the wayside. Instead of them getting a trillion dollar profit each year, now it’s time for each American to profit.

The money will flow in copus amounts. We just have to realize who is siphoning the money, and how much they are getting away with. China has a lot to offer Americans.

For instance, consider the synchronized night scapes in all the Chinese cities. You haven’t heard about that have you? Nope, the oligarchs don’t want you to be aware. Just manufacture a cardboard evil villain and demand higher taxes from Americans. It’s the same old playbook. But we Americans have transcended this narrative.

We know better.

Summary

With China not only possessing the bulk of the world population, and the vast bulk of the world’s manufacturing, it is a true shame that American media treats it as some kind of two-dimensional  fiction.

Most Americans are unaware of this. Somehow we think that things are still manufactured in Germany, that all those cars with British logos are still make in the UK, and that all the cameras and computers are made in South Korea and Japan.

Nope. They are all made in China.

Surprise! American propaganda leads to keep Americans ignorant and afraid. make no mistake. The purpose of the American main stream media is to keep Americans stupid, ignorant and living in fear. We are told that China is a poor third-world nation. It is one that is filled with barefoot and ignorant workers that reside in mud-hut villages all over China.

That’s the narrative.

It is a complete fabrication. It is nonsense. Sure the rural Chinese aren’t living in McMansions, but their homes aren’t mud huts either. Yes they have electricity, plumbing, indoor water (and water heaters) high-speed internet and paved roads.

In fact, I wish Pennsylvania would take a few lessons from China. They have seemed to get rid of their pothole problems. Pennsylvania is still forming yet another “Blue Ribbon” committee to look into the issue…

I personally believe that once we know the true reality, that we can decide what is best for us and our families. We do not need some “expert” in Washington D.C. telling us what we can do, some “expert” in silicon-valley censoring what we read, and some “expert” in New York city deciding what we can read and watch.

I believe that we can do this by ourselves and alone. The “manufactured reality” out of Washington D.C. and Silicon-Valley has become so outrageous and distorted that it in no way resembles anything even resembling the truth. We need to acknowledge this and embrace it.

For Pete’s Sake, if the “experts” on China are totally oblivious to something as common as a song and a dance that even five-year-olds know, than you should be aware of the disconnect. The disconnect is dangerous.

If we permit it to continue…people will die. Pay attention. Know who is trying to manipulate you and why. Question everything. Stop permitting those in power to take your money, repackage it into a fear-based narrative.

Move forward and think of everyone as your friends who want to work with you so they… and YOU… together can profit. Not some rich oligarch who already has amassed enough trillions of your your money. It’s time to put them to bed and move forward with our lives.

John McClain

Oh, and a special call out for one of the most evil people in Washington D.C. war monger extraordinaire John McClain. Hey guy, having a brain tumor the size of a baseball is no excuse to start World War III. I don’t give a damn what your fucked up rationalizations are. People are people. They are not some numbers that can be erased on a spreadsheet. They have feelings, life, relationships and family. What the Hell is the matter with you?

Sources

Of course, you can go directly to the Chinese video websites and view the dance routines for yourself. There might even be one or two on you-tube. That is, once they get past the censorship army in silicon-valley. American progressive censorship is terrible. It really is… Yeah. Y

ou are not going to find any of this on the sterile American Politically-Correct scrubbed video websites. Hey dudes, maybe you need… NEED to look elsewhere for your video entertainment. There are many, many options.

Don’t accept the bland life that is provided to you. Maybe Alex Jones is banned on Facebook, and You-Tube. No problem. Go elsewhere…

#1. Youku.com  优酷网

Youku.com
YouKu
At home, I subscribe to YouKu. I use it for my streaming music needs. If I want to hear a song I like, I do a lyric search and then have YouKu pull it up for me. Like all these sites, they are in Chinese, but you can pretty much navigate by trial and error. Everything is free to watch. There are only a few embedded ads. There is a very high playback speed, and very little delay.

#2. Tudou.com 土豆网

Toudou
Toudou
Toudou is the second most well-known video website in China. Toudou is where most Chinese people buy their online purchases. You can get everything from makeup to groceries here. The site features personal video sharing services, and also owns many other video channels like movies, dramas, music and so on.

#3. Cntv.cn 中国网络电视台

cctv
CCTV
This is the government very own video streaming service. It’s sort of a Chinese video version of NPR. It is owned by China Central Television (CCTV), CNTV is the official website of the national TV station. The site hosts many channels and its video channels include live TV, TV drama and documentary. Users can enjoy lots of exclusive video contents on the site.

#4. tv.kankan.com 迅雷看看

kankan
kankan
Xunlei Kankan is a video-on-demand service. If you are trying to find American movies but are unable to because of paywalls, and money that you have to pay at Netflix, go here. they will be free. Oh, and did I say…free???? The site provides high-quality content including up-to-date Chinese dramas and lots of movies from Mainland China, Hong  Kong, Taiwan, South Korea and the USA.

#5. Tv.sohu.com 搜狐视频

sohu
sohu
Sohu TV is owned by Sohu company, one of the biggest Internet portals in China. Everyone knows about Sohu. What? You didn’t? Well let me educate you. The site provides countless video contents such as high quality movies, TV shows, self-produced dramas, variety shows, music TV and cartoons. You might well be surprised in the selection.

#6. Letv.com 乐视网

letv
letv
Hailed as China’s Hulu, Letv.com owns more than 100,000 episodes of TV series and more than 5,000 films. This is pretty much where my wife likes to go to watch her soap operas and the American series such as “Two Broke Girls” and “Sopranos”.

#7.  Iqiyi.com 爱奇艺

qiyi
qiyi
I used to have a VIP membership for QIYI. It only cost something like 198 RMB for a year ($25). As a VIP you get a very large selection of movies. Their American movie selection is pretty good. It’s sort of like Netflix in that regard, but instead of paying $5 for a movie, you pay $25 for unlimited movies for a year. It is owned by Baidu, China’s largest search engine. The decision to offer a paid subscription is a direct result of negotiations with Hollywood. You must recall, Hollywood demanded that the Chinese government stop piracy of Western movies. Thus, Iqiyi describes itself China’s first copyrighted video library covering various contents such as movie, TV drama, variety show, comic and documentary. Its HD & smooth video content is the best of all China’s video sites.

#8. Video.sina.com.cn  新浪视频

sina
sina
Here’s where you go if you want to see the latest American movies that are in the theaters, but don’t want to pay for them. It’s owned by Sina, a leading Internet media company serving China. The site provides various video content including latest video news, variety show, movie, drama and so on.

#9. 56.com 56网

56.com
56.com screen shot
56.com is a leading video-sharing platform in China. Users can post and share their personal videos online. There are many cute videos of dogs and cats here. As well as cute girls sings and dancing. The site hosts more than 80 million online videos.

#10 pptv.com PPTV

pip
pip
PPTV is a fantastic online TV provider offering both live streaming and video-on-demand services.  Its channels include TV shows, film, drama, sports, news and entertainment and so on.

#11. M1905.com 电影网

1905
1905
I must admit that I am not too familiar about this site. However the interface seems easy enough to use and get about with. It’s been in China for a while. Really. M1905.com was founded by China Movie Channel in 2004. The site hosts more than 6,000 HD copyrighted movies and about 200,000 short videos. M1905 is the most complete and authoritative site about Chinese movies.

#12. V.qq.com  腾讯视频

QQ video
QQ video
I am currently a VIP member of this site. Cost is $25/year (198 RMB). Like all VIP services, you are allowed five members for that service. So we share the membership with friends and relatives. It has a pretty good selection of American movies. For instance, I watched “Hot Tub Time Machine” last week, but the sequel to that movie is not available. QQ is the largest social media platform in China, and even dwarfs the enormous WeChat. This is the video section of that platform. To give you an idea of how enormous it is, think of Facebook, and then multiply it by one thousand. QQ video is a channel of qq.com, which is the most popular instant messenger  in China and has hundreds of million active users.  With huge amount of active users, QQ video  quickly and easily became one of the the most visited video sites in China.

#13. 360 Kan 360 视频

360
360
I am not familiar with this website. However, my friends and coworkers really like it. Truthfully, 360kan is a Chinese version of Google / computer checkup application. Functionally, 360kan is actually a video resource navigation website. Its main function is to list lots of TV and drama links, so users can choose the site with the best watching experiences.

#14. Baidu Video 百度视频 

baidu
baidu
Everyone knows about Baidu in China. Just like everyone knows of Google int he United States. Baidu is a Chinese version of Google, only it is much, much, much larger… and… it is not censored by rich progressive millennials in California. If you want to find a movie in China, this is probably your fist stop. Like on Google, you click on the video link to see all the video related search results. Baidu Video is one of the largest video sharing site in China. It is a channel of Baidu.com, China’s leading search engine. The site features its search function and users can always find their favorite video content on the site.

#15. pps.tv  PPS

pps
pps
PPS provides lots of services such as video search, online video, games and downloads. Its computer client PPS Net TV hosts more than 210 thousand sets of channel programs. It is the most popular Net TV client in China.

Take Aways

  • The news in America is completely distorted.
  • So called “experts” on China, in the United States are unaware of the most basic of trends in China.
  • This disconnect in perception, reporting and reality is dangerous, as it can result in manipulation of mass groups of people and WAR!
  • The Chinese people admire and relate to Americans. It is a true shame that the American news media would like to disrupt the trade negotiations that Donald Trump is having with Xi Peng.
  • If Americans and Chinese can work TOGETHER, everyone would benefit profitably.  The Chinese WANT to buy American made products. Let’s let them. We can work hard and they can respond.
  • Over the last few decades, American agreements favored the rich oligarchs at the expense of the American worker. Today, the American media narrative is one that pits national boundaries before cooperation.

FAQ

Q: What is so special about the latest dance craze in China? A: Nothing, really. Fads and fashions come and go. The point of this post and article is just how out of touch the American media is that they are unaware of something that every single person in China knows about. Heck, you could fly in and just stand on a street corner, not talk to anyone and be aware of this. The disconnect is that enormous. China has their own movies, much larger than Hollywood. They have their own music, with followings that dwarf anything out of America. You can see one HERE. The point is that China is a Big, big market that WANTS American products. I argue that we should sell our products to China. We should forget the bullshit narrative promoted out of the American media and do it our way… the American way. Not the way of the wealthy oligarchy. Q: Why don’t you have embedded videos? A: I tried, but word press is changing it’s editor with something else. It’s a little buggy and won’t accept embedded code. Q: Why do you love China? A: China reminds me of American back around 1960. That was before the progressive liberals took control of EVERYTHING, and fucked everything up. Now everyone either want’s to go to war or turn into a communist. Jeeze, not even the Chinese want to be a “communist” nation. But sure as heck the millennial’s in the big American cites want that. Hey the bullshit ran deep. Now America is populated with morons. I can’t stop the shit. What I can do, however, is open the window a little so some fresh air of reality comes in. Life is not that bad, it only appears to be.

Free Republic Posting

This was posted on 5AUG18 on the website Free Republic. It did not go down very well.The thread was pulled. The reason being…
not even close to news. barely chat. quit abusing the BN sidebar

Popularity

Well, Free Republic might have pulled this post, but it was the top post during August 2018 by a long-shot. I guess that FR is out of touch what is popular to the readership.

Links about China

Popular Music of China
End of the Day Potato
Dog Shit
Dancing Grandmothers
Dance Craze
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China
Business KTV
Chinese apartment houses
Chinese Culture Snapshots
Rural China
Chinese New Year

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Leaving the USA
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

The Chinese Business KTV Experience

This is the real deal. Forget about all that nonsense that you find in the British tabloids and an occasional write up in the American liberal press. This is the reality. Read or not.

KTV1
KTV2
KTV3
KTV4
KTV5
KTV6
KTV7
KTV8
KTV9
KTV10
KTV11
KTV12
KTV13
KTV14
KTV15
KTV16
KTV17
KTV18
KTV19
KTV20

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Contemporaneous Chinese Music

This is a series of posts that discuss contemporaneous popular music in China. It is a wide ranging and broad spectrum of travel, and at that, all that I am able to provide is the flimsiest of overviews. However, this series of posts should serve as a great starting place for investigation and enjoyment.

Part 1 - Popular Music of China
Part 3 -Popular music of China.
Part 3 - The contemporaneous music of China.
part 3B - The contemporaneous music of China.
Part 4 - The contemporaneous popular music of China.
Part 5 - The contemporaneous music of China.
Part 5B - The popular music of China.
Part 5C - The music of contemporary China.
Part D - The popular music of China.
Part 5E - A happy Joe.
Part 5F - The contemporaneous music of China.
Part 5F - The popular music of China.
Post 6 - The contemporaneous music of China.
Post 7 - The contemporaneous music of China.
Post 8 - The contemporaneous music of China.
Part 9 - The contemporaneous music of China.
Part 10 - Music of China.
Post 11 - The contemporaneous music of China.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

How a Business KTV works in China

Just about every article on the internet that discusses KTV’s in China, talk about the “family-friendly” KTV’s. They discuss how they work and what it is like. They talk about the food, and the fun. Well, no one seems to want to talk about the Business KTV’s in China. Which is really, really odd. As you just cannot do business in China without going to one. For goodness gracious, that is one of the most common things that businessmen encounter when doing business in Asia.

This post discusses this side of Chinese industry.

As all my posts, it is politically incorrect. If you are are not ready for it, I would suggest that you leave right now. This post talks about one of the most important aspects of doing business in China – the negotiations over dinner, drink and song. It doesn’t matter if you are in the North of China, the South of China, Vietnam, or Korea, it is all pretty much the same.

Introduction

One of the first things that the Interns ask me when they come to work for me, is “what is it like doing business in China”. To which I must answer, “it is really, really different.” Work is work, and business is business. That being said, in China there is a particular aspect that has a great deal of importance. This is [1] the concept called “face” or “mingzi”. The second most important aspect is [2] friendships.

While, the Chinese boss and businessman would be very happy to take your money and make a part for you. He won’t treat you very special, even if you promise him ten million dollars of business. You will be just another smuck from the West that he is dealing with. You are just a potential client. You have not yet “earned your stripes”.

The key to getting your product or service taken cared for, is to build up a relationship with the factory boss. Heck, we all know this. In fact, in America we have been taught that businesses are based upon relationships. Yet, strange things is, with the current crops of MBA’s that are exiting universities, they seem to think that people are numbers in a ledger, and quite disposable.

Efficieny experts
Efficiency experts sorting out who to fire and who to retain.

Not so in China.

While in the USA, you might get a visitor from another country, and say good-bye to him at the end of the day. The Chinese will expect to share a meal with you. They will expect a tour of the local surroundings, and some local cuisine. (As would most businessmen from other nations.) When in China, you will be given the “royal treatment”, as the opportunity that you offer the factory is considered valuable.

You would also be expected to smoke, and drink to excess. In China, powerful bosses all can eat, drink and party to excess. The ideal “big boss” in China is a cross between Tony Soprano and Attila the Hun. If you cannot meet their expectations, then any contract that you sign with them will be a weak one.

via GIPHY

The ideal "big boss" in China is a cross between Tony Soprano and Attila the Hun. If you cannot meet their expectations, then any contract that you sign with them will be a weak one.
The boss in China.
The value, and the relative importance of the “Boss” differs between that of Western companies and companies in the East. In China, the boss is all powerful and all important. His word is absolute.

Your goal is to facilitate a strong contract, and a solid working relationship. Anything less is a waste of your time.

Aside from the factory tour, and discussions over tea and cigarettes (I like to call it “death” by tea and cigarettes.), you will be given a chance to “freshen up” in your hotel room prior to the evening festivities.  A driver will take you to your hotel, and you and your aide will be escorted to your room where you can rest (often from jet lag), check your emails, write a report (if you are in a big company), and chill out. I like to use this time to take a shower and a short 90 minute nap. But, that’s just me.

Hotel Pickup

Usually, before the car comes and the girls pick you up (typically the aides and Marketing Manager is female), I tend to go down to the VinGo (a HK version of 7-11) in the lobby and pick up some anti-drunk medicine. In fact, truth be told, you should always have [1] some aspirin or Tylenol, [2] stomach medicine, and [3] some ED medicine if you are over 30. The anti-drunk medicine is a vial of liquid that you drink during dinner, say about thirty minutes before you eat. It helps to neutralize the effects of alcohol in your body so that you won’t get too drunk too fast. It won’t take away from your enjoyment, but it will help you.

via GIPHY

After all, you can now expect a solid six to eight hours of hard drinking. So, you need to be prepared. After all, your body will be affected by the alcohol.

That is an entire night of HARD drinking of HARD alcohol.

This means that you will need to take some medicine beforehand. In China, of course, you don’t need prescriptions for most medicines. That’s only in America. The rest of the world expects people to be responsible with their own bodies.

You you can just get the ED medication at the counter. Just write the name on a slip of paper and the chick behind the counter will give it to you. Viagra is around 125 RMB, or around $20/pill. But you can by the local generic version for 200 RMB for 20 pills, or 10 RMB/pill (around $1.25). Cialis is the medicine of choice for us older gentleman. But you will need to pay for it in the full outrageous American price. Figure around 135 RMB/pill.

.Women in China re quite lovely.
The girls in China a lovely. That includes all of the Han Chinese throughout Asia. They really know how to keep men happy.

Since the chances are that you will be in the Southern China section, make sure that you change your underwear. It is tropical, and you will need to take multiple showers and use ample deodorant.

Chances are that you will stay in your hotel, unless the factory boss has made other arrangements. Some of the better business KTV’s have rooms upstairs. In any event, make sure that your “wingman” or aide (male or female) fully understands that you will be the one who will give all the face. They must restrain their drinking. They must watch the belongings, and your passport. And, they must keep an eye out so that no problems befall you.

Typically, my aide is an employee. Depending on the situation, I’ve had one of my Chinese engineers (fluent in English) come with me, or my Marketing Manager (female Chinese-born Canadian) who would be with me. Now, you might think that the woman would be all hot and bothered about conducting this kind of business relationship, but that hasn’t been the case at all. In fact, she welcomed it. Heck, you should have seen her the next morning all beaming and happy.

Now, everyone would get their own individual room. And we would all agree on a time to meet. Typically, one person (never myself) gathers everyone up to go down to the lobby and get into the car.

Dinner

You will most likely NOT eat Western food. If they offer it to you, decline. You are in China. You want to make their face as big as possible. Suggest their local delicacy, or a restaurant that cooks the same kind of meals that their home town makes. Let the factory boss select the restaurant.

Now, typically, the driver will either take you back to the factory where you will meet the others for the dinner, or you will drive directly to the restaurant. In almost all cases, there will be a private room reserved in the restaurant. This is a private dining room. It will typically have a nice bathroom, a set of sofa’s and comfortable chairs, a television, and a huge circular table.

Chinese private room
Most private rooms in China look a little something like this. They consist of a large central table and comfortable furnishings.

You, as the boss will be led to the room, and offered the “boss seat”. This is a chair that faces the door. You sit down, and everyone else arranges themselves around the table. The seating arrangement is hierarchical, with the various ranks of the individuals placed strategically around the table. The driver of the car(s) will also attend the meal, though they will typically be silent and not participate into any of the discussions.

You will be offered some cigarettes, just like at the factory. As the boss it is your responsibly to accept the cigarettes, or else you will lose face. Oh, yeah, it’s not healthy and all that second-hand smoke nonsense. Well, if you are doing business in China, you will need to adopt local customs. You do not want to lose face.  You accept the cigarette. You take a drag. You hold it in. Tilt you head back, and stare up at the ceiling and then exhale the smoke slowly.

via GIPHY

You need to adapt to Chinese culture. Do not lose the face of the boss. Else they might serve you dog’s head or something equally disgusting as a way to see how low you can go.

Out of politeness, you will be offered a menu and you can select what to eat. As I typically live in the Guangzhou region, I will choose Guangzhou style food. This is easy to do, as the menu consists of full-color glossy photos of delicious food. Guangzhou food is typically steamed lightly. It is very fresh and very tasty. Now, if you were in Hunan or Sichuan, the food would be very spicy and delicious. That’s a good thing too.

Anyways, as a general rule, you order two dishes more than the number of people at the table. So, if there were six people around the table, including yourself, you would order eight dishes. (Oh, yes, everyone shares the dishes. This is quite different from the West where everyone gets their own meal.)

Being in Guangzhou, you order one thing that walks (pig, beef, mutton), one thing that flies (chicken, duck, goose), and one thing that swims (fish or seafood like shrimp). If there is a near certainty that you will go to a business KTV and you might have an all-night companion, you should make sure to order a nice large plate of oysters. Just make sure that they are fresh. Otherwise, watch out!

In general, once the three main dishes are ordered, I usually like to order vegetables, tofu, and assorted other dishes such as braised pig fat, flat bread, and maybe jellyfish. Let the other (hosting) people make suggestions and nod your head in violent agreement with their selections.

The waitress, or room manager will ask what you want and take your order. You, with your aide, will select the pictures and work out the details. While the picture might say a thousand words, there is typically a discussion of lively banter on what kind of fish, how to cook it, what spices to use, etc. Let them work out the issues.

Then, some condiments will be placed on the table. These might include peanuts infused in vinegar and spices (a personal favorite), some long white bars, that are actually pickled carrots, and some chicken feet. Try them all. It’s all good.

Then comes the tea ritual…

Tea Ritual

China has many different kinds of rituals for tea. By now, you would have experienced the business negotiations over a tea ritual that occurs at the factory in the office. This is a dinner tea ritual. Here, you use tea to wash the cups and plates.

Wrapped dishes
Almost all restaurants in China have their dishes pre-sanitized and bubble wrapped for protection. This is very common, from the farthest point North to the extreme Southern end of China.

Granted, all the cups and plates are pre-sanitized. They are in these clear shrink-wrapped bubbles, that you need to break open to get the dishes out of. I typically use my chopsticks (quaizi) and punch a hole in the sealed plastic. Then tear it open with my hands. The idea is to get all the dishes out of the bubble wrap. Then, once they are out, you pour the scalding hot tea all over the dishes and utensils to clean them.

Once the dishes have been washed, you then pour the (now dirty) tea into a large clear bowl that is provided for exactly that purpose. The bowl will be passed around and then taken away by one of the waitress chicks.

Drinks

Before the food starts to arrive, there will be the decision on what kind of alcohol that you will be drinking. Typically, beer is a drink for lunches. Evenings, especially for a night of hard drinking will have to get started off right. If given the choice, I vote for red wine.

The wine in poured into a large glass carafe. One person, typically an aide of the factory boss will take on this responsible, or at the very least will instruct the waitress to do so.

Gan Bei
Everyone who has every been to China knows about Gan Bei. This is a fundamental part of Chinese culture, and if you are unaware of it, then you really have never visited China nor participated in the culture there.

“Red wine” is drunk not like wine is drunk in the West. It is quaffed down in glasses “bottom up style”. (Gan Bei!) Typically, you will need to drink to everyone at the table individually. Then multiple times with your host. You will also be expected to drink with your aides.

There are really three ways of drinking;

  • Full glass (reserved ONLY for the boss and to cheer an agreement.)
  • Half a glass (the most common) called “ye ban de ban”.
  • One fourth a glass (offered about mid way though the meal) called “ye ke”.

Drinking red wine permits you to be able to stand up straight after your meal and be able to walk to the KTV without having to be supported by your aides. This is pretty important to save “face”. Though if everyone is drinking VSOP, XO or that God-forsaken 53 degree “white wine”, you will all need to be carried out.

White Wine (BaiJiu)

In China today Baijiu is drunk almost exclusively at meals, as alcohol is a very important part of Chinese dining culture. Baijiu is served in shot sized glasses and used during toasts to show respect and build relationships.

When toasting, the Baijiu glass of is gripped with both hands, with either one hand on either side, or with one hand/finger on the bottom of the glass. After a Er Guo Tou (二锅头) is a cheap type of Baijiu available every-where-toast the Baijiu is usually consumed in one gulp.

Following a toast, the glass can be turned upside down or tilted forward to display that one has consumed the entire glass, and thus give face to your friend, partner or host.

Moutai
The best white wine in China is Moutai. It is pure moonshine and comes in 53%. Ugh!

If you do decide to drink VSOP or XO, make sure that it is mixed with green tea, else you will get too drunk too quickly.

One should pay attention not to raise his/her glass higher than those of the respected elders; When two glasses clink, how high people hold their glasses shows hierarchy. When the host toasts you, keep his glass higher. These insights hold true at most dinners with hierarchy, such as corporate dinners with bosses, meals with clients and multi-generation family gatherings.

Personal Note.

Some younger factory bosses or owners will get excited and try to show you respect by trying to slam dunk Gan Bei. Do not fall for it. Aside from trying to make you unable to stand up, it will completely decimate your blood fluid pressure in your nether regions. Which really sucks, if offered full-on hospitality.

Always moderate your drinking. Afew Gan Bei's are fine. Just keep it under thirty in total. In general, the rule should be occasional drinking. Have your second (in command) drink for you.

In many places in China, especially northern China, the drunker a person becomes via being toasted with Baijiu (or other liquors and alcohols) the more Face has been conferred upon them. Therefore, it can be common for visitors to China to be entertained by well-meaning Chinese hosts who are intent on showing them as must respect as possible, by getting them as drunk as possible, on an completely unfamiliar and relatively strong liquor.

Be respectful (jìng jiǔ敬酒)

jìngjiǔ 敬酒 : “respectfully proposing a drink.” People will likely toast you to show their respect and hospitality. As a foreigner, you’re not expected to do likewise, but it will be much appreciated if you do. Once you’ve started, make sure you toast everyone who might outrank you. If the people are many and you’re worried your head might not take it well, you can tick them off in twos and threes; it’s perfectly acceptable.

When someone toasts you, you should immediately stop eating and drinking to accept and toast in response. All people sitting at the same table must stand up, upon the initiative of one of the guests, and toast in succession; Remember, one should never refuse to participate in a toast. If you turn down a drink, your Chinese counterpart may feel like he has lose his face.

If you’re the one offering the toast, you’re putting yourself in an inferior position, which means you have to be the more respectful one. Thus, it’s better if you stand up and empty your cup completely. The other person may remain seated and drink just a bit, but usually they will go out of their way to show you the same respect.

Respect and “Face”

“To me, your “face” is your position and standing in the eyes of others, and it also has to do with the degree of respect you receive. Face can also be saved up over time and used to accomplish things later on. If you drove a fashionable or luxurious car to attend a friend’s party, then the majority of your friends would feel that you had face. Also, if you can achieve something through your personal contacts that others cannot through normal channels, you would also be thought to have face. You can gain face if you are praised by your boss, or if you accomplish a difficult task at work. However, if you greet others warmly at social events, but are met only with indifference, then you would lose face. Questioning someone’s  ideas or opinion in a public setting would cause that person to lose face.”
– James Tan, Sales Manager, Shanghai

When a client relationship is established in China, the client more often than not receives more Face in the early stages of a relationship from the “seller.” Face must be given to the client to make him or her believe that the seller is worthy of their money and time.

Sometimes giving Face to a contact entails simply words and compliments, though sometimes gifts are required and invitations to dinners, entertainment, or other social events.

To the Chinese there is a natural order in society as well as business, and if one is unwilling or unable to show the proper amount of Face to those that feel they deserve it, then one will likely be judged to not have good character. This has the potential to wreck business deals and sour relationships, so with regard to the issue of Face most Chinese business people tread with care.

Food and Eating

Now, you will need to be a little buzzed when the food is set out. In China they eat everything. So if you are a bit squeamish, you had best be fortified with strong liquor.  Fish are presented with all the bones, so you must be careful and eat around them. In the USA the cook guts the fish (fillets it), and throws away the head, tail, and fins. Not so in China. They love that stuff.

Chinese chicken
The Chinese eat everything. They love the differences in flavors and textures.

Chicken will have everything thrown into one pot. This will include the entire bird from heart to legs, and everything in between. The only thing missing would be the feathers. And you, you as the host will be given the chicken head on a plate.

Chicken Head
Cooked chicken head. All ready to eat. Enjoy yourself. Show the boss some respect and pop this sucker in your mouth and suck on it. Just make sure you had some alcoholic beverage first.

For me, I really wow everyone buy sticking the head in my mouth and sucking on it for five or ten minutes, then I spit it out in a napkin. I always get a round of drinks out of it. Personally, I think it helps make me a little strong in the bedroom area, if you know what I mean. There must be some mineral, vitamin or protein that is in the fish head that is good for older men, me thinks.

Try everything.

The single biggest cultural conflict I found was the Western rule that you should finish the food put in front of you with the Chinese rule that a guest should never be left with an empty plate or cup, and should always be urged to eat and drink.  At first, I felt that I was in a contest to try to eat and drink everything they gave me, until I realized that it was acceptable for me to politely refuse to eat or drink more.

-What are the biggest cultural shocks when you visit China

The host will make sure that you bowl is filled. Unlike the United States, a full bowl is a sign that you are finished eating. In the States, we couldn’t leave the table until everything was cleaned off our plates. “Waste not, want not” is the saying I believe. Well, that doesn’t go down too well in China. I must have gained fifty pounds before I figured it out.

Make sure that food remains in your bowl.

Oh, yeah, in China it is totally fine to spit things out on your plate. So you eat some fish, you can spit the bones on the plate near you or on the table cloth. Same with the bones, grizzle, and chicken claws.

Finally, the Chinese do not use "fortune cookies". They haven't the foggiest idea what they are. Most think that it is disgusting to have written paper inside a cookie that you eat.

KTV Prep

Eventually, the bottles of wine will be empty. Everyone will be full.

The aide will gather the left over bottles. Any cigars you are smoking will be finished. The aide will call the KTV and make sure that the room is reserved. He will typically get up off from the table and call at the side of the room, or in the hallway. The boss might call the manager of the girls (they operate outside of the club), and make what ever arrangements that he has in mind.

Typically (but not always) the manager of the girls will be an attractive woman in her 30’s. Hard as nails, and no-nonsense. Most have raised up through the ranks to get where they are today. Think Sharon Stone in the movie Casino.

You build relationships with these managers. As such, you get to know them. They manage the girls and they provide promotions and arrangements as necessary.

  • Sometimes girls want to be with their friends. So they would only work with a client if their friend can participate. I’ve seen this with two girls, and three girl teams. The only thing about this is that you need to make sure that all of the girls are equally playful. No one wants to spend any time with a sour-puss that has some home or family issues. Or even worse, a gal who just stands there stamping her foot anxiously and demands that both girls leave. Yikes!
  • Sometimes the girl has had a bad run of luck, and hasn’t been selected all week. So the manager would promote the girl. Maybe offer a reduced price, or extra services, or extra-long time, or maybe cart Blanche on the activities involved in.
  • Sometimes the timing is really bad, as all the girls are at home during holidays, or a number of the most popular girls are sick. The manager would need to make this clear and work out arrangements that might make some of the more unpopular girls more attractive to the client.
  • Often, the girl might not want to be physical with you. That is fine. That is her choice. The manager will then find a girl that will want to. In general, I would rather be with a girl that is enthusiastic to be with me, than a girl I picked based on appearance. No matter what you might think, the truth is that there will always be some girls that would really want to be with you.

Now, the management fee for the girls is actually quite small. This is something that surprised me. In The United States, I was under the impression that all prostitutes had pimps and the pimp would take the vast bulk of the money the gals made. Not so in China.

Han Chinese
Chinese girls for the most part are Han Chinese. This is the same race as the Koreans. In a like way, the Han Chinese and the Koreans are very similar. This picture is a mixture of both Korean and Chinese girls. Can you tell who is who?

The manager is paid by both the girls and the KTV. The payment amount is often quite small. Maybe a few hundred RMB a month (Maybe $30 / month). They make their money in volume, and repeat customers. If you have a troop of one hundred girls, that’s a solid $3,000 USD per month on girls alone. That is un-taxed, and keep in mind that that is actually equivalent to maybe $20,000 / month. Because the cost of living in China is much less than that in the Untied States.

Once, the girls have been introduced to the clients (as discussed later), final payment arrangements will have been established by the KTV and the manager. The money that exchanges hands goes into two batches. There is a direct “tip” that is given to the manager as a “thank you” for their services, and the transaction between the girl and the guy who selects her. In the case of the boss buying the girl, it is handled by his side. The girl gets 100% of that money.

Anyways, more about that later…

Whatever arrangements are made, eventually you will be led (oh yes, boy… you will be led) to the car and then to the KTV.

Some more Chinese KTV hostesses
Typical Chinese KTV hostesses. They wear different outfits, and it is not unusual for them to change in and out of the different outfits all night depending on the client and the situation. I always like the “Gone with the Wind” look where the girls would dress up in these huge flowing dresses, and have their makeup all done up. It’s a real experience, let me tell you.

From that moment on, you will be given “Red Carpet” treatment. You have proven yourself. If you handled yourself well, you out drank the boss, out smoked the co-workers, and ate a chicken’s head and spit it out. You gave the boss great face. Now, it is his turn to repay the favor.

How he will give YOU face.

KTV

The KTV will be lit up like a Casino. You will typically be driven directly to the front door, and an assistant will open the door open for you to exit the car from. It is important that you take your time. Stand straight. Smile, and look around you. The manager of the girls might greet you there. Though, she would make a bee-line to the factory boss first.

You will be led into the lobby. It will be well-attired and look like the inside of a casino or movie theater from the 1920’s. Lining both sides of the lobby, and forming a path would be two lines of girls. One on our left, and one on your right. Big KTV’s might have a couple of hundred girls in the line up, while smaller KTV’s might only have a handful.

KTV lobby lineup
Here is a typical lineup of girls in the lobby of a KTV. This is obvious a small-town or rural business KTV. There are only a few girls and the establishment is more hotel than KTV. They girls are all wearing identical dresses and welcome you. Typically they might bow and welcome you to the KTV, while the lead girl might take your arm and lead you to the room chosen for you.

The girls will all be wearing the same style outfit. Typically a dress. It seems like the classier places have the girls wearing long gowns. The girls will all be made up, and smiling. Every time that I go through these kinds of lines, I end up getting a great big grin on my face. The girls see this and start giggling, whispering to themselves, and smiling back.

All are stunning.

KTV girls upon arrival
Typical KTV girls. Some wear the same kinds of outfits, while others dress for the theme for the day or week. The girls need to purchase all the clothes that they wear. Most KTV’s require them to wear traditional Chinese dresses with a slit up the one leg and one shoulder bare.

Some KTV’s, especially in the smaller towns, cities and rural areas only have a few girls to choose from. You always get a better and bigger selection of girls in the bigger cities. In places like Shenzhen, Guangzhou, and Beijing there might have 250 to 600 girls to select from. All are stunning.

I only wish that I could have photos to post about this issue.

In fact, the demand for the girls to work is so high, that the KTV’s often have to turn away girls and charge them to work there. That’s absolutely right. They have to pay a monthly fee for the privilege of working there. However, it makes sense when you really think about it.

KTV Hostess
The girls are considered Hostesses, as they not only entertain their client during the KTV adventure, but they can sing, and dance as well. I have five years semi-professional ballroom dancing experience, and all can follow quite well. Notice the girls. They all have a darker complexion, wider noses, and shorter in statue. They are obviously from the Southern section of China.

The best way for a girl in her 20’s to meet a successful businessman, or middle manager is though a KTV. That way, she can get picked, and if she likes the man, she can stay in contact with him. Often making other arrangements. Many girls date men this way, and often have a group of guys that follow them around and give them money and such. As the guys, just like the girls, want to pick a high-quality mate.

In China, it is critical that the girls get married before they turn 28. They are considered to be non-marriageable after that date. So what the girls tend to do is spend their 20’s looking the best that they can, and doing what they can to attract the most suitable man. Otherwise, their parents will fix them up with a date. While the girl can say no, what usually happens is the girl just gives up and shrugs her shoulders and say “well, he’s good enough”.

To prevent this from happening, many girls work as KTV hostesses if they are in any way attractive.

Attractiveness is a cultural construct. The ideas of what is attractive varies from culture to culture. In China the attractive girls tend to be pale, frail, with big eyes, long black hair and a shape that is known as “fish shaped”.  In the states, especially over the last decade or two, the ideas of female attractiveness has migrated towards dark skin, big pouty lips, big breasts and big asses. Which often causes some surprise to the girls who work at the KTV. They ask “why do Americans always pick the ugliest girls”?

Chinese ideal for beauty
Ideas about physical beauty vary from culture to culture. In China, the ideal is pale skin, a calm and pleasant demeanor, long black hair, big eyes, and a pleasant smile. They tend to have a fish shape for a body and walk in a calm purposeful manner.

It’s a cultural thing.

If you read my post about the r/K theory, culture and society migrates biologically towards situations that improve species survival. In the United States, it is a r-society. It is a society where there is abundance. So people act similarly to rabbits. In a K-society, like China it is a land of scarcity. So they tend to be more predatory, like wolves.

r/K selection theory

That translates into many aspects of society. One of which is conceptions of beauty.

Now, I had best make this clear right off. A hostess doe not necessarily have sex with the clients. No. Instead, they party with them. They play games with them. They drink and dance with them. If there is a mutual interest, the manager can broker a financial payment arrangement that favors the girl.

There is no guarantee that the girl will trade sex for money. In China, the girl controls what will happen. It’s all up to her. The only thing the guy gets to do is pick her out of a line up. Everything else is fully scripted and controlled by the girl.

Whether or not a girl will have sex with a client is a decision made by the girl.

Sometimes she just feels like she wants to have sex. Sometimes she doesn’t, as it might be her period, and in China this is a big no-no. Maybe she isn’t going to have sex because one of her girlfriends in the club is not having sex. Maybe she doesn’t like you. Maybe you stink, or remind her of someone else. Maybe you are too old, too young, too poor, or just too drunk. Maybe your skin color is too dark, or you are Arabic, or you showed a lack of manners.  In all cases… she decides what will happen.

In any event, the girl’s manager will know exactly what is going on and will steer more willing and able girls your way if need be.

KTV room
The KTV rooms are often lavish. They are nice and typically dark so that all kinds of activities and things can occur. The bosses aide will get the thing going by talking to the room manager and arranging for food and drink to be brought forth.

When you enter the KTV, you will typically walk through the gauntlet of girls, totally overwhelmed, and be led to your KTV room. These are nice private rooms with bathroom facilities, food trays and all sorts of drinks. As you go in, you pick a seat and make yourself at home.

Soon enough, they will start bringing the girls in. They will bring them in at 20 a time. They girls will parade in front and form a line. Then upon command they will turn around so that you can see their back. They will then strike a pose. There are numerous poses that they take on. All are very becoming and quite attractive.

Staged KTV Hostesses
Chinese KTV Hostesses waiting in readiness for a line up. Typically they get together and stage themselves in an empty KTV room or in the hallway.

Nothing out of Jerry Springier, and no fat girls waving their enormous spandex tight asses. That is reserved for President Obama’s America. No. Here, the girls are demure, polite and act respectful. After all, day in and day out, they are paraded in front of factory bosses, internet CEO’s, Directors of banks, and other managers of high regard. They want to look their best, and be their best.

Contrary what the news media or the internet might say, China is not a “sex monger” paradise. It just isn’t. China is a nation of hard working nerds. If you want to experience some of the rewards of hard work, labor and study, then come to China. Otherwise and else look elsewhere.

These girls will smell a fake a mile away. You had best be working hard. Show that you know your stuff, and are willing to provide fun and entertainment to your business contacts. China is all about hard work, and relationships. If you are willing to work hard, and have built up a presence in China, and going to a KTV would be your reward.

The Scheme

In general, you can select a person to have a good time with. If you are a guy, this would be a girl. If you are a girl, this would be a guy. You pay them, maybe 300 RMB ($50) and they will play games with you, sing with you, dance with you, and talk with you. This is all over China. It’s common from Bars, to clubs, to hotels to KTV’s. It’s a great way to pass the time, and make some new friends.

The difference is that at a Business KTV, the girls are also willing to spend more (ahem) quality time with you afterwards. They also tend to be more frisky. Heh heh.

All for a price, don’t you know…

Age

The age of the girls differs from most other KTV and bar avenues. Inside a business KTV, the girls are expected to provide a service. That means, that they are forbidden to sit there and play on their cell phone once they are selected. That means that they must play with the client, and monitor his drink intake. It means that they must control the environment so that he has a good time and does not lose any face. Young girls are too inexperienced, or not mature enough for this level of responsibility.

The girls in a business KTV are typically between 22 and 29 years of age. I would guess that the highest percentage of them are around 23 to 25 years old.

Girls of the Business KTV.
The girls that you will encounter as Hostesses in a Business KTV in China will tend to be attractive, and stunning. These girls are really high quality, young and intelligent.

Girl Selection

The most important thing, and the only thing that you have any degree of control over is the selection of the Hostess. Pick the girl that strikes your fancy. If she is in the first line up, so be it. I typically get a girl by the time the third line up enters. I mean, goodness, if you cannot find an attractive girl when 60 attractive females are paraded in front of you, then you are useless.

You can ask the girls to turn around so you can see their backsides.

You can also ask which ones speak any English. Though, for the most part the KTV’s are loud and noisy. So we just use YouDou or WeChat to translate. In any event, you want a girl that you will have fun with.

During the selection process the girls will typically all have a number pinned to their dress. This number is the number of the girl and is used for billing purposes. There will also be variations in color. The color range is usually based on three stages; cheap, middle, and expensive. Maybe yellow, green and red for example. As an American, my tastes do not match those of a Chinese man. So, as a result, a cheap priced girl I might find fantastically alluring, while an expensive priced girl might be too thin or pale for my tastes. In any event, if the boss is paying your way. Price is no object.

The girl will remove the number shortly once she settles down with a client. Generally, she will continue to wear the introductory dress for the first hour or so, then she will live to the locker room and change into her day to day clothes. High-end girls will typically change into a nice party dress, while more “regular” girls will change into street clothes. This might be a sweater and a pair of jeans or something similar.

Often, I have been given the opportunity to select two girls, and even three, to play with. But, you know, I am just a man. I am not a machine.

I am a man. I am not a machine.

Now, this is not the USA. You can play with the girls and you most certainly will. Throughout China, the girls are hand’s on. Meaning that you can pretty much explore their entire body with your drunk roaming hands. Now that pretty much remains the case whether or not you take them to the room upstairs with you.

However, please take note, that a slimy drunk slob is not someone that any of the Hostesses would want to spend any time in the room (or bed) with. So, as a rule, I for the most part remain a gentleman, and only initiate sexual contact when edged on by the other boss, or if presented with that opportunity by the girl herself.

That being said, depending on the time, place, and the situations, sometimes the girls might elect to  go semi-nude. They will take off their dress and be with you only wearing their panties. Unlike the United States, the rest of the world doesn’t have the same kind of taboos about woman’s’ breasts that Americans have. So if this is indeed the case, just enjoy and have a good time.

It will be a good time.

About the Girls

The Chinese culture is not the same as American culture is. It is very traditional. Girls expect a traditional man, and they take on traditional roles. In their young years, from around 18 to around thirty, the girls will concentrate on building a career (if that is their preference) and attracting a mate. In today’s society, the female is expected to be married, take care of a family and have a baby.

It sounds so strange to us Americans.

For we have had decades of new progressive values rammed down our collective throats. We have been taught that men and woman (as well as just about all of the other 64 genders) have the same values and interests. We are taught that it is very sexist to be attracted to a pretty girl. We are taught that looking at an attractive girl is the same as raping her. We are taught that men don’t own women, and the highest complement that a woman can have is to be superior to a man in every way possible.

It’s no wonder that America is the way it is today.

Meanwhile the rest of the world has traditional values. Women are taught to be attractive. They want to be attractive. They want to be ladylike, and they yearn to become a mother with a family and a man that supports them. They learn how to budget for a family. They know how to cook, care for sick family members, and do what ever it takes to support the man to earn and make money. For in a traditional society, the man is the bread-winner. The wife tends to the home. She tends to the family, and she tends to her man.

In China, young women do what ever they can to increase their chances for finding “Mr. Right”. Though, in Chinese terms, this doesn’t really equate to heart-felt love. Instead it equates to finding a good strong “family man” who will work hard, support the family, and support her family. In a like way, Chinese men feel the same way. They want to become the strong man in charge of the family. They want to be able to provide for their family. They want to participate in the education and growth of their children.

The ladies spend hours getting ready for work.

Prior to showing up at work, typically around four or five, the ladies will be at a hair salon. They will get their hair all fixed. They will have their nails done, and have a professional makeup artist apply the makeup. There is an entire sub-culture of salons that cater only to the KTV trade in this respect. Once all dressed up, they make their way to the KTV and clock in. There, they go to their lockers and see which dresses and outfits that they are assigned to wear for the day.

In Shenzhen, you can often see these gals in the salons in  Louhu village getting ready for the night’s adventures.

Girls and the KTV table.
Once everything gets settled, the lights might come on so that people can check their phones, and notice where the chargers are. As all girls have the latest in cell phone technology. All rooms are fully Wifi enabled. They will typically get out of their costumes and put on party clothes so that they can be more comfortable with the clients. Here, you can see that the girls have changed out of their formal costumes and are wearing their outside clothes. In this case, mostly short party dresses.

The girls typically share an apartment with another girl or two. If they have a string of men that support them, they might have their own apartment that is provided to them by a love-struck hopeful. It’s not really an issue, as typically the men only visit China once every three or four months. They can rearrange their busy schedule (and trust me, for some gals, it is really busy) and make time for their one man to visit China.

Girl Types

The girls are semi-predictable.

22 year old Chinese girls
Chinese ladies are very beautiful when they are 22 years old. They know how to take care of themselves, and spend a lot of time to look well and dress properly.

The youngest girls at a Business KTV will be no younger than 21 years old typically. The younger girls, of course, use the money they earn for new clothes, phones, and fashion. They tend to make many blunders. They often lose their phones, get too drunk, and get tangled up with bad people. Somehow they always seem to brush it off adroitly.  This means that they might end up trying some drugs, or having their money stolen, or get into a fight with another girl. The younger gals that I know are usually out of the business after one or two years. These girls tend to get pregnant really young, maybe 23 years old. In China having a baby under 28 is considered very young.

Girls in their middle 20’s, say 25 to 27, who have already been doing this for a few years have decided to earn money for their own purposes. They are fully ready to get married and channel all their money into looking good and snagging as many hopeful men as possible.

25-year old Chinese girls
Here is a selection of “typical” 25 year old girls in China. Also just as beautiful as their younger counterparts. However, at this age, they are much more focuses and motivated in finding a good mate and establishing a good family.

The girls in their middle-20’s, if they have the beauty, they migrate to the cities and go to the very expensive KTV’s. Here they can make enormous amounts of money, as well as meet some very powerful people. Again, the end goal is to get married to a “good man” (by Chinese standards) and have a family by the time they are 28.

Those who are older than this, typically are focused on setting up their own business. For one reason or another, they no longer rely on the objective of having a man provide for their family and children. They believe that they can do it on their own. Their objective is thus different. It is to set up their own business, where they can control the finances, and establish a family. This could be anything from their own hair salon, fashion boutique, or food franchise. I have met a girl who owns a number of famous Western franchises in China. I don’t know about you guys, but it would take me a century to save up to buy a McDonald’s franchise on an engineering salary.

30 Year old Chinese girls
Here is a selection of some Chinese ladies that have reached thirty years of age. The Chinese female form certainly ages well. At this point in time of their life, they have decided to move forward on their life alone, and career, business and other pursuits take precedence over finding a mate.

Most of the managers of the girls fit this last profile.

China holds the title for the world’s most female billionaires.

http://www.mogulite.com/female-billionaires-chinese/

Having Fun

The parties can get rather crazy. However, mostly I would say that they are pretty stable affairs, with drinking, singling and playing games of dice. The most popular game is a game of five dice in a small red plastic cup. You shake the cup and the person who loses has to drink. There are various games that fit within this framework. All are similar and structured the same way; to get drunk.

Boss in the KTV
When a boss goes to a Business KTV, he can let his hair down, relax and have a good time. He can eat, sing and enjoy the friendship of an attractive female companion. What is not to like?

Other games include burning a tissue holding the dice in a cup, number games, and of course singing. I cannot sing Chinese songs very well, so Duets are out of the question. But I can sing numerous Chinese songs which surprises the ladies. However, all in all, I like to sing American songs.

A little beer is definitely in order (and is usually cheap) so order some Tsing Tao and get to work. In the KTV, it is perfectly fine to start drinking beer. Though I am often entangled with some younger Chinese managers to drink beer until they pass out. I usually have none of that nonsense, and ask my companion to take over for me. I am a boss, I don’t need to prove that I can drink.

Certainly not using beer. It is like water to me.

If you don’t like singing the girls will often sing to you and sometimes they’re pretty good (they get lots of practice). There are various games.  I like to play the games with dice, which is a drinking game.  One game involves covering a glass with tissue and taking turns burning the tissue to see who will cause a die on the paper to fall.  They also really like playing rock paper scissors. (The American version comes from China.)

My preferred American songs include groups such as Aerosmith, and country and western singers. Ah, many a night the ladies would have to endure me singing Oasis’s song “Wonderwall”, and “She ain’t Right”, by Lee Brice. Of course, you must have the girls belt out a tearful love song or two. This is mandatory, and make sure that you fuss over them. It is the closest way that any Chinese lady will express any emotion.

Remember, the KTV environment is a “safe space” where the individual is protected and permitted to let “it all out”. They can be crazy. They can be emotional. They can be and live the fantasy that they want.

At some point a guy or a gal is going to come in with a cart full of goodies… little snacks and stuff like that. It’s cheap by American standards so don’t worry. For us locals, well, we tend to think of it as expensive and pricey.

High end joints will have a cigarette girl wearing a crotch-high cute dress and a little bell-boy pill-box hat.  They will have a wooden tray in front with all kinds of cigarettes and cigars (from Cuba no less). I always like to get a cigar, and (since this is China) no one would dare disrespect me (and lose face) by telling me not to smoke it.

Nude and Playful

Sometime during your evening you may also be offered a strip tease show by one or more girls. (Typically, all the girls would participate.) It costs extra, find out how much before you buy. For the longest time I refused these opportunities, as well as two-somes and group-fun. Now, I never refuse. You can keep all that Puritanism and shove it up where the sun don’t shine.

Now, of course, this is not like what you would expect in the United States. No girl will get at a pole and strip off her clothes to the music. Nope. Instead, the girls will just undress right there on the sofa next to you. They will be fully nude except for panties and high heels. There are pretty quick about it too. They just kind of slinky out of their dress and sit there smiling.

Expect a bare minimum of 10-15 minutes of full contact fun. This will include lap dancing and other playful antics. Truthfully, once started, it actually tends to last all night. Hey! No problem.

The policy is “please touch” unlike the in the US where that will get your ass kicked by a steroid abusing meathead bouncer. However, in China it’s ok.  And I like it that way.  (This is true even if they still have their clothes on. You can roam all over their bodies as you desire. This is China for goodness sakes!)

Of course, you need not get too hot and bothered. Many of the girls will grab your crotch and try to guess the size of your member in length, girth, width and stamina. For instance, you might be with one girl, and another girl will sit on your other side and start feeling your nether regions and adjusting things down there for you. (Personally, I think it is their way to judge how much they can make off you later on…LOL.)

It’s sorta like an appetizer for things later on, or (perhaps) used to entice you to pay up for a “long-time” girl. Anyways, it really doesn’t matter. In a business KTV the boss host will pay for everything. So agree to it.

Parties can be fun

So everybody is well fed, well drunk and you’ve had your fill of beer, karaoke, weird Chinese snacks and strip shows – now what? It’s up to you… usually.   Sometimes, depending on the arrangement with the girls manager, the parties can get really fun, as these two videos can attest…

The girls will typically have a good time. Their enjoyment is directed by their manager, who works out what ever arrangement that is proper with the host boss. They will be edged on by the other girls who might inspire playful acts of a sexual nature and other curious events. The basic idea is to get drunk. Lower your inhibitions and have a good time.

As these videos attest, being with a cute gal getting drunk and playing around is a great reward for an ambitious boss.

The KTV is a refuge. It is a controlled environment where a person can become someone else and behave differently. In China, everyone wears a mask. This is a face (mingzi) that defines their role and how they conduct their business. For many bosses they only have two roles; Boss and Family head. Each time, they must exist within that role.

They come home and the wife and the children expect the father to be a traditional father. He will play with the children. He will help them learn. He will support the wife and take care of things that she needs help with. He will do this within the role and the face that he must wear.

Then, he goes to work. He puts on the Boss face. Here there is actions and behaviors that he is culturally restrained to follow. He must maintain that appearance. He must always be calm and collected and stern and in control.

The problem is…

There is never an opportunity for him to let loose and be himself. Never. Never, that is until he goes to a KTV. There, once he is inside the doors, he is in a world where he can be himself. It is a protected world. The doors are closed and sealed. Guards protect the people inside. Female entertainment is provided, and if the KTV is half decent, the girls are vetted to make sure they do not prey on the clients.

KTV-typical
In a KTV environment, the boss gets to “let his hair down” which is a very difficult thing to do in the Asian culture. In Western cultures everyone is “doing their own thing”. Not so in Asia. Thus the need for a release value where a hard working alpha male can have a release from the stress of life.

He eats, drinks and is merry. Then he can go upstairs to the hotel room and have a nice long happy ending with the girl that he had selected. Else, she might ride home with him to a different hotel. All of which is under the helpful and watchful eyes of his aides, the girls and KTV managers, and the security guards that are stationed for protection.

THe KTV is a place for fun.

Now who doesn’t like to smoke, drink, sing and dance with pretty girls? It’s a rare person indeed. When I grew up we used to have keg parties in the woods and we always would sing, and carry on. We always loved it when the girls would play with us and have fun. This is universal.

Pricing

Of course everything comes at a price. If you are being given hospitality, you won’t need to pay for anything. However, it is always a good thing to have an idea of what the costs will be like. The days of $50 USD for dinner and all-night sex are long over. Never the less, you should understand that if you are given this kind of hospitality, you are expected to be “worth the investment”.

  • Renting the room will vary from a few thousand RMB to 20,000 RMB and up.
  • Just having a pretty girl to be with you and play will vary from 300 RMB to 750 RMB for four hours.
  • Stripped down playfulness might cost you another 500 to 2000 RMB.
  • Drinks are usually provided as part of a “meal plan” where beer and drinks are provided with snacks. This will cost from 500 RMB to 7000 RMB.
  • The girl will run from 600 RMB to 5000 RMB for a “short time” with “one shot”. Multiple shots and longer periods of time (longer than 40 minutes) can be negotiated.
  • “Long time” girls will be double that.

Thus, it should be understood that the boss could easily spend 60,000 RMB for you to have fun with and provide you hospitality. This is $10,000 USD. Do not take the hospitality lightly, and don’t insult his face in the process.

Singing

All KTV’s have a huge big-screen television that is hooked up to the KTV machine. It is a touch activated screen that you can pick a song out of. The songs are provided along with some kind of cheap video (if the actual MTV video is not available). Ask your English speaking aide to assist you.

KTV music selection
The screen to select the KTV songs is a touch activated device. There are different systems in use. But, all use a basic search engine technique and basic controls. You can search by name of song, artist, album, year, gender, theme, emotion, and a host of other criteria. These devices have everything from children’s songs to songs suitable for grandparents.

Typically, I carry in my wallet a list of groups, singers and songs that I can program and search for in the KTV. Else, you would have a dickens of a time trying to think of a song to sing. The environment is noisy and the songs that the other people are all singing are Chinese. So you will have a real hard time thinking of a song.

Once you pick out a song, you can adjust the percentage of the vocals to sing along with. It can be anywhere from 100% (as in listening to the full song) where you just sing along, to 0%, where the only vocals are your own. Personally, I like around 60% that way it doesn’t sound so bad. No one really cares how well you can sing. They just want to see you release emotion. As that is the entire purpose of a KTV in the first place.

The reverb and sound can be adjusted with echo and other effects. I personally don’t like the effect. However, others do. You just go with the flow and use the presets unless someone else says differently.

There are decades of technology behind this. Today, you can connect to the KTV player and sing to songs on your smartphone. You can search the internet, if there aren’t any songs on the local hard drive. You can do all kinds of things. HERE is a link to one manufacturer that makes these devices.

Dancing

Often people get up and start dancing. You know, the Chinese are often nerds, and so the girls and the guys will get us and shake their body to the music. Not me. I took five years of professional ballroom dancing lessons. I can dance.

Which surprises the heck out of the girls.

It’s a happy and welcome surprise. These girls are trained and experienced Hostesses. they can follow my lead in dancing, and it is a real pleasure. We do the foxtrot, the merengue,  the waltz, the two step and of course the Rumba and Tango. You know, for a guy like myself, just being able to dance, with a experienced and qualified partner is worth everything.

Now, the ballroom dances are very popular in China. Often huge classes are held outdoors in the empty plazas in front of the malls and such. People dance and learn the movements on their own. But, to dance with a partner is just… magical.

I typically start out rather easy with a two-step or something basic. If they can follow well, then it eventually evolves into a tango. There, I like to get really dramatic, and the girls really get into it as well. It is truly amazing. There is something about booze, sex and dancing that brings out the raw emotion in us all.

Tango
Dancing the tango. It’s a dance of battle and wits. Once led properly, the woman can release and let herself go. She becomes the dance. The experience becomes magical.

And for the girls…

Now, you shouldn’t be under the opinion that China is a sexist nation. It’s not. Everyone is equal here. Just like the male Bosses can go to a KTV and  pick out an attractive girl to play with, a female boss can go to a KTV and pick out an attractive boy to play with.

For some reason, one that I am unsure of, the KTV boys that service the ladies all dress in white. Everything is white. They tend to be in their twenties to mid thirties. They, just like the ladies have their own network of clients and “favorites”. Often the wealthy female boss would buy expensive presents for the boys. For instance, a Ferrari, or a Lamborghini.

Yes… they are that wealthy.

Men in white
The men who take on the Host role for the female bosses would all dress in white. They would look something like this. All would be thin, well groomed and attired.

The guys typically defoliate their entire body except for the head so that there isn’t any hair. None have facial hair. They are also impeccably attired and very very clean. They take classes in how to dance, talk and make interesting small talk. Once they are chosen by the female boss, they give her every attention.

There are those who have ended up doing drugs, but for the most part, they try to keep clean and sober. They will drink but really do everything they can so that they will not embarrass their client.

The number of guys to girls ratio is smaller. If the KTV would have maybe 600 girls, it might employ only ten or so guys. The need for guys, while present, is not as great as a demand that exists for the girls. Often the guys would be friends with the girls and there would be many dates and fun times together outside of work. Both hosts and hostesses know how to have a good time so the time together tends to be of high quality.

EXO
In many ways these guys are very typical of the male version of the female Hostesses of the KTV’s in China. A well-heeled female boss can expect to have her pick for a companion for the night.

Typically, but not always, the same manager for the girls would manage the boys.

The boys can make much more money than the ladies do. If they find a rich and maybe lonely female boss, they can easily earn a couple of million dollars a year. (Seriously!) The females that hire these men are typically 40 years old and up. Many are millionaires, and some are even billionaires.

Nicholas
The young men who do work in the KTV’s tend to be very popular. They have cultivated their skills, and do everything that they can to be a pleasing and suitable companion to wealthy Chinese clientele.
China does not discriminate between girls and guys.  While most KTV’s maintain a contingent of girls, there are those that have a mixtures of girls and guys, and even some that are guys only.  The men, typically in their twenties are all handsome, well groomed, (clean) and attractive.  They (at least the ones I am familiar with) wear all white. (It’s a Shenzhen thing, I hear.) The situation and selection for them is the same.  The only difference is that what a woman finds attractive in a rent-a-boy is different than what a guy finds of interest in a rent-a-girl.

They also tend to be rather torturous with the young men. I have heard stories of the women getting the guy so worked up and horny and not permitting him to ejaculate. And sit there, with their friends, enjoying him suffer. They would make sure that he would take the necessary medicine to get an erection and drive him insane with desire. Then watch him pine away in agony.

I have heard stories of women burning parts of the boys skin with cigarettes and melting hot wax and pouring hot oil on parts of their bodies. Some can be rather cruel. I have no idea why this is so. Maybe they are tying to get back at the male gender for some reason or the other.

I understand that some KTV’s allow the boys to mix up their outfits somewhat. This is only hearsay. The KTV’s that I know of have a strict all-white dress code to prevent confusion. I have heard that some allow certain exceptions to the dress code so as to be on the cutting edge of fashion.

White uniform
Certain KTV’s permit the boys to accessorize their uniform and costumes somewhat. As in China, the boys do not have the same kinds of freedoms that the girls have when it comes to the working environment and dress codes.

My former Marketing Manager thought that having an attractive man to tend to her every need was a good thing. She really enjoyed the company. I can confirm this two times, let me tell you…

Your Aide / Wingman

A very important part of your visit to a business KTV is to have an aide or wingman to look after you. They will make sure that you are registered into the room, and that your passport will not get lost or misplaced. They will keep an eye out for you and help you out of the KTV room when it is time to go.

Going to the Room

They will help you and the girls into the elevator and you will ride it to your floor. It will be something like this video below. The girls will take the lead and show you where the room is and help you get settled. They will open the door with the card key and place the card in the activation slot.

It will be like this if there are two or more girls with you…

Otherwise, if you are just going with one girl. It might be a little like this..

The girls will file into the room and my aides will collect my gear and keep it safe with him. I will retain my glasses and cell phone. Then we will call it a night.

My aides will then go off to their own individual rooms with their companions. And I will be left alone with the girls. The girls will typically find a outlet to plug and charge their cell phones with. They will check their WeChat, and confirm any last minute messages. Often they will have other guys (fans?) sending them messages that they need to respond to. I just let them take a few minutes to get settled. 

Turning In

The girl or girls get settled in. If you are there with three girls, typically two will rest in the other bed and you will be with one girl. Then they will take turns with you. If we are all too drunk it is simply a matter of passing out for five or so hours. Otherwise, showers are used and everyone gets clean.

  • Don’t trust the bath towels. I don’t care if they are sanitized. You use them everywhere except down in the groin area. Trust me, you don’t want to get the clap or some other serious illness. Use a condom.
  • Brush your teeth.
  • Make sure that you take your eye glasses off, or they might get broke while you are romping and playing around.
  • If you really like the girl, get her WeChat, so that you can get together again in the future.

Sometimes, you just want to decompress for a bit before you engage in any kind of sexual activity. When this happens I like to see where they are from and so I ask all kinds of questions about their hometown and the food that they eat. Often we talk about life and dreams. I’ve had more than a few chats about bitcoin, setting up international trade, and tax/tariff laws in the United States. Honestly I was really impressed with one gal who had a dog grooming business and a massage parlor on the side. She had her act going on.

Some of the girls are quite wild and experienced, but I am just a rather boring old Joe. So we just keep things simple, which in a way is kind of a relief to the girls. Now, keep in mind, that if you are there with multiple girls make sure that they are all EQUALLY engaged, or else the one who has been neglected all night will want to leave – thus spoiling all the fun.

Sometimes the girl will leave behind a trinket or two for you to remember them by. That’s a nice thought, but I just throw them away. If I don’t have their WeChat contact information, I probably won’t ever see them again.

The Next Day

You wake up. Your mouth tastes like a soggy ash-tray. The sink is usually stained from purple colored vomit (wine and dinner debris), and the girls are ready to finish you up for the day. While the girls are waking up, you go to the bathroom. Brush your teeth, get ready, and then go back to bed.

There will always be the “eager beaver” who will want to be first on. So let it be. Just make sure that the other girls get some. At this point, I cannot stress hard enough the importance in controlling your alcohol tolerance and the necessity of being ready for the morning festivities. Reliance on little blue pills will not cut it alone.

Eventually you will all be finished and exhausted, no doubt.

You all say good bye to each other and just lay there while your aides and managers wake up. They will tend to give you a call and then you will meet the boss again for breakfast. I would advise Jiu; congee which is made from rice. It’s a kind of rice porridge out of pork or chicken. Put something warm in your stomach for goodness sakes.

Congee
My preferred meal after a long hard night of drinking and fun is to have some nice warm congee. Often, we will eat dumplings and doujung with this as well.

Then get ready for the trip back home…

Crackdowns

Sometime around 2013 and 2014, China really started to crack down on these establishments. Entire cities in DongGuan were affected. Today they exist, but are very quiet. More like American “speakeasy’s” of the 1920’s. It doesn’t really matter though. As long as the boss has the name and card of the manager for the girls, she can direct him to the appropriate KTV venue.

Cryptic text
While “Happy endings” for massages are legal, trading sex for money overtly is not. There are various “work-a-rounds”, as not once has sex been eliminated from the needs of the human condition.

A few years back, the business KTV’s were everywhere, public and out in the open. Today, they are found hidden. They went “underground”. Also, industry has matured somewhat. You will not find so many foreigners invited to Business KTV’s as they used to be. Most Business KTV’s tend to be reserved for Chinese businessmen, as America has gotten the reputation (in China) for being Puritanical concerning smoking, drinking, singing, dancing and sex.

As a foreigner, you now need to explain that you would like to sing, and dance with pretty girls. Otherwise, the boss might provide you with Western-style hospitality. Which might be a cup of tea, a cookie and a handshake.

The Myth of the Poor Little Waifs

Many people talk about the poor young girls sold into forced slavery and prostitution. They talk about the terrible stories, and how demeaning it is. They speak as an expert because they have read about these situations. They know all about it, it seems. CNN, MSNBC, WaPo, and the Guardian has told them that this is the case…

"Ever think that these girls are kidnapped and basically sex slaves that most of the money they are making isn’t going to mamma sans, that they are forced into situations where they are sexually abused and raped.  Maybe some sit on the streets talking on the phone but that’s the lucky ones.  Human trafficking is a huge problem in china. I think your article is really insensitive and quite sexist, not as bad as “women who wear revealing clothes deserved to be raped” but still misses the fact that they might be doing this against there will!" 
-Wallimo

Wow! How do you know all about this? Have you ever been to China? Do you even have a passport? How do you know that this is actually the case, or are you just regurgitating some bullshit that you read? I would argue the latter, most certainly.

Oh, and they are not called “mamma sans” for Christ’s sake. They are called 女经理, or if you prefer lăobănniáng.  What the fuck is “three horse horse”?

I’m in China. I’ve been living here for a long, long time. I do happen to know many of these girls. I mean it. I fucking know them. I talk with them. I eat meals with them. I go to parks with them. I’ve even helped them pick out shoes to wear. They are not some poor abused and misused waif. There might be some, Oh, maybe 0.000000000000001% chance. However, it is the exception and NOT the rule.

"Wallimo, only a very daft person couldn’t tell the difference between a self-interested gold digging KTV hostess and a sex slave.  

Everyone is aware of the human rights dilemma in China in the sex trade in particular, but even if you visited the seediest parlors and saunas from Shanghai to Lhasa, you’d probably never come across even one sex slave.


In other words, they are vastly outnumbered by girls who are willing to enter the industry for personal gain, and what’s more, they are typically trafficked into different types of establishments, mostly catering to repeat customers.

We’ve heard that finger-wagging rhetoric so much that most of us just get annoyed when people spout off on those holier-than-thou guilt trips."
From Disporia-ChinaSmack

Yeah. Those of us with REAL experiences are tired of the ignorant and their “holier than thou” attitude.

If all you read is from CNN, WaPo, and the Guardian you might be convinced that the world is going to be taken over by sex-dolls, and that sexual slavery runs rampant throughout the world. Who thinks up THIS nonsense? That, and that Donald Trump is a Russian spy, that you will keel over and die from a zombie outbreak of bird flu from Hong Kong, and we are all going to die by global warming.

Personally, I am so sick and tired of being lied to, manipulated, and having my emotions put through the wringer. It’s all nonsense used to control YOU. Don’t fall for it.

SJW
Social Justice Warriors, how’s it working out for ya? You getting the needed changes in society to make it as attractive as you desire it to be?

That’s not the truth. None of it is. Not by a long shot.

Parroting what you have been programmed to believe is far below your potential.  If you’ve got something good to say and to contribute then I would welcome your contribution. Here are some areas to start off with…

  • What is the going rate for a short-time in Daliang?
  • How much does it cost to have the hair done and fingernails done at 5pm in Shenzhen, Louhu?
  • Where do the girls get the costumes? And who does the alterations?
  • What is the busiest time in the year for Business KTV’s?
  • When the girl agrees to a contract at a Business KTV, what is the duration?
  • What is the best day to work during the week?
  • Which days are the girls permitted to take off?
  • Can the KTV provide dorms and a minimum salary, or are there free-lance considerations?
  • What is the girls locker room like in a Business KTV?
  • Where a dorm is offered, what are the sleeping arrangements, and how are the meals prepared?

If you are unable to answer any of these FUNDAMENTAL and most basic questions, than you actually know jack-shit about this industry and culture. So do not lecture me on something that you read out of a UK tabloid, or an Op Ed from a liberal American media outlet.

A Very Good Story

I have posted, on this blog, the ramblings of a “pimp” for these girls who work the KTV scene. If you want to read about this some more, then please go HERE.

Opinions of Others

Yeah, I spent the time churning this post out. I took the time to do it. I paid the money to host it. Now you, the lucky reader, can read it. Woo woo.

I have gone on to other blogs and have read the comment sections where people have torn up a guy for relating his experiences. Most of the time, the comments are juvenile with such things as you might expect. “These girls are prostitutes.” And “I would never set foot into a KTV, the music sucks.”,and “They are abused poor waifs”. OK, whatever.

This is the real deal. Like it or not.

via GIPHY

I personally do not want to hear the opinions of someone who have never stepped out of the Untied States and is making judgements based upon their limited understandings of reality. If all you can relate about is the inside of a Bo Jangles, and your idea of hard work is coding software then you have no business being here. This place is not for you.

You don’t belong here.

You all can all go eat your pork rings, drink your expensive Starbucks coffee, and service your 400 pound overweight pink-haired ambiguous-gender friend. You can believe in reptilians taking over the government, the next war the United States will have “for Democracy”, and get ready for the global warming that will melt the ice caps. Good for you. That is your reality.

This is mine.

You want to comment. Good. Remember that here, I am GOD.  So remember who you are dealing with. Unless you are kind, and civil I won’t publish anything you say. So it might be best for you to swallow your bile and leave.

via GIPHY

The world is filled with ignorant busybodies who want to make the world a perfect place in their own image. The problem is that their image is one that is forged thought the media. And, folks, the media in the United States is controlled by five people. That’s it. Five people control every fucking thing you read. So what you are actually doing is being a puppet for the wealthy who control you, control your thoughts and control your life.

So be it.

Call me all kinds of names. I know quite a bit more about our universe and our reality. So don’t get too hot and bothered with my thoughts, experiences and opinions. For as far as you know, I’m just bound for Hell.

via GIPHY

Another View

Of course, you don’t have to accept what I have to report. You can just read propaganda from the news media. Here’s a good example, out of the UK (why am I not surprised?) about DongGuang. Read the article.

Inside Dongguan, China's Sin City

Some 10 per cent of Dongguan's population is said to be employed in the world's oldest profession. Tom Phillips reports from China's Sin City.

In the article, they said 10% of the city population was involved in prostitution. Wow. That’s a lot. A lot!

Let’s break that down…

Which means, that if the vast bulk of the prostitutes are female, then nearly 20% of the females in this city region are prostitutes. ( assuming a ratio of 50/50 of men and women.) If you further narrow down prostitution to be females between the ages of 14 and 40, then this article, were it to be believed, means that you would have a near 65% chance of any girl between the ages of 14 and 40, including married women as being full-on prostitutes.

You couldn’t walk down a street without seeing a prostitute in most of the ladies you walk by. You couldn’t have a coffee or tea without sharing a table with one. You couldn’t buy groceries without meeting one. You couldn’t ride a bus without seeing about half the bus as prostitutes.

Think people. Think.

God, what a slimy article, and what slimy photos. Did they even go there? Why did they pick the sleaziest section, with the sleaziest people? Anyways, they have a photo to “prove” sexual slavery of children takes place. Here it is… Look at the pitiful small babies sold into prostitution. Why, they are certainly elementary-school age!

Child Sexual Trafficing
This photo is given as proof that sexual slavery and child prostitution is “rampant” in the industrial capital of Dongguang. Look at these three children. Why they are missing their school classes and won’t be able to perform basic mathematics! How horrible! My Goodness!
  1. Did they give locations, names, and facts?
  2. Any statements that they made, are they backed up with hard information?
  3. For an in-depth article, what details have they provided, such as demographics, industry, times, income data, social concerns, statements from the local police, statistics, and the like?

All of the hard information is all missing. It’s just one of a thousand fluff-pieces designed to change the mass consciousness of the reader toward certain assumptions.

Now for some comparisons. Maybe you don’t like what I wrote. Maybe you think that I am a deplorable ass hole. Maybe you want to clutch on to your most cherished fantasy…

Well then, which article (this [1] blog post, or [2] the UK newspaper article) was more

  1.  Informative to you personally?
  2. Which one would better serve you to write a report, or
  3. investigate further issues, or
  4. spiked your interest towards different cultures and ways of life?
  5. Which one gave you a better perception of the reality of what is going on?
  6. Which article is more complete, fulfilling and worthwhile reading?
  7. Which article gave you a more fuller and comprehensive picture?
  8. Which article did you learn something new from?

What are the girls like?

Every trip is different. However, the girls tend to be attractive and high energy. Unlike the stereotypical image of Asians, most gals in China tend to be very curvy, sweet and very attractive. Sort of like these mini-videos can attest to.

Summary

This was a compilation of information that is sorely lacking on the internet; information regarding “Business KTV’s” in China. It is a huge industry, it employs millions, there isn’t one single factory or business boss that hasn’t gone to one. Everyone knows about it. But… But no one ever talks about it. Those that try, are often shouted down by the ignorant and the legions of enraged SJW who want to make the world perfect with unicorns prancing under a rainbow hued sky.

Well, now you know.

You know, knowledge is a good thing. Overall, I think that it is refreshing to hear the truth about things rather than live a lie painted by others. That’s how you grow, experience life, and make decisions upon.

Now while the Business KTV has a degree of prostitution, you should not be blinded by that sole aspect of it. The true and real purpose of a Business KTV is to reward high performing bosses and talent for contributions to the company.

Do you think that Alibaba, and Huawei got to be so big by giving their high performers paperclips and cheap pens with logos?

Is a Business KTV good or bad? I don’t know.

Seriously, the thought that I would be rewarded with a fun night with other bosses really motivates me. That is far superior to the ball-point pen with a logo that said “Success is a way of life” that Magnavox gave me for saving the company five million dollars.

It is also superior to the coupon for a free coffee at Tim Hortons that Pollak gave me for designing, producing, and perfecting the E-ETRESS system.

I personally think that it is far superior to the corporate note pad with the company logo on it that I got out of Delco Electronics for perfecting a remotely programmable SIMM on the ECM modules.

When you work and toil in your corporate job, look at how they reward you.

Girls vrs pens
What motivates you to work harder? Which is the better reward for skipping vacations, working overtime with no monetary benefit, and working weekends? Which is the better reward when you save the company a few million dollars?

I think that the Chinese system is superior.

Important Notes

  • Never refuse the offer for a dinner or KTV. While the boss might accept and agree to work with you, it will be done so reluctantly with a “clothespin on his nose”. As you have insulted him, his factory / business, and the Chinese culture.
  • Understand that the arrangements for a dinner, KTV room, and girls have already been arranged days a head of time. To think or expect otherwise is an insult to the boss who places a great deal of time and effort into the meeting with him.
  • Going to a KTV does not guarantee any kind of sexual encounter. That is up to the participants and the environment. Remember, it is the girl that decides what will happen.
  • If you are unwilling to do business in China using Chinese industrial norms, then you should expect the consequences. Don’t, for the love of God, be the “Ugly American“.

Take Aways

  • KTV’s are popular in China.
  • The business KTV’s cater to bosses. They have their own ways of doing things.
  • Both women and men can enjoy themselves at a Business KTV.
  • There is the potential for sexual pleasures at such a KTV, but it is not guaranteed.
  • Visiting such an establishment can be expensive, thus they are typically only reserved for special occasions and special business relationships.
  • Female hostesses work at a KTV for various reasons. The most common is to find a mate with a good job and a steady income. You go to where the best likelihood of finding what you are interested in, is.
  • Male Hosts work at a KTV for their own reasons. I do not know their reasons. It varies from person to person. I do not know what they are.
  • If you go to a Business KTV, take ED medication, and control your drinking.
  • The best way to impress a Chinese boss is to drink hard and eat a chicken’s head.
  • When having sex with a stranger use a condom.

FAQ

Q: How can I find a Business KTV?
A: Ask the factory boss in the town that you are doing business with. He will probably be able to point you in the general direction. Else, you can go into a Business Hotel and chat with the manager there and ask where any exciting girls and girl action might take place.

Q: Is a Business KTV the only type of prostitution available in China? 
A: No. Not by a long shot. In fact, it is a rather small subset of society that caters only to company leadership, management and bosses.

There are other venues such as escorts, and the like that a person can visit and use if all you want to do is to have sex with a girl.  If all you want to do is to have sex, you can go to a salon, or pick up a street walker for maybe $25 to $50. It need not be expensive. You go, get the gal, pay the money and get yourself off. It’s far better than reliance on internet images and magazines.

Besides, these gals have families to support. Instead of giving some rich California software king your money to download an x-rated porn movie, give it to the girl’s so her children can eat dinner and her husband can get a new pair of work boots.

Q: What are the types of prostitution in China?
A: There are many.

  • Mistress or Second wife (二奶, èrnăi): She gets a monthly salary in exchange for regular sexual favors. Even if she usually does not offer romance or family life, sometimes she lives with the customer and may wish to marry him.
  • Packaged girl (包婆, bāopó ): Similar to second wives but only work for limited time, as on business trips. These two upper tiers correspond to the ancient concubine, although the second wife and packaged girl are mostly kept secretly rather than in the household. Only rich men can afford them, therefore these girls are often considered to be linked to corruption.
  • Female companions (陪女, péinǚ): You can find them everywhere in China: restaurants, karaoke – the (in)famous KTV xiăojie, – bars and clubs. Often, in bars and most typical establishments, they usually stay in a corner playing with their cell phone and drinking some cocktails till a customer arrives. Many of these girls are employed to dance, sing, drink with the customers that pay for a table or, it the case of KTVs, for a room. Some of them will eventually leave with the customers. Business KTV’s is a subset of this class, where the best girls work.
  • Escorts. These girls are available either to your room, or at their house or at a mutually agreed hotel room. They advertise on the internet, and tend to be moderately expensive. A typical example is this link for Shenzhen massage. The girls are all attractive and speak English.
Escort
Escorts can make a good decent salary, and like all industries, rely on repeat customers. Most work hard at it for five to ten years and then retire after they either traveled the world, established a family, or started a business.
  • Ding dong girls (叮咚小姐, dīngdōng xiăojie): Literally like pressing a door bell “ding dong”. Typically, they go to a hotel that seems to have a lot of customers – single and lonely men. They rent a room there and call the other rooms offering their services, usually a “massage.” Of course. having a massage with a happy ending is legal in China. It used to be that they would knock on your door, or slide a card under your door to offer you some fun services. You can add to this category also the girls that look for customers on QQ (the Chinese MSN) or on the web in general.
Ding dong cards.
Ding Dong Girls cards that were slid under my hotel door when I was staying in Shanghai. You can find these cards all over China.
  • Hairdressers and masseuses (发廊妹, fàlángmèi): Chinese hair salons and massage parlors are the place to go if you want to get a cheap “happy ending” (usually by masturbation or oral sex), especially the ones full of bored half-naked girls lighted up by pink lights you can find close to a train or subway station.
Gils in a salon
Typically the girls will be sitting around in the salon waiting for a customer to walk in. Truthfully, there are usually many many girls, often thirty to fifty that occupy one room. Great selection. Low prices and happy endings all around.

The fàlángmèi are often migrants from the countryside and perform contractual work under a proprietress (lăobănniáng) or a pimp (pítiáo) who provide them with housing, clothing and food, as well as a cell phone and monthly subscription. They do not make much more money than a worker, unless they receive tips from the customers.

  • Street girl (街女, jiēnǚ): The name explains everything. They solicit customers in the halls of the hotels, bars and other entertainment outlets. They may offer petting (shàngbànchăng, first half) or intercourse (xiàbànchăng, second half). Street prostitutes may be accompanied by a lăobănniáng or work on their own. They are those at greatest risk of being apprehended by the police. We also call them “chicken girls” which is the English translation of 街女.
Chicken girls
Here is a group of ladies photographed so that their faces remain hidden. You can easily see that they are free of tattoos, piercings, rashes or pockmarks typical of drug abuse, of normal weight – not obese – and pretty normal girls.
  • Underclass girl (下工棚, xiàgōngpéng): They live in urban slums (such as they are), or the seedier and poorer neighborhoods in the fifth tier towns.  They can typically be found at construction sites. They fill a social and sexual void, as the migrant workers usually have left their wives at home, and are paid with food.

Q: Is prostitution rampant in China?
A: No. It might seem like that because If you were to compare percentages you would be surprised to find that prostitution, by percentage of the population, is actually very tiny. Remember, China has a huge population. Like any other nation, prostitution will exist. However, China is a very conservative and traditional nation. Prostitution is not considered to be a good thing.

On the whole, if you want to see a prostitute, you should to go Thailand or the Philippines. Not China.

China is NOT a sex monger’s paradise. It is a paradise for hard workers, those who strive and achieve, and people who believe in working together for the common good. If you work hard, study hard and do your best, China will reward you. There are no easy routes in China.

When I see articles saying that China accepts prostitution and that sexual sales and slavery of young children exists, I get mad. That is absolutely not the case. NOT AT ALL. I tell you this two times. China has been very hard on the prostitution industry and many things that are fine and dandy in the West is forbidden in China. Such as unedited R-rated movies, and such. China is a conservative, traditional nation.

Q: What is the best alcohol to drink at a KTV?
A: For a business KTV, you will need to drink with the boss. After that event is finished, please heed my advice and chill out. You can drink all the beer you want. Alternatively you can drink severely watered down whiskey. Take it from me, you don’t want to wake up nude with three girls ready to have fun with you, and you are way too drunk to “get it up”. Heed my advice.

Q: Are these girls clean? Do I need to wear a condom?
A: Yes the girls are clean, and yes you do need to wear a condom. They work six days a week, most of the year. They typically have three to seven sexual adventures per week with who knows who. You do not want to catch any type of sexually transmitted sickness.

Also keep in mind that while the condom might be good, note that if you have a cut, a bruise, and a pinch from the zipper fly in your jeans, then don’t have sex. Illnesses can be transmitted through sweat and precious bodily fluids just as easily. When in doubt take care and be careful. If need be, cancel and reschedule until you are all healed up down there.

Links about China

Business KTV
Dance Craze
End of the Day Potato
Dog Shit
Dancing Grandmothers
When the SJW movement took control of China
Family Meal
Freedom & Liberty in China
Ben Ming Nian
Beware the Expat
Fake Wine
Fat China

China and America Comparisons

SJW
Playground Comparisons
The Last Straw
Diversity Initatives
Democracy
Travel outside
10 Misconceptions about China
Top Ten Misconceptions

Learning About China

Pretty Girls 1
Pretty Girls 2
Pretty Girls 3
Pretty Girls 4
Pretty Girls 5

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.


How Rocket Scientists Build Paper Airplanes

When I was attending university, my classmates and I would get drunk and fly paper airplanes. We were all studying engineering. Myself and my buddy Jay were both in a joint program where we studied Aerospace Engineering, while my buddy Peter studied Mechanical Engineering. All told, we had a significant amount of class overlap. As young college students, full of “piss and vinegar”, we would get into all sorts of trouble…

There in the dorms, we would launch our bottle rockets at paper airplanes that we would fly out the windows. The overall goal was to create a paper airplane that would stay aloft the longest. This was maintained by up-drafts and the design of the paper craft.

Let’s look at some of the design features that we incorporated into our tiny paper devices…

Weight and Balance

One of the most important considerations for aircraft (as well as munitions) is the ability for the airplane to be well balanced. This takes into account the center of gravity of the craft relative to the uplifting force on the wing design.

Imagine walking a tight-rope. If you had a large and robust body and butt, the center of gravity would be closer to the rope. Yet if you were tall and skinny with an enormous “pumpkin” head, the center of gravity would be further away from the rope. The distance between the center of gravity of the two body shapes would be different. The longer and taller person might have a more difficult time walking on the tight-rope than the fatter person.

In a like way, the center of gravity of a plane is important. There is a relationship between the center of gravity of the place, and the lift on the wings, as well as the propulsive force at the tail.

In general placing weight forward is desirable

Placing weight forward is desirable.

The place where the paper airplane balances on the tip of your finger is called the Center of Gravity (CG). This is the point of balance. In addition, for aircraft, there is a secondary point of balance. This is a specific CG position known as the Neutral Point. This point provides neutral pitch stability.

Center of gravity of an aircraft.
Balancing considerations of an aircraft. Illustration shows the center of gravity relative to the center of lift.

Here is the rule of thumb. If the airplane has a CG ahead of the Neutral Point, the plane is stable, if its behind this point its unstable.

As a matter of course, all airplanes without computer assisted flight controls need a CG ahead of their neutral point. Thus almost all airplanes prior to assisted flight controls (invented in the late 1970’s) were designed this way. I guess you could refer to it as a sort of “classical” aviation design.

For rectangular wings the neutral point is ¼ of the distance from the nose to the tail. For delta wings (such as the common dart paper airplane) the neutral point is ½ of the distance from the nose to the tail.

Stability

Stability means the plane, if disturbed, will return to its original state. This is a very important behavioral feature when there are fluid dynamics that alter flight regimes. In general, you want a stable plane. If you don’t have one, you will have a crash. That would really ruin your day.

For pitch stability it means the plane will seek a single airspeed.

A plane which is unstable in pitch will either pitch up into a stall, or nose dive, but won’t settle out anywhere in between. A stable airplane will tend to oscillate up and down a few times, but converge on a steady flight speed.

Many typical paper airplane designs are stable, but just… just… barely.

As a plane becomes more and more stable, it wants to fly faster and faster. To counter this tendency, up-elevator must be used to produce a good trim airspeed. This is why many of the classic paper airplane designs are nearly neutrally stable. Few people realize good pitch stability requires a heavy nose and some up-elevator.

Elevators are flight control surfaces, usually at the rear of an aircraft, which control the aircraft's pitch, and therefore the angle of attack and the lift of the wing. The elevators are usually hinged to the tailplane or horizontal stabilizer. They may be the only pitch control surface present, sometimes located at the front of the aircraft (early airplanes) or integrated into a rear "all-moving tailplane" also called a slab elevator or stabilator. 

-Wikipedia

The classic aircraft designs rely on the small inherent “up elevator” effect. This effect is known as a positive zero lift pitching moment. It is a direct result from the design of the wing. Often the primary factors are the swept wing, and possibly the airfoil shape.

The problem with paper airplanes is that they are initially designed without a up-elevator effect. Thus many classic paper airplanes are flown with no elevator adjustment. Sometimes they fly well, many times they don’t, and they always have poor stability.

To Improve the plane you incorporate an up-elevator effect

In general, the changes that one must make to a paper airplane to fly well are mostly the same adjustments which make hand launched gliders fly well.

Most people have the crazy idea that a good paper airplane needs no adjustments. You just simply fold up a piece of paper into the shape of an airfoil, and that all there is to it. You make the basic folds and then you are all finished.

This is really unfortunate.

All real airplanes have trim tabs that are used to make small adjustments to the plane. As such, it should be clear that all paper airplanes also need small adjustments to fly their best.

Elevators in an aircraft
The aircraft is typically “trimmed” to have it maintain balance and stability in flight. These features are called stabilizers, to well… stabilize the aircraft in flight.

Once, the paper airplane is properly balanced, the following suggestions might help the budding paper airplane designer in keeping their work of art in the air.

Anhedral Wing Design

One of the most common paper airplane mistakes is to leave the wings folded down at an angle. Don’t tell me that you don’t know what I am talking about. It is perhaps the most common way of making paper airplanes.

Folding the wings down along the side at an angle is called “anhedral” shape.

The problem with an anhedral wing design is that it reduces the lateral stability of your paper airplane. To combat this, you can have a different design and shape for the wings.  Instead of a anhedral wing, you can have one in a different shape.

Wing design types
Wing shape to include anhedral designs. For paper aircraft the wing design has a great deal of impact on the overall stability of the aircraft.

What you want is called “dihedral” wing design which is when the wing tips are the highest part of the wing. The resulting lateral stability will help keep your paper airplane flying straight. Or maybe, at the worst, in a gradual turn.

This is important, as a plane with lateral instability will tend to roll over on its back and crash. Alternatively, it might enter into an ever tightening spiral which becomes a spiraling dive. To prevent this, all you need remember is the simple rule; keep your wing tips up.

Keep your wing tips up.

Sideslip

A slip is an aerodynamic state where an aircraft is moving somewhat sideways as well as forward relative to the oncoming airflow or relative wind. In other words, for a conventional aircraft, the nose will be pointing in the opposite direction to the bank of the wing(s). The aircraft is not in coordinated flight and therefore is flying inefficiently. 

-Wikipedia

Technically dihedral provides a stabilizing rolling moment due to a condition known as sideslip.

It works like this, if the plane yaws to the left (positive sideslip), the right wing has a slightly increased angle of attack (AOA) because of the dihedral. As this is going on, the left wing’s AOA is decreased (this is most easily imagined if you think about 90 degrees of sideslip). As a result, the resulting rolling moment is to the left, which is stabilizing.

Slide slip on an aircraft.
Sideslip illustrated. Sideslip is created by the differences in the lift on the wings. It is a natural consequence of the flight regime and the design of the aircraft.

During a level turn, the yaw rate combined with the stabilizing yawing moment (due to yaw rate) results in a little bit of sideslip. The sideslip is positive for right turns, and negative for left turns.

That small amount of sideslip together with a stabilizing rolling moment due to sideslip (dihedral effect) results in the plane wanting to roll out of the turn. Which is exactly what we want.

With anhedral, the plane wants to roll into the turn, resulting in a “graveyard spiral”. This is something that we do not want. (Unless you are designing some kind of gravity directed munition…)

Graveyard spiral.
Graveyard spin compared to graveyard spiral. Image credit to FAA.

Now, to understand this tendency; the movement to roll into or out of a turn is called the “spiral mode”.  This mode is controlled mainly using dihedral.

Most real airplanes have to limit the amount of dihedral they use to keep the Dutch roll mode (which is a rapid left and right oscillation), under control. While dihedral makes the spiral mode more stable, it reduces the damping of the Dutch roll.

Dutch Roll
Here is a visualization of a dutch roll from both a bird’s eye view and a head-on view. The oscillation movement can clearly be seen.

You probably won’t have any Dutch roll problems with paper airplanes.  This is likely due to increased yaw rate and roll rate damping that is associated with low airspeeds.

Because of this,  all paper airplanes should be flown with plenty of dihedral.

Paper airplanes should be designed with plenty of dihedral.

Up-Elevator

You should add a tiny amount of up elevator to the classic pointed nose paper airplanes. This will make sure they don’t dive on you.

If you have the time and materials, you might want to add a few layers of tape or (maybe) a paper clip to the nose of the plane to improve its stability.

Paperclip on the airplane
The placement of a paperclip on a paper airplane can be used to move the center of gravity about on the plane. By adjusting the location of the paperclip, the stability of the plane can be improved.

Most “square” paper airplanes have plenty of weight in the nose, and require some up elevator to fly well. The amount of up elevator needed on a paper airplane is a pretty good indicator of its pitch stability.

So, when you build a paper airplane (of any kind) and place a paper clip on the nose. You need to go ahead and take it out for a few flights. Go ahead, make a few flights to determine the best amount of up elevator that is needed.

Now give it a few flights and see how it performs.  Practice by moving the weight back slightly. Move it back a few cm, maybe a half an inch or so. Then try again.  Keep on doing this. Experiment. See how the weight adds to the stability of the air plane.

The amount of up elevator needed is reduced, and the plane becomes more sensitive to elevator adjustments. Now, take note. When the paper clip has been moved back to a point where you are using nearly no elevator deflection, and you can’t get the plane to fly well, there is a reason. What is going on, is you have the CG at the neutral point (try to balance the plane on a finger, the point where it balances is the neutral point).

Airfoil shape

Contrary to what many people might think, airplane wings are not flat sheets of metal. They are curved.  Which means that they are “Cambered”.  Being cambered can be explained that if you took a cross section of the wing, it would look like a tear drop  shape. In general, the top of the airfoil is rounded and the bottom is kept fairly flat.

That being said, paper airplane wings must be thin to work well.

Overall, the wings on the paper airplane need very little camber. Now, generally any curvature is limited to the front portion of the wing.

Cambered wing.
The cambered wing is designed to create areas of high and low pressure when air moves on the surface of the camber.

Generally, because most paper airplanes look a lot like “flying wings”,  only small amounts of camber are practical.  Now, large amounts of camber create nose down pitching moments which need tails to balance.

Hints and Tricks

Try to use a little curvature at the leading edge of the wing. You can use a pencil to round up the leading edges. You really do not need to have a fully cambered airfoil shape on a paper airplane. Typically, paper airplane performance is not noticeably degraded with flat, un-cambered airfoils. You know, the reason for this is likely due to low Reynolds numbers.

Reynolds number
Movement of a fluid over a surface. The Reynolds number is the relationship between velocity, and the properties of the gas (or liquid) as a function of the shape of the surface.

To keep the paper airplane aloft for as long as possible, we need a turbulent boundary layer. Which is to say that the air is mixed up and confused; turbulent. We have to keep in mind that that a large portion of the boundary layer across the front of the wing is laminar flow, but for high lift we need a turbulent boundary layer.

Conclusion

I like to think that the most efficient way to learn is through play. As such, I agree with Fred Rogers. Play is the “work” for children.  We learn how to become adults, socialize, think and build things through play.

Syracuse University Quad
The Syracuse University QUAD. It is the center of the university. As under graduates we would cross this area on our way to and from classes and the dorms.

While we were attending classes in aerospace engineering, it was our “hand’s on” play with paper aircraft that provided a visceral understanding of the basics of flight within the earth’s atmosphere. As such, I have never forgotten such adventures.

Of course, as young men, we were preoccupied in a mix of pursuits that all college boys engage in. Beer, girls, cigars and building paper airplanes (and shooting them down) ranked high on our enjoyable endeavors. I wanted to shot them down with BB guns, but my liberal friends wouldn’t have any of that. However, they had no problems with fireworks, and bottle rockets. Combined, we would tend to get drunk, make experimental paper aircraft designs which we would launch, and then subsequently try to shoot down using manually targeted bottle rockets.

In the process we improved both our aviation design skills, as well as our understanding of basic rocket ballistics. We would chug down a beer as a reward for downing a paper airplane in flames. As I recall, we would also quaff down a beer if we had a close miss… Now, that I think about it, we also drank a beer if we missed by a long shot.

Maybe that is why we were always so drunk after trying to shoot down the airplanes…

Takeaways

  • The building of paper airplanes can teach the basics of aviation design.
  • Play is an efficient technique to learn.
  • Those bottle rockets that lit up the sky decades ago over the Syracuse University campus were actually anti-paper airplane projectiles.
  • Physical science isn’t really all that complicated. People tend to use knowledge to obtain power and respect, instead of sharing for mutual benefit.
  • Never discount the importance or value of fun.
  • Don’t be a “purist”, paper airplanes can incorporate staples, paperclips, tape, glue, cardboard, and other features that could improve the design.

FAQ

Q:  Why did you want to shoot down the paper airplanes?
A: We were drunk and at the time, it seemed like an appropriate thing to do. We started with some bottle rockets that one of our friends had. We became so enraptured with the concept that it became a semi-regular event. It was often done surreptitiously in the dark night. We would launch them from the windows in the day room of the dorm where all my friends lived.

Q:  How did you get started in making paper airplanes at university?
A: My friend Peter was always fiddling with his hands. For a while, he began to start making paper airplanes. Over the months together, we started playing with them. We put them in races, and tried to find out how long that they would stay aloft.
Q:  Did you ever get into trouble for launching bottle rockets?
A: Not me personally, but there were complaints. It was certainly against campus rules, but the rest of the dorm were either too stoned to complain, or too busy studying to notice. At that time, there weren’t too many busybodies on campus. People had a Laissez-faire attitude about life. It was best summed up as “live and let live”. So you could pretty much live your life on your terms, within limits of course. That is totally unlike today, where the campuses are populated with busybodies, and crybabies that are easily offended about everything.

American universities today.
American universities today are “safe spaces” where everyone can get offended by the slightest remark. It is Orwell gone amok. It’s a pretty bad situation. I, for one, am glad that I am not attending university today.

Q:  Do you still make and fly paper airplanes?
A:  No.  Occasionally, I make one to two to amuse a child, but that is the extent of it.
Q:  Did you ever make paper airplanes out of aluminum foil?
A:  No, but it is an interesting concept. If the foil is thick enough it might lend itself to some interesting wing designs.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Compiled 19JUL18.
  2. Completed 20JUL18.
  3. SEO review and Release 20JUL18.

Bronco Billy and the 25th law of power

When we were young, we were taught how to act, and told how to behave. The opinions of our peers decided what we would do, who we would date, and how successful our life could be. For those of us who never left our home town, these demands have become forged as the tightest shackles that bind us to the demands and needs of others.

However, once we leave that environment, we find ourselves in a new place with new friends and a new life. We are thus given and provided the opportunity to reconstruct our life. We are provided with the chance for us to define our life for ourselves. We can break forth through the limits placed on us by others.

Not only is this desirable, but it is often necessary. For true growth, and to be the most that you can be, comes from you defining how you will live, and under which terms that you will define your life.

The 25th Law of power

Law 25 
Re-Create Yourself 

Do not accept the roles that society foists on you. Re-create yourself by forging a new identity, one that commands attention and never bores the audience. Be the master of your own image rather than letting others define it for you. Incorporate dramatic devices into your public gestures and actions – your power will be enhanced and your character will seem larger than life.

The book “The 48 Laws of Power” is a classic work that defines methods and techniques by which a person may obtain power. Power can be defined many ways. It might be money, sex, relationships, ownership, control, or as pure military might.

The book goes into great detail on this subject, providing multiple examples that illustrate each technique.

48 Laws of Power
The 48 Laws of Power is a world famous book that describes numerous techniques for obtaining power. The power can be used for good or bad, it is up to the user.

One of the laws, or techniques, of power is the ability to recreate your life on your terms. This is law #25. Indeed, it is a powerful technique. For unless you have lived a charmed life, humans need to grow and expand beyond themselves. We are like a snake that sheds it’s skin, or a caterpillar who undergoes chrysalis to become a butterfly. We need to constantly strive, adapt and grow. For that is how we obtain experience.

For example motivational speaker Les Brown was classified as developmentally disabled. He was told that the best he could do was to become a janitor or a field laborer. Yet, he refused to believe that. With everyone of his classmates laughing at him, and most teachers shaking their head in sorry distain, we went ahead and forged a new life for himself.

He took on a new role; a better role as a motivational speaker.

Or consider, another radio talk show host; Rush Limbaugh. Always controversial, and bombastic, he was constantly hired and fired from jobs. No one wanted to touch him. We was considered a “wild card” and uncontrollable. Yet, by honing his abilities, and working on his strengths, he preserved and became a very famous and a very rich talk show host.

Often times, we need to move away from the thoughts, ideas, concepts of what other people think of us. Do you want to be treated as a successful businessman and not the class clown? Then you need to move away from your school mates. Do you want to be considered to be a brilliant scientist? Then you need to move away from people who call you a “book worm with no common sense”. Do you want to become a suave and sophisticated “ladies man”? Then, you need to remove yourself from the women who make fun of you and who don’t appreciate you qualities.

Now, you shouldn’t become confused. It is often more than just moving away geographically. You have to learn and hone the skills that you desire. If you want to become a “world renowned doctor”, you will need to study and cultivate your presence globally. If you want to be a “Ladies Man”, you will need to hone your relationship skills, and work on your presentation. If you want to live the life on your terms, you will have to work at it.

Have a Dream

We all need an objective. This is something that we can visualize and conceptualize. It is something that we can embrace as a target and an ideal that we can achieve.

"Bronco Billy McCoy: I've got a special message for you little pardners out there. I want you to finish your oatmeal at breakfast and do as your mom and pa tell you because they know best. Don't ever tell a lie and say your prayers at night before you go to bed. And as our friends south of the border say, 'Adios, amigos.' "

Have a Plan

Without a roadmap we are just lost in the wilderness. We need a plan to follow with a set goal to achieve. So set a goal. Describe the person who you want to be. Go into great detail. List what you want to be and what you don’t want to be.

Indian snake dance.
In the movie “Bronco Billy” all the members of his travelling fair were misfits. They were shoe salesmen, draft dodgers, and losers, who decided to step outside of their world and become something different. Here is a man who wanted to be an Indian chief.

You don’t need to use Microsoft Project to generate a plan, but you do need to take active steps. Get a notebook. It is cheap. Do not rely on your computer or cell phone to do this task. They are full of distractions. Go old school.

One cheap notebook. One pen (or pencil).

That notebook is your roadmap. Title it what ever you want, but in short it should be about one thing and one thing only; who you want to be.

Also note that it is going to be a journey. Right now you are NOT ready to be who you want to be. Some changes will be necessary. Indeed, you will need to change some things. Additionally, you will need to learn some things, and prepare some things as well. You will need to plan it out.

You can be who you want to be.
This rule applies to both men and women. It is not gender specific. In the movie “Bronco Billy”, a sad and unhappy, but filthy rich socialite ends up transforming her life into HER idea of what she wants.

For instance, using the “Ladies Man” example above, you will need to read books on how to seduce. You will need to subscribe to websites, forums and feeds with like minded people. You will need to establish goals and a training program. The training program will not only be about learning new things, but it will also be about unlearning old bad habits.

You will need to do daily positive affirmations. These are sentences that you repeat to yourself over and over to undo the programming that you have endured over the years. For instance;

  • I am calm, cool, and collected.
  • I am always happy, smart, know what to say.
  • I am lucky.
  • I dress right, my hair is perfect, and I know how to handle myself.

Positive affirmations need to be written down, and repeated daily. They work. Let them do their magic.

Work your plan

Once you map out your goal and how to get there; do it. In life, it is better to be 60% ready than wait forever to be 100% ready. You need to learn the basics and then plan on “faking it until you make it”. Close your eyes and make it happen.

Trust me, you won’t die.

"Bronco Billy McCoy: Now look! I don't take kindly to kids playin' hooky from school. I think every kid in America ought to go to school... at least up to the eighth grade. 

Young kid: We don't go to school today, Bronco Billy. It's Saturday! 
"

You will experience hurtles and problems. So what? That is life. For instance, let’s suppose your dream is to move to Bangkok, Thailand and become a go-go bar owner. It is obtainable, but it will be a lot of work. You might need to break your plan into smaller bite-sized bites and then work those pieces.

Let’s suppose your dream is to become a sheep rancher in New Zealand. It is possible, but you will need to know some basics about sheep herding, and you will need to work on the immigration paperwork.

Troubles for Bronco Billy and friends.
In the pursuit of our dreams there will be setbacks and troubles. However, they will never end your dream. It will just put it aside for a spell. Do not give up. Never give up. Never, and I do mean NEVER let ANYONE ever steal or take your dream away from you.

No matter what you do, you will need to have a plan that not only covers the physical changes that you need and want to bring about, but also covers the emotional and behavioral ones as well. But you know what? You can do it, because it is in YOUR nature.

You do not need a machine to make the world-line switch. You can do this on your own.

It gets easier over time…

"Lorraine Running Water: Do you understand what Bronco Billy and the wild west show are all about? You can be anything you want. All you have to do is go out and become it! "

The longer you work towards your dream, the easier it becomes. You always become what you think about. But actuating your thoughts with physical and tangible actions you will be able to achieve your dreams, and trust me you will be amazed how successful you will become.

Bronco Billy on stage.
You can achieve your dreams. You only need to have a plan. Keep it simple and direct and work it relentlessly. It is the one thing for you and you alone. Never let anyone steal it away from you.

When I was planning on moving to China, I studied Chinese. I had no one to practice with. I had no one to listen to. So I did it on my own, while the people around me snickered and made fun of me.  You will overcome the nay-Sayers and losers. You just follow your dream and stick with your plan.

Don’t let anyone steal that from under you.

Other Sources

In the movie “Bedazzled“, a man who is helplessly in love, signs away his soul for a change to spend life with the girl of his dreams. The devil gives him six opportunities to remake himself (all, of course, with a devilish twist). The point in the movie is that you can remake yourself to obtain objectives, but that there will be a tradeoff in the process.

I won’t go so far as to say that you cannot change because it will have undesirable effects. But, I will say that what ever the image that you want to become… make sure that it is an extension of WHO YOU ARE inside.

Choose
Only you can choose who you will be? Scenes are from the movie “Bedazzled”.

Conclusion

"Antoinette Lilly: Are you for real?
 
Bronco Billy McCoy: I'm who I want to be."

The movie “Bronco Billy” is a full embodiment of the lessons of Law #25 of the “48 Laws of Power”. All of the members within his little band of entertainers were redirecting their lives toward their dreams. While it is only a Hollywood movie, and received moderate praise by the “geniuses” in Hollywood, the lessons are important and valid.

It certainly deserves a second look. Especially today with the way things are in the world today.

Don’t give up.

You can recreate your own life in the form that you want it to be in. If you are tired and exhausted in living the life as it is today, you can exit it. You are not tied to anything. You really aren’t. You can bail.

  1. Set a goal.
  2. Make a Plan.
  3. Follow the Plan.
  4. Implement it.

Live your dream. Do not let anyone stop you.

Bronco Billy is living his dream.
Be like Bronco Billy. Live your dream. You don’t have to be a poor shoe salesman in New York city. You can recreate your life into something that appeals to you. Don’t be afraid. Follow your dream.

Takeaways

  • The 25th Law of the 48 Laws of Power suggests that we can create the life that we want to live. We should not accept the life that others want us to live.
  • This is attainable.
  • To achieve this dream, we need to set a goal, learn, and work towards that goal.
  • The movie “Bronco Billy” is all about the 25th Law of Power.
  • By watching the movie, you get a very good understanding of what the 25th Law of Power is and how it can be applied to your life.

FAQ

Q: Is my dream achievable, even if it sounds crazy or unobtainable?
A: Yes. However, it does need to be realistic. You cannot dream about being a turtle. However, you can dream about being a caretaker for turtles in Bora Bora.

Q: My spouse thinks it is a waste of time to pursue any dreams. What do I do?
A: This is a common problem. You have a choice. You can either enlist your spouse to share in your dream, or you will be forced to follow the dream without them. In any event, if you are forbidden to live your dream… that is not a life, no matter how anyone else tries to rationalize it.

via GIPHY

Q: How can I find the time to do all that I need to do to obtain my dream?
A: If you do not find the time, your dream will never materialize.

Q: Where can I find the movie “Bronco Billy”?
A: Try Netflix or any decent torrent site. Torrents are free, and most movies can be downloaded in a few days. Rare movies might take weeks.

Bronco Billy and Lilly.
Life is too short to be unhappy. It is like a bowl of cold chili. It is up to you to make it the best best life that is possible. You need to set your foot down and take command of your life. Make your dreams happen.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Link
Link
Link
Tomatos
Link
Mad scientist
Gorilla Cage in the basement
Link
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back
Link
The Warning Signs
SJW
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Link
Civil War
Travel
PT-141
Bronco Billy
r/K selection theory
How they get away with it
Line in the sand
A second passport
Paper Airplanes
Snopes
Taxiation without representation.
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
Democracy Lessons

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Link
Link
Link
Link
Link
Link
Link
Link

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed, edited, SEO checked and released. 27JUN18.
  2. Corrections. 27JUN18.

The Amazing Bremelanotide PT-141 (Vyleesi)

This post was updated 5JUL19, when I received word that the FDA approved Bremelanotide PT-141 under the name Vylessi. I updated this post, and subsequently added the name Vylessi to all mention of Bremelanotide PT-141, and added updated histories and commentaries at the end.

We have a tendency to think inside the box. We try to improve what we know. We try to work within the framework of what we have. We actually do need to think "outside the box"...

As a man gets older, your body changes. Your hair starts receding, and starts to fall out. You start to become bald. Your hair turns white. Your teeth start giving you problems, and you start to gain weight. Getting rid of that weight becomes problematic. No longer can exercise alone keep you trim and fit. You have to change your diet as well.

All men eventually start to have erectile disfunction (ED). Usually it seems to start in your early 40’s depending on your stress level, lifestyle, and general health. You can postpone it for a few years, but it will eventually strike. It will.

Typically, erectile disfunction more closely resembles a moderate soft erection. This is due to the blood pressure in the penis. As a man gets older, his ability to create solid rock-hard erections diminishes. Instead, when excited he produces short-lived soft and “spongy” erections, often cycling between a moderate “soft” erection and no erection at all.

This is what it is like to grow old.

Now, you can exercise. You can eat special foods, and you can have a stress-free life that can all significantly reduce these effects. For instance, leeks and eggs, bitter melon and oysters can certainly help the man in the bedroom. It will do wonders, as will a daily glass of red wine…

Enter Viagra

via GIPHY

Who hasn’t heard of this “miracle” pill?

Viagra entered the world in the 1990’s and revitalized the world of the American male. No longer did older men need to live a sex-less life. All you need do is pop in a “little blue pill” and all your troubles are over.

Of course, there are some tradeoffs.

For one, you need to get a doctors’ prescription. America is just about the ONLY nation that has this bastardized restriction. Everyone can just get the medicine off the shelf just about anywhere. Secondly, you have to pay REAL money for it. In a world where the raw material of Viagra (sildenafil) costs $100 / kg, and where a single dosage of Viagra is 25mg, the price difference is astounding.

Sildenafil
You can purchase the raw material for Viagra in China. This is Sildenafil. You have a MOQ, minimum order quantity to content with, which is often at least a gram. Price is around $0.000002 / pill.

It costs ten cents a gram for sildenafil, or ten cents for 1000mg. That’s ten cents for 40 pills. But Big American Pharmacies and their associated industries are charging an insane amount for this pill.

The cost for Viagra oral tablet 25 mg is around $447 for a supply of 6 tablets, depending on the pharmacy you visit. Prices are for cash paying customers only and are not valid with insurance plans.
-Drugs.com

It’s a good thing that I live in China, where I can get a bag of 100 generic pills for under $1.20.

Ah, but I digress…

"Abroad, getting Viagra is no problem as it is readily available over the counter in many countries. In countries I’ve been to, it sells for $1 a pill. Then, it’s party on. No such luck in the police state known as America. Got to have a doctor’s prescription, and it’s pricey. But, it’s worth it for the occasional twosome or threesome when there’s blow involved."

-If you are going to use Cocaine use Viagra

Enter Cialis

While it is certainly convenient, the problem with Viagra is that it tends to give you a headache, and does not mix well with alcohol. Which really sucks as most of us older folk prefer to drink and have fun, often leading up to a sexual romp or two.

And then came Cialis…

via GIPHY

You take a Cialis pill on a Friday, and you are good to go up until next Tuesday. That’s four days, boys and girls. OK, well, three days of erection when you want it, and as long lasting as you need it. Four days it begins to sputter out. It’s erection on demand, and they are of high quality and long duration. Perfect for cuddling with that special person in your life. The real problem with this is that it takes around three hours to work, so it doesn’t lend itself to spontaneous sexual encounters.

It is ideal for a married man who wants to spend quality time with his wife.

via GIPHY

Cialis is not licensed in China, so the only way you can get it at a pharmacy is to pay “full price”. Which is often 120 RMB/pill, or roughly $20/pill. That in itself pretty much sucks. Salaries in China are far below the salaries in the United States, so when you spend $20, it’s like spending enough to cover a weeks amount of food.

Chinese Pharmacy
Typical Chinese Pharmacy. The girl behind the counter will typically look up your medicine request on the computer and giver you the option of a local generic Chinese brand or a (cheap) cost version of a Western equivalent.

But then again, you can simply buy the (Tadalafil) powder here. Weigh it out. Mix it up yourself and use it.

I understand that a generic version is available in the UK, which would be a good alternative if you didn’t want to have a closet full of 500,000 Cialis pills lying around after your mix up your own batch. (BTW, India doesn’t really care about these licensed patents, and makes the pills anyways. Licensing and FDA be damned. So you can get great quality Cialis Generic out of India for maybe a fraction of the cost for what you would pay in the USA.)

It’s worth it, you know. You can spend quality time and focus on the time together instead of just one central activity.

via GIPHY

Shelf Life

As an aside, it does have a shelf life. After I made up a couple of million pills, I had to throw away large garbage bags full of old pills. They had begun to age. As they got older, they started to develop side effects, such as stomach gas, indigestion, headaches, and vision irregularities. So don’t use any Cialis that is over three years old. When I threw them away, there must have been five large green-black bags of pills that were thrown into the dumpster. Such a waste. Such a waste.

Anyways, I would have say that Cialis is the preferred conventional medication for ED, hands down.

Anyways…

The Design Team

Ah, but now for some bad news. What is really sad about it is what happened to all the researchers and developers who invented this product. You want to guess? Come on, what do you think happened to them?

Guess.

via GIPHY

Key team leaders in the ICOS Corporation developed the drug. They conceived it, they developed it and worked on it. However, the FDA has all kinds of testing and qualification hurtles that needed to be overcome. There was no way that the medicine would be approved. They were told this, and they knew that they had to link up with an “insider” or two to get the product approved. So the ICOS Corporation teamed up with Eli Lilly and Company to help get the drug approved through the FDA.

Of course, Eli Lilly is a big company with a lot of pull. They were able to “pull some strings” and get the drug approved.

As soon as they were able, Eli Lilly and Company bought the ICOS Corporation. They did so in 2007. It didn’t come cheap. They bought it for $2.3 billion. As a result, Eli Lilly now owned Cialis. As soon as they acquired the company, they immediately closed the ICOS operations. Thus they ended the joint venture and fired most of ICOS’s approximately 500 employees. Five hundred employees fired. Five. Hundred. Employees. Good Golly!

So…

Now you know [1] why the drug costs so much, and [2] why it sucks to work in corporate America.

Chinese pharmacy.
You can buy just about any medicine in the world at a Chinese pharmacy. You don’t need a prescription and the costs typically are much cheaper than in the United States. You just write what you are looking for on a slip of paper and give it to the girl behind the counter. No Chinese language skill is necessary.

Enter Levitra

This is an interesting little drug. It is a single dose drug like Viagra, but with a cost comparable to Cialis. It works a little bit differently than either of the two drugs already discussed. However, functionally it is like Viagra except it is made by a different company. You can consider it the Pepsi equivalent to Coke-cola.

I prefer it over Viagra simply because it interacts better with wine. I also like the (ahem) feeling I get when I use it. I feel (somewhat) better “packed” if you know what I mean.

What does it matter?

So now, men can take a pill and get an erection whenever they need it. Depending on where they live the access to this medication may be relatively easy (like in China) or restricted (like in America).

The thing is that life is not two dimensional.

It’s not ONLY about having a nice hard erection, it is about the mood, the feeling, and the emotions that you have when you are engaged in lovemaking. People, we are NOT animals. We have feelings and urges. Just getting hard and going through the motions is fine for a robot, but it is really weak compared to the real thing that you experienced when you were young.

For instance, consider the humble tomato. It was bred to look delicious, and ship safe. With taste being an afterthought. How we have thousands of tomatoes that look like plastic fruit and taste like cardboard. We have turned it into a two-dimensional shadow of what it was.

There are a host of things going on inside our brain when we are engaged in a sexual encounter. We express a litany of emotions, and feelings. We experience different sensations in our bodies. We connect and feel things that we share with our partners.

For both men and women, there are different aspects to a relationship that can be enhanced or (better yet) compensated for, instead just focusing on having an erection.

So…

Maybe we should start to pay attention to these other aspects of our life. Maybe we should consider them as playing an important role in our life. Maybe we should consider the entire aspect of who we are and what we are doing.

Enter PT-141 (Bremelanotide) Vyleesi

Instead of being a PDE5 inhibitor like Viagra and Cialis, consider a melanocyte stimulating hormone (MSH).  MSH induces lordosis in animal subjects. Or in other words, lordosis is a sign of physical preparation for copulation. It is a hormone that tells the body “get ready, we are going to have sex”.

It is a hormone that puts an animal in “heat”.

It is hardwired in our brains.  In animals such as dogs and cats, it tells the female to rise up their butts, and tells males to start thrusting. Now, as man has evolved this hormone has been repressed. We have replaced it with other cultural triggers. Or, in other words, what we visually see that has value has replaced this hormone. The natural lordosis behavior  has atrophied in humans.

Not so when you take this drug. This drug reactivates it.

PT-141
PT-141 Bremelanotide is easily available outside of the United States. You can buy it in many English speaking countries such as Australia and the UK, as well as communist countries such as Communist China and Russia. However, Americans have been FORBIDDEN to have access to this medicine.

This drug was being developed by Palatin Technologies. It showed great promise as it works equally well on both the male and the female sexes. (Though who knows about the other 55 genders that somehow mysteriously popped into existence under the Obama administration.)

It’s not only that men can get a nice sold and hard erection. It is more than that. People who take this also feel differently. People who take it feel young and horny like a sex-crazed 16 year old.

There’s only one problem. The FDA decided to ban it.

The FDA refused to permit Americans to access this drug.

The FDA approval process.
Here is the FDA approval process. It’s pretty tight. In a way it is helpful , but in another way it is counter productive. You need a medicine that works, under specified conditions for 90% of the population. You can NEVER find something that works for 100% of the population. They could have released this medicine as approved with limitations on use, rather than directly banning it completely.

Yes, it was banned in the United States, much to the surprise to all the doctors, scientists, and research participants.

They were shocked, as they should be. This was not just a “so so” drug. The test results were ASTOUNDING, and yet…yet… it was banned.

So, in defiance, the staff who developed this amazing medicines put it ALL out on the internet. That’s right. All of the chemical formulations, all of the test plans, and all of the documentation was uploaded to the Internet.

Just like Keannu Reeves did in the movie “Chain Reaction”.

Chain Reaction starring Keannu Reeves
In the movie “Chain Reaction”, Keanu Reeves played a scientist that had the secret to limitless energy. However, dark powerful forces (namely the “swamp” and Big Business) wanted to stop him, and keep the information to themselves. What he ended up doing was to take all the information and upload it to the cloud so that everyone could have the information for free.

There, for everyone to see, is the amazing test results and the absolutely stunning levels of corruption at the FDA.

They put it all out there. Everything, including the formulation and sequencing information.

Scientists talk among each other. It’s a small world. Sure, maybe the well-paid FDA bureaucrats ($440,000 / year just to say NO!)  are oblivious to this fact, and only chat with each other in their mansions and country-clubs, but the real workers, developers and key inventors care about their work and research.

In short order, it became obvious that the FDA was a corrupt organization, and that America had banned this medication because it would compete against Viagra. It also became obvious that this medication was very special. Very… very special.

If Viagra could be compared to a French Fry, PT-141 Vyleesi was a full thanksgiving meal with turkey, mashed potatoes, stuffing, salad, cranberry dressing, pumpkin pie and soft fresh buttered rolls. There is no comparison. None at all.

Not only that, but now the formula was available for everyone to replicate. As soon as it got out on the Internet, everyone was making it. People could buy it and try it and use it. Everyone, that is, except Americans.

So for the last two decades, this amazing drug has been used all over the world (outside of America) with amazing success, and absolutely ZERO fatalities. (So much for the so called, concerns about possible high blood pressure in a fringe section of the population.)

It has since become a legend. PT-141 Vyleesi is the stuff of dreams…

Pfaus showed me stunning testimonials from human test subjects. “On the five-point scale, I would rate the erection I had as a six,” said one of the 1,300 anonymous testers. 

"You get this humming feeling,” said another. “You’re ready to take your pants off and go.”

The drug worked equally well on women, who chronicled “an intense arousal” that lasted from six to 72 hours. “I was focused on sex,” said one of the women.

via GIPHY

Yes, but what is even more interesting is that this works on both men AND women. So while you might have a raging hard-on, your wife can now feel the same as you at the same time. She can feel like she was a sex-starved girl in the throes of “puppy love”.

Ah! What an amazing age that we live in!

“A dose of PT-141 results, in most cases, in a stirring in the loins in as little as 15 minutes. Women, according to one set of results, feel ‘genital warmth, tingling and throbbing’, not to mention ‘a strong desire to have sex’.”

-Julian Dibbell at ScienceBase

via GIPHY

User Stories

People can buy the PT-141 Vyleesi outside of the United States. And, they have been doing so for around two decades now.

The FDA has no jurisdiction off-shore, and people have taken advantage of this to try different kinds of medications for their own purposes.

You know, you don’t always have to listen to that busybody down the street to do things. So what if the FDA wants to FORCE you to pay outrageous prices for Viagra, and forbids you from using medications that you can get just about anywhere else on the planet.

Chinese Pharmacy.
Every nation has pharmacies. Here is one in China. However, you can go to a pharmacy in Mexico if you don’t want to fly to China. I am sure that it has many of the medications listed herein at a fraction of the cost of American versions.

You can hop on a plane and go to a nation that is freer, and get the medications yourself. I would suggest going to a nation that allows people more freedom than America allows.

“With PT-141 Vyleesi, you feel good, not only sexually aroused,” reported anonymous patient 007, a participant in a Phase 2 trial, “you feel younger and more energetic.” 

Said another patient: “It helped the libido. So you have the urge and the desire. . . . You get this humming feeling; you’re ready to take your pants off and go.” 

And another: “Twice me and my wife had sex twice in one night. I came in [to work] and I just raved about it: ‘Jesus, guys . . . 58 years old and you don’t do that.’ ” 

Tales of pharmaceutically induced sexual prowess among 58-year-olds are common enough in the age of the Little Blue Pill, but they don’t typically involve quite so urgent a repertoire of humming, throbbing, tingling, and double-dipping. 

Or as patient 128 put it: “My wife knows. She can tell the difference between Viagra and PT-141 Vyleesi .”

via GIPHY

The stories about this drug are amazing. Consider this from TheIronDen;

My buddy said research the stuff and see what the dosing was supposed to be because me made a HUGE mistake and took to much. He took double what we took and I will tell you DAMN!!! DOSING is .10-.20cc NO MORE below is the story.

As many of you know I am a bouncer on the weekends well I was told take this 45 minutes before going to bed, I had read where this could take a few hours to take effect so at midnight we went to the car and took the preloaded insulin pins I brought with me. 

Well I felt nothing and the wife didn't either by 3:30 when we got home. I was pissed at her and she didn't know it so watched TV awhile then I slept on the couch, she came in the next morning and woke me up, we went in the room and talked a min, then it was on. 

We have never had sex 3 times in 4 hours and she has never orgasmed 3 times in 1 day, we were so tired from sex that we couldn't hardly move and wanted more but our bodies wouldn't let us. bremelanotide  Vyleesi is AMAZING, I even wanted it last night, she was in the mood but couldn't as she has other medical problems and the pain in her hip was too much.

What it does:

Men - makes you horny as hell and increases blood flow to the cock making it more sensitive.

Women - floods the vagina with blood making it WAY more sensitive and very aroused.

Of this from a woman who took it with her husband…

3 hours after injection bremelanotide (PT-141)  Vyleesi hit like gangbusters.* 

We were sitting in the lounge area of a restaurant when my nether regions made themselves known. Increased sensitivity to any movement I made in my seat i.e. shifting or leg crossing. 

This was coupled with increased sexual thoughts. 

It is difficult not to think in sexual terms when some body parts are demanding your attention! My husband had a difficult time getting up from his chair to even approach the restaurant table. Next time we will time the injections better LOL

There are many, many…. MANY opinions by users, that actually run counter to the decisions made by the FDA.

I have to wonder if the agencies such as the FBI, FCC, FDA and IRS are actually being made to benefit Americans. I just seems like they all have their own agendas and treat American citizens like toilet tissue. It really seems that way. It really, really does.

via GIPHY

Heck, if the FDA was around when ice cream cones were first invented, I am sure that they would have banned them.

The same goes for such staples as Coke-cola, bananas (they will need to have a Blue Ribbon Panel study the “banana issue”), and chewing gum. Of course they would have banned chewing gum “for the children”.

Absolute power CORRUPTS absolutely.

When any agency causes more harm then benefit, it should have it’s doors shuttered and the workers discharged. Perhaps these “protected” governmental agencies should start to feel what industry has been like since the 1960’s for us “fly over” folk.

Women state that the sensation given from injecting bremelanotide (PT-141)  Vyleesi is like being in heat! 

One colleague in particular stated that she didn’t know what was going on…but she had wanted “it” all day long after dosing the night before.

-TheIronDen

History and Timeline

Here’s a quick timeline of events that begins with Palatin Technologies’ submission to the FDA in the United States.

August 30, 2007

Right off the bat, the FDA declined Palatin Technologies’ application for approval of Bremelanotide to treat erectile dysfunction. The reason was there were “concerns” of increased blood pressure in a small percentage of the test subjects. Especially in light that Viagra was approved and it too had test subjects that had increased blood pressure.

via GIPHY

...the rejection of Bremelanotide by the FDA is especially puzzling, at least to a "lay person", when seeing posts online (including this one on the Yahoo finance message board for Palatin Technologies) claiming Palatin insiders have told them that only 2% of test subjects experienced a significant increase in blood pressure.

via GIPHY

 "It was up to 30 for 2 percent (I spoke to Steven W aka investor relations). The avg was 3. Not a medical person but those few people that hit the 30 mark killed brem."

Click here to read about the FDA decision about Bremelanotide

There are all kinds of conspiracies as to why this drug was declined because one or two of the thousands of test subjects had slightly raised blood pressure, when other approvals (with higher percentages of problems identified by test subjects) were approved.

I, such as myself, have speculated that huge companies (like Pfizer for instance) may have strongly influenced the FDAs decision regarding approval of this relatively small company’s competitive product. If you don’t know what I am talking about, watch the movie “Tucker, a man and his dream“.

September 10, 2007

Palatin Technologies and King Pharmaceuticals end their collaboration. Palatin regains full rights to the Bremelanotide program.

September 11, 2007

Competitive Technologies announced they presented Palatin Technologies with a Notice of Termination of the PT-14 technology license agreement. For those not aware, CTT “discovered” the original compound that led to PT-141 (Brem’s initial designation) via the University of Arizona, and licensed Palatin to develop it in 1998.

This issue was resolved on January 22, 2008 with Palatin paying $800,000 to CTT and maintaining the rights to Bremelanotide.

September 28, 2007

Palatin Technologies announced positive results from an at-home Phase 2 trial evaluating bremelanotide for the treatment of female sexual arousal disorder (FSAD).

Post menopausal women "showed statistically significant improvements, compared to baseline and placebo, in desire and arousal success rates, and overall satisfaction at one and two months. In these patients, the FSFI domains of arousal, desire and orgasm were statistically significantly improved compared to placebo at one month. The arousal and orgasm domains were statistically significantly improved compared to placebo at one and two months."

Premenopausal women also "showed statistically significant improvements, compared to baseline, in desire and arousal success rates, and overall satisfaction at one and two months."

May 14, 2008

Palatin Technologies reported it was abandoning Bremelanotide as a sexual dysfunction drug. Instead they intend to resubmit it to the FDA as a treatment for shock due to blood loss.

They are beginning studies for a new compound called PL-6983.  This compound works like Bremelanotide but with significantly lower problems related to blood pressure issues. Read Palatin Technologies’ 2008 Objectives plan here.

Then something happened... In 2016, Donald Trump was elected and immediately put businessmen instead of political hacks in charge of the FDA. Three years later...

July 1, 2019

The FDA approved the drug! I am absolutely shocked! As well as pleased. Very, very pleased. Now Americans can finally get what we in the rest of the world has enjoyed for almost two decades now.

It can now be bought in the United States under prescription. It goes by the name Vyleesi. I’m sure that it will be much more expensive for Americans to buy, but it will now be available, and that is a big step towards freedom.

Vyleesi (bremelanotide) PT-141
Vyleesi (bremelanotide) PT-141

via GIPHY

Details

Here is a write up that has been circulating online over the last decade or so. As websites come and go, it behooves us to keep this information alive and available for all the poor sops in America who are FORBIDDEN from using this wonderful product;

What is Bremelanotide (PT-141)?

Bremelanotide (formerly PT-141) is the generic term for a new medication for use in treating sexual dysfunction in men (erectile dysfunction or impotence) as well as sexual dysfunction in women (sexual arousal disorder). It is a synthetic aphrodisiac. Unlike Viagra and other related medications, it does not act upon the vascular system, but directly increases sexual desire.

Originally, the peptide Melanotan II that bremelanotide was developed from was tested as a sunless tanning agent. In initial testing, Melanotan II did induce tanning but additionally caused sexual arousal and spontaneous erections as unexpected side effects in eight out of the ten original male volunteer test subjects. In clinical studies, bremelanotide has been shown to be effective in treating male sexual and erectile dysfunction as well as female sexual dysfunction. It is currently being tested by Palatin Technologies.

Bremelanotide is a cyclic hepta-peptide lactam analog of alpha-melanocyte-stimulating hormone (alpha-MSH) that activates the melanocortin receptors MC3-R and MC4-R in the central nervous system. It has the amino acid sequence Ac-Nle-cyclo[Asp-His-D-Phe-Arg-Trp-Lys]-OH or cyclo-[Nle4, Asp5, D-Phe7, Lys10]alpha-MSH-(4-10). PT-141 is a metabolite of Melanotan II that lacks the C-terminal amide function. Its molecular formula is C50H68N14O10 with a molecular weight of 1025.2.

Are these nasal? Oral, Injectable?

Currently the nasal and oral routes have not been made efficient. PT-141 is a sterile injectable made for sub-q injection, like insulin.

What's the dosing schedule...how much do I take?

0.5mg-2mg is the range which people dose. Taking 2-4 hours before game time is ideal. The window of opportunity is very unique.

Say you had a dinner and movie arranged. Taking before dinner may be the best route, however during the climax of the movie may interfere with one of your own…read between the lines there

Is there any reconstituting involved?

Yes and bacteriostatic water is the recommended solvent here. 

To mix the vial with bact water, take one syringe (BD Ultrafine II 1cc or 1/3 cc are excellent and I recommend them) and grab your vial of bacteriostatic water. If using a 1 cc syringe (100 units), use the syringe to withdraw 1 full ml (1cc) and inject that into the vial of PT-141 through the rubber. 

Be sure to aim the needle towards the glass, so that it will trickle down and not harm the peptide. 

Swirl the vial to thoroughly mix and let the peptide dissolve. The key here is to be gentle. 

Say you had the vial of PT-141 stored in the freezer, move the vial of PT-141 to fridge for an overnight stay. Then you are ready the next day to reconstitute the same temperature refrigerated bact water with the PT-141 in the most efficient manner. (Just some tricks of the trade here.)

So now you have 100 units (1cc) of bacteriostatic water mixed with 10 mg of PT-141 in your vial ready to go. A 1mg dose would be 10 units or .1ml (.1cc). Make sense? Hope so.

How is it stored?

The lyophilized powder (freeze dried state) can be stored in the freezer or refrigerator. Once reconstituted (mixed with water) it will be good for a month in the refrigerator before it begins to degrade.

Does this help give erections or just put you in the mood?

With PT-141, you feel good, not only sexually aroused, as reported anonymous patient 007, a participant in a Phase 2 trial, you feel younger and more energetic.

The precise mechanisms by which PT-141 does its job remain unclear, but the rough idea is this: Where Viagra acts on the circulatory system, helping blood flow into the penis, PT-141 goes straight to the brain itself. And there it goes to work, switching on the same neural circuitry that lights up when a person actually, you know, wants to.

Basically this takes men back to middle school. You may find yourself in a similar situation as you were in 8th grade science class with the teacher bent over helping the student in front of you. It may be necessary to do a "tuck up" if at the office or especially at the public pool!

via GIPHY

Enter PL-6983

This is an “improved” version of PT-141 that doesn’t have any of the “concerns” that the FDA has raised. Of course, no matter how successful the medication is, the FDA will probably ban it’s use anyways. Anyways, this medication is under development currently and the formula is secret. Maybe one day, we can find out more about this medication. However, for now, we will have to wait.

PL-6983 is a synthetic peptide and selective MC4 receptor agonist which is under development by Palatin Technologies for the treatment of female sexual dysfunction and erectile dysfunction. It was developed as a successor to/replacement of bremelanotide (PT-141) due to concerns of the side effect of increased blood pressure seen with the latter in clinical trials. Relative to bremelanotide, PL-6983 produces significantly lower increases in blood pressure in animal models.The drug has reportedly been in pre-clinical development for all medical indications since 2008. Palatin has stated that "We are focusing development efforts on bremelanotide for [female sexual dysfunction], but are continuing evaluation of PL-6983." The chemical structure of PL-6983 has yet to be made public.

-Wikipedia

You can read about it in detail HERE.

Enter Oxytocin

You do not need to take various medications to enhance your bodies’ desire for sexual intercourse. There are hormones that do this. For example, Oxytocin is a hormone that also acts as a neurotransmitter in the brain and known as the “love hormone” or “cuddle hormone”.

via GIPHY

In humans, it is believed to be released during hugging, touching, and orgasm in both sexes. Oxytocin is also involved in social recognition and bonding, and may be involved in the formation of trust and generosity.

A researcher at the University of Zurich has stated that women are more susceptible to oxytocin than men, due to enhancing effects of estrogen, so women may show a stronger effect.

via GIPHY

If you are an American it would be pretty difficult to obtain. You would have to have your doctor prescribe it for you, and at that, it is heavily regulated and very expensive. Or, you could do what everyone else on the globe does. They buy it from China.

Enter Flibanserin

Now, of course, women have different biology than males. Their urges, needs and desires can not often be switched on and off like a light-bulb. Consider Flibanserin. Here is a drug that showed some real promise in helping women reengage their sexual desire.

Addyi
Addyi has been developed to help women with their sexual needs and desires. Personally I think it is a good thing. I have noticed that when both mean and women are getting their sexual and relationship needs fulfilled, they are often healthier and much happier.

It was developed by a small company called Boehringer Ingelheim, and they submitted it to the FDA for approval. Of course, the FDA halted all approvals, and the development of this product ended.

Well, some “back door” meetings were conducted. Some money changed hands. Then, suddenly the rights to the drug were then transferred to Sprout Pharmaceuticals. What do you know? Suddenly all the roadblocks fell and the FDA approved of the drug in August 2015.

via GIPHY

Of course! Only certain companies are permitted to get products approved by the FDA. It’s a small closed club, don’t you know.  Hum. I wonder how much money exchanged hands at the FDA. I’ll bet that it was significant.

Heroes and attaractive people have followers.
Some people end up having followers or groupies. Anyone can be a follower. That can include newscasters. I am sure that this gal would do anything that this man asked.

The product was developed as BIMT-17. Current brand name is Addyi.

Flibanserin has been dubbed the “Female Viagra” and “the little pink pill”; however compared to Viagra, flibanserin has a distinctly different mechanism.

The proposed mechanism of action of flibanserin involves regulation of several brain chemicals that may affect sexual desire, although the exact mechanism is not known. Flibanserin is a post-synaptic 5HT1A receptor agonist and 5HT2A receptor antagonist, as noted in FDA briefing documents, and has action in the central nervous system. 

The manufacturer states that flibanserin corrects an imbalance of dopamine and norepinephrine (both responsible for sexual excitement), while decreasing levels of serotonin (responsible for sexual satiety / inhibition). 

Flibanserin is not a hormonal drug and does not affect blood flow like the class of drugs approved for men with erectile dysfunction.

-Drugs.com

Like all FDA approved medications, there are limitations.  The medication has to be taken daily and is costly, about US$800 per month with a prescription.

But no worries. You can buy the materials by the Kg in China, and only end up paying $0.0000002/dose. Of course, you would need to measure the dose out on a scale. So it is not as convenient.

via GIPHY

If you want to save money, and not have to worry about self dosing, I would suggest this far cheaper alternative.

  1. Buy a round-trip ticket to China.
  2. Get a five-star hotel room.
  3. Go to a pharmacy, and pay $20 for a three month supply.
  4. Return home.

You won’t need a prescription in China. The Chinese government trusts their citizens to know how to take care of their own bodies.

Contrary to what the American Media says, the Chinese government trusts their people.

What about Kisspeptin

Kisspeptin is a naturally occurring hormone, so it’s present in all men and all women. And it’s mainly found in the brain, especially in the center of the brain in the area called the hypothalamus.

via GIPHY

At the moment we know that it works to control other reproductive hormones like testosterone and estrogen using its actions in the hypothalamus. There are receptors for this hormone in the emotional areas of the brain.

In trials, 29 healthy young male volunteers were given an injection containing kisspeptin. They were then shown a variety of images while in an MRI scanner, including sexual and non-sexual romantic pictures of couples bonding. The same men were also administered a placebo during the two-stage controlled study.

Researchers analyzing the brain responses of the men found that after the injection of kisspeptin, when viewing sexual or romantic images of couples, there was increased activity in structures in the brain typically activated by sexual arousal and romance.

The researchers say the findings, published in the Journal of Clinical Investigation, show for the first time that the hormone that is vital in all of us to stimulate puberty can also alter the way that our brains behave.

Roll Call

So we have PDE5 inhibitors like Viagra and Cialis that enable the male to have erections. It doesn’t do anything in regards to feelings and emotions. All it does is enable men to perform biologically like they did when they were in their 20’s.

We have melanocyte stimulating hormones (MSH) that induces primeval lordosis in humans. This works on both men and women. The FDA forbids Americans to use this medicine, but it is being used around the world with great and stunning results. This is available in PT-141 and possibly (one day) in PL-6983.

We have normal human hormones such as Kisspeptin and Oxytocin that regulates feelings and emotions leading towards relationships and sexual activities.

Finally, we have “designer medicines” such as Flibanserin (Addyi). Which is a post-synaptic 5HT1A receptor agonist and 5HT2A receptor antagonist for female use.

The Future

The future for Americans is rather bleak, I am afraid.

The House of Representatives voted on Friday to create a new schedule of banned drugs under the Controlled Substances Act, called “Schedule A,” and to give Attorney General Jeff Sessions broad new powers to criminalize the manufacturing, importation, and sale of substances that are currently unregulated, but not illegal. 

The bill is now headed to the Senate, where co-sponsors Dianne Feinstein (D–Calif.) and Chuck Grassley (R–Iowa) will likely have little problem whipping votes.

-Michael Krieger via Liberty Blitzkrieg blog

Did you know that the natural betel nut is banned in the United States? Do you even know what it is? It is a nut from the betel tree, and people in South East Asia chew it, much like Americans chew birch bark and leaves. It’s not at all popular in the United States, but in Asian expat communities, they do chew this nut.

Well, the FDA banned it. Do you know why?

Was it because it was dangerous? Was it because of “the children”? Was it because it might cause addiction or develop into a desire for other vices? Nope. It was banned because they COULD NOT find anything wrong with it. So to be safe, they banned it until they could find a benefit in chewing it. You know, “better safe than sorry”.

What kind of backwards logic is this? That is America for you. There is absolutely no freedom. Now, if you want to chew a betel nut you need to go to a freer country that allows you this little pleasure.

You need to go to a country that has more freedoms than America allows. You know, like friggin’ communist China!!!!

Summary

As an American, all we know is what we are told. We think that there is only one medication available for men with ED issues. When in reality, there are a host of solutions. It is just that Americans are barred from trying any of these other solutions simply because…

Simply because…

You, know. Because…

But not to worry. These medications are easy to get outside of the United States. They do not need doctors prescriptions or any proof of age or other barriers that one often finds in the United States. You just go to a pharmacy, write the name of the drug on a piece of paper, and they sell it to you directly at the cash register.

As you get older, you start to realize that “someday, I will...” is actually right NOW. There no longer is a “someday“. All you have is the NOW, and nothing else. You have friends who have died, and you truly know how short life actually is. You don’t have the will to wait for “someday…“.

You don’t have the time, or the patience to wait until some well-fed bureaucrat in the American government grants you the right to do things with your own body. You say “piss on this“, and go do it yourself anyways.

Every day that you live could be the last.

That moment that you could spend with your wife, holding and snuggling, while the storm rages outside might be your last. Those bureaucrats in Washington, D.C. don’t care about you at all. They are not sitting at the table with you now. They have no idea what color your socks are, and do not know which side of the bed that you sleep on.

How dare they TELL YOU what to put in YOUR body!

Our rulers
Here are the people who operate the enormous bureaucracy in the United States. You know, the ones that say one things and do the other. John Brennan. James Clapper, and their ilk. You know what they think of YOU and your family, don’t you…?

Freedom actually means something. It is not just a meaningless slogan that you hear on the fourth of July. It means something, gosh darn it!

The freedom to live your life on your terms is what freedom is. And that is why I am in communist China of all places. At least here, I can take whatever medication I please. No over-paid Washington bureaucrat can do anything about it.

Hey! I’m not seeing an opioid crisis over here…

Oh, and by the way! I like well-cooked bacon as well, with sunny-side up eggs! I like “runny” yellows on my eggs. You don’t like it? You can go to hell.

This is MY time and I am living it on MY terms. I strongly recommend that you, the reader, do so as well. You go live YOUR life as YOU see fit.

via GIPHY

Takeaways

  • PT-141 is a superior ED medication.
  • PT-141 is illegal in the United States, even though it’s qualification trials were acceptable. This is true even though this drug is available world-wide with no reported problems what so ever.
  • To pass approval in the FDA, there is apparently a need for graft, kick-backs and large American-based company partnerships. Nothing is ever approved on merit alone.
  • Americans do not need to suffer the extremely high costs of medications in the United States. They can get them outside of the USA at a fraction of the cost (airfare included).
  • ED medication is often used recreationally by young men who don’t need it. However, there is a real and serious need for men over 40 years old.
  • The roles of touching, cuddling, holding and passionate embraces are just as important than the sexual act alone.

FAQ

Q: What is PT-141?
A:Bremelanotide (tentative brand name Rekynda; former developmental code name PT-141) is a peptide melanocortin receptor agonist which is under development by Palatin Technologies as a treatment for female sexual dysfunction. It works equally effectively on both male and female humans.

Q: Is it available in the United States?
A: No. The FDA has banned it.

Q: Why is PT-141 banned in the United States?
A: The official reason is because a small subgroup of patients using the medication experienced higher than normal levels of blood pressure. The actual reason is because this is a small non-American organization, and they did not have any connections with large American pharmacy organizations to pay for the vice and graft that the FDA expected.

Q: Is PT-141 safe?
A: Yes. Since the product went globally public since 2007, there has not been one fatality or hospitalization when using this medication. NOT ONE.

Q: Other medicines in other nations cheaper than in the USA?
A: Oh, my yes.

"$8 per vial in competing developed-world nations and $38,892 in the U.S. That says it all."

-Charles Hugh Smith via OfTwoMinds blog

5JUL19 Update

The FDA approved Bremelanotide PT-141 for sale under the trade name Vyleesi. Amazing!

And the “swamp creatures” in Washington DC are furious!

A Final Quote

Dr. Robert Pearl, writing in Forbes last September illustrated the greed exhibited by the industry. He used the CEO of Nostrum Laboratories to make his point. Nostrum recently raised the U.S. price of their antibiotic nitrofurantoin from $474.75 to $2,392. It was developed back in 1953 and should have gone off patent during the Nixon era.

In an interview with the Financial Times, Nirmal Mulye Nostrum chief executive, said “I think it is a moral requirement to…sell the product for the highest price.”

Mr Mulye compared pricing decisions to that of selling “a painting for half a billion dollars”. He was “in this business to make money”. Of course, all businesses are in business to make money. But, none enjoy the monopolistic protections granted to the pharmaceutical industry here in the United States.

Note: a three day supply of nitrofurantoin tablets in Alberta sells for $15 Canadian.

The American system has been allowed to metastasize into an unaffordable monster. Our political leaders have allowed it. It is long past time for Americans to demand that they change it. This is not a matter of right versus left. It is a matter of right versus wrong. It is simply wrong for our government to allow sick Americans to be held hostage to the predatory prices imposed by these government protected monopolies.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos
Mad scientist
Gorilla Cage in the basement
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Civil War
Travel
PT-141
Bronco Billy
r/K selection theory
How they get away with it
Line in the sand
A second passport
Paper Airplanes
Snopes
Taxiation without representation.

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Composed 15JUN18.
  2. Final composition 20JUN18.
  3. SEO review 20JUN18.

Why an American Man should Leave America (If just for a bit…)

No, this is not a bash the United States post. This is a post about stepping out of your comfort zone and seeing what is “out there”. Here, I argue that travel, and adventure, are the best ways to make us appreciate America, what we have. As well, as to appreciate what we don’t have.

After all, if you live in the desert you begin to appreciate grass, trees and rain. You need to “shake things up” from time to time.

When one speaks three languages: they are trilingual.
When one speaks two languages: they are bilingual.
When one speaks only one language: they are American.

I’ve done my fair share of travelling, and I can say that no place is perfect. Some things are great here, and some things are better there. What is important is the exposure to those things. It helps us grow.

Have you ever wondered what would happen to the people of North Korea if they were exposed to what it is like outside of North Korea? Can you just imagine? They have never been to a McDonald’s restaurant. They have never seen a K-POP video. They don’t carry smart phones, and don’t know what a search engine is. Imagine what a shock to their system it would be.

They are stuck there in their own echo chamber, in their own bubble of reality.

Just like us Americans. We too are stuck in a echo chamber and our own bubble of reality. My golly, that should most certainly be obvious after the 2016 election of Donald Trump and how outrageous the news media has become afterwards. Everyone is living this fake world and this fictional understanding of life. Everyone. From the news-babes on CNN, to the CEO of Starbucks. Everyone is living in some kind of Bizzaro World.

The weekends in Egypt are not same with ours!
This also broke my common sense, I thought the weekends is Saturday and Sunday all over the world! But there're Friday and Saturday in Egypt!

Anyways…

Let’s chat a little bit about stepping outside of the United States as an American Man, for just a spell. Just for a little bit of time, not for long. Just for a little bit. Then return back. Then to think about how to improve our life inside the United States. For now, we have a new and fresh perspective of what it is like outside the United State’s borders…

Step out. Look around. Step back.

Remember, I am a MAN, so this article is from my, a male’s perspective. I am sure a woman would have a totally different viewpoint. 

Why a man should leave America

If you’re an American living in the United States, I’m sorry, but you probably aren’t using your nationality to its fullest potential. Sure, you get to honor the flag during baseball games, barbecue hotdogs on the 4th of July and express your loud political opinion. But ultimately, the best way to celebrate your Americanism is to leave the USA.

-The Privileged Life of an American Living in Asia

Since I have been outside of the USA, I have lived a freer, happier life.  While I have tried to tell and relate this fact to the reader, it is just incomprehensible to most Americans because many have not left the United States. What I relate to and describe is completely foreign. I wish it wasn’t this way, I really do. However, that is simply the truth.

I will have to be honest, it wasn’t until after I left America that I really began to appreciate it.

As well as get really angry as to what it has become.

Here's just a few of the things that I have come to miss...

The first day of hunting season. A big garden full of tomato plants, peppers and onions. Football on lazy Sunday afternoons. The local sports section in the newspaper with photos of friends, relatives, and their kids. Fishing brook trout. A compound bow. A Ruben sandwich with real coleslaw. Rummaging around in a auto junkyard and scrounging some spare parts.

Meeting some friends at the local bar, or club. Chatting about the latest movies. Depth-charges, and pickled eggs. Cleaning out the gutter, and raking leaves. (Yeah, really.) My riding lawnmower.

My tree stand and salt lick.

Chilling out with my uncle while jazz played on the stereo in his living room. Having a "Dagwood" sandwich. The editorial section of the Pittsburgh Press. Taking my motorcycle out for a spin on a nice sunny summer day. "Lighting up" next to a hopper while the Indian summer breeze blew some leaves about.

You take these things for granted. It is not until you live without them that you begin to miss them, and appreciate them.

Here is an interesting little vignette from an American who went to visit a coffee-shop in Amsterdam, and discovers that instead of selling coffee, it sold weed and magic mushrooms!

Yeah right, coffee shop that doesn’t serve coffee, but space cakes and magic mushrooms. 

So, I am from a country where you get skinned, shot at, and hanged for having this stuff. 

Of course, now I had the freedom to do that, and hence, I headed to a coffeeshop. As a noob, I had no idea how this works. There was a “consultant” to assist you, like a pharmacist!” No kidding , that was crazy! 

I remember the lady introducing all the products from space cakes and magic mushrooms to philosophical stones and recommend that a noob like me to try the mushrooms. So I asked, “How do you eat this?”

She replied, “ Just eat it like eating French fries.”
Me after an hour : Damn the French fries was good!

-What was the biggest culture shock you ever faced?

This posting is inspired by an article titled “10 Reasons Why Heterosexual Men Should Leave America” written on 16DEC13 written by RooshV. As good as it is, it is (perhaps) a little too dominated by sexual excursions and other opinions by that author. It’s kind of a “turn off”, don’t you know.

Again, and I must REPEAT, this is not an article that bashes America. It is my suggestion that travel to different places, and exposure to different things is beneficial.

We all NEED to Grow

For us to grow and advance in both the physical and spiritual aspects of our beings, we need to adapt to the changing circumstances that surround us.  We need to adapt to the environment as we find it.  We need to do this with acceptance, and without trying to alter or change the environment; for it is only us who will be able to change. We can only change ourselves, not the environment around us.

In my case, I left the United States, and I moved to China.

Before I left to Beijing for my weekend trip, my friends from Macau & Hong Kong told me how air quality is going to be bad, smog everywhere, take a mask, etc., but when I went to Beijing, I saw bluest sky I have seen and air quality was excellent. When I showed some of my photos, no one would believe, then I saw an article in New York Times how china could change the climate and air quality if they want to. 


Not sure if this is true but it was a very beautiful day

-What culture shocks did you experience when coming to China

Well I moved to China.

As such, I needed to adapt to the Chinese way of doing things. Which was, in many ways, very different from what I have come to expect. This shock to my system, and what I have learned from it was eye opening. As such, I wish to write about some of the things that I have learned. Though, I will have to tell you (the reader) that many of what I will relate will not make any sense, and you will probably not believe me anyways.

“Most people do not believe traveller’s tales.”

-Glory Road

Differences are always good

I was in Singapore this Feb 2017. Our tour guide proudly asked us in the bus to look outside and tell us what they notice or see different than our country - India. Everyone looked outside, few minutes passed by and people shouted “Traffic police?”. She said, “Yes! We have no traffic police. Everything is monitored on the CCTV cameras. One of the reasons there is so much obedience in public”.

What a boring place the world would be if all we could eat was salt-free oatmeal, and warm water. Even for you oatmeal lovers out there, it would be boring. Day in and day out. The same old… same old. Lucky for us, it isn’t that way. We can choose to eat ice cream, pizza, pork chops, bacon, and French fries. What a wonderful situation!

Philly Cheese sandwich.
It is wonderful to have choices. Yet, many times we do not realize that we have choices. We are stuck in our groove of conformity. We always get a McDonalds burger, or a Starbucks coffee. We don’t think of alternatives. I argue that we should. For that is how we grow. Picture is of a Philly Cheese Steak sandwich.

If we wanted to, we could eat chicken fried steak with sunny-side-up eggs. We can eat butterscotch milkshakes and brownies. We can eat thick pan, double-stuffed pizza and wash it down with a pitcher of icy cold Budweiser. We can eat bagels and cream cheese and a wash it down with a nice hot cup of coffee with real cream. My goodness! Isn’t it great to be able to have choices?

That means, boys and girls, having choices is a good thing.

You can live in Boston if you want bagels and coffee from Duncan Donuts, or live in California if you want taquitos and coffee. You can live in Florida if you want nice sunny skies, or you can live in Wisconsin if you like ice fishing. Choices are good. Having different choices in different places are good.

That’s pretty important.

That is why it is so great to live in Europe. A two or three hour ride will take you to a different part of Europe with different customs, languages and lifestyle. Well, it used to, anyways. That is until the progressive started to run Brussels and dictate conformity throughout the EU. Anyways, I digress…

Different things are really great.

Tacos
Having different choices is food is a very good thing. You can have a taco if you are too tired of hotdogs, and hamburgers. I think that it is not just food, but drink as well. Instead of a icy coke, how about a nice frosty PBR or local beer? Different choices are a good thing.

It doesn’t matter what it is. Not really. Different types of food are nice. Like, for instance, getting a cup of coffee at the Café du monde in New Orleans as opposed to walking into a Starbucks franchise.

Not just about food and drink, mind you, but other things as well. How about having different pets. Having a few dogs around the house to liven it up, and having a few cats to mellow things out and keep everyone in line, is a good thing.

Or maybe having different cars. Like having a beat-up pickup to go mudslinging, or a cheap car to commute to work back and forth, or having a nice big Lincoln to go out to the lounge in the big city.

Different is good. It is really, really good.

Burger platter
You know, seemingly small things can make a big difference. Consider using a different kind of bread or cheese on your hamburger. You expand the taste and I dare say…improve it! Maybe a cooked sweet pepper, or some crumbly blue cheese, and olives. Hey, don’t just snort in incredulity, Try it.

We need to Broaden our Experiences

Now, I contend that the greater your experiences are with different things, the broader your personality becomes.

For instance, I never had any Mexican (or Tex-Mex) food until after I graduated from university. Yet, when I had my first taco and burrito, I became hooked. How I could, I possibly live in a world without refried beans, melted cheese, and tacos? Since then, this type of food expanded my experiences. It made me a better person. And, perhaps, a little thicker around the middle.

club sandwich
How about a fine club sandwich to put a nice big smile on your face. I really like to eat it with a side of coleslaw and some thick “Texas” fries, and a nice cup of “bottom-less” coffee (or sweet iced tea). Yum!

It doesn’t mean that all that I ate before (my discovery of Mexican food) was bad, it is just that I found another food that I like just as well as (stuffed) pork chops, pizza and double tomato hamburgers. It was equal.

Later, when I experienced “real” Southern cooking and had my first “real” BBQ in Mississippi, I added yet another food to my list of favorites. Shortly after that, I added deep-fried catfish, pickled tomatoes, and hushpuppies.  Some of the things that I was exposed to completely replaced the old “standbys”. For instance, once I had “real” Southern mint iced tea, I never bought a regular “iced tea” from a fast food restaurant ever again.

My experiences expanded me.

Muslims male could have more than one wife.
My Egyptian friends told me that the Muslims in Egypt could have four wives maximum, that's legal.

having experiences is good. That is a good thing. We have to keep on constantly pushing, striving and working on growth. Instead of just ordering the same $5 pepperoni pizza from Domino’s pizza, mix it up a little and try a Greek gyro with salad and French fries. Instead of a number #2 meal out of Burger King, order their new “signature” special and try it out for a change. Instead of drinking a Coke out of the 7-11, go a little nuts and drink a Dr. Pepper. Let your “hair down”, live a little bit.

Stop going to McDonalds and KFC all the time. Go to “Quaker Steak & Lube“, “Submarina“, “The Hat“, “Portillo’s Restaurants“, “Duchess“, “The Varsity“, “Honey Dew Donuts“, “Bojangles“, “Runza“, “Arctic Circle“, or “Blake’s Lotaburger“.

Please, believe me. You should try different things.

Not all hamburgers are the same. Fast food is NOT about a basic McDonalds hamburger. It can be anything. There are choices out there you know. You have choices. You can decide what YOU want to eat. Your choices are not limited to either [1] a cheeseburger, [2] a big mac, or [3] a quarter pounder.

Step outside your comfort zone. The world is filled with all kinds of things that are are quite different from what you have grown accustomed to. Different is good. Listen to me, different is GOOD.

It’s not only about food either. It’s about everything.

Party on Hangover II
Yeah, the Hollywood movie “Hangover II” was a fiction, but the life that it represents can be easily obtained. You just need to step out of your comfort zone and experience new things. Anyways, who wouldn’t mind hanging out with your best friends with a monkey in a “Rolling Stones” vest, drinking VSOP?

This includes different types of personalities, different fashions, different styles of buildings, different weather, different  ways of doing things. Each one has their good and bad aspects. There is no “best” way to do anything. You can select and you can choose.

They use almost every part of the animal in their food. It was a shock when I ordered Chicken in my hotpot, expecting just the meat and find that there is literally a chicken head, chicken feet etc. in my soup.

Don’t fall for the conventional narrative that there is only ONE best way to do things (the way everyone else does things). You are your own person. You can make your decisions and you own choices.

You, yes YOU, can decide.

"I certainly had no idea about sex until I was 52 and living in Asia. 

But I didn’t understand what I was missing either, so can sympathize with a lot of the white guys living in their home towns. 

I don’t even bother telling my pals back home about sex out here, they just claim I’m lying, or at best think I’m lying."

-John

We need to Push and Strive

You have to push to learn and improve your life.

Unless you push, strive and experience, you will become fat and lazy. We have to constantly push ourselves to be better people. To do this we need to strive. Strive to be good men. Strive to be good fathers. Strive to be great employees. Strive to do what is the best. Strive to learn.

"Of course the game is rigged. Don't let that stop you--if you don't play, you can't win."

-Robert Heinlein

In most cases this will not happen if all you do is sit on your lazy-boy and play video games all day. You need to break out of what you know and enter the realm of what you don’t know. You need to go “outside” and experience other ways of doing things, and other ways of thinking. This might mean that the way pizza is made in Chicago tastes better to you, than the way pizza is made in New York City. Or not. Maybe you end up liking both types of pizza. (Like I do.)

A slice of pizza is delicious.
Pizza is just awesome, but you know what? There are different kinds of pizza, and different kinds of styles. I think that they are all awesome. Though, I not a big fan of oyster and mussels on a pizza, personally.

But there will be one take-away from your comparative experience. That is, at least you will know the difference between a pizza in Chicago and one in New York. That knowledge is a good thing.

Next time that you eat a slice of your favorite pizza, you will end up appreciating it even more.

Different Food can be found in Different Nations

I have to tell you that I have eaten some of the most amazing food, that I ever ate, in China. You know the Chinese-American food “General’s Tso’s Chicken”? Well, you should try the real authentic Chinese dish; Gongbaojiding.

Real Chinese food
According to Wikipedia; Kung Pao chicken is a Sichuan cuisine originated in the Sichuan Province of south-western China and includes Sichuan peppercorns. The dish is found throughout China, there are regional variations that are typically a little less spicy than the Sichuan serving.

I have to tell you that Thai food is amazingly delicious. I also love the noodle dishes from Vietnam. Singapore and Malaysia has some of the best and tasty dishes that I ever ate. Australia has some pretty awesome steaks, and my goodness, the cheese out of New Zealand is absolutely amazing.

Come on! If you haven’t had Guinness stout on tap, you haven’t lived.

via GIPHY

And… Please understand, there are some amazing wines out of Chile and Australia. You owe it to yourself to try some, if just once. You should understand why many Australians are so relaxed about life, and why everyone says that Morocco is a cool place to visit. You need to go there and learn.

OK. Well, I would hope that I made my point.

The world is filled with all kinds of things. These things are both good and bad. You should not rely on some television or Internet “expert” to tell YOU which is good or bad. I argue that you should go out and sample them yourself.

So, please don’t get too upset. I personally think that YOU, the reader, should know what you want and what you like. You are the expert on YOUR life.

It's like the mainstream news media getting upset because we go to the internet for our news. We do not need the info-babe telling us what the President said. We can listen to his speech directly ourselves.

You are the expert of YOUR life. You can decide what you like and what you don’t like.

Why you should expose yourself to other ways of living…

You, the reader, should not get mad but I really think that YOU should be the one who decides what you like and what you don’t like. Not some “expert” who tells you what to eat, how to live, where to live and what to do.

"Once you go abroad it’s difficult to go back. 

My first extended experience living overseas opened my eyes in a variety of ways. People will always be people but I believe that culture is the single biggest influence on people. There is definitely something wrong with America in this respect. 

America may be a lot of good things.. productive, prosperous, and relatively free but the socialization of its citizens is much less advanced than other (much more economically poorer) countries I’ve been in. 

The way I look at it quality of life isn’t just all about money. It’s about what you can do with yourself in that society and how comfortable you feel around others. 

In America I was never truly “comfortable” but always felt tense or slightly agitated at the people around me. There’s definitely a hostility and tenseness to social interaction there that I don’t feel anywhere else. 

That’s a lot of negativity to deal with daily so it’s not surprising that out of all industrialized first world countries Americans generally have the least healthy lifestyles and shortest overall life spans.”

-Happierabroad

With this being made clear, let’s take a look at why an American man should step out of America from time to time and sample the customs elsewhere…

[1] It is not as bad as you fear

First of all, other nations are not as bad off as you have been led to believe.

Being in a echo chamber, with our only window outside of the USA is the news media, gives us a really warped idea of life. Particularly, life outside of the United States. If you believe the American news media, the world is a cold dark sooty place, with only the United States glowing in the light.

Hah!

Let me be the first to correct this crazy perception. Nope! It is not that way at all. Those pesky Russkies are in so many ways like your typical middle class American. Those evil commie Chinese are like Americans from the 1950’s. Those Africans from Zambia and Kenya are more conservative than the most conservative Republican can ever be.

What you think is real, it all just a big friggin’ lie!

Hey guys, there just aren’t any high speed trains in the USA. We stopped making advances in rail technology when the American government took over control of the rail. via GIPHY

When I first stepped foot outside, I was stunned. Heck! They had toll booths, ATM machines, cell-phones, taxis, and universities. People wore the same clothes that I did. I could get sunny side up eggs and a great freshly brewed coffee just about anywhere. The girls were amazingly attractive, and the girls in Australia all had these lion manes for hair. It was stunning.

Good golly! The girls are friggin’ stunning. Korean, Chinese, Australian, Singaporean, Zambian… Zambian… oh, did I say Zambian? Stunning!

Korean girls via GIPHY

People had homes with yards, garages, sun-porches, dining rooms, and nice Western-style bathrooms.

Sure they did things differently, but it really wasn’t all that bad. It most certainly doesn’t look like a “Save the Children” commercial, or a Brazilian garbage dump. Other nations have weather girls, news programs, forensics television shows, and often many rights that are no longer available to Americans…

Especially, the freedom to keep your personal records private.

Yes, they have highways. They have their own local pop music. They play games on their smart phones, and they like to fish. Guys like to watch sports, and really get involved in it. Men do household chores and everyone really cares for their children.

Speaking of children. In fact, I was stunned that children in Thailand can buy and own firearms! I was under the impression that American was the ONLY nation that had the “second amendment”. Boy, oh boy was I wrong. I was terribly wrong.

Thailand Guns.
Any Thai citizen can buy a gun in Thailand. There are no age limitations, or a need for federal registration or background checks. Thailand residents are fully trusted by their citizens to own guns of all types, and calibers. Including full automatic weapons.

When the democrats are eventually successful in repealing the second amendment, American will obviously need to look to Thailand as the beacon of liberty and freedom.

Anyways…

People in other nations have pets, often treating them like children (for example like in China), and not breeding them as food like CNN likes to announce. And speaking about lies from the media, all these “bird flu” conflagrations are all nonsense. The various illnesses that are developed overseas will not kill you. It is all a manufactured reality to keep you in fear.

And, by the way, Christmas Trees will not kill you. No matter what the big media wants to convince you.

In short, and in summary, the rest of the earth outside of the United States is not what you think it is.

Travelling will make you take a good hard look at what you thought was reality. via GIPHY

[2] You will get to experience real FREEDOM

Another big thing is that you get to compare and contrast. You get to see what “freedom” actually and really is.

Once, you as an American, leave the United States you will finally get to feel what real freedom is like. This is a really big thing with me, as I feel very betrayed by our elected politicians. Today, for the vast bulk of Americans, we DO NOT KNOW what real freedom is.

"But the biggest culture shock of all was that it never felt like you were in a communist country at all"

-What culture shocks did you fell when you visited China?

In the USA we always talk about how “free” America is. We talk about it, we sing about it, we praise it, but we don’t live it. We have forgotten what real freedom is. We are just talk about it. It’s all talk, talk, talk.

Yadda… yadda…yadda.

I wonder how many people, not just Americans but those in other countries, have come to the conclusion that the United States today is a less free and less aware society than the societies in the dystopian novels of the 20th century or in movies such as The Matrix and V for Vendetta. 

Just as people in the dystopian novels had no idea of their real situation, few Americans do either. 

 -Paul Craig Roberts

It’s a truly sad situation, where we don’t realize how absolutely decimated our freedom has become. We think we are “free” when we have to report to the IRS. We believe that we are free when need to show a driver’s license to buy a beer. We are convinced that all is good, and our freedom is intact, when CNN announces that the President suspended habeas corpus. We pat ourselves on the back for exposing the crimes of the FBI. 

A truly free society wouldn’t NEED a FBI, let alone use it against the citizens.

Yah, we parrot what the News Media says. “We are FREE!” in the best nation on the planet forever!” Woo Woo.

“Americans should travel internationally, especially in Asia. When they return to the States they will see what a police state it has turned into.”

-roddy6667 Jan 8, 2018 3:19 AM

Yeah. It becomes obvious.

America has laws for just about everything, and high police budgets ensure you’re always watched by those in power.

via GIPHY

This means everything. All behavior is policed, and it is so very easy to get arrested. In America you are always watching out for the police. We no longer even notice it. It has become an automatic reaction, like when you look down at your speedometer when you see a police car nearby. This all means you’re one party away from getting arrested and going to jail.

Foreign countries are different.

An absence of heavy police presence, combative women, nanny state laws, and surveillance cameras means that you can enjoy your time instead of worrying about getting arrested. Take some beers to beach or drink in the park with your group of friends. Drink a beer in the open or on a city bus. It’s not a problem.

Remember, boys and girls, true freedom is stinky and messy. The more organized and proper a nation is, the less free it is.

[3] You will begin to compare different nations to the USA objectively

You can compare the things that matter to you.

It doesn’t matter what the think-tank in Washington D.C. says about a particular nation. Or, what the Washington Post has to say about you not being taxed enough. You can decide for yourself.

Instead of parroting the narrative that the United States the best and greatest nation in the history of the universe, you will actually get a chance to decide for yourself.

You will see what the differences are from the USA to another nation. You can compare eating a breakfast in your home town against one in Indonesia. You will be able to compare dating a girl in Vietnam as opposed to one in your home town. You will be able to compare the costs of buying groceries in Australia as opposed to buying them in your home town.

You will be physically able to make your very own comparisons yourself.

North Korea
North Korea is very clean and sanitary. It has laws for just about everything. As a result the people obey the laws or suffer the consequences. Look at all the people living their lives in joyous abandon! Nope! It is a sterile but beautiful place.

In places where there just isn’t very much freedom, there is a tendency to be boxed in by rules and regulations. People are afraid to go out and live life. They hide for the most part. When they do go out, they are very well behaved and keep to themselves.

They don’t bother to direct any attention to themselves, least a police officer come over and arrest them. The trash cans are all clean, and there isn’t much in the way of litter. There are no beggars on the streets, and the buildings are all pristine and sanitary.

Kish island in Iran
Americans don’t get many opportunities to see what Iran is like. It is a big black news layout. All we know is that it is a theocracy, or a nation run by strict adherence to Islamic law. As a result it is a very organized and clean nation. But, it is not a free nation.

In places where there is freedom, people are permitted and allowed to experience life. But you know what? Freedom is not pristine and controlled. It is stinky, messy and chaotic. People go about and live their lives in crazy abandon.

Freedom is where you are permitted to live your life free of interference.

All the laws, and all the police, and all the regulations are considered a “price one must pay”. It is considered the price that you must accept to “live in the greatest nation on the earth”. America has the “Bill of Rights” that are always protected. No one will ever try to take away the freedom to speak, or your guns, or your ability to worship as you wish. Not in America!  It’s just the price you must pay if you want to be an American.

Which, of course, leads me to think about things.

Water market in Thailand. Here, people sell things on the water by boat. This would be regulated into oblivion in the United States. Why you wouldn’t be able to do anything because of “mah children!”via GIPHY

[4] Comparisons will be stark

Comparisons on FREEDOM between the USA and China

So, in comparison with my Chinese friends, I have discovered that I have more freedom in China than what I had in the United States.

WHAT?????

No shit, Dick Tracy… Let me explain.

Here in China, the IRS won’t come smashing my door down at three in the morning with an armored vehicle. I don’t ever have to report my yearly income to them, and there are no help-lines to assist me in doing my taxes. You simply don’t need them. The Chinese never have to report anything to their government.

One of the first things that I noticed when I moved to China…

The sad truth of the matter is that we as a people have been too propagandized and naïve to admit how corrupt and vicious our government has become, irrespective of who resides in the oval office. 

Our current problems are deeply systemic and therefore cannot be solved by obsessing over the symptoms and switching out a president. 

We need to face reality before we can recover as a society, and to do this we must admit certain uncomfortable truths.

Most significantly, we need to come to terms with the dangers of allowing extremely secretive and all-powerful agencies to multiply and grow to the extent they have. 

When well-documented abuses from the NSA, CIA and FBI go on for decades with little to no accountability, what do you think’s going to happen?

Meanwhile, superficial pundits and hack politicians are out there telling us about how great the FBI is, yet historical facts point to the opposite conclusion. 

That this is an agency that’s always been more focused on protecting the status quo than protecting the people. 

Are we supposed to pretend that the FBI didn’t write a letter to Martin Luther King Jr. telling him to kill himself? Are we supposed to pretend COINTELPRO didn’t happen?

- Mike Krieger via Liberty Blitzkrieg blog

One of the very first things that I noticed was that China has roads. Nice, really nice and beautiful roads. Roads with well-tended gardens on both sides filled with flowers and decorative trees.

We need taxes, we are told. You know, for roads and infrastructure...

They have high speed trains, and all sorts of infrastructure. Yet, surprisingly  the people don’t seem to be raped by taxes for every little thing. In fact the opposite is true. They have one tax. Only ONE single tax., and it is a small one.

You want a bottle of coke, it costs you 1 yuan. Not $2.98 with tax. You are never, and I mean NEVER, trying to figure out the overall costs of something you buy. A noodle lunch costs 15 yuan. A liter of gas is 4 yuan. A bag of betel nuts costs 10 yuan. Simple costs. Simple math. No hidden taxes at all.

American state police.
Here is Freedom – American style. People, listen up! America is a police state. It really and actually is. Once you leave the United States you actually see how much it has become a big nasty police state.

So China has roads, bridges, infrastructure, and it’s nicer and newer than what we have in the states. So, why do Americans pay so much in taxes, and get so little back in return?

Personally, I think that there is a significant amount of kick-backs, corruption and graft in the various American governments. There is also an enormous amount of waste. Why is the USA constantly at war? Why are taxes constantly going up and up and up, and the government is just giving the money away to everyone EXCEPT the American people?

Here in China, the FDA will not shut down my business because of some complaint. I can refuse service to anyone for any reason. I can take and buy any drug that I want. I can chew a betel nut and not feel afraid of the police. You can buy any drug ever made by man, at ridiculously low prices, and yet, the Chinese just don’t have an Opioid Addiction crisis. Why is that? Think about it. I do not need PERMISSION to put something else in my body, food, drug, or smoke. No permission is required.

Here, the DHS will not freeze my papers and subject me to household detention for undisclosed reasons. They won’t arrest my children for trying to sell lemonade in my front yard. They won’t run their armored personnel carriers and tanks on my rose bushes.

DHS vehicle
The Constitution clearly forbids stationing troops on American soil, as they could be used against American citizens. But it doesn’t matter. The government did it anyways. Only instead of calling it an army, they call it the DHS.

But, you know, it’s much more than that. It is everything…

So many things we take for granted. It’s almost like we view the cleanliness and design of our handcuffs as a sign of freedom.

The biggest culture shock I ever lived was in Texas. I was arrested, Starsky-and-Hutch style, and jailed, basically for excessive speed.

I was on a visit at Texas A&M University at College Station, when friends from Dallas (ca. 180 miles = 300 km north) invited me for the Easter weekend. On the I-45 motorway, I drove at 80-90 mph, so as to alleviate the boredom from the long and monotonous route. 

I was aware of the speed limit at 75 mph, but I felt safe as most drivers did the same, and some drove even faster.

As I was getting close to Dallas, I noticed a police car behind me, with its red lights on. Based on the way the police behave in most countries, I took this for a request to make way. So I pulled over to the right lane and slowed down a little; and I didn’t bother more about it. Then, I noticed the police were still there, but I didn’t understand what was going on. 

I guessed they were after somebody, but did not figure out it was me: on the one hand, I wasn’t driving faster than most people around; on the other hand, I never thought they would quietly stay behind me if they wanted me to stop — my generation wasn’t addicted to U.S. series. 

Our home-grown cops order drivers to stop, not by staying behind them, but by moving to their left and signalling with the right arm. I was beginning to find the situation weird, when another police car came to my left, and a policeman signalled me to stop. I immediately did.

Then the big show began. The policemen yelled at me to get out of the car and put my hands on it. One was pointing a gun at me. I complied; they frisked and handcuffed me. They asked me why I hadn’t stopped at once; I answered that I had not understood. 

At first they obviously didn’t believe me, but I explained that the practice is different in my country. They insisted that I had no valid driver’s licence, as I didn’t possess a Texan one. However, I showed them both my French licence and an International Driving Permit, which is recognised in Texas. I had purposely fetched it at my prefecture before leaving France.

I felt eerie, as though I had gone out of my body, and watched myself caught in a cheesy crime TV series. Without subtitles: my command of spoken English is sufficient for daily communication but, well, not perfect. Broad Texan shouted at machine-gun speed, with a twang as thick as guacamole, is a bit of a challenge for me.

Progressively, I figured out the situation. Those who had chased me first were from Ellis County, and the one who had signalled me to stop was from Dallas County. I had crossed a county line, so the Ellis policemen had to request the help of the Dallas police. 

I had made them look like fools before their colleagues, so they were quite upset. But my crossing the county line also qualified as “evading arrest”, and evading arrest in a motor vehicle is a felony in Texas law. The Ellis County policemen called their superiors; after a one-hour wait in their car, still handcuffed, I learned that I was going to be taken to jail. The cheesy HBO nightmare was going on.

So I was introduced to the Ellis County jail in Waxahachie, Texas. The inner child thought: “What a name! Sounds like the chant of the Indian warrior, after he has captured the white guy who ventured too far, and tied him to the torture post”. My adult self added: “They have killed and removed the Indians, but they have kept the tortures”.

The prison personnel seemed surprised to see someone jailed for an offence he did not knowingly commit. They even said the charges should be dropped, as I did not know the custom and had never been arrested before. But, anyway, the sheriff had ordered to jail me, so they had to accommodate me. 

The check-in formalities are surprising. For instance the disinfection shower: you undress, a guy comes with a big sprayer like those used in vineyards, and sprays the cold stinking disinfectant on you, first front, then rear. You put on a heavy brownish overall. 

If you ask for reading material, they give you a Bible, a special edition with a foreword saying that God forgives even the worst offenders. Why not? This was Good Friday, after all. I read all of St Matthew and half of St John during my stay.

It was time to proceed to the detention room. I was quite anxious, expecting to spend the night in a cell with a few hardened felons, and wondering how they would deal with me. Fortunately, petty offenders are kept in large dormitories of 40-odd beds, with a TV set, tables… and a jailer staying in all the time. No way to pick on anybody when 40 witnesses and an armed guard are present.

I won’t say it was a pleasant time, but it was interesting. There was the local drug pusher, locked up without bail until his judgment: he was accused of “destroying evidence”, because he was cleaning his weed pipe when he was arrested. 

There was the blockhead who had tried to steal the sheriff’s own bathtub. Everybody was baffled by my story; Hispanic people were surprised to see a blue-eyed and fair-haired guy so ignorant of Anglo-Saxon habits and culture.

People had a deck of cards, they asked if I would play with them. I tried to teach them belote; obviously it was too tricky… I was asked many interesting questions: Do you have McDonald’s in France? Do you have Twinkies? This one puzzled me: I didn’t know the stuff. 

They offered me one! Let me thank them: the “official” meal that came on the morning was the most disgusting of my whole life. 

As they had taken all my money from me, I only had the normal prison grub, while the inmates could buy crisps, sweets and cakes. The drug pusher — a smart guy, actually — explained to me that the whole prison system was geared toward extracting as much money as possible from the inmates. A shocking revelation.

There came the curfew; I had to find a bed. To my surprise, I realised that the dorm was neatly divided: the whites on the left, the blacks on the right. And the only place left was in the black section. 

Just below me was, say, the kingpin. During hours and hours, he kept talking to his visibly sycophantic neighbours, yelling “wawawawaw Nig**r… wawawawaw Bro”. I just could catch those two words. Once he turned to me and, switching to more standard English, ironically commented “This is a f**king professor at A&M…” before returning to his mumbo-jumbo. 

Was the irony directed at me, or at the system that had put me there? I didn’t get it. Frankly, I would rather have slept, but I found it ill-advised to complain about the loud neighbourhood.

The next morning, I was called to arraignment. Of course, I didn’t know the word; I drew a smile from the jailer by ingenuously asking: “who is Raymond?” A judge first lectured me in legal gobbledegook, I panicked as I just could catch one word now and then. He explained to me again in plain English: the case was not dropped, but I could be released if I paid a sum of money. 

The jailer who had accompanied me expressed again his surprise that the charges had not been dropped. I could call my friends from Dallas, they undertook the formalities for my release. Together we discovered the fantastic world of bail bond agencies, roamed the county to find the pound where my car had been taken (no one had told me about its whereabouts)… One of their neighbours gave me the business card of a lawyer.

I flew back to France as soon as I could, shivering with the fear that one could detain me. The judicial process ran its course. The grand jury did not dismiss the case, but finally my lawyer negotiated the re-qualification. The “evading arrest” charge was dropped. I was fined twice, once for excessive speed, once for “failure to give right of way”. The total cost of this fine little joke (bail deposit + car pound + lawyer fees + fines) was almost $10,000.

I never came back to the US. In the form that must be filled to obtain the “visa waiver” (actually, almost as complicated as the visa was), there is one question: 

“Have you ever been arrested or detained in the U.S.?” I can’t even think of that.

-What was the biggest culture shock you ever faced?

America is a de facto police state. It is not just the local town and state police, but it is the entire federal apparatus.

The FDA will not require me to have a doctor write me a prescription. Nor will they ban anything. Instead, I can simply go to a pharmacy and ask for a drug and they will give it to me, no questions asked. I do not NEED to have a doctor prescribe ED medication. I go to the pharmacy and tell the woman behind the counter what I want. She gives it to me at a fraction of the price available in the United States. I do not need to ask PERMISSION.

The FCC will not limit my bandwidth on my cell phone. They will not monitor or restrict what I can watch, write, say or listen to. They won’t limit it, and I don’t need to ask PERMISSION to change it.

The NSA will not be monitoring, recording, and indexing all my computer activity. Nobody will care. It’s true, and I say this as I am in mainland China, supposedly behind the “Great Firewall of China”. Yeah, more bullshit American propaganda. Dudes, what you think China is … is a big piece of bullshit propaganda.

The NRO (National Reconnaissance Office) won’t be proudly launching spy satellites over my house with logos that look like they came from the evil side of the a 007 James Bond movie.

NROL-39
NROL-39 Nothing is beyond our reach. Looks like a logo from Dr. No or some other 007 James Bond villain.

The CIA won’t be trying to spy on my though my household appliances. They won’t be trying to blackmail me with some attractive prostitute. Though, I kinda wish that they would try…

The FBI won’t be monitoring my email, or smashing down the offices of my attorney. Putting me on a “hit list” of politically connected people, or trying to frame me for some obscure crime or two. And while on on this subject, why do American police dress like SS Storm troopers? Why? Why is the FBI permitted to act and behave exactly like the dreaded Nazi Gestapo?

Evil Peter Strzok
Peter Strzok in his meeting with Congress. He pledged to have systems to prevent an elected President from taking office, and promised that those who voted for the President would not get their wishes fulfilled. Instead, he would take care of it, as he had backup plans.

However, here in China I am not subject to the American police state. The FBI has no jurisdiction here. I can live my life AS I SEE FIT, not as how the busybodies in government think it should be lived.

I can pick up a water cannon and enjoy the holidays without worry that I might upset someone.

Songkran water festival. Try doing this in the United States. You will probably be shot on the spot. None of the water guns have the red caps at the end, and Lord only knows what will happen if some busybody biddy gets wet! Yikes! via GIPHY

Now, to someone sitting in front of their computer in the United States, this is all very interesting, but doesn’t mean much. “So what?” you ask. We have the Second Amendment, and we have Habeas corpus.  Yes, we do. But, you know what? They are not enforced. Not a day goes by without them being infringed.

Habeas Corpus is meaningless if basic English Common Law is not observed.

Not one elected official is defending the Bill of Rights. Not on the federal level, and not on the state level.

Before the reader “has a cow”, let it be understood that what I am discussing is day-to-day freedom and liberty. This is the freedom and lifestyle that you experience every day.  This is how you live your life in doing your normal activities. These are the simple things in life.  These things include working; eating, spending time with your family, travel, saving money, and spending money.  These are the comparatives.  These are the measurables and the deliverables that one can use to actually determine how free they are.  As well as comparatively determine their overall standard of living compared to the rest of the world.

So instead of pretending to be a “blue ribbon panel”, or “think tank” sitting high up in an “ivory tower”, get off your high horse, and experience life with me.

Life is what YOU personally experience.

It is not what is described to you that you SHOULD experience. True freedom is being able to plant a garden in your front lawn. It is being able to build a geodesic dome on the roof of your house, and being able to make your own home-made moonshine in your basement…

…all without worry that the police will come smashing your door down and throwing you in prison for fifteen years.

Freedom is being able to live your life, to act and think, and do things to your own body without worry that someone else will be offended. True and real freedom is being able to sit down, order a super sized coke, and sunny-side up eggs in a restaurant in New Jersey with your dog sitting next to you on the sofa, and not worry about being arrested. You can do this in friggin’ communist China, but are forbidden to do so in the “land of the free”, the United States.

Dudes! This – is – NOT – freedom.

Once you leave the United States, you get a taste for REAL freedom.

Freedom in Thailand.
Freedom is the ability to live our lives as we want without interference. Liberty is the ability to practice freedom without restriction.

Freedom is never having to take a drug test for anyone, for any reason, at any time. Freedom is never having to fill out a transcription of all the money your earned, and ask for deductions to the all-powerful IRS. Freedom is the ability to withdraw all of your money from your bank when you want without consequence.

Caution: Wet Floor signs in clear view after someone mopped the tiled entrance of a hotel? Nope. 

Guard rails on steep trails, foot paths, or overhangs on cliffs? Not really. 

Red tape or warning signs around crumbling sidewalks or two foot wide uncovered man holes? Nada. 

We do admit seeing a Caution: Hard Hat Area sign where construction was being performed. Yeah, several times, actually.

At first we were startled to see such lack of warning signs in Thailand. How could people properly function in society without being spoon fed safety warnings?! But the longer we lived here, the more refreshing it was. One can argue that Thai citizens and foreigners are expected to open their eyes and take responsibility for their own actions.

And you know what? Using common sense works!

To this day, no one we know has gotten hurt by their own lack of awareness and tried suing the life blood from the company or property where the accident happened. Americans, take a hint!

-Tieland to Thailand

Freedom is the ability to light a cigarette at the dinner table in a restaurant. Freedom is not being politically correct. Freedom is doing unhealthy things to your own body. Freedom is home-schooling your children. Freedom is being able to build a tree-house on your property without a permit.

Freedom is having a ladder that doesn’t have any safety warnings on it. It means having a mattress that you are allowed to tear the tag off of. It is the ability to buy beer in a grocery store on Sunday. It is the ability to ride a bicycle without a helmet, safety gloves or arm protection. It is the ability to give your child a peanut butter and jelly sandwich in public without confrontation.

It is the ability to OWN a house, and never, ever…ever pay any kinds of taxes on it.

The mere fact that I have to describe this, and elaborate on it, in such detail is an indicator to how far down the culture and society of America has fallen.

So yeah, when you leave the United States you will be able to do things that are forbidden in the United States. You will feel free simply because you will no longer feel afraid to do the “wrong” thing.

China has freedom and liberty.
Here is a shout out for real freedom. There is nothing better than living life on your own terms, and doing so without guilt or regret. That is what true and real freedom and liberty is all about.

[5] You will experience less anxiety

In USA nothing is easy. Nothing is efficient. To pay rent, you have to use a check? I have never written a check at that time . The last time I got a check was maybe 5 years ago, from my Uncle. 

Getting an apartment takes so long as opposed to other countries I have lived in where it's just a handshake. That's it. 

I went to the post office yesterday, and I was waiting in line for maybe an hour—and there were only five people in front of me. 

I felt like I went from a Western country to a third-world country. People here with money have access to things, but the rest of the people are just trying to survive."

You will experience less anxiety.

via GIPHY

To an American it seems like an insurmountable mountain that one must climb. You have to buy tickets, often expensive, smash through language barriers and deal with customs that you don’t understand. Plus, on top of that, you just don’t know anyone there. It seems impossible.

But it isn’t.

"I think generally, the biggest culture shock that people experience in the US is not between their country and the US but between what they thought the US would be and what it actually is. 

Books and movies about America make the place appear very free and exciting and happening and the people are so interesting and emotional. There is sex and fun and romance going on. 

When they arrive, the place looks very conservative and the people appear robotic and quiet. Sex is subdued and hard to come by. The people are not open at all, they look closed and mistrustful. 

Everybody is just working and looking tired and apathetic. 

Talking to strangers is taboo. There are thousands of little rules and laws and social mores that seem as dogmatic and strict as those in a Muslim society. And every time you are at risk of breaking yet another law and facing very dire consequences. That is the biggest culture shock of all." 

-Happierabroad

When I first moved to China, I didn’t understand that most people use WeChat and email, and social media to communicate. Packages are sent by TNT. ChinaPost worked, but was generally slow and being phased out. I needed to get up to speed with the new and different ways of doing things.

In America, I was always worried about the police. That was the case even though I was doing nothing wrong. I have been pulled over just so the cop can see my license. I have been observed just because. I have heard stories of how the police find out that you have money and just simply take it for themselves. That is NOT freedom.

In China, I am never fearful of the police. They tend to be very laid back. It’s almost a “Mayberry RFD” vibe. Yeah, going to China forced me to learn new things. Learning was uncomfortable.

Like how those electronic mail lockers worked, how to use DD, and how to use a squatter toilet without falling over myself.

For many years in the United States, I took anti-anxiety medication to control the work stress of life. I took Buspar and Trazadone and they certainly helped me, but you know what, I don’t need them in China.

via GIPHY

I no longer have bosses throwing chairs in the conference room, HR that patrol the halls making sure that the “sterile desk policy” is being enforced, and gossipy coworkers. It is fairly rare to be laid-off without notice, the police won’t arrest you for jay-walking, and you don’t need to prove anything to any faceless government bureaucrat.

These common-place American things are unheard of in China.

The sustained constant beat of stressors on your life will no longer be present. You will start to feel free. That feeling is wonderful.

via GIPHY

[6] You will be exposed to more traditional human beings

The world is filled with all kinds of people. However the childish notion that a large percentage of people are gay, lesbian, transgender or some other kind of hyphenated and abused minority is simply not true.

In the United States, this narrative is being shoved down our collective throats with impunity. But, it’s all a big lie. It is a manufactured construct for purposes of control.

It is a big lie.

As an American, I particularly HATE being lied to.

Most people outside the United States have real traditional values, and run their families in a very traditional manner. The man works, and he does what ever it takes to feed his family. The wife stays at home, she takes care of the family and provides a safe haven for the family. She is the anchor of the family unit.

The woman is measured by her appearance and how well her family is treated.  Even the poorest family will have a clean home, and the best meals that the family can provide will be given to the children.

"Thankfully, traditional beliefs are alive and well in many foreign countries, and homosexuals have to be more respectful of how they behave in public. 

Another benefit to more traditional societies is that women understand their role of appearing beautiful and submitting to strong men, something that is sorely missing in American culture.

Once you live in a country where women spend more time looking good for an afternoon walk than American women do when they go to the club with their grenade friends, it’s really hard to go back."

-RooshV

Heck, the girls I knew in Zambia were more traditional than white American conservative grandmothers. It surprised me. I was expecting something similar to the monolithic liberal African-American personality.

I was wrong.

They really know how to treat their men. Let me tell you!

My shirts were always folded “new package” style. Everything was pristine and spit shined. Clothes were crisp and creased perfectly.  Dinners were hand made with a balance between taste and what was good for me.

The lady of the house would not only prepare it, but would dress up for it!

It was like a formal dinner with a head of state. Dinners were laid out formally because I was the “man of the house”. I was provided with “my chair”, and absolutely NO ONE was permitted to sit in it, except me.

Folded shirt
Properly folded shirt. This is how all my shirts were washed, cleaned, starched, and ironed. This woman was from Lusaka, in Zambia and let me tell you that they are proper, conservative in values and very much know how to really their men properly.

It is a different feeling and experience to be given such a degree of respect.

To be respected by others. To be treated with respect, and to be held in high regard by others who were polite and proper makes a big difference in your life. Once you are treated with respect, you will never go to somewhere you are not.

Compare that to the huge “white water buffaloes” with attitude, that pass for American women today, and the contrast is stunning.

[7] You can be yourself without shame or fear of exile

I am sure that there will be those offended by my statement about “white water buffaloes” with attitude, but that is just what they are called out here.

There is nothing particularly right or wrong about that, it is exactly what it is. Fat obese chicks with poor manners, unkempt appearance, and foul aggressive manners. It’s horrible and disgusting. Here in Asia, these examples of the female form, are laughed at and snickered to behind their backs.

And guess, what else?

I can say it without shame or social exile. I just did.

Real freedom is to live life without fear. Not a fear of saying something politically incorrect. Not a fear of saying something that sounds hurtful. Not just fear from the IRS, or the latest swine flue from China, but fear of being yourself.

You can live your life on your terms.

Hey! You want to pull out a pocket knife and carve up an apple on the bus, go for it. No one will bat an eye. Hey! You want to take your dog with you while you take a dip in the hotel swimming pool? Good for you. Just go and do it. Hey you want to drink some XO on the porch and watch the pretty girls go by? Do it, as no one is going to take notice.

You can speak your mind, and say your piece.

That’s REAL freedom.

[8] You will pay less for healthier food and a better lifestyle

America has changed. Why does everyone that visits here from the USA seem to be obese? I mean it. Everyone is huge. They are enormous!

I personally think there are many reasons for this. Stress, medications, and GMO saturated high fatty foods, with sugar in just about everything has certainly contributed to this.

Westerners in Thailand.
Here is a bar street in Thailand. Can you spot the Westerners? Do they look healthy to you? What is going on, and why do you suppose they appear so different?

When I lived in the States, I was always rushing to make it in time for work. Sure, I might work late nights, but come in one minute late in the morning and you could lose your job. It was always rush – rush – rush. I’d grab a couple of donuts and coffee in the morning and eat a greasy fast food burger for lunch. Dinner was better, but not by very much.

All of this affected my metabolism.

When you leave the United States your life takes on a new pace. You eat differently. You have different friendships and different problems. In general, you do eat better. While you might get the impression that everyone outside of the United States is starving, that is not the case at all. They just eat substantially better than Americans do.

People walk more. It’s nicer to walk, and there are things to do.

When I was in the states, I drove everywhere. Nothing was nearby. If I wanted to walk somewhere it took hours, and I often found that there was a noticeable lack of sidewalks and pedestrian-friendly paths. America has devolved into a very toxic and unhealthy environment. You can see it if you go outside the echo chamber and see the world from my chair.

Most American cities are made for cars. Most foreign cities are made for people. Even poor South American cities have more efficient and extensive public transportation than America, as it was quite a shock to me to ride the Caracas metro system to find trains running more frequently than in Washington DC.

Food is fresher and cheaper and doesn’t contain a billion Franken-chemicals whose long-term effects on humans are not understood (many packages of food in Europe contain a ‘No GMO’ label as a selling point).

-RooshV

[9] You will be exposed to less corporate propaganda

All my life I have been exposed to advertisements and corporate jingles. You sit down to watch a show and you suddenly have an urge for a coke and lays potato chips. Why is that? Not in China. I never have those urges. But when I sit down and watch an American television show, I immediately get the urge.

I wonder why…

When you’re in a foreign country, the propaganda ends for one simple reason: you don’t understand the ads! They’re in a different language. You stop watching television, stop listening to radio, and instead download all of your entertainment without the ads, though you’ll still be consuming it on a much lesser scale than while living in the US.

The result is you stop feeling the urge to buy things just to get a dopamine rush as if you were a caged rat hitting a lever to get a cocaine pellet. You ease into a minimalist lifestyle where accumulating things no longer positively affects your mood. In fact, you start feeling guilty when you buy things, because now you understand that objects don’t bring lasting happiness.

That is the truth. I rarely have any desire to buy anything.

via GIPHY

Instead, I have invested in lifestyle, peace of mind and comfort. Where before, I was a rat racing through my cubicle maze at work.

[10] You start to see the real world and your place in it

I know that what I have written will seem like complete bullshit to someone still plugged into the Matrix. If I were reading it from my house in the states, I would be skeptical. I probably would snort, and say “bullshit” while I clicked on something else on my browser.

Americans are the most manipulated people on the planet.

There is absolutely no friggin’ way that they are free. Dudes, having to ask permission to get YOUR money from YOUR bank is not freedom. Having to resort to (twice a decade) elections to get any kind of changes done, and then finding out, year after year that nothing changes…

Just how much control do you actually have on your life?

To continue believing we have a "representative" government of the people, by the people, & for the people is to continue believing in a grand deception. It's an illusion.

Our government has been incrementally supplanted by Progressive dissidents to form a dictatorship of arrogant, autocratic, ruling class elitists.

[11] Women and Relationships are Different

Although it's a really different culture than the states, I actually had an awesome experience in China. It definitely opens your eyes to a whole different side of the world. I met some really awesome people and it's very safe.

-What is the biggest culture shock you ever faced?

I pulled this title from RooshV. Sounds so chauvinistic, eh? Well, maybe so, maybe so. Yet it is TRUE.

Now, the reader should not misunderstand me. I do happen to like (and love) all women. In fact, my personal tastes in women’s body shapes run a pretty wide gambit. I love both small petite women, and large voluptuous women in equal measure. I really do. In fact, there are women who think that they are far too fat, that I would just die to be with. I find a kind sweet disposition is worth more than being 50 Kg overweight.

I am not at all kidding. I find that each have their various charms, and when coupled with a sweet and caring disposition, I tend to fall “heads over heels” over them.

via GIPHY

But, getting back to my point…

Over the last twenty years, something has happened in the United States. Both men and women have gotten larger. But gosh golly, the women are really enormous. Most women in the United States have tended to get on the large size. This is obese, in case you are not reading my meaning correctly.

Personally, I really don’t like being with a woman that weighs more than I do. Seriously, it just doesn’t feel right. I think that both men and women should have the correct body weight for their height. I think that it is healthy.

via GIPHY

Why women are like this in the United States, I think is due to the unhealthy and stressful lifestyle, the saturation of salt, sugars, fats and GMO’s in American food, and maybe the lack of tasteful and healthy alternatives. Then, after the Obama Administration, it seemed like everyone wanted to look like Michelle Obama. As she was considered to be the ideal beautiful woman, I am not at all kidding!

I personally do not think that Michelle Obama is that beautiful at all. At best, she is rather plain. Of course, I’m no great looker either. But, you know there are all kinds of people and we all come in all kinds of different packages.

American girls…

via GIPHY

Yikes!

Like all women, American women can be quite beautiful if they maintain their appearance, but there still exists problems with their attitude that often comes from American culture.

In general, I have found that many foreign women simply have softer and pleasanter personalities: they are sweeter, kinder, more deferential, more interesting, and most importantly, more pleasing. The urban ghetto culture that has taken over the United States does not exist offshore. You don’t see “trailer trash”, “Jerry Springer types” or “Big-assed “Wal-Mart” shoppers offshore.

They are more demure instead of outwardly crass.

This greatly increases the enjoyment you get from male-female bonding. Dating only American women gives you a distorted view of how women are really like. When you date and spend time with women from other nations you get to experience the differences. Some of which are good, and some of which are shocking and a tad mercurial.

Anyways…

Why is this important? Well, I like to think that that a man should be a little taller than his wife. I think that he should be stronger and weigh more. But many of the women that seemingly come from the USA today are so much bigger than me. They are bigger and taller. It is disturbing.

Here is an Amish family. They eat well. No one is obese. The wife is a little shorter and lighter than her husband. I don’t know… it seems right. Doesn’t it?

Amish family
A typical Amish family. I think that they seem to be good folk. No one is fat. They seem to take care of themselves, and the family all seems to be balanced. It seems good and right to me.

I really don’t know of too many women who would rather be married to a man who was shorter than them. I’m sure there are a few, but it’s really not a preference. I have always been under the impression that many women liked “tall, dark and handsome” men.

Fat, chubby men, with bald heads and beer guts were not anything that a woman would find attractive or even interesting. But, you know, if the man is a “good man”, kind, and just, his faults can be overlooked.

So, what I am saying is that this goes both ways.

The Important Takeaways

So, what are the takeaways? Once an American man lives as an expat for a few years, and then returns back to the United States, what can be learned?

  • You can learn the limits of freedom and how to leverage the freedoms that are important to you personally.
  • You can see what is important to you.
  • You can better appreciate the things that you have missed.
  • You can appreciate the United States more, and work towards bringing it back to how and why it was created in the first place.
  • You can see how easily manipulated you have been, and take steps to prevent the continuation of that in the future.
  • You can work towards bringing things BACK to a more or less, “normal” reality. One that is free from all the progressive distortions and distractions of the last few decades.

You won’t find that many fat pink-haired femminazi’s in heart-land USA. They cluster in urban enclaves. That is their echo chamber. You won’t find drug abusing mental patients shitting in the middle of the street (San Francisco style) in small-town America. They would be kicked out the old fashioned way; Clint Eastwood style.

It’s time that we start putting our feet down and taking America BACK to what it used to stand for. We can start with one person, and one voice. We can start here, and now. Then two people, and then three.

If the FBI wants to emulate the Gestapo and pay criminals enormous salaries to maintain a jack-booted reality, we can disband the agency.

The DHS is a domestic Army in defiance of the Constitution. It can be disbanded.

If the FDA wants to ban everything, to a point where Americans need to exit the nation to get things forbidden to them, then it is certainly time to disband the FDA.

We have collectively told the government that we do not want to be spied on. Still the NSA exists. We can shut it down.

Any thoughts on the IRS…?

FAQ

Q: Why is it important to travel?
A: You can learn new things and get exposed to different ways of doing things. When you are exposed, you can discover the aspects of life that you like, as well as the aspects that you do not like. You can pick and choose.

“I'm writing this from the West Coast of USA, in a very quiet, very peaceful duplex. I have returned to the US after 3+ years living in Bangkok. I'm still deciding what really happened out there. My decision to move to Thailand, back in 2010, was based on a lifelong dream of living out of the US for at least one year of my life. I had originally wanted to live in Europe, but during the time I was looking for the right place to land, European economics were in meltdown. So I started researching Asia. For work purposes I almost went to Singapore, but then decided Bangkok would be more fun.

I was right.

It wasn't just the sex. I never had trouble landing women in the States. I broke up with a very attractive Thai / Cambodian woman in the US before I left. She was fun, but a bit of a bitch at times. I know enough about women to understand that ratio changes the longer you're in a relationship. Married, she would have been a bitch that was a bit of fun at times. She wanted kids and I didn't. That was that. Before that I had two different 20-something girlfriends, great sex, lots of drama, not long-term but fun. I had learned stellar game skills and liked landing semi long-term relationships with pretty women. It was worth the pursuit, the hunt, the thrill of the conquest and of course, all the great sex. So I didn't go to Thailand for sex. I went to fulfill a lifetime goal of living out of my country for a year, and when I added up how I was supporting myself, what the costs of living were, and the fact that English teaching provided a safety net if things went wrong, Thailand just made sense.

I landed in Bangkok and fell in love with the place. I had lived most of my life in New York City, and spent time in Paris, Rome, London, LA, Berlin, Caracas and many other amazing places. But Bangkok blew my mind. The chaos, the sexiness, the otherness, and just how freaking different it was from the staid, plain US was like medicine. Even New York City – supposedly that wild town – is to me, a very processed and predictable place when compared to Bangkok. 

So I loved it. I traveled Thailand for a month and returned to Bangkok.

I set up shop pursuing my dreams. I got lucky with real hard work, landed my business contacts back West, and managed to live for more than three years in Thailand. I had a nice condo, pool on the roof, and money to play with. There were a few rough patches for sure, but also some nice straight-aways. Basically, it turned out to be what I was looking for: the adventure of a lifetime.

That adventure meant broadening my horizons. I loved learning the language. I was a Thai language class nerd. I made a few Thai friends and played badminton religiously. I put a damn good pool game together. I travelled all over, made expat friends, and had a blast. I even finally got a local job offer in my industry, which is really tough to do, and held that for a while, living the Bangkok executive life although admittedly not on the high end of that scale. Still, it was all really remarkable.

However, when a job offer came up with an old employer in the West, I took it. After more than three years, I was ready to leave. They flew me back, settled me here, and I plugged in. I actually landed on the fourth of July, if you can believe that. And I was thrilled to be back. I hadn't been back in the US for even a holiday the whole time I was in SE Asia. Any time I had to travel, I had gone all over Thailand, Laos or Cambodia. I love SE Asia, but my reasons for repatting were professional. The jobs are better in the US. I stayed with mine for five months. It was a contract. When I was offered a full time job, I turned it down in order to start another business I had been planning. And that's where I am now.

I loved being back in the States when I landed. I loved being back in familiar settings, and hearing familiar speech. I loved catching up with friends. I fully intended to plug back in here, and resume life where I had left it when I had jetted to Thailand. Thailand had been working against me in the half year before I left. I was getting fed up with the visa issues, and the outsider status. I became depressed at how hard it was to positively affect the business world there, or even the fate of the country. I like to think I can make a difference where I am. Of course, there are charities, and I did a bit of work with those. But ultimately, Thailand is for Thais. God bless them for that, is my attitude. 

In this One World homogenization that is happening, I have lots of respect for countries that retain national values and identities. Although I respect it, that doesn't mean I wasn't frustrated by it, and ultimately, living as a constant outsider was getting to me. 

I had also come to the conclusion that marrying a Thai, or even having a serious Thai girlfriend wasn't what I liked, due to the many reasons cited in other posts here. I dated “civilians” who weren't in the leisure industry, but found the culture gap too huge to leap. Plus the adjustment I had to make in terms of being 3rd on the totem pole (Family, Career, Boyfriend) never did it for me. After that decision, I partied too much. I was drinking and balling and more than a bit adrift before I left. That's why I was really happy to be back in the US. It was just time to go. My hand had been played. I felt very lucky to leave when and how I did.

But here's the problem.

After the glow of happy returns wore off, I have to be honest with the fact that I just don't like the US lifestyle. I came back to give the west a full on fair shake. I even saw it with new eyes. And there's much I really love about US that I had to be away from before I could appreciate it. It truly is a tremendous land of amazing professional opportunity, as well as a place where self development is encouraged and valued. 

Every system is crooked, but the corruption here is way toned down compared to SE Asia. The work place has some clowns, but is largely a meritocracy, where good workers are advanced, and losers get let go. People try hard. They want to make things better. The innovate. But what's really turning me off is how processed it all is.
 
How boring. 

It feels like this grey machine. A conveyor belt. Relationships feel flimsy. 

Everybody works...

Watches TV...

Works more... 

The amount of hostility towards men is repulsive, as it plays out in the workplace and in media. But the underground of MGTOW and Red Pill is filled with a tremendous amount of hostility as well.
 
I just really can't believe how unhappy and depressed most people in the west are. 

It's like there is this War on Love, destroying relationships between lovers, friends, and communities. There's not much neighborhood or local cohesion. 

I feel everybody keeps busy busy busy all the time, working buying and watching, working buying and watching, to avoid admitting how bleak and punishing the average life is here. I don't want to support it. I don't want to fit in and be part of it.

I have no regrets I left Thailand, and in terms of timing, when I was pulled back here was really a blessing. But I can't deny the fact that I feel a huge void in my life out here. 

I believe what I miss most is the excitement and adventure and just fantastic thrill – with all the tribulations that went with it – which living abroad in SE Asia provides. 

I just had more fun there. I felt more alive there. And what's also really difficult is that all of the experiences I had in Thailand aren't really welcome out here. 

Beyond the natural bias that women have of "men who go to Thailand", I'm just shocked that nobody really wants to know what life in another land is like. 

Maybe I'm a bad story teller. But maybe Americans are just living in their bubble. My countrymen have little frame of reference outside of their work and TV shows. It's heartbreaking, really. 

So much of the world, so much to see and hear about, and nobody wants to hear about it. I read a lot of columns on Stick that talk about how Thais don't really know much about the outside world. But in a way, the Americans don't either. So I'm left with this huge piece of living, and no place to process it. It's disheartening.

The place runs well. 

The trains are on time, as they say, but psychologically, I feel the West is a very hostile and weird place these days. Especially when it comes to men / women relationships. I am shocked at the deterioration in relationships that I have seen, in just the past ten years. It's just so aggressively mercenary. 

The romance has been drained from the punch. There's very little charm in the process. I found dating pretty pointless, but still fun and sweet enough in Thailand. Even it if leads nowhere beyond walking around a mall and having some sex, it was lighter and more pleasant. 

In America, dating is this grim operation to perform: shit tests, hoops, Social Market Value, and the flat-out rude bossiness that has become the modern American woman. Joyless. Probably that's what this entire post comes down to… that one word: Joyless. 

America is not a life. 

It's a job. The job is work. And work sucks.

Thais value fun. They like life light. Sanuk isn't just something in tour books. They have an art to daily living that has a pleasant ambience based on a healthy injection of “I don't give a damn”. All of us who have lived there have been on the maddening side of it. But from where I'm writing now, I see it now as a great way to resist the corporate take-over of every part of life.
 
Why the fxxk should we all have to work so hard? 

Who's getting rich off our sweat? Just this morning I read that a new crisis on American college campuses is that many American university students are killing themselves or crowding counselor's crisis centers. Shouldn't higher learning be a better experience? They are probably feeling total dread at what the American system has laid out for them: joyless toil. It's like we're all fighting as hard as we can to jam our way into jobs that shred us. 

Why? 

Life shouldn't be so damn serious. Thais know that. I miss that. I miss them. I miss their land.

With luck I'll be back and honestly, probably bitching about lots of the things I just heralded in the previous paragraph. lol. Should fate decide otherwise, and slugging it out in the US is my path, I have my memories. They will remain a precious jewel for life. Either way, I am richer, wiser, and more the man I dreamed of being for having spent my time in LOS.

Enjoy it out there, gentlemen. Play smart and it's a brilliant part of the world to live life. Play dumb and it's still one hell of an adventure. My time there was a blend of both and I wouldn't trade it for anything. “ 

- “After 3+ Years in Thailand, Reflections From Home” by Rich Archer on the Stickman Blog. Reader submission. May 2015

Q: What do you talk about the USA so much?
A: I am an American. It is what I know, and it is the point of reference that I refer to.

via GIPHY

"America is a country for doing business, not living life."

-Happierabroad

Q: Do you think American girls are bad?
A: No, not at all. I have dated many a wonderful girl (lady) in the United States. I think, for me, I prefer soft and calm tender moments together, rather than the brash clash of what seems to be popular today. This makes me feel like an old man; a fossil. I think that men and women are different. We are not equal. I think that June Cleaver on the 1960’s television show “Leave it to Beaver” was awesome. I think that Lisa Douglas on “Green Acres” was awesome! I believe that Elly Mae from “The Beverly Hillbillies” was just about the perfect girl. Like I said, I am really super old fashioned. American ladies today are different.

When you are exposed to women who are different than American girls are, you tend to be pleasantly surprised. Like I stated previously, differences are good. You can pick and choose the life that you prefer.

"She looked at her husband, he did like this: You may speak. 

And she spoke! 

And I was like, now that's pussy control for you! You know, because I'm used to American women saying: You don't own me." 

- Eddie Murhpy

Q: Is America free?
A: No, it is not. I contend that it used to be free, but today it more resembles a dictatorship. The only way that you can see this is to compare America outside, and then step back in and look around you.

The problem with saying this is that people immediately get defensive. “No it isn’t!” is the retort.

But the truth is that we are in an echo chamber. We cannot see how really bad it is until we step outside. Which is, I must remind everyone, the entire point of this exercise.

If I want to sit in a restaurant, with my dog, smoke a cigarette and drink a beer. It would NOT be against the law. The fact that it is, and the fact that I can do it almost everywhere else outside of the Untied States is a pure indicator of how REPRESSIVE the USA has become.

At least you could do that in Nazi Germany. Yes you could. Drink a beer with your dog, smoke a cigarette all inside a restaurant.

But you cannot do it in America.

When you can do something in Nazi Germany, that is forbidden in the USA, then you have a real problem. Come on, don’t you think that there is something wrong here?

Anywho, I blog about this all the time(American bashing). I hate their laws, legal system and almost everything about America. I was raise and lived my entire life in NYC but has since moved back to my place of birth in the Caribbean.

One will only know how bullshit America is when they actually visit other places on the globe. Americans segregate themselves to just America, and they’re unable to see true freedom.

Here in the Caribbean(and almost the entire globe),I can walk around with 100 cans of beers and drink them unconcealed all I want to, and I don’t have to worry about BS tickets.

Kids can go into liquor stores and buy alcohol, cigarettes, etc. Not that they use them, but let’s say I as a father cannot make it to buy some booze, I can just send my 10 year old son to do that.

The list goes on and on. America falsely prides itself on freedom, but it has no freedoms compared to almost every nation on the globe.

It’s like a guy bragging about having a huge dick, then when his pants come down, his 2 inches is exposed. That is America,hypocrisy to the 10th.degree. And one will only know that America has ZERO freedoms only when they begin to travel internationally.

-SocialKenny

Q: Is the United States bad?
A: No, not at all. The United States is AWESOME. But, it is not what it was first intended to be. It has changed and today it is a real pale shadow of what it used to be.

It is a police state ruled by elite “insiders” all with political and banking connections. The American citizens work as serfs to service the needs and desire of their overseers.

“The expat rule is, you have found paradise and you don’t want to share it with anyone, especially those you believe to be unworthy.”
-Stephen365

Q: Is it ok to retire overseas?
A: From a financial point of view it certainly seems like a good option. There are many places that are far cheaper to live than in “the land of the free”. For instance, you can go to “the land of smiles” or LOS. Which is Thailand, for instance. The problem is that the older you become the less you want to leave the things you know and love.

For instance, I fell in love and moved to China after I was retired out of MAJestic. It was a necessity for my own personal sanity. Yet, there are many tradeoffs that I now miss. For instance, it is impossible to get a “over easy” style egg. Bagels can be had, but I need to make a day long trip to get them. Talking with people who know who John Wayne was is also an impossibility, as is cruising around in a GTO with a trunk full of beer. Those things are now beyond my reach.

If you do retire overseas, you need to be careful where you go. For instance, the UK has gone full-on Orwell. And prices are going up everywhere. Some places have customs and manners that are strange to accept if you spent much of your life in the American echo-chamber. You need to research, and then visit the country that you plan to move to.

Q: Will you return to the United States?
A: Oh yes. My home might me in China, but my heart is in America.  As soon as I am able to save up enough money, then I will book a flight out there. I have been daydreaming of doing some brook trout fishing.

I’d buy one of those big donut tire motorized trikes and head down to the state game lands. I’d have a big red cooler filled with beer, probably Bud or Michelob, and just go riding and drinking all day long. It will be a good time, I’ll tell you what. Maybe go plinking with a .22 L. I just pick up some ammo at the 7-11 and get to it. Or, just eat my fill of some BBQ chicken and corn on the cob over a open fire in the backyard. It will be great. I just can’t wait to see the red embers float up into the night sky as I poke the fire.

Yeah, and another thing that I’d do is go to a restaurant and get a Monty Crisco sandwich. I’d eat it with fries and a bottomless cup of coffee, served in one of those “bang on the table” thick rimmed coffee cups. I’ll go in, and grab one of those spare newspapers that are resting on the counter and read the local news.

Maybe I’ll pull into one of the large parking lots at the mall. I’ll go inside and get an Orange Julus or a Sbarro and get a slice or two of pizza. Then go and pick up some gear at Sears or Target. Yeah. It’ll be a great time. Yessur!

Posted for Comments on Free Republic.

This article was posted on Free Republic on 17JUL18 for comments. You can read the comments HERE.

Other Articles by other people on this subject

Television Tax. If you live in Germany and you own a radio, a television or a computer, then you are obliged to pay the TV license fee (Rundfunkbeitrag) and you can't escape this!

Here are some decent articles written by others. We share the same idea that humans need to experience life and often that means stepping out of their comfort zone.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Tomatos

Mad scientist

Gorilla Cage in the basement

Pleasures

Work in the 1960's

School in the 1970s

Cat Heaven

Corporate life

Corporate life - part 2

Build up your life

Grow and play - 1

Grow and play - 2

Asshole

Baby's got back

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older

Civil War

Travel

PT-141

Bronco Billy

r/K selection theory

How they get away with it

Line in the sand

A second passport

Paper Airplanes

Snopes

Taxiation without representation.

Links about China

Business KTV

Dance Craze

End of the Day Potato

Dog Shit

Dancing Grandmothers

When the SJW movement took control of China

Family Meal

Freedom & Liberty in China

Ben Ming Nian

Beware the Expat

Fake Wine

Fat China

China and America Comparisons

SJW

Playground Comparisons

The Last Straw

Diversity Initatives

Democracy

Travel outside

10 Misconceptions about China

Top Ten Misconceptions

Learning About China

Pretty Girls 1

Pretty Girls 2

Pretty Girls 3

Pretty Girls 4

Pretty Girls 5

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Compiled and written 10JUN18.
  2. Completion 17JUN18.
  3. SEO review and posting 17JUN18.

Did Extraterrestrials set up a colony in Pennsylvania

Maybe they actually did. The evidence is certainly suggestive of it. For reasons of my own, I have embraced the concept and idea that there have been creatures from other planets, with other intelligences, and different technologies that have visited our planet. This belief was expanded upon by the books by Erich von Däniken in the 1970’s. Oh, of course there are armies of people that dismiss this belief. I’m unfazed. Everyone can believe what they want in regards to their own realities.

As such…

Given what little I know about reality, I can see some patterns. As such, these patterns are quite interesting. One such pattern is the development of colonies, or remote societies that settle away from the main cluster or home. America was birthed from thirteen colonies that were established by European colonists. Australia was birthed from cantankerous Englishmen. Brazil was birthed from Portuguese colonists. If we humans ever want to leave this little planet that we call our home, and venture elsewhere, we will need to set up colonies on other worlds.

In a like way, if some creatures came from another planet and wanted to move to earth, they would need to set up a colony first.  Yet, what would this colony look like? Would it have skyscrapers and color televisions? Would it be peopled with creatures with tentacles and five eyes? Or, would it look something out of the Wild West, with stockades protecting strange and unusual people with technologies that we cannot understand? I would guess the later.

With this in mind, let me introduce the reader to the Andaste Indians (The Susquehannocks)… 

Introduction

I would like to begin this discussion with an unusual group of people who used to live in and around Pennsylvania, in the United States.  This group of people was known as the Susquehannocks / Andaste Indians.

To begin with, however, we need to understand that this tribe or race of people is now long dead. We only know of them through ancient records. These are the writings of the explorers who ventured into early America and encountered these people. Indeed, what they wrote about was quite amazing and spellbinding.

It was a different time.

As such we need to touch on the time period for a spell. When the Pilgrims were first standing on Plymouth Rock, this race was engaged in aggressive trade. All the local Indians feared them. The king of the Susquehannocks was the Negan of their day. (As an aside, have you ever been to Plymouth Rock? Let me tell you that it was quite a disappointment. It was more like a shallow well, than a rock. But, anyways, the town is quite quaint.)

What American Indians were REALLY Like

Today most American people are unaware of the life of the native inhabitants of America. At best, they have an idea of cone shaped Teepees, and bare chested men on horseback with some feathers in their hair. They might have some “scalps” tied around their waist, and a vest of sticks around their chest. They might sit tall on a white and black spotted horse, and have an attractive “squaw” waiting for them in their Teepee. Hah!  Indeed, the truth of the pre-European colonization native life in America is now hidden and secret. It is not really adequately covered in American textbooks.

However it really should be…

During the Middle Ages, plagues ravaged Europe. These plagues were devastating. They killed many people, and in certain communities, most of the inhabitants. Entire towns became empty. A visitor would enter these deserted communities and find horses, chickens and pigs, but all the humans would be dead. It was a devastating time. As such, many people chose not to travel about, but to hide behind walls and seek protections and safety. Europe, during the post plague “dark ages”, was a land not unlike a Hollywood apocalypse.

Instead of a handful of survivors battling it out against zombies in abandoned ghost towns as portrayed in “The Walking Dead” and other apocalyptic movies, the survivors in Europe hid in walled towns, fortresses, and ventured out only when absolutely necessary. They didn’t know what caused the plagues. They thought it was due to their sins and wrong doings.

Of course, today we know the causes as diseases carried by the insects on rats and mice. However, at that time, no one knew the causes.

While Europe was beginning to rebuild, the survivors of the plague started their life anew. They did not realize that they had grown immunities to many of the (associative) sicknesses and illnesses that ravaged the European countryside. Then, later when they began to set sail for foreign lands and search for new lands and new beginnings, they carried the plagues and sickness that they were immune to.

When the explorers first arrived in “the new world” they were astounded by what they found. It was not as many contemporaneous Americans assume; a heavily wooded land with sparse communities located here and there in the mist of untouched natural beauty. It was not like that at all. For instance, a sailor named Giovanni da Verrazzano sailed up the East Coast and described it as “densely populated” and so “smoky with Indian bonfires” that you could smell them burning hundreds of miles out at sea. America was densely populated.

These were not the primitive savages as portrayed by Hollywood; no matter no noble, they portray them.

American Indians were Civilized

The Indians lived in wood homes, with good solid wood floors. They lived in both log and bark houses. Some even created stone buildings, many of which are still mysterious and have no discernable purpose.  They created huge networks of stonewalls. This was true not only in New England, but in California as well. They were (and are) all over North America. (Don’t buy into the simpleton narrative that they were built by early American colonists. The walls do not match the land ownership and titles at that time.) The native Indians had a developed and active commerce that involved trade all through the Americas. They used the various rivers to move about and trade.

I know that there are those who find common everyday answers to the stone ruins that existed prior to the European arrival. They say the Warwick tower was a windmill, and such. Has the reader actually been there and looked at it? Well, if it was a windmill, it must have been a really tiny one.

About this “mystery”…

Why set up a windmill when a nearby river (the most common place to grind grain) was nearby. Streams are far more reliable as a motive source. Winds are periodic. Thus, steams are better places to locate gristmill facilities. Especially as they are a “mature technology” and financial viable. The only reason that windmills are used in the Netherlands is that constant free flowing water under a head was not available. Think people. Think!

Remember, boys and girls, things must have a purpose and a reason for being made. Stones are heavy. It takes time, requires people and funds to make.

Then, if it is a business, it needs to have customers and records. This is from Colonial times, for Pete’s sake. If you want to prove that, a tower is grain-grinding windmill, then show who owned the land, who built the structure, the commerce that derived from it, the customers involved.

As is true throughout the Internet today, and in general culture (though that is an argument for another day), people posit the most ridiculous things. They get away with it, because “everyone knows” that is the most “logical” answer. That must be, you know, because native American Indians were “savages”.

Don’t you know…

All of this is certainly a far cry from what many Americans have been led to believe.

America was the Home of Many Nations

So when the first explorers from Europe entered North America they entered a very well established and ordered society. America was the home of many Indian nations. These nations were just as valid as any European nation. They were not primitive but large, with their own distinct cultures and societies. As the first explorers ventured forth, they brought many things with them. One of which was the plagues and sicknesses that previously ravished Europe.

The first explorers, without even trying, set in motion a biological apocalypse. It In the decades between Columbus’ discovery of America and the Mayflower landing at Plymouth Rock, the most devastating plague in human history raced up  and down the Eastern Coast of America. It was horrific. It devastated entire communities, Indian nations, and cultures.

So, by the time the first thirteen colonies were getting established, they moved about in the immediate aftermath of a full-blown biological apocalypse. Reports suggest that a mere two years before the pilgrims landed on Plymouth Rock, the plague wiped out about 96 percent of all the Indians in Massachusetts.

The Plague

There are very few reports of what it must have been like. Within a period of years, the deaths of significant numbers of the population must have been horrific. One can well imagine the shock and horror that it must have gripped the native inhabitants. As diseases such as smallpox covered everyone from head to toe with painful pustules, and the survivors fearful of contact with others who might be affected by this scourge, the environment must have been very tense. We can only guess the fearful respect that the local Indians would have for these new strangers that suddenly appeared out of nowhere.

Using your history books to understand what America was like in the 100 years after Columbus landed there is nearly impossible. This is a history that was never taught.  It’s like trying to understand what modern day Manhattan is like based on the post-apocalyptic scenes from “I Am Legend”.

Historians estimate that before the plague, America’s population was anywhere between 20 and 100 million (Europe’s at the time was 70 million). The plague would eventually sweep West, killing at least 90 percent of the native population. For comparison’s sake, the Black Plague killed off between 30 and 60 percent of Europe’s population.

History and Historians

There are always reasons why history is not reported accurately. Sometimes it’s because the knowledge is lost and filled in by later historians with their own personal biases. However, it is mostly because the government, which creates your “free education”, actually has an objective that they wish to enforce through a historical narrative.

In fact, many historians believe that the pre-colonization plague was the single most important event in American history. But, you know, it’s just a little more fun to believe that your ancestors won the land by being the superior culture. (History is always written to persuade and manipulate.)

Actually, the European settlers had a hard enough time defeating the remaining stragglers of the once huge Native American population. The survivors must have been some seriously hardy people. The closest thing that I can picture is a scene out of one of the “Mad Max” movies. You need to remember that the American Indians did not mess around. When the Vikings tried to explore North America they got their collective hides handed to them on a platter. Those few that managed to escape the fury of the natives, never returned back.

Within the devastated countryside lay many proud Indians. The lone survivors were something both amazing and frightening at the same time.

At the time of the colonization of North America and the thirteen colonies, the European settlers started to meet and interact with the native Indians. Those they met were a hardy bunch indeed, but terribly weak after their society collapsed. One such group that they met was a society of unique natives located in Northern Pennsylvania.

The Andaste Indians (Susquehannocks)

This group is a former American-Indian tribe located in North Central Pennsylvania.  (The French called them Andastes.) They no longer exist.  All members of the tribe were exterminated through armed conflict approximately 400 years ago.  As far as I know, they neither bred with the neighboring indigenous Indian tribes nor inter-married with any of the European colonists whom encroached onto their claimed territories.  They were an isolated community surrounded by other Indian communities that they traded with, but would not breed with.

The name Susquehannock is derived from the word Sasquesahanough. It is a descriptive term used by Captain John Smith’s Algonquian interpreter (in 1608) to mean “People at the Falls”, or alternatively as the “People of the Muddy River”.  (Which might be suggestive of the name “The people from Niagra Falls”.) Two other names that were used to refer to them were “Andaste” (particularly by the French) or “Minqua,” “Minques,” or “Minckas” by the Dutch. Additionally, there seems to be many other names used as well.

Indians in Pennsylvania

Most people haven’t a clue as to what American Indians are. When most people think of the Native Americans that lived in the Pennsylvania and New York regions they usually think of the Iroquois. However, the most fundamental truth is that the Iroquois didn’t live in this region. Well, that is, not until relatively recently.

Specifically, the Susquehannocks lived in the Pennsylvania region for around 15,000 years. That is one long stretch of time. Around 1400AD the Iroquois started to show up. It would take them a couple of centuries before they set up settlements. They started to live in the region, and battle with the Susquehannocks, about the same time that the Europeans started to arrive.

The entire Middle to Eastern Pennsylvania and the bulk of Southern New York area was fully controlled by the Susquehannocks. They were a huge, powerful and frightening people. To put this into perspective, the dangerous and fierce Iroquois Indians are said to fear only one people; the Susquehannocks. Many accounts say they were very warlike. Not one report says otherwise.

Records and evidences support the notion that they were much larger than average people were. We have historic records that show that they were responsible for winning many battles against the Iroquois and wiping out many smaller Native American groups along the Susquehanna. They were ruthless. They were the Khmer Rouge of their day.

This race of fierce and terrifying Indians had full control of the entire region and they controlled it with an “iron fist”. In fact, they didn’t give up control of their territory to the Iroquois until the late 1600’s. This was about the same time as the Americas were devastated by European transported illnesses. It is my guess that the Iroquois leveraged biological warefare to their advantage.

An Extraterrestrial Colony?

So what? There were just a bunch of Indian savages that eventually were subdued by the superiority of the European settlers. Right?

This particular article is an investigation into the REMOTE possibility that the Susquehannocks might be the remains of a colony of extraterrestrials. (Here we discuss the possibility, and why it could be. Not that it is. So there shouldn’t be any reason for “knee-Jerk” scientific statist responses.)

These individuals can be considered a typical embodiment of what an extraterrestrial humanoid colony would look and behave like. We have named them as “American Indians”, but they did not act, look like, or behave like any other Indians elsewhere in the Americas. They stood unique. This is both physically and figuratively.

From my own personal point of view, I hold this belief upon the known information that I have on them.  Consider the curious circumstances surrounding them.  They were [1] totally unique; they stand apart in culture, behavior and appearance in the region, and they had [2] various skills and abilities that were noteworthy in themselves. Their [3] physical appearance was different, and they maintained [4] technological skills that were different from that of the surrounding region.

Characteristics of the Andaste

Therefore, of all the examples that I provide herein, perhaps one of the most interesting was that of the characteristics of Andaste Indians.  I found them fascinating due to their physical appearance, plus the fact that I once visited a museum that displayed some of their skulls, which made an impression on me in my youth. I was 13 at the time, and travelling with my father.  He was doing engineering sales and was driving though the Pennsylvania countryside visiting factories and companies all over the territory.  I went along for the ride.  Sometimes he would pull over and we would visit an obscure museum or park, way off the “beaten track” in the hinterlands.

"Of many points of historic interest in our valley, perhaps none has attracted more attention or roused more speculation, from the earliest times to the present, than the mound called Spanish Hill.  This prominence is due not only to its unusual position (isolated from the hill ranges and regions), but also to its odd outline, the remains of fortifications on the top, and its present name."

- Louise Welles Murray -"History of Old Tioga Point and Early Athens -"1908.

Today, if you look up this subject on the Internet you will find very little information.  It is, alas the case with most studies of American Indian archeology.  For most Americans, the study of Americans is one of arrowheads, animal-hide teepees, and a hand-full of dirt mounds and stories of the Wild West.

This is unfortunate, because prior to the invasion of the Europeans in the 1500’s, North America was a thriving and heavily populated region divided into many nations, all of which were engaged in trade, wars and the various aspects of civilization.  Few actually lived in tents.  Most lived in large log houses, with wood floors, doors, furniture and stone fences.  They traveled the world on worn paths and by river travel using well-made boats.  They maintained a large and complex intercontinental trading arrangement and had mastered regional herbal medicines and localized agriculture.

In the Americas were numerous Indian nations, and within these nations were federations of tribes and sub-cultures.  Many had similarities but often they were peppered with unique cultural and societal customs and behaviors.  Yet, there were more than a few surprisingly isolated and biologically unique “Indians” who were part of these nations, but remained aloof from them.

These are the kinds of potential extraterrestrial colonies that I would like to investigate.

History is being Forgotten

At one time there was quite a bit of information about this “Indian Tribe” known as the Andaste.  But time, the lack of interest, and the lack of funding have resulted in the dissemination of many of the relics of this colony.  What remains is but a precious few items.  When one searches for tangible information on this race, one is confronted with an amazing slew of disbelief and incredulity.  It is typically discounted off hand by the ignorant. It’s a photoshop hoax they pontificate. It’s all nonsense, they argue. It just possible cannot be true.

For the record, this is neither a hoax, a fabrication based on a single specimen (Over 80+ skeletons have been found of this race.  They all confirm the size, facial structure and (yes) horns as described herein.), or a wild outlandish story.  The race did exist.  They had their capital in the Bradford area for a very long time; over fifteen centuries, and (I suggest that) they did originally settle in the area (possibly) from an extraterrestrial location.

“After very careful study of all accessible facts, I unhesitatingly commit myself to the conclusion that Spanish Hill is nothing more or less than this ancient fortified town, the stronghold of the Carantouans" 

 -John S. Clark

Their Nation

The Indians had nations. These were identical to the nations of Europe. They possessed armies, borders, society and a form of taxation on the various communities within the nation.

When the Europeans arrived in North America they found a well developed society composed of various indian nations and social-lingustic groups.
The Indian tribes, community, and social traditions of the Eastern early United States. Early Indian nations. (Image Source.)

The first historic records by Europeans indicate that the Susquehannocks were a nation made up of several villages. These communities ruled a large area that included parts of present day New York, and Eastern Pennsylvania. Researchers claim that the Susquehannocks were made up of 5 to 6 principal tribes. These tribes were spread out and divided amongst approximately 20 villages along the Susquehanna river.

As an amateur, I personally find it hard to understand what a “tribe” is relative to an “Indian nation”. I am sure, than an expert in these historical matters could explain much better than I ever could. As far as I have been able to make out, a “tribe” is a collection of similar people that occupies a regional area. To best understand this arrangement, the reader should consider a “tribe” as a state. Just like the original colonies were comprised of thirteen colonies that eventually became states, these tribes can be considered as individual states within the nation of Carantouan. Thus, the nation was divided into five or six states, or sub-regions.

The extent of this nation was unknown until a surveyor from the European colony at Auburn NY, by the name of General John S. Clark mapped out their communities. He determined that their most Northerly village was Carantouan (Spanish Hill). He determined that they were the people of the nation of Carantouan . The first European explorer to visit this site was Etienne Brule in 1615.

Physical Dimensions

“In 1822, while digging a cellar on the farm of General McKean, excavations came  to what was supposed to be "an impenetrable rock, but striking it with a crow  bar it gave forth a hollow sound."

They re-doubled their efforts, and at last  the stone broke and fell into a vault. And now, with visions of long-buried  treasure flitting through their minds, they carefully removed the earth from the arch, speculating the wile as to the probable extent of the "treasure-trove," and the amount of salvage the General would be likely to claim.

On removing the cap they found "not what they sought," but a sepulchre.

A careful examination of the sarcophagus reveled it flagged at the bottom, the sides artistically built up, and a flat stone laid on the top. The sarcophagus measured nine feet in length, two and a half feet in width, and ten feet deep.

In it was found a skeleton measuring as it lay, eight feet and two inches in length.

The teeth were sound, but the bones were soft and easily broken. There were ten of these sepulchres within the space of the cellar, one of which had a pine growing over it three feet in diameter.”

-Source: BRADFORD REPORTER, Towanda, Pennsylvania Aug 14, 1884 - article on Burlington Township.

The first thing that sets this tribe apart from the other tribes in the area was the physical appearance of the members in the community.  They did not act, look or behave like any of the Indians associated in that region.  Not only was their [1] physical appearance different, but [2] they dressed uniquely and acted differently, as [3] well as spoke a completely different language.

Tall Beings with Horns

These individuals were very tall humanoids with males uniformly standing over seven feet tall.  In fact, many males often reached heights of 8 to even 9 feet tall.  This is amazing when one considers that most local Indians and European explorers stood around 5 feet tall.  To put this into perspective, the reader must realize that these individuals were almost twice the size of the people surrounding them.

Not only that, but all the males had horns.  That is right; they had horns!  The horns were proportionally and genetically disposed to grow out of the upper forehead region in a set of two distinct and prominent horns.  These were not one-inch long stubs, but rather 5 to 9 inch long protuberances!  They were shaped like very long and thick goat horns!

Furthermore, these were not coincidentally abnormally tall and thin men either.  They were husky, fully proportioned men of significant girth and strength.  Records from that period described them as “impressive”, “awesome” and “breathtaking” in appearance.

As if that wasn’t enough, however, the fact that their skin color was a decidedly reddish color (Their skin was not a dark reddish-brown like the Iroquois, but a decidedly different color.) would make most anyone go into shock.  Imagine the sight of bright red giants with horns that were twice your size.  They were indeed a most noteworthy race.

Age

There are reports that suggest that the race was not a long-lived one.  Adolescence came early, and so did death.  By all accounts very few of the race lived past 40 years.  This is odd, as all humans have the potential to live up to their early 100’s.  This race was considered to be lucky if it lived to 50 years.

The susquehannock indians were very large and very tall. They were larger than any of the Europeans who came to North America.
Size comparison between a susquehannock male and a European man. Height comparison with the Andaste Indians.

At the time of the apparent height of the Andaste culture, the local Indians stood a mere 4.5 to 5 feet tall, and the Andaste Indians stood between seven and eight foot all.  This has been confirmed by the excavation of their remains and substantiated the local Indian legends.

Think about that for a minute.

That would be around at least two feet taller than any “normal” man at the time. And it would still be considered to be HUGE by our contemporaneous standards today. After all, the supremely tall Shaquille O’Neal is only 7 feet 1 inch, and weighs 315 lbs. These people were taller, and much heavier. Oh, and did I mention that the men all had horns?

Women and Children

It is curious that none of the females, or the children had horns.  While all were of gigantic size and proportions, the females were of smaller stature than the males.  And, of course the children began as a normal infant and grew into manhood through a normal growth development curve.  As such, we can imply that the adolescent males grew horns in their teens and the horns were in some way associated with the attainment of manhood.

Everyone, including the females had a distinctly strong reddish pigmentation to the skin.  Depictions of them show a hairless body with long flowing head hair, but we do not know if this was genetic or cultural.  None of the descriptions includes beards or other kinds of facial hair.

". . . 60 of those Susquehannocks came to us . . . such great and well-proportioned men are seldom seen, for they seemed like giants to the English . . .these are the strangest people of all those countries both in language and attire; for their language it may well beseeme their proportions, sounding from them as a voice in a vault.

Their attire is the skins of bears and wolves, some have cassocks made of bears heads and skins . . . The half sleeves coming to the elbows were the heads of bears and the arms through the open mouth . . . one had the head of a wolf hanging from a chain for a jewel . . . with a club suitable to his greatness sufficient to beat out ones brains.

Five of their chief wereowances came aboard us . . . (of) the greatest of them his hair, the one side was long and the other shorn close with a ridge over his crown like a cocks combed . . . The calf of whose leg was half a yard around and all the rest of his limbs so answerable to that proportion that he seemed the goodliest man we ever beheld!"

-Voyages of CAPTAIN JOHN SMITH (of Jamestown, Va.) during the Years 1607-9.

There is no doubt in my mind that after reading numerous accounts of these gigantic skeletons being found throughout this area, that this is not a mere “legend,” it is a fact. These Susquehannocks (Andaste) were GIANTS especially to the men of average height (4- 5.3 feet) of that time period, but also seemed “huge” to the people who dug them up over the past 100 years.  The Andaste’s AVERAGE height seems to be between 6 and 7 feet, with some exceptional human specimens being recorded to be about 8+ feet in height.

Susquehannock women were very large.
Susquehannock women were smaller than the men, but still significantly larger than the male European colonists. Susquehannock women were quite large but were normally proportioned.

Trade and Commerce

They were terribly warline, but they DID maintain trade relations with their neighbors. They were clever and known to be shrewd traders and businessmen.  Their single and lone colony occupied a fortified bluff or small (natural) hill (This hill was very unique as it had nearly vertical harsh cliff sides and a very flat “tabletop” apex.) with steep sides that overlooked the convergence of two rivers.  Both rivers, by the way, were major trade arteries for the local Indians in that region. (Rivers, prior to the expansion of the American colonies, were the major trade, communication and travel routes.  They were the highways of that time period.)

We do not know much about the trade agreements that they had with other races in the region because that information has been lost through the passage of time.  However, the local legends of the nearby Indian tribes suggest that this nation consisted of individuals who were extremely shrewd businessmen and would engage in commerce in a very strict and formal way.  They would always warn that those engaging in business dealings must first make sure that they knew exactly what kind of agreement that they were getting into.  As there would be “most terrible” consequences if the agreement was not followed exactly “to the letter”.

care is warented with dealign with the susquehannock indians.
You must be careful when dealing with the Andaste and susquehannock Indians. Be careful when dealing with the Andaste. (Image Source.)

The Only Known Colony

Instead of thinking of the Susquehannock “Indian Nation”, for our purposes let’s consider them an extraterrestrial colony. As such, their only known existent colony was in the United States.

The capital complex was located in what is now Bradford County located in north-central Pennsylvania near the New York state line.  This colony was located near the town of Sayre, which is at the intersection of two rivers; Chemung river and the Susquehanna river.  Because of that, they are sometimes referred to as the “Sayre Giants”.  This colony was located on a lone hill with steep sides and a very flat top that overlooked the river.

Today, Sayre is a pretty small town located in the Pennsylvania countryside.

Over time, 15,000 years to be frank, they set up colonies “down river”. These colonies were similar to the main Bradford site. These people were all giants. However the  presence of horns on top of the heads apparently are limited to the Bradford area. Culturally they all shared a common culture.

The susquehannock males all had horns on the top of their heads.
A skull of a male Andaste susquehannock unearthed in Bradford, Pennsylvania.

Andaste skull unearthed in Bradford Pennsylvania. (Image source.)

The Andaste Indian males all had horns, while the children and the women did not.  The horns started to develop during adolescence in young boys when they began to reach maturity. The horns were all uniform and grew out of the upper forehead approximately at the hairline.

Proportionally the horn length varied from individual to individual but was typically at least 6 inches long. Horn diameter also varied considerably with diameters at the base of the horns varying from one to two and a half inches in diameter.  Excavated remains suggest that the horns would sometimes be damaged and would break off, suggestive of combat of some type. (Maybe. Maybe…)

A Fortified Community

This “Indian Tribe” occupied a fortified hill strategically placed nearby, which was once, a major intersection of Indian trade routes.  They had no other villages or settlements.  There were no other similarly sized or culturally similar races nearby.  They were unique and occupied a lone fortified hill.

The fortification; “Onnontioga” (Tioga Point) is located where the Susquehanna River and the Chemung river join. The hill was renamed “Spanish Hill” by the European settlers to the region.  The name referred to the shape and style of the fortifications and ramparts at the hill.  They were decidedly different than any of the other local Indian ramparts.  These were more reminiscent in appearance to those associated with known Spanish fortifications. Early explorers to that region remarked how impressive and advanced the fortifications were.

This is a significant point. The  Onnontioga fortifications were substantially more advanced, technologically engineered, and superior to locally manufactured Indian fortifications; some could argue that it was equal to the superiority of Spanish fortifications of the time.

Other Colonies

Were there other colonies of these people elsewhere in Pennsylvania?  No.  Apparently they were a unique and isolated group.  That stands apart as significant, and is worthy of discussion.

There are legends of these creatures all over the globe.  Much of the folklore about the red devil with horns, and the signing of contracts (could possibly) indirectly originate from these people.  When one comes across the legend of a huge people with horned skulls, red skin and who were very shrewd in business, one must consider the possibility of interaction with this race.

If true, then it has become obvious that they have tried to set up other colonies on the earth over the last 10,000 years, with the colony at Sayre being the longest lasting one.  But none of their other colonies ever seemed to stabilize.  Eventually they all died out, or were assimilated with the indigenous peoples.  Apparently, if true, they had established colonies in other places as well to include Western Russia, and of course the Americas.

There are historical records that the investigator needs to research in order to know the true story of the susquehannock people.
Vintage newspaper article describing the discovery of Indian bones of the susquehannocks who used to live in the area. Vintage Newspaper article.

Weapons

There is indisputable evidence that the Sayre race that lived here were not simply tall, horned, red skinned humanoids.  They had access to firearms as well!  One must keep in mind that these reports come from the year 1500.  At that time the export of gunpowder from China had just then reached Europe.  Its use was just beginning to displace that of the crossbow and long sword.  The Europeans were just getting their first exposure to the precursors of modern firearms, so one must truly imagine their surprise when they encountered enormous red horned giants with rifles!

"There is, however, undisputed evidence from the earliest settlers (Shepards, Hannas, and others) that when they came the Indians remaining in this locality… …stood in awe of the hill, and avoided ascending it"

"Early in the last century, Alpheus Harris settled at the foot of the hill. An old Indian was a frequent visitor, but when asked to ascend the hill he always refused, saying a Great Spirit lived there who would kill Indians.  That he spoke with a thunderous voice and made holes through Indians bodies. This suggests muskets or cannons"

- Louise Welles Murray 1908:62, 64

We also have reports that some of their weapons were quite large. These larger weapons were considered to be cannons (!) by the European settlers to the region.

"Lalemant now describes the warfare which had continued between Canadian and other tribes and the Iroquois.

The latter attack the Andastes, far down the Susquehanna, but find that the villages of this tribe are defended with European cannon; and, moreover, the Andastes are a match for them in cunning — seizing twenty-five Iroquois spies, and burning them to death in the sight of their own army.

Not only do the invaders meet disaster, but their own villages are ravaged by smallpox, and their fields remain half tilled. Thus menaced, the Iroquois plan to form an alliance with the French, hoping that the latter may help them against their enemies; but they abandon this scheme, upon hearing that the king of France is about to send many soldiers to Canada, to crush the enemies of the colonists. Meanwhile, some souls among them are saved; for certain captive Frenchmen baptize over three hundred children, and some adults who are dangerously ill."

-The Jesuit Relations and Allied Documents Volume 48

The Colony Layout

"The fortifications of all this family of tribes were, like their dwellings, in essential points alike.

[1] A situation was chosen favorable to defence, the bank of a lake, the crown of a difficult hill, or a high point of land in the fork of confluent rivers.

[2] A ditch, several feet deep, was dug around the village, and the earth thrown up on the inside.

[3] Trees were then felled by an alternate process of burning and hacking the burnt part with stone hatchets, and by similar means were cut into lengths to form palisades. These were planted on the embankment, in one, two, three, or four concentric rows, those of each row inclining towards those of the other rows until they intersected.

[4] The whole was lined within, to the height of a man, with heavy sheets of bark; and at the top, where the palisades crossed, was a gallery of timber for the defenders, together with wooden gutters, by which streams of water could be poured down on fires kindled by the enemy.

[5] Magazines of stones, and rude ladders for mounting the rampart, completed the provision for defence.

The forts of the Iroquois were stronger and more elaborate than those of the Hurons; and to this day large districts in New York are marked with frequent remains of their ditches and embankments."

-Francis Parkman, "The Jesuits in North America in the Seventeenth Century". Edited for readability with the addition of numbered points.

The tribe lived within a Fortified Village or Citadel.

Inside the walled complex was a geometric array of housings and facilities.  It was surrounded by reinforced ramparts of wood and dirt ditches.  The various houses were strategically spaced apart and away from the ramparts.  All the houses were of bound live wood. (Tolkien elf style.) The interiors were of log construction with multi-tiered wooden floors.  Though the building system was different than contemporaneous European methods, it was not inferior. They used bark over live saplings instead of cut and processed logs. Which is much like how traditional homes are constructed in Japan and throughout Europe. It was a superior system for as the settlement aged, the houses became older and stronger. As the early saplings grew into large mature trees.

The houses were aligned in an orderly geometric arrangement suggestive of a military garrison. A comparison of ancient Viking settlements and their longhouses show a distinct similarity. (I wonder if this was a characteristic of warlike peoples…)

Because of its size, shape, and location, Spanish Hill has been believed to be an excellent location for a defensive stand for an attack. Thus, in defense of my proposed narrative, would naturally have been used by early civilizations for mere survival.  It also has a view of many miles in each direction around it because it stands alone on the flat land surrounding it. For this reason, many believe that Spanish Hill was either a Susquehannock village site or site of refuge during attacks during at least the 1500’s and 1600’s. Evidences of campfires, and village remains have been located on the hill to include “hardened fortifications” which also support this school of thought.

There is no question that there were stockades built around the top of this hill (fortifications) around the 1600’s, and a moat or ditch was built around the bottom.  It is known that some Indian villages had two or three levels of stockades built around them, and this hill may have had more than one as well.  I have also been told by several historians that were involved in “excavation digs” on the hill that there was a covered stockade area going vertically down the hill on the west side to a natural spring that was about 1/2 down the side from the top.  This meant that the entire fort had access to clear and fresh spring water, and did not depend on the nearby rivers for drinking water at all.

Living Arrangements

What we know of how they lived is through documented records and journals.

"They covered a space of from one to ten acres, the dwellings clustering together with little or no pretension to order. In general, these singular structures were about thirty or thirty-five feet in length, breadth, and height; but many were much larger, and a few were of prodigious length.

In some of the villages there were dwellings two hundred and forty feet long, though in breadth and height they did not much exceed the others.

- Brebeuf, Relation des Hurons, 1635, 31.

In comparison, their homes were quite large. They were far larger than any homes made by the European settlers to the region. They were larger than the impressive public and religious structures in Europe at the time.

“Champlain says that he saw them, in 1615, more than thirty fathoms long; while Vanderdonck reports the length, from actual measurement, of an Iroquois house, at a hundred and eighty yards, or five hundred and forty feet!”

To put this in perspective, an American football field is 360 feet long (120 yards long). Thus, an actual measurement of an existing Andaste house was much longer than a football field. This is an enormous size, and was most especially impressive in that it was constructed by using live trees interlaced with each other and covered in an array of bark.

“In shape they were much like an arbor overarching a garden-walk. Their frame was of tall and strong saplings, planted in a double row to form the two sides of the house, bent till they met, and lashed together at the top. To these other poles were bound transversely, and the whole was covered with large sheets of the bark of the oak, elm, spruce, or white cedar, overlapping like the shingles of a roof, upon which, for their better security, split poles were made fast with cords of linden bark. At the crown of the arch, along the entire length of the house, an opening a foot wide was left for the admission of light and the escape of smoke.

At each end was a close porch of similar construction; and here were stowed casks of bark, filled with smoked fish, Indian corn, and other stores not liable to injury from frost.

Within, on both sides, were wide scaffolds, four feet from the floor, and extending the entire length of the house, like the seats of a colossal omnibus.”

- Francis Parkman, "The Jesuits in North America in the Seventeenth Century"

This differs from other native American Indians. Often, especially among the Iroquois, the internal arrangement was quite different. The scaffolds or platforms were raised only a foot from the earthen floor, and were only twelve or thirteen feet long, with intervening spaces, where the occupants stored their family provisions and other articles. Typically, five or six feet above were yet another platform, often occupied by children.

One pair of platforms sufficed for each family, and here during summer they slept pell-mell, in the clothes they wore by day, and without pillows. But the Susquehannocks were different.

(The platforms) “These were formed of thick sheets of bark, supported by posts and transverse poles, and covered with mats and skins. Here, in summer, was the sleeping place of the inmates, and the space beneath served for  storage of their firewood.

The fires were on the ground, in a line down the middle of the house.

Each fire sufficed for two families, who, in winter, slept closely packed around them.

Above, just under the vaulted roof, were a great number of poles, like the perches of a hen-roost, and here were suspended weapons, clothing, skins, and ornaments.

Here, too, in harvest time, the squaws hung the ears of unshelled corn, till the rude abode, through all its length, seemed decked with a golden tapestry. In general, however, its only lining was a thick coating of soot from the smoke of fires with neither draught, chimney, nor window.

So pungent was the smoke, that it produced inflammation of the eyes, attended in old age with frequent blindness. Another annoyance was the fleas; and a third, the unbridled and unruly children. Privacy there was none. The house was one chamber, sometimes lodging more than twenty families."

-Francis Parkman, "The Jesuits in North America in the Seventeenth Century"

Social Structure

The Susquehannocks seemed to follow, a more or less conventional Native American Indian social structure, as far as I can tell. Yet, the reader must be made aware, the reports on this are few and far between. They naturally reflect the biases of the reporter of that time.

"In the organization of the savage communities of the continent, one feature, more or less conspicuous, continually appears.

Each nation or tribe to adopt the names by which these communities are usually known is subdivided into several clans. These clans are not locally separate, but are mingled throughout the nation. All the members of each clan are, or are assumed to be, intimately joined in consanguinity.

Hence it is held an abomination for two persons of the same clan to intermarry; and hence, again, it follows that every family must contain members of at least two clans.

Each clan has its name, as the clan of the Hawk, of the Wolf, or of the Tortoise; and each has for its emblem  the figure of the beast, bird, reptile, plant, or other object, from which its name is derived.

This emblem, called totem by the Algonquins, is often tattooed on the clansman's body, or rudely painted over the entrance of his lodge.

The child belongs, in most cases, to the clan, not of the father, but of the mother. In other words, descent, not of the totem alone, but of all rank, titles, and possessions, is through the female.

The son of a chief can never be a chief by hereditary title, though he may become so by force of personal influence or achievement. Neither can he inherit from his father so much as a tobacco-pipe. All possessions alike pass of right to the brothers of the chief, or to the sons of his sisters, since these are all sprung from a common mother.

This rule of descent was noticed by Champlain among the Hurons in 1615. That excellent observer refers it to an origin which is doubtless its true one. The child may not be the son of his reputed father, but must be the son of his mother, a consideration of more than ordinary force in an Indian community."

-Francis Parkman, "The Jesuits in North America in the Seventeenth Century"

Opinions of the European Settlers to the area

The earliest “locals” referred to the remains of fortifications as “Spanish Ramparts” and it is said that the Indians in this area would not go up on to the hill because there was something that made a thunderous noise and made holes in those that dared to climb the hill.

The earliest description known is that of Duke Rochefoucault de Liancourt, a French Traveler in 1795, who, while enroute to the tow of Niagara, saw the hill and thus wrote of it:

"Near the confines of Pennsylvania a mountain rises from the bank of the river Tioga (Chemung) in the shape of a sugar loaf upon which are seen the remains of some entrenchments. These the inhabitants call the Spanish Ramparts, but I rather judge them to have been thrown up against the Indians in the time of M. de Nonville. One perpendicular breastwork is yet remaining which, though covered with grass and bushes, plainly indicates that a parapet and a ditch have been constructed here."

-La Rochefoucald-Liancourt 1795:76-7

The End of the Colony

The colony eventually died out in sometime in the mid 1750’s.  (Mr.La Rochefoucald-Liancourt reported that ruins, devoid of inhabitants, were still visible standing on top of the hill in 1795.) It exactly coincided with the encroachment of European settlers to the region.  Obviously, many of the inhabitants were killed by the European settlers, either directly or through transmitted diseases.  As are all records from that time, secretive combative activities were never recorded.  So no one knows their true fate.  What we do know is that the colony completely died at exactly the same time as the European settlers moved into the region.  One must logically assume that the community died out as a direct consequence of the European advancement into that area.

Why consider them Extraterrestrial?

The point of this discourse is to consider them to be an expert example of what a true and real extraterrestrial colony would look like.

Whether they actually are, however, is speculative.  I, myself, consider it to be speculative, and the reader should as well.  We must not underestimate the creativity and uniqueness of diverse peoples and humans of various backgrounds.  Just because they are different does not automatically imply that they are extraterrestrially derived.  But, at the same token, they indeed could be.

Why even bother with this kind of consideration?

For this work, I wish to introduce the possibility that they were but an extraterrestrial colony of expats.  Of which their home world is unknown.  Indeed, if true; it is not known where they came from.  Their genetic makeup was odd and unusual.  Thus, they could have entirely been members of an extraterrestrial colony that was established on the Earth in numerous locations globally.

Or not.

After all, simply because they look different than “normal” humans do not make them extraterrestrial.  There are many regional variations of humans.  Look at the comparative differences from that of an inhabitant of Zambia to one of Norway.  But nowhere is the genetic variances so pronounced, and so isolated.  That in itself should signify that there is something significantly odd about them.  This oddness should be considered and investigated.

The Reasoning

Consider this reasoning.  Any extraterrestrial colony to a planet that hosts “native” humanoid bipedal entities would stand out as different.  There would be a number of obvious tell-tale signs and indicators of this.

For instance, they would be [1] physically different from the local races.  Their size might be different, their skin color or hair color might be different.  [2] They might speak a different language or have a different set of sounds that they would make.  [3] They might possess technologies or do things using different techniques than those in the surrounding regions.  [4] They might create fortifications and structures designed to protect them from others because they would be so different.  [5] Finally, they might interact with the surrounding local natives in ways suggestive of trade, or collaborative ventures.

All of these points are obvious regarding the Andaste Indian race.  So, while they are classified as the Susquehannocks (Andaste) Indian tribe associated with the Indian nation of Carantouan, I suggest that they could simply be an extraterrestrial colony allied with them.

It is a certainly interesting proposition.

I do not have any proof of this belief.  But everything that I have read seems to be indicative of this.  Therefore, I suggest that the reader consider the possibility that these people might actually be the remains of an extraterrestrial colony.  I suggest the reader do this because this is exactly what a true and real extraterrestrial colony would look like.

Where are the Spaceships, then?

There are quite a few misconceptions regarding an extraterrestrial colony.  One must consider the realistic expectations of any colonist whom comes to earth.  Any colony on the earth would NOT have futuristic spaceships and equipment.  It would not.  They would equip themselves with renewable and replaceable resources in every case.  They would not rely on irreplaceable manufactured devices that would become useless when faulty.  Instead it would depend on the local materials and flora and fauna to sustain itself.  After all, getting spare parts for your contrivances would be impossibility.  Space travel, except for the most advanced extraterrestrial races is not something that is taken lightly.  It requires assets, investments in time and labor, and a steady commitment over decades.

The residents would maintain their culture and a small select collection of mechanical contrivances, but they would not decidedly cart with them high-tech gadgetry.  This is because those very items would be useless when damaged.  In fact, this is one of those truisms that many have forgotten.  When a race creates an expat colony in another planet, they effectively isolate themselves from all sorts of support structures.  They must rely on local vegetation, and wildlife to exist.  (Obviously, if the equipment to travel large distances is difficult, the more likely the colony is to rely on native resources.)  They would adopt native modes and forms of transport, and appear in many ways to live just like the American Indians did; in complete harmony with the surrounding land.

Their End

The last thing that we know about them is how they met their demise. In the middle 1700’s (around 1750) the last remaining 20 Susquehannocks were living in peace in Conestoga, PA. They had relocated to a smaller settlement after being devastated by European sickness, and wars with the Iroquois Indians and Europeans. There they lived peacefully and apart. In 1763, the entire community was slaughtered by the Paxton Boys in revenge for Indian raids that these specific people had nothing to do with.

Today

With the spread of disinformation on the Internet, it is a wonder that anyone can find anything of value today.  The investigator might end up finding sites that promote incredulity, and those that might end up in investigative “dead ends”.  But to truly search and research these mysteries one need simply visit the local sites in question.  Just go there and see for yourself.

Today, one can see the skulls, relics and history of this race at the Tioga Point Museum in Athens, PA.  They are open from 10 – 1pm on Saturdays, and 1-8 on Tuesdays and Thursdays (570-888-7225.)  There are some amazing things there and it is indeed worth a visit.

The museum was founded in 1895, by historian Louise Welles Murray 1854 – 1931. To celebrate the formal opening, she helped open the sepulcher that was about 3 feet by 5 feet in diameter – covered with 2 Devonian fossils that made up the tomb for a man who was most likely an Andaste chief, and “six feet or more in height.”

In 1908, historian Louise Welles Murray wrote a book called “Old Tioga Point”.  It was published with an extensive amount of information about the Andaste Indians and Spanish Hill. Copies of this book are for sale still at the Tioga Point Museum.  Spanish Hill is also a state recognized Indian site in Bradford County with the ID number – 36BR27.

Other Links and Articles

There are other links and articles regarding this most interesting of subjects. I would suggest that the interested reader visit spanishhill.com which is where most of the following links originated from. The author of this site Deb Twigg is an expert in all matters regarding this group of Indians. (Although she might be aghast that I would consider them as possible extraterrestrial immigrants.) This site is the first stop and the most important source of information on this subject.

Take Aways

  • If an extraterrestrial species were to set up a colony on earth they would appear unique.
  • The Andaste Indians at Tioga Point were a community of “Indians” that were unique.
  • These people were giants, had red skin, long substantive horns and possessed firearms.
  • The women were also large.
  • They disappeared at the same time that European settlers arrived.
  • All that is left of their culture is a museum in Bradford County, Pennsylvania.

FAQ

Q: Where are the extraterrestrials?
A: They lie hidden from most humans. As far as most humans are concerned, they do not exist.

Q: How many extraterrestrials are there?
A: We do not know. Conventional understanding is that there are no extraterrestrials at all. Those who have reported that they have met extraterrestrials tend to claim that there are many extraterrestrials all over the universe.

Q: Why would extraterrestrials want to come to Pennsylvania?
A: They would settle in an area or colony that would be comfortable for them and that would meet the needs of their society. Besides, Pennsylvania has great apples. The corn in Pennsylvania is fantastic. The people are wonderful, and the women are very attractive. What is not to love?

Q: What did the Andaste Indians look like?
A: They looked like huge giants with bright red skin, had long horns on the top of their heads and carried firearms.

MAJestic Related Posts – Training

These are posts and articles that revolve around how I was recruited for MAJestic and my training. Also discussed is the nature of secret programs. I really do not know why the organization was kept so secret. It really wasn’t because of any kind of military concern, and the technologies were way too involved for any kind of information transfer. The only conclusion that I can come to is that we were obligated to maintain secrecy at the behalf of our extraterrestrial benefactors.

How to tell...

How to tell -2

Top Secrets

Sales Pitch

Feducial Training

Implantation

Probe Calibration - 1

Probe Calibration - 2

Leaving the USA

MAJestic Related Posts – Our Universe

These particular posts are concerned about the universe that we are all part of. Being entangled as I was, and involved in the crazy things that I was, I was given some insight. This insight wasn’t anything super special. Rather it offered me perception along with advantage. Here, I try to impart some of that knowledge through discussion.

Enjoy.

Secrets of the universe
Alpha Centauri
Our Galaxy the Milky Way
Sirius solar system
Alpha Centauri
The fuselage embedded within the rocks of Victoria Falls.
The Hammer inside the rock.
The Hollow Moon
The Mystery of the Lapulapu Ridge.
The Mystery of the Baltic UFO.
Mystery of the bronze bell.
Mystery of the oil lamp found inside a block of coal.
Did extraterrestrials set up a colony in Pennsylvania?
The Oxia Palus Facility
Brown Dwarfs
Apollo Space Exploration
CARET
The Nature of the Universe
Type-1 Grey Extraterrestrial
The mysterious flying contraptions.

MAJestic Related Posts – World-Line Travel

These posts are related to “reality slides”. Other more common terms are “world-line travel”, or the MWI. What people fail to grasp is that when a person has the ability to slide into a different reality (pass into a different world-line), they are able to “touch” Heaven to some extent. Here are posts that  cover this topic.

Cat Heaven
MWI
Things I miss
How MWI allows world-line travel.
An Observed World-Line switch.
Vehicular world-line travel
Soul is not consciousness.

John Titor Related Posts

Another person, collectively known by the identity of “John Titor” claimed to utilize world-line (MWI egress) travel to collect artifacts from the past. He is an interesting subject to discuss. Here we have multiple posts in this regard.

They are;

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Mad Scientist Explorations

Now what do you suppose this Mad Scientist is up to? Image source.

As a young boy, I dreamed of being a “Mad Scientist”. At that time my imagination was fueled by Vincent Price movies, the gadgets of “The Man from U.N.C.L.E.”, and of course the reading of “The Mad Scientist Club”.  Later, as I grew older, I actually had opportunities to work in laboratories, work in top-secret facilities, and design and cobble together all kinds of curious and interesting gadgets. I think that it is a yearning of most boys to build, create and utilize inventions. Let’s take a look at this underappreciated need…

Vincent Price and His Movies

To people today, the name Vincent Price is meaningless. However, to children of the 60’s and the 70’s the name had meaning. For these were the movies that played during lazy Saturday afternoons, and during late night scare-fests. Vincent Price was more than just an actor, he was a legend. He, in some ways, defined a generation of children. He introduced us to monsters. He introduced us to the evils of man. He introduced us to ideas and concepts that were not taught to us in school. He introduced us to B-grade movies and the “Mad Scientists” the inhabited them.

“The Professor is working on something, and won’t tell anyone what it is. He’s got a secretary with the kind of attitude that makes it seem she was weaned on a pickle, and who looks a bit like a gene-spliced offspring of an elderly Betty Davis and Vivian Vance. To make this comparison more apt, her character is called “Ethel.” To make things even more spooky, the actress’ real name is “Viv!” “

-SATURDAY AFTERNOON B-MOVIE CRAPFEST: “The Phantom From 10,000 Leagues” (1955)

This actor was quite a significant player in my boyhood. His movies, aside from “The Abominable Dr. Phibes” and “Dr. Phibes Rises Again” were just typical horror / Science Fiction flicks. (Maybe it’s because I had crush(es) on his amazing assistants.) The movies were easy on the eyes, and fun for a ten-year-old boy to watch.

Vincent Price made many movies during the 1960's and 1970's, and his Dr. Phibes series were amoung his best.
One of my favorite Vincent Price movies was the Dr. Phibes series. Here he is with one of his pretty assistants.

It wasn’t only Vincent Price who participated in those movies. There were many other actors, yet they all shared a basic similarity. The laboratories were all seemingly set in basements, often with hidden or heavily bolted doors. Behind those doors were often evil experiments. One movie might have evil Hitler Nazi’s being woke up from cryogenic sleep, while another might have brain transplants. Some were involved in the reanimation of the dead, while others involved the creation of strange huge machines that would revolutionize or destroy the world.

Indeed, the role of Nazi’s in these movies was quite significant. For instance, you have King of the Zombies where zombies are used to conduct Nazi operations against the United States. There is The Frozen Dead where a scientist reanimates frozen heads of Nazi war criminals to revive Third Reich. There is They Saved Hitler’s Brain where Nazis in South America kidnap scientist to maintain living head of Adolf Hitler in order to revive the Third Reich. In Shock Waves we have an Island-shipwrecked party who encounters former SS commander leading zombie storm troopers. Yikes!

This theme continued with The Boys from Brazil where a Nazi hunter discovers doctor’s plot to revive Third Reich by cloning Hitler in Paraguayan jungle. Death Ship where a Nazi prison ship sails the seas since end of war luring unsuspecting victims aboard. Not willing to give up on this theme, we also have such movies as The Keep. Where the German Army and “Einsatzkommandos” occupy Romanian citadel with demonic forces.

Dr. Phibes movies were very popular Vincent Price movies during the 1970's.
There were two Dr. Phibes movies. Each one used a different assistant. I was in love with both. Yikes! Image credit to Metro Goldwyn Mayer for their promotional photo.

The underground lairs always involved some kind of nefarious laboratory. Inside there were always these big clunky switches that took your entire hand to pull down or push up to engage. In the dark corners of the lab were always these arc generators with bolts of electricity shooting through the air. (I believe it was there to keep the air nicely ionized for personal health and longevity.) There were always counters full of glass vials, beakers, and glassware filled with colorful liquids percolating away over lit Bunsen burners.

These movies were inspirational in that they led a boy, such as myself, to believe that a single lone individual with a dream can make it happen. Oh sure they were portrayed as villains. However, I am quite sure that the reason was because they just weren’t very well understood. You know, each and every one had a reason, which in their mind was just and good. All that you need to do is learn science. Study hard. Focus on your dream and apply yourself.

All you need is a dream, and to study and apply yourself to make that dream happen.

The Mad Scientist Club

The Mad Scientist Club is a series of stories (and books) written in the 1960’s which fueled the imagination and adventures of us children in the 1970’s. (The son of the author has a website. You can visit the website HERE.) These stories inspired me. They inspired my dreams and led me down the path towards technical excellence.

The book cover to the Mad Scientists Club.
The cover from the first book of “The Mad Scientists Club”. This is a classic book for all young children entering their early teens.

The boys in the stories used science to create all sorts of pandemonium and mayhem in their little town. They applied themselves to using science to make devices and gadgets. They played pranks. The books showed how a boy could engineer a device from techniques that they learned in school. They made balloons, talked on ham radios, devised electronics, and they did it all on their very own.

The beauty about all this was that they never asked for help or permission. They took the initiative and did it on their own. They applied themselves.

Indeed, these stories are special. But, don’t take it from me. Read what others have to say.

“This is the best kids book ever.

… In a way it saddens me when I re-read it. I don't think our kids today have as much freedom as these did (or my generation). I remember staying out until dark, riding my bike EVERYWHERE, clubhouses on vacant lots...Or maybe it's responsibility. Kids today have freedom but little responsibility. I'm getting off my soapbox now. but this is a cool book and it will make your kids fall in love with science. I imagine the Mythbusters grew up like this- or maybe their dads did!! ”

-Holly commentary on the book. Found at Goodreads.

I am afraid that Holly is correct. American children (and adults) don’t have as much freedom as we all used to. (It’s our fault, you know.) These books are for kids and inspires them to accomplish things through study and action. These books are not about getting a group together and finding a group consensus. It’s not about how to cautiously speak so as not to offend anyone. Nope. It is about getting things done and raising hell in the process.

It’s books like these that inspired many of us to study science and engineering. It certainly affected me. It also affected others. I am not the only one who studied about rockets and space…

“This was simply a great childhood book for any inquisitive kid who likes science, haunted houses, dinosaurs, flying machines, etc. I read this book in about seventh or eighth grade and actually a couple of times since. I believe this book helped me on my career to being a rocket scientist but it also gave me many ideas as I was growing up.

Brinley managed to capture the perfect mid-west US town and the guys in the book were great caricatures of fun loving, science minded boys with a bit of good natured mischief up their sleeves. Then Brinley took this setting and boys and produced a series of wonderful stories capturing so many things that so many boys growing up find so intriguing.

I bought a copy recently for a nephew and he was enraptured by it. The follow-ups while good never really reached the level of this first book but were fun in their own right. It will always hold a special memory of growing up back in the '60s.”

-Robert commentary on the book. Found at Goodreads.

He’s right you know. The stories certainly inspired me.

I like to think that there is inspiration in stories where you find adventure, freedom and independence. These are things that are absolutely missing in the modern realm of politically correct stories. Which, by the way, is a very important point. By following a “Politically Correct” narrative, you retard the growth of young boys. To paraphrase Clint Eastwood, you turn men into pussies.

“We live in more of a pussy generation now, where everybody's become used to saying, "Well, how do we handle it psychologically?" In those days, you just punched the bully back and duked it out. Even if the guy was older and could push you around, at least you were respected for fighting back, and you'd be left alone from then on.”

-Clint Eastwood

A parent has a responsibly to PREPARE their children to venture out and grow. They need to go forth and carve a life out of the wilderness. But that is not what is happening today. Instead we have children that never leave the nest. Young men, in the United States, live at home until they are in their 30’s. Instead of investing their time in building, workings, making, and creating, they are too busy looking at cat videos on the Internet while they post their latest latté on Facebook. Boys must be taught to aspire to be Men, not to be a woman’s version of a sensitive man.

Pussies.

No amount of tattoos, unique hair or beard, or cool urban clothing style is going to make you into a Man. It comes from within. Education alone won’t do it. Money and wealth won’t do it. Polite conversation won’t do it. It comes from inside. It comes from deep down inside. It comes from a place that says “you can, and must do what you need to do”. You don’t ask for permission, or consensus. You go out and carve your life out.  Alone.

By clutching on to your children like over protective mothers, the children don’t grow up. Physically they might age, but the brain and the emotions are still that of a young child. How else can you explain the SWJ mentality that demands a protective overseer? Which is what they want, you know. They demand to be coddled and taken care of by a big parental government Bernie Sanders style. Because, that is all that they know. They don’t know how to be independent. We don’t teach that anymore.

These books break us out of that mold…

“A gem. Almost unknown; but one of the most hilarious and memorable laugh-out-loud books you could ask for. It's never mentioned by anyone; it's never recommended, placed on book lists or chosen by reading-groups. This just might be because it's a series of books, which represents a 'philosophy-of-parenting’, which has fallen out of favor. That's my suspicion, anyway.

I mean, just think about it. These stories are about kids who are unmonitored; who are allowed to just go off on summer afternoons and hang out on their own; and do whatever they want.... because they are trusted by their folks. Today, this is the last thing parents want to hear. No one in today's control-freak, micro-managing America wants to imagine that children can be trusted like this.

Books for very young children ('Little Prince' or 'Giving Tree') are in abundance on Goodreads. They're sweet and harmless. There's also a new genre called 'YA' ('young adult'). But guess what? They're all very sanitary, careful, cautious, and timid. Antiseptic. Content-supervised and Content-controlled. They always instruct youngsters on the 'correct' thing to do, the 'sensitive' thing to do, the 'courteous' thing to do...blah blah blah.

'Mad Scientists' is different. Instead of caution, the author praises problem-solving, solidarity, daring, and initiative. It's a book written for kids illustrating how NOT to follow the rules. It’s a book, which shows that rules are made to be flouted.

These stories are from a time when today's endless complexities and anxieties just weren't around. It’s a book that deals with kids just... having fun. I say, there need to be a LOT MORE books like this.

The gang of boys in Brinley's tales are pre-teens; somewhere between 11 and 14. This is a strange interval in a boy's matriculation, when they need to figure out a lot of things about life (and it’s also a time when adults have the least relevant advice to offer). This is the space Brinley plays in: the theme of personal responsibility.

Teens NEED to create a few genuine catastrophes in order to learn the weight of 'cause' vs 'effect'. 'Intention' vs 'outcome'. 'Actions' vs 'harm'. They need to learn the ins-and-outs of friendship and loyalty and paying-one's-dues.

The 'Mad Scientists Club' (this is the name carved on their clubhouse door) demonstrate these themes grandly. These young scamps are precisely in that age where you learn how to make a mess and how you clean it up afterwards. By yourself!

The crazy scenarios which afflict these affable 'troublemakers' reminds us--should remind everyone-- that this process can be fun. Making mistakes and learning from them. The best way --nay, the ONLY way--to shape character.

Far cry from today, huh? Yeah. Today, we don't let kids have 'secret clubs', 'hideouts', codewords, or 'mysterious friends'. We don't let them play with equipment or tools. They must not 'wreck' anything of ours. They're certainly not allowed to 'gallivant all over creation' (love that phrase).

Modern parents are rule-mongers and control freaks. When our kids want to play, we take them to 'Sesame Place' and we monitor their nutrition and we deck them in flashing sneakers and put them in helmets and on leashes. We place them in soccer, swim class, softball, karate, dance, gymnastics.

The result? Modern kids have no idea what real 'freedom' means. We never give it to them. They turn out to be vegetables.

But Brinley's kids show the other way it can be done. This boy's club makes their own fun. They don't 'ask for permission' to do stuff--they just do it! They embrace wildness, zaniness, and unpredictability. The outcome? Well, they aren't brought up on charges from the Department of Homeland Security, for the trouble they cause. That's for sure. This is a part of small town-Americana we've let slip away.

Just one example: in one of the adventures undertaken by the Mad Scientists, they build their own hot-air balloon (using scraps from a local junkyard) and they enter it in the town's annual homemade hot-air balloon race. With no adult supervision at all. Once aloft, (!!) they engaged in an air-battle with their arch-foes and fire potato-cannons and slingshots back'n'forth in mid-air. Finally, they manage to send the enemy gang's balloon into the lake! Can you stand it? I can't friggin' stand it, can you?

This book reminds us that children used to be perfectly capable of taking care of themselves if we let them...if we weren't all scared out of our wits by molesters and semi-automatic weapons and drugs and porn and stalkers and computers, we'd still remember the kind of America found in this hilarious read. It's to our shame that we can't.”

-Feliks commentary on the book. Found at Goodreads.

Let me simply posit this; to all those men (not to intentionally exclude women, but I am a man addressing myself to other men) who have made something of their life. Maybe you are a barber, a motorcycle mechanic, a car salesman, or a cook, isn’t it true? To make it in this world, you need to stretch your neck out. You need to take risks, bend the rules a little. You need to apply yourself.

Book cover from the New Adventures of the Mad Scientist club.
The cover to the book “The New Adventures of the Mad Scientist Club”. This is the sequel to the first book. It is also good, though personally, I really prefer the first book overall.

Those times when life got tough, did you go and get permission? Did you go and ask for consensus? Did you politely ask for others opinions, or did you just go out and do whatever it took to achieve your goals? Was it easy? Nope, I’ll wager that it was hard, or at least uncomfortable. You might have to make sacrifices. Right? Right???

Part of the need to accomplish these tasks were goals. These goals were like this golden orb that lay there, just out of reach that you needed to obtain. You would work towards those goals. You would keep those goals in mind while you fought and persevered. A goal might be a car. A goal might be the love of your life.

A man is nothing without a goal.

A goal might be something more honorable and important, like saving the world. As everyone can’t be an evil villain like George Soros. Or, a wealthy trillionaire like Bill Gates. Someone needs to wear the mask of a hero…

Speaking of heroes…

The Man from U.N.C.L.E.

In the 1960’s, most Americans were worried about the “Red menace”; Communism. Yikes!

Here, in pockets around the globe, progressive socialists had gotten control of various governments and were implementing progressive social “utopias”. This included the Soviet Union, “Red” China, Northern Vietnam, Cambodia, North Korea, and other socialist paradises.

In these utopias, everyone lived in a progressive liberal society.

In these nations, in these societies, everyone was equal. There wasn’t any racism. Everyone knows what they can speak about and what they dare not say. Everyone wore approved clothing so not to offend anyone. Everyone conformed to society for the good of all. All they had to do was give all their possessions, body and soul to the all-powerful central government…

…and the citizens were quite miserable as a result.

Ah, but that didn’t stop them from expansion. They were out to take your (American) freedom away, don’t ya know. They sent out spies, and agents of destruction. As a result, we had to set up spy networks to counter their spy networks. We had to be ever vigilant against the evil of liberal progressive socialism. This meant a network of trained and motivated experts.

Enter “The Man from Uncle” where a special force of well-trained agents went to fight the roots of the evils that manipulated governments. Because, while we were all busy fighting the “Red Menace”, the true evils laid hidden, for they were the REAL levers of control behind the scenes.

Here, the secret agency (named U.N.C.L.E.) went to fight a very sinister agency that was set on destroying the global world order (named T.H.R.U.S.H.). In many ways it is sort of a cross between the Clinton’s financial network and the George Soros organizations.

The Man from Uncle television series.
The Man from Uncle was a television show that united the cold war foes into an organization that would fight the “Deep State”. For it was recognized then, as well as now, that the “Deep State” was the source of all the ills that befell Mankind.

Against this backdrop were groups of evil villains. For, after all, there are more powerful people than well-established individual nations.

These villains would form their own networks of confederates and together they would implement all kinds of mischievous and dangerous activities. Movies and shows about this emerging phenomenon were everywhere in the 60’s. We have secret agent James Bond fighting all kinds of evil doers like Doctor No.

Evil has no borders, and calls no nation home.

We had agent Flint  saving the world from militant feminists who were hell bent on depose the ruling American patriarchy with a feminist matriarchy.  Ah, Mr. Flint. There were others, of course. We had Alec LeamasJoe Turner and Harry Palmer.

We also had television shows like “Get Smart”, “I Spy”, “Mission Impossible” and “The Man from U.N.C.L.E.” as they battled T.H.R.U.S.H..

As a boy I would watch The Man from U.N.C.L.E. with my father. He would sit there with his wine and cheese wedges, and I would eat my potato chips while we watched television together. For me the shows were all black and white, but that was because at the time our television was a black and white TV set.

My father liked the main character, Napoleon Solo while I preferred his Russian counterpart Illya Kuryakin which reminded me of the singing group “The Beatles” who were quite popular at the time. We would watch them operate in secret, while fighting the equally secret evil organization T.H.R.U.S.H.. Of course, they had all these secret codes, interesting devices, gadgets and inventions. As a boy, I was quite enraptured by them. I would imagine what it would be like if I too were a secret agent, using these contrivances and fighting evil to save the world.

What better thing for a young boy to aspire towards?

Prank Central

However, as exciting as it all looked, my attention was elsewhere. For I was young, and boys like being mischievous and playing tricks. It’s a boy thing I suppose. (I just don’t remember any girls doing these types of things. Though, I am quite sure that they were involved in more cerebral activities playing “head games” with other girls.) Boys like to see the physical results of their torment. They want to see girls react in horror to a toad. They want to light firecrackers outside people’s bedrooms, and set bags of dog poo on fire on people’s porches.

Advertisement for toys and gadgets inside a vintage comic book.
When I was growing up, we would find these types and kinds of advertisements inside of our comic books and magazines. They would be full of all kinds of fun things to amuse a young child.

I remember as a boy how we had somehow come across a gadget catalog that was advertised in the back of one of the comic books that we would often read. You know the kind. Pages and pages of things like magic tricks, pranks, books on Black Magic, fake (pellet shooting) fingers, trick buzzers, masks and ”pea shooters”. As a kid, we loved it and wanted everything. We must have circled over a hundred items in that catalog.

I think that it is an interest of growing children to expand and explore these tricks and devices of prankster humor. Too bad that the days of yodeling pickles are long gone. Indeed, you need to leave the ultra-sanitized United States to find some politically incorrect playthings for your child to enjoy.

…Or, do you?

Let me introduce the reader to the Archie McPhee store.

This is the kind of place that is a young boy’s wet dream. Inside the store (physical as well as the Internet version) are absolutely enormous assortments of useless pursuits. They’ve got boxing nuns and bacon scarves. They’ve got yodeling pickles and finger hands. Don’t know what a finger hand is, well then go HERE to find out. They have stuff that only a madman could think of.

“Less talk. More monkey.”

How about rotisserie chicken flavored candy canes, emergency inflatable toast (why?), rubber chickens (big and small), and propeller beanies. Ah we all wanted one of these as a kid. They have trick gum, Holy toast, and bags of busted businessmen. They carry x-ray glasses (yes, you wanted these didn’t you?) and hypno-glasses, wind-up lederhosen, and strange action figures to include horrified movie victims. They’ve got everything from plastic arks, to singing fish. It’s a childhood delight. This store brings out the little kid in all of us. It’s many things, but above all, it’s the go-to place for plastic poop.

I just can’t stop! It’s such an amazing place.

“If there’s a heaven for the deranged, Archie McPhee is probably it.”

-Josh B in Seattle

How about vinderhosen, an emergency Santa kit, crime scene sandwich bags,  and classic disguises. What about underwear for your pet squirrel, inflatable turkey (again, why?), and contemporaneous prayer cards. Here is probably the only place where you can find cool World War II occupation money. How about medical posters from India, they’ve got religious themes, cat themes, food themes, mad scientist themes, and themes that defy description. I am not at all kidding!

The Archie McPhee store in Seattle.
There is a store in Seattle. The rest of us are limited to visiting their (most comprehensive) website.

Do yourself a favor. Let your child buy something from this store. Give them ten dollars to spend, and wait while they go back and forth, back and forth deciding what to buy. It’s all in good fun.

Build your Own Gadgets

When the children are old enough, or for you adults that just never grew up (like me, heh heh) there are outlets for obtaining the tools and supplies to build your very own mad scientist lair. Over the years I have bought from these various outlets and cobbled up some pretty interesting gadgets. In the past I have made such things as television bicycles, remote control automobile deactivators, electronic snooping equipment detector, remote control devices for insects, and all kinds of household appliances.

Here are some of my favorites;

McMaster-Carr

If there was ever a catalog for inventors it is this. It has everything from hardware to materials (that can be bought in small quantities). To use these parts, you will need to have an idea of what you want to build. Then you go through the catalog to find parts or components that you can use. It is wonderfully, and functionally illustrated. Additionally, most of the parts have PDF drawings, and CAD drawings that you can export to your CAD system and made up on the computer. I, of course, highly recommend it.

“The best way to describe McMaster is to say that they carry everything you need to build anything. Items that you could normally only order through factory distributors, or materials that could only be ordered in large quantities, are easily available in any size and quantity, no matter how small. (No minimum order, either!) Their prices are excellent and they tend to only carry good merchandise. Amazingly, when I order stuff at 5:30 p.m., it arrives the next morning with their normal shipping. Their catalog has long been difficult to get because you had to be a reasonably sized business with a Dun and Bradstreet number and established credit to have them mail it to you. But now that they have added an online service, everyone can easily order from them with a credit card.”

Alexander Rose

As a point of curious interest, they used to have a large thick catalog in a bright yellow cover. I used to keep an old catalog, I think it was #93, in my bathroom and would spend my time looking through all the cool stuff while I was on the throne attending to my needs. As I stated previously, this is the go-to-first catalog for emerging Mad Scientists.

Mc Master Carr Catalog
If you have an idea, a concept, a gizmo that you want to build; well Mc Master is your first stop. It is where I go to get the parts I need to cobble together designs and make specialized parts.

Science Hobbyist

When I was a boy, I used to read the Scientific American magazine. In the 1960’s the magazine was appropriate for most people, including myself, interested in science. (Then during the 70’s and 80’s they just became another mouthpiece for the professional elite in the ivory towers in universities. The articles became too specialized and dry for casual reading.)

Anyways, back in the 1960’s the magazine had a section titled “The Amateur Scientist” which was a wonderfully illustrated section describing how a hobbyist can make their own gizmos and gadgets to explore scientific principles with. This website is sort of the modern day equivalent.

A page from the Scientific American section titled The Amateur Scientist.
Here is a sample page from the section in Scientific American titled “The Amateur Scientist”. Just by reading the articles I believed that I too could make my own scientific experiments and inventions.

This site “Science Hobbyist”, should be your first stop if you want to begin cobbling up devices, and making interesting stuff. For starters, I would suggest this section on projects to start a project or two that you might be interested in. You can go HERE to find out some projects for practical jokes. You can go HERE for some really strange projects (after all that’s what Mad Scientists do). This should be a starting point for Tesla coils and other interests such as lasers and infrared goggles.

Radio Shack

When I was growing up, Radio Shack was THE place to get parts and supplies for all sorts of cool stuff. In fact, when I was in High School around 1974 through 1977, it was almost the ONLY place where you could get computer parts and accessories. Indeed, around 1977 they cornered the PC market with nearly 100% market share.

Of course, poor management caused that market share to fall like a rock, and the CEO was sacked a few decades alter when the market share was under 1%. I wonder why that won’t happen to those in charge of the Federal Reserve. Oh, but I digress…

Anyways, Radio Shack has these cool little books and booklets called “The Engineers Notebook”, which is sort of a “cookbook” for playing with electronics. You can cobble all kinds of cool things together using the easy to read instructions and diagrams. Want to make a countdown times for a self-destruct button? Want to make a bug to listen on to what others are saying? Want to make a gadget to shock your friends? All here.  Go for it…

The Engineer's Notebook.
The Engineer’s Notebook is a great source of information for students, kids, mad scientists, and loony troublemakers. It is well worth the few dollars it costs.

The Art of Electronics

Speaking of electronics, if you are really interested on playing around with electronics, then don’t go for a boring text book. Go for a text book that was written by an enthusiast. Read “The Art of Electronics“, and get the LAB book as it is amazing!

"Far and away the finest book on the subject of electronics ... in the last decade. I cannot recommend this book highly enough to anyone whose research or experiments require some electronics." 
Optical Engineering

"A delightful book...The circuits actually work, the schematics are all readable." 
Review of Scientific Instruments

"This book is filled with a tremendous diversity of valuable information. More importantly, this book is a joy to read...It's not at all like studying--it's too much fun." 
EDN (News Edition)

"This book provides a painless way to learn about electronic design. It is also a good read for those already experienced in electronics." 
EDN (Magazine Edition)

"..it comes as close as any book we've seen to fulfilling the promise inherent in its title...written as though to educate the novice, but practicing engineers will encounter many useful tidbits they didn't know, hadn't thought about, or had long forgotten." 
Analog Dialogue

This book was written as a joy by someone who took a real pleasure in cobbling things together. It is super easy to read, and very interesting to boot. If you have the slightest interest in electronics, you will totally relish this tome.

The Art of Electronics.
The Art of Electronics. Here is a stack of the various editions by a fan. Trust me, if you love cobbling things together, then you WILL become a fan.

Websites to DIY Gizmos

In the internet today, it can become pretty daunting if you do not know the correct “buzz words” or Google-approved code words to use in your searches. If you are not careful, you will be sent to websites that are nothing more than product stores offering cool geeky products, but nothing that would interest a true Mad Scientist.

Well, never fear. Here are some FUN links;

Normally, I really do not like many of the websites on the internet. Basically, you have some millennial who constructed a website for profit. They googled similar terms and packaged it in some kind of “hipster” presentation of the day. It’s all packaged nonsense for a profit.

What is missing is HEART. That is to say; websites written by people who LOVE… LOOVVVVEEEEE the subjects that they are writing about. They can go on and on and on about them. Sort of like me and what I like to write about. For instance, HERE is a website that has some heart. That is different from these other websites…

The people who made up these websites don’t eat and drink and love gadgets and gizmos. It’s just a job to them. They are setting up a business model and their plan is to create an income stream off of it. Never the less, they DO have some benefit, if they can help point you in the right direction.

Go visit these two sites, and you will see what I mean…

Supply Catalogs

There are many places where you can get parts for your inventions. The best place is at companies that specialize in the purchase of excess inventories at factories. You can pick up radar dishes used on military ships, the inner workings of laptops, and all kinds of stuff for a mere fraction of what it costs to make. There are stories galore about these places. One man in Canada used military surplus to open up dimensional doors. Another used the equipment to build a personal submarine. While still another blew up his garage by pressing the wrong button. You got to be careful, don’t ya know.

Electronic Goldmine

This should be your first stop when looking for cheap parts, and orphaned mechanisms to use in your creations. You can find things from cameras used in cell phones to robotic parts here. The only problem is that most of the parts do not come with instructions or schematics. However, a little bit of experimentation and simple observation can help determine what goes where and how. I especial like the “grab bag” of who-know-what that you buy really cheap…

Surprise box of parts from the Electronics Goldmine.
Surprise box of parts from the Electronic Goldmine.

I once took a sensor board used for checking microwave leakage from appliances, it cost me a dollar, and turned it into a bugging sensor. You know, all electronics emit radiation. So, this little device was able to go over a wall or automobile and find out where electronics are. Heh heh. Another time, I took a speaker and turned it into a low frequency generator that I used to attract fleas with. (It was more sanitary than a bug bomb.)

Surplus Shed

Here is another website that offers surplus stuff. It has a different set of products and some are quite interesting indeed. You can make a pretty impressive telescope from the parts here. They mostly seem to carry things related to optics. This site is very good if you want to fry an ant’s nest.

American Science and Surplus

There are other places on the Internet from which you can order amazing things. May I also suggest another very interesting store, the American Science and Surplus store.  It’s most especially good for young boys and girls who have a mad scientist interest. Here are a mixture of chemistry sets, military surplus, industrial surplus, jokes and gizmos, and just plain strange stuff. You can make your own chewing gum. You can grow crystals.  You can make all kinds of liquids and potions that glow. You can start on rock collecting, or get started making mechanical gizmos. Explore and have fun.

This would be where you can get toys and gear for your growing children. There are all kinds of scientific goodness here.

Fair Radio

This website, Fair Radio, is a great source for used military electronics and equipment. It’s really not the place to purchase parts to cobble up designs. It is the go-to place to obtain things related to really big projects. I once knew someone who bought the laser rangefinder out of a tank from them. Their stuff can be quite eclectic. With all kinds of things ranging from mine detectors to weather balloons. Never the less, it is worth a look.

Aircraft communication and radio station.
There are all kinds of interesting things that you can buy, as long as you know where to go.

I once lived in an apartment in Milford, Massachusetts. It was an old Victorian mansion renovated into six apartments. I really liked the place, the location and the convenience. That was, unfortunately, until a section eight family moved in and had the entire neighborhood over for all night teenage parties to six in the morning. You know, it’s one thing wanting to help out low income people, but it’s another thing entirely when your rent is very large (because you work) and your neighbor gets a much larger apartment and pays nearly nothing for it (because they don’t).

Ah… Welcome to Massachusetts.

via GIPHY

For some reason, our new neighbors decided to host teenage parties in the apartment. (I remember knocking on her door at 4:30 am asking them to turn the music down. The woman looked at me in a daze and asked “Oh, you need to work tomorrow?” It was only Monday night, for Pete’s sake!)  Anyways, teenagers could come over starting at 9pm and play basketball inside the living room. (On the wooden floor, in the firggin’ living room.) They would crank up the music to level that made it impossible to think, talk on the phone and sleep. They would do drugs; typically smoke “crack” that would fill up the entire house with smoke. When the parties would get large, over thirty teenagers, the noise would be excessive, and police would come. They would break up the parties. Book the juveniles, and arrest the tenants. The peace and quiet would last one or two days, and then it would repeat. It was a nightmare.

It was really a problem, and the laws in Massachusetts could not do anything about it. My landlord couldn’t do anything either. Once they moved in they refused to pay rent, and the State protected them for six months until they could be forcefully evicted. (What a scam, huh? You get someone to take you in. No financial deposit. No first, lasts rent and security deposit. Just a paper from the welfare office. You don’t pay rent, and you can’t get evicted. It’s legislated squatting.) The youth and their instigators were protected. They were starting to break the windows in our vehicles parked outside, and were engaged in all sorts of hyperactive activities that were too rowdy for a quiet neighborhood. I could not sleep. When I would arrive at work, I would be sleep deprived, and terribly irritable. After about two months of sleepless night, I took matters in my own hands. I devised a contraption to put an end to their rock concerts.

I took a furnace igniter from Fair Radio, and placed two long wires in it. Then put the device on a five-minute timer starting at 10:30 at night. (After 10:30 it would turn on and off in five minute intervals.) The result being that I had a device that was an unshielded electric arc generator. I made a Jacob’s Ladder. (How to make one can be found HERE.) Being unshielded meant that all their loud electrics would get fried by noise. Thus when they blared their music, after five minutes a horrible howl full of static would assault their ears and blow out their speakers.

The first time it was used was amazing. It was wonderful. W-O-N-D-E-R-F-U-L. There must have been sixty teenagers (60) next door. There couldn’t have been anywhere to stand inside. Kids were crapping and urinating outside our bedroom windows, and sitting on all of our cars and vehicles. The music was thumping. It was mostly black urban rap at jet engine noise levels…. It was still early. At 10:30pm, I plugged in the Jacob’s Ladder. Turned on the timer and let it rip.

The screeching howl must have permanently damaged the eardrums of each and every teenager. This continued for about thirty seconds. Then it stopped. They turned off the stereo. They mulled about. Talked some. Brought another keg of beer over. Then, they turned on the music again. For five minutes it played on. Then, I hit the “on” button to the gizmo. The howling screeching was horrible.

This continued for about an hour. They would wait. Turn on the stereo. Five minutes later, I would turn on the device. They would shut everything down…. Heh heh. This continued for about two hours and then they broke up and went elsewhere.

Needless to say, they eventually had to find other places to hold their youthful teenage celebrations. Sometimes, when the system fails you, and you need to do something, a creative (and unexpected) solution is always preferred.

Mad Scientist with his assistant.
You don’t mess around with a Mad Scientist. Who knows what creative solutions he may come up with to counter your nefarious activities.

Herbach & Rademan

This is a great source of supply for motors and generators and all sorts of gears and stuff. I once worked with an electrical engineer in Hattiesburg, Mississippi. He used the electrical motors from here and put them in an old car without the engine. He added some batteries and made a fully electric car. It was pretty darn cool. H&R is a pretty good place for motor controllers, decent low-priced motors and the like.

If I wanted to make a two story tall robot (Japanese style), or a mechanical metal spider the size of a truck (Russian Style), I would get the parts from this store.

AllElectronics

AllElectronics is not a surplus store. Rather it is a regular store that sells electronic parts and individual assemblies. It is quite useful for throwing together some prototypes and making things work from scratch. For instance I used the voice module in a prototype for a room air purifier I was working on. When the filter became too dirty, a voice would come on saying “Please change the filter.”

You know, we first experimented with a man’s voice (one of the techs) but it sounded too ominous. It sounded like Boris the Great was coming to eat you. Then we asked the group secretary to do it, and it sounded hilarious.  This was because she had a strong Brooklyn accent.

You can find things like strobe modules, and power strip modules. All would contain instructions and hook up diagrams to help in the installation into your projects. There are also all kinds of project boxes and other kinds of hardware that are quite useful in your mad scientist creations.

Inspiration

As a boy, I was inspired by Ray Bradbury and Robert Heinlein. If you have teenagers, I would suggest you buy them one of both of these two Ray Bradbury books, and let them devour them. They are filled with awe, mystery and excitement. They are works of art that inspires and directs.

DREAMERS! BE ENCOURAGED. YOU ARE UNDERSTOOD.

Ray Bradbury’s R is for Rocket is a book about and for dreamers… and those who truly desire to understand them. A common character type in his work is the wide-eyed, yearning dreamer who reaches too high, often for a dream beyond his capacity, and who inevitably teeters on the brink of success and failure.

It’s no surprise that Bradbury produced so many pieces that gave voice to themes of blue skies since he himself was a dreamer, a voracious reader and enthusiastic writer from an early age, a man who thrilled himself (and his fortunate readers) on the wild imaginings of his literary soul.

In R is for Rocket, the story “The Rocket” is an excellent example of the author’s heartfelt kindness and sympathy for — and identification with — the hopes and heartaches of a dreamer, in this case one Fiorello Bodoni, a middle-aged, married-with-many-children man obsessed with dreams of rocket travel and space exploration in the face of those who are quick to discourage him.

Excerpts from the story:

“I will ride up in one someday,” said Bodoni.

“Fool!” cried Bramante. “You’ll never go. This is a rich man’s world.” … “No! We live in shacks like our ancestors before us.”

“Perhaps my sons—” said Bodoni.

“No, nor their sons!” the old man shouted. “It’s the rich who have dreams and rockets!” … “No, Bodoni, buy a new wrecking machine, which you need, and pull your dreams apart with it, and smash them to pieces.”

The old man subsided, gazing at the river in which, drowned, images of rockets burned down the sky.

“Good night,” said Bodoni.

“Sleep well,” said the other.

I have read and loved Ray Bradbury’s stories for over forty years because his prose is beautifully delivered and because, as a dreamer myself — who often reaches too high for his own good — I identify deeply with his wonderfully tragic heroes. There were many times that his captivating tales allowed me to escape from grim childhood days and nights, and for that I say to him, now in the Great Beyond for Great Writers: “Thank you!”

This review was written by Kevin Polman, author of THE EXTRA KEY and STORIES.

What you can do…

If you have children, I would strongly advise you let them play.

This does not mean sit them down in a structured environment and teach them how to do some kind of organized activity. I mean set them down with a broken radio, give them a pair of wire cutters and let them go to town. Let them go explore an old abandoned building. Take them to a state park and walk and explore there. Let them know about the Indians who used to live there. Walk them to a junk yard, and let them spend all day at it. Go to a local stream under a bridge, while you take a nap, let the kids play.

Spend time with them. Let them know that it is just fine to go about and throw things together. Give them the resources and then stand back. Give them some room. Let them figure it out on their own. Let them be children without supervision.

Take Aways

  • Boys like to build things.
  • Boys like to watch the reactions of others when they do something.
  • Funneling these interests into fun and innocent activities can be rewarding.
  • In the past, boys were free to build and experiment.
  • There are avenues to keep this interest alive if you know where to look.
  • A thinking person can use their scientific skills to provide answers to pesky problems.

RFH

How about a Request For Help? I tire of busybodies and statists who poke fun at the ideas and theories of others. They offer no constructive dialog. Rather they just make fun, ridicule, and then scurry under a rock.

I use this forum as a way to disseminate some of the things that I learned though my life.

So, if you, the reader, were so interested, I would welcome your stories about the shows and movies that you watched growing up and how they influenced you. I would welcome tales about how you did “experiments” with your chemistry kit, or made electrical devices to pull practical jokes with. I would love to hear about your various adventures.

This is my callout, to you the reader, to assist all of us in solving these mysteries. After all, this is a far better use of the internet than for looking at Justin Bieber videos.

FAQ

Q: Who was Vincent Price?
A: He was an iconic actor who made many famous horror movies in the 1960’s and 70’s. He greatly influenced culture at the time as his movies were typically B-grade horror flicks, and thus were provided free for children to watch at home.

Q: What is the Mad Scientist Club?
A: It is a series of stories written for children in their pre-teen years. It describes a normal life of a boy in the 1960’s. Today the behavior of the boys would be considered criminal, and the DHS might come and arrest the parents.

Q: What is the Man from Uncle?
A: This was a spy vs. spy television show that was popular during the 1960’s. It was full of spys and technology and top secrets of the day.

Q: Is it fun to be a mad scientist?
A: It is worth a try. Everyone has a little bit of crazy inside of them. Tools, and a little bit of creativity can create wondrous things, and great pranks.

Q: What does this have to do with MAJestic?
A: This has nothing to do with MAJestic. I am permitted to chat about anything that I am interested in. I like science, gadgets and playing around. So here you are. Perhaps reading this might enable you to get a little into my head. So that you can see that I am just a normal guy (or asshole, if you prefer).

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Link
Link
Link
Tomatos
Link
Mad scientist
Gorilla Cage in the basement
Link
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back
Link
The Warning Signs
SJW
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Link
Civil War
Travel
PT-141
Bronco Billy
r/K selection theory
How they get away with it
Line in the sand
A second passport
Paper Airplanes
Snopes
Taxiation without representation.
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
Democracy Lessons

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Link
Link
Link
Link
Link
Link
Link
Link

Articles & Links

You’ll not find any big banners or popups here talking about cookies and privacy notices. There are no ads on this site (aside from the hosting ads – a necessary evil). Functionally and fundamentally, I just don’t make money off of this blog. It is NOT monetized. Finally, I don’t track you because I just don’t care to.

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. First draft on 8MAR18.
  2. Revised by request 24MAR18.
  3. Revised by request 27MAR18.
  4. Revised by request 4APR18.
  5. Revised by request 20APR18.
  6. Ready for internet posting 23APR18.
  7. Added section for inspiration. 9JUL18.
  8. Added GIF 11JUL18.

Learning during my 1970s High School years

Do you remember what it was like going to High School in the 1970s? I do. I most certainly do. In fact, the older I get the more removed that I am from it. As time passes, it starts to look like some kind of a scene from “The Twilight Zone”. The truth is that the kind of life that I had growing up is really alien to the way kids grow up today. That is worrisome, and it really concerns me.

When an American intern comes in to work for me, I am stunned just how absolutely helpless they are. They do not realize that they must go to work before the start of the working hours, and cannot leave until the workday is over. They don’t realize some of the most fundamentals regarding self-initiative is totally missing from them. American kids today are robots, or maybe zombies. They need and expect constant supervision. They are afraid to do anything.

Now this only pertains to my American interns.

The interns that I get from Germany, France, Singapore, and England are just fine. What is wrong with America? What are they teaching in schools there? Ugh. I think that I will devote another post to cover that subject. As it is truly alarming.

Whenever I berate an intern about something that they did wrong, I often use examples from my childhood. I use them to illustrate key points. Such as, [1] you need to eat breakfast at home before you come to work. [2] Showers are not optional. [3] Don’t check your Facebook when you are in a meeting with the boss. [4] Lunchtime is for one hour, and long lunches are not an option. As well, as a pet peeve of mine, [5] you must do the work assigned to you whether you want to do it or not.

Where in the Hell do they get this idea that they can argue or debate with the boss? A task is a task. You are assigned it and you must do it to the best of your ability. Unfortunately, many American interns think that they don’t have to do an assigned task, if they don’t want to. WTF?

When I was growing up, man we HAD to work. It wasn’t an option. Moreover, when I turned 18, I was on my own, or in college. And if I failed, I would be on the streets. This is literally. My family would be too ashamed to have me hide in their basement. A man needed to work.

Granted, I realize that not everyone had the same experiences that I had. My experiences were of a different time. I had the experience of fighting forest fires, working in coalmines, and laboring in steel. Today, they work as barristers in Starbucks, code games on laptops in “open work” environments, and drive uber taxis. Never the less, there is something very important about being able to earn your own money. There is something important about putting in a good, hard day’s labor. There is something very important about carving out your life by your own effort, alone.

To this end, let me talk a little bit what it was like for me growing up. I am sure that everyone has other experiences, and perhaps other ideas of what it was like. This is what it was like for me…

Growing up in Pittsburgh

I am a normal guy.

While I was born in the Connecticut Valley in Bridgeport, CT, I spent the bulk of my youth and High School years in the Pittsburgh area.  Pittsburgh was, at that time, the center of steel manufacturing.  Surrounding it were miles and miles of coalmines, and timber. America is a very big nation, and as such, it is culturally subdivided into regions. I was part of the Pittsburgh (or “Western Pennsylvania”) region. I was an “Iron Steel Baby” (So named because of the local “Iron City” beer and the steel mills up and down the major rivers in the region.).

America is comprised a different regions with different cultural norms and history.
America’s cultural enclaves. America is not a homogeneous society. It is a collection of social-economic regions.  America’s cultural enclaves. (Image Source)

Over the years I have lived in many other areas. Each area was very different. I have lived in the Central Florida, the Rio Grande, the Los Angles, the Fresno, the Memphis-Little Rock, the Louisville, the Cincinnati, the Indianapolis, the Upstate NY and the Boston New England regional areas. I wonder what cultural enclave that you, the reader, is from?

When I was little

When I was little, my father worked in a steel mill. To improve our life, he would go to night school. Eventually, he was able to get his diploma and degree. With that, he was able to get a better job, and we moved into a house that he was finally able to buy. My mother was a housewife, and she watched us kids.

I played “cops and robbers” and “cowboys and Indians” when I was little.  I played with fireworks, climbed cliffs and jumped off them into muddy water in the long hot summers. We would often put a penny on railroad tracks to watch the coal cars flatten it into a long oval copper plate. You can’t really do that with pennies today. That is because pennies are made out of plastic. Instead you can use a dime or a nickel. Both of these coins have a high percentage of copper in it.

Busybodies think that flattening a penny is not safe, and is dangerous to children. (Not everyone shares my point of view.  HERE is an article where it is considered the height of danger and folly to walk on train tracks. HERE is an article that says that it is terribly DANGEROUS and maybe evil to even suggest children participate in such a thing.) But you know what? I don’t care what they think. I personally like the Chinese solution when it comes to these busybodies.

Life in the Trees

I had a “tree house” that I would hang out in with my cat Sedgwick, and played “tug of war” under the willow tree with my pet husky and a big “bull rope” that hung down from one of the limbs. (This is an awesome tree that had flowing branches that fell to the ground.  You could go inside the tree and it was like you were inside a tent. Though in the spring, it was filled with bees and other insects attracted to the flowers.)

I had a “fifth finger toy gun”. It looked like a pointing finger, and it shot this little plastic pellet.  I also had this “joy buzzer” that you could “shock” your friends with (by giving them a handshake). Other toys included “Chinese handcuffs”, which was this woven contraption that you would stick on the ends of your fingers.

“let’s see, I slept outside in a tent almost every night during summer vacation, played lawn darts, shot arrows into the air and we would scatter like doves for the cover of a roof, sled riding down steep tree lined hills. 

Jumped ramps with our bikes (damn near lost one of my man marbles doing that though) climbed trees, built tree houses, floated down the swollen stream on a telephone pole after a 100 year rain storm. drove the flat bottom boat behind river barges to ride the wakes. 

Jumped off roofs with a homemade parachute (didn't work) played with matches, played with matches and gas, played with matches gas and fireworks, had a wrist rocket, bb gun, bow and arrow, went to a catholic grade school with hard ass nuns. I should be in kiddy Gitmo still.”

- booboo Feb 2, 2018 9:55 PM Permalink

Quicksand

We played “quicksand”, usually holding on to tree limbs and trying to avoid touching the sidewalk. (It, like many other things, turned out not to be as serious a threat that we thought it was when we “grew up”.) Talk about a disappointment!

Yeah. When we grew up we were in for some surprises…

The world that we envisioned was nothing like what we saw on television.  We never got to fight secret agents. The rocket ships to the stars never materialized. None of us ever got to tour the nation in a multicolored school bus and play musical numbers in different high schools . Our friends were never as organized as Spanky and his gang , and we never were able to harness a donkey with a carrot. That truly would have been awesome! The truth is, to this day, I have never come across a spot of quicksand.  What a shame.  What a true shame.

However, the reader need not give up hope on their childhood. There still is a Archie McPhee . Thank God for that!

Hats

I, like others of my generation, (in my pre-teens) usually wore a hat when we went outside. (The same was true for the ladies and the girls of that time.) There was even an entire set of rules and behaviors associated with these hats.  The church pews even had these little spring-loaded contraptions to hold the hats in place when in church. (This pretty much fell into disuse in the early 1970’s, along with eating fish on Fridays.) Coat racks in offices, dental offices, and insurance offices all had a shelf along the top of the rack to place your hats on.  For standing racks, there were also “pins” for holding one’s hat.

Take off your hat (civilian, that is) whenever you are indoors, except in a synagogue and except in places which are akin to public streets: lobbies, corridors, street conveyances, crowded elevators of non-residential public buildings (department stores, office buildings). Apartment house elevators and halls are classed as indoors, and so are eating places!

Take it off whenever you pray or witness a religious ceremony, as at a burial, outdoor wedding, dedication. Take it off whenever the flag goes by. And fergodsakes take it off when you have your photograph taken for the place of honor on her dressing table – and take it off before you kiss her!

Lift it momentarily as accompaniment to courtesies when hello, goodbye, how do you do, thank you, excuse me or you’re welcome are expressed or understood. The gesture is to grasp the front crown of a soft hat or the brim of a stiff one, thus to lift the hat slightly off and forward, and simultaneously to nod or bow your head as you say (or smile) your say.

Whenever you perform a service for a strange woman, or ask one—when, for example, you pick up something she has dropped on the sidewalk, or ask her (indirectly) to get her bundles the hell off that vacant bus-seat—you tip your hat to acknowledge her thanks or to give yours. Whenever you greet in passing or fall into step with a woman you know (your wife included), you tip your hat. In fact, the tip of the hat is a must for all brief exchanges with women, known or unknown.

A man rates your hat-lift, too, when he has performed some service for the woman you’re with—when he’s given his bus seat to your wife, for instance (in which case you should give him a card to your psychiatrist, as well). And also when he has been greeted by your woman companion, you tip your hat whether or not you know him. If she stops and if she introduces you, your hat comes off—but this is because you are standing and talking with a woman.

- From Esquire Etiquette: A Guide to Business, Sports, and Social Conduct, 1954

Boys and Girls liked to Play

When I was young, we all played together.  Boys and girls played together. Boys would tend to want to play “army” or “sports”.  Girls would tend to want to play “Barbie” or “house”. I really don’t think it was due to the way that we were raised. It was our interests at the time.

Anyways, we grew up normally. At that time, it was considered normal for boys to like girls, and girls to be interested in boys.  But, apparently today, that view is not shared.  Today there is a “zero tolerance” for anything deemed sexual harassment in young children. Which means that boys just cannot tell a girl he likes her. Today it is deemed “sexual harassment”. Sigh.

Please, why can’t you just let children be children?

We Played

If a boy wants to play with a toy gun, well then let him. If he wants to play with a Barbie doll instead, well then let him do that instead. If a girl wants to play football, I say go for it! If she wants to play house and dress up, good for her! Just let children be children. One of the things that has surprised me is the ever growing list of prohibitions that American children cannot do. Let’s see…

  • Can’t play with toy guns.
  • Can’t play with fireworks.
  • Can’t play knives.
  • Can’t play with slingshots.
  • Can’t play with tree-houses.
  • Can’t play with fire.
  • Can’t ride their bike alone through town.
  • Can’t stay out late after dark.
  • Can’t walk by themselves to school.
  • Can’t be in a playground without supervision.
  • Can’t go into a store without a parent.

This lack of play has had an absolutely devastating effect on the young millennials just now in college. Since they have never been on their own, and used their own self-guided imagination, they are retarded in certain significant cognitive abilities. It is truly worrisome.

Boys and girls would both play in the 1970s. Girls would play with dolls.
Girls of the 1970s would play dolls with their friends. Girls liked to play with their barbie dolls, and hang out with their girl friends. (Image Source.)

My Kid Sister

Consider my kid sister.

I have a niece who is a girly-girl. She loves clothes. One Easter Sunday my mother bought her this really nice Easter dress. My sister, totally hated it, and did not want the girls (I have numerous nieces) to have anything to do with it. However, my nieces, being strong willed, went out and got the dresses out from the closet anyways. The oldest niece, well she was a “Tomboy”, and refused to wear the dress that was bought for her. However, the girly-girl niece grabbed her dress and ran through the house with it.

As she ran, her socks went off. Her shoes went off. Her pants came off, then her top. She shinnied on the dress and went running outside in the yard in bare feet. The dress flying in bright white, and pink with ribbons floating. She ran, jumped, and leaped. She was the happiest girl in the entire world at that moment. She was totally absorbed in living that moment.

You just had to see it. The sky was blue and clear. The air was cool but sunny. The grass was a fresh lush green and the girl with her rosy happy smile on her fantastic sunny face was a picture of the Sun itself. Ah, such a very wonderful time, and a wonderful day…

As I said. Let the children play.

Elementary School

Things were different then compared to today.

I first went to parochial school, and then later, attended public school. In parochial school we were taught how to write in cursive, memorized poetry, studied basic Latin, learned how to perform mathematics using only a paper and pencil, and studied our collective history.  Indeed, when I attended school we learned history, and we were expected to understand it well enough to write a paper on it.  In fact, one of the seemingly yearly events all through middle school into my high school years was writing a paper on history. Sadly, that is no longer the case. Ah, history can tell us so much, and can be a real joy to read about if taught properly.

History has continued to be one of my favorite interests. I personally think that many people don’t know anything about history because it is really not being taught properly.

Coffee

My childhood is a tale of coffee. Coffee was the cheapest thing that you could buy in America. As such, everyone had a coffee pot, and we all drank it 24-7. We would cook it in percolators, and the smell of coffee and the sound of percolating coffee was the way most American woke up to in the mornings. There was even a television commercial that had a jingle that sounded like a percolating coffee pot.

As a child, I didn’t really drink coffee. It wasn’t until I was around 12 or so when I started to share a cup with my parents. That was two full years before I started to work.  It started to “put hair on my chest”.

The coffee was so cheap. In the movie, “The Treasure of the Sierra Madre” the main character is down on his luck in Mexico. To underline just how poor he was, he asks a passing stranger “Hey can you spare a dime for a cup of coffee”. That’s pretty down. Coffee was offered freely throughout the USA and Mexico. I’ll bet that the significance on that statement was pretty much lost on the reader when you watched the movie, eh?

via GIPHY

I well remember the time when the coffee suppliers began to jack up their prices. It was insane. I was working as a stock clerk in a grocery store at the time, and the prices just getting higher and higher. It kept going up…up… and up. First, it was 20%. Then another 20%. Then another 50%. Then 200%. Then 1000%. There was no stopping it.

The customers were angry. Then frustrated. Then crying. Nevertheless, still they bought the coffee. By then, the entire United States was addicted to it. The coffee plantations in Columbia, and Venezuela, and Mexico saw profits, and just took advantage of it. Wow!  With all the billions and billions of earnings that the companies (and owners) raked in, you would think that the nations would now be rich paradises. You would think…

I wonder why not…

Maybe it’s because they are all progressive socialist democracies, and only the rich get the money. Yup. We all know how that all works out. Look at all the wealthy and successful people in Cuba. Look at all the successful and wealthy people in Kenya. Look at all the wealthy and successful people in North Korea. Yuppur! Those progressive socialist paradises really know how to do things, now don’t they?

Potato chips were very popular as a child growing up in the 1970s.
Not every potato chip came in a bag. In Pennsylvania they came in a tin can. The potato chips we ate while we were in 1970s middle school. (Image Source.)

Grandparents

Every weekend we would visit our grandparents. There, we would often sit on the metal porch glider and have bottled soda and cold-cut sandwiches. Both of my grandparents would buy a case of soda in large glass bottles, and I would spend my entire visit drinking it. It would normally be placed in the cellar. That was a cool spot in the house, and it kept the soda cool, but not cold. As is typical for the Pittsburgh area, the basement had a commode located smack dab in the middle of the basement. It’s a Pittsburgh thing that I could never quite figure out. (Same with the idea of putting chairs in front of the house to reserve a parking space.)

A commode in the basement of Pittsburgh.
Many Pittsburgh homes have a commode in the basement. This seems to be native to Pittsburgh. While the story goes that the commodes were used by the workers to clean up and wash, when they came home from the steel mills, I do not buy into that. The reason is that a shower head is more important than a commode for cleaning up. The truth is that in Pittsburgh, typically the men had their own bathrooms. The women folk had their own bathrooms that they shared with the children. Thus, the basement was the domain of the men-folk. That is the real reason for the commodes in the basements of Pittsburgh.

Adults could drink their fill of beer. We always had beer in various old refrigerators, or boxes full of ice. When I started to work, at 14, my father figured that I was going to work like a man, then I could be treated as one as well. From that moment on, I was able to drink beer at all the family gatherings. Which was pretty cool. I was able to get tipsy, and then go to my room to sleep it off without making a scene.

My childhood was all about learning how to be a MAN.

The television was often on with a sports program or two in the background. They, of course, had a large picture of the “Last Supper” on the kitchen wall overlooking the table there. In fact, just about all of my friends had a similar picture. Today, I rarely see it, and absolutely NO television shows have this symbol of Americana displayed. We also had a painting of the “black Madonna” on the wall near the fireplace, and a statue of the Mother Mary in prayer inside a half buried cast iron bathtub in the back yard.

We ate well, and my mother insisted that we have fresh milk every day.

Fresh milk was delivered to our porch daily. It sat inside a small-galvanized metal box cooler specifically designed for that purpose.  It was delivered early in the morning and one of the routines was for my mother to fetch the milk and put it in the refrigerator promptly. The bill (for the milk) was left in an envelope inside the metal cooler box, and my parents would put money in the envelope inside the box to pay for the milk. It was a system that worked well then. I wonder how it would work today.

During the 1970s and 1960s milk was hand delivered to the houses by the local milkman.
In the 1970s, milk would be delivered to our house in a metal box that sat outside on our kitchen porch. Milk Box (Image Source)

Crime

We lived in a very safe neighborhood. I grew up in a small town. The town was big enough to have an elementary and a high school. Though, it was too small to have a middle school. It was a great place to grow up in.

Oh, we heard about the crime in the urban areas of Pittsburgh and Philadelphia, but that was a world that was way beyond our experience. We didn’t lock our house doors.  No one did. In fact, the front door lock was often stubborn from disuse. That went for the cars as well. We left the car keys in the ignition. Everyone knew everyone else. All the mothers knew each other.

Dinners in the 1960s.
In the 1960 and 1970s, most people had a traditional family. In a traditional family, the husband works, and gives all of his earnings to the wife. The wife in turn, budgets the money, provides fresh and healthy meals, makes sure that the house is clean, and that everyone is happy. She is in charge of the education of the children, and supervises it and runs off to the school if anything does not pass her muster.

All of the men knew each other. Maybe they did not work together, but they were all members of the various social clubs like the Rotary, the Elks, and the Moose. (As well as the Polish Falcons.) There would be a meeting or two at the lodge each month and my father would attend. Because everyone knew everyone else, no one was trying to take from each other.

For us kids growing up, the entire town was like one big playground. It was most certainly like a scene out of Mayberry RFD. If you want to know what it was really like then read “The Mad Scientist’s Club”.  It was exactly like that.

We would say “Hi” to our neighbors and play with their kids.  “Hi, Mr. Baley.”, or “Hi, Miss Cambell.”. We all played baseball in the neighborhood ballpark, and rode our bikes all over the town. If someone bought a new appliance, then we would make “forts” out of the cardboard boxes it came in, and play with that.

Boyhood Essentials

I always carried a pocket knife with me, and used it to cut small branches and to chew on twigs from a birch tree (it tastes like root beer). It was a blue Cub Scout knife with three blades. I carried it everywhere. My father bought it for me when I was six years old. Ah, it was a male rite of passage.

One of the things that has surprised me is that NONE of the male interns that have worked for me (from the United States) ever owned their own pocketknife. Most have heard about it, and knew what it was, but none had ever owned one. It really stuns me. My male interns from France, England, and Germany all have owned pocketknives. I just cannot get over the fact how retarded that American boys have become. It is almost like they have turned into girls.

Anyways…

Bicycles

I was very shy with girls, and not so great at sports.  However, I was a fantastic swimmer, an average golfer, and an active tennis player. I was a member of the cub scouts, and rode a gold Schwinn “banana seat” bike with “high bars” and a “drag strip” (non-tread) rear tire. Every one of my friends owned a bicycle. My sister had one with a white plastic basket in the front. My bike had these long streamers of plastic that plugged into the handles. I eventually tore those things off. But I would put a card (from a deck of cards) and attach it to the bicycle with a wooden clothes pin. That way my bicycle would make some “cool” sounds when I rode fast. It had a huge red circular red reflector on the back, right under the white “banana seat”. Like the GTO I would later drive when I was in High School, the bicycle was an orange color.

During the 1970s and 1960s all children rode bicycles. I had a banana seat bike that I rode.
We would all ride bicycles when we grew up. Which is different than kids today. Instead, today their parents drive them from event to event, instead of expecting them to get there on their own. A 1970s childhood. (Image Source)

My bike was a personal selection. When my father took me to a store to pick it out, I chose a really simple and rugged model. There were no front or rear brakes on the handlebars. To brake, you would just use the pedals. There also weren’t any gears. There was one gear only. It came with a rear view mirror, that soon broke off, and that was about it. My friends all had more complicated bicycles, and over the years, they were perpetually repairing their bikes and trying to fix them. For me, I never had that problem.

Chores

Every Spring I would help my father take down the “storm doors” and put up the “summer doors”. These doors had mosquito mesh instead of glass. It allowed fresh air to get inside the house, but kept the bugs out. To swap the doors was an easy chore. All you needed was a large screwdriver. Once I proved my mastery of that task, my father made sure that I did it every spring and fall. (Whoops! Roped in to another chore again!)

Life as a child in the 1960s.
In a traditionally run family, everyone had roles that they had to take on. For the boys, like myself, this meant chores. I was almost entirely responsible for all the outside chores, such as care and feeding of the animals, tending of the lawn and garden, and trash, and snow removal duties. My sisters were responsible for domestic matters, and learned how to cook and care from the clothing and the house from my mother.
The two family types and how they work.

Ice Cream

We ate “soft serve” ice cream from the local Dairy Queen stand, or had banana malt milk shakes.  My father would always take us out for a ride on Sundays after dinner. (Sunday dinner was the most important dinner of the week, and the most elaborate.) We all would hop into the car and ride over to the local Dairy Queen stand. There I would get a large vanilla (soft serve) ice-cream cone. Everyone got one. Even our dog Belle who was a husky. She would get hers’ in a little plastic dish.

Everyone had BBQ's in the 1960s and 1970s. We took the time to spend time with our friends and families.
During the 1960s and 1970s we attended BBQ cookouts exactly like this. We would eat pork and beans, or bacon wrapped hot dogs. Corn and watermelon would be served as well. I attended family barbeques exactly like this.(Image Source.)

We ate plump, real ground beef hamburgers and bacon-wrapped hotdogs.  We would eat a fine can of pork and beans, and let’s not forget the buttered corn on the cob, potato salad, and the macaroni salad as sides. Us kids would have an iced cooler full of all the soda we could drink and the parents drank all the beer they could muster. (Typically, Iron City, Bud, PBR, and Michelob.)

News

I would watch the news reluctantly. For me it was pretty boring.

However, I did follow the news about space. You couldn’t miss it. Everyone was talking about space, and the moon. That is all you heard aboout as a child of the 1960’s. The television shows also helped to maintain this theme.

As the news that played on the radio concerned our exploration of space and the Vietnam War.  Of course I didn’t know what was going on. It was a takeover of the United States government by dark forces embedded deep inside the United States government. When JFK was shot, my father insisted that I watch the television. He kept telling me that this was the most important thing to happen to the United States since the Civil War. He was a lifelong Democrat and he had real concerns that there was more to the story than what the government was saying. Later, after he died and President Trump released the transcripts, it turned out that my father was right after all.

The “Deep State” murdered our President.

“This fucker, johnson should be dug up and pissed on, and torn apart. Every modern ill can be traced to him.” 

-sowhat1929

On Sunday we watched Mutual of Omaha’s “Wild Kingdom”, and “The FBI” (Starring Efrem Zimbalist Jr) after the Walt Disney hour. If I wasn’t watching television, I was building plastic scale models, or experimenting on my Gilbert chemistry (and electrical) sets.

The A. C. Gilbert Company was an American toy company, once one of the largest toy companies in the world. It is best known for introducing the Erector Set to the marketplace. A chemistry set is an educational toy allowing the user (typically a teenager) to perform simple chemistry experiments.

During the Bill Clinton presidency (D) all sales of chemistry, electronics, and mechanical kits were put under investigation as possible routes for “home grown” terroristic activities, and were subsequently suppressed, if not outright banned. Over the Bush years (R), they resurfaced and eked out a small living.  However, by 2017 most hobby kit suppliers went out of business. Ramsey electronics, Heithkit electronics RIP.

Little Treasures

I, like my contemporaries, had my little treasures. Some of my friends collected baseball cards. Others, collected Indian arrowheads, and still others collected comic books. I had one friend with quite an impressive collection of comic books, and Doc Savage paperback books. I ended up buying his entire collection for $10 when he moved out of state.

I owned (but rarely wore) a “mood ring” that I found in an old “mason jar” filled with old “Indian head” pennies, marbles, and campaign pins (I picked it up at a yard sale for twenty five cents.).  I also wore a catholic ring of Saint Christopher that I picked up at a church sale on “Polish Hill” in Pittsburgh.

I was pretty stylish. I wore “Beatles style” hair with bangs that were always covering my forehead and falling in front of my eyes. My parents absolutely hated it.

Bottle Collecting

My favorite thing to do when I was around eight or nine would be to go “bottle collecting”. Here I would go into the local “woods” to dig for “old bottles” (in long disused trash dumps, often 100 years old) that I would then clean and collect. We had a couple of “dumps” that we frequented. One of the best, with the most impressive bottles, was near the river next to an old railroad spur. It was the home of many a “whittle marked” bottle, old time bitters, and about a hundred thousand Lydia Pinkham bottles. (I guess that the local woman folk must have had a lot of “womanly” problems.)

Our parents let us kids go out and play.

“I used to puzzle over a particular statistic that routinely comes up in articles about time use: even though women work vastly more hours now than they did in the 1970s, mothers—and fathers—of all income levels spend much more time with their children than they used to. This seemed impossible to me until recently, when I began to think about my own life. 

My mother didn’t work all that much when I was younger, but she didn’t spend vast amounts of time with me, either. 

She didn’t arrange my playdates or drive me to swimming lessons or introduce me to cool music she liked. On weekdays after school she just expected me to show up for dinner; on weekends I barely saw her at all. 

I, on the other hand, might easily spend every waking Saturday hour with one if not all three of my children, taking one to a soccer game, the second to a theater program, the third to a friend’s house, or just hanging out with them at home. When my daughter was about 10, my husband suddenly realized that in her whole life, she had probably not spent more than 10 minutes unsupervised by an adult. Not 10 minutes in 10 years.”

-The Overprotected Kid

Ah. My bedroom was a collection of old colorful bottles, scale models of tanks on shelves (and planes hanging from strings from the ceiling), as well as a quite a large collection of paperback books and comics. I had stacks and stacks of magazines. Magazines included “Lost Treasure magazine”, “Men’s Adventure”, “The Good Old Days”, “Mechanics Illustrated”,  “Popular Science”, “Popular Mechanics”, “Mad Magazine” and “Analog”. In fact, the upstairs bathroom had a closet, and the bottom two shelves were devoted to all sorts of magazines and comic books.

Money and Costs

Things were cheaper then.

In fact, most things could be paid for using coins.  If you ate at a restaurant, you would rarely need to use any bills.  Just a handful of coins (from a coin purse) was all you would need. Indeed, my father carried a coin purse and a money clip.  Wallets didn’t really become popular until the 1970’s. (When inflation had jacked up food prices to obscene levels.)

I would fill up the air in my bicycle tires with air from the local gas station.  (For free. Paying for air didn’t become vogue until the 1980’s.) It was a white building with two (gas) pumps outside, and an open garage bay where the owner would typically be fixing the cars of the local townspeople. Inside were dusty pin-up photos of sexy girls taken from magazines (like playboy, the “open spread” foldout format was well suited to wall-poster applications.) and industry calendars which always had a picture of a topless chick (or nearly topless) holding a wrench or hammer.

Advertising to men.
In a male dominated workplace, the most effective means of advertising tools is to utilize imagery that appeals to men. During the Bill Clinton (D) administration, there was a move to make everyone “equal”. In so doing, all efforts to appeal to a anything other than female or neutral gender was discouraged. Know your history.

I drank from a lawn hose in the summer when I was thirsty. It tasted like warm plastic.

If I was off away on a farm, or near a dirt road we would stop at a well and get a drink of spring water. At sometime in the 1960s all wells in Pennsylvania had to be covered up (so that no one would fall into them). Instead the placed these large iron hand-pumps (often painted red of green) that you could pump the water up and drink. The water was free to whomever needed it. Which is so unlike today where even common tap water is bottled by Walmart for a profit.

I was typical, and not a “bad boy” at all. When my friends started to smoke cigarettes, I refused. When I started to work, and was offered beer by the older boys, I drank and soon discovered that I was a “light weight” and numerous embarrassing events ensued. My friends chewed tobacco and often had a can of “chew” in the back pocket of their jeans (often creating a round circle of wear). I didn’t do this.  For the most part, my serious engagement of vices occurred much later… after my retirement.

Television

Television was rather primitive.

While we did have a color television, we still needed to walk across the room to change the channel. Imagine that! Remote controls were not available until the mid-1970’s. On top of it were “rabbit ears” until we were able to subscribe to cable in the late 1970’s. My grandmother had her “rabbit ears” with aluminum foil wrapped around it. She said that it improved her reception. Maybe it did. I don’t know, her reception really sucked, so it must have been really, really terrible.

My favorite after-school show was “The Flintstones”. All of my classmates watched it. There were many shows that I watched when I was growing up. It went from the black and white “Diver Dan” series, to the Fireball XL-5, Supercar, and included such staples as Gilligans Island, and the Man from U.N.C.L.E..

Toy Guns

I had full toy replica M-14 with “action sound” back in the day. We would go around the neighborhood playing war with the other kids with their (own) toy guns. Let’s see, I had a toy M1, a tommy gun, a grease gun, a Beretta that shot projectiles with a suction cup at the end, and a large collection of cap guns and water pistols. Not one parent had an issue.  Not one snowflake triggered.  Not one police call.  Even the girls loved it.

“I remember when toy trucks (Tonka) was made of metal. When automobiles were made of steel. When a carton of cigarettes cost $5, when there where phone booths, a gallon of gas was 45 cents, a postage stamp was 5 cents, a bottle of Coca-Cola was a dime, a nickel-bag of weed was $5, the Sun was yellow. 

I remember a time when you could find starfish and beautiful shells on the beaches of the Atlantic ocean. I remember when our skies where blue, not hazy white. I remember when slot machines paid out silver dollars. I remember a time when children could play safely outside.

I remember when kids could sell lemonade without being arrested. I remember when you could crack your child's ass in public for being a brat and not being arrested. A lot has indeed changed.”

-Hugh Mann Oct 21, 2017 1:34 PM

Lemonade Stands

Talking about selling lemonade, it was a method that introduced business techniques to children. The schools didn’t have any courses on how to start and run your own business. The boy scouts taught self-initiative and independence. If you wanted to know how to start your own business, and the basics on how it worked, your parents would teach you by allowing you to sell lemonade. It was a method by which a child could learn the basics of business management, and production.

Of course, during the Obama administration, this was forbidden.  Moreover, a war on young children, their lemonade stands, and the parents who would teach children about work began. The result was a decimation of the understanding of the basics of industry to an entire generation of children. Read about some of the thousands of instances here;

Today, americans can be arrested for the slightest cause. America is no longer free. Policemen and cops will arrest anyone, even children. In the 1960s and 1970s this would never happen.
Here is an American police officer frisking a child on the television show “Cops” showing how important it is for Americans to obey the law. American cop frisks child for breaking the law. (Image source.) You would never see this in the 1960s and 1970s.

Meals

We ate formal meals.

That is to say, that we ate in the “dining room” with a fully laid-out table with tablecloth (and undercloth), china dishes and silverware. My father sat at the “head” of the table, and my mother sat at the other end.  Us kids, sat in the middle. Household meals always had a meat or a fish with sides of mashed potatoes, a salad, cooked vegetables and bread. Meats would include pot roasts, pork chops, Salisbury steaks, roast chicken, and ham. We ate fish on Fridays. We only ate pizza or hamburgers when we ate outside or at a restaurant. (We rarely ever ate pizza, or “junk food” at home.  We ate “real” “sit down” formal meals.) With an intact family-centered life, we ate far better than Americans do today.

Link

Late Night Horror Movies

We acted like kids, and participated in the activities normal for that time. Most of our time was divided between school and play.  Of that, we enjoyed playing the most.  With our days filled with outdoor activities (such as hiking and bike riding) followed by evening television viewing. Whether it was “the Rat Patrol”, or “Chilly Billy Car dilly” on “Channel 11” showing low-grade “B” horror flicks, we watched them all.

In fact, I must say that I was a big “Ultraman” fan “in the day”.  But, overall, I was  Vincent Price fan.

Vincent Price made many movies during the 1960s and 1970s, and his Dr. Phibes series were amoung his best.
During the 1970s one of my favorite Vincent Price movies was the Dr. Phibes series. Here he is with one of his pretty assistants. Valli Kemp with Dr. Phibes. (Image Source.)

I really liked all of the Vincent Price movies.  These were often B-grade flicks made in the 1970’s which you would watch on a cold and snowy winter weekend afternoon. In fact, I would say that my all-time favorite movies are the Doctor Phibes series.  I don’t know why that is.  Maybe it’s because of the mechanical gadgets.  Maybe it’s because of the tales of creative revenge.  Or, maybe it’s because I always had a crush on his beautiful assistant(s).  LOL!

Dr. Phibes movies were very popular Vincent Price movies during the 1970's.
There were two Dr. Phibes movies. Each one used a different assistant. I was in love with both. Yikes! Image credit to Metro Goldwyn Mayer for their promotional photo. Virginia North with Dr. Phibes. (Image Source.) We all loved these movies int he 1960s and 1970s.

I was a good kid, though a bit “nerdy” compared to my classmates.

Nerd

I had other interests, which tended to be on the nerdy side. For instance…

In the 1970s, board games were very popular before the advent of computer games.
During the 1970s I used to play Avalon Hill’s board games such as Panzer Blitz and Squad Leader. Here are the counters from the Advanced Squad Leader game set. Advanced Squad Leader Counters (assorted). (Image Source.)

I played Panzer Blitz and Squad Leader board games.  (But only with the handful of friends who actually knew how to play the complex games and enjoyed strategy.) Board games were popular, and it took the entire computer industry to demolish the stranglehold that strategy games held. Games would last hours, even an entire day.

“I was just over at ebay scanning the wargames (because of threads in this forum such as the demise of boardgames) and seeing AH Panzer Leader there brought back fond memories. I am sure I had one box at one time, have to find it. I remember in high school, my friends and I had three Panzer Leader and two Panzer Blitz games plus some made up boardmaps, put them all together for a massive tactical wargame that lasted throughout the summer. Our german opponent, stuck in the middle in a replay of 1945, was able to keep the Sov and US units from meeting. Amazing.”

-AnimalAl

I also was very interested in the “computer revolution” that was just getting started. I had taken some basic programming classes, and excelled in them. However, my father thought that there would not be any kind of future in computers. So he STRONGLY recommended that I take something practical. He suggested that I go to university to study something that had potential. Engineering most certainly, but not anything related to computers. He felt that it was a passing fad that would soon go away.

We had a nice long “sit down” chat about my future, and he believed that I would be best served if I went to a military academy to reach my dream of being a spaceman. I believed in it. While it might sound crazy today, it was a reality during the 1960s. and 1970s. I tended to agree with him, and with that in mind I took High School classes that would be beneficial for me to attend the Air Force academy.

Telephones

There were no cell phones; indeed most phones hung on the wall, and fully 50% of them had dials instead of push buttons.  Our home had two phones. One was an old Bakelite black phone from the 1920’s hidden away in the basement. I loved the feeling of it. There was a weight to it that you just couldn’t get during the 1970’s. We also had a “main” phone in the kitchen. It had an extra-long cord. My sister was always “hogging it up”. So one year they bought her a phone for her room. She still spent most of her time on the phone, it’s just that she wasn’t talking in the kitchen all day.

Sunday mornings as a kid in the 1960s and 1970s was very much a time of newspapers, coffee and hot fresh buttered rolls.
Sunday mornings were very much the same during the 1960s and 1970s. This included the children in PJ’s, the coffee, and the pets. Sunday mornings were stereotypical.(Image Source.)

In the house we wore “house clothes” also known as PJ’s, with a robe. Mother would make sure that there was always a pot of coffee brewing, and us kids would always fight over who would get to read the comics section of the paper first.  Of course, our dogs and cats merrily participated in the morning ritual. Picture above is not the ideal, it was the actual.

Pleasures

Chores

From the time I was five years old I needed to pull my weight at the house. I had chores.

I would use a push lawn mower on the weekends to mow our grass (with no breaks until I was finished), and rake the leaves in the fall (with a break drinking apple cider).  No respite during the seasons, as I even had to shovel the snow in winter (with a break drinking egg nog on Christmas Day). (Such was the life of a typical boy in the 1970’s.) Us boys all had chores that we had to finish before we could go out and “play”. When we became old enough, typically 16 years old, we went and got our first job working for someone else.  It was what you did if you were a male boy. (Eh. I started at 14, as my father insisted that work would make me into a man.) So, I went to school until it ended, and then off to work from 4 to 9 every evening. Most of my life consisted of 12 to 14 hour shifts at work.

So, of course, I am going to take offense at the idea that I had “white male privilege”. And, I really get more than just a little hot under the collar when some female SWJ tries to make that point. There was no “white male privilege” in scrubbing out the filthy toilets in a coal mine, getting covered with dirty grease while you climb up a dragline, or being dressed down just because you are young and don’t know anything yet.

I was a typical boy. While many of my friends got to play football and other sports, I worked. I was bred to be a great work horse. That was the experience of boys of my generation. The experience of girls was quite different.

Girls were treated differently. My sisters all got weekly allowances.  This enabled them to go out with their other friends and buy the latest fashions. They were all members of the various cheerleader organizations, and participated in all the local events sponsored by the school.

Poetry

In my early school years (grades 1 through 3), I attended private parochial (Catholic) schools.  They offered and provided a superior education compared to the public schools that I attended afterwards.  I learned the Latin language as well as my English grammar. In fact, one of my most significant “loves” was introduced to me in first grade.

Here we were told (forced) to memorize poetry. (Oh, and boy did I hate it at the time. I would cry and cry. My father would record my complaints and play them back to me. Oh, I hated it. I HATED it.) Now, today, I really appreciate that memorization. I memorized Robert Frost, and Taylor Coleridge.

These are poems that I have NEVER forgotten.

The Road Not Taken - Poem by Robert Frost

Two roads diverged in a yellow wood,
And sorry I could not travel both
And be one traveler, long I stood
And looked down one as far as I could
To where it bent in the undergrowth;


Then took the other, as just as fair,
And having perhaps the better claim
Because it was grassy and wanted wear,
Though as for that the passing there
Had worn them really about the same,


And both that morning equally lay
In leaves no step had trodden black.
Oh, I kept the first for another day!
Yet knowing how way leads on to way
I doubted if I should ever come back.


I shall be telling this with a sigh
Somewhere ages and ages hence:
Two roads diverged in a wood, and I,
I took the one less traveled by,
And that has made all the difference.

There is a certain timelessness about this poem. I always loved the sound of it, but it wasn’t until I was much older did I appreciate the meaning. You know, when you are in elementary school, you haven’t lived long enough to experience decisions and consequences. However, when you are older, that is something else altogether. Today, the poem speaks to me like no book or movie can. And that is what poetry is all about.

The poem speaks to me personally. I can well guess that it might speak to you (the reader) as well. We have chosen paths that other people didn’t. They took us to interesting places. They have altered our lives in ways… special and significant ways.

Here is another timeless poem by Robert Frost;

Stopping by Woods on a Snowy Evening by Robert Frost


Whose woods these are I think I know
His house is in the village, though;
He will not see me stopping here
To watch his woods fill up with snow.

My little horse must think it queer
To stop without a farmhouse near
Between the woods and frozen lake
The darkest evening of the year.

He gives his harness bells a shake
To ask if there is some mistake.
The only other sound's the sweep
Of easy wind and downy flake.

The woods are lovely, dark and deep,
But I have promises to keep,
And miles to go before I sleep,
And miles to go before I sleep.

Poems are wonderful. Now that I am older I really appreciate all the effort that the nuns made to force me to learn these poems.

Girls never seemed to care that I could recite poems. So it really wasn’t an issue about getting chicks. The girls of High School seemed only to care about the football players, and hot cars. The poems made a difference in my life when I got older. Then, the complexities of live began to take its toll, and it was poetry that became my refuge when the world spiraled out of control.

Whenever I am stressed at work, and there is some just outlandish and power crazed manager spouting nonsense (remember I worked in a corporate environment during the 1980’s and 1990’s), I would stand off to the side and recite a poem or two. It calmed me down. Because, no matter what role my boss would have, and no matter if he controlled my income, I could recite poetry, and he simply could not.

That fact always put a smile on my face and comforted me.

It also ended up being a great way to “break the ice” in China. I would offer a toast. Then, I would recite a poem. The Chinese, especially the English speaking ones, are always absolutely amazed. As are the beautiful Chinese ladies. Chinese poetry is different, but just as beautiful.

Kubla Khan - Poem by Samuel Taylor Coleridge

In Xanadu did Kubla Khan
A stately pleasure-dome decree :
Where Alph, the sacred river, ran
Through caverns measureless to man
Down to a sunless sea.


So twice five miles of fertile ground
With walls and towers were girdled round :
And there were gardens bright with sinuous rills,
Where blossomed many an incense-bearing tree ;
And here were forests ancient as the hills,
Enfolding sunny spots of greenery.


But oh ! that deep romantic chasm which slanted
Down the green hill athwart a cedarn cover !
A savage place ! as holy and enchanted
As e'er beneath a waning moon was haunted
By woman wailing for her demon-lover !


And from this chasm, with ceaseless turmoil seething
As if this earth in fast thick pants were breathing,
A mighty fountain momently was forced :
Amid whose swift half-intermitted burst
Huge fragments vaulted like rebounding hail,
Or chaffy grain beneath the thresher's flail :
And 'mid these dancing rocks at once and ever
It flung up momently the sacred river.


Five miles meandering with a mazy motion
Through wood and dale the sacred river ran,
Then reached the caverns measureless to man,
And sank in tumult to a lifeless ocean :
And 'mid this tumult Kubla heard from far
Ancestral voices prophesying war !


The shadow of the dome of pleasure
Floated midway on the waves ;
Where was heard the mingled measure
From the fountain and the caves.
It was a miracle of rare device,
A sunny pleasure-dome with caves of ice !


A damsel with a dulcimer
In a vision once I saw :
It was an Abyssinian maid,
And on her dulcimer she played,
Singing of Mount Abora.
Could I revive within me
Her symphony and song,
To such a deep delight 'twould win me,
That with music loud and long,
I would build that dome in air,
That sunny dome ! those caves of ice !


And all who heard should see them there,
And all should cry, Beware ! Beware !
His flashing eyes, his floating hair !
Weave a circle round him thrice,
And close your eyes with holy dread,


For he on honey-dew hath fed,
And drunk the milk of Paradise.

Not one American intern, boys or girls, could recite a poem. Any poem. What in the heck do they teach at schools today? Many times, but not all, they do not even know a poem or could name one. What a sad, sad, state of affairs. It is almost like a part of their life is missing…

How far American Education has Degraded

Just for fun, let’s see if you (the reader) can take a simple 8th grade level test from 1912. Now this is from 1912. This is the kind of test that our grandparents, or in some cases, our great-grandparents took. My parents were constantly harping on how the educational system was dumbing down. Moreover, that was in 1960! One can only imagine what they would think of schools today.

Now, I have passed this test on to my (senior-year university) interns, and they constantly fail it. They justify their failure. Which is something that I teach them NOT to do, and thus the reason for having the interns take the test. Their excuses range from “the computer spell checks for me”, “I don’t need to know trivia”, to “that’s why Wikipedia exists.” Sigh.

Let’s see how you, the reader, can do…

The test begins with a spelling exam. The teacher would recite each of the following words. They would recite it three times. The student taking the test would then need to spell the words correctly. There are forty words in total.

Exam for eight grade students in 1912. This was the kind and type of exam that I used to take in the 1970s and 1960s.
Exam for eight grade students in 1912, but even I could pass it in the 1970s.
Math and Reading questions from the 1912 eighth grade test. I could have passed this test when I was in eighth grade int he 1970s.
Here is the reading and math sections of the 1912 eighth grade exam. Heck, even I could pass this in the 1970s.

Math and Reading questions from the 1912 eighth grade test.

This is a section of a test or exam for eight grade students in 1912. It is very similar to the kinds of tests that I took in the 1970s.
Grammar portion of the 1912 test for eight grade students. While I could pass it in the 1970s, it is highly unlikely that anyone could pass it today.
Here are some geography questions asked of students in eighth grade in 1912. We were also expected to answer similiar questions during the 1970s. It is unlikely that anyone would be able to answer these questions today.
Geography questions expected of eighth grade students in 1912. This was quite similiar to the kinds of tests that we were expected to pass in the 1970s.
This is a section of Physiology questions given to eighth grade students in 1912. This is similiar to testst that I took in the 1970s.
Physiology test section of a 1912 test given to eighth grade students. I was able to pass similiar tests in the 1970s.
Students today routinely fail test questions that we considered normal just a few decades ago. It is very similiar to the kinds of tests that I took during the 1960s and 1970s.The dumbing down of the American population has been unabated.
Civil Government test questions given to eighth graders in 1912. It is very similiar to the kinds of tests that I took during the 1960s and 1970s.
Questions on Civil Governance given in 1912 to eighth grade students. It is very similar to the kinds of tests that I took during the 1960s and 1970s.
Civil Government questions given to eighth graders in 1912. Note the questions given to 11 year olds. Today, numerous liberal and progressive sections of the United States wish to make voting possible for 16 year old’s, yet not one could be able to answer any of these questions. Heck, not even our Senators could answer these questions. Can you imagine Senator Maxine Walters (D) answering them? Hah! In fact, I wonder if she slept through class or skipped school. Indeed, this exam is very similar to the kinds of tests that I took during the 1960s and 1970s.
Students in America used to study and know history. It is very similar to the kinds of tests that I took during the 1960s and 1970s. Today, not one college educated person can answer the questions in this test given to eighth graders in 1912.
History section of the 1912 exam for eighth grade students. It is very similar to the kinds of tests that I took during the 1960s and 1970s.
This test was given to eighth grade students in 1912 to test their knowledge. It is very similar to the kinds of tests that I took during the 1960s and 1970s. I am sorry to say that it is unlikely that any American college graduate would be able to answer these questions.
Conclusion of the 1912 exam given to eighth grade students. It is very similar to the kinds of tests that I took during the 1960s and 1970s.

You can find the answers to this test on this page HERE. Now, compare that with eighth grade students today.

Penmanship

We wrote in script, and printing out answers was discouraged (and frowned upon). A measure of one’s ability to communicate was penmanship. (Indeed, there is a scientific correlation between writing in script, poetry and improved thinking processes.  This was something, I believe, that gave me advantage over my public-school educated peers.)

We all wrote in script. We were taught script and penmanship early on in elementary school in the 1960s and 1970s.
In the 1960s elementary school we were taught to write in script. This continued through into the 1970s. All of our written tests were timed, and thus the ability to write in script clearly and quickly gave us advantage over those who could not. We wrote in script. (Image Source.)

When the New Year was upon us, we would go out and buy a “Farmer’s Almanac”. It was filled with all sorts of interesting things.  However, I believe that my mother would use it as a guide as to when we should till the earth, and plant our garden. It is still being printed. Thank God!

We wore bell-bottoms and nylon shirts with big-puffy sleeves, and wide collars.  I  also wore a tight collar around my neck made out of white beads. It was called a “choke collar”.

Hamper Migration

I was pretty much a typical boy, and got dirty a lot. When the clothes were dirty, we threw them into fashionable “hampers”, not the large super cheap polypropylene baskets that are sold in Wal-Mart today. In fact, we have seem many things go the same way as the “hamper migration” of the last few decades.

As the federal reserve decimated the United States dollar, Americans began to cope in numerous ways. One such way was to purchase cheaper and inexpensive furnishings. This started in the 1960s and 1970s and continued into the new century.
American hamper migration from high quality, long life articles to cheap and disposable furnishings. American Hamper Migration. Migration began in the 1960s and 1970s and persisted into the new century.

In regards to the function and design of the hampers.  I suggest that the reader pay attention that there was a migration in overall quality, utility, and appearance over time. Indeed, this also translated into longevity as well.  The older products were better made, lasted longer, and were designed for function AND appearance.  Somehow, and we all know why, American products became obsessed with cost savings at the expense of everything else.  Why? It was NEVER this way before.

The answer is simple.

It all is because of the passage of the Income tax and the Federal Reserve. Before the Federal Reserve was established, Americans ate quality food, bought quality clothes, furniture and housing. After the Federal Reserve was established there was a sudden drop in the value of the US dollar. This affected everything. Most notably the purchase power of American citizens.

With non-Americans controlling the American money supply, they could use it as they deemed fit.  They ran the value of the US dollar into the ground. As a consequence, both parents needed to work.  Families became dysfunctional.  People could only afford the cheapest food.  Butter became expensive, and so people bought margarine. As a result, people got fatter.  Greed ruined the core of what made America great.

Inflation ensued.

As the US dollar lost its worth, people could no longer afford what they once could.  Thus, stores that provided the cheapest products and solutions to home management dominated the industry.  (Such as Wal-Mart, and the Dollar Store.) The devastation of the value of the dollar can be traced back to one and only one sole cause. That is one of the many consequences of the imposition of the income tax and establishment of the Federal Reserve…

Ah, but I digress (yet again.)

Partylines

The interns that I employ act as if everyone has a smartphone and it is a requirement to own one. Heck, it wasn’t until the 1990’s that companies started requiring their employees to have a phone. When I was growing up in rural Pennsylvania, many people shared a phone line. This was known as a “party line”. When you picked up the phone, if someone was using it, you would have to wait until they got off before you could use the phone. Seriously, that is the way it was.

Things are so different now.

The problem, as I see it, is that Americans only know what they know. Since many have never experienced furniture made out of real hardwoods, and real solid metals, they don’t think anything of it. They think that just because Walmart is popular today, that it has always been popular. They think that because they need to buy water at a supermarket today they you always needed to buy water at a supermarket. And, finally, they think that just because coffee at Starbucks is expensive that it always was expensive.

No it wasn’t. In fact, until coffee was monetized, coffee was THE cheapest thing that you could buy in America.

This trend towards higher prices and cheaper products is not a random occurrence. It is systematic and has been going on for a long time now. It’s just that you don’t really see it unless you have lived for a while. Then you can see the differences. You can see things changing and then you can compare your experiences with the changes and come up with conclusions.

I blame the Federal Reserve.

The Federal Reserve and the Decline of the USD

As the value of the dollar decreased, both parents needed to work to support the family. Children no longer had parental guidance, and problems came about as a result. The dollar’s value continued to plummet. So people could only afford the cheapest products. Still, that was not enough. The dollar still continued to plummet. Soon, people had to purchase things in credit to just get their basic needs met.

But don’t believe me. Look at the graph yourself. It is obvious whoever is running the American monetary supply is doing a FUCKING PISS POOR JOB at it. This is an obvious fact. It means that the entire system must be scrapped and replaced with one that maintains it’s value over time. If our elected officials were actually doing their job, they would have noticed a problem at the very start of this fiasco.

Decimation of the USD over time since the creation of the Federal Reserve. The 1960s and the 1970s showed the most evident collapse.
The decline of the USD since the Federal Reserve was established. Today the value of a dollar (2018) is less than one cent compared to what it used to be.

The Value of the US Dollar since the establishment of the Federal Reserve. The performance of the first ten years should have told everyone what a huge fucking mistake that they made. The truth is for the last 90 years, the value of the USD has had an unstoppable downward vector.

Door to Door

It was a time when door to door salesmen would sell young couples a huge multi-volume encyclopedia that would take months to pay off. (One can come across the huge collections in yard sales and estate sales.  Maybe on eBay.  Perhaps one of the greatest influences of my childhood was an illustrated encyclopedia for children that I would spend hours perusing.) My father saw what an interest the illustrated encyclopedia had on me that he considered it to be a great idea to get a full “adult” set. This was a great set, however it wasn’t for elementary children to read. As such, I really didn’t touch it or have any interest in it until I hit my teens.

Today is so different.

Back then we could play in parks.  We could climb trees there.  We could play games on the “monkey bars”, and slide down the slide.  We could ride on the “see-saw”, and splash in the pond. That was what their purpose was.  It was for fun. Yet, today it is something else entirely. Today “playgrounds” are no longer about play, they are about being safe. They should be called “safegrounds”, or even better “safe spaces”.

No freedom for Americans. Liberty is not for you. Celebrate the fourth of July without freedom. In the 1960s and 1970s we could celebrate freedom.
This is how Americans have come to celebrate freedom and liberty on the one day that represents freedom and liberty. It is so sad. America today.  Enjoy your holiday! (Image Source.) All of the things banned today were permitted in the 1960s and 1970s.

Porch Lights

It was a time of innocence. I wore a tee-shirt that had a big yellow smily icon, and the words “have a Nice Day” under it. My sister had a baton that she would practice twilling all day. (She also had a “Hula Hoop”. I could never get the hang of that thing. I guess that I just didn’t have the hips for it.

In the rural sections of the nation, porch lights were used to show “openness” to visitors. If you were lonely or just wanted to meet up with someone and talk, it would be pretty hard to do in the country. There just wasn’t any restaurants open, or places to gather around others. The roads were desolate and empty of cars at night. You could walk down them in total silence. It could be a little depressing.

So what people would do, if they wanted to be with someone else, is to turn on their porch light.

The porch light being on signified on of two things. Either [1] you were waiting for someone to arrive, or [2] you were open for visitors.  It was a way to keep everyone in a closed-knit community together with face-to-face communication rather than relying on telephones. Of course, the first thing you would do, when a person knocked on your door, was to lead them into the kitchen and put a fresh pot of coffee on. It was the neighborly thing to do.

Independence in the 1960s and 1970s meant that you had the ability to ride your own bicycle all over town. You had the freedom of time and play.
In the 1960s and the 1970s we rode our bicycles all over the town regardless of the rain or snow. Our parents did not cart us from event to event. Instead we were on our own. We all rode banana-seat bicycles even when it showed out. (Image Source.)

In a small community, everyone knew each other. It was a great way to meet up, make friends, and renew friendships and just chat. Other ways to do so included church, the various fraternities and clubs, and of course, the Scouts.

Cub Scouts

I was a cub scout up until I entered my teenage years.  Every week we would attend meetings in the homes of one of the scout mothers (called “Den Mothers”), and they would help us work on our “badges”, and get ready for the various events.  These events included picnics, hikes, plays and social get togethers.  We would proudly wear our uniform during parades, or on holidays like the Fourth of July, Memorial Day, or Labor Day.  We would salute the flag in school and lead the Pledge of Allegiance at school in the mornings. (Big change from today, when you have multi-millionaire NFL stars refusing to stand for the US Flag. I find it completely reprehensible and disgusting. But, then I am from the “old school”.)

One of the first things that I got when I joined the Cub Scouts was a blue uniform.  I well remember my mother teaching me how to put on my yellow scarf.  In addition, I got to have my very own hand axe.  It was a Rite of Passage for me.  Here at seven years old, I could carry a hand axe.  I was taught how to use it to cut trees, and how to throw it (just in case I might come across some desperate Indians…).

Boys all had hand axes when they were growing up. It was a part of being a boy in the 1960s and 1970s..
My first axe was given to me when I was a cub scout. I used it throughtout the 1960s and 1970s. I learned how to throw it, and how to use it. It was a rite of passage of all young boys. A boy’s first axe. (Image Source.)

While I went to elementary school in the 1960’s, it was my experiences during the 1970’s, which influenced my personality. Indeed, it is my feelings and experiences that reflect that period in time.

High School

Through most of my high school years, I wore “bell bottom” pants, and wide-collared polyester shirts. Our biggest source of entertainment was our television. We listened to the radio, and for me I would read or build plastic models in my bedroom while listening to FM radio on my “mult-band” radio receiver. At that time,  listened to WYDD, which was the “alternative radio” of the day. I also had a “Lava lamp” that was given to my father by a drunk friend who stole it out of a bar and didn’t know what to do with it.

We drank Orange Crush soda, along with Tab, Sprite and 7up. Our parents would drive to the “State Store” or “Beer Distributor” to buy the booze for the week.  In Pennsylvania, the government had a monopoly on the distribution of alcohol.  I guess that they reasoned that it would better” protect” the people of the commonwealth, or maybe they justified it by promising to fix the roads (snort!). Still promising (from what I gather from friends and family).  Yep.  One day the “potholes” all over the Pennsylvania roads will get fixed.  Yessur.

Until the democrats and progressive liberals took over state legislatures, boys and girls (of the 1960s and 1970s) were free to drink and smoke (with parential permission).
In the 1960s and 1970s, boys and girls were free to drink and smoke as they were growing up. This all changed when the Democrats took control of various state legislatures and enforced vice laws. Until Democrats took over the state legislatures,, children were able to drink and smoke with parenteral permission. (Image Source.)

Ashtrays and Chairs

Cars had cigarette lighters and ashtrays.  In fact, even airplanes had ashtrays built into the armrests of the seats.  (This all began to disappear during the Bill Clinton Presidency.) My grandparents both had standalone ashtrays that were their own piece of furniture.  They consisted of a large glass ashtray on a metal pedestal that sat next to the “Man’s chair” in the living room. In my family, as well as the families of all my friends, the father always had “his” chair that he sat in. While us kids might manage to use it, we would always get off of it and defer to our father once we walked into the room.

By the way, if you are dating a girl who says that she does not see the need for a man to have his own chair, run like the wind. I once dated a girl like this. Man, did she have father issues. She eventually dyed her hair a bright sickly pink-orange, shaved the left side of her head, put a nose ring that belonged in an ox’s nose, and went full-on militant feminazi.

Everyone in a household should have their own “space”.

It might be a bathroom that is “hers”. It might be a chair that is “his”. It might a dog that might have his own “special” toy. When you meet someone who believes that everything is equal, and that there are no differences, and no privacy, then you know that the person is mentally ill. Everyone needs and deserves some privacy. Everyone. If you are with someone who does not understand this most basic human needs, then you must avoid them. Avoid them.

The Partridge Family was a television show that was very popular int he 1960s and 1970s.
How can one talk about the 1960s and 1970s without mentioning the televisions show The Partridge Family? Everyone wanted to be a part of the Partridge Family.(Image Source.) Hey! Doesn’t the mother look a little like a younger version of Hillary Clinton? Maybe that’s part of her appeal? I will tell the reader that I did have quite a crush on Susan Dey. My Lord!

Work and Play

My sisters were cheerleaders in school.  All my friends played High School football.  I didn’t. I had to work. My parents were pretty unique in that regard. Most of my classmates got to have fun playing football, basketball, or baseball.  However, my father strongly felt that I needed to be a man, and that meant that instead of playing after school, I should learn how to work and to provide for a family.  Well, in a way he was right. But, in a way he was wrong too.

“The older I get, the more I realize how fortunate I was to grow up in the 70's (graduated HS in '78). It was just one simple, easy time. The stress of the 60's and all the racial/revolutionary crap that came with it was over. The greed of the 80's hadn't hit yet.

There wasn't crap on TV, and no computers or video games, so we spent our time just hanging out with friends, listening to 8-tracks and drinking beer (was actually legal to drink and drive in Texas in the 70's). If we were underage and were caught by the cops with beer, they just made us pour it out and go home.

Like an earlier post mentioned, "Dazed and Confused" really does capture those times well. I look at kids growing up today, with a federal government that's a a joke, police forces that nobody wants to trust, trillions of dollars wasted in "wars" we had no business fighting, college costs through the roof, and... well.. damn.. look at me.. I guess i turned into an old fart after all.”

-Reddit quote

Anyways, to my father, sports were just a game. You couldn’t really make any money off of it. Though, a decade later, my classmate Jim Kelly sure as heck was raking in some real money being a football quarterback. Ah, but that’s a story for another time…

Sports were more about social interaction than play. And, work, well… my history strongly indicates a disconnect from the traditional working models in favor of a debt-slave relationship to a powerful person or group.  But… more about that later…

Square Dancing and Weight Lifting

My favorite time during high school was during “study hall”. There, if we had finished our homework, we could participate in other activities. There wasn’t much at our school, but my two favorite activities were weight lifting (at the high school “Universal Gym”), and “square dancing”. There, believe it or not, the girls would come over and ask and invite me to join them dancing. It was great because there were only a precious few boys who would go dancing with the girls. LOL.

The Idols

I had a poster of Farah Faucett on my wall. She was smiling with this amazing smile, and her huge hair. We all had a crush on her. That, Loni Anderson and Rachael Welch as well.

Farah Faucett was an attractive actress that was very popular in the 1970s.
Farah Faucett was every 1970s boy’s dream. Just about everyone had a poster of her on our wall or doors in our bedrooms. Farah Faucett was every boys’ dream. (Image Source.)

I had numerous posters on my wall. One was the mandatory “black light” poster on velvet. (It glowed under UV light.) One was a picture of Richie Blackmore (Deep Purple) performing a guitar solo. (I had super imposed a F-14 on it for combined imagery. After all, space and high-performance aircraft and rock n’ roll was my dream.) One was a Roger Dean poster (anyone remember the group “Yes”?).

Raquel Welch was a very popular 1960s and 1970s actress that made a big difference in the ideas of beauty and society during the 1960's and 1970's.
Raquel Welch was another popular actress that graced the bedrooms of many a boy during the 1960s and 1970s. (Image Source.)

Overall, I had a great childhood.  I grew up in the 1960s and attended high school in the 1970s. It was a great time, and not at all what is portrayed in conventional American media today  (as a time of “racism and bigotry”). It was a time of family values, productivity, and freedom. Black, white, yellow and red.  We were all Americans.

All of us lived, more or less, the same lifestyle. (Don’t believe me? Go to your grandmother’s house and go through her family albums of photographs.)  Our fathers worked.  Our mothers stayed home and tended to the house, the budget, and us kids.

What? Do I feel a bitching sesson coming on…

Bell bottom jeans were very popular during the 1970s.
During high school, bell bottom jeans were very popular in the 1970s. Elephant Bell-bottom style jeans. (Image Source.)

We were all Suffering through the Incompetence of Washington, D.C.

That was at a point in time before the Federal Reserve still hadn’t completely decimated the US Dollar. It was still worth around twenty cents. As the dollar kept on losing value, both parents needed to go to work. This fact, forced the breakup of the American family. The family had to break up, as the mother had to work as well as the father.

“I am a most unhappy man. I have unwittingly ruined my country.

A great industrial nation is controlled by its system of credit. Our system of credit is concentrated. The growth of the nation, therefore, and all our activities are in the hands of a few men.

We have come to be one of the worst ruled, one of the most completely controlled and dominated Governments in the civilized world no longer a Government by free opinion, no longer a Government by conviction and the vote of the majority, but a Government by the opinion and duress of a small group of dominant men."

- Woodrow Wilson. Quoted in “National Economy and the Banking System," Senate Documents Co. 3, No. 23, 76th Congress, 1st session, 1939. The origional quote was published in "The New Freedom" in 1913.

There is a lot of debate on this particular quote. You can look at watch the sparks fly as the debate a rages on. Oh, my goodness! It is all so silly! One side says “here is the quote”, and the other side goes “Oh, No no no. He never said it! It’s all revisionist history. The Income Tax was wonderful!” It really is silly.

Here is my take.

The value of the USD (United States Dollar) was pretty stable. It had it’s ups and downs, but for the most part it was pretty consistent. It was stable. Then, after the passage of the 16th Amendment, the value of the USD dropped like a stone. It plummeted to 50% of its value within a ten-year span of time. It dropped 50% in a decade. That is horrifying!

Only a fucking idiot wouldn’t regret the decision to establish the Federal Reserve.

You have a fairly stable dollar. Some “friends” and “associates” convince you to change the system that is working just fine, and replace it with a different system. So, low and behold, you put a new banking system in place. Then suddenly, right before your eyes, the value of the dollar collapses. It goes completely to shit. Every year it gets worse and worse!

So…

[1] So, ok, maybe the former President didn’t say that quote. If so, then he was a fucking idiot. He was evil and selfish and couldn’t read a simple chart. That is the only conclusion that you can come to, if this quote did not belong to him. Because that is, what the statists are arguing. They are saying that the President was just fine and dandy and happy with what happened with the imposition of the Income tax and the Federal Reserve. He saw the result of the change, he saw the value of the dollar collapse, and agreed that it was all good and well.

[2] If the quote is indeed accurate, then he is a normal person who is able to read charts, and ended up with regrets. This is what a normal and sane person would be. They would see that what they put in place went to complete shit. This would be what a normal person would do. Personally, I can live both concepts. And you, the reader, should as well.

Anyways, with the collapse of the USD, now everything became more and more expensive. Both parents now had to go to work.

The Breakup of the Family

Both parents now had to go to work. As such, there were periods of no parental supervision after school.  That is how American society began to fracture. The parents were absent and replaced by the reality as portrayed by television, and narrated by the people in power.

As such, we LOST many of the important things that really mattered to families. We lost such things as “jobs for everyone”, the ability to save, and formal family meals.

At the end of the day we had formal “sit-down” meals where we would all gather around a multi-dish meal and discuss the events of the day. We kids would talk about the events in school, and our parents would talk about their day. My father would sit at the head of the table. Then, once the meal was complete, we would retire with some coffee and ice cream, and us kids would clean the table and do the dishes. Dinners were great. It was one of the things that I miss most from my childhood.

At that time, in both the 1960s and 1970s,  it was important to participate in your family. It was important to participate in your school.  It was important to participate in local events, and to become a meaningful participant in society.  My, how quaint and outdated that seems today.

"Elephant-leg, hip-hugger pants, halters and platform shoes were the biggest fads.”

-- Lori West, graduated in 1976 from West Forsyth High School in Winston Salem, NC

Fashions come and go. But I always had a fondness for tube-tops, bell bottoms, and those two zipper front jeans that the girls used to wear. The tube-tops showed off the soft curves , and the “painted on” jeans showed off why guys like to look at girls. For a while, platform shoes were very popular, and I ended up having a pair that made me feel like Richie Blackmore on the stage.

Guns

All my classmates owned guns, and many hunted. My father was a very liberal Democrat, and he forbade me from learning how to shoot. (Of course, today he would be considered a Right-Wing Conservative.) The attempts at disarming the American people dates way back, but it wasn’t until the very successful efforts in the 1990’s did Americans start to FEEL the repression of the Federal Government.

The second amendment gives Americans the ability to purchase just about any kind of firearm.
Back in the 1960s and into the 1970s, Americans used to be able to buy any kind of gun or rifle. The limits on weapons didn’t really start to take hold until the Democrats took control of the State Legislatures.  Americans used to be able to buy all kinds of weapons. (Image Source.)

The second amendment was considered important. Mass shootings using firearms DID NOT occur until government started campaigns to take away guns.  There are those who think that this is not really a coincidence. I, for one, KNOW that there is no such thing as coincidence.  “Coincidences” are simply pre-positioned “signs” by others who have constructed elements of our fated existence. But then again, that is just MAJestic speaking.

Anyways… Know your history. Americans are being dumbed down to become cattle. (And you do DO know what happens to cattle, don’t you?)

In the 1960s and 1970s gun safety was taught in school.
In the 1960s and 1970s, gun safety was an important part of growing up to become an adult. In the High Schools we all learned gun safety. My first class on gun safety was in elementary school in the 1960s. Then, just about every year afterwards we would have courses on safety and hunting safety. The first classes on how to use a gun occurred in Middle School.

Ah, television then was geared towards “most” Americans.  (When I refer to “most” Americans, I am actually referring to the MAJORITY of people.  It was not focused on capturing a minority.) That is to say that this was prior to the reorientation of television programing in the 1970’s. The reorientation changed what was presented on television, and marketed directly to the black urban communities. Before that, television shows were about straight white males and reflected the world at that time. (As America was, and still is, a Caucasian majority nation.)

Television Shows

Shows about black people were limited to “Stanford and Son”, and “The Jefferson’s”.

“The "rural purge" of American television networks (in particular CBS) was a series of cancellations in the early 1970s of still-popular rural-themed shows with demographically skewed audiences, the majority of which occurred at the end of the 1970–71 television season. In addition to rural themed shows, the purge also eliminated several high rating variety shows that had been on CBS since their beginning of television broadcasting. One of the earliest efforts at channel drift, CBS in particular saw a dramatic change in direction with the shift, moving away from shows with rural themes and toward ones with supposedly more appeal to urban audiences.”

-Wikipedia

The shows we watched were funnier than what you see on television today.  And, maybe, just maybe a little more innocent. “The Bob Newhart Show” was typical. The humor involved day to day situations and NEVER mentioned race (compare that to today), and had a real twisted surrealistic sense of humor. Consider “Mary Hartman. Mary Hartman”, or “Green Acres”. You can find out more here.

Hi my name is larry and this is my brother daryl and my other brother daryl.
Iconic characters from the Bob Newhart show that was popular in the 1970s and 1980s. Hi! I am Larry, and this is my brother Darryl and my other brother Darryl. (Image Source.)

Ah, you’ve got to hear about the three yokel brothers in the (very surrealistic) 80’s “The Bob Newhart show”. I loved these guys. They might have been the highlight of the show. Heck, they could have had their own show (hint. Hint.)

“…discovering that a witch is buried in the basement of their Vermont inn. They want to find out who she was, but they also want her 300-year-old grave dug up and removed. 

The silly-from-next-door tells him he knows some guys who`ll do anything for a buck.

Next thing, three goofy-looking, backwoods brothers from the genetically weak side of Vermont show up. “Oh, Lord!” says Bob, getting a whiff. Larry--the only brother who ever talks--hands Bob their card.

“We`ll do anything for a buck,” it says.”

- Larry, Darryl And Darryl Are `Newhart` Hits

Larry was the spokesman. Darryl and Darryl never spoke except in the classic final episode. No matter how many times Larry met you, he always started off by saying “Hello. I’m Larry. This is my brother Darryl and this is my other brother Darryl.

They were quite good hearted, and obviously lived a strange, strange life. Afterall, clubbed weasel was their idea of good eatin’. Larry’s totally deadpan delivery of some very bizarre lines was always a highlight of any Newhart episode. “We went to the bakery ’cause they were advertising ‘bear claws’, but it turned out to just be a come-on.

Ah. Good times. Good times.

Movies and television portrayed westerns (with “white men” taming the wilderness), war adventures (mostly involving world war II fighting the evil Nazi army), space exploration (such as Lost in Space, Star Trek, Fireball XL-5, Thunderbirds are Go and Land of the Giants), and Spy Adventures (against the Soviet Union or against fictional organizations such as T.H.R.U.S.H.).

Ah the 1970s

Kitchens had olive colored (baked porcelain steel sheet) appliances (at least in my family), not the brushed silver (aluminum) that is so fashionable today. Men wore polyester and nylon shirts with wide striped ties; carried briefcases not backpacks, drank soda instead of bottled water, and listened to the Air Supply and Firefall on the AM radio.  We wanted Peter Frampton to “show us the way” because we (most certainly) “felt like he did”.

Today, bottled water is everywhere.  You can go into a local 7-11 or similar store like circle-K and get a water.  It is cheap. However it is STILL more expensive than the water that I had when I was growing up. Water was free, and we drank from water fountains. Today you can easily buy a bottled water it is often less than a dollar. That wasn’t the case when I was growing up.

Water was free.

Quickie Marts and other fast stores…

In fact, we didn’t even have convenience stores.  When they first started to appear, everyone was making fun of them.  Why anyone would want to pay so much money for the snacks and sodas that they offered there, we asked. We soon found out that they would offer low prices for gasoline, and we could get our pictures developed by filling out special packages that were right there on the counter. It was most certainly a different life and a different time.

The local hardware store actually possessed a “cigar store Indian” statue. Which was pretty darn cool. I wonder where the Indian from “Cambells Hardware Store” is today. High schools taught firearms handling and safety. You could purchase these huge plump-tire motorcycle tricycles and everyone was driving them about (Until a Democrat had them banned.). We saved “Green Stamps”.  Schools taught FORTRAN. Calculators were just becoming available and our sliderules were starting to gather dust in our desk drawers. High school bands carried (fake) guns (painted white) when they marched.

Slide rules replaced hand held calculators in the late 1970s.
The slide rule was a device that was used before hand held calculators became available. It was used extensively in the 1960s and 1970s. The first calculators started to be available when I was in tenth grade. Slide rule. (Image Source)

Drugs in the late 1970s

Drugs hit mainstream America in the middle to late 1960s and was all the rage in the 1970s. Ecstasy (MDMA) and other so-called “designer drugs” did not make their appearance until the 1980’s.  During the 1970s the most popular drugs were weed (marijuana), LSD (blotter, and microdot), mescaline (or dried mushrooms), hash (processed marijuana), speed (tiny “white cross” pills) and Valium. (Cocaine did not hit the American culture until the 1980s.) All of this drug use (abuse) affected our culture.  All one would need do is view the television shows at that time to appreciate this fact.

Why is marijuana against the law?  It grows natural upon this planet.  Doesn't the idea of making nature against the law seem to you a bit...unnatural?  

- Bill Hicks

Now there are all kinds of theories as to WHY a common enough weed was made illegal in the United States. I have my own theories. Here are my opinions.

Ah. What began in the 1920s and 1930s as a technique to imprison non-Americans and lower-society tier African-Americans (as most “typical” Americans did not enjoy these substances at that time) fully blew up into a nightmare. Moreover, thus began the downward slide of our culture, way of life, and everything that we believed in.

You take away the idea that the police are “on your side”, you will forever become an outlaw. Which was, if you think about it, the theme of the 1960’s and 1970s.

When I was growing up marijuana was highly illegal. It seemed crazy to me then. It was a “harmless” drug, surrounded by more dangerous, but legal drugs.  I, like the rest of my generation, chalked it up to a stodgy previous generation. At that time, we all smoked it.

High School in 1977 was just like as portrayed in the movie Dazed and Confused.
The movie “Dazed and Confused” very accurately portrayed what High School was like in 1976 and 1977. The vast majority of my 1970s generation used drugs. (Image Source.)

I would say that a full 80% of my High School class smoked the stuff.  Some were habitual.  Others were one-time users.  Indeed, the television show “That seventies show” routinely depicted the lifestyle of our generation.  There, they are shown sitting around a table and smoking marijuana. It was in every episode. However, for PC reasons, it was never shown where the smoke came from. I guess that there are some things that you cannot show on television…

This depiction is quite clear in the movie “Dazed and confused” as well.  Both video presentations accurately depicted what it was like growing up for my generation.

It took 40 years, but it seems that that ban on one of the most common plants in North America is beginning to crack.  I am not going to say whether or not the decision to do so is actually good or bad.  What people do in California or Colorado is none of my concern, as I live on the other side of the world.

What I will say is that people deserve FREEDOM.  That includes the freedom to stupefy yourself with drugs. My take is the decision to ban marijuana was a control method, put in place in the 1920s to make it easy to arrest and incarcerate blacks and Mexicans when other laws were not available.  Truth this.

Many people used drugs in the 1970s.
1970s childhood icon Pippy Longstockings smoking weed.  (Image Source)

The “War on Drugs” was in full swing in the 1960’s and the 1970’s, but you couldn’t tell it by participating in youth culture. A sizable percentage of teenagers participated in the culture. The older generations were oblivious to that fact.  In their minds, it was only a small minority of people who were smoking marijuana. They lived within their own bubble of reality. Much like many people do today about other things.

  • Our Grandparents believed that only Negros and (illegal) Mexican “wet-backs” (What “illegal aliens” were called before they became an important part of the Democrat strategy to win elections.) smoked the deadly demon “weed”. They believed that eventually the users would end up in the “crazy house”; locked up for life as the deadly poison worked its way through their brains. First in the mouth, and then in the brain. Before you knew it you became a crazed sex fiend always doing whatever it took to get your “fix” from the local “pusher”.
  • Our parents believed that only the rebels and the dregs of society smoked the illegal cigarettes. They felt that it was a given that the users would find themselves behind bars in jail. As this was characteristic of the behaviors of the misfits of society.

Well, what they failed to realize is that [1] you do NOT ban anything in a “free” society, and [2] times and people change.

What was just fine and dandy for the policing of Arizona in the 1920’s fell flat on its face during the 1960’s and 1970’s.  What only made things worse was that very powerful people, including those in government started to use the “drug issue” for everything. They capitalized on it, and used it as a resource.

“So some people want to smoke some pot once in a while in the land of the free.”

-knukles Karl Marxist Jan 5, 2018 5:21 PM 

Then, as now, older generations have problems understanding the youth that is slowing taking over their society. They just did not understand. (And, I must add, I can see why. Now that I am older, I too am having trouble with the youth of today. In short I find many terribly ignorant of history, devoid of basic work skills, interested in the most trivial of things, and basically very shallow.) Not everyone mind you. Just many of whom that I have come in contact with.

“The war on drugs to me is a war on liberty I concentrate on the issue of freedom of choice when doing things that are high risk. We permit high risk all the time. Generally we allow people to eat what they want. We do overly concentrate on what people put in their bodies,”

-Ron Paul

Indeed, how can we actually say the USA is “free” if we are told what we can and can’t do with our very own bodies?

Being told what you can and cannot do is NOT freedom. I don’t care what the excuse is.

This was a fundamental disconnect that our parent’s generation, and (most especially) our grandparent’s generation (Those idiots that thought up the 16th amendment.) had with those people who founded America.

The belief structures of both our parents and our grandparents were not the same as those of Thomas Jefferson, George Washington, and James Madison.  They were something else entirely.  They, instead, possess a more “modern” and “progressive” point of view.  One where “the smartest men” in the nations had the power to tell YOU how to live your life.

"People should have the right or responsibility of dealing with what is dangerous. Once you get into this thing about government is going to protect us against ourselves, there's no protection of liberty."

-Ron Paul

You tell them, Ron.

Black People were a Minority

When I show these images of my life to young millennials today, I usually get a harsh response. They claim that it is nonsense, and that I am being racist for not having images of non-white people. Yeah. Really. WTF?

"Most foreigners are amazed there are not more blacks in the U.S. They assume there are black and brown people everywhere from watching our TV and commercials and that they are systematically kept down."

-Zero Hedge

At which I must remind everyone, that up until the 1980s, black African-Americans were a small MINORITY.  That means, that they represented a very, very small segment of the population. When I grew up, the first time I saw a African-American was when I attended college. I did not meet a SA (Spanish-American) until after I left the US Navy and was in California. My first class with an Asian-American was in college.

There were no black people in my High School. This was in the 1970s, now it seems like they are everywhere. Thicker than flies in a barn.
In my 1970s High School we had no non-whites. We had one part Eskimo, and (of course) I was something like 1/10th Iroquois Indian. The vast majority of students were of white European lineage. My High School was all white, and there might have been less than 20 colored kids in the entire county. (Image Source)

While they weren’t common anywhere near where we all lived, we certainly were familiar with them. When I was growing up, I did see people from other races on television. In fact, one of my favorite shows was “Soul Train”, and I would really enjoy watching the American Negros dance and jive. They sure had “the moves”.  I would try to get up and dance as well (as long as no one else was watching).  It must have looked so silly.  This gangly ten-year-old boy trying his moves to soul and disco music!

I have to admit the hair looked cool too.

Everyone was wearing “afros” which looked like a big ball on the top of their heads. Man, people had style back then. Some of the best dressed people were negro and they handled themselves with a way and manner that is rarely seen today.

In fact, one of my heroes of the Rat Pack; Sammy Davis Jr. was an absolutely AMAZING man. Let it be known that he would never allow his pants to fall down and show his butt-crack like some of the ethnic youth do today. He was cool, panache, and had real style.

When everyone else wants to be like Justin Bieber, be a Johnny Cash.
Men of real class; “The Rat Pack”. Men who made no excuses for their behaviors. The Rat Pack (Image Source.) These were “the men” of the 1960s and 1970s.

When Disco started to become popular all of my friends hated it. But I actually loved it. I would try to do some of the fantastic dance moves that I learned from Soul Train, but I don’t think I was good enough. In any event, the girls liked the fact that I was brave enough to shake some body, and that was a good thing.

via GIPHY

Oh, and about all this nonsense about race…

I lived in the rural hills outside of Pittsburgh. We never, and I do mean NEVER, talked about “niggers”, and race.  We just did not. The closest I ever came to it was being called a “Pollack”. (A lot.) The “issue” about race is (today) a politically motivated narrative. And, as such, it was constructed over the last eight years or so with defined objectives. It’s a pile of manure that we are all expected to believe.

Frankly, I am pretty tired about hearing about it all. It’s NEVER been part of my life. To me, it just sounds like a bunch of wining babies complaining. Wahhh! Wahhhh!  It really does. It’s irritating.

Here I am in China. I am always and forever an outsider. I am ALWAYS called by racist names (weiguren or laowei) and I don’t complain and use it as excuses, and you shouldn’t either. It’s below us. It’s stuff that little children do when they don’t want to eat their spinach.

“Our rulers don’t seem to understand just how tired their white subjects are with this experiment. They don’t understand that white people aren’t out to get black people; they are just exhausted with them. They are exhausted by the social pathologies, the violence, the endless complaints, the blind racial solidarity, the bottomless pit of grievances, the excuses, the reflexive animosity. The elites explain everything with “racism,” and refuse to believe that white frustration could soon reach the boiling point.”

-FR comment

Listen up. Real men do not complain about their hardships. They keep quiet about it, and they fucking TAKE IT. If there is one thing that is attractive to women it is that men are strong and quiet. Remember the Johnny Fontane scene from the movie ‘The Godfather” when the singer was begging for the part in the movie and crying about it. Do you remember what the Godfather had to say about it?

via GIPHY

Crying and whimpering about stuff that happened to others long before you are born, and using that as an excuse is…

…pathetic.

Just because the urban areas are NOW dominated by non-whites does not mean that it was ALWAYS that way. What you see today is a result of the decimation of the African-American household structure  in the 1960s and the population explosion that resulted. Read.  Learn.  Understand. For goodness sake, read your history books.

And that’s all that I need to say about that.

Cruising in our “Rides”

We loved our cars.

Cars and girls were a big part of growing up in the 1970s.
Cars were a big part of life when you were a teenager in the 1970s. For us, our cars were everything. (Image Source.)

My buddies cruised around in (decked out) “shag carpeted interior” Camaro’s, old Ford and Chevy pickup trucks (Usually with a cooler full of beer in the back and empty beer cans rolling about on the deck.), and a (periodically) roofless International Harvester Scout. We drove around in my decked out GTO known affectionately as “the goat” that we might race on “the flats”.

Cars were an important part of our lives growing up in the 1970s.
My first car was a 1970s Pontiac GTO. It was passed down from my parents to me. I fixed it up and customized it for parties with my friends. Of course, it had a “kick ass” stereo and shag carpeting. My first car. Pontiac GTO. (Image Source.)

If the reader wants to know what it was like going to High School in that beast, watch the opening credits to the movie “Dazed and Confused”. Same. You’ll see my old car cruising into the High School parking lot. Otherwise listen to Kid Rock’s “First Kiss”.

Yeah, this was me…

Growing up in High School in the 1970s was a time of custom cars, drugs, booze, working and fun.
Cruising in the “ride”, listening to music from Peter Frampton, Boston, Led Zeppelin, and Robin Trower. Smoking, drinking, and meeting up with friends. I owned a GTO that I would cruse in. Ah, life in the 1970s. (Image Source.)

My brother drove a Vega (the aluminum engine block nightmare) named the “solar boat” from a song of the same name by Ray Manzarek. He had the old engine removed and replaced it with a “sooped up” 360. I had friends who drove a Pinto (a plain but long lasting vehicle).  And when my GTO died of a car crash (an icy Pennsylvania bridge in March), I replaced it with a AMC Pacer (it was like riding around in a big epic glass greenhouse).  <smile>

It was a step sideways. Financially, I could only afford what I could buy with the insurance money. So, for a while I rode a Yamaha 250cc motorcycle (also orange!) and then got the pacer. (I needed money for college. It was a matter of priorities.)

The vega was a unique car that was semi-popular in the late 1970s.
My brother’s ride; “The Solar Boat”. He bought it off of my sister’s husband. He put a new engine in it, and customized it. My brother’s ride; a Vega with a retrofitted small block 360 engine. (Image Source.) He drove this car after I graduated in the 1970s.

Automobiles were a big part of our life back then.  In fact, unless you had your own car, it would be pretty difficult to get a date.  (It could happen, but it was much harder.)

We would typically work and use the money to buy a car and “fix it up”. Then, once the car was able to be driven, we would go “cruising”.  At that time, We would travel the back roads and highways of Western Pennsylvania and the mountains of West Virginia.

via GIPHY

Often we would do so with the music “cranked up” loud.

Perhaps the premium “cruising” music of the day was “Boston” (“More than a feeling”), Pink Floyd (“Another Brick in the Wall”, “Money“, and “Time”), Led Zeppelin (“Stairway to Heaven”) and Peter Frampton (“Do you feel like we do?”). The trunk was a mobile ice cooler. We would fill it with bags of ice, and put two or three cases of beer there. We drank anything that we could get our hands on. Most of my friends drank Miller (in eight-pack pony bottles), Budweiser, and Iron City Beer.

Cars had a large trunk that we could fill with ice and beer. Ah, the 1970s were a time of freedom and liberty.
We used to fill the trunk of the car with ice and beer. Then we would go out drinking, smoking, partying with our friends. (Image source.) Oh, this scene was so typical during the 1970s. Today you could get arrested for it, and spend time in prison.

At the time I was in my Senior Year in High School, vans were just getting really popular. Here, we would fully deck out the interiors into these mobile party machines. They would have shag carpeting inside, red mood lighting, comfortable seats, a kick-ass stereo and a big cooler of beer. Dodge and Chevy vans were the most popular.

While movies might give the impression that, the youth of my generation went to discos all the time, and acted like John Travolta, that was not really the case.  (That was the case for many urban youth, but it was not at all representative of the whole.) We pretty much worked part time jobs to support our on-going obsession with our cars.  Each paycheck was devoted to a new “cherry bomb” muffler, or a custom carburetor, or some nice rims for our cars.  Then, all fixed up, we would cruse the roads.  We lived the life of the movie “Dazed and Confused”, as that was a very accurate portrayal of my generation.

This love of cars was not limited to white kids in the country. Everyone loved their cars.  In the cities, such as Syracuse and Pittsburgh, urban blacks would spend all their hard earnings to buy the best and biggest Lincoln or Cadillac available.  Then they would deck them out (or “pimp” them out) into the most elaborate super-cool riding coaches. They sure had style back then. Those were the days for certain.

Not to mention REAL music.

It was a time of funk. Let me tell you all, modern music just doesn’t have that kind of free wheeling happiness, and muscle moving music as the funk of the 1970s did. Indeed, it was a really sad day when people started to talk about the death of funk. Though there are those who somehow think that modern music is just an advanced style of funk. I happen to disagree.

And that is my opinion on this matter.

Guys and Gals

Role models for men included John Wayne, Clint Eastwood, Charlton Heston, Burt Reynolds and Sean Connery. Men who were MEN! Men were manly; they worked, fought when necessary, and provided for their families. (Yeah, we would ride around in these “sooped up beasts” and talk about our heroes on television. If we weren’t cruising around listening to “tunes”, we were in the weight room “pushing iron”.)

In the 1960s and 1970s everyone lived in houses like this.
In the 1960s and 1970s, many Americans lived in suburbia houses much like this. We pretty much thrived in that environment and it was safe and a pleasant lifestyle. With solid families all run in traditional households with the wives all keeping an eye out for all the neighborhood kids.

For me, I would lift weights in the High School gym.  There was a “Universal Gym” that I could use.  I wasn’t a member of the school football team as I had to work after school. Some of my friends owned real sets of “free weights”.  They would have a weight bench outside in the back yard, and I might go out and lift with them. My parents had bought me a cheap set of “free weights”. These consisted of weights, not out of cast iron or steel, but rather of plastic disks filled with cement.  They did not last as long as their more expensive steel counterparts, but they did do their purpose.

I kept them in our basement.  They sat alongside the furnace.  Next to it was my father’s old childhood shoebox (he used to go out and shine shoes for a buck or two when he was a boy). It still had his shoe polish, brushes, cloth and other tools of the trade. It was painted light green, for some reason now lost in the mists of time. On the top of it was a platform, tilted at a 45 degree angle, where the customer could place their shoe so that he can shine it.

Both my weights, and my father’s shoebox, sat in front of my great grandfather’s toolbox. He was a carpenter who would make furniture.  Back in the “old days”, he would haul the toolbox out to the countryside.  His potential customers would judge his skill at furniture making by looking at his toolbox.  They would note the condition and craftsmanship of his tools.  As such, if the tools were well maintained and clean, and the workmanship was of high quality, he would obtain work to make commissioned furniture.  Back in his generation, at that time, most of his work was custom furniture to fit the needs of the local townspeople in Germany and Poland in and around the Bug river area.

There in the basement were three generations of male tools and brick-a-brack. Our female companions never cared too much for the emotional value and labor that these items represented to us men.  They only appreciated the money that was derived from efforts using them. (And, for me, NOPE I just never became a famous body builder.)

“Beginning in the 1980s, American childhood changed. For a variety of reasons—including shifts in parenting norms, new academic expectations, increased regulation, technological advances, and especially a heightened fear of abduction (missing kids on milk cartons made it feel as if this exceedingly rare crime was rampant)—children largely lost the experience of having large swaths of unsupervised time to play, explore, and resolve conflicts on their own. 

This has left them more fragile, more easily offended, and more reliant on others. They have been taught to seek authority figures to solve their problems and shield them from discomfort, a condition sociologists call “moral dependency.”

-The Fragile Generation

Roles for us men were different than roles for women. Because, after all, we are quite different.

(Quick recap for those of you who didn’t learn this in first grade. There are two genders. They are boys and girls. If there is a mixture of genitalia on a person, they have a rare condition known as a hermaphrodite. The construction of other genders beside these precious few is not biologically sound, and is used as a political construct for greedy people to get power. If you follow their narrative, you will eventually get hurt.)

Men and Women

Men and women are different. That is a good thing. Different is wonderful.

Hollywood portrayal of men during the 1960s and 1970s were of strong men of means.
1960s and 1970s male roles models were men who acted like men. They carried guns, spoke what they felt, and worked hard. Male role models. (Image Source.)

Television role models for women were different.

Women had a different series of standards and interests.  At that time, women were regarded and cherished as “different” from men.  Men and women were not, never were, and never will be, equal. 

Life in the 1970s as a woman.
In a traditional household, the woman of the house runs the financing, budgeting, and all aspects of the family life. She is totally and completely responsible for the family. She tells the man what to wear, and how to act. She will then budget out the money for him to carry in his wallet, and he will dutifully earn money for the family. She will be responsible for the health and education of the children. It is HER and her responsibly alone.

Heroines for women included Elly Mae, June Cleaver, Mary Anne, Anne Marie, Samantha, Lisa Douglas, and Jeannie. They were all women who acted like women and lived their lives on their own terms. From my discussions with women (Attribution below.), they all seem to agree that television promoted woman as strong leaders.

Consider Elly Mae from the television show The Beverly Hillbillies. She’s pretty but doesn’t know it and doesn’t care, can talk to animals and beat the living crap out of boys if she wants to.

Or, June Cleaver from Leave it to Beaver. From what I hear, June Cleaver was the perfect woman. “How fabulous were her clothes? Her little suburban life? Her shiny appliances? Her squeaky clean kids? Her “hunkahunka” husband? Her cocktail hour and her perfect little dinners?”

Mary Anne, from Gilligan’s Island was wholesome, nice, pretty, athletic, fab body, smart, and loyal.

Samantha from Bewitched was beautiful, magical, so in love with Derwood that she’d give up everything that makes her special, could get anything she wanted by wiggling her nose.

Fun and Games

One hobby that we loved to do was go “dirt biking” which involved a specialized motorcycle that was specifically designed for “off road” use. It would not have a head light or turn signals, and would be lighter.  We would ride these “beasts” up and down all through the woods and the “boney dumps” (strip mined regions devoid of trees). Good times. We just “kicked it up in the sticks”. Why is everything so kid-safe today?

I had many friends who had pickup trucks.  Typically they were older vehicles with many dents, dings and rusty panels. At that time, CB Radios were very popular.  It would be on and we would listen for “Smokey Alerts” (Police Traps). Another fun activity was to go “mud slingin’”.  Here, we would often take a “beater” truck and run through the local bogs and swamps with it.  As one could expect, the truck would “sling mud” everywhere. We would often keep a cooler of beer in the back (Typically in cans.  Our parents drank from bottles.), and drink and party to loud rock music, or (yes) country music.

Here’s a plug for Donald Ray Williams who died on September 8, 2017.

Gas was cheap. Food was cheaper. A dollar could buy you five McDonalds hamburgers, while a music album would cost you $20 (though, it might only have eight songs on it).

Music and Television

Television was a big part of our life.

It is difficult for someone in this day and age to appreciate the grand influence of television had on society during the 1960’s and the 1970’s.  Today we realize that everything is tied, one way or the other, to the Internet.  Well, at that time period, everything (while not “tied”) was most certainly revolving around the television set.  Oh, it was a much simpler time because the government controlled the media, there were only a handful of media companies, and no one knew about the ties between the two. It was an open secret.

Music and televisions were big.

During the 1970s and 1980s we had trucks with big tires, and mud was a perfect saturday afternoon. We would go out shooting our rifles, drinking beer, and chilling out.
In the 1970s and 1980s we used to go “Mud Slingin'” in the woods. Mud Slingin’. (Image Source.)

We watched Walter Cronkite on the evening news, enjoyed “Mary Hartman Mary Hartman”, “Three’s Company”, reruns of “It’s about time”, and weekly installments of “The Love Boat” and “Fantasy Island” on television.  Also included such classics as “The Gong Show”, Reruns of “Adam-12”, and “The Brady Bunch” / “The Partridge Family”, and other retro-1960’s shows like ‘The Mod Squad”, “Julia”, and “Maude” were still getting air time.  So we watched them along with other 1960’s and 1970’s era shows. Of course, we all loved The Three Stooges.

Honorable mention to television shows that influenced me personally at this time included “The Time Tunnel”, “Star Trek” (Of course), “Voyage to the Bottom of the Sea”, “Supercar”, and “Fireball XL-5”.  Finally, “The Twilight Zone” and “The Outer Limits” defined our generation at that time.  The cold war influences were all blended together with the emerging post nuclear sciences that indeed really shaped our opinions and thoughts on life.

“I had a .22 Bolt Action Rifle and single shot .410 Shotgun when I was eight years old. I also rode my Schwinn Stingray without Wearing a Bike Helmet. I’m not even going to get into the many years my Parents drove me and my Brother around in a four wheeled death machine with no Seat Belts and a Dashboard made of steel. How I’ve lived to tell the tale is obviously a miracle.

Did I mention the Chemistry Set I got for Christmas when I was ten years old? Isn’t Mercury fun to play with?’

- 2/3/2018, 2:56:02 PM by Kickass Conservative

Television was a staple for my generation, but that was not the case for my parents’ generation. We absolutely lived off it.  They used it to augment their personal activities.  Whether it was knitting (my mother), or smoking a pipe and drinking a glass of red wine or cocktail (my father) my parents considered television to be a supplement to their lives.

Music was always playing and the televisions set was always on. My father would come home from work at the Steel Mill, and my mother would prepare him a cocktail while dinner was being made.  We would have the “late edition” of the Pittsburgh Press (newspaper) delivered, and he would read it in “his” chair (all men need to have “their” chair) as he drank his preferred beverage. We kids would watch the television.  When it was time to eat, we all would put what we were doing aside and go to the dining room. There we would have our daily meal together.

Yes, we collected albums, and listened to them on record players, or very expensive audio components known as “turntables”, “receivers”, “amplifiers”, and “tape decks”.  (We would even buy an album containing 10 lousy songs because we liked one track.)  Music, then as now, was a big part of our life.

Television was our primary source of entertainment. Everyone had one, and we all watched it.  Many households had the television  on most of the day. Though, for the most part, we only had access to from four to five channels of various quality. (This was before cable services.)

Before cassettes, and eight track players, we listened to albums on turntables, and FM music on recievers. Ah, the 1970s and the 1980s had their own way of doing things.
A well stocked 1970s album collection. In the time before CD’s we listened to albums on turntables. A well-stocked album collection. (Image Source.)

Is that a Chicago album I see? How many albums can you, the reader, identify? I see Alice Cooper’s Muscle of Love, Neil Young’s Harvest, and a Three Dog Night, a Boston with a BTO nearby.

Briefly, we had an “8 track” player installed in our family car.  Here we could switch between four (4) locations in the “album” so we could rapidly listen to a different song if we did not like the one that was playing.  We had a collection of these in the car.  As I recall, we had a “Jesus Christ Superstar”, and an “America”, and an “Elton John – Goodbye Yellow Brick Road”.  The problem was that the inside of the car got hot, and the “8 track” tapes were made out of ABS plastic, so sometimes they would melt in the heat of the car If you left them on the dashboard.

Belief

At that time, we believed the media.  We believed what we saw.  We believed in the government, and we believed in the promises that were made to us.

Furniture during the 1960s was made out of real hardwood. The use of softwood, plywood, and particle board for furniture did not yet become popular.
This was the style of the dining room furnishings that my parents had. They bought them sometime around 1965. During my entire childhood and 1970s teenage years we would have family meals at this table. My household furnishings. (Image Source.)

We believed the Democrats when they told us that our social security money (taxes) went into a “lock box” (actual term as they used) and would never be “touched” (used for other purposes).  Then, right after they made those promises, they went around and started handing the money away to non-contributors like candy. Anyone could get it. Just like pensions. All gone. Bye bye.

WTF?

We believed that when we paid state taxes that they would go into fixing the terrible “pot holes” that littered Pennsylvania roads.

We believed that they would not go into the big unions of Philadelphia that operated like mob bosses of yore. But we were wrong.  We were really, really, wrong.

Instead, the fat mob bosses just got fatter.  The rich guys “on the Hill” (The Mayor and his toadies.) got richer, and our money seemed to get smaller and smaller. Every year the costs for things increased. Every year we were told that this was “normal” and we needed to accept things, but they “had a plan”.  Always, the plan turned out to take more money from our wallets, and put it into theirs.

My father, a staunch life-long Democrat strongly believed that once the entire state was controlled by Democrats that the world would be pure, easy and everything would be perfect. He really believed in what they promised. Even when it was found that they had stolen his pension. Even when it was found out that his 401(K) was looted. Even when he found out that they “lost” the monies that they promised to fix the roads with. He still believed.

Pennsylvania “pot holes” still never got fixed.

A Simpler Time

We might have been “simple”, but at least we possessed some “common sense”.  We at least knew what a boy was and what a girl was. That is unlike the confused children of today. (And, wow are they confused!)  We knew that if you possessed a penis you were a boy.  If you had a vagina, you were a girl.  If you couldn’t tell the difference you were confused.  Though at that time we would of simply called you a fucking idiot, and laughed at you until you ran home crying to your mother.

If you wore a mask to cover your face, you were a bad guy and doing something reprehensible.  (Something, I might add, that you are ashamed to associate with your face.)  This included Bank Robbers, Train Robbers, Stagecoach Robbers, the KKK, and the Black Panthers. They were not looked upon as righteous heroes such as what is being portrayed in the media today with the BLM, SWJ, and Antifa movements. They were considered criminals.

My First Job

I well remember the first time that I got a job.  I had just turned 14. It was in the local grocery store, and I was hired at minimum wage to stock shelves and bag groceries.  I used to wear a white short sleeved shirt and a red bow tie.  Over this, I wore an apron. My hair must be over my ears and not touch my collar. No face hair was permitted.

I was ready for my first job. However, before I could work, I needed to get a “social security” number.  Here is my experience about that event…

I asked my father, why do I need a social security number?  His response was, you need it because you need to save some money away for when you get old.  This will help you accomplish that.

We were riding in the car, and as we crossed over the East Brady bridge I looked at him, and asked him; “OK, I understand.  But, why does the United States government have to do this?  Can’t I just save the money on my own?”

He just shook his head.  “This is the way it is son.  You have to give part of your money away to the government.  They know better than you do, and they will take care of you when you get older…”

My trustworthy father told me the way things work in the United States. He said the United States government will take care of ME when I get older…

I AM older.  My government ain’t doin’ JACK SHIT.

It was my father’s generation, and his father’s generation that got us in the financial position that the United States is in today.  Reread his answer.  At the time… he really…REALLY believed what he told me. He was a life-long Democrat, and to the day he died he could not understand why, with all the taxes being collected, that the government could not (or would not) help the common citizen.

Back then, taxes were much lower than they are today. Yet, I well remember my surprise when I received my first paycheck.  I expected to be paid in full, and was surprised at the size of the amount deduced from my paycheck…

Americans have the most amount of money deducted from their paycheck for the most reasons, under the widest selection of names compared to the rest of the world.
Getting the first paycheck and seeing the deductions of taxes, fees, contributions, and services from the paycheck.  An American tradition: watching the expression on the face of someone getting their first paycheck and seeing all the taxes taken out. (Image Source.) I experienced this during the 1970s. I can only imagine what a shock it must be today.

It didn’t matter what job I was doing, the taxes always had to be set aside. No matter what the media said, I just never was able to get any of the “freebies” (reference law#40 on the 48 Laws of Power)  and deductions that was promised to me.

I was 11 when I had my first job. Summer job working at a restaurant. I’d be out by 12:30pm and would head to the beach with my friends.

My first pay check was $236 for 40 hours. I’d figured around $280 and was expecting it. I took my paycheck to the manager. I explained to him there were several deductions on it which I felt deprived me of my due compensation for the work I’d done. He explained how it was normal and everyone had it on their paychecks. He even showed my his pay stub with much larger deductions. I was shocked. It was theft. How could all these people put up with this?

I concluded -who steals from an 11 year old?

This is the point at which I became a conservative.


-Justa on Free Republic

Later, when I worked in the coal mines, there was talk about credits for solar panels. Even President Jimmy Carter put solar panels in the White House. But, that credit was not for me.

Then, when I was working in the steel mills, our union steward told us that if we voted Democrat that we could pretty much guarantee a lifetime pension and a great future for ourselves and our families. That never materialized either. My father was particularly upset with this change of events. Sigh.

When we were on the Forest Fire Crew, we would discuss the “rebates” that were promised to us by (then President) Jimmy Carter.  Nah. They NEVER materialized. Maybe some privileged group or major Democrat voting block got some, but we never saw anything. I guess that we weren’t important enough, or maybe it was because we just didn’t complain loud enough.

When I watch the news today, I can well see why those in power don’t want the youth of today to read and know their history. They want to keep them fat, dumb and stupid.

As I get older, I can plainly see the same old “bag of tricks” being recycled for use on an ignorant public. Yeah… yeah…. Vote Democrat and we will fix everything this time. You can trust us! Yah… yeah…

If you speak out of line, or don’t follow the official script, you are “off the reservation“, and will be attacked. Look at what is going on with Kayne when he does not follow the script.

Oh, and the Republicans are just as bad. Don’t think that they are going to get a free pass from me. In my mind they are every bit as bad as the Democrats. But at least they are pretending to try. The fact is that both Republicans and Democrats are working from the exact same playbook; Rule # 31 & 32 of the 48 laws of power.

Families & Vices

In those days, parents were responsible for their children, and if a child misbehaves the entire family would lose face. Parents made sure that children behaved. This was before the coddling movements of the 1980’s where everyone gets a participation prize at school, and those that excel are punished.

In the past, before government legislation, children could smoke and drink with parential permission.
In the 1960s and 1970s, children smoked with parental permission. This all ended when progressive democrats took over the state legislatures and began to re-engineer society to make it “better”. Childhood before social re-engineering efforts. (Image Source.)

The popular television shows reinforced this narrative. If you misbehave, your family would suffer.  Consider the television shows “The Brady Bunch”, “The Partridge Family”,  and Happy Days”.

Television commercials promoted both cigarettes and booze.  The hard liquor ban has been in effect since 1936 for radio and 1948 for television.  The ban on selling “soft liquor” (beer) has been a “darling child” of the progressive left since the days of Bill Clinton.  At the time of this writing the fight is still active.  Perhaps, by the time this gets read the liberal progressive Democrats will succeed in banning it.

The “vices” of the past were once considered unsavory habits. Today, they are considered to be serious crimes.  Indeed, it was just simply “fine” to smoke, drink and have a cocktail at lunch. Though there were limitations; for instance only Management and Sales could go for a “three martini lunch”, the rest of us had to limit it to one or two beers.

The phrases “I’d walk a mile for a Camel.” Or, “I’d rather fight than quit” were famous catch phrases for cigarette advertisements on television at that time.

Cartoon characters smoke, drank, fought and were very politically incorrect. Being homosexual was frowned upon, and there were absolutely NO portrayals of them in the media. No one knew what a LGBT person was, nor cared about it either. I ask the reader this; have you seen a gay person on “The Jetsons”, “The Flintstones”, “Deputy Dog”, “Captain Kangeroo”, “Lost in Space”, “Petticoat Junction”, “Hee Haw”, “F Troop”, or “Ba Ba Black Sheep”?

Now, today, you cannot find a single one without one. Even the science fiction staples such as “Star Trek” and “The Orville” all have multiple characters presented.

If you got pregnant before getting married, it was frowned upon, and while abortion was available, its use was discouraged. The social norms were reinforced by the media. They were not trying to redefine them.

The Three Martini Lunch

I suppose that some explanations are in order.

For there are many things that I grew up that were normal, that is considered outrageous today. One of them is the three martini lunch. The three-martini lunch is a term used in the United States to describe a “leisurely, indulgent lunch enjoyed by businesspeople”. Back in the day, this was a common enough practice. If you were in management, part of a sales team, or even a supervisor, these kinds of lunches were quite common. Indeed, many times, the boss would come back after 3:30pm from a long lunch and be quite “sauced”.

Now, according to Wikipedia, it is ONLY a perception.

“It refers to a common belief that many people in such professions have enough leisure time and wherewithal to consume more than one martini during the work day.”

Ah. Nope, my dear clueless millennial. It was not a perception. It was a reality. Drinking at work was commonplace. At least in the steel, coal, and appliance industries it was. I don’t know about the other industries.

Now, since business matters are usually discussed at them, three-martini lunches can be considered a business expense. Of course  (which includes travel, meals, etc.) and thus can qualify for a tax deduction. The people involved would remember to collect their receipts and turn them in at the end of the month for reimbursement. They would get money back, and the receipts were kept in a ledger to account for all the costs related to business expenses.

In those days, all managers, and of course sales staff, had an entertainment budget. The manager would have free latitude in determination of how to spend the money, and it was often considered a perk. The manager could spend it with employees to offer them incentives and to build up the working relationships, or use it for work related tasks with other companies and people.

Wikipedia does have it right in that the three-martini lunch is no longer common in the United States. However, it is, thankfully, quite common outside of it. Yeah! Baby!

“The three-martini lunch is no longer common practice for several reasons, including the implementation of "fitness for duty" programs by numerous companies, the decreased tolerance of alcohol use (Hum… speak for yourself), a general decrease in available leisure time for business executives, an increase in the size of the martini, and a decrease in the size of the tax deduction.”

America for the modern businessman certainly blows!

President John F. Kennedy (D) called for a crackdown on such tax breaks in 1961, but nothing was done at the time.

Then another democrat, Jimmy Carter (D)  condemned the practice during the 1976 presidential campaign. Carter portrayed it as part of the unfairness in the nation’s tax laws, claiming that the working class was subsidizing the “$50 martini lunch”. (Of course, use the “class struggle” to divide Americans. It’s a time-honored Democrat tactic. The theory is because a “rich businessman” could write off this type of lunch as a business expense.)

By the time Bill Clinton (D) came to office, there wasn’t much that still needed to be done.  So he concentrated in the elimination of all vices from the work environment (except for elected officials, of course) and the banning of cigarettes, and drinking proceeded apace.

Not to be outdone, Obama (D) started to tie health plans to tax breaks.

The only people who still had three-martini lunches were the “fat cats” in Washington, D.C.. They were “different” don’t ya know, and laws don’t apply to them. Most especially if they are Democrats.

Cigarettes

When I was a kid one of the most popular marketing brands was for Camel cigarettes. I can remember wanting to “walk a mile for a Camel” although I was too young to appreciate it’s meaning. This was a “dated” slogan, as it dated back to 1921.  Everyone smoked, except me. LOL!

There were cigarette vending machines everywhere including the high school. It sat right next to the Coke machine in the school cafeteria. The vending machine had a long lever that you pulled outwards to discharge a pack of cigarettes. Matches were common everywhere, and many stores and restaurants gave away free matches with their address on it.

1960s and 1970s cartoon figures smoked and drank on television.
1960s and 1970s childhood icon Fred Flintstone smoking. Of course, smoking and drinking was commonplace until the social re-engineering efforts by democrats. Our cartoon heroes all smoked. (Image Source.)

In the 1990’s during the Bill Clinton presidency, it changed to the “Joe Camel” advertising promotion that became wildly popular.  (Since the Democrat party had no way to skim off some of the huge profits that the advertising promotion generated, they went ”full on” to ban it.  After all, if they couldn’t get their cut in the profits, no one could get anything.  Oh, they promoted the ban to help “the children”.  But of course, what we now know about the Clinton pay-for-play schemes, we know this to be painfully true. But, like everything else, this is just my opinion.)

I guess that I am full of “nonsense” opinions.  Right?  Well, look at this from my point of view then…

  • In March 1992, the “Coalition on Smoking or Health” (a Democrat Progressive social-engineering platform) petitioned the Federal Trade Commission to ban Joe Camel.
  • However in June 1994, the commission decided not to take action against R.J. Reynolds, because the record did not show that Joe was attracting kids to cigarettes. After all, if you want to ban something you have to show a reason behind it.
  • This need; to find reasons to ban things, all ended during the presidency of Bill Clinton. (And look at the nonsense it hath wrought.) Bill Clinton implemented law #33 of the 48 Laws of Power.
  • In May 1997, after a change in personnel (the Bill Clinton administration changed the staff at the FTC precisely because they did not do as he wished) but no change in the relevant evidence, the FTC reversed itself, voting to seek an order that would keep Joe out of children’s sight.

Yup, you throw out the people who are “not on the same team”, and put your guys in. This is true for all politicians, not just Democrats.

Link

Though, President Trump is kind of slow in learning this political lesson…

Why did it get banned?  The evidence did not show a connection. The change in makeup of the FTC was changed by Bill Clinton, that is a fact.  Why?  To “save the children”, from what?  Where is the proof?  I am not, will not and cannot buy those excuses.  Especially related to a mega-rich uber-billionaire and his family who has no obvious sources of income except a presidential salary and well known for their famous “pay-me-money” for access and favors schemes.

Joe’s critics did not need evidence. Wasn’t it obvious that R.J. Reynolds was targeting children? Joe Camel was a cartoon, after all. To which R.J. Reynolds replied that Snoopy sells life insurance and the Pink Panther pitches fiberglass insulation, but no one assumes these products are aimed at kids. The company insisted that hip, irreverent Joe was designed to attract young adults who considered Camel an old man’s cigarette.

Find out on your own.  Take the time to find out why.

The demise of advertising for cigarettes on television, as well as banning cute advertisements aimed at youth (Joe Camel), was part of an anti-smoking initative initiated by the Democrat Party and specifically Bill Clinton (D) in the early 1990’s.  I suppose they wouldn’t have gone so aggressively against the “big” tobacco companies if they contributed more money to the Democrat party election coffers.  But that is a different subject for a different time.

The way this works is obvious to everyone. Especially today. If you want to keep the SJW, Antifa, BLM, and busybodies off your back, you pay them off.

In America you PAYOFF the busybodies.

Furniture

We had furniture that was made out of real hardwood.  The cheap softwood furniture started to replace the long-lasting and durable (and very beautiful) hardwoods in the 1970’s.  This lasted for a decade, and then the 1980’s hit. Everyone was trying to “make a buck”. As a result even cheaper furniture started to make it’s appearance.  This consisted of plywood furniture.  The plywood would have a laminated layer of nice attractive hardwood.

This lasted for about a decade, up until the decade of Bill Clinton. At that time, the uncontrolled spending by Congress reached new levels, and the resulting hit on the value of the worker’s dollar was substantial. Wal-Mart became very popular and powerful.  As it offered the cheapest products for families trying to maintain their standard of living while the value of the dollar collapsed.  This resulted in the cheap “sawdust and glue” furniture (Particleboard) that is so common today.

As inflation decimated the value of the USD dollar, Americans were forced to rely on cheaper and cheaper items of furniture. Today most Americans use paper and sawdust furnishings. This trend became quite noticable during the 1960s and 1970s.
Changes in the use of materials in American furniture since the advent of the Federal Reserve. As the USD depreciated in value, Americans were forced to buy progressively cheaper and cheaper furnishings. THis trend accelerated during the 1960s and 1970s.

Lawyers didn’t yet advertise for class action law suits. Not until the Clinton presidency.  That presidency implemented so many social revisions that are too numerous to mention.  Some may argue that it was certainly for the best.  But, I argue the oppose.  All you need to do is look at what constitutes a playground in America today to see the fallout from this folly.  All you need to do is take a bite out of a tomato that tastes like a cardboard box filled with bland water. All you need to do is try to speak your mind today and see the vitriol and hatred directed back at you.

Indeed, restrictions on one’s ability to do what they please is a restriction on FREEDOM, and is tyrannical in nature and substance. No matter what the (stated) intention was..

Anyways, while there were many things changing over the years, one of the most notable was the phone sex hot lines that were all the rage during late-night commercials. These things were just a passing fad that made some people enormously wealthy in a very… very short period of time.

The networks were dominated by the big three; NBC, CBS, and ABC and they controlled everything that we saw and many things that we read. There was no Internet, if we wanted to watch something out of the ordinary we would watch Public Broadcasting, or one of the small local startups that tended to appear and disappear after a few months. (Thanks to them, instead of the dominant American networks, I was introduced to Bennie Hill, Monty Python, and belly-dancing.)

“If you’re over 40, chances are good that you had scads of free time as a child—after school, on weekends, over the summer. And chances are also good that, if you were asked about it now, you’d go on and on about playing in the woods and riding your bike until the streetlights came on.

Today many kids are raised like veal. Only 13 percent of them even walk to school. Many who take the bus wait at the stop with parents beside them like bodyguards. For a while, Rhode Island was considering a bill that would prohibit children from getting off the bus in the afternoon if there wasn’t an adult waiting to walk them home. This would have applied until seventh grade.”

-The Fragile Generation

Everything was different.

Politics

Politics have made America what it is today. Ugh!

All of the interns that I get from the United States are walking progressive robots. Which is fine, as long as they do their work. Just do you job, eh? The problem is that they have no idea what work is. They think it is talking about their feelings over coffee. What the fuck has happened to America?

Here is what work is;

  • I tell you what to do, and you do it.
  • You do it to the best of your ability.
  • You ask questions if you are not sure.
  • Once your assignment is completed, you have someone review your work.
  • Then you ask for more work.
  • Then you are paid or rewarded for your efforts.

Somehow, the young folk out of the United States never learned this. Their ideas about what work is looks more a scene from the television show “Friends”, or the inside of a coffee shop. Maybe they feel at ease parroting Ellen Degeneres. Many are totally useless at work. It’s their education.

You know, the entire reason for this post was due to a young intern. She had the gall to suggest that I (her supervisors boss’s boss) was in my role because I was “privileged” growing up. She had the fucking gall to tell me that I didn’t know about their “struggles”. Her “struggles”…. Give me a fucking break will you!

Well, I didn’t hold back in my response. I’ll tell you what. She probably has nightmares about me now. (Sigh). Look, life is full of good things and bad things. But life is what YOU experience. It is not what you hear about, read about, or watch in a movie. It is what you personally experience. Unless you have gone through what I had to endure to be where I am today, then SHUT THE FUCK UP.

Politics are both silly and dangerous. I wouldn’t be so fearful except that politics is used to change governments. Here is the basic recipe;

  • They take a stable government and turn it into a “democracy”.
  • Then, they obtain power by manipulation of the people. (This has many facets.)
  • Finally, they devolve into tyrannical governments.
  • Once in power, these governments then turn on their own people. They turn against them.
  • The most important step towards tyranny; you disarm the people.
  • Then you separate the people into groups; favored and unfavored.
  • Then finally, you kill them in batches. Know your history.

Which is why, those of us who actually READ, support the second amendment.

Those that want to change the government are often well-meaning, but easily manipulated by tricksters. Those “tricksters” often manipulate their followers to obtain their ultimate goals of power, control, wealth and fame. Then, once they have obtained power, they kill their top leadership and their top followers. This happens each and every time. It happened in Germany, in China, in North Korea, and in Cuba. The techniques are well known and well documented. If the reader is interested in this, maybe you too want to control your own nation someday, you can read about it in the book the 28 laws of Power.

Politics never reflect reality.

American politics is just a way for those in power to control the people who live within America.
American politics is a very complex subject. Here is a simplified explanation. American politics explained. (Image Source.)

Politics were black and white. Democrats supported the governments of Communist Russia, and Communist China.  (That is one of the reasons why all of the old symbols of the Democrats were red.)  Even the Democrat / Confederate “battle flag” was red with the crossed bars. The KKK was, and for the most part still is, a Democrat organization. Let’s not forget that the Grand Kleagle (LOL!) was Senator Robert Byrd Democrat from West Virginia! I’ll wager a bet that you all didn’t know that.

It’s one of top secrets of the DNC.

Secrets

There are many such secrets. Secrets abound, and it’s not just politically motivated secrets only. Consider the Clinton body count (terribly underestimated in size and scope). The secret about when President Trump exploded all of North Korea’s nuclear weapons under the mountains. Oh, and the Obama funding for the anti-White race war in South Africa. Oh, you haven’t heard about that one yet… well, you will…

You will…

My father, a life-long Democrat, constantly talked about how “one day” the Democrats will get in power and change things.  Yessur!  The people will rise up and the “little guy” (himself and all his white middle-class friends) would get a chance to “sit at the table”. Hah! Was he ever so disappointed in President Obama. I think it broke his heart. 

Now, even though he is long dead and buried, he still, to this day continues to vote Democrat

Democrats were for free speech EVERYWHERE by EVERYONE.  (Speech was more than just talk, but included behavior.  Indeed, Democrats wanted to “let it all hang out”.) Yikes…! At that time, it helped further their agenda.

Which was, and still is, a phased plan to rewrite the Constitution.

  • First step…claim that the Constitution is a “living document” subject to change. Make it so that the rules are constantly and easily bent.
  • Second step… make changes to “improve” things. Put your own judicial interpeters in power. Have them implement rules to fit your narrative only.
  • Third step… repeat the narrative over and over and over. So that everyone calls it a Democracy. You know that you are “over the hump” when elected officials start to parrot this.
  • Then, fourth step… change a Democracy into a Social Democracy. Like the Nazi’s, or Communist China, or the Soviet Union.
  • Fifth Step. Make it nice and “Progressive”. Say you are doing it for just causes. Limit speech. Have a nice long list of things that you cannot say or talk about. Reference law #32 of the 48 laws of Power.
  • Sixth Step. Then the most important step… disarm the population. They can’t have their “pitchforks” and “torches” .  That’s right, get rid of all those guns (then see what happens).  Take every opportunity, get the children to march for it. Punish them if they don’t go along with the plan.
  • Seventh Step. Finally,… setup a rulership of the 1% presiding over a disarmed ignorant mass. Make sure the police have the latest in military technology. Call them something different, like “protectors”, “guardians”, or “peace officers”. Make the names fierce like the SS, or IRS. Use the military against your own people. Even if you are not permitted to, do it anyways.
  • Eighth and Final Step. Once you have control, you must RULE! Show everyone just who is Boss. Go full-on Negan!
Negan is a character ont he television show The Walking Dead.
Once, you obtain power, and you know that there is a large segment of the population that does not support you, you need to RULE. You need to show who is boss. You go full NEGAN. (Image source.)

Politics

Now politics is such a large part of American culture, that I just can’t leave it out.

Here’s the truth. It doesn’t matter if it is 1970 or 2010. Republicans were just sick and tired of all the political nonsense and wanted everyone to leave them alone and stop paying so much in taxes.  However, since the Democrats controlled the media they controlled popular culture.  No matter how one felt, the barrage of progressive indoctrination was incessant. Even the music that we enjoyed and listened to (at that time) was interspersed with progressive propaganda.

Democrats in the 1970’s followed time proved socialist techniques. They supported peaceful protests and “sit downs” for such things as labor unions (automotive, steel, government and education), and free access to the soft drink “Tab”. Republicans wanted to stop the apparently never-ending cycle of “walkouts”, “strikes” and “labor organization” (for substantial pay increases).

It was always attack, and Republicans defend.

Attack… defend.  Attack… defend.

Attack… defend.

By the mid-1980’s, a union steel worker (high school graduate, with no subsequent post-education) with ten years in the union, would be able to make almost two times the salary of a degreed engineer with ten years’ experience. It was really outrageous. (Yeah, but for my generation, it wasn’t so great. After they worked ten years or so and were laid off, they were fucked.)

Fucked over…big time.

Hey! You “I always vote Democrat because they will protect me and give me a pension”, how’s that working out for you? Now that non-Americans can get your jobs? It feel really good? It feels like your have been vindicated? Eh?

Anyways, all of this was pretty hard for industry at that time, where the production line could shut down at a moment’s notice on the most trivial of reasons, and the factory couldn’t do anything about it (that was until globalism…) The Democrats used the unions because they represented a huge voting block. They always manipulated huge blocks of people. Now, here today we know exactly just how far that utility lasts. So, the lesson here is that the Democrats turned their backs on the unions so that they could take more, in bigger bundles from foreign governments. It was all in the name of “Globalism”.

My father could NEVER get over that harsh reality.

Democrats wanted to burn bras (which was something even my mother did) and I never had a problem with it either, and have free love with everyone (and everything).  That also sounded good to me too. Who doesn’t like to look at pretty girls? Who doesn’t like sex? Sex is fun.

Things were so different then. It was a different time indeed.

For today, if you are not from an urban ghetto, or a member of one of the (approved) “oppressed minorities” you are maligned. And, for the record, we all think it’s terrible inaccurate and very, very unfair. So when you are trying to label and box Trump supporters into such things as “uneducated, white males”, or “deplorables”, we all take particular offense at that.

We are not. So shut the fuck up.

 “The schools you send your kids to have been trying to inculcate your kids with all kinds of rotgut, perverted junk under the guise of enlightenment. And you’ve had to sit there and take it. 

You’ve had to sit there and listen to the never-ending, increasing profane rants and having all of this stuff pushed right in your face and down your throat. 

You’ve been forced to shut up. You’ve been forced to say nothing in reaction for fear of losing your job or being chastised, humiliated, or what have you, in social media.

You’ve been forced to accept the cultural rot that the American left has imposed on you and your kids. 

You have to sit around and listen to your religion be mocked. Your religion is laughed at, your religion is made fun of and criticized, openly and with malice, and it is done with impunity. People who mock and applaud and insult you and your religion are praised as brilliant artists. 

You are called hicks. You’re called white racists.

You’re called bigots. Sometimes they call you prudes. Sometimes they call you Bible thumpers. 

You’re an idiot. You’re small-minded. You’re a moral twit. 

And these are the people having a fit over Trump saying something? These people who have put themselves in charge of infiltrating crap throughout our culture and our society? These people who have been responsible for injecting drivel and bilge throughout our society claim to be upset and outraged and offended over the use of a word — slang for a toilet — by the president of the United States, in a private meeting?”

-Rush Limbaugh

Anyways, all the seeds of political unrest was planted during the 1970’s. The seeds are sprouting up today, and they aren’t pretty. It is sad.

It’s sad, but you know what, I no longer live in the USA so it’s not my problem.

You’ve got Democrats and you’ve got Republicans. They are both identical creatures with similar objectives. They pretend to be ideological, but they really aren’t. They just act that way. When it benefits them, they simply switch political parties. That way their objectives are maintained.

Yeah. It’s not my problem.

College

Then, college was where an intelligent and scholarly person would migrate to after high school. At that time, only a few people could afford to go to college, and they were very picky as to whom they would select.  At that time, the wealthiest, and the smartest went to college.  Then, after the implementation of the G.I. bill, room was made for those who earned their “place at the table” through merit (risking their lives in war). Thus, obtaining a college degree was significant and factored large in the overall standard of living that one could hope for.

That is totally the opposite of what college is today, where EVERYONE can get a college degree.  It is  where the content of the degree is so watered down as to become meaningless.  It is where those people whom graduate have to fight a flood of entry-level applicants for a scarce few positions. It hardly seems worth the time, and doesn’t seem to be worth the money, or the investment.

That is because, today, it just isn’t worth it. Looking at the big picture, it is almost like colleges and universities have become large institutions that turn young people into debt slaves – serfs. Unless they quickly obtain a high paying position, they might never leave that role.

Maybe that is the reason why President Obama made college so accessible… I wonder… Using it [1] as a propaganda machine, and [2] to turn the majority of the educated American masses into debt slaves.

For more links on this subject;

I went to college, as that was what young upwardly mobile families did in the 1960’s and 1970’s. For me, I had always wanted to be an astronaut. My only way to become one was through hard discipline, a strong technical background, and a clear vision.

Leaving the Mines to Better Myself

I applied and came in second place for the Air Force Academy. My grades were outstanding, and our scores were tied. Exactly tied. However, my family apparently didn’t have enough political pull, I guess. So my friend Brian got the open slot. (You know, if you two take the same battery of tests every weekend for six months, you do eventually get to become friends.)

It was a disappointment. But, I picked myself up off the floor. Dusted myself off and went to plan “B”.

Getting the best grade will not make you rich. Ability has no bearing on luck.
You can still do everything right, and still lose. It does not mean that you failed. It is just the way life is. It is possible to commit no mistakes and still lose.
The reader should never take on the unrealistic belief that if they work hard, and be careful, and do everything right, and have everything go in their favor that things WILL work out to their advantage.  There are no guarantees. Truth is that there are always things and aspects of any particular given situation that is beyond one’s control. These aspects may or may not be in your favor.  Indeed it is quite possible to work hard, and make no mistakes and still lose.  That is life.

I had been saving all the money that I earned in the coalmines and at the steel mills so that I could be able to afford to go to college. I knew that I didn’t have enough for a full four years, but I did have enough for the first two. I had hoped that maybe I could supplement it by working part time while I attended university. My plan was to sell my car, buy a motorcycle and work part time to fund my education.

I applied to MIT and was accepted. I was going to enter their aeronautical engineering program, but at the last minute changed my mind and went to Syracuse University instead. They had an innovative aerospace engineering program that really appealed to me. They also offered to employ me part time as well (which was something that was not available to me at MIT). The program that I would eventually enter was a joint mechanical / aerospace engineering degree, which would specialize in the thermodynamic properties of rocket engines, and spacecraft design. So, I went to Syracuse. I went orange.

My dream was not dead. Just dormant.

My plan was to attend college, become a Rocket Scientist, and then enter another branch of service to obtain a flight slot. That way I could then eventually become an astronaut. It was a simple plan. All I needed to do was study hard classes, with a degree of persistence, all would work out. I would need to keep my focus clear and then with the skills, training and discipline, I would then enter one of the rare flight slots.

Conclusion

Well, I did attend university, and I actually did become a “Rocket Scientist”. I graduated on a sunny May day in 1981. My class was the first graduating class within the “big Syracuse marshmallow” (as opposed to the”big glowing green caterpillar”.)

The reader should know, that I also was accepted by, and joined the US Navy and trained as a Naval Aviator. (I passed the testing for a NFO, but my scores were so exceptional that they opened up a pilot slot for me. Woo Woo!) Indeed, shortly after I graduated, I found myself in the middle of training for a Naval Aviator down in NAS Pensacola, Florida.

All of my goals after years of hard work and labor started to finally pay off.

These are stories for another time. However, let it be known that opportunities to go into space DID present themselves to me. And I, well, I TOOK the opportunities presented to me. It was my dream, and I would never let anyone steal my dream away from me. So, yeah, I did get to explore the outer reaches, it’s just not at all what I expected…

And, as I have stated earlier, that will be a story for another day.

Take Aways

What can we learn from my experiences growing up?

  • I have strong opinions based on my experiences.
  • Growing up in the 1960s and the 1970s does not match the current narrative as promoted by the American media. Cherish the thought!
  • People had more freedom than they do today.
  • People ate better than they do today.
  • People played better than they do today.
  • Furniture was made out of higher quality materials than they are today.
  • Water used to be free.
  • Democrats and Republicans are identical. Don’t let their verbal “policy positions” distract you.
  • I had a knife when I was six years old.
  • I had long hair, had a white “choker collar”, wore bell-bottom jeans and drove a orange GTO in my Senior Year.
  • I like pizza.

All this should indicate that my experiences are totally different from what young people experience today.

Which means that when I talk to an intern, I need to explain to them some basics that they should have learned while they were growing up. The fact that they did not learn them, and that the families and the schools have both failed them is a troublesome worry. For they are not equipped to compete globally for any work at all. Let alone basic janitorial work.

Outrageous Then. Outrageous Now.
Being Gay, or LGBT. Drinking cocktails at lunch.
Not working until you are thirty. Working at 14 years old.
Getting ANY assistance from government. Having a free glass of water with your meal.
Free condoms to students. Cigarette vending machines in school.
Paid cable service. Free Torrents.
Not having a Christmas Tree during Christmas. Halloween costumes depicting black people.
Not punishing a child for screaming in a restaurant. Punishing a child in public.
Paying more than $0.10 for a cup of coffee. Paying less than $2.00 for a cup of coffee.
Not being a member of the local lodge. Having your wife make you a cocktail after work.
Going to school on the first day of hunting season. Smoking in a restaurant.
Having your parents watch you play. Unsupervised play.
Pumping your own gas. Full-service gas stations.
Manditory blood collections at work. Refusing to carry a cell-phone on you.

Comments on Free Republic

In July 2018, this article was presented on Free Republic for comments. You can read the comments HERE.

FAQ

Q: Why is Senior Year important in High School?
A: Senior Year is the last year that you can be a child. You are nearly an adult. You might have a girlfriend or boyfriend. You might have a car, a job, and some money. You have well established friends, and a future of some sort mapped out for you. You are in peak health, and are just ready to begin a new stage in your life.

Q: What was High School like in the 1970s?
A: It was a blast. I would imagine that it was like school in other generations and at other times. The 1970’s, were boring we thought. However, looking back, we can see just how absolutely great they were. Someday, you too will write about your experiences in school like I have here.

Q: What are the differences between High School in the 1970s and today?
A: Freedom. We could smoke outside the classrooms. We could drive our cars to and from the school. We could carry knives. Lunches were ok. We had a main dish, with two sides, a dessert and a drink. I see what constitutes a Michelle Obama school meal and I end up shitting my pants. What the hell was she thinking? Oh, and the music was awesome.

We often complained, back then, that the High School students in France got to drink wine during lunch time. Paid for, of course, by the school. We, us poor Americans, had to wait until we got home before we could drink. Looking back, the differences between then and now are astounding.

Q: What was segregation like in the 1970s?
A: I just don’t know. No one was segregated in the counties where I lived. I heard that there was still some “unofficial” or underground segregation going on in the deep south. But, in my neck of the woods, it was unheard of.

Q: Did everyone in high school drive cool cars?
A: Yes. I drove an orange 1970 Pontiac GTO. Many of my friends rode cool cars. My friend Clyde drove a Chevelle SS. Like the movie “Dazed and Confused”, where Wooderson drives a big-block Chevelle nicknamed “Melba Toast.” He had an Edelbrock intake on his 1970 Chevy Chevelle SS 454. Ohhh the 454, now that was an engine. Other cars included a Ford Mustang convertible, a Ford MACH 1, and a Plymouth Duster driven by the “Brackey Boys”. Heh heh.

Q: What was elementary school like in the 1960s and 1970s?
A: We would play outside before school started. We would play hopscotch on the sidewalk. We would mark out the numbered blocks with a stone and scratch it into the cement. Then we would file in. First thing after roll call was the Pledge of Allegiance. Then we would have a pretty much typical class.

Though there was often some education about the upcoming Global Cooling that would change the earth into a solid ice cube.

We would then go out on organized field trips to collect donations for the cause, and help clean up the local streams and countryside. I don’t know who got to pocket all the money we collected. All I remember is that we used to raise buckets of money for the cause. Literally, they were buckets and boxes of money. Afterwards, the teacher would sing on the guitar with some songs typical of that era, like “If I Had a Hammer”, and “Kumbaya”.

Posts Regarding Life and Contentment

Here are some other similar posts on this venue. If you enjoyed this post, you might like these posts as well. These posts tend to discuss growing up in America. Often, I like to compare my life in America with the society within communist China. As there are some really stark differences between the two.

Link
Link
Link
Tomatos
Link
Mad scientist
Gorilla Cage in the basement
Link
Pleasures
Work in the 1960's
School in the 1970s
Cat Heaven
Corporate life
Corporate life - part 2
Build up your life
Grow and play - 1
Grow and play - 2
Asshole
Baby's got back
Link
A womanly vanity
The Warning Signs
SJW
Army and Navy Store
Playground Comparisons
Excuses that we use that keep us enslaved.

More Posts about Life

I have broken apart some other posts. They can best be classified about ones actions as they contribute to happiness and life. They are a little different, in subtle ways.

Being older
Link
Civil War
Travel
PT-141
Bronco Billy
r/K selection theory
How they get away with it
Line in the sand
A second passport
Paper Airplanes
Snopes
Taxiation without representation.
Link
Link
Link
Make America Great Again.
Link
Link
Link
Link
Link
Link
Link
Link
Link
1960's and 1970's link
Democracy Lessons

Stories that Inspired Me

Here are reprints in full text of stories that inspired me, but that are nearly impossible to find in China. I place them here as sort of a personal library that I can use for inspiration. The reader is welcome to come and enjoy a read or two as well.

Link
Link
Link
Link
Link
Link
Link
Link
Link

Articles & Links

  • You can start reading the articles by going HERE.
  • You can visit the Index Page HERE to explore by article subject.
  • You can also ask the author some questions. You can go HERE to find out how to go about this.
  • You can find out more about the author HERE.
  • If you have concerns or complaints, you can go HERE.
  • If you want to make a donation, you can go HERE.

Notes

  1. Drafted 21MAR18.
  2. Edited by request 24MAR18.
  3. Edited by request 25MAR18.
  4. Edited by request 4APR18.
  5. Edited by request 6APR18.
  6. Edited by request 12APR18.
  7. Edited by request 15APR18.
  8. Ready for internet 21APR18.
  9. SEO prep 2MAY18.
  10. Pre-launch checklist completed 3MAY18.